-- dump date 20170509_025445 -- class Genbank::CDS -- table cds_translation -- id translation TRV_08162 MAAVPAVKLENGASVPVLGYGTGTAWYKRAGAEDIDRETVDAVA TAIRTGYRHLDGAEAYGTEKELGIAIKESGVKREDFFVTAKVNKGIGDIPAAIERTLR NLQLDYVDLYLIHEPFFAKDDEELQSKWAEMEKVQQSGKARSIGVSNFLQPHLEAIMK TASIKPAVNQIEFHPYLQHGDLLAVHRRLGIAVEGYSPLTPLTRAKGGPVDGVVSRLA KKYGVSEGNVLLRWSIDQDVVTLTTSSKEERLKEFLGVVKFRLTADEVDEISRLGREK HYRAFWKSKFDPDDRS TRV_08163 MLLRNSISRRIPQSPLHPRLSQMASHLIHSSSSINSSTTGAGEE KDQLYSSTTTTDAPGVSLADITKTNNFTSKLPPDAAFDTPLASHNAPREHLGPRLVKG ALYTFVRPETTYEPELLAVSPRAMKDLGLKEGEDKTDDFREMVAGNKIFWNEADGGVY PWAQCYGGKVLFLGFRVIFFILFYFLCYEIY TRV_08164 MFISLSQLRLWVLTCEKRAISLFESINPATNRRYEIQLKGAGLT PYSRFADGKAVLRSSIREFIVSEVTRFAESWIRIGTFDLLRARSDLKLTRRLATYVAE DVFPGWESLPAALPTTQEKDKPVDGKLIDNPPRGVPKDEIQGEKGAEENRFARLYREI VRRNAKTVAAWQAYGFMNGVLNTDNTSIFGLSLDFGPFAFMDNFDPSYTPNHDDDMLR YSYKNQPSVIWWNLVRLGESFAQLIGIGDKIDDEAFLSNGLSEEQTSDVIARAEKLID QAGDEFKTVFLNEYKRLMSTRLGLKTQKESDFNELFSNLLDTLEKLELDFNHFFRRLS GLTVAEIDSDEKRKKVASLFFHDEGVGGAGNTEESARESVAKWLSSWRERIVEDWAGC EDEERIRSMKRVNPKFIPRSWILDEVIQRVEKQGDREILGRTMNMALHPFQEEWGVNR EEEERFCGDVPRFKRMAMCSCSS TRV_08165 MHRATERARRSEDRRHIPADGFISSDAGSYSGSSSEIESIRTAS SVAYGEDDEDETLRRAFEESLRLEKDRQRQRREAEWEAMLREEEVRRQSLREARAEAR RAQWAARAEEAAIRTAMQASEREQEEARARRIRENLCLFQQTVEQSRRENMAGNVRGS RRNLQRLSRDLPSTIPRPRREQGAEAGRNAGQSTTRELTRLSREERGAQAARPRSHRV RDAMRDAVTRSRAFSFGRSSPEWQDSERAVPPYTASSRPSANTTRASNPTRPSNPTSP SNPVGPAQSPRSRSPVAPAGSGDRRRIANPGHYSMLLVTPVDPTNYRVRDVIRRSRRE FHARAAATEAGEGFDSDLQRAINESAEQHRDEEEEAVQRSRGIPTYEEACASVRYRPS PGMRYSFQGPEVIEIPRENGPPTKLSIVGDMDLGEAMRIANQRVKRKRGQAQLN TRV_08159 KKATTRAKKRKYREKHKKAKPDNPCWGSRREVEDEEEATEAEAE APLGDIFFLASSIKQISKEEPFRLSAASAYSSSACYIHRPNTPPPPSLLPLSLPCLSF FRCTFASSSSSCPAGIKRDIYQRPSSLGSASDLLLVTRNNRRSITDIARRPKDPFDTA ATRPPYLS TRV_08160 MTMVLEHGHRQYGGMGYDSGVYQNHVQSNPPQFNDAWSAQPSSH PPAPAYPSSIPPANPIKREEVQRPLPPALSMPYSSVPVSAPSMVPNGSYPAVTYGGSE MLVMPQEMPRTSLEQQPSSTTTSPIHQFPTASYGSIEYAHSLQQQQQQQQQQQQQQQQ QQAHHQDHHQPPHHPHHPESRPLHPGSQPHTQGPPSSTFGDALDASRGMVALSQDLTP RGIYPPRASRGSADSYGFPSAHSSASSISSGNNYPYYSASVVSVDSSVTDYSSTTSES YDGLASRTLPRPSGLMGAMPPGPQSMMGQFSSKVPANTQKKHKCKICDKRFTRPSSLQ THMYSHTGEKPYACDVEGCGRHFSVVSNLRRHKKVHKGEKDSGSPEEEE TRV_08161 MREEKKGMKKNIDDDDDGDDTEVKKMLLSNGYQIRVVYQGCRER GICKKEERKKMDNEAGT TRV_08156 MLPHYLTSSYLQYKQDTNAVASWLAKTAKVCGYEIEHQSDQPKK GGRAKGKARKAARAAQSSTNSSTKSSASVKPQTYVIAVRDFIPLAETIVKCEAPRVRV PSTFITAIERAISARRSHHSILGEKRKTNEDGHGHFISVLERVQNILRPLFVDENKEG LANKFEKLDVEEPSDERAPTPDTTKPEPPESGSDATYEAERAQDINEMYSAYCLIIQD YRAFRIAIQETWLGYRDGVFDLVSASIMTNTALEFARQLEKDAAPLFNKFGGSAMLME AVFTAQCHNMGEDKDFRELPGDDLNFRVWEAATEIFFPTYMFLQGFVPMVSKDNMPVI KPGYFGVYDPASDRINKSPREKFQEDKVILMEHSTEFALLCICAPDLLAEDELTRSFA EAFQTHSVTLFLSFATQVYLDIHHTLRGDVRRGLSDLMATANMIDESINDNFQYHKSL RVTGWPRSNDTVLENIQQFIGLWVKRDPVGEARRRLKQPPREPYMLLSSHPLLCGLIT YSLKAKFQEVSLAFADAWGSILYTYHLYNAVKQEKLLRNNWQDMDVIMGMQDKIFVGD RPQTPEDYLKQFALSMGWSAAAFSKNRRQGALPVSSRGPRTLKELAPVAQMFKPRFCE GALQRDWTTTDIEQIISKSNWSWDEEASPSEGIRVYSMSRNIKKEQKKATSGAVNAAE LLKQLRNTLRTESLELSIPYLSFHRICWSVLRSIHEHCRPTLRDMFGGGYMERENQLP FVVGYIFMAATNTKKLSGLLGAKKSDEVTSKLLSEAAAAMDECIAAVGKQCCDMLEQL NFRFTDGMDDEVEGEGDKDKNERN TRV_08157 MPRPDLEALGVKYRRIPVLSIGKDVYCDTRLIIKKLEEQFPDGR LGATDGEGQALENLLETWSTDGGLFLNAVLLMPLDLPSLRDPAFLKDRSTFLTGPKWK MEDMPSLRGEAMVQVKRAFDFLESTLLADGRDWLRKTEKPTLADIHGMSLICSLFLLA CPGHVVGCLEMVSMDSIGRLTFSLALFFFFFPYLAIWALDWVLDMGSLEDSIISEASH PKVFAWITRFRQALSHAKGKMEEMLVSGSEVLDGLQHESFAQIDAEFDDSDPLGLEKG EEVLVWPTDSGSTHQDAGALIGLNSKEIVLQRKTADSRFDIRIHFPRLNFKVVSAAHV QQTKL TRV_08158 HREGEIDRERDRESARRESWSSKLEVEETRLRKRRKKGKKKEEE KKEEGRREEEKKNWRDDAAGGGKRRQEGQKVGLRAAGGDWLAAGLGSYYCLLGLDRAR RSNNKKEEEEEEDDDGDDGDDGDDGDDGDDGDGDEGRRDEISEVKRERRAGQLGGLAG QGDDKGEW TRV_08153 KHLAYEICRHREASLEPVPASTLMLELTAGVKLTYLAEGAANIV YNISSDPYPSAAPARAPDISGTKPRTGLGQAQAAYPGKLLRLRKKIDSGTPYLEITRR FNSQVRSLFQDEELVSQELVRLPDGLTTACNERLRDDEAQDRRPMKRRGVYLCTDEPF GLLIKDMTASPGSGATLWEFKPKWLVQSPSAPPDSRRCRTCALREKRQFTTSRGATMD KTAEQLQGKRSFCPLDLVSNRLEDIMSAVSVIIDSPDDARRIAAFIYQNPTLLKLRDK QHQMNAVGLEGIHASPEERAISMTLRDCTMFVKVPQKHNEPLELRLGDLDFKSEDGGK LQYWQDTETELIEEGWYLGVHRSQDKNTECALGR TRV_08154 MPAQISHRNDLSSVLNQIVLSSSDTDYLDQLIPSIREYSHGNRT TQLLHSLTKFADDREAKIESICNSTHQDFVSSVNQLLQVREGTVNLTQEILGLNQSIL ASTKRLAEQKKALVESRSHRQNIDETSKALQDCLEVLRLANQVHELLAKKNHYAALRA LDELQNVYLKGVTQYKIAEVIQRSVPMTQKAIADAVMDDLNTWLYRIREMSQYLGELS LFHTDKRKERLAERSEKIPYLSRFKLNLAIELVSDEMEEFDLLYNDDLQVDFSPLLEC MHIHQSLGQMDKFQVEYATTRRQQKDLLLPTSITLLSEDGSSLHTLLEEIAGFALVER ATMKRVPELRSPVDVEELWDSMSQTAVGLISKALPTVDNSEDILKIKNLITLFMQTMS FVFKSFSVGPFDRLLLTLFEKYTELLKRRFSDDFQELLTLYQIVQTDDYMPMPIRSDE EYDKVLNVSWYTPEKPREEQTYPCVLPFSQMYPLCCIDIRNLLNQFYYFSGDNFNHPA VIDETVRSSLDELLCNKVCEMLTERLGSQYLGQIVQILINLEHFEIACQELEVLLAAA RSSNSGEGPIALNATEKFRMNKKAAEKRIFEVVNSKIDDLIETAEYDWNAPKLQMEPS NYMQTLTRFLSNIMNSTLLGLPTEIKELIYFDALSHVANRVIVCGLLFPTRRRLYLSN TSITQALPLDPEVKIINPNGVAALARDADYLSKFVDSLEVPILRENLDELQQTVQLMM AENTDEYYDIAVRNKKYGRVDPMNGPVLLEK TRV_08155 MAEQVNQGLQGSARDILTTYWQHTINHLESDNHDYKIHQLPLAR IKKVMKADPEVKMISAEAPVLFAKGCDVFITELTMRAWIHAEDNKRRTLQRSDIAAAL AKSDMFDFLIDIVPREEATSHTKRSAPQAPGAPQAPGPGQLPPQPPGVPQQHPMGPPE YGAMGQHPLGQEQDYRQQPPMYGGAVQSDPAYGHPQPQMFGEMYGYSQLPPQQPIEAP DVGASDPEIFLSNSYVTYQVGALSK TRV_08134 TNLVPFPRVHFPMFAYAPIAQDSRATHETNTIREMTLACFDPSN QMVKCNPQNGKYMATCLLYRGNIVPKEVHAAVATVRTKQTIQFVDWCPTGFKIGICDK APEHVPNGDMAKTDRAVCMLSNTTAIGEAWTSLCHKFDLMYSKQAFVHWFVGEGMEMG EFAESRENLAVLERDYQEIANAEGDEEPEQEY TRV_08135 MQRGALDATEFIIAMHLLTAYKSGALRGIPQSLPPGLYEAAARR VVARNSTGSRSIPDVPPVPAIPKQFSGSGHVPRAQSPLSQVHTGSDWLITPQDKAHFD NVFSTVDKARTGYINGDQAVGFFTNARLPEEVLAHIWDLSDIDSDGQLSRDEFAVAMY LVRQQRTTKEPLPQTLPPNLVPPSMRRLGARAIQPQTTGARSASEDLFGLDVFTAPTQ VAQSTGGSGSQFQPPSSPTRQPPSSTFKPFVPASSFGQSLGPIAAGRPALGAQNTTAS PSDDLLGDADPEESKKLTQDTTDLANLSNQVGTLSKEMQNIQTKRSATEQDSGQVEQQ KKDFEARLAQARTMYENEVKSLKALEERLATSKAEVKRLEQEFSLIEASRRDVATQYN QMAAALEADQRENASLKEKIKQANAQTSQLKPQLEKIKSEARQQKGLVAINKKQLATV EAERDRLQEELDQYNQQNEGSERDAAAPGSHNSPFESPAASTGSQAKNPFFRRGTGVS SPGTLSPQATGQKPQPNDNRSVFDNMFGSSFAPSSTSTPPPQTTFRAASPRSGASTPD ASRVASGSFTPSTAFDTQFGAAAAEPPAPPLSRQITPNNLPLEGHAVSVTSSNRPSPP ASRFDTSGISEADALRKQSSPFDEEAGDEAAGAADDTTKSGIEPQPVVPGAFPTNTTP QPENKKEISFDELFSGVAHARSPSQKASDFEEAFASMKKPGEKTEGDKDKSNGSAAAG SNEFPPIREFDDDDDSSDDDHAGFDDDFAPASPPRDTTSSPQEPSKDEAQKSSFAPTD SFSKPLSPPPGPGVQNTPPEFKGLVPGRHDPTAAGDAPHSVESTTKDPIIGGVPHHDA ANKKPTNPDFEAAFAGLDLAPAQEVEDDSDDDTFESPFNKDASNFDMSFDTASGQPKP ANSSGNADFFSFQPSPGATTSTNNKSPFSSEAPSAGPTKNASSNHDWDALFSTLDSTK AAAAANKDGASHAATENTSAMSFPQPPSQNKPAGWALDASTGEDDMILQRLTGMGYPR DEALAALEKFDYKLDEVRNLLFLYNSHIPL TRV_08136 MPNIILILRGVQALLAVVTLGLIAYCMYLPSYFSRSTTYNYPYA ILTHRTLVINWVRERLIFGGLDSANFLLFDSIWTLFIALPFIVFSPKFFPALAHQYAL LGVEAATVLFWFSAFISLAVDTSNIGQCTVCSVVKAAIAFGAFEWWVISL TRV_08137 MNPTVDVFEKRIAALEGGVAAVAASSGMAAQFMAISALAHAGDN IISTTNLYGGTYNQFKIFLPRLGITTKFVEGEDPEKIASLIDDKTKAVYVETIGNPRF NVPDLEAIAKVAHDKGVPLIGERFFEADGFQVDNTFAAGGYFCRPISHGADIVVHSAT KWIGGHGTTIAGVVVDAGTFDWGKHAARFPQLVEPSEGYHGLKFWETFGKVAFAILVR VEILRDLGSALNPFGAQQLLLGLETLSLRAERHASNAMALAKWLEKHENVAYVSYPGL ESHESHATAKKYLKNGFGGVLSVGVKGGAAAGTKVVDGFKMISNLANVGDSKTLAIHP WSSTHEQLTEDERRAAGVRDDGIRISVGTEHIDDIIADFEQSFAACNAALADRTA TRV_08138 MLFSSEPALQAAAAHYSQKPPKGTPESVPVPNSELPGRSKVYRH WKVGSKELPKTLDPKVRTGHDMFESAASLYPKRPCLGYRPWIPATKSYGPYVWMDYQT VQKRRAAIGVGLAELHERIGVQGRNYGVGLWCQNRPEWQLVANNNLDMACMSQGIFSV SIYETLGPEATEYIIQNTELACVACSLPHVPTLLKLKPRLPTLKFIVCLEDLDSDEPQ GHTKRDILNPIAKELGVTIYTLKEVEELGASLNRPYTLPSPDDIVTINYTSGTTGLPK GVVLTHANAVAAASCSLSAFPQGPGDVACSYLPLAHIYGRMIEQTLLWGGGQIGYFHG NILELVDDFKLLRPTVLASVPRLYSRFGGAIRAATVEQPGFKGALSRHVVATKTTNLV DAKPQDATYKHSVYDRIWTKKVAAGLGFDRMRCMVSGSAPLDASLHQFLRVAFGTNIV QGYGLTESYAIATCQGNYDLTAGHCGSVAIAQEACLLSLPEMDYSVDDKPYPRGELLL RGNTVFREYFKNPEETAKAMTEDGWFRTGDVCQVDEHGRFHIIDRRKNLLKLAQGEYV SPERLEGIYQSSCPYIAQAFVHGDNIQSHLVAILGVQPDIFAPFASKVLKKQIAMGDT EALKAAASDEKVVLAVLRDMEHAARVNKLSGYEKVKNVALMVDPFSIDNGLLTPTLKL KRPQSVKMYRDVFDKLYSHIPDLSAGKGAKAKL TRV_08139 MRFSYSSVLLSLLALSSSTLSAASSLTVALPSSVRIGSLPSSTY ATLTTISQPNGPLKAPLSHLSTFVFDDISPPIASTGSEKPISYLLDIHSKSHVFAPYR VDVSSETGKIIGVWETYRGNPWDNKGAEKIISGGDYEPEVVVVEAKVLAKKDFYEQRE KFSPLALLRNPMLLLAIFALAVTFGMPYLIENMDPETREEFEKQRAAKRNKPANPAQG FDLAGWMAGTNPNLIDTGKPAGSSGREQESAAAAMRRRG TRV_08140 MPDEHIPGSDGQPVLSEQSAIPIVDHDPDHANNDNILPFGLAND VPSVVPVWLSESSKSFRWGWVPLPLRKAGRATVKWLKGPDPPHNLRFEPLFPSIQEAP VLFLEQYFPKRKQKIFLLLGLYFAWFLSWGLILRHSASSGHIEGYGIPSPIGCSANYW PFEASSFAFRCPAFCTSTKLLNPYIVGNQTLNYQPLIVGGPAPGAPKEDAIYRADSYV CQAAIHAGVVSGANGGCGVVQLVGSSDEYFASEAHGFNSTGFSSKFPKSYKFVKLEGK EFKCPRDMRWVLLAITATTLALLSIFTTSPAVFFFSTFVILIMHVGLVSDPPGVAGMA ELLSMLFSRLLPASFIAYVLYLYCAVPLLKPLSSPPMYQITRTILYLVPAFIGALNNY TFASWIPIQRLTPHDIQNQPGAPVALAIVLTIVISIVLTQAWQIRQGGLFFHFIKIYL VIAISIFILLALPGLRLRIHHYILAILLMPGTAIPTRPSIIYQGLLLGLFVHGVGRWG FASIIETPDALGEIPGVGTWWGATSPNITNSSATVSLASPYESHYFPGNGNITFKLWD RKLMKELNVDGVSVLINDVERWRGYVDETKKGIFTWQRRGRQGLSTRKLSALSTLGES PLKNYYDDDDNDDDDNDDEINFPPQDLFFRFAFLRGSTAGLYGGVGVWLKNGTWVPPP PPRT TRV_08141 MGQPFTYHEVQTDSDIEDSIRMKRKSSRSSDRRCWATLFVFLLG ITTLNVIAVWKLWDLSKTTASHTHMASHTHSPSTLHSSVPSSTEPEPITNCGKSRAEA ISRGCVLDIMAGAWLPKICYDEELALDVLSNSTDLAKIGGAGPLPWWEDHNHTIPIAA DSLKNLDSLVANTWEPYHSAHCLYNWRILTKATKRVRWGEKGVYIHTQAINFNHVNHC NEALITQPPGLGRKTQVEFGLGTCVRLDKD TRV_08142 MKLSVSLALVMAIASAYAAPVMDANIMKSERHGTSAGKGDYLVY IPPAEDDEDYGKIARRETPATDAGANTYYGFYVPAAEDDEDYGNDVQNA TRV_08143 MLTKHGLTLVLNVGQFQPDKPREIPENLTANTISDQPVAIPHED RALYGLQEGQVKMMEKYGGNFVAHIEVFHHLHCLVSTKISLDYSDWIKAD TRV_08144 MHMEQPSDSTKKPNEQEEAQRENEMKERRRLQNRIAQRNHRRRK HNRNKSISQEIPEGIDTQEPLNHVFGRDIQQASENSLLSSPSSATPGHVADAQENSYA YLSPPNSLSPEILLDKPGCHYEANDDYCVPIGPESLFDSKPFEGPRMNLNTEPRDTYS LSPFIASRRGAQLPLGTGFDTVRNLDQPMGIYLQPNLGSVEPSTQMMQISTPEEHPGF VRQSSAGDLGVPGLYIKTRTCPNTVDRSIQFPDSSLIMPLHADHESKLDGKVALHLSA ERGHTSTVKCLLEYGSDIKIKDNSGATALHYAAKMGHTSIVMALLDNGADGNTKDYQG RTPLHMAAERGHEDAVRLLVESGVDIDA TRV_08145 MTASGGSEADGRDSPKYTSALDEKELSTVQAIAANGAHESDDEA TGLRKELPTDEEIATLRRVPDKIPWIAFSIAFVELCERFSYYGTTAVFVNFIQQPLPK GSTAGNSVGPGIITSRVPGALGLGQRASTAISTCMHFFFPNIKHHLSLANLTPVNSFW AYIMPLVGAYIAEEHLGRFRTIMYSIACALVGHTILIISAIPPVIKNPNGALPCFVIG LVIMGVGTGGFKSNISPLIAEQYTETQMYIRTEKSGERVIVDPAATVSRIFILFYLMI NIGSLCGQIGMVFAEKYVGFYLSFLLPTLMFCLCPLVLFICRKRYVRTKPGGSIYYKA MKLIGLVAKGKGSWNVAKMGRDFKHPDSWNACKPSRLANKPAWMTFDDAWVDEVRRGI IACSVFLWYPLFWVAYNQGTTNLTSQAATLELAGVPNDIINNLNPITLIVFIPIMDRI VYPSLRKSGIRFTPIKRITTGFFLAGCGMVSSAVLQYYIYKTNPCGNDASKCKTEYGK NSPISVWVQAVAYVLGGVSEIFASVTSLEYAFMKAPRNMRSLVQAFALFMNAISSALL QALVGLSADPLLIWNYTVTACLSFIGCIGFWISNRKADQAEDELNQLAVADFHAGDKE GEKAA TRV_08146 MDNGETPSRRPLPLPAEWVSADAYLDSLLSFATSSQLFKNFCGG VHILDFLIREPDLYTSVIPEDWRQFFACHEILDILDLLMREDISPFMNADEEKDMPEK RDTWRDGPVPPRSLVEYIHSIRRHALLREFTPAGEQPDIKRPVGRKGIPYHVFTGMKP KKCHEVENFAKYVASLTEDVDRIRGASGDDATTTAEQRISHIVDFGSGQNYLGRALVS PPYNRHVIAIERRHNDIASAKRKDIRAKVAEKTIVMRNKKEHRAKLKAAALEGMDTPT TCSPGEKDSTDTLVLQQDKQEESPVDTAATILLVADSGSVENGEKGEETAKSGPRGLL DYVEHEIQDGYLEPIIEHIIGPAEVAREQDKVAANGCMSSPAAASLSSRVMVVSLHSC GNLVHHGIKSLILNPSVVAVAMIGCCYNLMTERLAPATYNLPSLRLPNSRLEQTSNAC DPHGFPMSRRFEQYSHENGNGIRLNITARMMAVQAPYNWGKEDSEAFFTRHFFRALLQ RVLVDYGIVPVPGRASSSSSDTARREDETQPGVTQQDTEVPGCPLIVGSLRKSAFLSF TAYARAAVAKLVHDPQYGNAIKQRVEGLSDDTLEAYVARYESAKKNLSVVWSLMAFSA SVVESMIVTDRWMYLREQECVKDAWVEPVFEYSQSPRNLVVVGVKQAAIIIRDKHAPG VCHISSHLFIRPAAAAISATAAHFSQPTLPGCPDPDSVRNAGGLIRGPIQVQRSAEAE VEVEGEVEAEAEVDDVEIASCDAVLEMSQKGSTDDRPSTSNIASNCPQPTEQQPTTPT TPTPTTGNSALEDRQLS TRV_08147 MNSDMDPFLQVQAYVWPPSQLPTYIRTTHLTTIYNRDILSTLST TRPLFSSYQRIRSLATSPSNPELIQAREELEATVADLSADLKDLVESVRAIEYDPYRY GLELDEVERRRKLVDDVGKEIEEMRQELQRTVHEHPGTTGKRASSGPSSASAAAAGGS GLPAPSTFDNLLDEEGQERGEDYYSEMEQQRQVELMQDQDQQLDGVFRTVVNLRQQAD DMGRELEEQSVMLKDVDTLAERVGGKLQDGVKRVGHIIKKNEGEWALSSFPPFSIDTN TNMRQIQCRAAALRF TRV_08148 MYNSANAAKLTKKICLFPTLKLRPSPASHQPSTIIKHQLQLQLS IGHRDLAGDATLGYTDMADAGDDQVPLLERVEMPSKLPKELQREMTLLQDEFADAQVE HMRVGVNLMRPVYAKRNELIASKLKDLDFWPRVFSNLPAEIDEFILPSDAQILASCLK NFNIERIGVDEATGQGEPRSLRFTFEFDTGDDNIWFTNEKLVKDFHWRRELKITAAGK RRVWEGLVSEPVRINWKPDMDPTHGLLDAACDLAEAEKAFIKKEKKDKISEDERMNLP EFEKLVELAAKVEAQAAPDNEGEEDGDEDGASPAGLSFFAWFGYRGADVSEKESEAAR KEDKETTEKRRKGEDIPGEDDDDDDDDEEEEDSLAIAEIFADGQNVAIAFGEEVWPEA LQSYVDSYMVPDDFEDFDELDVEEMEALVAGGEDDDDEETGEKADKEERPRKKAKKA TRV_08149 MPQARTIAVVNATGRQAASLVRVASAVGYAVRAQVHSLEGVIPR ELASLPGVSLLHGPLLDNAALMNSLFQGATHAFINTTSQAGDEVAIGRALADAAKRAG TVQHYVYSSMPDHSVYNPSWISLPLWSCKFAVENYIRQLGLPATFVYAGIYHNNFTSL PYPLFCMELLPDGGFEWRSPFPPDIPLPWLDAEHDVGPTVLQLFKDGPKRWNGDRYTW SPKVEIKVSVPSGYREQLEGIEVLFGQMKAPYFPNPEFHTPARIAGSEKPVVLEAGPD GVVPLPVVDEARSLWEGWRSMEEYAREAFPVEEEANGLDWML TRV_08150 MDKLKEYGLRPESRVLIIMTGGTICMRPSPSGFVPARGFREAVL EPSPTFNDGSKPGDLEVAVDGSGQRVAHRSLRTPLSSYNTRVRYAVLEFDELLDSSSI DARGWAQIARAVAWNYTLFDAFVVLHGTDSLAYTCSALSFMLQNLGKPVILTGSQTPM LQLQNDAADNLLGALVVAGHFMVPEVCLYFAGKLFRGNRTTKVAASDFAAFASPNAPP LATTSSMKTDVAWHLVRKPTSIEHFRIRTNLDTTHVACLRIFPGIQPEMVDAVLRLDG LRGLVLETFGAGNAPSGQDNRMTKVLADAIKRGIVIVNVTQCLSGSVSPVYATGMTLS RAGVVAGGDMTTEAALTKLAYLLAMHDATPESVARDMSLDLRGEISDHHHPRFRHPDG TLPDRVKTLTALGYAISHGDLAQVTDLMRGGQEWLLNDHDYSGNTPLHLAATSPEISI LRYFLLHGASVHLRNNTGKTPLFLAANAGLVDHVELLRRSGAHLHADELAVAELHARR LPDIWALAGVDVPSSTQQNGERAEKLKAEASKPGQTLETSQTSEISNEDKE TRV_08151 MPVISINGNDLNPENQGPVLRAFGLESEDASKSNYILIQTKELL EDEQEGELERLGVDIQEYVSQKTYLCCYKPNDLAAIRSLPFVTWANVYMDMFVVQSSM KSASVPNAVAGFAKAVPKSSRRRMVDVVLHHDVDPTSQEIQEALCMATRADTSSMNIG AKKVRMMVQDQHLDEVAAIDGVRSIHEVHAAVLFNNKAVPIIKGDANTSGDHPIGTAE AKDKASALPYEGEGQIVAVGDTGFDKGSTTDTHPAFKGRVKHLYALGRTDRSDDPDGH GTHVCGSVLGDGTSEKMGGKIQGTAPKATLVLQSLLDSQNGLGGIPDDLTKLFIQPYE EQDARIHTNSWGSNAPGRQLPYNVNSEEIDRFVWEHQDMVILFAAGNAGIDADQDGTI DKNQIGSQAAAKNCITVGASENDRPDIEKTYASWFPNKPFDTDRVADHPNGMAAFSSR GPTKEGRIKPDVVAPGTSILSTRSSKLLKPSTTFGTSADPEWFFNGGTSMATPLVAGG VALIREALVKSGNKSPSAALIKALLINGAVELPGQYVPSEAGPSPNSSSGYGRVNLKN SIPPAAKEGDNPASGYREGGPLTQGQTDSELVIKVPKDGGQTLKVTLVWTDPAGASLQ NDLDLIVTAADGSERHGNMGDKKEFDRANNVEQVVWAKVPGGDVKVQVRAFHIFKREF AQRFAVAWSLN TRV_08152 MLREESFVLEGHMTLLNPWTSNFFNFVNFINFNFNLSNVNNIIV ITRTTTG TRV_08114 KLRRSLHLLQHILGVMKMPQSLKLLFSQSFCRISRAFLPTRSLS RVSAAQNAELDIQNGKGLASGLWQFYSELTSQSADWNSHEDFFSFTRGRFVVAEADQL AQRCVKFNMNELARIAAQSVGARACVNVQKCPDGMYNKCFVLTMDDGQEVIVKVPNPN AGLPHQTTASEVATMDFARNVLGTPAPKVYAWNSGTTNAVGAEYIIMERMPGVQLRQV WSSMKLVEKMNLCLDIARYQSAWLSVTFSQFGGLYYTQDVQNCFSQQKSHLYVDENGT KVQNSRFTIGPVTSREWLDCGRAGLECDRGPWHREKLAISTLGTLPKQTVMVCGPGLY QPDRSKKLSAVEWYLQIVDALIPTESGSITTPCLWHDDLHDENIFVDPSNPSKVTGII DWQSVNLLPLLDHNPNAAFIDYDGPEPENLDRPELGNIDNLSESEKEETIRKFHQKAL FIASRKIMLKKVPKAYDAIEYQQTESYDLLVLARRLLEFGEAHFQALIVELREAWAGL PANNTNMNHTKPFPITLTEDQIAEIETDCQNAIRGMQIMNDFKARLGPLWPDKDAVGN EQYNATKDALRVLREEIIQGFAKSEADKMEIKRQWPFDE TRV_08115 MASFIIPDDGFNVTDHGPDVVPPLILQAEVQTLYIEFLKMDTDG RTAEEIASLKNHAILKADFVRDVDIYSGARINMVVNFDVLSETSAGGNSHKLGMLLVR PIKYRASSFSSACTAVLPLKQRVTFEHLIRAITDNNLQYFYFCTVDEKYYGCRDFV TRV_08116 MPETTGLTKEYRGVEPPAGVHLPTVAEILEMCTADDKHPAGLAF PFEAPVFWIKYGFAVEWNEVLAQAMAHRELLKLRSRARVPAVFYAFEIARKTYIVMEY ISGRSGSQILESTSEPAERKEVYRQVAFMVQELLRIPIPPNSRPAAIDGGKFTHLIFS DYEASRHYHNADQLEQHFNLFMKMAKREPKIQGLSREPLVFSHPDIWPGNIIIGDDGC TTIIDFAGASILPSSFVKYSITTTKKYREYDLMPWVDIPCPDGIDNVKALFAISGPMV MGSGSFARAGSRVPGHGDPVPEHNLKGDDGNFSICPSYDVHDERNTECTILLLSEEMR IKQPATAQNSLTKYYFHLEEKYG TRV_08117 MYKEEECRPLLAPEPSSEYQPTPHTKKELRNIENVTVPETATYG RNITCGGAYILVMSQMIGCSIFATPGSVVRSCGSVGLTLLLWLVSATVRACDLGIYTE YGCMLPRSGADKVYLEFTYRRPRFLASTLFAIASVTLGVSATNCVVFGQYMLFALGME PTVAAQRGFAVGLLIAVCIIHGYFYKLGIRIQNFLGWVILSIVGFMIFTGLYVVLFQK RQTISGKPELFSWGGMWQGSNWDFGVLATSLLQVSSSFSGLCTTNVVLNEVQNPIRTV KVVGLMAFVTVCILYALINVSYFMVVPIEEIKSSGQLVAALFFERVFGPGFGKTVLPL LVAISAAGKVMVTIFSSARVNQEIARQGFLPFSRYLSSSEPFNTPLGGVVVHMIPTLL TILLPPPGDIYNFILDVQGYPGEFFGIAITFGIILLRWRRPELQRPFRTWVPSVWLRL VVGFLLIIAPFFPPKNGRGDVHFFYATYAIVGVGIIILSIVYWWIWTIVIPNRNGYRL EEEIEILPDGTSITRLVHVGKRELQS TRV_08118 MGKSIILTGASRAIAKYLLQAPHRCNVVVVARTEAPLLELKEQY GTQVQVVCGDITDPQVAHKALAQALLSYSKLDGLVINHGVLPPVTRLDQFCHREWVKA YDINFFSAVELVKQCIPHLRLVRGRVIFTSSGAATGPVNGWGMYGSSKAALNHLNMTL AHEEPEIVSISIRPGMVDTDMQHHLRCQHLGILGPKDSAKFVKAHEEGKLLKPEQPGT VIAKLVMNATHDLSGKFITFVFFLP TRV_08119 MDNTNPTLPLATVQKIITEILPPSSGQTFAKDARDLLIECCVEF ITLISSEANEISEKEAKKTIACEHIERALTDLGFGDYVPDVLAIAEEHKEQLKTREKR TNKIDQSGMSHEELLRLQQELFRSAGEKYNSGS TRV_08120 MFPAEKKGEWEPHRIRGRVVKVRKVVSPKPAAPATESSVHASDE GAAAASGSTAEDSSKQDIEMKDAADDKAKETQATGEIGEKPAEAVEETEEMTVYEEDT MSDEGAVYALHNGAVVDWSCFFALLTHVYNTLSPPFHTPMIIIAQPVWTAADRERLTQ FVFEKFKTPAFCLMDSALAVCYAYNTPNATVVDVGHGKADVTAVTDFIVSEHGRGIAL EGCGGQALTDRLLELLGPKGFTREMCEKLKRNPICEILPPGTPLPKDNGSDSANQESI KQAPAPGMGPDMPRGLGNGEKEDDDGVLDVASIVSRGNASEFIAKREKEKAEKAASRK GQAAESAAARQARLPNSKKARAIFHYEGLAEPHAGANDQTQPTKQKKEIEVGVERLMA ATPLESGADERCGYSILETLAAQIHHTILSVPDASTRSSLWDSLIILGNGSKVKGFPQ ALLSTITQKYVLSPSSGTIFTSEIPSTFSTPLATGANTPAQPQNPGPYLHPAAHGVNP LLVAATHSNTPATPNNLNSNAALSADPNMLAHHKSTGHSQTPTGIKLLKPPEYFPEWK EQGSSSAAAAVPGTNPPANTDASNANAATGVASGSNNVGMEEATFLGAQVAAKVIFIV DQGASKGYLSRVEYNDAGPTAIHKYCL TRV_08121 MPKPTAKTSSSERRHIPLADEITSAGHLRTKSGSKRKSRSEDET EDDHYLDSKSSKKILQIGRDLAEEDAAETRAAAEAAGIDLKAKAAFDFESRLAGEESR YEDDEDIVQYDDDAQWEDEGEIEEVEVDPNDLDMFHKFLPRDEEDPVFHPREGGTEGN GESTNLADLILEKIAAHEGGQSTEPLVLGGGAPEDAIEIPAKALEVYDKVGYLLSRYK SGPLPKPFKILPTLPYWDALLSVTKPEAWTPNAIYAGTRIFISAKPHIAQQFISMVLL ERVRDDIRETKKLHVHIYNALKKALYKPACFFKGLLFPLIASGTCTLREAQIVSSVIT RVSIPVLHSAAALLRLCEISAEQTISSFNAEGTGATNMFIRVFLDKKYALPYKVIDAL VFHFLRFRATKPDENGDANMNGTGSYSAAAARDYKLPVLWHQSLLAFAQRYRNDITED QREALLDLLLSNGHKDIGPEVRRELLAGRGRGVVVPEAVENNGGDDTMDMTI TRV_08122 MTTGGEKAREKAKRTKREYKKRHDAKRQDTGDGGYERKTTVSSS SSAIPSLELPMLMPIPVADPRPADVLHPKPRQMNLSCTKKSEIIGQEYKFYDIADKYT YAIEDPGFPHIRYRQTDVPPYHSRFSFEDSPACITFTEDARGVSTSDPWHSARANVCA REGTYYYEAKVVSGVIRGSQPGPSPRGNIRLGFARREADLDVNVGVDCYGYGIRDVNG EVVNRMRCEYFFPKDESICEGDVIGMLITLPPLELHKKVVEGTYESPAEGDEMDVDHP TPQPVPINFIRDRIPFHLKSDFMYQQSHVFASKHLRDYAFNLKETPTYGPPSPGNAED ASLRTLPGSSITIFKNGVKMGTPFQNLYAFLPPASRFAQASNNLGIGERENADDGMIG YYPTVSCHNGGAVDCRFEAPWFIGPPTDEFPNVKPFGDRFNDQICEDILADIVDEVDA ICTGWKLELPVAHTAGGTNASTPAPSNLREASVASAPTSVTIDGAPDTPSAMSQAME TRV_08123 MSFLREAEKCLLNQKTFASLNAFITPLERSATWRGRAQAADYRR GKAPSWLISIEQSIDVSISPLDGKLIGVKDNICTSELPTTCGSATLQDFTSPFNATVV DLLENAGAIVAGKTNLDEFGMGSHSIHSHFGPVKNKNGGDEFYSAGGSSGGSAIAVAT GQCHAANSSRALGTDTGGSVRLPAAYTGVFGFKPSYGLISRWGVVAYANSLDTVGIFA KDTSTIHDIFGKPERFIMQPLSITNIRILDVVNRYDSRDPTSISPTSRSRILTAVREA SARPTRLRVGVPFEYNIHELSPEIRSAWQITLEYLQSKGHSIHPITLPATKQALSAYY VLAPAEASSNLSKYDGVRYGTRDIESADNAGDYLYSNTRGKGFGAEVRRRILLGTFSL SADKIDNYFIQAQKIRRLVQADFDSVFRLQHPLLHAASTTLGSRDLVDKEDAGRNAKI DVIICPTAPSAAPAIKALENSSPIDAYTNDVFTVPCSLAGLPALSVPILFDGEESKSQ SVPRHAGVQVIGQFGDDDMVLEVGKMLEEIGKT TRV_08124 MGMRDPLLEAMAEVKVNGNQLRKQAAKAEASARAQEDKAIKAMK KGQFQISRIHASSAIREKRRSVTLLSKSAEADVIYSDLSAAKSTRDSTRSLMKASKAL DSASRSINLERTLAVANQFVSRSEDFKLAGSALEGVSKDVQMQEYGAEGDEDVDRLME RLADSAGVDLRQGLEENAAPREELTVANKQKEAEFEDGLAGRLRALRG TRV_08125 MKFSIFSAASLLATAALAGTAWEERHGLAFDPFKHTFDQLTKKG YRPTYLTGDAESNGDPRHNGIFKTHLLDGISWTAEYGYEPKKFGETIKNLRSEGYFPM QVQGYNIGKEPTEGHLRRFNGIWNKYIDGRTVRWELLVDSTKDQVTEALHKFPPKGYR LVSLSGYGIRSEQRFTAVFQRTPGPDWKAALGMRRDEYQRRTEEMRKLGFFPADLSVY NIQGTVFFSAIWQQEGGKLDKSMSRFGMTAKELEDWFNQYKEKGYEPMTVCGYLEHDS LKYAAIIGKP TRV_08126 MTESTFAVEAFSMDSMSPSPGAEIPRLTVSPADTTLKFEDVVPE GLPKVDTPKPAEKKPVKKRKSWGQELPTPKTNLPPRKRAKTEDEKEQRRIERVLRNRA AAQISRERKRLEIEKLEGEKLKIEQQNEFLLRRLSQMEAENNRLSQQVAKLASEIQTS KSNPGSPASASASASTSSISATLAPSTSPTLAPVLFKQENDLLDNIDSIPFTTNPDPT TTPTTTTTTTSNHINSTSSTTLSPADLDHSSAAPSDLTQHPAAVFHLSIPLLHEHDHV HPPPLSDADLSRLFDADSSSEPDLSFLEDGFSLELLPDSDLGPFAFDSLVDLGPDEDN QQQLQQKLDDSIGLPEQTPLPASGLQPSFGASLERCDEQGIAAGAQ TRV_08127 MIRFHISSFWRRTGTRGTPANRNLHISCRGKPISEDKLFGYTNG GFLVNEKSQFDRRYVKFNLDALCDLVATAGGQPSPVKAVEKMEGGFSKVLLMRKENGM EIVAKIACRNAGPAVYTTESEVAILKYMKQQTSIPVPEVYTWSSDPTSPVEAEYIIME KAAGVPLFKIWGEISLSDKLELVKRLTAFERELCSLQLPAYGSLYLRSFGGGLPNFKP LDIEADPSQSYCVGRSGDRVYVLEDIEGPGVDLGPWDTLATFGIAIARREIARALQGL PPHPGTFYQGSCEEKEKLLDEVISLMRMLSSTSHPVPAQLGKPVIWHTDLHMGNIYVS PDNPSQILSIIDWQSISVLPLFLQARWPIFLEPPDNFVRGFQAPKLPDNLREMDEEDQ QLAKFEYRQAMVAKTYEVSTYLENKEAHTAMNLPRVFRELFKRCGETSEVGILPLRAC MIEIFQNWSELGFVGECPYSFSENEIAEHAAQFTDYEDWYKANEIARKCLDTDEEGWI SPELDIEEKRRQNQELFETFVKQMSTEKTLEEARRMWPFVDDNR TRV_08128 MVAPRASALFAQSCRRTLLPTRVSFDRRRYISAYGYTQAKAIVF PKYGEPKDVLKSAFTSLDVIRDCLERVFGTQCTLRLLAAPLNPADFNQIQGVYPTKPT FTTSLGTIEPHAVAGNEAAFEVISTGSGVKSLRKGDWVVMKHSGMGTWRTHAQWEESQ LIKINEQDRECLTPIQAGTVSVNPVTAYRMIKDFCEWDWLRGGEEWLIQNGANSGVGR AAIQIAKQWNIKTLNIIRERETAEETEKLKNELLSLGATAVITEADLLSPAKFKEIVH QQTRGGREPIRLALNCVGGKNASAMAKALAPNSRHVTYGAMAKVPTSLTAGLMIFNNI SFHGFWVSRWSDQNPVLKEETIRDIFRLTRDGRFKDIPVQEVKWTRDTSKDKLVDSVQ GTLGGYRSGKGVFVYSGE TRV_08129 MVHANNQELKEVTSDMSASMSTAIASNENFIETNTGSPVAIQIR NRHDSPSSLFILFYFIYYIRGMLGHTPEAIHIHQQKSRRAQPYWHLWKISPNWLAGWL VGRVVGNSRVNNKKYSSNNHRKETVFHPLLPMLDSAALVLLGLAGVALGSQPQAPDPI PAPLRELTWGQLNFLHTTDVHGWYSGHLQEPSFSADWGDYVSFATRMREKAEAAGTDL LVVDTGDRVEGNGLYDSSDPKGVYASEFLKKQHIDLLCSGNHELYKQNTSEAEFRTTV PNFKGNYLASNIDIADPESGEIVPLAQRFKKFTTKMQGIRIMAFGFLFDFTGNYNNTV VTPVEETIKQAWFQEAIRDREVDLFLVIGHVPAKSKDLASGRFMETIGFASLSGLSTA KKQTSAARTSPVFTRRYIDNNLFSLYHHSGHDESTFHTPEGKKVTEDIRKARSALKLD HVYGCAPKTLWMSRAKYPSDDSIYSWLEKEVLPSSLRPHLRENTAGLAIINTGGIRFD IFKGPFTQDGAYIVSPFTNEFRFMKDVPYDKAVMVLKVLNKATNILTQAGFMPMDSSS LSPPEQWSRTEDFIAKADHIPLSHEANNEQNPLQDGDEPTLTPGYTTKDDGGTDGDDT VHNPVSFYRAPNCIMATITNDDDSSSTPDVVDLLYLDFLEPWVTVATKIVGIDFDPKK DAEAFMPGVQMRSMLTAWASQHWSCPSS TRV_08130 MLNFDIDPRRSRLRDPRDHLVSSTSRQQKKRTSTFFATKQAEAA DEEDDDDDDARPAARSTRRNPEAAQILRPASRLLAPRSSLPAARFSAFRPAVFTQPVA QRRSYAAPSGVKEVTVRDALNEALAEELTSNEKVFILGEEVAQYNGAYKVTKGLLDRF GDRRVIDTPITEQGFCGLAVGAALAGLHPVCEFMTFNFAMQAIDQIVNSAAKTHYMSG GIQPCNITFRGPNGFAAGVAAQHSQDYAAWYGSIPGLKVVTPWSSEDAKGLLKAAIRD PNPVVVLENELLYGQSFPMSEAAQKDDFVIPLGKAKIERPGKDVTIVTLSRSVGLSLQ AAAQLKSKYGVEAEVINLRSVKPLDVEAIVKSVKKTGHLIAVESGFPMFGVSSEILAL AMEYGFDYLQAPAIRVTGAEVPTPYAEKLETMSFPQEDTILSQATKLLRL TRV_08131 MGKEVRPALLSTRLTSPSTSPSLVLLLALWTAALHLVSSAPMPN LSMKIPRVGNPVLNGWYADPEARIFNHKYYIYPTVSTVYENQTYFEAFSSPDLKTWTS LGKVLDFKDVPWSTNRAAWVPSVAFKDGSYYMYFSAGDGVGLGVARSSSPEGPFKDAL GKPLISESYFGAQPIDADIFIDDDGRNYLYYGGHSHGVVVELNDDMISLKGEVKEITP KAYVEAPWMLKRKGVYYFMYSTGGKSPLGPFDGEPVKILQGDTAIGTGTGHNSVFSVK DDYYIVYHRRFPDDNERDHRATCIDRMYFTDDGRIEPVKITTEGVEARVPW TRV_08132 MPPTESARSALNAFTCTLCNKSYSRHPEYEAHIGSYDHQHKKRL RDLKQLSRDPNAAEKARKAERKANEEAGLIIVNSSGEKGGGSGTGSASTGGFKKGGFK SSFSVVAGGGAGSSSTVASAGAARPKKNVLGDEDDEEDITQRESVPTKASGPTVNTSK DRGPLQADKEVESDTDEENGNDDIKAGGGYYDPRRPTDCFHECPGAKASVC TRV_08133 MSQQALYLQEIDPSPLDEIDGDIDPEAADGSSKASSGPSGGHDL PSRPKLGLSGHSWDYWLSAVQKYSTYPPTVILGLHFTNTALIPLATRSVSESETFLLL TRPIYQAPGLEPLIVYLPILAHITSGVILRYLRQSRRARQFGAETREQRKAIKSTGRP ASVQAMLGYAMVPLIGSHILVNRLVPLYVDGGSSGVGLGYVAHGIARSPWLMGAWYAA LTGIGVWHFVGGWAWWFGWREVLVTKRTAPSHGSSASGANGGYLGSQKGTELYQRKQK RRWIVNGTSLVGTALWLAGGLGVIGTGGLGVGWEAKGWDALYSQVPLLGGFLVPDK TRV_08111 GSSQLHLMLRKPTDTALTYNATYDDLTRPQAGPANPFKTENSGV KRKNVLTGYAEEAAISEATFVTQQRTFQSLGYTKDPTQPGAFVGNMEQVARYGGKDVV QMRPSKEESAAIRRKRQKKGDSSIVEGEGAYLGPWAKYEADELADREAEALAELGSDE EYIEEGIVPSNMPAMDKNATAYQEDLSRTETTEFHGTEERDYQGRTYMHVPQDLDIDL KKEPGSVKNYIPKKLIHTWKSHTKPITSLRFFPGSGHLLLSSSADSKVKLWDVYHSRE LLRTYSGHANSVSDTTFDPTGATFLSASYDRQIKLWDTEYGKCIQRFSTGKTPHVVRF NPDPDNSHEFLAGMSDKKIIQFDIRSGAITQEYDHHLDAVNTITFVDNNRRFISTSDD KSLRAWEYNIPVPIKFIAEPHLYALVRAAPHPNGKYVAFQSGDNSIVVYGATDKFRQN RKKLFRGHNNAGYAIDVSISPDGQFVTSGDSGGYVCFWDWKTGKMWHKIEAGGKEGSA ITCVDWHPQETSKVATAGLEGVIKYWD TRV_08112 MSSSNAPSSIDGTSALTYRRTFFIVAAFAGTLALTQLHRYFFSS PTASQTLHRRNAVRHQRHSQRRGGISLPSGETYESSSPLAPSLALAQREREGRSYGHF TLRVDAEHTLQCPLLPSQLASVETIQLEIGASLESASLMRHMIEDEFLTRFLIAEFPP SHVILLSTGEWRYLLNELTSRGISEVAVNNAFTRFNENPHFGEQPDTERPVGQEDANL VVNTDAMPAEQPPPPPPQPEIGDTTIDDQSLFSWRDGTNDTAPPREGQNLLNLLYHIA GDQARRDGYIHRGVTCNSCGVMPIQGIRYRCANCIDYDLCEACEASQVHILTHLFYKV RIPTPSLGSVKQVQPVLYPGKPNMLPQTLPRNVAKRLKKETNFENTEVDALWDQFRCL ANVEWPEEPNGLPLAIDRKTFDRCFIPNRPPPPSLIYDRMFSFYDTNGDGLIGFEEFL KGLASFGNKSMHERLRRIFAAYDIDRDGYVERKDFLRIFRAYYTLSRDLTRDMMSGIE DDFMESGSRDVVLGSQPISAAFPGTIPGSDRSRVGEGKRTNLQGDLEVIDNEAVVRED GDETGDRDIVLGDAALRDRLGPNRHRQRDPSRSDNTTQGPYYGPRSSDSSADHGDGFD LVCAGPYPCENFLNWPPAEHVQREDIINALGAYVPLEDVTDFVDRARIGTCLIERLNA ADAQHQSNIRREGIEERWRRRAFYLEEEEGTSGRPMYEGNEDDYEAAASDGECNDDSD WHNPTTRSRSSSKVRFQDDVTDNEYETRSNQSTSSRSIQLGERWGGYEIPEVERDAGK EILYQVTQQGLNELLDLIFKPKEDLLMEAYRTRTERKRWAKEIERFQERGYRVKDIKN CNRRNPNGDDTDDPESAGNKSLKDLLQESGFSVDPEFLEEVEREEEDGLDQAYSSQQE GSVISDHLSDHEVDYMPIAPESNNFQGDTESPSSSENNIPLPPDPTLPQNRPNEEDLV ALEHMPHGAHHSSRPHSAEPHPIYESASTSTSTSGPSHHRLSSQRRSRNRSLPLRLRF QQSPPPNRTRSNSGSSVASSSSAPSVKNAVLPSPPPSPKILSRWAKLNLAEQEAKERG GSGAKLNFEEFAMRMQGERGKRLGFVASWIDMTTF TRV_08113 VFQGIGGGGLSTVVSIIMSDIVPLRDRGVWQGVINIVWSAGSGL GAPLADTIGWRWAFLAQGPCCLLAFVVVGLTLKMPATDQSNWKEKLKRVDFIGAFVLV VAVFGLILGLDRGGNVSWTMPLTIISLSVSAVAFILFMVVEGRFAVAPFAPLHITFGR DLVACYLTNFFSFGGWLAAIFYIPLFFQASDGSSAASAGIKLLPSILLGVSGSLFGGW LMKRTGKYYWITFYSYGLLVVGLVVITLFSGIIANSTPMIIIGMTMCAFGNGIGVTTT LIALIANASPEDQAVATACSYLFRSLGSVISISLSASIVQQFLRSSLRSALKGNKNID EIVDGVRQSLDYIKHLDPQLREVVRACYGRSTTTAFGFMTILVFLSFISAVFIREQKL SA TRV_08105 QQQQQQQQPNGVGGAANGYGAAPGGPPGAPGAPGPATAALPSTN GGLMTPGVNPHAAAAAAAGGMQTPMASLPGRPSLPSQAAPPSAAAPAAAPARAHSTPK AKQARQSTSSLPAPPLDDVHAAFVEFRAKEEDKVGLFFTPFYSIIYPCLSVQCIYCQQ VRAKNTSRQRQHLLECPTYLNVMKDSIPANNLQHTFPEGDIARSLQLPAPTLELDFRM SIKLNPSVSLGHSIWGQRDWVTFVGGQWAGRWGKGVVLPGGQDSQVVVKELATSMKSS YLLQTSDEPPAFIMVKANGWMTGAKEVLEKVADPTVADSINPNTYKYRVNISMETGDE RYAFVNTIMWVGSGCRRGSEGISLLLFFMI TRV_08106 MAKPRQGLPGNSGHMHISLVDESGANLFVRESRDPSPPYPDLAY ISDIGRHFLAGILSGLPDIMPIVAPTINSYKRLVENFWAPVTVSWGLEHRAASIRVIS PPTCSGKGTRFEIRVPGADVNSHYVLAAIVALGWRGVEKKLDIPIPPLPKGEDVGGSA DKGERLAKNLKEAVARFTAKESVAREALGDDFVDHFGGTREHEIRLWEEAVTDWYVLF FFLLRSLHLVSRSDLANECSLFRYCREQLLPLPRPSPPGTYLPTENPLRHGNFAASRQ VPQPGTRHGIPEQT TRV_08107 MAAESVTLDNLEEVLEDDGQVKVAGFDADGILRGKLVSKRKFLS IAQDGFGFCSVIFGWDMHDQTYFKELGISNKENGYRDLVAVPDLSSFRRIPWERNVPF FLVSFLDPETKKAISACPRGLLRTATAGLEEKGYRAMAGGMIRTTPMHEM TRV_08108 MAGGRLQGKNAIITGAAGGIGLETTILFAREGASVLMADISEPA LKTALEKVKKVVPEGAKVETIKCDVSKEADVKAMIDHLDAWGGMDIIFNNAGIMHADD ADALDTPEKIWDLTQNINVKGVWFGCKHAVASLRKNKKAKGSIINTASVVALVGSATP QLAYTASKGAVLAMTRELAIVHAREGFRFNSLCPAPLNTPLLQDWLGDDAPKRLRREI HFPTGRFGEAVEQAQAVLFLASDESSFVNGTDFVVDGGMTKAYVTPEGPATEAPKSLA A TRV_08109 MTNCPTNHVLDPGGDLVIALQVEPEQHSVEKPHEESLTVSSRQL ILASGYFREQFGGPWKLRHQPNCRHVFQLPLKCTNPEAFMILMKIFHGHSRNVPRKVT LRTLTDIALLVDTYKCLEAVEIFADTWIGALNSRLPKTYSEVAHMWTYVAYIFEKAHI FQMMTKLAVKSSKGPITSHLPIPPTVFYLRLLGHKPSQRFHYASFATISKALNQLNIE ETPSIRHRAV TRV_08110 PLTHPCCGEIDSIKKAASHIAHGMVSYYTGNHTGDVPGNLPDPY YWWEAGAMFGALIDYWWYTGDDAYNKITMQAMLHQVGTEKNYEPRNQSRSLGNDDQAF WAISAMSAVENKFPDPPPDQPQWLYLVQAVFNRQSARWDNETCGGGLRWQIFSLNNGY HYKNAISNGAFFHLAARLARYTNDESYSKWADKAWKWMTAIKLISPTFQIFDGTDSAD NCSGINHIQWSYNNGILLLGAAHMYNHTNRDPVWKDRLFGLIKGFEVFFSQKEPKGVM IEVACEAQGNCNNDQRSFKAYMARWMAATMIIAPDTIEKINPLLRESAKAAALQCNGP DNACGLYWTKGADWGTSKTGVGEQMAALEVIQSNLWYKKQASGPANSKTGTSKGDPNA GNDAGNNQTKQPSEINSGDRAGAGILTTLILLGIAGGVWWMVS TRV_08098 MGRGQLPFDSNASSGIPRKVEHPIPPSVASDIGGSSTLSTSRQK QSKRDEAIRRKMEADLSKKKFNPSKARHTRKAPPGTVLALKPSQALQIKPNTTVSEAA QLMAAKREDCVLVTDDDDRIAGIFTAKDLAYRVVGAGIRARDVTIAEIMTKNPLCART DTSATDALDLMVRKGFRHLPVMDENQDISGILDITKCFYDAMEKLERAYSSSRKLYDA LEGVQSELGSSQPQQIIQYVEALRSKMSGPTLESVLNGLPPTTVSVRTSVKEAATLMK ENHTTALLVQDQGSITGIFTSKDVVLRVIAPGLDPSTCSVVRVMTPHPDFAPTDMTIQ AALRKMHDGHYLNLPVMNESGEIVGMVDVLKLTYATLEQINTIQTNESEGPAWNKFWL SMDNESDSMVSGSQPHHRSLLSPDHRGHTGDSVLPNDSASHHGGEEAPVSEVQERPSV EEKLDPSFPFKFKAPSGRVHRLHVDPSAGIAELVQNVSAKLGAEVDTIGGQAVVEDGK LSNTGFAMSYLDSEGDTVSITADQDLVDAISIARKASQDKVDLFVHSPDSTPVVAKEP EPAAAAKAASPPESVTVAAEEETAHREELASSPKSVAQSLVQPSTDQQLINGIPNELI LPGAIVTLAAVIVGVFVLSRGGRR TRV_08099 MVKKRASNGRNKKGRGHVKPIRCSNCSRCTPKDKAIKRFTIRNM VESAAVSDISDASVFTKYAVPKMYLKLQYCVSCAIHGKIVRPQLKKEKKATDEPFFNN HSVRSREGRRNRAPPPRLRFNKDVKKLNPQQAAANAKSAQAAAAKA TRV_08100 MADVFPPSYESATTRDAWPIIAPFVLAAADLCSASLVSRAWHCI FAPVLWGAPASHFGARDDAVYALAEIYGEPPPTWLHEFLEYLPNLQSLLLSRLPFFDH RSLVALGKGRQYNTRLLLAEREVNATPAGLLALLNCCLRLTYLDLSHTTAARDPRVIL ALGQLRGLQVLKLRGIGLQDDDLEVLAKAIQTRVRLLDIRDNRLTHRGLNMLVNHCFL LNDGDGASIRENLHFGPLTGALLADSTQSEQLGDQLLHLFTRRLSGLSALEELPHVGL THLYVSGNKVSVSGLIDILHTKRLNVLDAGAASTGMEKLIPVLKSPIARNLTYLRIHH SILNRQDDVKKELPNGVLTDGKESSMIQRLLEKRPAIYTTNTDQPIYLHPSNIAHIRT LVLTDVPAFVPSPSPIISSITQFISACADEALLASLQAESNYSLPPGQYHGKAVQQHA KELFALRAIVLEISPGKKFEKPESSSAWIPTGYYQPDTFKSSTGDLDSENLWAAAAND FSFFDEDETRSTSSSAVALPPVRMVDVVVELAAFRRERRDAYDKRVRSTGDTTILPAD GHWKGEVRIQRNHL TRV_08101 MHSNEISDSEQASSGGQKNGQSERSKCRDIFLFSVSRTLSNVFC RLLSAQRGWVQSDYHLDKGFFQARDKFDWAPLDKISDELRQEYIELTHKGAEILQKAR EDAIKEVHNLVTPSQQSQKSADRRAIDTNDQQQDKHLFVKNHSFFVVEPSALLDEAQR GEEVPSLTIVPRPLAAEAEGGVSATPARTKTNTTFFPDEYLLLWQPVFMIRHPALVVE SWYRTETRVVPIGVKDRVWSYLTFRYSRSLYDWYVARATESNGTKTAADGNSASSPWP IVIDADDVLSGECLAKFCSACGMSVGHIKFEWEELPLEAQDVSKRHMSYMRDLWASTG LDQSKSSKGLDMEVRYGKWKEEFGDSVAEGLYERVQKLMPDYEYLKRSKI TRV_08102 MPIQTDRQTEKRDTDENRQHGYGQQPYQQPPYGQPSHSPQPHAP PPGGYGQAPPSPQPQYRYQDHGQQQQQQQHHGYGHGPPPNSGQMQNGRPNYQNTYGQG PPPPPNNPVSFGHGAPQGYNFQYSNCTGKRKALLIGINYFNQRGQLRGCINDVKNMSN YLNQSYGYARQDMVILTDDQQNPMSQPTKANILRAMHWLVKDARANDSLFFHYSGHGG QTADLDGDEEDGNDEVIYPVDFRSAGHIVDDEMHRIMVQPLVPGVRLTAIFDSCHSGS ALDLPFIYSTQGVLKEPNLAKEAGQGLLGVVSAYARGDMGGMMSGAVDLFKKATRGDE SFKKARQTRTSPADVIMWSGSKDVQTSADATIGGQATGAMSWAFITALKKNPQQSYVQ LLNSIRDELASKYSQKPQLSCSHPLGQ TRV_08103 MLDGEEEGEEGEEGEEGKDDAGWFSGERRESRAAAAEKRAWREK KAREGRDEGGKSKEDENFSCAADFYEDQKSTRQMGDRSTEYMGQTGRRTACFFVVFFF FFFFFFSCSASVWRLLIIAWGCCSLSFRCFSRRAYQPQPASLPAGGWADECAWKRLSV LSVLPVLS TRV_08104 MNGPDIDEVRYFADRDIFCAGRVSSDDMERVNKATGASTQSTCS DIQEHHLGECGSFEERQIGGERFNIFSECPGAKTCTLILRGGAEQFIAEAERSLHDAI MIVKRALRNTNVVAGGGATEMDLSGYIHRYADVNVPHKQQAVVKAFAKALEVIPRQLC DNAGFDATDILNQLRVEHKKGNVWAGVDFDREGVRDNMKAFVWEPSLVKVNAIQSAVE AACLILSVDETIKNEESAQPQGPPQALPQGAAQRKKKKKQQAREAEDDELHAFLHYGV RMAQMKKKKKRLDFSLLPIIEDSIGF TRV_08094 MYPVRDGEVLEAVGLDFWTKDPTCIWIVNQEEAQKRKRDGKKEK KENKEDKRSDHQIFNRSKHKGTDHVPKSTKVLDTSIHPIGLFFFLYLTNCCMHLPFSG RTSWTLDNQDSKDQPNPDREKPKTLSSVV TRV_08095 MSNLPMEPEFEQAYKELAWTLENSTIFKKHPEYRKALLVASIPE RIIQFRVTWEDDKGQTLSHLFLPDKNNKLTLAAAVNLSILKFLGFEQIFKNALTGLNM GGGKGGADFDPKGKSDNEIRKFCSAFMMELSKHIGADVDVPAGDIGVGGREIGFLFGA YKRQRHIWEGVLTGKGNSWGGSLIRPEATGYGLVYYVQHMIKHATNGLESFAGKRVAI SGSGNVAQYAALKVIEFGGIVVSLSDSKGSFIVRGGEDSSITPEEIRLIMALKDERKP LSGIASHEAFASRCEYIEGARPWVHVGKVDVVLPCATQNEVSGEEAKVLVANGARFVA EGSNMGCTLDAIEHFEAHRNEHNRDQGAVWYAPGKAANAGGVAVSGLEMAQNSQRLTW TAEEVDGKLQAIMKECFETGLRTAKEYTEVEDDKLPSLVAGSNIAGFIKVSEAMRDHG DWF TRV_08096 MREISSAQDNCRTIRPTGETGGRLEAAPRIIILIQQQAEDAMSA ASLGWWRLVRKRARLRGQKAKKKGSSRTSGTLPGAVATFQTLYLPCPLPLLVRLSSFF LLSLPRSLSCSLPTFSRTKPFSLSFPEQLDSCGTAFLLVSLTLARLYSLSLNSLPGAL CSFFLESLGPILNRLSEHTNRPLQLTLWLSVPRRTRNITYIRSIKRTTVEMAPQFLKA LTVATALGATLATALPVQPKPTVWHTTTQVVVKTITKTATVHGTPGPDYTVPPAYTTP AAPTVPTDAPQQPSYTPVPPAPAPSSSSSYSAPAEPSSSSVPAPPPPPPTTTSTPAPA PTTSTTPPPPPPAMTTPPPPPPPPATKPPVVSIPPIGGGGSTYTGPCAAGSPCTGEIT FYDGGLGACGTNIDTNGEDAIALPIELMGPLSNNNPYCGKQVQISYKGKTATATVKDK CAGCTGNNIDMTRFLFYKLIRFLVAFTNSSNSGVEADGRIHGVEWHFI TRV_08097 MVPAVVAAAAGDDNDDDDVAVVVAGGVSVVVVVVDIKMFTHGKP LNYSPLGETGGQTTEIKQYERVTTMR TRV_08064 MAPPSFPSFGRGTAAKRMQPAKRYRPGKPIADEVESSEEEEEEE EEEQPEQVPQSRPPPSSRNITSGVRQVKLEEEEEAEDEEGFVTEEEENVDDIPSKPQA HATSDRPPASSVLVKKEEAEKSESEDESEEESGSEEESEEESSSEDEGPKRLLLRPTF IKKGDRKATAGLNNGGHLATSSSALDPDAEAEELKRRKDKADLLIRDQLERDAAARIA GRKTWDDDIDESGAGVDENNIDDTDGIDPAAELAAWKLRELKRVKREREAIEMAEKER EEIERRRNLTTEEREREDREFIDKQKEEREAGRGKAGFMQRYFHKGAFFQPDSEKHGL TERDLMGGHYVDEIKNREALPEYLQVRDATKIGRKGRTKYRDLKTEDTGRWGVENYYR SNAPASSAARFGIKDERFLPDNRDGGFRRPSGPSGPSGANASVVKERRRPRSRSHSPP RRRDHSRSSSRSPSRRDRHRDDNDRSRRKRSPSPYHDRDKRRRVDSVS TRV_08065 MMASRRISVLSSLGLFACLLSPVVAQTFTYCNPLEKDDCPNAPA LGQNYTTYLNSSLNPDVWNATSGIVEISDAGSNFTIHKALDSPTIQSFFYIFFGTVEV HMKAATGRGVVSSIVIQSEVLDEIDWEWVGSEPDKVQTNYFGKGNTTSYDRGKTFDIK GAMDDFHNYTVNWTPEKIEWYIDTVLVRTLKYEEALGGKNFPQTPSTVRLGIWPGGDP QNKKGVIEWAGGEIDYEKTPYIMSVKELKVVDAHKGKEYSYSDRSGDWQSIKVIDGVS DIANEINKPPPKSLAQRWRELPAAAKIAIFASIGGLVILGMAIIAFCCVKQRRAGRRE FSMENSKFVEDQNNVMAMRTQWNHKYKPVGS TRV_08066 MSSLWEKKKFFSNTPLSPELVAKLGRDYTVYAYDYSEYETPLVG QGMLSWVLASASPTPNAPAHQSKTIVTGRVCKNTGLFARGAQETLEVKLRLVPVPTVL QSEYLESMQKYRELSNLIPHDFDAQAWTAFLKSNPGLLSGSSSSQNAAESEHTPLDRS GLEKLQQLLSDGSTPRELPTVGPGSFRADSPAHFSHNPPSRASTPGVAMSTNQQKRPV DEINRPGSRASILNPDSYRTSSRRGSIMSNYGSGDEATEGPAPKRAKLVRTDISGDVN TNIERQPNSLRVAASTAASVRIHRPTPITQTSHSLLSNEEPVRPPTPVPSLTQGPTRR NRIAPSNLRRQSLGRSDFSQNSPLIAPMPVSNSRLAFDSQATSPEEPQYGMFTDTPFN MPSSPPIMETNYAQASSPGLPPLSDHDSGFLSAQFDSLIDDDANQNDRILQQSTEQTK TMLGSAQLESSAGDSAPVLPPQPPRMSNSRPSSRASMKSVKSIAPATQRASVPASDPI RPPQPWQPQTQPLHPLASEFPLVSTPGSSQHNSKPRSGAGAKRTKQVQARLEQCIREG TVPPYCENCGAIETPTWRRAHSKVIPANAEQAEAYNSDPTILFWEPSEPEEDGSHNSI KIYKKSLAHDDLDFVQLLLCNSCGLWLYKFKSMRPEHKWHKVVPKEKPKRKLKPIRPQ PLETRARRKARNATGTESSPGPSDASSPEGDEGATTQAENDTQPEVDAEANKGNSKQT EKVRASSAEPLESAEKHDRWRDQDPFEALRRAIQSSPARNMNSCKTQFSEAQLTPKPV RRALFPSNKDGESMRSLSDSVINGLRRSPRSTHKKPTGPLGQENVPQTLADGLDHLFR DADDDNTLDFPGSPTPNRRNRSIRSKKLHDAITNASPSQKAARALTQGSKDDSFQPDS TSPSKGLDTLDNMVLTFLASEADSFAHADSLFQFDAPKTPISDSWANWDPNDCIPQND QTSNLPQKDVTCTPKSRDKAKSGNNNPETPRRARSVPPISPFKSLLDSEINEISNLPE SEIFDPGSLFDSHLTNSWSKDNTNASPRANGAMEGLDPAVFAAMIQEIRDSARSQ TRV_08067 MSQTILPVKLEAIANLNHTTAGQPLIALEYEVSVLEEHELTTGP LSILQTATRAHSQVLISCRNNRKLLARVKAFDRHCNMVLENVKEMWTEKPKGGKGKGV NKDRFISKM TRV_08068 MLTASLAKHFFSDPTHLVNSALHSLTLTNPSLAFDKQNKIIYRR PCKDTESKVSIISGGGSGHEPAFAGFVGKGMLTASVAGTIFASPSAEQVRRALLQRVD GSKGVLVIVMNYTGDVMNFGLAAEKGNAAGIKTEFFAISDDVGVGREKGGKVGRRGIG GGVMVLKIVGALAETGASLEEVYATAQLANNNLVSVGSSLEHVHVPGRDMPDPNSDDL VPHGEVEVGMGIHNEPGSHRMKVTAEEMIQTMLMQMLDQNDKERAFLKYSSSDRFVLL INNLGGVSTLELSALTAEVVLQLERDYKIKPVRTIQGTFLTSLNGMGFSISLLRLADT GLGAGKSFLELLDAPSEAVGWAAPIQTSTWDNQTSASFESASSPRAVEKPSNLTPNSV IPVDPAILKKVLSSGLDRVIKAEPLVTRYDTIVGDGDCGIGLQRGAEAILKEINDSST PLTPDILATLHRIIDVVENTMDGTSGAIYAIFLNALAHGLRAQDKSTPATVTSTIWAN ALQHSLKALAKYTPAQPGDRTLMDALSPFINTLIETEDIRKAAAAASQGAEATKMMKA SLGRAVYVGGEDEWVCKIPDPGAYGLSEFLDGIAEGI TRV_08069 MPTPSSGGNRKQMGKRKHKDSSRDESQEEQEQKQRTIPDLFSRD YHSTNHKQAPPPPQSNKRLRRNECDPGRETSSEELAPITVDKMYKFTSSDGKGSNGSA FGFARADNRAPLARTRPFNSSNPSSFTPHTGAKTLKVKNLRDTPKLDQQLYFEKVWSQ LDSALTAIFNHEKLPFSLEELYRGVEHVCRQGRAPNLAKNLKDRCMEHISGTVMESLL AKSTSGDEAGILRAVEAAWTQWNARLVTVRSIFYYLDQSFLLHSPNNPVIYEMGLLQF RSSVFSDETLKSKVFKGACLLIELDRLEDSYADPTLLRSSIKLFHDLKIYTSQFEPSM LENSAAYYRNWAATHVAEDDLASYVEKSYRLIEREMARCDLLSFDRGTKQKLAELLDH NLMANQKQFLLQEADIISLLQANNATALERLFSMLERKGMGVDVKSAFSKYIVQQGSS IVFDEAREAEMVTRLLAFKQSLDHIWRFSFHNHEQLGHTLRESFETFINQHKKTDSNW GTDNPKPGEMIAKHVDLLLKGGVRALQNRPVEDITGNSSLTDEDAEINKQLDQVLDLF RFVHGKAVFEAFYKNDLARRLLMGRSASDEAEKSMLSRLKSECGSNFTHNLETMFKDM DLARDEMASYNALLREKNERSKIDLNVNVISATAWPSYPDVPVNIPDSISQAISNFEE FYNNKYSGRRLHWKHTLAHCQLKARFPLGDKELVVSSFQAIVLLLFNDLAGSETLSYD VIKKASGLSDVELKRTLQSLACAKYRVLLKKPKGKEVNEGDVFAYNAKFEDQKMRIKI NQIQLKETKQENKTTHERVAADRHFETQAAIVRIMKSRKTITHSDLVAEVIKATKNRG QLELGDIKKNIDK TRV_08070 MEGDESSGNLRGLYQDLSASSRFALPNAERLVAELEATLEDFRR LLDKPAKNNDSRKTVLSGKIKLDDVEYEVNAEFQQEVLQVADALDVDEIEAARYYIRA QSDAKKLDRSPIVSSIIRFHEQREFVLECLRLILHESFEVEREETQLLMKEYVASVLE IQNGPLRNGSLFARKCMDAMTDIEKWLVLLGEQIQKASIVGQSQEADILEVIEYQKQS LGKQHESLGAIVSYLFKGTYTSSEDFRKLMEKTKKVDKFDMLLVHYVPSIITAASQYG SSEGQGIEDARSLHKVIVESKDSQGWVLPQFHAATIVFWLAEYSGWYFDSTAAGPIQG LNSAEESAKLSKSLLAALEDGGFHFILSICAGVGLDEWKNAERNELVTLLLKDAARLT LEPDAPSPYFHSLLMESIEGFTESLIANMPDAIRMLKSEEDTQRLDQITALRDSVSPN LHRGPVEVRMHLECLLTIIAFSFDGRNDAAQEFWLDPDGNLYGFLQWVSKRQTVPRAS AFCEMLCSISGGEENSAAAHKFLIDEDSLSSAKFRRSASMNWTQMFAEIQLYAVRITE RPSTTQSSTLRLRKLEVPDIEEPESPIMLTSYLRLISHLSRENSKIREWILQHQTTNL ISVLLTLCGASIPQHLRAAIFVTLRCLMIDRTSAHSNEMWTSLDNCISGSGSLPLALS PLPPLSSPQVRNERHAFQRITESFDQMNAFVEMLNQLVGPVSDSLDSQLTLPFPESLG STYRMPGIEPYVDYVMGQAFANRSVMAEDKESHLLQWNCMNFAATALESFNENLVSIV SQPSISAQPNWKVTFSTYLRLHPFSRVMEWLFNEDVLRVLFSCSHRDADGVSKASSDS ILISSLVRSIDIMNLILDHQPTYFNIVRPIIKSSGQDSSFNIANSTLASFEDCVIDNL GLISDLCLYCGTGHPQLTLTSLALLEKLSASRKLNKSSNISSRWQSTNQMVEQLNSNV EADRVARSLASQIDVDIRELESGPESSGYLIKMGLLQLLDKCLKMSPEKPTIAHLLLG FSCLGNTLDIPPDGLFENGISLLHSILEFVKAYPLGMDGAVISWMIHLRCLGFQVLLN LWASPLSSNLTLPHLRAGQLLPVLFMSQPVVTAETLWDDFRTNEPDFWLSSSPSSLSE LLMLRTLLYEYATTEVRAVYKQGSPSVQRDTLRTIMGTTSVEGDQSVEHPSLFDLFDF ADIDVGFSYTWPELQFFGSVNVESCVKTEENGVVVLYDIEALQELLQLVKEDLVADGV VTSQNEEQIMAEKEKLLLFLSATNEFRYVQFNRFVALKAWTDLVTIITATCKMDPTYM ASFIQQTLQLILPKFESSIVETPAEAIELAHLGETLIDKLDEIPTDTNGDVIDERLDY LFQVCTSGIPQTSDNITLRESLYQISSKHLARITASSSTDDRFKEHAHSTVKAAGPTL METICDDAYGSSESCRVSALVLLNLLGILDQQRNSSLLVNLITHSNYLGMFLDVLRVM PSEFRNAKATGTLLIFCSINRAVSLTACYLDTAQLLLFYESQLSLLQQLSQTKAGASQ LLDAGLFQAVKESQLFSADPDIGIGEANFNSWIRVPVTVFINQEFLLDIDNSDALQRY YELLLSVLQVIVSTIFSRGLHNQAICDQTRAFLSENRQSMVGIFKRCAKSGSSDDPSM KKCLDNLAKSYTALIASVDFLDVSAFPLLHLFPIYTHHPHAPEASFLHTSE TRV_08071 MGEVSVDQAVNLISSGRLKDRIDGLEGDTPVCRPVLIDWLTFCV DLKHILLQNRRSPRLGSLNDKVYHGIFETLFRFIAIEKSTFSKANRAVSKTQAASRLA KCAGVIRTAAEVSLRRIRTKTVIALVNHVIDSLTIPGEGLWESLSADYIKTLRVILQY PPHVEHLSKDVWCDLLEFCLQGLGLAGDSNSLQMSIRRGRSIPPEEFSQSSRSTPHPT SAQSSYPSSKQDSRGSSEDLEVCIQLLVACPGMPVLENAPKLFHNLSKYIASLNPMGS APHAAFTALNTTLSIAILENITLVQDTLCAVIPTIRRYWSTKSVVLKEEMLATLLIGK EILCRRGQALQPELDIEIIQGIVDRLHSEYVRLPEREALQVDDLIFSHHNIPMHVGLQ FISPNTGVSKVMQNWTILSLIAAFSRLIDNYHSREKRDLAEEKSLNKKQHLLSRIDDI LMDASRPTGNNRICALQLIPFIVSETEPEVGRLSTLLGQLIKNTLDDNVVVASWSIVA ITSLTLCRHAKSDQIKETWLQAWELGVRNISSPAISRAVCHFMAVSIHSKLLSYSDIA RTLDSLVSSADMNGPANLNDSSLNLWVNVLELWSEVNATQSQSLVKQAFPTDRVQTSH MATFARPFPLLGILLSCFGASIPNPDLSSTGPVNRISRVWMHLQHHAKILDYLTLTGK KTTVHSEIVGNVPIYVATRHHPSETLVLDLLQYKIDTFHQVWAALCTDKGHHITVEII QVLTSLCVISIIMMEFIPQSLRSQHTQDSVLKLWASLCDKFSKDEEHLNTCLEVLAPI VLSLKAPFDSKDIILRGLVRMSVLLVPILERDRQSDEINSQASDAMDVDTDFPSQRPA AMESSLISKIHRSDSVVSLFPDSASVRIATSIQLMVICKIRLAEEPCLLLDGPLIGYL TTLTETETLVGWKYVIDVFKGRPDVSRANACRVIEYFGEKCLESYQLEGCESAICACI SLVGCFVNLWTGSERDDLFESASELYAWFTDVLLGEGLGSSKVLIRLSDLSESILQAN PSHLKETRQASPRTTLFRILRDGDLVVKFHISQLIPDIFSRFVLKEHDAILEDVIDRL PQDSTWAEGIALRLYLFAQLASRWHTLLRQSIYRIFETSGNVPTSIPHAKACLQEISV ELGLDGPKEVFQLFTPQILYTWMDKESVTDIPFAVFGYPTLRELLVDIQDEVVGQTAM REKEDDKKAIEACLERTFEDLLCESFYKAEAYSVARDISMPPSQEVGAKGAERGMINL LGKEKFFQLSERYFPQTVATLFGSIDQTEQIQRAFEKRPTFHHALVAWQNIHERSHSA SALPLSQQPCFRARYLLDEIEFLCKRSGFDIETMWTPSLVCFVARTLLDSTHAALGSL HTCSVIRKLKILICVAGQILLYDYPFEMLLRGLSPFLTDFHCAEDAIGLVWYLIENGK AYLSDNPSFTAGLAVSILASLREFLSAPQASTTQRAHFKATLAKAHEFHLWFSDFLKT YSPSAEYSTLDGPSTESLRRIIHASQNIQLVGNGIKGTYESELILELLGDKISGRNLL TAHTSNLVLSQLCGSFQRPDTFRDDVLGEDAVAASHAMTLWSSVENRTLGKSFRLWLA RVLGRSYAATGVVDPSFRKEQKSEFLDCSHDGFLSGSKIAILRILCDSLSNSSGPAGL AERTLQMISNNITRDLQIDECMQIIPPTLLKALTWEPYQCPMLVFSASAMARFISLPQ RDATNTASEFAQHLALFLCNKVANDAVIGALPKIIFEVPSFAVQLLPYILHDVLLSEI DSNQKTRTEVSELFKETLQNSNDSIIPHIRLIITCILYLRRQPLPRENTMGERNGWLD IDFMLTATAASRCQMYKTSLLFLEICHSQAAGASRRSSTIAPPESTELLHRIFKNIDD PDSFYGIQQDQSLDAVLQKLEHESSGLKNLFFQSANFDTDLKLGRNIDDGGAFEMIKA LNYTNLQGLSSAMFRSCTPTCASNEAFDHMLSTNIYLQQWDIPVPTTTSPTGTLFKSL QALNSLEDRIQIVKSLDDCFLEIIDRLNQGNQSLSSLKSSMTVLGILTEIDEIIMSDN SSHLQEVWDRLTSRGEWLKSEREAIFSSISRRSHLKKMTNLSSRDAQILEAECIRESL RISTDHGIPQASLQSAMSLSKLVQPCAELGVKIDAATAFDLANVLWDQGEMKTSIKIL QNLSGQKDLHSQTIPVSVAEILASLGHHIAEARLEQPDAIIQSYLAPSIKELKGEYTG GEAGLVFHQFATFCDQQLQNPDTLEDFVRLEHLRTRKLKEVADLEEMMKTSDGKTKDQ LRTHRTKAKQWFDLDDREYQRLKKSRESFLYQCLENYLLSLTACDTFANDVLRFCALW LDNSDNEQANNAVSGYLREVPTRKFASLMNQLSSRLLDVADTFQPLLSALVLQICIDH PYHGMYHLFVNSRSKKDNDPKAVSRYNAAGKIVDVLKKSKRSGEWLAIHNTSYHYLNF AAEPLEGKVKSGSKLVLKKTIYGTRMQSAISNTKIPPPTMTIPLRADCDYSDVPHLVS FQPTFTIASGISAPKIVTAMASNGFKSGNDDLRQDAIMEQTFEQVSDLLRDHRDTRQR KLGIRTYKVLPLASNSGIIEFVQNTMPLNDYLLPAHQRYFPRDFKPNQCRKFINDAQS KSRDQRIKAYRHVTDHFHPVMKYFFMEKFLNPDDWFSKRLAYTRSTAAISMLGHVLGL GDRHGHNILLDTETGEAVHIDLGVAFEQGRVLPIPESVPFRLTRDLVDGMGITKTEGV FRRSCEFTLAALREESYSIMTILDVLRYDPLYSWTLSPLRMKKMQDAQEAENGAVTSN EGRKKTNLNEPNEADRALTVVRKKLGKSLSVAATVNELIQQATDEKNLAVLYCGWAAY V TRV_08072 MAASASPNRGITVFSGGSAANNLVDVFSALRESKNCPLSYIIPI SDNGGSSSELIRFFGGPGIGDVRNSPTDPERAGIKTLFNHRLSSNASSAHDEWYSIVE GNSSLWQAITPAKKELIRSFLNLLNLEILKRARPPASTFDFTSASVGNLFLTAARLFS GSFESAIYLLASICSVPIDSVRVIPAINSNFSHHISASLEDGTVIVGQNSISHPSEAS SIQPTPSSRRPSLMLADGDDPYLEPEDPLYEESHLPGSLPTLRNKNIQFSKSTVDELP SRISRVWYINPYGQEIRPPANPRVLEALRSSQAIIYSIGSLYTSLIPSIILRGVGRSI ASGPARYKILILNGSLDRETGPTSQPLSASEFVEAIVRAAEESCSHKRRSSVPKDSCT HKPSTPQISAPSEPYTSYVTHILHLDGPGTPQVDRVRLNEMGIECLRLYGRKVVNDEG SVVGMKYDPAGLVQALEVVLGKKGDAMVRSGLSGERSRRNTMEVVGRK TRV_08073 MENDTVDLTGPSPVTTSHSSRGPVPQTLQPSRSRRSASPDFNDN RGKVKRRRLSNRNNRSSTPTAGPSSQSHQAAETMIPGEIEAVDLTSVDDSSSLAQVLA KQREDAIIAQKNATNDKEAKSILIAYKCPVCMDVPENATSTICGMYCALIPHNDTLLN IFLSPLKYNETRRTLEGAGKGARGNCPVCRKSITVVDNPGPRRNLVPLQFKLITRKKG TRV_08074 MQVFSRGLHKTTVSFSKTRLVYHPIPAWKVTIRKYSKQAIQDAR EDTGAAPKRSTLALPATGRFNEIGVQQLSNVIHPQVFSNKHTSPDPKLVNLARDHLSR HDLLGKAQDGSDPIGFNLPVLKGQSLDEHFHKLGMDASEPFLSDAKRYTTVHLPQQPR KWIRQSGWTKYNADGTAQKISAPDETMLTFDTEVMWKEHPFAVMACAAGPTAWYAWIS PWLLKETEDTHHLVPLGDISTSRVIVGHNIGYDRARILEEYDIKQSRNFFIDTMSLHV AVNGMCSQQRPTWMKHKKNRDLRDKLMRGTESAELASMVENKMLSEEEEELWVGRSSV NSLRDVAKFHCNITIDKAQRNYFGELDREGINGQLDELLDYCAADVAITHRVYKKVFP NFLETCPHPVSFAALRHLSTVILPVNETWGEYLKNAEDTYHRALDNVQKRLVELCENA LKVKDKPEIYQNDPWLRQLDWSGQEIRMVKGKKKGDPPRPAARQKLPGMPKWYKDLFP TSKSDINITVRTRISPILLNLSWDGFPLVWSDKHGWTFEVPKKELERYEKQAFAPCDM SEETNAALRESREHVYFKLPHKDGPDARCASPLSKGYLQYFESGKLSSQFPLAKEALE MNASCSYWISARDRITSQMVVYKKDLEKTGALCKELSPDSKVGFILPQVIPMGTITRR AVENTWLTASNAKPNRVGSELKAMVRAPPGYVFVGADVDSEELWIASLVGDAQFQLHG GNAIGFMTLEGTKAAGTDLHSKTAKILGISRNYAKVFNYGRIYGAGLKFAATLLRQFN PSMSEAETKAVAAKLYKETKGTRTTRRILSENPFLRGGTESFVFNKLEEFAEQERPRT PVLGAGITEALMRRFINKGGFMTSRINWAIQSSGVDYLHLLIISMDYLIRRFNLDARL AITVHDEIRYVVRETDKYKAAMALQVANLWTRAMFSQQMGIDDLPQSCAFFSAVDIDH VLRKEVNMDCVTPSHPNIIPHGESLDINELLSKGDEARLDPSITPLSPPVPENYSYTP REPVMASLQNSSSMDFIKAQITSDDKELRELIKDSMKKNSSSTSGSTTKSQPKRGSKS QIPPHAEPQKAVLMDVQPNLLPKSSNLAPKPSSFTIHRFGWKPRSPASV TRV_08075 MAPGRSSSLNGSEISPCTTDVEAQAGGEAFRLEPFPSASPTSTR NAPGLEPQSSVRRRSRRSTTARPYYPEIHSPNWHPGEEPGIDPTDPSLPTSFADEGTL LQAQLYQQCDITVVDFSHDAMRMYHLDNATLVPFLEREREPWVQCRWINVNGLSWDVV RLLANHKHLHRLAVEDLMHSVNRTKVDWFSDHTFIVLAMQKLIKHTSPEDSEAESESE DEETIHETDDSKPPSHHSKKKNRGVIMTALMDLFVPKYQQRRPRLNGTKRPPTGSHQE VPVNPTRAIRSLQRFRGGPNEDRIDFMERHAVLGPKGLSVGIEQVSLFLHSDNSVTSF FEASADDIETPIIQRLRSPGTILRQSCDASMVLQAIIDAITDLAIPVTMAYQDAMGDL EVQVLTDPNIKQSSSLYILTFEISVLRNAIQPIIGVINSLRDHKPDPNSRPQLGVKIG LPACSHTNGSGSGSGSRVASNQETEGVRMPSTVNISPMCQTYLGDVLDHCITINEGYD QMRRSADNMIDLIFNTIGKLKHQPENVQPGLQALISSPQGLIRTKV TRV_08076 MIPETTSAHTIGLMRLQYWRDAITRTLAGTPPKEPIAILLASAL SDLDARTQGRAKISKGWLMRLINAREQHLAHTSYPTLASLESYAESTYSTLLYLTLSA LPLTSLTADHLASHIGKAAGITALLRGVPLVAFPPPPSHHSSQTRMGNEGPIAGDSRQ GSIMLPLDVMTQTGVKEEDVFRLGADAPGLRDAVFTVATRASDHLITARQMLKNLRAG QDVGHAFEHEGEEGHEYQDDQHEKSTSLSTQLQEVERGFCVLLPAVSTSLWLNKLQKV DFDIFKPELLSTDWRLPWKAYWAYRQRNI TRV_08077 MNSEGIEQRPAKKRRFFQDESESDFPLKFTNLDASTSISSGLAK APTPAEDNAANRQVGKATEITDNEPDFDIELFSSIVGCPISADVVAQLRRKAGNDMEK AVNVYLDGTWEEASDTKMPDVSLPLIENTTEEASTNKTSAPENATYVTASQDENECGP LLSDNPEYRYLGAFGVGGWATRSSTSSLKFGEEVKIQRTKTQPQPKAGKTKRAISNPK TDVITRFTNSNGDEIGRLPQETASWVSTLIDQKICKFTAVCVFAPERIRINDTIYLQL RCYVLNSAFKKRFSSGLEDDTPRLFEHEETAEEKALRLRQVALVTLFDEICLSPTSGD ETTAKQKKSGLLRAAEMAEQGTKSGTSSKDPSKDADEASESDDGEQLDQDQLDTLYQK AQCFDFNMPEATPGESFNLELRKYQKQALHWLITKEKDEKSTRQRSMHPLWEEYPWPV KDVDDKPLPRVRGKDFFYVNPYSGELSLDFPVQEQNCLGGILADEMGLGKTIEMMSLI HSHKPNSEYFNGITLPSSGHSITWPHNSPEVSYAPHTTLVVAPTSLLSQWESEASKAS KPGTMKTLVYYGTDKSVNLRSICSPKNPSAPNVIITSYGVVRSEHSQILSGRTNLGDN GLFSVEYFRVILDEAHYIKNRASKTAKACYEIKAKHRWVLTGTPIVNRLEDLYSLVRF LKVEPWCNFSFWKTFITVPFESKDFARALSVVQTVLEPLVLRRTKTMKTPEGEALVPL PSRTITVEEIELSEQEREIYDVIFTRAKRTFNDNVAAGTLLKSYTTIFAQILRLRQTC CHPILTRNQSIVAEEEDAAIAADEMNILKDNMDLQELIDKFASSMQASDGEERDPTAN FTTHALKQIQAESSGECPICSEEPMINPAVTSCWHSACKACLESYIKHQTDKGEVPRC FCCREKLSSRDIFEVVRHESPEQTPATQNPPPLDNPAPPACRISLRRINPLSPSAKTS AKIHALITHLTRLPRGTKAVVFSQFTSFLDLISPQLTTAGIAHLRFDGTMSQKARATV LAQFNAPIIDEEDIDDDDDIAHSPDPFKEYRNRRRKDKGSPPSVLLISLRAGGVGLNL TVANHVFMMDPWWSFAVEAQAIDRVHRMGQLRDVKVSRFVVKNSIEGRILKIQERKMM IAGSLGLRVSGDGSDEDKREQRIEELKLLFE TRV_08078 MKTIFSARERASTWRKLWLWLAEAEKELGIKQISDEAIEAIKAN VTVSDEAFKVAAVEERRRRHDVMAHVYALEKDAPAAAGVIHLGATSCYVLLGFLVAEL FAIVTDNADLIFIRDALDLILPKLAKVIHNLAQFSLKYKDLPALGFTVSHYQAAQAIT LGRRGAQWLQDLVMDLEDIEYVRAGLKFRGAQGTTGTQASFMEIFHNDASKIDKLNEI LCKKAGFSSCYDISTQTYTRKVDLRIANALAALGATAVRIATDLRHLAHDKVMEEPFE KDQIGSSAMAYKRNPMRIGRKLSNVSANFSETFSGQWLERTLDDSAIRRIDIPEMFLM ADAILISLDNVTNGLVVYPAIVNAQLREELPFMATENIIMKLCSHGVSRQEAHEEIRV LSHQAAHVVKMEGGKNDLIERIKKTEFFTPVWGEIDGLLDPKLFIGRSPEIVERYAGA GGPVEKKLEKYKGYIMSTATAELSV TRV_08079 MESLKSGLQAAEDALLGHKSGQEPASGVQGKGTATDPYDAGNAP TQPTETQGLAGKHGTGQQTYGNKVDEGVGGFHDTTGRTAGKPTTGSNMPQESGRVPGR TTQGTERVDEGISGIAGDKTAYGERALRSEMQQADQFSSTIGAGQKSSTATKMSGGLT DDSLGGAGTAGTAGAAGVAAGAAGAGAMGRGQEPGMTSMKQQPGMTDTSGRTTGTATH GSDMPSSTSGGYGQTKQSQPGSEYGGAALGSGDVTGAGHGTRYQQPSGSTTHGEHGTT SDMTGSSSYGGSSQYPSEMKQGQTAGSMPGASSSAAGGYGSSEMKQGAPTAGYGGTQT GISQGTSTTGHAGSGYGGSRYPSEMNQGVSSTSQTAGGSMGRTADTGYGASSQPSSGI RHDAPTSTTNSRTTGGVPSHAPVSGGETPVKSTGFAAEGGNFDATQPGAGREADRLLD QRTSKAGQGTSTTGHGNTGTTTNEPHTGAHEPHTTHRSGSIAQKAKDALHIGKHSKT TRV_08080 MCISKLENGLILLTKHISFPRLEGPYIETPSSTAGPSQQQVLDP WRESPLLVCKPLQGHLLERSSPLMPNVMTSDVTYLGTFRGDDIMAKSINMSRDMYLCR QIWGEEDYGIRAERRNRQKDIHNGGCGEAISSKRFRNGNMQTRNDNVQRRKICMGFPR KNRNMNIN TRV_08081 MTHGGQQKLTAEERRKREQSLSEFAEYVEKQQEKRYLRSQNRDG SVAPSDSGYSTTAISTAHDDHSELDILDQLGLSDHPSSVQLKDLLLDNSPDAESNISR ITSVVKGRLEEGQGETIFDLGQEDNGDWMGFNKEQWNYALDRLRQAARELRADCRVLL TYNVGGPEEAETKSDRIKCAYGKVLIRQAPETVEKVIETRIAVVGNVDAGKSTLLGVL VKGKLDDGRGKARVNLFRHKHEIETGRTSSVGMEIMGFDTHGEIVGHGQARKQTWEEI GRQSAKVISFSDLAGHERYLRTTVFGMLSSSPNYCLLMVAANNGLIGMSKEHLGIALS LNVPVMVVITKIDICPPHILQQTVKQLTGILKSPGAHKIPIFIKSREDTVNTATQFVN HRICPIFQVSNVTGECIDLVQTFLNMLPHHGRYDSGAPLEFLVNDTFSVPFVGTVVSG VVKAGAVHTGDAVLVGPDSLGQFKNTVIKSIERKRIHVHACSAGQSASFALKGVRRKD VRKGMVVLPKLDRPPKVYREFVAEGMTTHVVAGSITFTDLDFCFSIDTFTRHYYQT TRV_08082 MAAVDDDGAAPSFSEPLDLVRLSLDEVVFVKLRGDRELKGRLHA YDSHCNIVLGDVEETIYIVEEDEAGEETIKVYIEPTAREGTELTVFTDNQEARGNAVR QGLHQVDRSGDGDKYQKAMPTTDGRGLMTMKMSNIGSHRVRVGTAYSFEAVSNSWVRL CSYSLEWTTGEAAPAYGSSIQGDRPFTTKGMDS TRV_08083 MRATTLLGENLVSQYICPSCSWRIVGFNGARGPSIKHSQASRNQ LQAIHSSSRPSLRKLRPSSKSSTPKWKFITTRFVHSAVQSKEPQDNSFDTDQTSLPSN NDKDAWEIETPGSKRKDSTQDAIRKREEYIRAVLLEGQPDKVMAIFLDPGNNDYIMRQ LPATTFIEALRLLSPAYFVEPWRKIQRSFHSSAAEYAKVEPLAQIFDRFARNLGTIVE ARRRAGHTLGLAEYTHLLDCARSMGDEAMANTLWEEMIADGISPDVLCYNYYMEAKVW NMAFIPKENHSLRSTPWIYRKRRFKRSNPGYRGYKTGKDGVRDEVHSLFKDMVVDGLD ANESTFIQLMIASGREWDIAAVKDTLKTVWNIDADRLQKGEEDLPPVTPYHPSSPLHP TDELLLAVAHIFCTNNDIPTALQLVDFISVNYNVPIPQRTWMELFVWSYILSTNRYGQ NAEDNMIGHIPKDSVIGIFKTMTSEPYNMKPTLQVYDILTKLNWSRQAVDPTEGFMRD GRKMFQTTLSKRRVLAKQLIQAWKDLELENNSPSSESSSNLEQTATSSLRRVNPLSLT PPPTAPKAYWDLYHRFQVVHMATCRELDYLQTWALFMLLRRRWTGTKYDWERRGLPNT VNEWKIFLPRIVRYHITNGMVEFDPAQFWGDDRPVRATLHC TRV_08084 MNSAFTFLSLAIFPLALFIFWTLSATGPSSPLGRGFPTLTNKRI CLLIAHPDDEAMFFAPTLLALTKPELGNHVKILCLSSGMCALLMVLDSASGWFLIYQI TGDAAGLGHIRKQELQKSALRLGLRNESDVFIVDDPSRFPDSMTATWSEENVSGLLAS AFAPQLAAQASSQFAPMATIDILLTFDQSGVSYHPNHRSLYHGARAFLKTLMRGNSNH PCPVTLYTLTSTNLARKYIGVFDAPIAMLSGVISNAFGGSGDRDTLSTSPSKKTQELR GIREPPPANRLLFVNSVDDWLSGWKAMVYAHKSQMVWFRWGWITVGRYMVVNDLKKEL V TRV_08085 MATRRQNKKPSDGPSIPLAAVDNNKKASPGHKTLYEIAAERQAE LLTSQHRQTSSNDGKTPPKMQYVHMNADGEIVHSPLPDTPETAPATAELENSESLPPL ADTIFLSLSLSCIHFTLSFLAAHQFAQEIEFDRIIFETLVVAFPVMTLLIHFVHGHMV TFPKISLLSLYPGSGKKTANETSGQLATRKSLILALFPPTAHNIIFLTFSVFLGSRLI AMANEASYYAAMKKTPALGTLWVWAVVEMTAGFSVLGLAVPVVWAVWLKGHSLW TRV_08086 MAPSDDLKSHAASSHDFYGLLGLNPTAVDSEVRRAYRRTALKYH PDKIANPTPADIEKFHLLQIAYDVLSEPPVRQLYDNAREARERKKRENELLEGARRKM KEDLEARERGVKRPWGFTGSGGDHDDLQAADDKLEQEIRRLAEDGKRRRREKEERMRS QVLEEEERLEREKEEPEAKKQGTSKNGATPINVGGTTVPEIDRTVKVRWIREGVGLDM DKAKLESLFSTFGKVESAFTLKDKRQRVGDQREKKTVATGVVVYSSVVGAHAAVEDRF KHKGDAWEVIESVAWASNKQPDWDAYRASSPVTTDMHSKAEASAGAAASEPDNLHPPS PNRPKRFTMADLDPRKEKSEDVNKVGKAPSFGSFSSANAKGGFGASGPSLEELTLIRL KNAERKRLEEQIQKEDEAAALADAKSAQ TRV_08087 MDLVNQSCPLQFQIALALAVVKSKPQDTNIRESHLDSTRFWREA YEKSEAAQSKLLDRIYELEQRNEARLLRDKWDASVSVEKQSVAQVNPKPLSSTRPKKR AKTVSIESQAYALPSNKIHPNYRFSNLEFCEGITAQLMRRFHTLQALLRKKTNPESMV LAIESVCRALETALLTAVREEIAQKPAAKGGRQITKFSIQDISKILNVIFPSILQGLS RTSGLLDSGISTKSIVYHSAKLFQRIMQQSYQYALLKASPEGTYEKSAAQSCEKSKAR SNKKKKKPCIKPAPKVENILGCFANMACTMFGSLDTAKPEHKDLLEGFTFVILEHVGM VLGFFTFKDLSPLTEPMTPNLKLTVPAYLTTAYNDIRVPTPDIAELAAVWNSKHLIQL LKLAISYMDQHQKTTEVAAESIDCGREKSLASNAKTKLQNTLLKGIFGADDTSLGTSL NFPPDTSSENICPEPSINPQESPGEWFTQEVWTLLGWDSLLRCRCLEGK TRV_08088 MVQGLTSSPICGRCLLRTRRQLLRNRIYSQIRHNHTNPPAPPPS GYSHLNNRSLISISGIDSTSFLQGLITRNLSVPKNSPPVTSPFYAAFLNSQGRILNDV FIYPFETASSPAGEMEYLIELDKETSEGLLKHFRRHKLRSKLKFRALDDGERSVWSIW DDGNTSAWHESEAFKENNAIVCPDGRAPGMGYRVIASGGKLPSRITEAFPGDETSIEA YTLRRMLRGVGEGQIEMPRESALPMDSNIDIMNGIDFRKGCYVGQELTIRTHHRGVVR KRILPVQLYESTKTLPTSDMPIYDPDTSITPPPSGAEANISKVGASKGRSAGKFLNGI GNVGLAVCRLEIMTDIALTGESTQYDANQEFKITWDSTEVGGANIADQPKVKAFVPPW IKEYILSGGARHRQPKA TRV_08089 MDEDTRTTSVPILRFRQDWHVWYRAIHDFGRAEGVWDLVRPDLE GEPAFRTEPAPITRPPKDTDARTWAMYELDLAKQDKEFDQYDKEQDALCKFRYHLVCS VQHPIMTSLALEEHSHVIFKKLKERLCPTKSERRRDVRQRWKSLMEDPPAKDVGIWLQ NWENTYEDVKELGILDEESAIDDLIEANEQIDPMYTRVLEIHREDTNPQGEDRVTFHQ VVSKFQERYKKKPPVHKSKYRVYH TRV_08090 MTEINMRLEDWLDDLCVRFIVNLPREELESVERICFQVEEAQWF YEDFIRPLDPNLPSLKLREFALRIFQHCPLMSQWSHYHHSTAFSEFLAYKTRVPVRGA ILLNQEMDEVVLVKGWKKGATWSFPRGKINKEEKDLDCAVREVYEETGFDIRASGLIK DEKNVKYIEIPMREQNMRLYVLRGVPKDTHFEPRTRKEISKIEWYKLSDLPTLKKNKQ HENVPYQNNNRFYMVATFLGPLKKWIAKQRKLDQARISHPHIAIQEEMGGAIITEDEG TEDHQDITEEYPTHPAPTMPILPPVHSDLPEVSTPLDPTVHLKRLLNIGSATSTAPTK QPDSDRQHEQQHQHVSNIDKGNALLQLLRNGSETHPPENPPLAPIPVHPSRALPTIHS QQTTPQPTPGQAFAPPITQFGTGNQYPQHPQNQISNQPPYTYPEQKSAAQPRHEYVGQ APHQMPHEPAKPPFTAYPPPLRQIGTQPPMSSTQHVTPPYHRTGDPQFASNHQTLQTI LPSVPPANALPLPKLNSHSLALLSVFKGDGVKNTATMPGSIPASPVEPFPQNDAKSQH KNQLLRMLKQPSGSHLHNGGGLHPPGRVELATQNSPRIQGQTGMHPPGLSLDSQAKAP VILQNPHRPRTPSQPTVGKTAATLSAPLNLPNFEGIAKSPRSPRREKKHTPSQSSAMK SNIKILPRPTSNNNEGVPVAVKANTLSPAPRPNVKLSELTKPFKPKILRRPDKDNLDA YLPTSTVTVSAFSKPEPPPVSDDEVVQKSLPQPQFDQRPSQSAAQKETLLSLFNKDLP PQPTSIKRDITRQAKSPALVSPPFSTLISPVTDPLNSPREATREGGSERRSGATSPSN QAFLIGYLEDVATGKK TRV_08091 MASYIIGALSKKVLKESAENHFGKEDPYFESVPATNMFGQQKMK KRRRAAPEGISAHDAKILTKVKRRAYRLDLSLCNFCGIRFGWGSVIGLVPAFGDIVDA LFALMVVMTCRQVEGGLPTNLQLQMVFNVFFDFVVGLIPFLGDLLDALYKCNTRNAVL LESYLKEKGQNNLARLEEGNRTVVTSGGRRPSMRRNNTEPTMHHDEPQRPSPARLPRE NRRSNTSRSHRR TRV_08092 MDKFKDGPKDKTPTLLLPLSFGVSSLSLLHLLDDQLAHQRGNEY KRVPYKLHILSVQSPTLDAPEGSDTTRLGLLRSAFPEHTFTEIPFHSIFRYDKNVAEF MLQHSAGSEINNTSISDEDRLEAFRSSLSTMTSRADFDGVLLRKLVVSFANSEGCDGV LWGDSDTRLAAKTLAGVANGRGTALPWEVCDGLTPWGVHFTFPVRDIFKSELVQYASA RFPDLLSKIGSKEPKILNEQATKNMSISELMTQYVEQQGEKYPGVMANIVRTIDKLQP SQLHSGLQCVYCGVPLESAQMDPATLSILEERDLTSDKDVNSKPTCYGCARTFLDFKL PTPSSNS TRV_08093 MYGKKSWGGDINPFILLRMEAVKDKKDPIMSLAIFEWKDESLIG RDLTAKDGSIQKKLICGPPSVEAKLCTEKEIGSFILAPNATEKAKSPLISLAINMTDP KPIKYPVSKTGFYCVTTYPYTDMEYEGVVTFRNAYGELPATQVPKLAFYGGLTILYAL MGVYVFPASTKFKFGPLINTFCLDSGDSSMPSTVMISVNYQNRYGANAVCKVLMVIVS ILSAGRNSFSFFLLLIVCMGYGVVKPSLGRTMIYVRILAITHFVFGVIYSVASLSITP DSAGPLVLLVVLPLAGSLTAFYVWTLNSLSATMKDLVSRKQHVKAMMYKKLWWCLLGS IIVIFAFFFINSFVFASTNVSDLIPAQWKSRWFVLDGWLNIVYLFNIAYISYLWRPTA NNKRFAMSDELAQDDDGFEIRSVTSSLDEEDAVENPSGRGTSRSPAGTKANTGSQARH SLDGETIFAVGEDMDRWSDDDVSPRNSTDRKKLIRKDD TRV_08060 MANINPLNVYSGPDSMKKYFDPDCQPPLPLVEIPQELNPFYDDG VRIYAKMMSMHPANNVKAIPALNLLEAEVVPGKTKTVIEYSSGSTIISMAMIANVFHG INDVRAFLSNKTSDAKIKLMQFFGLNITLFGGPSQPEPLDDRGGIFAAQTMALESDQV INPNQYDNDGNWGGHVRYTGPQIFKQLPEINVLCSGMGTSGTMTGLGTYFKKVKPSVL RVGVCTAPGDRVPGPRSYALMSPIPFPWQSAVDVIEEVGSPESFSLSLKLCRHGLVCG PSTGFNLQGLCQQLQKRKDAGTLRSLAGPDGKIHCVFLCCDLPYQYIQEYFDKLKPED FHPIKNENLRKVDLYRYDEAWESHFAKILAEFYERFPASGPVFGIGKARGFDGLKLKP DHSRCVIDLRKPADFKQWHLPQGINVPLNTLEKSTTSPFADSAVLEAQWLEIEGWFSQ SGEKSALLKGLKENNTRVLLVCYSGNTSRVACSIFRAKGIEAWCIRGGYKALADPELA L TRV_08061 MEENSEQECTPTKEKQMYIFNEQTNYVSTRKIITIFLACASVDL VALMDQTTLAASLSIIGDDLNAGIQTSWIAGGFFLTSTCFQLVYGRLSDIWSRKVVLY VGLAIFFFGSLASSLAQTAIQLIIFRAFTGIGGGGLANVAQMIVSDVVPLRERGKYQG ILGAVVALANGIGPVIGGALSSMSQDSWRWIFRLNLPLTIITTLCVLFFMPLRKVDGD WKTKVKAIDFVGVFLALSGATVFLLGLIWGGGEYAWNSAHVISTITVGFAVCVCFVLW QWRGANYPLVPMDIFRVKIVNGACLTMLINGWNFVVQIYYIPTFYQLVHGYSASKSGA LLLPIILIQTLFSTLSGLVVHRVGRYRECILFGWLAWTIGLGLFSTLDTSSGIGKQVG YGILTGVGVGNTLQPSLVAIQAGVPRRDMAVVTSFRKNNIVLSSLSSLLLSETETKEI TQSPSHYLAKQSPEEVERIRSVLIPAYQKGFRVIFILCSALAGLAFMLAFFLMPHISL KRDDDKKLKEEARSRKKTNDAPGCEELQQR TRV_08062 MQCYTELLLPSGVTHAASAHFISANSNNLIVAKTSLLQVFSLVN VTYGSTTGTQPDQKGRHDRSQHAKLVLAAEYEVPGTITGLQRVRISNSKSGGDAILVS SRDAKLSLIEWDPEKHGISTISIHYYEGEESHMSPWVPDLGSCSSGLTVDPNGNCAIF NFGIHSLAILPFHQAGDDLVMDDYDATPNGDDSTDMVSDAQKSAPGNTSHDKPYAPSF VLPMTALDPALTHPIHMEFLHEYREPTFGILYSQVARSTSLTIDRKDVVSYSIFTLDL QQKASTSLLTVSRLPSDVFKIVPLPPPVGGALLIGTNELVHVDQAGKTNAVGVNEFAR QASAFSMADQSDLEMRLEGCIVEQLGSGTGDVLLILADGRMSILSFKVDGRSVSGISL HFVAEQSGGSITKARPSCSASLGRNKLFYGSEEGDSVLLGWSRPSSTTKRPSKSVDGV DENGAADLSDEADQDDDGDDDDMYEDDLYSVNPASTRQEKQVVNGDSPADFTFRAYDR LWSLGPYRDITLGKPSKSKSKDQQDSVPEIAAPLELVAARGFGKSGGLTVLKREVDPY TIDSLKMDDVYGVWSIRVVDPKSKDTGLSRSYDKYLLLAKSKGEDKEESVVYSVGSSG LDSIDAPEFNPNEDCTIDIGTLATGTRVVQVLRTEIRSYDYNLGLAQIYPVWDEDTSE ERTVIQASFAEPYLLTIRDDHSLLILQTDKNGDLDEVEVQGSAASGKWISGCLYEDKM NIFFPDSDIENEAGLNILLFLLDGDGNLSIFRLPNTSEPLCRVDNLNLLPTNLPYESS SRRPVNRETLTELLIADLGDAIHKSPYMIVCPNWSSNFCYKFLSNF TRV_08063 MKMYNPFCLYDLPNKTDNTLDRIAKEDFKLPEDDESHTEWRNEF ITFLPQLERGTVKLLEPRNWSTIDSHELEPAERITCIEVIRLEISELTHERKDMVVVG SSIVKGEDIVPKGFIRVFEVIDVVPEPDQPEKSKKLKLFAKEEVKGAVTALSGIGGQG FLIVAQGQKCMVRGLKEDGSLLPVAFKDTQCYVNVLKELKGTGMCIIGDAFKGLWFIG YSEEPYKLDLFGKENENLAVVDADFLPDGNKLYILVADDDCNLHVLQYDPEDPSSSKG DRLLHRSVFHTGHFASTMTLLPHGARTPSSPVDEDAMDTDSPPPSKYQILMTFQTGSV AVITPLGEDSYRRLLALQSQLVNALEHPCSLNPRGYRAVESDGMGGQRGMIDGNLLLR WLDMGAQRKAEIAGRVGADVGAIRVDLEKLHGGLAYL TRV_08052 NPQLNSDCSNIQSKTSYCAFLPSHVSKQTRGQMDPPPKTKPLPP GLFNDWGNNHAQKRNDPPPKTKPLPPGLFNDWGNNGAQKRDGLSPTGGLGDFHGPKEA PPPGWGHKGVVEGNHGKTMSTRSPIMGTGLPEQQSEPAFMPPHIPNLPNGMENKMVVH PTTLTKKLRPTGSYLKRDANAPAPTPGSVGAAIVGCKQYHTVKAKDTCFNVAQQYPGL LFSEFLRLNPPLSNLDTVCPNLQANTRVCVKGDTKTNLVPTPSAVVGVHARDVQGWPS PTKPGTNPGCKSFELVKKGDSCEGVARKHHISVAQLKEWNPAVGPTCEKLEIGYYACV RV TRV_08053 MQFFHKNKSQPTLLNSVESAPHNTNDHSSVNSQNHHPHHNREGS QQHQYQQQQQHQPPQQQQQLYQQHQQHQQQHPANPHPQHTFQPATGSHELPSGTYQLG QHSNAASYTHPAHAGSRSENFSLPLQVSSGSAAAPHPSAAAAAAGGRPTLNLVPSASH QPDHSIDALSAAPSLPSAPHSTEASPLTETQPRKSRRSFFSLHSKDKDKDKSSSQNPA KPGRNVSVRKKISNPIPHPKPYQVGPLPPSDPALDPEEIDITEKKLPQLPNNSSQPSL PPSIYPARGASRSSSHLDIQPFEPPQIQRVSTEPLGQHEEYYKGRPQQYNQYQVVGAS PHQQQQLQQNPQFQIDQPQAQPAVTSTATHRPNQSSDQVSIPPRYSPKPDPYHPPRPP SQQSLGPPSPLHPYYQSPDSNYQKPHYRQSLQPVAGPILSQSGMALERPTGLRQPIDT SQQQQQQQPQQQQQQPPSQQQQQQQQASGQQQQFPPSMPQGQSFKGNSSQSATPGDRE QETPPPPGKAKDDASELDVNGWIQKYEELQLKYNRVKRYYFDKEAQVQQLQNTVAHQR MSASRTVLDDNEYATRLGRLDGAINNLSFNIRKDWKNIPPWLQGVVNEDAHTVGTKEM TAVGRACLTRWIVDELFDRYFHPGLEPNLSRQLKQIERNVRRMGKFVTEEEQENHLSK VSTWRRTTLDGLGDIFQSKLADEHRTLLTRNLVEKLTASLEMNLKTPPPPGLENGVAM IVELAVGISANIPLESRDIFIEYFLPGATITDSHMKIETSLPPLSNPGIEPRLGSEPS SAATDRVDQSSIKGIEAAISSSEATAGDEKDSNFPTQPQPASQGRKKSVFGSLISKKP HSGPGNSTDSMRPPSGHFREREEAVEAAREKENENRIRFAAFVAVEVRGKGAGNVIVK APVYPFS TRV_08054 MSLVSGEKSNFQFILRLLNTNVDGKQKIMYALTKIKGVGRRYSN LVCKKADVDLHKRAGEISSEELERIVTIIQNPTQYKIPTWFLNRQRDITDGKDTQVLA NQMDSKLREDLERLKKIRAHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKKG TRV_08055 MKFLTTGLLATAALAAAQEQHVLQAEDGHGQAPQRDASIFDETL QKFQSSLEDGISHFWSEMKTNFKDYLPLISLPKKHTRRPDSEWDHVVRGADIESVWVQ GADGEKRREIDGKLHNYDLRVKAVDPSKLGVDPGVKQYSGYLDDNDADKHLFYWFFES RNDPKNDPVVLWLNGGPGCSSLTGLFLELGPATIDKNLKVVSNPYSWNSNASVIFLDQ PVNVGFSYSGSSVSDTVAAGKDVYALLTLFFKQFPEYASQDFHISGESYAGHYIPVFA AEILSHKNTNINLKSALIGNGLTDPLTQYPQYRPMACGEGGYPAVLDQGTCRSMDNSL ERCLSLIETCYSSESAWVCVPAAMYCNSAILAPYQQTGMNPYDVRTKCEDMASLCYPQ LNAITKWLNQESVMQALGVEVQSYESCNSGINRDFLFHGDWMKPYHRLVPSVLEKIPV LIYAGDADFICNWLGNLAWTDALEWPGHKKFAEAKLEDLKIVNNKDKGKKIGQVKSSG NFTFMRIFGAGHMVPLNQPEASLEFFNRWLGGEWH TRV_08056 MPMIMQPSNQIKFTNVSIVRLKKGKKRYELACYKNKLLEYRSGA ETDLDNVLQIPTVFLSVSRGHTAPTAELAKSFGKDYKIEDVIQEILRKGEVQVGEKER RDILDRVEKEVLEIVSARLVDPVSKRVYTTGMIGKALDQLSAASGQQQQQQQQHQNQQ QHQHETAEAEGDEEIAKKTADLTLTPRKPLWTGVTTSKSAKIQALDAMKALIAWQPIP VMRARMRLRITCPTSILKQPVRTTPSSTGASGNASSAPSSSKKEKGKGKKGKKAAADD DDEEDDQSKASASPSTPAPAAAAGTVKDRILSYLEQIESQEVIGGDEWEVLGFAEPGA FKGLGEFIGGETKGKGRVEVMDMAVTHEE TRV_08057 MGLGLRERVKRAIFSSRPGLSSHPSSSRLAERLEQAKEYTIAGP SQNKLQHRHRHRHRHQHQDQHQHQQQQQQHHNQDQMHPPTSPTTKKSFRASIIKTLSP RTSNRKSKIEEPWPKIELYKPHEIPRPKYRGPVDKRHLAVLEAYSIVQATEGYRQRSI DSSVCPLATNLPSRRDSVTSISETVIVRRPGDVEDGVGTGEGAGEVEGDVEVEVEVEV EAQVDDDINIRNTNRDSLQDEEDSNTTSTASSDEGANVSSSTLLTSHTEDEHLQHLQK QQRPSVTRLKRTTAFTAADLHHALNSL TRV_08058 MKRYIGLGVGSNYTYHIPPNLLQISVGSVTSPGQVLLSVVSQPR RLTYIPPGPLFHLACIIQSPLPLLPPSQIGIIHFTRHIRQAEGNLTRQVRLPAANMMS GQGSHFTQANTGKKKKKTKGKHRLAPAASKPAAIDESKPQVASAIADARASSDEKESV EKPRPLSFSKDPVNVSGASANLTQGAHGPPSPTWSAEDSKLGVHNDHNAASRAAANAA LFGKRTSYVEEAQSQRPLSSTRATETPRRDSSTLGAAHRTEMPIIGAFPADGEAETAD RGPDASSGNEAVEKEEGYNAENKWEDNVADTVVEVDSDSKQQVASGIKEKNEWVKEET EEGPGHTAHITRRHSEVTEYVPPTAAGGVPGKHIERIIENDTVEKEIIEKPVDTAASE APAQEKVPEPAAPKPSYAAVAAKVSEQPPAPVAPVVPEAPVQTQASAVPAEPAASKET TPAPAPAAAPVPVPAPIPVPLMKQEEHDTAEKANQTELAGEEEAPPATTAHEVPTAEE LGVAAPAVPAKAPDRLITTDPVIPGKVPALASSDEQVKAAVESSSTVKPPATKESVQK RDEAQISRLTSQQRREVEKEEKAREKQKAKEQKARDKEFRKSQKHLRKGAKSELPPGN GGAKRLRLRDRIMNRIARLLS TRV_08059 MQLLNFGLLLLPFVAGDLAPQPEPLLAGPSDVVPGQYIVTLKEG LTSAQIRDHKKWVSSVHRANLDSFAAGASGVETEGIMKHFHIHDLNMYSGGFDEKTVE DLSRNPYARWGLGYMSSKGKPVPLHSTLVDYSYDDKAGEGVWAYVLDTGINVNHIEFE GRAILGHNAIPNKPHTDEFGHGTYVAGIIAGKTYGVAKKANVVSAKAFDTGSSTYNYI LETYDWIVRNITDSNRKNKAVINLSISGAKYQPFDDAVEKAFKAGITTVVAAGNDG TRV_08049 MHLLEPQDAQEFSSILLSCVEKRLEVTPCRDTFKQLFTINGITN LSTVKGSYEWSKQEEFTHIELNVRGHRTLVDSFKEYTSAVLFKDFYTGAILETKDVVK RNTFSHLPWVLTIYLNRVEFDINAGEMKKITDYHEFPEEIDMSPYLSSEADKSQSGDY ILFGVVVHASGPSNAHYYTFIRPKPDGHFYKFDDDRVTLATMKDAMNDNFGVFKTSQE QPPSKVLEPYYNAKKYQEKTATMLIYIRKCEANRVLADVLQDEIPDRIYSSPSAYTQE DHLRDFYGSKLTKEAEAAINAQSKELKANYTTNSDINVRLFSINDFYKHHGLDIVPKL PTDDKSASSLSYSHLQENMLVQELVSAVTQALNIPGKATRIWPMITRQNGTIRPIGPP LDPGVTMGDVRKTHYPRLPHEFQLWVESDGCFYGDRNGPDSSLVFLKYFDVIKQEITG FCSVYIHPNDRPDDLSPMICRAMEWEPNTPISYYEEIKPTSIDKMDGKKTMKQLEIGD GDIICFQRAMTSTEYVITIKYFSKLYSHSQLE TRV_08050 MDVAYDHIQEESLASSGNPHDSDSPGEPSSSSGQRQDLTSEFQD SLRLLSAQPWGARLGGLWSNVRKQSETYYEGARQEYNAASEEAIRGFTGLRASLIDRT RGLSISGLSATDETDEVVGDREESSTADDAATIKPSDKAGTGSDSVDADGEGFISRFR SEAAKRLKDLEKAEDAADEALLKFGTNIRNFLREAVSIAPPSSTDDKTDGKVLFESRD SDGKRVIHTTRFEAQLHAIHSSLEGFSEDPASKEWDAFKDNFEVDKKTESIAADLEKY PELRKAMESLVPEKVEYGDFWRRYYFLRLVIETEEKRRKDLLKASTDEDEEVAWDEDS DSDSPATPQAASLSKPFSNTPSNEHLQAEEPRRSNDLQSQAGSDASYDLISGATSHSP SSPKENQSGTPAKDDDSDEEDWE TRV_08051 MPHVFVMTRPLSARRAVAGRPSVTKPRYALRRRSYPSRTRNLQR QHRLALGGNTRSQKTLTQLNFVLPQDYPGSEDDDGGSQLEAETTDGEERGGPRPKKQT TRDHVRKRKRQRDDRPNRTLTQMVNVDWALPRADKNDSGEHNSRHPRKRRGVEMETIL EDVENAVDEEDGDPNPPADNVPKAGENKLEGLPARKGQSSLEISAEQAARHGKMLPPT NPVTPRKQTRWVIPSSQSPESPEITLNSPRTPRSVKNSPVRLSLASPAAPLFNKRRSL LRFDANDYDSQVVPDDGYFGISAPGSPASALSSPRATSDPSISFGEDINARFNATRRE RQTQELQASEPGQPESIVYETDGEAKPESMEDVCPNAPGIKGTRKSGSSDQDGPQLSL GSNIEQSSQNIPASTYMSDTMSVYYTRQPMSYAFEKVHASQSSKDISESARYTEIIES SQSNLPSIGDSDNQETLAKARPQHEWENTSSARQNDTEPDQATSALSPVVQVESSQRS GSEVEVEVPGSQALETEIEARRIITCSQLLTESLMESIPGPPNWVPGPHSNDVNDLND DGTAPHES TRV_08036 ISSPHIQAIKERKYRKSERGPVEAQEPRMCYRKYYKYKCCAVEK DVDWVACEDRPPGMNCPKAQEHNWQGPYAQYLGEIEGPCWNCMWESYNDGGKAKREFI ANFGKRKPGEQPWESDEERKDQRMAGEDWYWAMRRRQKKQREKERRKLETEAGRVTHS TVQWPEGVEGEGTSGSSTPGTSGSRPDSLPESQHASRPSSRYAFSVAGAQTPSRQLPN TRV_08037 MYVFTLLVVVVDSAWLNVGSQDIAHQRNGFDLTPEELAEWYMKL QTVGNVHNINLITPEHVVPQVVLSILNARDMGLNIPIIYNTSSFDSLDSLKLLDGLVD IYLPDFKVWKDSTSKRLLKADNYTATAMESIKAMHAQVGDLSFTADGVAKQGVLLRHL VMPGMEDEGIEIVKWLANNVSKDMYVHIMEQYHPDAHVGKKKRAKIKDSGAEGQTVRY SDINRHVTDKELGAVQLAAREAGLWRFCEPAEHGGFHL TRV_08038 MFKKLRGSKADQIPVDEPEELSIDPGTAANHASSAADLRRASEP AFAFKTSLQRNESPDRIPPPFPRHLSTSGASFLTVGSQPSRRNSGKRSVDPLGLTLVH ECDNPVADLIFVHGLGGSSLRTWSYDRDVDNFWPLWLGSEAGLAETRVFTFGYNAHFA HQDTTLSILDFAKDLLFRAKMYQDRANENSRLIGENPLIFVAHSMGGLVVKKVFDAFH FFQLYKSTHLAYIYIFYIYHQSNLSTRLFLGTPHGGSSFASTLKNIIRATPTIGSKVY VNELEKGSAALGDINEQFRTICGDLDLVSFYETLKTTVSPGVKIMIIEKESAILGYPT ETSAPLYADHHGIIKFPDPDDGNYRDVRNVLRMFVYRIKQLQKKSTKQKPTEILSPSP ITLENVLGIKGVGSDLEVIRQRVQPGSCRWILEKEKFKRWTEDNSPGLSILWLTGLPA TGKTTLSSFIIDYLSQGLFPASCQYHFFQAEQHDTRTISYFLRSIAFQIAEVYDDFRS RLLEMNRSGGILFSSQKHNVIWEKIFEGLLFRLSLGEQLFWVLDGIDEAESPSTLVTL ISKINSATPIKVILVSRETRDLSAALSFDRCHIYHEEIQTSDTMDDIRSYVSTTLHRI LPDDGEQDSIIQKVLSKASGSFLWAKLALERIKDNWHTDADIERALAEMPEGMEHMYE RMIRIVADQPTHLRKMATEILTWGVCSFRPLDIEELAVALKSKFGSFYNLKITISQIC GNFIITKKSSVTLIHQTAQQFLTSKDANLPISIDNRKGHERIARVCMDFLSDTKWRTR FASLQQTRESIQQDPYTEFPFLLYSVKYWAYHISCASAESEELHAAVFDFLERFCLIW INAVSLTGDLQIITRSAQQLKSYAKRAINRRVESPPTSFKSCRDQELIQWANDLIRLV GRFGSYLVESPSAIYKYIIPFCPSETMLSQTFQHLMRGSMNVKGISSGNWDDCLARLT MGGDETASTVVCKDNYFITLLEGSGTLVIWIAETCIEYNRLHHNEWVSCIKAAKIRNI IASAGIETIRVWDLGLGNEILKIPKSHEGRVMSLAFSSNDNELLVAYDDCTIQCIDLE TSQELWCFEAYDTSRLEHSCPRFMAFSNDAKRIAIAYRGRPVFIWHISRRKQRPLRCI RQDDIYKNDEDVWNSPEAVIWQPDSANVLILYQDTKLVDWNIDDDTQTEHSHIGAREM AVSSDGNLLLTSDFSGSLSVWSTGQFRLIYQMKYDEFVQDIAFAPDAQRFYDIRGTLC NVWEPAALVRPDDLNREDMSSHDTLYSDPVVSSDDNSRVQISALISSEKTLYHCSGKE DGSVVIYETQTSKRLRKLYGHSDISSVVSMAWSPSQKYIASADDSGRVIAKRLGKLTA QAPQKWAVYPLLDLYLEVAVTQLLFSASEEFLLISSSAMDALWSTKSKSKILELEHKG RSQGRRWICHPKDAKLLICIQGNRQEIYTWSTLTRATPPSSPKERVSLKGKATEGLAQ VMDNISIRDTLEDARDMFPSMDTPFEAPDHVFQIKDRYIVVGGTVYRGSGGGSRLYPT SSRRVEIIDTNDAQLQRKHLVRLSAHVSRLVGIFQGRLVFLNHQYWLCTWELGSDEDS YRKHFFLPKDWLLPGALQLVVIDSFGNLLCPKNGEVAIINSGIRL TRV_08039 MEYQIIVYLSKFFTFTGLADDEEKVARGPSAPVMCEGEFESMKA INEVSPSLAPKPWARGKYKNEDSYFMLTDFRDVGKQPPDPVKFTARLADMHMRSVSPT GKFGFHTTTCHGTITQVTDIWEESWAVLYRKQLAHMFAMDLEKHGSWPEFEQLCDLIL EKVIPRLLEPLQSEGRSIKPCLLHGDCWDENTATDMKTTEPFIFDAGSFYGHNEYDTG NWRAPRHRLSGKLYVKNYKRNFFPSEPKEDWDGRNLLYSLRFNIGTSILIPGCNQREI VFEDMKELCRRYCPEDSPTLIQRARNPGGTEEEEEEEEEEEEEEEEEEEEEEERRRGE RKEAEDEEEEIEEEEEEEEEEEKEKSAKH TRV_08040 MQEVDLYLMHFPYAYAIKDGYATQRTPDGKPVIDVPLSRAYDVT WAAMEKLVEKGKAKLIGLQTTGVSNFSSPKLKRLLQTAKIHPVVNQVEIHPYFPQKGL VEYCQENDIHVTAHCPLGGAPIPVLIGRHGPGPLEDPTLLRLAQKYDKTVAQVVLCHT ICRGISVIPKTNNPKRIIENFDILFEMDEADFKLIDNLMGERGERGIRNLETRDYLGF DNFNEEVEEP TRV_08041 MNVDRHAAEVSKTLRYLLFYTSAGWQTKESQARGATMPGFDGSS LFSRTGLFRFSEALAWTTIFPYAFTMMKSFLPADGNQAARAAVLASSTVSLFTFGEFL TGVPWAKVSDRIGRKRTLMIGVVCGAASALAFGLSKSLGVALVARAFGGLTNPNVGVV SSCVGELVRDKKDQGPVIGGWLAEPTKTIPSVFPEGSIWEKFPYLLPNLIVTLFIATS GSLGFFFLEETHPHLQNSRNVGLEMSQWLCRKTMKLFGYSDTQYAVLSSNGDDNIPLH CTEDVEFTAINESSSNDADKDSNMETKSPVKSAYSVQVILQILAASILGFHKVSSDVI IPIFLAHNKEPTSDKEESKFLNFTTGFGMSSPKISNVLLSQAVVAILAQIFIVPKLIA CLGPLKVFRWAVFAFPCLYCLTPFASRFISPLSTILILVDLWVKAILVNLGYTASSIL QIKQVFGPSANMDRLTNTSPSPLHLATVNGAAASMGCLARSVGAAVSGSMFHLGLQHH AIGLPFWALAVIATVGSILSRFLRDEP TRV_08042 MKPIIAVPATLLLVHRAWSRKSLTPLGIVFAAFTAVIHAIHPSS TPFALLIVFFLGGTRVTKVKHDVKARLTISATGAAGGEGSRTHIQVLANSGAASILIL LDCYRIYYKNGDLSCLPYGRPESLLMVGIVSTYAAVAADTYSSELGILSKSAPRLITS PTFRKVPPGTNGGITLVGVAAGSLGAFTIAVTSMLLPFCSLGSPVAGCSKLGLDGGSA WGWNEQALWVLAITIWGTLGSLLDSLLGGLLQATVVDKQTGKVVEGCGGQKVLIHAGA LTSKIMGDPPVRQETSLRTNEDIANALSPHASPHESHEGISDPAHESRKITAGSDILD NNAVNFLMASIMAVGGVAMASFIWGTPIDSIFREW TRV_08043 MELQGQSPSSPYDPTQFMNGKDPETTVVELANLLKKTVDERNAS RRCLSQAIKTITDLKSHIEEHRSIIMESQAALAECTRKSSSYLSFLMAVEPRWIQDTW VSFPANEPLLGVAEYKWARGESQHALNELCLLRKSLNPAGPDWIQTFPLEGAILLSSG QYTEARFSISDVILPCPTIEEQNPDVIRNFRDIAHFLLGKIFMAEGKWSEARREFSEV IHVLEYSTRALQLKTISRQKSNNKRKPHSLSGSASRDEESTRLVID TRV_08044 MRVFVNKPLLKFTNLASIYIIFIHIFVYNFFYPYMSCKIDADND GGSSGPSSPRSQTLSETLQFAPKTLKALQEQRLLETEQIEATIFKEKATDVIDILTAI KRVRELELRHYVEAIDLNLARAINRESIIMQLRGQPAPAACEHCNKGAGPFTECIVMK DTFSGSCANCHFNDLGTRCSLRTESLTSPNKGKGKEKEDLPAVSQPKKNVNKEGSSGK RPATDTEVRKEKARCLHRRFRMVASTVEALSASAMAQNEILEEILKQLEARLTTLSNS VALMRAGLSSTKADAVSQYNLAIAAREMADSLAAEAGFEDFEETTREEEEEAAAVADI TRV_08045 MSPIATSNVEIVDIRGTNLQSSLSQDIYHGLQAAEKSLPTLLLY DTKGLRLFEDITYLDEYYLTNAEIKVLEANAAKIAALVPENCQLVELGSGNLRKIEIL LNELERAKKSVEYYALDLSLEELHRTFAELPSKSYRYVKCRGFWGTYDDGLNWLNNPM NRKKATWVMSLGSSMGNFSPVEAAGFLRGFARSLGPADSMVIAFDPCKDPERVFRAYN DKKGVTRQFYLNGLSNANAILGFEAFKSGEWDAIGEFDQTLGCHKAYYVPLADTVINN IHIKKGEKIFFEQAFKYAADDCEKLWRDAGLHPTRKFGDDYTCLCPAADIHILSSATP NMNPYQLPTKRTEYVKDVIPTLQDFEAAWALWDTVTTSMVPPTDLLSRPIHLRNSLIF YLGHIPAFMDRHLARATGGAPTEPAEFHSMFERGIDPDVDNPDHCHDHSAIPDEWPSV ETLVEYQGQVRTRARSIYFNGQAGDRKVAEALWISFEHETMHLETFLYMLLQHNSTMP PPLVAKPDFKQLAFDSAKTAVPNEWFEIPEQTVEIGLDDPGSDEIPSTSFGWDNEIPR RTAHVPSFMAKARPITNGEYAKYLEECGTEQLPASWVEKSTQNGSQNVMANGERVEVS FLPPTIMLWKPINMAIRTSANGSEKQNHDTNYQPVQNPFNLDKSVYVDLDDCNVGFAH WHPTSVTQNGNKLSGQGNFGGLWEWTSSTLEAHDGFKPMDLYPAYTGRLNLSDSKQRR S TRV_08046 MSQQCKGKTSLLWKAYGALHEVPSNNVLHCCRKSNELHEKRHKD IAALVDKIHEFDRGERDLTLKFADCTNGPVLYDRRTNLTPAMGVMKLAKAAVLRSLAP PETLKRTLSAKDRRAEKRAKKLEKSVAKTIRRQACRPKIPEVVLASSIEQVNKAIHGI SKPESSLSPEDADTFFVASILHFQEVEEQAREFAMKQDAHGRYHESKTPSPGKYKVEY GNINKYELPIIREILTKLNVSIEKDNADKDRKHLLVKLGEAIFADLELLSNEARETMR RSAGYWRFASRRTYNAMVRNSKIVNWETGEKLAEETLAAHELEE TRV_08047 MASETLTTISPITGKPVVTRAGVTQDELAALPKTAQEAFRAFSK STTLAQRQEIVARALNLMAKKKDVLAREVTEQMGRPIAYTGVEITTAIKRGEYLNRVA GEALGEPVPGDAEPGFKRYLKKEPLGVVLIIFAWNYPYLILVNSLIPAILAGNAVILK PSPQTPTVVEQVSSIFLEAGLPRNVLQYFHCGSLVNLEAIIRSPLINHICFTGSVAGG LAVQKAAADRIVNVGLELGGNDAAYVRQDVDLAWVAEEIVDGAIFNSGQSCCSIERVY VHEKVHDAFIQEIKKVLSNYRVGDPFDEKTQIGPVISQKARENILSHIEDAIKGGARD ETPANSTFDNLPPVGNFVKPTLLTGVTHSMRVMAEETFGPVIPVMKVKDDSEAIRWMN DSDLGLTASVWTKDVATGEKLIQDIEAGTVFINRADYPSPDLAWTGWKNSGRGVTLSK FGFDQFIKLKSFHLKDYPK TRV_08048 MVEDEFLSTARSFTAHLHQAEYTRKRKQAKTANASKVMRMTQRR PTDPKTSMNNATKKSIQRESSDMQRLEALEKMKEDAGRPQVDDSDMEEINDEDIGMSD EDRDDDPWVGTSLQALMVTAKQSRPLMGLQGIKSSTKAALGYSRPANTSGGVEKSLRD KGTDEGVKARPISNIRPPSRADATEDFTASSDDDDLGVQARSLSRPPAISARSRTSVP ACTSKQTPVALPHKRDSTPSITRADPAPSPIKQPHVNIRESQSSSSGSSSPATRSNSV PKPRGSSSSRFAKFFDEQDEPAKEPGPSPAKRQVKIEESDPTFDQIKTFQPENAGVRE EGKKLRKQRLSQVPTFL TRV_08033 MHGTLAKSSACYAALQGCVVDDARNRTYILTQLPTPRDSLLSLT GMSRSRSISTFFYSPPFVFFYNFPLFSSTGGSGVLIGQGVIVHVLVLPAGYSPFTMEK KTPNPLSPPYTAFYAGYKGGNNNHNSRDFLDQKHYRNQPPATSHLFFHLLPADIPDCF PGSDFVVMFINDEELNGVGKVQSKGIYHGLPVFPESLKGLSAIITGANGISGHHMLRV LAESPERWTNIYSMSKRPPLVPTKWKTNVQHISLDFLDSTPVELAMAMKENGVKADYI FFFSYIQSEPEDGGGIWSAAEELVRVNTAMLSNFLDAVKLAGITPKRVMLQTGAKNYG IHLGPTMTPQREGDPRVLLEPNFYYTQEDTLFRYCEETGASWNVVMPSFVLGAVKEAA MNMMYPLGIFGAIQAHLGRPLVYPGELASYMMPLDLSSATLNGYLEEWAVLTPKAANQ AFNACDNSAFTWAAFWPTFASWYNLPYQIPDDEKSQYISIPTQYEPPPRGFGPRGIIR LKYALSHWATDPEVQDAWKVLSQKHNLQTNPFQSAKDIHRLFSFTDSALLMAWPLQFR SGLFVDFLFLFLLLFGFRTKCHKLGWFGAVDTIESMRQILYEFVGLRMLPPLPSFKE TRV_08034 MEVEYPPSNASAQDASSPSATSTSHRGSPSLIPPPSDSRTNSNE ATAGTDGSKSAQYNNSPPAAGAAADTAPSDPLADLKRPRACEACRQLKVRCDPDQDHP DGSCKRCVKANRRCIVTVPTRKRQKKADSRVAELERKIDALTATLQASRAKSYPSDLN DSGPQQHVERSWSGSSRWSSQRRVSSGENPSSSAGLAGSKRSSNGDIRSLPGMRPPAI LPPSKSPSSHTTFVSTWLHGESPNKQESSWPVFLPESSAGRRPDHEYADVIDRGIIDQ ETAAKAFTHYVKVMAPLMPAVVFSPGTKMGDVRRETPILFLSVLSVSIASCAPSLEPI LTSEMHKIFADRIVVRGEKSLELMQALVIASLWYMPPEHYEELKFYLLIHLAAIMGTD MGMNRRSKPQAQSSEIIKELMSRKAIFVDPQSLDVKLLLESPDAYPSDRALVEWVKLA HIGEEIGFQFSMDDPLTNISIDEPRVQFALKGFETRLDEWRKEVPSKVYSPVMEHYEQ VLSIYMHEIGMHIDHNIDDFKPPFLPGLAEESQANLGTAAHVNALTACLTSIHRVLDL FGTMDRSLMSCLPTIHFVRTSYACVALIKLYSVASSPGSRLANVFSTADFKVEASLNN LINHLHTCNEGNQSRVGLRFSLIIGMLKAWYAKRKDKKAEVPLPPFLQPRASKSEKTA PAATDTGADDDANKSAPLRNSSTSNTLGEAAVQYPSSTATTKQGVQQSPNKAAQNNIS QPCGTNTPGQAIVTSPGEITTTSAATIRTYGPSGLSQTASSSGDWPPFVSQNQMSTNP YTTTAPCESSMQQQYMNPTFGQNENFVSQAGFNNVPTTGMDQQILNWGHGTIPGADLS AVMTFRPSLWDEELFQLSLEGFEGVF TRV_08035 NLVPPMSLPSESQASTHEARSTTVHRSLSESRHDYTHAARRGSS GGEDHTTWTQFLREDREDQDLLMDSSSPDRDDNSSTSPNRKRRLRSGSSRSGGGSGGG GSSTGIEIPGARATQPVSIRQHSRPGAPGTGTRPSGSPSFVRPDEETTETQSATSPPS SSMSRQLNSLRHRERSFTDYRLPRWQPDSEVTECPICGITFTFWFRKHHCRKCGRVVC AACSPHRITIPQQFIVRPPEAQTQLTLSSLIQRNTTDREGVDLIDDEEVQPQLSPSQS RSHHRATSIHQIPRNALGGGTEVRLCNPCVPDPNPEPPRRYRASSSTSHHEHRTRNTS LGWDDHHHHSRGDPHSLPSEYQSPGIWSISAERPRRHIPSVPSRMEGRRQRNQEFESS VHGYRRDPQRNLRSNPTDANLPSYGGFGYEVSSSLRGLAPRYLPGRETESQSQGSPPF YSHDGFTELQRNQHHPSYTRSILRTADMNRPLPAPPSGSRPRRTITERDICPVCNHIF PPQSPGSDEDAREAHIRVCIERHAARRPGASSQSTPSERLRMLTFVATEKDCLGTDGV QSECSICMEEYEVGAELARLECLCKFHKTCILGWFDRKEECPVHKVT TRV_08010 MKKTTMKKKKKKKKTLRDEEEDEVMTMASDMFAFLLDRPPICLS VCLPVCLSIGLPIY TRV_08011 MVPFSLPFSLSLSLLWLFLLPPPAGVPIFFFSLPFLLPGPLSLL FPRPRMALPHLRASLDSPRKHTFSAFPANFMANFRHRHVRREYHIVVLGAGGVGKSCL TGKSLAGFIQSLFWCLLFALTARPAQFVQNIWIESYDPTIEDSYRKVLAVDVSVSLFS YSPTSEYPLIFFPYIGTAMSSRNLGRNNSVSLITSNLPDSIPGHPQTNKLNYSRHEQL ATHKAHPFSRELYMKQGEGFLLVFSITSMSSLNELQELREQIIRIKDDEKVPIVIVGN KSDLEEDRAVSRSRAFALSQQWGNAPYYETSARRRANVDEAFIDLCRQIIRKDIRSNK DRDRDYGGSRKKEASGAADKRRNRRRTKMKTDCDSSVRPLFCLVRLNYTPSASLVCSL VCCLIFSFGYLIYLLFSFFLFFTSLKIYLLPPSSSFNLILILLPYYTPLHTAPSFRDI HITVSINKPPPTPSEKFPFCYISFPSASLDIIPTFAPPSLPPSLHPRLFSPLSRWKNE KERREQEQRERPSFFITI TRV_08012 MAALRAPSAGLLHSMRCALNQQLPRRAAITLKPGSSYRVRSVAS FTHAHQASAISVLPTTVDTSSADFQENAKQMEEVLKKMSDLHTKIAQGGSQKAREKHI ARGKMLPRDRVTALIDPGTSFLELSPLAGHEVYPGDDVPSGGIITGIGMVEGVNCMIV ANDSTVKGGTYYPITVKKHLRAQAIAQENKLPCIYLVDSGGANLPHQADVFPDKEHFG RIFFNQARMSSLGIPQISVVMGPCTAGGAYVPAMSDESIIVENQGTIFLAGPPLVKAA TGEIVSAEDLGGGKLHSTISGVTDYLAVDDAHALTLARRSISNLNWPKTTSPLTPTST SAEPIKEPLYPASELAGIIGTNLRRQVDAHEIIARIVDGSEFSEFKRDYGTTLVTGFA RIYGTQVGIVANNGILFSESSLKGAHFIELCTQRNIPLLFLQNISGFMVGADAEKGGI AKNGAKLVTAVACADVPKFTVVYGASAGAGNYGMCGRAYSPRFLFMWPNAKIGVMGSE QLTNVMAAVGKSVDPSLRDRIDAESEAVFSSARLWDDGVIPPADTRKVLGLCLAASVG GRSEEAKTKFGVFRM TRV_08013 MASSALPRFLGRSAPRATLAARRQFVPVAAWRAASTKHPQGFTP PTEDELNELRDTVREFAKREIPEEVAARTDEQNQFPPEMWKKFGEAGFLGVTAEEKYG GLGMGYQAHCVVMEELSRASGSIGLSYAAHSQLCVNQLCLNGSPEQKERFLPGLISGE KIGALAMSEHSAGSDVVSMKTKAKAVDGGFVLNGTKMWITNGPDADFIVVYAKTEPDA GSKGITAFVVEKNFKGFSCARKLDKLGMRGSNTGELIFEDVFVPHANVLGQLNKGVRV LMEGLDLERLVLSAGPLGIMQACLDLVLPYTHTRTQFGMPIAHNQLIQGKLADMYTKL AASRAFTYNTARQVDQSAVSSDGAQVKTQDCAGAILYAAERATECSLDAIQLMGGNGY INEIPAGRLLRDAKLYEIGAGTSEIRRMVIGRAFNKEFA TRV_08014 MAEIDEYDEFEEYLWIDYGEPHFTDDMAMLVNPDPVFIDDSSLE DALDSDTDWEEYLSDEYYDQDKPDKVHRQKKKIESKKPAQFDSATFYGVSWSAGSPLN NDGPLYQPGEGEKVSLLKNWREVFQESKPKTKTSEKLKQDGSIRATFHDHLSTRFRRN SEQGALVNDNLNLGNNMETDGLSRPVSGLKTFLLGSSMWAEKKGRDHLFMNNNGPQLK KGSSARAEAKPNNNLDIILPPAPKNIEEYKEIITTAPPVTQKRGRKRKADVTVEQTTP DLDSNSASGLTRSSKRKKAGHTNGIKTATSSRPIRSSTRRK TRV_08015 MTKWKATRAASFFFQCVDYREKDRNLLLCIELNWTWCWASSRPA STSSIMSFLLRASASRIPQRALFAASSLRHSSSTATGKGTTSLNSILIANRGEIALRV GRTAGQHGIRVTTLYTDPDAHAQHALSSPFAYNLGETSAYLDGDRIIEIAKREGCKGI HPGYGFLSENAGFAKKCTDAGLIFIGPPPSAIDAMGDKSRSKEIMTAAGVPCVPGYHG TNQDVNFLAAEAEKIKYPVLIKAVKGGGGKGMRIARSAAEFQDQLRSAKSEAKSSFGD DTMLIEKYITTPRHIEVQVFADQHGNSVALGERDCSVQRRHQKVLEESPAPHLPEATR KDLWAKARDAALAVGYEGAGTVEFIFDNDTGEFFFMEMNTRLQVEHPVTEMVTGQDLV HWQLLVAEGKPLPLTQEEVEAKMATMGHAIEARIYAENPEQNFVPDSGKLIHVRTPQP TEDVRIDAGFVAGDEVSSHYDPMISKLIVRGADRTEALRNLSMALEQYEVAGPITNIE FLKRVCKSPDFIAGEVETGYIEKHREELFAKEPVEPELWAQVALSTFISGTSSSNPIG NTGAGIGFSPGYQQRQFTFVEATAPNTPEATPATVQIYQTGPSSYDVTINNEKTFSNV TATLSADGKSLTSFFPHTRLDTTVIRDEDTITAFQHGRQYRLKVPRAKWMEKALGIKE LTNSVLAPMPCKILRVEVAEGAVVEKDQPLVVIESMKMETVIRSPIKGVVEKIVHKQG TVKRSIDIFADELRRKERKSRQIQCLVSRLSVGIIKYRNEVTHHGLRSSFFLLGRYLL ARTEPRWLFFAAHRSLDKADIPPIQVFNIFSRRGILVFKGLYMMDDTMTSPFLFVCLH IFRAVSLFFLAAIRGEKSFLLNCIYKPQNTITNHQI TRV_08016 MFRLSARSSLLRAACGPSSIIRGPSAAATAGRFGVQQAAYRRNI SSSTALYKDNEKVPAAAIDAEGGLARTDDSVRVEYPPDDEMPQTPIVQGRGGRHFKRT LASFSLEGRVSVITGGARGLGLVMGQALVASGSDLAIVDLNKQEAELQAKKLLEQFEK ENPGLDRLPKITAHYADVGDPESVNASIAEIISKHTKIDHLVTSAGFTENFDAISYPY DRIQKLWKVNVDGSYLFAVEVAKHLMERKAPGSMVLIGSMSGSIVNVPQPQAPYNAAK AAIRHLAASLAVEWAGAGIRVNCISPGYMLTALTQKILDDNPDLNQKWTSLIPQGKMG VPEDLMGAVVFLTSDASKYVTGADLRVDGGYTVT TRV_08017 MTEALPHGIVFNTHSIRSELNHDSHVEVEDVARLWRVYTTNKTT LEKDAGRRLENLFWRIWSNGRISCTIRGYTLAFLFIQISEGEYTTEEWLRKERELAGL KPRAIPHGFYVDNSLPKSPSFQGPSRSNSSRLTPSGSSIKTTLPPSILKKPRPPSDEQ LPDSPSRKDVTASYSGDSLYQQSDRSRSGSIRRKKTTFASNLTCSQEMEPGSLPRRIN RPFPTRTLDSPAELRPQSPPSKFRGPFDDSPAQSPTSRPNNPAPSSLSRKPQFRSPFT TQSSQPQQKGSYTAQEGNLTANIRMSSTITAGDKMMLEEYLANSPVVIEDKPSSEQPS TASLVEKGFRSRFVKEQVKVKEQCHRVSSLTNLIDSVQPGPAPVPNTSSASSSFTSSK KSKPKSKHSPTPSTSSTHSTTALLFQIPPSSNPPQHYSTSPLFLIRVYLYECLVTIFW LRWQRDMKYIITGIWIDRSAFLSGNRGNRDSMM TRV_08018 MFVAGLLLKGIKSRYSTGSIIGTLFECCIRFLQFVFGIAVIGLY AQDVDRARKHGDSQDSRWIFATVVGTLSAISGLVYILIPCAVRRPLSQATFIHLPCFV YDSVLVILWLTQFGIFAKLYITVDDDKDKSLKRMKHSAYVDLVNLCFWAITCAWCGIR WWRGNKAGGVQKEEKAFDEHIEQV TRV_08019 MAATLNGCSGTTLTIDESSTGGAYTSAPDTPSPIFPERLIRPLP KRPLRSRLSSDAADTILYPPSQPTTQLFYGSYSTTGDSLNDAKVYVQRNVYGYEHNAD DDGDHDHDTHHHHHHHHHHHHHDHHHDHDHDHDHDHDNDHDHHHYEDGAESGEDDGPV AVRRSVGLQRASAPPTVGSAKVASNGAQDGYDAFENTNNKKKRKIPTSGGLGCHSSLS SDIASLSCSGGSGASISVDDGSGQGSYYGSGNPASPIGTSGMSGKGRYGRNGPGRGAT ARQPLAAHSPNTWSTGRRHDSALSQENFEPGKDQGIISAAIANAAAALSSNPQGQKNV SLLDQTKRSAPAKTQFTFTCESDSSRGMAWQSAHPYSLPEHHRSVTQPPLPGQRGFST QGTQTSPNMATQANHQQQQQARQQQQQQQQQQQPPGQAQAPAPPPRKPRRSARAIYTQ AARQRRIQQQITNLHHPPDLESIWICEFCEYESIFGHPPEALIRQYEIKDRKERRRLA EKRRLLEKAKMKGRKGKKGSKNAAKHQNTAAAHGQGQAQAHGQVHGHADRAPHPNDQS IPPDLEEYDEEYEDDGHEDIPTDAAPRIPPSIPKQHHPPSPPNSVQDSTGGGGGSGGQ LVCFMSLSSLCQSFCMSSFSPPFFFPSLHPDASLIHHYS TRV_08020 MQREHEAVCPDLPNHACYLNRGGLLRAGRCRCWHSGRGDGESKR DARQAEDTTGGKGDKGREGGSWRLSGRLAARRRLVKRVKLRFFFFFFFAARFLDVSTL ASLGSQWQTRLIGEAGGNRGEKDKTRQKGSRDGDRDSKMGEGAGDDGGGGGDVAMSGG LVYEQPILIEYYIPKPSSTQSHRKTCLFFGYLYAFLYPAGSILSISLPITSQQIEKAL KMKLKAAEATDSKYTPARASIHYTQPSGYVGIILLEHLHIESPCFSERRRDATTSTSA SSSIIASQSSSIHLLGLILEVELPFFPDVSVFGAWQASHSQPPCRFLSLFFSSCLISA SASSSSSSSSSSLHLLHLPVLSCRRRRLAVCSWPWAGTELLARLLFSKNSINSNPRLS PSTPNVNFDFVHFPPTSTSLACSSARSRIFPYASPASLPPARSQPAPILETLPFGRRG FVSQKDRDFCPLAPRSFASILTRAAGPLRPDNISHDTSLHLSLNSLSRPERTTRSNNN GNKPTPPRRSAAQRSQSLDDGADTASSKNDAATSGSGSGSGTSTRQQRQSRGREEVEA DADADVTLTGDEFDEEGEEEITRCICGHQDYPGLPAHRGGAAAASSSKGGKDGDGQGA GSGADAQSDDAGSLFIQCDECKVWQHGGCVGIMEEASSPDEYFCERCRKDLHSIIVGP HGGGWYLSCLFTAAVFLRFTASSIDFSGTTYTFNCSRNTRYTLYRMASLPPLSPAALS LSSPHHPSTCLAPQSPASFELRHSSKSGSTSSASSTTSRETAKTSKDKKLKSSDTSPR TKRRTMNSRDAAYDEEELLRRAIEESKEDTASLAEETTTGRRGKRSRSVSELNKQAAK RQRTSSPSPSSRSKPSRRNTRSPSEEETKSKTASVNGNQKKTRATRNQREKEPEPEPE PEKEAAPEPVSRRKGRSDRRKGEDSEPPETTSPTKPTPNAPAQSASDTPTASTPVSKP ATRKSGRPPARRGRLGRNQYTRDRDPPNGTTDAISNSPRRGQSREDVTTGDSPTSASN AGPNGTYANGETGKASRPRYMNPNRTTMNDMRRRVAAILEFISRLQVEMAASGEQPTR PPGDSSSTMATTSRDMAATIAKAEAILNGLTNGTSSSECQSSSDAQVAPTSAPAPDAD AAGKDKDFKDLTSGEMMDVLTRGLFKWQELFGKYGDK TRV_08021 MAPFLRSRVLEHGTFGVSHTRVPTESRPGHVALIAGLYEDVAAV TTGWKLNPVNFDSVFNRSHHTWSWGSPDILPMFSTGAEPGRVEDQMYEAEFEDYSKDA TELDYWVFDRVKKLFEDAGTDKELNTRLRQDRIVFFLHLLGLDTTGHAHRPYSQEYLR NIQIVDQGVQEITDIINRFYDDDKTAFIFTADHGMSDWGSHGDGHPDNTRTPLIAWGS GVAKPRTVKSGKAPGHEDGFSSDWQLDHVYRHDVAQADIAALMAYLAGLESPVNSVGE LPLSFLDATDEQKAKALLVNAKEILEMYRVKEREKMGEVLHYKPYPGFAETSIENRLA SIEELIQEQDYTAAIQQSDDLIKIALQGLRYLQTYAWLFLRTLVTAGYLGWIAFSFTT AVDVYVLDGKIEVQRSPGLIISFVSILVGIYSLLAFQSSPITYYAYAFFPVLLWEEVF ARSRALAEGRKKLFSQFSKSDTVKLAINTAACLALLEVMVYTIVYLAATAWPLLYGTD FVKTNFFLCAIWAFSCAAMSVFTLLPANKLENITLISAGGILILLVGFLYIVFEKRLL EQTVLSSDRQSAADVNGVSRVILGLQVGLVAISVFVTRSSVSSLRAKQGLPLGTQVAG WTTLVTSLVIPFAHALRPRKHHLLRQMIIFLALGPLFIILTISYEGLFYFAISITLFN WVQLEHRIYRHSKNDISITSGALSSKTGLEEEISIPRAAKQGEKAAAQGNYRSLVLSD VRVCLFFLFLLQSAFFSTGNIASVSSFSLDAVYRLIPIFEPFSQAALLIFKILAPFAL VSTNLGFLTKRLKLQGGSLFTVVMGIGDYMTLRFFWAVRDEGSWLEIGESISMFVIAS ALCVFVAGLEALSEVFVRGVEFEDDKQEVRGRTGFPSVGLGSGGPMRDSR TRV_08022 MEAPSSTKQTDAPFRFLDLPFEIRHEIYSYCMPYHTHFEEIRYF RARASFPQPAVKKSNVKNKNALLRVSKQISEECLNILYGKNRFYITLNASKRCLLANR ITTENRTRIRDVVVIVRHMGPAYGLPPPVPADGLWSSLLPGLRQLKIIAEQPVQSPNS PFDPAFDEKVRSWVKWFGLLMECFAKYLTSYTVVEVDENGKDVTTELVRQHLTHSYRV VRDDIHGDFIFQRGRFAIHA TRV_08023 MAGEGSTQRRSWNWSWSEPELGRLGLRACMSWHCGGNQPPRSTT SSPSALLSSLLTVLIVPLTLYILPFTISHPLSLSLTCEVSHPGPVKPTTRVEVPPPLV LLFCRRPNKKSKTSQPPTFSSFFFFFFLFFFLSSIASLDFTPSIPSQLTFFHLPEQDR RQSIFVLITLSFRIVTQFERHSRKDAPQAANLDFDARVPIPFSVFPSSYRSDAAAAVS ESTQERVEGEVKFSGASRVGREDTRYEGPLPAPRTSTQQATASFDQRFDNEEFRPVTD YRQTTVVAHEEHPRHSHQQEHIPEVQLSRERYYETRDRRETELDTQLDITERQYRART DPRYQAQYESEPRQVRDVDVGYSSSRTFSSVERPSEKVREVDVAYSRAYETVDNARPP VEVRDIDYQEQTKKSDTLNLISDHRVVQEQDPKAKMGYYDDEGQYHSFRRGVERAAER VMHPFHHRHHHHHHQTHEDGIISDERRANQVVPRENVRVVQPRGGHPPDTITIPCHFI RIGDLLILQGRPCQVIRVSVSQQTGQHRYLGVDLFTRQLHEESSFISNPSPSVVVQSM LGPVYKTYRVLDMRDDNRIVAMTETGDVKQGLRVVDQGNLFNRIADAFAEGRGSVRAL VINDGGRELVVDYKVIHGSRL TRV_08024 MPEKEIENVICQDGVLDANANGGAKDMGELESGHTQEERGREEE AAIYSAFKPGTKIFILAMATFSSLFSPMSTTVYLPALTPISADLNVSTNLINLTLTTY MVFQALSPTFFGDFADTSGRRPAYITTFAVYLAANIGLALQNSYPALLVLRCLQSAGS SATIAITMGVMADIATVSERGRYVGMVLTGTLVGPAIGPVLGGVLVQYLGWRSTFWFL AIGAGVFLVPYVLFVPETCRNVVGDGSVQPPWWWSRTLMDIWKGKKVRPSKVEWEASL ADQGIKRRTSSFPNPLQCARLALEKDIGLILGVTAVLYGAFYIMLASVPSLFKEIYGF NEFQVGLCYLPSAAGGIASSFSIGHLLDWKFRRIATSLGLPVDRKRAQSLKEFPIERA RISLMLPLLYAGMATMVGYGWALEQRAMLAGPLILHNEHAAGGPVPVQPVSGDGSKQP DPLPSGSGGNGIVQPSDRADWNRLVLHGVVSVDCGGVSRSLGSYPMGSSMEGAAAAAA AAAAAGIISLTAAKETHASDRAAMVTLNKRSWR TRV_08025 MQLHFLGRENVIHSDLSYNRTPGKSHGVSYVNRHKVTQGPSEGV SSSKDEAVVRIAGSGSKLYLDPPHQLHELHENHPLTDNEATLTMDVSLPPQAAVYLLL VSLPILVNSIYIGHLLAKPISSLAFLSGALHLTLNEPSPYRNYITWGLFFSLIGDFLL IPSRSEYSIHTKSQNPNQPKVEKEVSLSASFQAGIGAFAAAHIAYILAFLEDTQSISW SVFVSVAMASLLAARWLGVLYPRPGSELEANASLMRSNVLRLSVPDDMRHLVCLYTLI ISCMVASAVSTVPVLRLPTSMSMQNQRSLGAVMFVISDLFVAKDAFSKKSGDKGGYFW LQASVGWELYFWGQMVLAGTVNGA TRV_08026 MLVDQATQVADDSFNPMPTSPPGTQQTDNKPVVNDTVAKPSPTV TGGTQTDSHDEIRHASPPPILGRRPSTVLELQEEAEDDQPIKRQVIHESLDFTKQRPM ETIKLKEPPPVQHTKPRGKPQQQQQQQSQAQDSSALPTPKVFHIRPSSISPNRLTRFS EHLEIPDSEKNLHNPPPRSLSPAKPALKSTPSPSLGTRPERLTYQPGQPGSETSEGTS LVSDDGSRAGSKKRHPKVSFEDETEVIGHGISPPTSPESLTSQSPRAHTPRSWSDSVI RSKFGDDDLNDFEQCFTPRPALPSFGSIRGRKPSRSKDFEDDPNPALEISSSSADHAI GGILSTAGGRDQSKHDANTNLQLPPEVTSLESTGYSSLSDDTSGDEGPELQYFPAHLD SKRDDTPASKDTPSQANGTSQPRQIEAPNHLKPTPAIFIQPATPGMEEVAHSKRPSSE IPKDESTQGVNSYFSANDTKTQGREEEDGDSDSDSGNSVYSDAYEDLSDLEGDGFGSI DAIVDRSVPSVPQPQQPSVTHSQPQERGQRDELNGTAESYAAANVTPETQSQPAHQRQ PEGTAEEGESRRQALSTAKTAGKPLFEEKTTSPPAAPSHPSPNICSSGQFNRHSPALR PILESNGSSENKPVKAKGKAAPAIRPQRTMSNASDSSSSFKRPKRFRSTGRYTLRRTM RTTSADHMEVLSDGGLPPTKTIDHSLNRRPFSSNDTRPTMRTTLRQSPSTATSRFSVL TGRGKSAKANGSSAKHQPFKSRYEDSSDEEGGAIKLRPVRGIPRRRNETEGDSTDLDD SSEDEATRHVLRRKKSIKGDSRAIKDPAVAAAVARLMAPRDIEQSAAISAIPGPEQLP EFNIKHRSGLLGRLHLPKNNKLRRESMLRKPDITLEQPRLGLEQASIASPGLPEEDYA RSTSVNGHVLESSRSDWQPLSPKLQKRASKLMHRPAGDSWPLRSNGHTAFSLPSSPST TERRRPTVLPMQEITNGKDSDTRPRTADSVAAQNTTQPTNTASVPNSPMSPARAERSL WASRFRPSRRATDDNSTVSAVSEVHLSSLRNEIPRHEKKGRFPRLKKVFGIS TRV_08027 MEFLLPPLSRSAGPNDKLDTDRALRPAIAAAADAPFADAVPWTP AGFEVALPPRAGDWSLNVLAVMDPELRTLRSPPFLPFGSVFPGGTLIDEADCFLRSIR LVWTSLTGVGGGVWLRRAAAAAEEDRLGFGFWDARNAWRAAVCADGDGLVFRGYSSVS SRSISSSYWALMLGGVELADKNLDTDSMTDAPLATEHSWTAQHGEFIKRGKDSGTGLF PVPER TRV_08028 MDQLEFLARPLVVFLFFFFPFKFFLSGRLFVVWSPLKLALKLKL AWMRRFGGVSFSVQRKTLDDEGAAAEKKEAHPTFSSCAVSTLPVKPTARHAATHQPTT YF TRV_08029 MALQLPPLPLPEGVTSRQIDTAPLGISFHILEAGYSAAHDRPLI LLLHGFPELAYSWRKVMPLLASGGYYVVAPDQRGFGRTTGWDTRDYDNVDLRDFAVTN LVRDMVVLVHALGYKSVECIVGHDFGAVVAGYSALARPDFFKRVAFMNHPFVGARELS GEVEGGGDDDHIHRELAALNRKHYKWYYSTRQANGDMVRPAQGLHEFLRGYFYLKSAT WLLNKPVELQAWTAAELAKMPDYYVMPLYASMPEAVAFSVALDTPLPVQESRSWLPDE ELAVYVDEFGRTGFQGGLNWYRVATSSCPDFKRDLDIFAGRKIDCPCLYIGGARDWGT YQVPGAIEKLANNVCEDFCEVIVDDAGHWLAQEKPQEVVDALAAFIHWQ TRV_08030 MRRERKRERETERSRDESRCWSKADRGESSITSFNRPTFPARRL GRCYWLCSTGKGDFSSLLFAGRWPAIPVASHLFASRRPQREREKESRTALESADRAAA TACMTLSPLPPPRKKLTSSLFNYFASSSRLRPAARQTAIQPSLLLVVVIVRRCRYQTP LFQPSISKRRQTLIMRTHFSLGPFIGVFLTLAFATLAAKGHTVIVYPGWRENNLHSNG TVDETNGAGVAIRSNTSELLYPYETNRQQPPGGGTVTSTNRTKWPINGGAISFQPGWF PGHKTALIYINLGMGTTPLNMSLPMVPPFQITGPTVAPYPGTFCLPQVPLPANISVKA GDNATIQVVEAARHGAVLYNLQCVDITFAEPSEVEPVTRDNCFNSTNITSSTIYAIPD KPTNAAHVTAVFTALGVMPLLLAGYYFSIML TRV_08031 MGRQLESILFGSTALDVWMERWKSSTYSVLESTLSHYGVLLYLR RQQRLFVMVKCRIAGLSPLYKDRTEAFFSFSSFGLLENF TRV_08032 MARLGRKRNSTQSLIQPHYKNDAADEIIIIIITITITVATERMP RKKTKSQTQAVPRRRVAVQDDDGWTHITNTRRVATTTKTTARTAATATATTTAMPDQL IPAEIPDGLTLSQLRAQFDAHQEKWLDSQTWKAIAASPIASSVGGSKDNSKFICIALG SPSGFLRGGLVDRRAVSLFQLAAFISLIDLLSPNTRTYLFFFLAFSLAFAFKEKTKRL YNNFKISHVYPLSNN TRV_07986 MMISGLHLRLSCFFFTYSKHRERKEKYIKSLEQELLRLRHERES VSSDINRFTSENSLLREIMRAHGIQMPQTRPRDRLAKVTVVGEPGFGQRLQVSLDEGE DKIPNFYPEGFGVSFTQKVLHKAADLFTSPQIEDQIAPDEPRPEHFNADLQIRPKNDP GGWGYEPPVAVQSHPYHFDSTQVAVDFVLFLERCCLRHRMLGKQGFSGHALTLQAPLL VGTPPVLQNQSTWEVPASEIERLFELAGALNLDGEITPVQAWRLISDHPKFYKLDPAG LQKISEGLVRNIHCFGFGAILDEQTSINIVEKVYASL TRV_07987 MAITEIAILKLRPAALMTTGKPNEATIAFLRTAKESMEAYTGYP FYIFTQLEDPACVYIVGNWESLTQHYDDWIPSDANQGLLESGKDLFDIAGLAHLDIDQ QESGLPSGAPVVTLEWFHMLPAQKEEFTRAWEERVSPYLKEVTAPYLVRSGWRIDGER KIEGKEAVIIADGEPELEEFVSFTGWRDVGDNDSYKELEGFSRFQQVLGLATKVESKR LAKLDL TRV_07988 MLSTSETERSTPQRPLSTASDHTTRVDGSSLPKGSHNPSSTSFQ IVAEKSGAPTGDSTPSNEAEDGTEYPGKFALSFIVVALILAIFLIALDMTIVATAIPT ITAQFKSLDQVGWYGSAFFLTVASFQSTWGKAFKYFPLKTVFMLAIFVFEIGSLICAV ANNSTTLIVGRAIAGAGASGLTGGVYTIIGFSVPPQQRPAFTGILGATYGVASAIGPL LGGVFTDKVSWRWCFYINLPVGGISAAIILFFFTAPPASRPVKAPMREKLLQMDLVGT FTIMAGVVSFLLAMQWGGTTKKWSDSTVIGTLVVFGLLVICFIVNEWWMGDRALLPPR LFKTWNIRISSIYIFFFAGPFFVLIYYPPIYFQAIKHTSASDSGIRNLPFVLAVSIFS TLSGGLIATFGHFSYLMVLGSIMLTVGSGLVYTFGLDTPSSTWIGYQILAGIGGGLAL QIPVIISQALVKPEDLSSATAMILFLQTMGAAIWVSAAQTAFVNKLIKRLPEVAPRVN PGLVIKTGASELHKVFSGKDLEGVLIAYGDGLKITFIVCIALAGVSVFAAALIKPLNL NKLQNKNAVGFA TRV_07989 MDQGSTGPGAAPLLTLFDNHILSRDEKHVDLETSKTADPITLKE RRAVLALRELLPSPVQLKRLINSPAIIWTIWRARFPEILKPEALENPGDIGKILYCII MTLEQRAVEFDFQSLRVPVPKEKYVEQCVAAVQKYVIEDDDIASSLSGIECIYMAGKY ESNIGRPRKTWLLTRRAINFAQLSGLHLPNNRPGPDDELGLRKLHIWCGLACSDLYQS SILGLPYSVPTHLLKPHITHLLKVKKMDPAEAYMLRVCLPLGSIIDRNQDGGNMSLPL TLKIDQELEDMGKQMGDDWWDSSQLGQLSPIEKSSRLWSQFAHHFVRMLLHLPFMLKS NTDKRCQYCHNAALESARQTIECYKGIYDIRNSDRIFCKVIDFQVFTSTVLLIVHLLG SPFADDPQTRADWRSAMSIARMLRHDPDLPEDSVSYQSASVIEKLCSCRDADGALRTG FKSKNCNQARRIILPYFGAVSIVPGKMLKEQQLQQQQQQQQQQQHQHNMQQQYQYTQQ QQMSPPNSIPEKQNSTNSSGYTTPQDTPSMSLFNTQLQTPSQSSSSSYLQNGAPLPEP NLDVNLNLNLMDDTGGFGNPNLNLDLFLGQGWNFEWMDAQNFQPV TRV_07990 MNSAFLHPTIRREADVVLPRWFNTVFQSGVTVVVGLIAITSSTS IANIYLSYNNDLPITEGIMALPFSAKMYALGVTCALGHLTFIPWVAPPIERLRTNTSK RGGSAEMEDWLSVHRIRWTVADFPAWAAIFLAVLTFEGTL TRV_07991 MGTRTSIDRPGPVASGLTPLQGYEKDDSLSKPEYHEAKTSGLKQ REDRDSIEDPVSPSPKSGGLPQRPEGWSWTLAVIVLLASAFLYGLDTTIVADVQAPVI EQFGAVQKLSWLGSGFPLGSVATILPIIKIIYIASIILFEAGSALCGAAPNMNALIIG RVIAGIGGSGMYLGQESILMLTKSTLVLTDTRVLTYFSVFVPERRQPLYVSTSGFVWG LGTVIGPVIGGLFAHSNATWRWIANVAIKAFYINLVLAAVKAPVLLLLVPDYLPKPHK GALQKLKELDIIGMVLNAGIYVGWVIPMTFASTLWGWSDNRTIASFVAFGVIFIAFAI QQGIPLFTTLERRVFPAQFLRRTVMILLYVSTSCTNAALLVPAYYIPLFFQFTRGDSP VDAAVRLLPLIVLAIVSVMVQGITMPLVGYYAPYYTISGVLIVIGSALMSTVGVDTPV GNIYGYSIIIGIGTGLGVQASYGVAAAKVKEDEVQHAINYINLAQIGAETISLTVAGT IFQQLAFKNLSKYLAGRGYTSAELIAAIAGTQSVILQNGSEEVRSLAIEAIVEAMKKV YISMIAAGALQVICSVFLPREKLFMKSAAA TRV_07992 MTSNGHFSSVGDVDGGDYQHGVQVVDGDKEFNPNLSKYLIHENV TPAGFNYHLISVFGSQSTGKSTLLNTLFKTDFSVMSETERRQTTKGIWLSKNKRTASN EKEKMADNILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWEHQVGLYQGA NMGLLKTVFENTLMQDLQRIWTSLSKPEGTENSTIEDYFDFAFAGLPHKSFQPEKFAE EVDKLSTRFRDGHRSPSNLAVKGTAAEDGVFLPEYHRRIPADGFSVYAEGIWEQIVNN KDLDLPTQQELLAQFRCDEIAREVLVLFDQTIGPFEVQQADATRSGIPLILAGLGAAM RTARGKTMNSFETEASRYHKRVFATKKSELEEKIDTRLKALFTGQLSAAHKSGVAEFS EAVSSAVKAGQKKGASYDFAEIVTRERKLAIEKFEKEAGTVVVEGAPWSDYKQELSLY QKDLEKISSQLRKDEMRRLATRVERWVRSRLGDSIDLEFNALGSGRGGSRAPEDGEKP SEKTIWDRIWSLFVNTVLDAERRFTERAKSFDASLEEVDVGLWRLRRKSWGVLRSKID EEMMEGNILLKLRENFEDKFRYDDLGVPRIWRPTDDIEGIYTTARESTLNLIPLLARF RLNETSAPPPLDKWIGHMPSSAGAADEEDLAPIGGVDEDDGKSLEEEMTMLSEAKRQD LTVRFKKAADGVYVEAKRSAIGGITQVPLYFYGLLLALGWNEIIAVLRNPIYFLFLLV IGVGAYVTFRLNLWGPMINMAEAASRQAVEEGKRRLREFLESSETGRQAMAMSGRNST HVEEYEMSSNLKSKGRRSTADDDDDDDDL TRV_07993 MGSVVLPHLTTAWHVDQAILSEEERLVVIRFGRDWDKDCMRQDE VLYRIADRVKNFAVIYLCDIDQVPDFIQMYELYDPMTIMFFFRNKHMMCDFGTGNNNK LNWVLEDKQELIDIFEAIYRGAKKGRGLVVSPKG TRV_07994 MNKERDANGKQLYPGRIAVMLGSVYCTFKKEIKSFEKYEMRTKI AGWDEKWLYILTFFLRFPKRKGEPKVLLAVGVSKYVVKKGRKTVRPENVLRASGLLPP RPPGEEVPSPPTALDTPANGEAIESGESLDEPLLRKVLTLTENSDLDKAVLDNDVKKN RENTEKSGVWDWHRIEEERLRGMDVVRSFVNIDAKLHEEVDLSV TRV_07995 MKIITKEIEDAHHKAVLQGVTIGGIAGLIGGWAGVLVASKRWAG VRNLTLPMKAFLVTSTGTFTGIVAADHGSRSFEKSQRQDIEFLEDRESRLRKEELAQM SVRDRIFEFARQEKYKIVGLTWLASMFGSFALVSRSPYLTGPQKIVQARVYAQGLTLA VLCATAAFEISDQRKGRGIADAVRAKAKKDKNTNDDLWKDMVDAEEHRIHDKEEAKVK NH TRV_07996 MSESPEPRLDSPALDKDENEEDVTLDKERDIAADISDDESVLSD VDEEQFKEFDPADIPLDDRPALAIDEENLKLVGRHKRRRTEEDGEGQTKKKKKEGRRD KKSHRKKQGSEEFSGGEEMDGKRRRKRREGGGEKAPRQSKKQVEEEIDEESLDPATRR RRALDRMMDEALKKPSKRRIKKADGIDLADYADAEIEEVRKRMTDAARLDSIARKENR PAMHKLKMLPEVVSLLNRNQYVNSLIDPEINLLEAVKFFLEPLDDGSLPAYNIQRDLM AALLRLPINKETLIASGIGKVIVFYTKSKRPEIGIKRQAERLLAEWTRPILQRSDDYS KRVYEEVDFDPSRLADRTVSAEASAAEARARELLPPRLANRARVESSHTSYTVVPRSM GVSESKFARPLGASGEDRFRRMKARQIAANKGSKR TRV_07997 MALFGTQNQQASAPSLFGQSTNQPQQTGSIFGTQQQPQQQGSSL FGQSLQQKPQSNLFGGIGQQQKPSPFSGFGTTAQQQQQQQPQQTGGFTLGSTTTQQPQ NQQNASPFAGFGTTQQQQQQPQAQTGNTFSGFGAPPQQQQQQQQPQLGQTLGFSQAGS STIWTPGQGMTGVHRTVPMQIMIVKDKWDATNRSSPFRGYLYNNVGEESAPFFQPGPN DDENKWEEALRKRPGPGYVPVLVQGFWELGKRTQRQKDFLSMMQTRLHEINNALTELL SRHDLAISVKIAACRRKHKVLSQRCLALASKTQLLRNRGYAMDQTEEELAKKLFQLER AVFDPSLNGRSEEIWARMLAIRENSKRLQLEIERTGNDTSNQADDSLDDAALKTAKKI LEDYATQIQHLNKELASVQKDFGNLEQSIPS TRV_07998 MAAQDTPETKSKRAQEIARQWLTKGGIFHEDSDDELGSEDLPWE WIYEEPQPSPTKKGKKSGPKIIGARMGSFECRIGHIVLLKSPEAGKDWAGIIYEFLDD PDPDSGEIVKSMNLMWFTSPDEFLSTKNKKRADALPNEQYITTDFNINPLMSINGRAT VMSKDAFYKKYPDGKPPKGKAAAAEFNKCIVCRRGVKQLQGRYTDEFIWEDIYTESED GVFELVEFIREALSRARKRAQKGTGPAKDKPDAYTPSTPRKRQKTESAAGTPQSRQRQ KYLTTPTHKSIPASLPCRDAEFNSVYDSLHTAISDGTGTCIYISGPPGTGKTATVRDV IAHLNTRVLDEEMDDFIFVEINGMKVTDPHQSYSMLWEALKGDRISPSHALDLLSREF SRPSPRRVPCVVLMDELDQLVTKNQSVMYNFFNWPALRHSRLVVLAVANTMDLPERTL SNKISSRLGLTRITFSGYKYQELMEIIGSRLENVPGNIVDADAIQFASRKVAAVSGDA RRALDICRRAVEIAEQISEAKAREKHKSLIASSANTNGDVDLEFLPPTPSKSVSRRKA ANAILSSPRKGDKSTDSADEDSLPRVTIATIKQAIQEATSTPLQQALRSLPLASKVLL AGLLARIRRTGINESTVGDILDEAKRLSDAATSVSSSSSTKLKEILMCNSRIQGMGFA TVDLIDAGLVVLEGDHGIKSGDGMSHALGKGNRSSNVRLRIAAEDARAAFRDDPEGNM LGLGVEG TRV_07999 MEVAQDSISANEAVRKSAKRTHELFGSDYLSPVTPITSESIGVS YRRKKEYGDVQTLPPSLAEKQAKAATARGKRTKLKPEPGTSTALVKSAAPGGAQSSGD SAPTSLIKRLDISQQPKPDWHAPWKLMRVISGHLGWVRSLAVEPNNQWFASGAGDRTI KIWDLATGTLRLTLTGHISTVRGLAISPRHPYLFSCGEDKMVKCWDLETNKVIRHYHG HLSGVYTLSLHPTLDVLVTGGRDGVARVWDMRTRSNIHVLSGHKGTVSDVKCQEADPQ VISSSLDATVRLWDLAAGKTMGVLTHHKKGVRALTTHPKEFTFASASAGSIKQWKCPE GAFMQNFEGQNAIINTLAVNEDNVLFSGGDNGSMSFWDWKSGYRFQSLDTIAQPGSLD AEAGVMASTFDKTGLRLICGEADKTIKIWKPDDEATPETHPLDWKPTLGRKRY TRV_08000 MDPDYNAKFAIHEAAREGKNLVVESLLNANPKLAYLKDGDERLP IHWAVAYNRPPIIELLISMKGFDPDVTNKDASGWTPLMIAASLKDGEGDAIVDQLLRK DADVNMKTSSGQNAIHFATSKNNISTVRKLLENKCSARVKDKRGQLPLHRAAAIGSVP LVKLLIEEGKSPLNATDVDGLTALHHAISEGHGEAALTLLRAGAEADKRDSNGQLAIE LSPDSKVRKYILETAEREGIELP TRV_08001 MSKWKVGSFLQQAVAGVESRLDTILADSDSEAAQNGDKTEKPAE KQPGLRAGMAPPSLSRSSSSARVNDRLQERLARAMVKQSGAGGVTSPPSSTGVPSRTS SPLPADGSRTSIDSTTGNIEKITDDTASDNKSVTGSVPRTSIDATVQGRRSNEVQSGE QDVAASKNADEIPGPSESSNNDEDVNSGRIAQVDGAESRSNRLEDYEAAMAKLQADHE ASELRWQEELHSYIEKIDALQAKLKYLASEAAESARNSTATAAPGTMEKKLSEKEEKI AALMEEGQKLSKTELEHRSTIKKLRQYIAENTKSQADLKKRLEKMEKDLNQANDRAKR AETAEKRATASLNKKSSAEEDLESTIAERNILKATVSDLSYQLSRASARAEAAERKAE EETAKVESRQITELKDELSSAKVEHELSEEKLRREIQDLKDSLNREKERSRTQEIELR GEQSVLESKMESLRARAEEASSSATGDAQAKLLRQVETLQTQYAVASDNWHGIETSLL SRLASVEKERDDFAKREGDMRRKVRESVLKVKRAEGDCENSRELSRELERNLEESKHE VKKLQARLEKVEEELLAAQQDLFKQKEILDATWEQRLEDERAKWQEGIAASPSVLQAR GESATPSRRSDVLSSLSEIPHSRRSSTLPKLDTPPRQNSYSSLNSNPLLRGTTAHDTS SPLVDNVSIQTLEPDEYFNGSVTPATPSVPGTHAQHSRGAHDVVSASTVAAGPSVQLV ERMSATVRRLESERAGFKDELERITSQRDEARREVVELMKEVEEKRAGDEEVRKLEEQ VQQLNERYQTTLEMLGEKSEQVEELKADIADLKDIYRDTLQKHLQS TRV_08002 MSGQPNQAKANFPKCLWDLPFVNRGVSGIVFAVDELSVIKTPTG GEENAEELRVEREILERLGDHPRIVKLLYTYKDLIVLERLRYPLRFRTWELREDGRTA SLDDVLKWSAQAAEGMQYFHAKGVFQVDVGLHNLLVDWDDNVKYCDFSGSSIDGSRPT VVVSPTAQHPTAVIGSPTVQTELFSLGSAIYEISTTFKAYEGLEEHELQARYARGEYP DTSRLLLGGVILKCWRGCYSNAGEVAAEIRGIQRRMKHGNDLSLSINGLMERKRSEHT RVYIRMQLFIAAAVFGAQAMFRATTINPLA TRV_08003 MSLEPPTYLTSLQNNIRARPIPWEGAVRAGNITEEQLKRVKAVD KVRKDSRQKTIEKDVAAYTSLLAGNGSEKSILESATRRTDIIQYILVLAGDLISDVPA LTSALVESSESYRHFLPLLTNSTNSEDPIPLLTSSLLANLVSASLRATSKTSPKDEVA LPKLYAYLSTLTKSADTGLQDIGVQGYSALLRTKRSREIFWKERNDTVEPLIGILRAA AGPTKDNGSSLGGSRAGETGISGGVGIQLLYHVLLVLWQLSFEADLIGAQLESEHEII ALYTNLLRLSPKEKTTRLLLSTLRNLLSSSKANLLPNAVVVRLPAMLSNLNSRHLSDP DLLEDLSALTEMLDEYTKKQTTFDEYAAEVQAGHLRWSPPHRNPTFWRENARRILDED GGSLPKKLVEILSKDWETDKQVLAIACNDVGCLVREVPERRHQLDKLGLKARVMALMT DREESVRWESLRAVGEWLRYTTAQQKPTPEVRNRDPVCHQDQKPQTTSHWPVTMLRIF KKQGQDASHWTGSIKAGIRYVPCRTRKPSHRSRNGLHRRSKETSTSSVAFAWDEGTPQ LMAAGSPYIKIFKYALFPPPVKSLTQHTYAINKEEHSIPCPGACGIKATTNDEDNLGV RTEFRAKGRRKPDRRTEDEKTKRRKERPKRGLSACRPRDSALAPKFFRLSKSGGCWTS LRESWEAPEPTPPLVHPVKGGTANEQETPERAKRERQRDGDVKPGQYGGFSIGGDHYG ATRRHSCLSSELLLGQYAHFLDFEFLFSLQLLPKRLQLRIYPFAAAGAAANSQKAATA QRRSFALDG TRV_08004 MALHPPQHAPSAKPPPLRVDSSSVRSMSNGSVDYRPTPKTPGSK ITSFFGWKTNAGSASPGNESCATEISDSALSPMPSPMTSVNPSTAASTVFTNTHRPQD SFSSVPKMAGRSQTMPMDASVTSRLADMEHELREISSELAGSIRREMELEDIVERMQL ESSESDRRGSEYYFSDSGQGSSVKDLSINGSTKTEDIEKLKRNYEQERAQLKIDLSQK WQEERSRRQVAESHVQLLESQISQFRREKVEASNAAGKSKELEAALEDTRRRLTEERQ LKDNMEDLLTGMRVELEQQKLERDQLQAQMQQDMQNLRNENSLLSQSRKAPPEMPQSP RIDSIAEEAIGIGIGLSNNRASGGLSRSNSLARIPPVRNGVARSGSLSRPTSMIVKGP EFHESLVNQMKDVETQRDALHQTVKSLLERQSYQARQHEKRLRLMELELYRARQANSP RKRSYERDVKNLREEINLLRRRADDALDQKWQCEKGLAGLKMDLDRAEQETSSLRALL QDYDIPVPHMDGTDTNGMTDFHTTASSLEEMYAQLKIERENAEPGSPIPNEDLENEIK KQVASNASLRARLADAISQGEREQKLSATKINEMQSRLKSLEEMLMLAQQRSEEEVGK HEQEVSALMENHNALLLRAKDGVRSPMMLSPVLPNSPFPTSRSPRLTKTTSGEAQGLN QVAQIENLESKVRALEQALRDADAEMGEVVSRMNTAQMQVAELQSDSTSPDKKATSSS TAMLIILNKYAKHTTAFRHNTTAITTAHKRAMKSTTKR TRV_08005 MVQFTSAIMAPATAAFLVSFLAPSVYASPIQIKDGPERVLSCLA RRGFAGKITGAIAEATASCIADVVHTKRHDDSNGSPLRVPDLNRIMAENGGPEYAECM KKSYPSFPDVDAVETQVIKDCIYLTQPNGKNLAARGKSYGDEASCTNYKDAKHIPSVF QEAGPFRAKTDPFCDKMRAAVIDKGEGEAYEVLGDTTFKYGDSGFARPLLKHDVKDAL GLRTRLTLTDRGRDLIKDDGAYDELCRPAFMQFGTKGKGCTQELEYRKSVHEGGGEFP TTVAKNGNLKIFDGKEEIGTLFVDLEVINEWPGLTEDEEKEAEGRR TRV_08006 MSKFGVLVMGPAGAGKTTFCTSLIQHLQNTRRSCFYVNLDPAAE SFSYEPDLDIRELITLEDVMEEMGLGPNGGLMYCFEFLLQNLDFLNDALDPLSEEYLI IFDMPGQIELYTHVPLLPSLVQYLSRSGALNISLCAAYLLESSFVVDRPKFFAGTLSA MSAMIMLEIPHVNILSKMDQIKGVIGKKELKQFTSVDINLIEPGNEESTGRDPSSTTE VLTGSSFNRLNKAVAQLIDDFSMVSFLKLDAQDEDSISAVLSYIDDAIQYHEAQEPRE PAADPEAEADME TRV_08007 MVFGEITTKARLDYQKIIRDAIKDIGYDDSAKGFDYKTCNVLVA IEQQSPDIAQGLHYDEALEKLGAGDQGIMFGYATDETPELFPLTIQLAHKLNRAMKDA RKDGSLPWLRPDTKTQVTIEYAHDNGAVKPVRVDTVVVSAQHSEEITTEVLRKEILEK IIKKVIPANLLDDRTIYHIQPSGLFIIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFS GKDYSKVDRSAAYVARWIAKSIVHSKLARRALVQLSYAIGVAEPLSIFVETYGTSSKT SDELVDIIRKNFDLRPGVIVKDLDLAKPIYFQTAKNGHFTDQSFSWEKPKTLNF TRV_08008 MATCLPLLTTSKVPSRGSWDDSHDSFPPSKRLKLDNDSDGAVAL IPRSRIPLSWLDTCLSSPRRIRPGSLFTANIPALEQSLSGENVSSVLAAAISDTVERH YGEVPKEYYVVERVKRYVYAICPLRGVVQEGDLAVASKSSGVQEEFGPVQSPSDPFTD SLEFATIPDIDLFQEIPAQAVSFAFGDSPHEDPVSSQVDKTETSPNAALQSPAVATEV SPKEGDNIELGFSLPAEYFADSQFLPQTNTGNNAEDMLSTLKMQYLEALYISKTSVAY FAKGPLTRARAAFQNPDDASSMRPTVLYHYYRSCIIPMKKMDAKYRDSLPRIVADITR SQSDGDKIAPTKKRKGKKKTIGKDGLYAGEEEFISKWWKSAYETNSLVEKSALETQRK RLIEDIRMRETQLQILLILEIMLLEGSVGSPAELDDGNTEKPKKAIKKTHDMATALEL LLDRLCIWHTVSSHDFAISVNSAKAATGPGKPNNDKLRDFCTEVIIPFYSARLPEKCQ VINRKLGGPAISSPARPNRSNQKRSTNPLSKSAKGQPSKRTLQRVRTDEKIPARAKVP SLARNNTAPAAAEVKRESNDQLSFSTSIGGRGDIQKSKRANNREVDLEAVAKQHESKL KRMNLLVDQKRELDAAINALRKPNRELAVRDFVESAEKRSASSAASHPRKQKNPTRNP FAQGVQVMATPKRPRNKDCGFTDLPSLPKAWKQSRPTVMASPIPGPDTQVVPSSSTRP SNSDMLPKSQPILNFSRTEDLVHETPSKPSSNGLKQSATSNPVESGIEAELSPILART SKPCSYKSSSIKFGLDTSAHSTSAEVGETPPRPKQMMFVSESTVPRRVDFMQPSTPAQ GSVQHNIPVSTPLRQRAGDDANRTINETPEKSIYERLGWNNDDDDDDELAFF TRV_08009 SNPFFACKREEFPVIDTRWYGERWVLPFTSNFRKSMEGGIGAAF VPTFAYSTMSLGKREDLSNIVGIDIAVELGRLAFVNVELGLDHLFQYHEACRG TRV_07984 QQQQQQQEEQQEEEEEEEEEGEKRRSRESQGGVFGERRREKRQQ KRKKKGWSVSAGATKRYLGSHSSLGRKKGRKEEKKDDDVDDKDERARQRRQTDGDGGR EGRRRTRLEDGVAVSGTCPPLSPPKQRTASKVQRAECRPGHPVRPAEDGHQDTKQTRN KRARGKRQPDE TRV_07985 PTSGQQQQEQESPQNIHDVDQRRHSLNRVRFSSDIDRVAEQTGS ISRESDSDETSPATTPVSASPGVLPTGRNRGYSLRRALFNRNLVTQQQPEEPDLERNE ASTSGQTDKKTIDTVTSQKVATEKEDYSFLPQHSDFLKSTTSFLTAKPRQTFSITHTI NSWLALLLSLFKTHVLRIKDIPPSADGRYVDLDAYNSKPRIDERTGKHYVSNTIRSSR YSLWSFLPRQLIAQFSKLANFYFLCVSILQMIPGLSTTGTFTTLAPLLIFVGISISKE GYDDVRRYRLDKEENNRITSVLRPSQEVQDGSYESLPMTAGDMSSKATDHPQPWTNVK WVDIKVGDIIKLERDQAVPADIVLLHADDPNGIAYIETMALDGETNLKSKKPCPLVAK TFNSTDDIINDRSTHFVLEDPNMDLYKFEGNVTVGDETAPLTNNEVVYRGSILRNTHE AIGMVIYTGEECKIRMNATKNPRIKAPAIQGVVNRVVVLIVILVLSLAGACTIAYKYW SRSTERMSWYLEQANVSFGPIFSSFLIMLNTMIPISLYVTLEIVKVAQMFLLNDVDMY DKTSNTPMEARTSAINEELGQISMSVAGTAWLHDPDLVQEAANETTKQILLRRQSKGK KPTRAKSFGDSSQGRPSAVSRRSGVSQATARESGTWKPCKKSRFYSGGKTTEMLQYVK QKPHTLFAVRVRFFIIAMALCHTCLPECGQDGEYTFQAASPDEVALVTAAKELGYLVC DRQPNSITIRRSEGNNKSNDTIEETYEVLDIIEFTSSRKRMSVVVRLPDGRICVFTKG ADSTITKLLKQSSLAIEKIHQIEHRSNERKAMESMEIIRRNSEHMSRGGKKSLSIRRP SFVGRRSSVSGKPGPSIRQSIDVWLRDRETDGGLDIKDDEHEMQNYNPRPSVQLGNGG SPRVSFQIDDGMVEEDLVDESLVTNEALVFERCFQHISDFATEGLRTLLYAYRFIGES EYKEWKQVYKEATVSLVNRQEKIDEAGAHLETQLELIGATAIEDKLQKGVPEAIDKLR RANIKLWMLTGDKRETAINIGHSCRLVKDYSAVFILDYETGTVKQTIESTVSSLRAGG VVHSVIVVDGQTLSVIEGDDGLRELFFELAIRADSVICCRASPKQKAFLVRSIRKRVN GAITLAIGDGANDIAMIQEAHVGIGITGKEGLQAARISDYSIAQFRFLLKLLLVHGRW NYVRVCKYTLGTFWKETLFYLTQTMFQRSNGFTGTSLYEPWSLSMFNTLFTSLAVIFL GVFEKDLAASTLLAVPELYTKGQRNEGFNIPVYLGWATVAACESMMVYFVMIRLFGDA IFTVDNGIYAMGLLTYSACVIIINVKLQFLEIHNHTVLTLIPLVGSIGIWWLWNIILS KQYKYHAIYNVRDNLIHFTGKNALWWTTLIVIVAIVILFEITKVTVRKTLWPTDVDLF QGYEKDGDVKRRFEEAAASELAQGWERPGKYGGNDDDGASEEDHEHELQLQDLIERRT KEEHQQP TRV_07980 MFCLFYNAKTKKVHALNGSGRMPAGASLDRVRRALGDDSLTQLP KLSVHAVTVPGTAAGWVDTVEKFGSGKVTLEQILTPAIELGEEGFPVAELASSFWKGS EGKIRKGSPNFKELLREDSQAEGGARAPAPGEVFTNPTLAKTFRALAKDGKDGFYKGE VAKAIIQIVEDLGGFMTLDDLKNHAEMGSQEVDPISLKFDPSDFVSSKKKEDGKEVEL WEHPPNGQGIVALMALGILKELSRSGKIPHFSPDQHNSAPYLHALIESLRIAFADAAW WVTDPDVEKVPAQQLISPAYLAERAKLFDPSKASAGILDHGSPAHNHSDTVYLAVTDK EGNGISFINSVYEDFGTCIIPAGCGFTLQNRGANFSLQTDHPNVLRPGKRPYHTIIPA MVTNPSDNSLHTVYGVMGGFMQPQGHVQVLFNTLAFDLSPQAALDAPRFCIEAGSKEK GHGQIIYLEEGICDSVVEELRQMGHPVKVLKSFDRSKFGRGQVIRCHTDQGRTVYSAG SDMRGDGAAFPA TRV_07981 MLFSTSLVLGPEFSRDQELMAQITSHVLGIEEILGEFDRYPRIL RPFVWGFSPICRAFRSNASILKEKLIPEIRRRVTLLRSGESAADDLTMLTVFLKQALK DGLLTQEQSPSDEKQFESLYMKSLFHIYEVWGPIAPLLIALLTRIMDSPEYVEDLREE VSKALASADGWDSDFLANTPKLESFTRETLRLNVAISVSVSRVLHAPLKLKSVDMELP KGSYIGLPTKFMHTDPELYRDPLTFDGYRFYDQASNTSTTRATTASETFLPFGYGVSL CPGRFIGVKAAQVAFSRFLLEYDVKYPIEGQKFPPMGLLENTWTYPEMDVMAKVRRRQ SKSP TRV_07982 MAWLGSLSVSDIINYTIPYQIAALSVGLLVVLILLIDHADILLR SRHVKDLYCVTSQTLFKTRFRKKLSGTELENALRYAYNNYTKQGKPFATKADLESWII LLPPKAMKEWCNLPYSHLNFTRYLQDVRYLPNYFWFVVEYSANYQCFVGCCHGVSYPG GLPNPPSRRHGVQSEKTFWLAFFKHPLLEHTFNL TRV_07983 MVVFIDHSLEDASLSEPVGGFFEAGKIPPPPLTKDCQFMLAASL SQLRTGDCTRADMVDKTGLERDRDPSCLNGLISMALSCYPYAKTSHLLLLLLLLLLLL LLRMKYVDSLYIFPPFLNAVVSSNFLSKIVDHMHCLSLRSSLQDSDHARS TRV_07975 MNVGFSLTVFPSLPGIDEGLISGTVQQKAFISKYRLNDPLLSES EKANLLGNITAMVQIGSIGGALLAFLITDKIGRLWATRQLCLIWIAGIIIFITSNGRI GQVYAGRFIAGLGIGQTAVVAPTYLAEISPRAIRGLCICAFSGSVYLGIMLSYFASWG SALHISSHSQNQWIVPTSLHLMFAGIILLLSIGVHESPRFLASKGKKEEAAATMSKIR NLPEDHPYVQTEMLDIFEQVEREKEATMGLGWIGPLKELFMTPSNRYRIMLGLMSQLL AQWSGANSITIYAPTFFAMLGTTGQSEKLFATAIFGVVKLVASLVCALFLVDMLGRKR ALTYGIILQFLSMLYVAIYLTVVPEITEHFQPMGNAKRAGTAAIVAIYISGVGWALGW NSIQYLINAEIFPLRVRALGSSMVMCFHFANQYGNSKAVPSMLLETAMKPQGTFFFFA AVTLLGLVWMWFFLPETAGKSLEAMDEMFNLPWYVIGRKGAALTAGAGHAENYMRDDV EKVIQHEVAYDEQVTSSEK TRV_07976 MGCIKVISVFLAAIAAVDARAFFHNRGGNDVIPNSYIVVMKDGV TAEDFDSHISSVAATHSLNKAKRGSETVGHKDSFNINGWRAYNGHFDEATIESILKDD KVNYVEHDRVVKLAALTTQPNAPTWGLGRVSHKAPGNKDFVYDSSAGQGITIYGVDTG IDIRHPEFAGRIRWGTNTVDNDNTDGNGHGTHTAGTFAGTTYGVAKKANIVAVKVLSA GGSGSTSGVIKGIDWCVTDARSKNALGKAALNLSLGGSFSQASNDAVTRAQEAGIFVA VAAGNDNRDAKNSSPASAPAVCTAASSTIDDQKSSFSNWGTIVDIYAPGSNILSAAPG GGTRTLSGTSMASPHVCGVGAAMLAQGVSVAQACDRLKQIGNAVIRNPGTGTTNRLLY NGSGR TRV_07977 MNKTSRTDEPYEGDGYNQNPNPLSNDLVTNEALNGIVNSRELKR EEPQSLHGETQNVDRDKNANTHPATGSGEASNSLASPLTGQLPRGDNKLPLSQIATVT PDNEAAGPSGPENSRDSLIERVKKVLLKFCTFIGPGFMVSVAYIDPGNYSTGIAAGAS YRFRLLFVILMANLFAILLQSLAIKLGTVSGLNLAEACRAFLPRWLNILLYVLAEVAI IATDIAEVIGFAIGLNLLIPKIPLIVGCAISIFDVMIILFFYRPDGSMKALRAFEAVI IIYQACGILGATVMPHSLYLGSGIVQPRLREYDAKSKLLPPELLSASSSVNEGVDKDK LHYIPSIRAIRHSLKISIAELTVSLFSFALFVNSAILIVAGASLYKNKDALEADIFGI HALLSKSISPAVGTIFALALLLSGISAGVICTIAGQMVSEGALNWKLRPWLRRLVTRS ISIVPSIIIAAAVGRQGLNATLNASQVVLSIVLPFITAPLIYFTCLNKYMTVQPGSAR CLAPNEGIFRNNRQVVGAAHQGESIIKMANSWYIAVVAGLVWLFITVMNVANLVLLGQ GA TRV_07978 MADANSGFRVISTLRYDPELVNEINNPVGHLELHLAPFYLLTYH RGRLLDAVSDFHWEAAISRMQQAASAEKFAETLNAHLPDKSRPWRLRILLDRKGELTV EASPILSPLSSHIFFLPPQPSFSSLCAHNKDVIHWKLRLDTQPTEPSLFTKHKTTVRD VYDAARMRTNLPSVAAPIEVLMYNLNGEAMEGSITTVYFRKRVVDETYDSATVVDEWI TPLLSCGGNSATTRRYALDTGICSEGVIRVDTLKSGEEVWLSNGVRGFMPAVLEL TRV_07979 MAPIQPVVPSLPSSTIQQHIENTAASSSPSVWDRISSWASENKA LVYTIAGVAVVVTSAGVVYYLSDTGRPTQVSGQSGEKRKSKKERRKEKKAEQEKKAAT SEKSEPKAATEQAPEELPDVDESNVDSLPAQTRERYAGILKAAGNKAFGSKDYNRAIE LYGKAILCKPDPVYYSNRAACYNALGEWEKVVEDTTAALAMDDEYIKAMNRRANAYDK LGKYSEALLDYTASCILDGFASDTGKAAVERLLKQVAEEKGRAILEGKGKKLPSATFV SNYLQSFRPKPVPEELDESVELKEDSGLGQLRKGLLAVAKSTGDGYDEAARAFEKALE LGELGKLEALALNMRATFTYLAGEAAAALTDLNKSIELDPSLVQSYIKRASLYLETGN RESAQDDFDLAVTQNKDDPDIYYHRAQLHFILGELGEAAKDYQKSIDLDRDFIYSHIQ LGVTQYKLGSVASAMATFKRTLKNFENVAEVYNYYGELLLDMQKFSEAIEKFDRAVEL EKSNKPWSINVLPLINKALAIFQWKQDFQEAENLCQKALIIDPDCDIAIATLAQLLLQ QGKVSQALKYFERAAELARTEAEVINAVSYAEATRAQLEVQEKYPKLAARLAQMGGAA GLGAPGGF TRV_07969 KWKDLYAQNLAVYNEEMSAYKASLPPGHKVDSTVPATPKPAKAA KTSKSSKPSTKEAARHQDDHDAAAEQQLQQAVREATTDDTSSESAGSSESEAEATPTP SPPKPTPKSSKRRQSKAAAAAAAPAPAPAPAPPVIETPTPATKKSSPEKKEKKSKSKD KDRRASAANVAAVEVASPVPEPPKSEKKARKKRKSAVDE TRV_07970 MRLVPRELDKLTISQLGFLAQRRLARGVRLNHAEATALISSNLH ELIRDGNHTVADLMAIGKTMLGRRHVLPSVVSSLTQLQVEGTFVCGSYLVTVHNPICS DDGDLEKALYGSFLPVPPKDLFPDPDPEEYLPERMPGAVVVVKDSRIELSPGRRRIKL RVTSKGDRPIQVGSHYHFIETNPQLEFDRAQAYGFRLDIPAGASHRFEPGESKTVQLV EIAGHKVIKGGNFLATGEVDHGRRDRILSRIAQAGFMHTPEPMADASLVKPYTMTREA YARTFGPTTGDLIKLAATDLWVKVEKDLTTYGDECTFGGGKSLREGMGQATGRHAHEV LDSCVTNAVILDWSGIYKADIGIKDGIIVGIGKAGNPDVMDGITPGMVIGSSTDIIAA ERMIVTAGGIDTHVHFICPQQVDEALSSGITTMLGGGTGPSEGSNATTCTPSPNSIRQ MIQSSDGFPMNFAFTGKGNDSEPRGLRDQIRAGAAGLKIHEDWGATPAAIDSCLSVCD ELDVQCLIHTDTLNESGFVEQTIKALKGRVIHTYHTEGAGGGHAPDIISVCEHPNVLP SSTNPSRPYTLNTLDEHLDMVMVCHHLSKDIPEDVAFAESRIRAETIAAEDVLHDIGA ISMMSSDSQAMGRCGEVVLRTWHTAHKNKLQRGVLPEDEGTGCDNFRAKRYVSKYTIN PAIAQGMSHVIGSVEVGKVADLVLWKFAQFGVKPNLILKSGMIARAQMVFTYPFSTSS QFSHHLTILQGDANGSIPTIEPILSRPMWASCLPNTSIIFVSQSSVDDGVIDTYDIKK RVEPVKNCRNIGKEDMKFNDTMPKMHVDPESYTVEANGMVCDADPIDTLPLCQDYFIY TRV_07971 MCSRITSGKSVDITPIVTRAKDGYEIPEVGAGGGKGDLEQSHEL ELPDDATFQRFLDLCKEQIKEPDTRDLVVSTLSRAYESTRAAISLGEYGLKDEEEITL AKLVTTIGHGLKQKEKESLPNTIVSMHSLPVLPTPFHKQLQLTFSMQKFPYSRHSCYS ELCQLVGAFRPRDIYPCTVDPLTWTEEVSMRSLFGHLCSSSTFRHDNMMREGNPRPSK RQRRASDAQSEVPSSQPSTIDSQHKLELQLSSSQPQEDINHPSQTGEQEDLEDSLADY PTSFPTQLTAQSSESPATRLKALKQAMLEDYQDDEINFLLPSFTDSQPDVEPTSHQLH VSKDSEEAQIHSQSSVSQRDLQIEQEELDLQLSLCVSRTFTTTTNEEDLEEEEEEEDE NTNNDGESIVSLSSSAFYSQPQPQSQVIIVKQTENCNGDAADTVLVPSSAPGPETDLD SSPHGPCKSEASSRARKELRVAAYRAAKKGTYQAWTNTCPLISVDNHTHPEIEL TRV_07972 MNFAKGILESRKQHYKHLAKLLRPIPLQVPTKIELMPGNTIKVT LFDANHCPGSVMFLVEGDGKAILYTGDIRGKATITQSYKHDSRSVFLLTISLAESWWV QSLIRNPVLIPYTMGDRRLDTIYFDTTFATKSDIHQVFPSKAEGIRELLSKIKGYPED TIFYLRSWTFGYEDVWLALSAALGTKVGCVDPH TRV_07973 MAAYFSGGRGAVDKRGPEGFQAHEMVTVDMAEETGQRCRPLRVL AEAVDYEPVSQISPFSQLTMLELSLLIYGNSAGSISQQLRSEQRVRRGQTFDDAVERR HFGDVAAVSPRCFYPCIFMMLLYTRVWFLWLEVAAWRSLFWFSFTPSHDASTSELL TRV_07974 RREKKMKKKKKKGRKQENKKDNKRKRPGVELGWHWSVKRRKRSS EDEDAAVYNTPHF TRV_07964 MEGEFRFEDYIRTWSPSPPPQDSQVGLHNSSANPDEGHPTSQAT DPQPPTSQAADPQVGLHGYCVDSAQGLPITQATNPQPHISQATDPQLPISQATNPQPS IIQATNPQPPISQATNPQVGPHNSSANSAQGPPITAVIAGIHQGWMARYRSHESEFRR AHNSRERAFICASRRTGRDISIRMQSARNASKCHYFNTGRWFKFNEDDVRNCRDFDIT FELPAYWDEEIQPPTTWNSTWPPPKYATDWDMKPTLPPPIPLEAFQKMQVNAENVVLA VRGGNPRPTGPPPGYEFV TRV_07965 MTIVQLINSRNANVAMLALALLDICVKNCGYPFHLQISTKEFLN ELVRRFPERPPIRPSRAQQRILESIEEWRQTICQTSRYKDDLGFIRDMHRLLLYKGYM FPEIRREDAAVLNPSDNLQSAEEMEEEEKIAQSAKLQELIRRGNPDDLREANRLMKIM AGYDTRHRTDYRAKAAEEVSRVQEKAKLLEEMLENFKPGDKLSEGDVYEELASALQNA HPKIQKMCEDESEDNEAVSKLLEINDSIHRTVQRYKLIKAGDVTAASNIPKGTLGTST GVKKNAANELSLIDFGGEEEQVSAASNGSTAIKPPAASTLEGDLLGLSFQDEPQGQGS VALPLGPAEALLLTTEEGSSSASLPKSPSIPSTSTPTPRPSDPFAAITSGGSRTSSPF NKLQSSASSNAASSSLLDLGQLASGPPVPTLHAPHSPQQPSQSKQVTPAQTSDADDEW TFSSALPEETLPSQNTIEVLNATIGIKMQVKRFPGENKIHGLVSFSNNTPHAISELHF QIAIEKVQEALSLFPFSCLTLILFAVSQQPMGLRGIWMTIS TRV_07966 MLIYYWDTVPYLVAANTVNYGKPWRLNCVEALAATFMICGHEDW AEEVLQHFRYGQPFLEINSQLFKRYAACETEADIKAAEEKWLAKIEKEYAENREGKGP DDMWTTGNTNRQAFLSDSEDEENEERNEEGDGDDDEDEDEIPRNALQRDNPFPESEEE DDDEEEMAEIRRKILMSKPFAGTASSPDREPDAKATGPAVVPSPAGVTAAKDACNEES DSDDGNESDAAFDRIINATIATDRTGIKALQREKQRRARA TRV_07967 MNKEIEKGDTYPFINPLSLAEFGTDWFSHFTAVMLLGDVPTVGH VQSLEAIGADWGKICLGSFNIKPNYPGRSSHICNGAFLVTDASRNRGVGRLMGECYLE WAPQMGYTYAVFNLVYETNVASCRIWDALGFKRVGRIPGCGNLRSYPGQLIDAIIYGR DLGPEGEDFVSEERFDKIRYYLKHSKYPSGADRAEKSRLRSAATHYKLVGGEKGEPEK LMLKDKEVVSDPQQQYEIARRIHQQQHGGINKTTATIALKYHWVRIKETVSMAIKNCP QCKEGPKAPINGANGIQLGSHTNSNSETNTLPFKREKPSPTPRANTFDIPPSNAFTPT QPDQQLTSVSAAVHQYSKEETFSPTPHPHPHNTHSHTTPLFHAGQMADYADIPLDPQI MDDIHHHLPPFQHHNGVNVGVGVSPYETDGAHDPHHALTHDFDHSPMQHHDHDHHHHE HHHHDHTGSYQVVEDDDLMASHANVGVDVGVGAGVGVDVDVDVGVGVGMRDQSMRLAE ATRLQNDAQFQADLLTVAFGGRDDQNHFEN TRV_07968 MAFAGQTPTIIVLKEGTDASQGKGQILSNINACVAVQNTIKSTL GPYGGDLLLVDTNGKQTITNDGATVMKLLDIVHPAARILTDIARSQDAEVGDGTTSVV VLAGEILREVRDLVEQDVSAQTIIKGLRKASAMCINRIKEIAIDMKDAAGGEAKKIET LRRLAGTAMNSKLIKRNSDFFTKMVVDAVLSLDQDDLNEKLIGVKKITGGALQDSQFI NGVAFKKTFSYAGFEQQPKSFKNPKIVCLNVELELKSEKDNAE TRV_07952 MTQLKVEDLSREDVKKLARILFLDVKKFQEREAAAKLTTELPSY LRRSRLLSLMVDKLNLIREPAKAACFIHKTLNREISHALCGLIRAEVGEGLNGLVSRQ TRLSIEQRRMIHNLRTVNGLWMTREAVERKYLIRPSFTWYYQSDGCEACMVSRFARDR AALTDMRTLLLSRIGRRKNRNPPQLVRWVEELMSCHGPSSLEMFVFSAEDALELKAVR RDVRGTQSQGQNAATGQGCGLRRAESNVTHRPTRWTSVNTRQASRRPRSMETLRSPDN SALPTSEAEVDTANEIIDCYLNRTLIDVNPEPVTAQPERQQDRTIPDPKTRLQPEPES EQRHRIDRAECHRPTSASQMKRSESLRIAMAACSETRRNTQIQPLRSPSISSSRAGTR YEYPSRRTQSVYSNGGNYSKMNQNVHKPVCQNNDHRSSRLTRSQTIKTSKEQAAEYRS LLGEMHRLSCYSPSNYSRATLVEGSGIPNPRLEEKRPVSEATTRWSTFDGGEGLYSPI EPIPPLRIRKEKRRIGGE TRV_07953 MAAAEAVSGSAFVPRDTLAAEGVYEMFIPGFGVLSRVISTLFHI DISQYLPFLFIGALIIAASRYFFDGVSNFVHSHFMSSVQVHVNDEVYNYLMYWVSKQK FVSRATDIFASSSVESQYVSRYDDDEDDDDDDDQREVDDDGINMIGNEEDFEEYWKRT NRWDKIKPIHFTPALGTHYFWYKGRFLTVDRAREKLNTWNETLRISCLGRNTAFLRDL IREAQLSYLHRDVNKTIIYRWSNSDPSSGPSWTRCMARHPRPLSSVILDQEQKDAFLD DVKDYLHPFTKRWYTNRGIPYRRGYLFSGPPGCGKTSLCFAVAGLLGLKIYVANLSSP NLTEEGLASLFATLPRRCIVLLEDIDTAGITKSRLQAGAPSSISPAAQNASTQSRLKA SSVNYDDDDDSDGEGFGECGGQTPAPVLLQPGISLSSLLNTIDGVASSEGRILVMTTN HAENLDPALLRPGRVDLTIEFSNADSQTIVSLFRAIYSEIEGDWGDPVSSSKMGESRG DGDVLACKTKPNAATTTPPSAPTTRQRIGHGLSADQIHDLAQEFARQIPNKQFSPAQI QGFLLSHKASPRTAVERVGEWVVKNSKINYDHGSDM TRV_07954 MQEEGKKKKTKKMSHPGPNTEFESDRKAELLRRLKNLLDIPVPS PAWAALWLADLSVLETTVEDISSNDTALRGLRSSIVQDNGLLVRQLIGQAVQRQEDAG EGPSDLPAAPEPHTPPRPTKRQRTRSFSARDNCCVRDGDRCIITKHAYPDVAHIYPYS MGGVAHESPNSTFWILLRSYWSNERVENWRAAVFPRGTETLCNLLCLSPNMHRWHSKG LFGLQPVEISEDKSRLTLKFYWLPQHQFSRNVDLSARPNIPCDLNGLEMDFKAWNAIT ERKIRSGDEIILTTSDPQNLPLPDWDLLELQWTLQRLASLSGAADINPQEYSDDDSDG VEWEEDFSFEAEQDDPFTYSRVRSPDGDVEPFSLVSEKQMPDVISAEAAFAT TRV_07955 MWWHIVHMDGVVAMSSGLPPLVSDENYWDVRLTSEVKDTLLTSP EAEVYERMVDEGYRRPDKPDDPSICGSSMVNVYYISAKGKYIMARKSFSSHDCTWYIK RMVTNPYLGAIRKILKIQLGTRPVTRKDMEDLKSVLVDLQFKLHALVDRISNPKRPSA NSDTTRCIKPDLPNGGPGCGEQYHTPVLTAFHKWAKILLSLFVHKAFCVAYQPFLKNA KSKIWPAARQCALRHCHGFMEKFILLATDPDFQPFQWSWPGIMLIDLYERPTSSEAPK SRAFIDKIFSLSGPDGGVVGGEDGVTTSRPLNDGGREAWDMMRRLREKAWLKAGLDPQ LLWTEQAQVQAGVAPVRSPSVSTTSTRNPERSLKDQSASPTTNFADSYYAMIKNSYDD HRHIRQASAESSRARDKQPITFATLPQQQQQIPSPTCTIPVVGNATTPQPSSNAFLWP SQPVYQNPSGPLNQIPALGQGDARGYLNPNSAKFVALAGDRANMAPPIGLKIETSQPA LADIPLQAIPQQRPQLSQQTQQASPQQQQQQRPQPPPQPQQQPPHPSAFEPNVNFDWD QWDAVFGQYLPIIDGYMDLDNNEPQTNPSDLALSSTIQVSDGEMGIHDRTMVGPSNNR NWADFG TRV_07956 MYDSTAAAAQRNRAEDNHQRVKRKRDMSKPAEKDELDLSSPQES LRELLNYQARLRDQKSGSDEIATRLDRLTSMIERLSRTGDAHSIEGNTAGGGSAGSNV AGRSGIVDGASGRARNKSVSSSASVSRQSSPRRNSDDASNDDFPIPAGLSTDLVDPVG TLNLGHLSLEDSGRSRYVGTTYWAYISDEINELNQLLRNQNRSQPPIIPQPAPSNGGN DPSISPVQKSDESNSQVQQWLATHESNMHDSILYPKAGGPSSHIKPIQADMLNHVPTR HESNILYKSFMSGVQAISPVLHPPNVLKSYEEFWDWYAMYRQPGAPYPRPSFIPLLYA IWYGGSVTVSLKTIHAEFNLESRSALTEPFHDEVTRWLKTISFPRSPSLNGLAAFLLV QTILSKEEEPLTSSLFTVWPFA TRV_07957 MSHARVEEVSDSDPDDMDPSDFDPAKDSIIYHKDMEGPSAGRNN SSSTMPLRPPARPAAASSSPSPPLFPGSQQQMRRPTIAQGRDIPRSYQCLYAVYFDKS RTRAEGRRVSRKLAVESPLAKDILDATQLLGLSVGFEPDKLHPKDWSNPGRVRVMLKD EEGRPAHSSIKNKHHLYTHVAQYLQSHPTTEQSPFRLRLQGMPVPEKPPGPPATPRGW KISKVLPLHSPALTGGGVSDNPFKEAMKEMQAQQGLPGMPGMPNMPGVPGMSGPGNAP PEPKKKKDKKKGKA TRV_07958 MKCVVTKLKEAGKSEDEIKEFQTGAQAAAKTILANFKDYETYTG ESMNPDGMIVLLNYREDGITPYFTFWKHGLKEMKI TRV_07959 MIIWLFCFPSKNLPFFFFYCFSLLPIAGIFIFLLFFFFLFSLQL QRHRRLQRLLRFQLLCAGNSSTFFNQPTAVFSAIMIIFKDIITDDELISDAYKMQDKG VIYEVDAKRVTKGADNIQLEGANPSAEEADEGTEDTSTTVIDVADSFRLSPVEFDKKA YTSAVKSTFSLPRTK TRV_07960 MALTVQASPLPPAPRPEDIFSPAQWETLLAIADTVIPSIGCTGT ADSSTTHLVSQPQFDALVSSLRPAAVEDESEAAKAAVQYLDESASSIPGFKESMCRTL ALHVHQEGLRGIKTILAGLNNFAVSFALTGSRTPFQHQPYHVRRQILSSWRSSYLPPL RAAHRALIALTKKSWVGSSPSLPLVLGFPNVPVHGATSESFPYSFLQFPAGDGPEIIE TDVVIVGSGCGAGVAAKNLAEAGHRVIVVEKSYFFPNDHYPMSGSEGAFHLFSNGGAE LSDDGSIGVVSGSTWGGGGTINWSASLQTQSMVREEWANSGLPLFTSSAYQTSLDRVC DYMGVSTDFIQQNHGNSVLMEGARKLGYSAKAVPQNTGHQKHACGYCALGCAAGIKQG PAVTFLADAARAGATFIEGFKAEKVLFGRKLAKGKKVAIGVRGTWTSRDASGATHGKP AITRKVVIKAKKVVVSCGTLESPLLLLRSGLKNPQIGRNLRLHPVIIMSAMFDHETRP WEGSILTSLVSDFENLDGRGHGSKIEAVVMLPNFFLPLMAWDNGLDYKIFAANARRMA GFISLTRDRDTGRVYPDPNDGRCRIEYTPSAFDRKHILEGIIGAAKIAYVSGAREIRS SSLAIPTFTRPETSSDAADEGVNNAAFQEWIKKLRTGVPLPPEQSMFASAHQMGTCRM GISPKTSVVDPTGMVWGTSGLYVADASVFPSASGVNPMITNMAITDLTSRKLAFIMSH DPEAIKSRL TRV_07961 MSDRQHQEEDHTYNDIEDRVSECITMYPVFCTAKIPSDILDESI DESYAVIRDVIGEGGLPAYGMAPCILQTTDLDSITHGSRKPMPVDFESPFLNWTDEQV REWATKALRPGHLSFAQRTFTILDQSTVDNKVCRVGYISVFEEDEDYGMLSEVFYADI MARVPVELAEIFWDETLLSVGENGVLDPVEERTWAEKSRKEKGK TRV_07962 MVTIREPARAHHVYPNGGRISGRQASSPKQTTLEYAALVRAFTT RMRRNIGTLVMEYPYARNTYWQITAKDEPLRENREPVAAQADANTPAAEEWAIPTSQR EEGTPQQPTSGLEILATTQSQETVMVTENVTDVETTEPAPAEATPAQQPIYALEDMHG EFDIPDADEGLANLANVTVYWPNDPGTVGSAPTSMATNTQTARGNIYKACRCPEHQEI YDNWPARHADLTIAH TRV_07963 MVLAKQAVLWLLASGLSLALGRRAQPIDAKVIHVFNPGKTCSPG TMKGTAQMSGDQGTVEVTCSYEDHSYSASIALYPGRLTGACCYVPDQDVFLFVQDQRT EPLTNYSLDGAICCNEGAGQAPASFLRDKYSGRCSYGSVTHGFPTDFNQDLVTKCCWS TSTNEWTVHFSELQKHIFLTVPLTQKIKTMQVERADFHKAYMELTVSVTWGIPLTGGT ETNRKTIRFNYGKNIDSAEETKVSHNLGWYQVTTSTRIDADGKPEFLLHWDSWLFSPD LGRPISSEKGTDKVEIPAGRLDEIAQFLSTTATLC TRV_07951 MAASLASRAAPFEPWTKRSSLRVDITLKDQPASGICSYTTLDAI EGEATFTADVDTRFEQISISFVGTSRTLIERPGAAGPTVGRSSAFHTFLRLVQPIDES DYPEPRILEAGRKYTFPFTFVVPERMLPQSCSHKMNHPQVQLAHTNLPPSAGDPMLAG DGNSLLDDMVPQMVQIQYNVRAKLSKHNPSTGTLRTVIDQGKKVRIIPATDVEPPLDV SENSIDYCLRTEKSVKRGALRGKLGRIAMAAAQPKPFHLPPIHHPGSEDVTISEEQET PSTLVKVHVRFDPADENQKPPRLSTLWTKLKVFTYYASEPWKDFATRANMPNWSLNHG MYAETVPLETRCIASVPWTKHSGDANSTFCSPASSSSNLAITTPLSSAPNSRRGSVQS TSSIDSSQTGPTAAYAGNTYYTCTILAPITLPKTKAFLPTFHSCLTSRIYALDMSLSV VPPNTSLTVPQLSIRVPVQVAGAESLAQAVALRGDNVQNTDSFFTPRSISPPPAEYTG RASLG TRV_07929 MTSNDRHASHDSPYRTGQHVPLSQSRDAPLTSVATSAIESRPDL TNSFDEPPSLSRSSTSQNTITSPTRPYSPGMRSLSSQKLDQSSVDGGEIQMQSFHDGA PPPPPVSHSWKKIDRWVEKNYEELFDQLCEGCTQNDVNELEHELDCSLPLEVRESLQV HDGQERGGLPTGIIFGCMLLDCEEIVQEWKNWRTVNEEFLSGSTVSHPQPPLRAYGGA AASSSSAPPQPPPASQQNASNPLWRQELLDRQDSQPPRAIQKAYAHPAWIPLARDWGG NNIAIDLAPGPAGKWGQVIIFGRDYDCKYVIARSWASFLATVADDLHSPHVYVDEEGG ELKLKPFRQHEPPYLEILRWRTDQKYGRRQPKRRSNGLGVNTNINGKTTRDSPYGSPT PSEDRGRSPHRFPSRGPTGSPAGAMGVSSPLARVTEETSSPVNNNNITKNELDLKIQS KLRKSDDLVDLSSPVLQKPAEPFPAPETKTETSSEPATNGEAKENSPPTNDKTTPSKG LDADALDDMKTVEI TRV_07930 MADEQRQKRDEEEEDEEDLLAALEAEEDDPKYRANRIKQLQSEL SDTKSNAQQSNNTTHDAEKSEATSLSTGTDAVVTTMLNNSLYPTLPNDQSLLDFSTQI HRCVIHFFHPDFARCSIMDKHLTTLSEAHNKRGKDDARFARVDVRNVPFIVEKLKIRV LPCVLGFIDGAVVERITGFEGLVDMNALMGKKGSEKTTGEDFKTSMLEYRLVQTGLLK NAVLYGDNADDYDDDDRDDDEDNERTGKRKTIRTGKTMRRRGGEDDEDDWD TRV_07931 MRSVLSLALLAVNVVTAAVVAPFDYSGYKVIRVPTQKDNVKEVQ RIITDLNLDTWKYPKSEGQNADIVVPPSQISSFMERISGMNIEMMHEDLGLSIRNETS FEAYSAGYAPDINWFKSYHSYQDHLSYLQDLQGLFRTRSEYVDAGKSHEGRTIPALHI WGSGGKNSKPAIIFHGTIHAREWITTMVTEYMAWSFLSQYNKNADITSIVDNFDIWIF PIVNPDGFAFTQTSNRLWRKNRQPNPNARCPGRDLNRNYPYQWVGPGSSSNPCSDTYR GAQPGDGTEIKVHIANMKKIAANKGIAMFVDWHSYGQLFMSPYGYSCTARPPTDARHQ ELSRIFAQALKAVHGTPYKTGPICNTIYQVNGDSVDYALEVLKVKLSLTAELRDTGAR GFVLPADQIIPSGEETLAGTVAMLKAVIQG TRV_07932 MDQNQAGMTDTSVVGKWYDQNTILEHNRLMTSCIEYSITMRVIK QCLLELETSSGKPIRILDLGGGTGRYAVELAKLGHIVTLSDISQSELDYAAKFAGDSG VILENIVQADARHVRNNEILFRKGHYDLVLCMGPMYHLLEECERLAVLESCSLMAKPE GFVITAFVTKYAHLRDIAQRDPRRLCDESDFYAQYLSTGKYTRNPSNIVSHHIDVGDI RGLFDKLQRNGLANIRLERLVGCEGFLGGGLGATINLNDAETYEAWVDVRARYSMDPH VLGASDHIAAVARRVG TRV_07933 MPGVDVTADIETLHLPRILCLHGGGSNARIFYTQCRVMRAHLKS TFRLCFVDAPFSSPAGPGIESVYEDYAPFYCWHNWKPGVTEDFGGQTLNYVEKILREA MAEDDAKGATGKWVGILGFSQGAKTSASILMKQQVQTELNIRDENSFDFKFGVIMNGR GPLLELGLDYGSDSSAPGSSASSATEQSEDIKSASGLLHIPTIHVHGRRDPGLYLHRH LRDSSFVKSTTRLVEWDGDHRLPIKFNDVDALVSQIHAVAKETGAL TRV_07934 MERSNSNLNVPTGPRFDPSRDPRRAPRHRTPDVQGTVDILNQLT AEITELTHINFARKRIIKKRQAEEQSLKIAKERASVYPSYIQGLKLAREESDKELKML DDLVDTHNTNKEKIILSLAHAIHSAPNQEAQSGHVVDKLGRGQDDLWRQSDKYRSSIA DLSSRINDICKRLDTLRDDVDSLSKTMKRNNTDTPPANKPQVNKDEIDLRLSTLSSGF EDLKSSYESANKNRDDILQTIDKRVKDTMTSLAATKASQVNDARKSEERLQSALRPLS EQTSALTSTLAASAQKIDKIESQLSNLESTMESTVKEMIPSLQTQVDENSKAIQLHIE QPPESSKMPSQLPPDKAQENLKEELLLLSQQLNNFQKAQEGKLETLSKAVDGANQAAS RNKGVDSQPIEQKYTQLHNQLACRVNALYGHLSSTHTALNSLETRYGQLATEPVIHQV VLRMQEMYPYASKAQAEIERLINATNDHLAHITSQGTRVTSLEEGLAKLKKADESLIT FLRSERAEITGRVDAIQAKIDELENSTVDTFANLTADVKLAIEKIEEIKPQSQTTSDG SPSAQIHSEMSVSSITSDSQPASQSGSEQHRNKKRKLDEETPKQ TRV_07935 MAAPITTVSESRDLRGLNLVAAHSHIRGLGVDPDTLQTRAASQG LVGQQKARKAAAVILQMVKEGKIAGRAVLVAGPPSTGKTAIAMGMAQSLGPDVPFTML ASSEIFSLELSKTEALTQAFRKSIGVRIKEESEIIEGEVVEIQIDRSVTGGNKQGKLT IKTTDMETVYDMGTKMIDSMTKERVMAGDVISIDKAAGKITKLGRSFARSRDYDAMGP DTKFVQCPEGELQVRKEVVHTVSLHEIDVINSRSQGFLALFSGDTGEIRSEVRDQINS KVGEWKEEGKAEIIPGVLFIDEVHMLDIECFSYINRALEAELAPIVIMASNRGNTRIR GTTYQSPHGLPLDFLDRVVIVSTQPYGKEDIQQILAIRAQEEEIDLSPDALALLTKIG QESGLRYASNIIATSTLLSQKRKSKEVGIEDIQRSYSLFYDPVRSVKFVNEFEQRFIS DSGNVNFSTAAANGGDPMEVS TRV_07936 MSSSYTRQKRGGEEDDSDGAEQSSSKEPRFDVRNPSALAPDALE DDAVLDADEFGHRGQKVRRNAVKVEGFESDSENEGFDARAEAKSKAKKRENRADDDDD MFAELEEDFAENDDEDETGGVKHKKQVRFLETDEIEGQVNSSRAGGKVTLDTRSAGGK GKGRAIDEEEEDDDDSGSEVGDDVRAALPTDVDKELGAGSKKQHAPLLDAFNMRAEQE EGRFDDAGNYVRKAMDPDAVHDSWLEGVSKKDMRKAKEAAEKREEERRQQSLAADKVL TSDVLKTLIQHLDRGETIIEALARLGKGLKHRPKWQNKNKKNQKRANGVAEDVEMQEE DPKEAEKKRAVEEITEAADMLLSRGQTDIYDTERELLTRMYQRETGEQWVDPPGPETT VDEGGDGENSEMWEFRWSDSRDGGIIHGPYDKPTMQSWSGAGYFSEGGAEFRVVGSSG PWNPGDPFS TRV_07937 MIRHSTHSSRRFDEYYRCYPVAMLPGPERENVNHGGKVIMPPSA LDKLTRLHITYPMLFELHNGAKQQMTHAGVLEFIAEEGKIYLPFWIKSTDLPPGSKIK LQAQSTSFLDISDPKAVLENAFRNFSCLTKGDIFTFSYNDQIYEMAVLETKPETSQNA ISVLETDLEVDFAPPVGYEEPKRLSGTSTPASGVAGSLPAGGTLHSHGTMAQSINYAA IAPGSNDAARAANAASSNFHGSGQRLNMKKGSKSSTPKSATPASGKSSNPPPAPPTRR SNGPQPLRLPPGKLFFGYAVTPVKKKDSSDESPESGAQPKFLGTGQTLRAKKKGSGLA GQATPASGSDTEKKDKGRTLGGKSSRPK TRV_07938 MVTWDKASIERLIAALLAAHPDLSPDYRTMAVYFGQGATYDAIH GQFRRFRQDANKMRGSASGTVPSTPSRRKNVASSTPRSGRGAITKSASAKKSNYGNSN IETPTKKRELKMDSNKDDPIILDDDEENVTTPLVKHEMSEVKHENPVIKEEAKAGEVI SMADMFSAAASADNEGPQHEIAATGKSPATALSSETPHLPDEGLLSMPLPPNRVLFFN YDDDEDIIV TRV_07939 MPVRWTSENDQMHTSATTLTNWSRKQLLVKFIETHDISVDPKKI SEAWPAENEDARPTPRAITERIHKIRALAKATAAKNGTSAPTTPASRKHGAGGSKSST KRSRTRGPKKDMSGENGSPHTPTPANNGGPVKKKTTDENLVSNGELEDMFTHRPLGKR VRTNPALPLGMIKYERDTDEEDAMKYESSVSEFAPADGDDKDDDDFMDDLVKDEIV TRV_07940 MDKGKIYSWDPKTSGRYCINLFADDNCVDRNGWSCPIWGPRKLG QSYVKSFLVNKEGDDLPEPSSSTASDKTTSMTRTNTDSGTSTTRTPTETSSSGSTESS TGTSSTSSSETTSPTTEVTNAPTTGSTTQISTDTPIETGRHTHRPKHPHGDPPVSGGV IAGAVIASIAGVGGLVFFGYFIFRLRKARAASPPPETSTDPFSPRPPKNGFQELDSLP TSPVTGPPRAPPASMPTIMEPEKAGAVGYGNQHPPMAELPDTSQVWEMDASRHKE TRV_07941 MGRGVTWDDKLDAKFFQIIQMANPLLDCTPKAIRHRLARIKLKA DQGNSASSSPAKTPNSKTGGGHGMKAKKRNANDIFDEESGDIKKVKQEHVQEPDTEE TRV_07942 MITWDEKAHMKLLFAIISTSAPKVDHQAVANIMGNARIKTMVTD SNAASPATPKSKSARKKATKKRTAEEHSDEESGDAKKVKKEHVEESESEE TRV_07943 MATVKRSKTMPADGQTTKFLYTILKQLDLKSLVLGHQIDWNLVA SQLEITNGHAARMRFSRFRQHMEGNTTSARSPRAKKSKAETAKSKKAMFDEPVKGKER SPTGSASPTVKKEPEPPVKPEPAFQVGMSEPASVSPPPPPQYFYPPGMEAVPRCFENA AIPNPSPFFDFSTVSPAQLTTPSLVPEPVIGYSAPPFGENWVQVKSERADGEIEMQDL FIKTEPNL TRV_07944 MADNVENSAEAGNKQLSDIAFMAECFKYLQGPFNVDLPAVAEQL GYKNPASVGNRLRAIKKKWGIGVAEGAETGADTTSPATTPKTPRKGAKAARGPIKAKP EVEGEDSKAGGSPAKRGRKPAANGGQKRKASENNKENEEPKTENDAAAPETTKETEEE AA TRV_07945 MDVVKRGSQEKKRKKRKKKNEEANLKQGEWYMGI TRV_07946 MSRVSAEETNIFLIKCIKYSNNGKLANADKSHLSAKRYERILKG NGIHPSSGEGVDEDGAPGSANVTPKKNGASTKPKTPRKTPTKPKANGASKTPTKRKTN KGEANNESPTKKFKSEEKVLDSDASAKADNDEAEEELPLRTKNDPFLPVPGVDKESED AMFKEFCNTGAVVKHENEMIENQIV TRV_07947 MDGLSKPGAEDGAHGPLQSSSNNENEQPYTKYPDLPEPPHQQQQ QQRQEGMPETNNSHSPPLAVNTSGNSAHPSGGDRYAMSQVNRDVAPGGLQPSPRDPRS AILSRRSPSAASMSPPVSVLSQERQNERLPSTEPRSLPSRDITNATIDDAYVEFILYC NPTIPSSTNTSELRRMFRSPPRSEGKNFCTFMLWELIQKLDRKELKTWIQLAIELGVE PPSVEKKQSTQKVQQYAVRLKRWMRAMHIDAFFEYCLGHQHTYYTKVHPLTEQEEECR DGVPRDEDLALRALVPEWKPKRGRKRVDDIGVDLKQQKRTRLYTPNSGIEGGYHTDET PQSSMPWSAYPDSAEHPPGGWPPGPAAHLDSTKNPHRMGTPERPIDVQTAASGWSFPI HRSPPFRYPQSAVTPRHRDLDALFSNEPQSAITPSSADRVGGKRRGRPTVSSAWLGNS PSAGKSRGRPMHPETPSSSLSTAVTSHPDIRPNDTNFHAEPPSSATPASNEAAMATPV ATNTGAAQPLRNYAPSRPTKLHLQVPKSAGTPIRLATPPLLLVNGQNGSGGNPDATRT HSGEIPQNANEVSSPFSLTLEQVDDALSREIQGATLFGRTTPLSPAESRAIAHATIQQ IKSQCHPDAPPSTVASYCAFSLGLGHRLGLACKAPDQMKIRIEPGMSDNTIRVHCPPD GPTSSDPSGSFPISYTLSYDFSPTPGVTSQVSIRGNAPSQMTLGEDSTAQYGHGHYRH GDQRTATGIEEGLSDDEASLEIGMTARDWKQRYLLLRQQTRKKDAALLKYKKQILEAV MTDP TRV_07948 MVTGLFGFREKRPPDDESNSNDDIHPNINIQTEIEERDGLLMAP SLRPLPANPPRRSGRPLRASSTRVKTYREDSSDSDEVDIYNESSDSSPVTVRPLVYSD FIPSEESRAEQRSLSPSPRKKKALGARITRSSSNNKKRALFLQASRKNVLTTSPKQLK PEDESELEMPSPVESTKIPPWQTLPYHVLFDIFLRAAPMGKGIQVTQVLKSIRWLVRI SRLCRAFFEPAIAALYYSPMAFTMDWLEEIRKPLELDQSTALTNYRSKVKCLEITTHM PKVDRFQIHSFLMFAPQIKHLQIRDLSDTPRKMSKPFQLAFLEHWFESMPPDYIQLRS WEWSSRVHLSRLKEFHLHPMLSSLRTLRFYKIWPYEPPETRLSLDDNEQPLPAEHLVS SLVALPKLSVLEFTECYLPDGFLFKLPVDLQTLTLDQCENVDSEGLASYLFEHGQKME VLNLTHNKEIDMSFTVGLRASCPLLRVFRMDLNFSSGDTLAHDVEPHFELLLHPGQVP TWPSNLETLELERLRKWDIMTAEAVFRSLIEAAPRLKYLRKLTLTAILKTDWRDRAQF RDEWARTLERTFLRRSEPPLCISGGNLRASSALSRRPSSRSDASDVSHLVRDEATDDN VPRRKSRRLAERKPSTSGDESRRSSDAPSPHELHSRNDSPLIIGRQGFMANGPGMCNV VKIRIDNLRPADVILPAENLSDAEPSGDDDWDGDDLDFLNDDYAW TRV_07949 MLFSKLLVASCISPVFSSFLPKDYVTDLMARQGPGEDPIIIGDD EWLNSLGKAAATLHRRQEEPDYPYIKELRKTLKDSHQIDGKWPPVGEVNPVPSSTDCK LGCSGTCNPAATYDHQTLRTISGVTVTGYPNVDEVFENPNDDPLDIEVKKGTAVTKGN TKGWSIGLGLSGGLGPASAEFSGQISQLTEETSTETREVSWKGKCPPHTECRVTTVTF TITVTGMCDELPYAYCLTGRPQKRNMCGESKDHFLTCLCDAQKALIERQCPKGPQLKS CEITFPLRKPDGSLRTVQFLSQTPISK TRV_07950 MSPQSSRVMSPDAAGPSSSPPVLPEGWLAQWDGRQQRWYYVQPV TRKSQWEIPTEPFIASTSSTPHSAASPGPYPSPLTMSATSPESEATRELMEVRNAKWN GNGNFAATQQLSPQSTSPRSQRTPVAGDAVPHPRSSAQTTPVHAIADISALASQDEMM VQHNSVCFKLLIFCSSNRTSELIGFFKQPNHSRVGSNTPQQPMSLPGQVQGFHTTSGS PANQHQAQHFPPHPQSYNDSHMQNSGLPLGQPPHVNSIPMNPSPQGQLYGAQMHDSNA RPFCGPQQPADPRYTNQAIPVTPGKFPPRVSPVQTQRHQEHGITLLNQDPNAPPVFPL SHREAQRKRQSERQESFAKYQAGPAGQPYPPRGPPAGNYDHRYREPESLPRNMPPPPP GFGSNPIYDPLIDQTRPVYHESIQGPPGGPYGSSRQLGSPGNGPAWQQQPPPPPPQQY GGYQPGMPPRADYVPPPQQHYGDVGGYPGNWGR TRV_07899 MTSENVAPEGAKAAVLVPSEAIPEDAKQVKGLEFDQYAERDITA AELVENMATMGFQASAVAEAARVINDMRAWRDPETGDKTTIFLGYTSNLVSSGLRETI RYLVQHKHVSAIVTTAGGVEEDFIKCLAPTYLGSFSSPGAGLRSKGLNRIGNLVVPNS NYCAFEDWVVPILDTLVAEQEASKSTETPIHWTPSKIIARLGKEINDPSSIYYWAWKN SIPVFCPALTDGSLGDMMYFHTFRSSPDQLRVDIVEDVRAINTLSVRAKRAGMIILGG GVVKHHIANACLMRNGAESAVYINTGQEFDGSDAGARPDEAVSWGKIKANADSIKVYA EATVVFPLIVAATFASQKKQA TRV_07900 MEVAVEAQRASLSQPAPPYTSSSQTHASAEPEPQAESPDRHQDQ QSHDQPLQNGVMPTVAGVPPSSTTHSSATETESSAPDRPAVETLAPVSSETTEQSVNT QIVEVIETPSTDAPVVNGEPDTMETDTAVNGGQAPVDGQIQPQNENTPVNGTTEQQDQ SQPRENEEPSEDSSPSTASDGSTDSSESTERTGEDYDEEDENDEPAYWAEYKEDTSVA EGDELKEIESGDADHSAHEYDYIEKSFYHELDDPEYKPIEKARITWKITGVRGTKEKP NRATIIRSPPAYIGGYYWTLKFFPRGNSVGSLSVYLECSPTPPVQDKVVLETEFKVLK GPPDAVLSDLTPDQEFKLAATVAPPKSAQSEESSRKSQEKDREELPEADSEEKTDSES SPKPKSDFRISAQIGVVLYNPEEPRTGWTQSSCHQFNSHNFDWGWTHFHGPGPWNTIH LRQHGQRQALLRNDTLCFDAYIRLFDDPTKSLWWHSSDSEPIWDSYSLVGLRPMGDVS VNYCQHTAGLVPWLLLTPFREMVKSIDVMKHINHIAARPKPVCEALQVIVHEMTAENI ASVRTEGVRRALRYLLEASGDVVEFWERLRRSLQIELAGTDAIEKLASIFDSPHDESK MSDESLNMLPRDFNSRIRITSENTESIQSGVTEYLKAREGKWSLPPVLQIELSRQKFD KKARQWKFLVNRVHRNEELDLSSFVDSEVQGRYTLFSFIAHKGHRTSRWYYPLVRPAG KGTHWLAFKGEDPYRIECLTAKAATENYEGLDIAEVGNGEPVNAEIAVAFIYIRNDLQ DQYLTPKLESWTPSPAWHRYMQGLSPDRSAIEPEQQIPVVFYGINGVSKDARNPAAAY DIIDHLTSEKDTITMTVPVSMNVGELRAKLATQLSTDENPVSNERIRMWTIGAPHEVR LFNFSMEPLVSMGFQLASLGWNALRIWVQVLNEEDVKYFSIPEFVPPPPVPNETDMKE DLPAPPSPPLVENEEQPQPAQEVQPSSQDEDVEMNDASPEEAPTQPNQNQDEPEQHSE HIPSAPEPPEHEMSDNAPEQPEINGVEPTSVPEAQTDEQAANEAIIASIIAQDLALLD QSQEMDTSGSFTEANGIPPPPPMIDEEAAGSPRNEVEESREVQEHHPGSEDSQMEGSP IVESQNDAPEETNAQTSQEEVQRAEPVILEPPVTKSDLILPCDQVYYFVQEFDADKQT VETVGAFFARSSCNIREQVRKTLSLSEDQGYNFWSRRKAVASVHSVSSSHKFRDLIND VGCIIFGKTIPKRRQTELVEEGCFLSPDRLLEYLWAVDRQHPTKSFTGKKTIEAILNG EYYSGDLCKGYYHGNGTHISEAGDTYTGDFVQGRRQGTGMIEHSSGDTYTGDWFEDQP HGQGTWVEHKTGNKYVGGYRNGKRHGKGVSYWEVADEEMNLCQICYTEEQDSLFYTCG HVCACGTCARQVEICPVCREKVISVVKIFRC TRV_07901 MTPQDMKIPPQSGDVPDDGDSDLGDFVTTEEEEGDIEYYCEDSS RYDSEASRAFYPICIGEVLHDRYRIDHKLGHGGFSTVWLAYDLEKKTDVALKVTDSSQ IGKHEAQIHEEIIRRVKDTSHILTYLRAFSLQGEASNRHQVLVFPWVGECLTFPKLEK LSMASRMLAARQMLEALNNLHRAGIVHRDVTGYNCFQGIAPLKNHTKKYKYELLGRPL KLQFEIWKSAQQVIPLKVPVELQQDTFYLGDYSLAINVGEQVTREREGRPPMRYCSPE RLHGFPPSTACDMWSYMCVLSEFYLGFPIIRNTFGGDIIPSMVESLGPLPAEWKGSLF WEYKDHWYDQDTKPSPQGAFEIQIKRLRPDIDQAELEVASSLFRRGLCLEPEKRPTAA ELLQDPLFKALMARYT TRV_07902 MIFTNCLPEDSYEGEVNGITMSWHQNAKGRLPELAEKYGADAKK LKAMAEHLTHASLVRLGKPTGFIL TRV_07903 MSALGSCEDLAPEALFCLNKYIYSSVYSKMKAPFPRLSFSLSTA TPTSIPPSLASFPDKTVSLRDGRVLGYTEYGCPSGYPLLYFHGWPSSRLEAFLADSIA KRHGIRIISPDRPGFGISAFQPHRRIIDWPNDIQDLTRHLKISRFAILGGSGGGPYAI ACAHALPHESLSAVGVLAGAGPWIAGTQDVPLVSRMMGVAANNVPWAFTGMTNMLVGS LRWVSGTSYVIRWLDNWIESTKKEDDKTPTQEGREALLRIAFEGFAQGSRGFVHEAQL LSQDWGFRFEDVTYDNIRIWHGTQDANSPIRLTRYMAEKLPHSELQEWDDTHYTIGER LEEVITELVPEEIHQPASS TRV_07904 MVDYSGIKFAIAASRRHKMQIFPSPGLLGVPWTAGDTRPHIPTA VHLQVTAYISSSTRYNEATKHKMKLSSIFSAVVAALATTVVASPIAKRDGAAVVNSVN TISQQITDLDNVVKSYTGGFITPIKIQVKTSKLTSGLKDAIKTVQESAKFNDQESQAV ATAFVQLKPKIDAVLASLISKQPIFKKGILGVPLDWLVRINLQQQKDLSAKLGDETVL KLNEQFAKLAPLINNAIAEAFAKAIAAFS TRV_07905 MNGSADECPLSPKSVLSVESSQPFPPLSPDLESLNYIEEEESRP EGDDDDRGDAIDKDDVVINGEEVDEVRGTEEAEFLSQYSPQASGSEEEDDEGEEGEGE ESSQEEDNLAIDRPYLRSTVSLPHAFAPPFYNRPPTPLPPSPSLTSLLRPSFSATTSR PTTPDSSDVETPNDTEAAVAKSARNATTVPRASPKVPTYEYYGFVLYLASSLAFLMYL LWSFLPSPFLHNLGIHYYPNRWWSLAIPSFLVMTIIYIYVALAAYNTGYLTLPMNSIE NLVDDAANIAVIDSKGRRRPGGSAKMNPDAATAQIMGSQKRIPWDQVWNEGTDAVMDI PIGGVCEVLYGGDDNDSNNRQY TRV_07906 MEPSQPATPSKTAGTPNPKPLTPEQIQRIELNRLKAKALREQRE AEARSRGTGGSVVAGQKRPISSVLSPSKTPANLRDGRNNPSTTGERPLDTIRPVRAFA KYVEYDFSKMTDTKGGFLTADDDPHNKALYSKDQDGKPAHMTQRDWERYQQLQAARKD PHGPFAPGATAQDSGKQKKCRECGTVDIDWKWDEVFKCSICSVCKEKFPEKYSLLTKT EAKEDYLLTDPELKDEELLPHLERPNPHKSTWNNMMLFLRFQVEEYAFSAKKWGSPEG LDAEFERREADKKKRKEAQFRTKLKELKKRTQFEAHRRNREGGGGSFGDTLGGRQRHV HQWGRPVDNAETGMSVKTCVDCGMEVEEIVF TRV_07907 MAWQPQSAMAGNGGMGGGSMDASNGGGQPQGTEYTLQGVMRFLQ TEWHRHERDRNAWEIERAEMKSRIGRLEGDARTSKRMHESLGKHVRILEVALKKEREK SSKLAAGETIEPSVDPKEVAKKSLKALEKKQPKPSSGLDNYADDEESNSPDAQHENER DKSRLYLGKCTQEVAYHIIPRSHPPPEINEQDLLNHDYQQHHMQRQPLDSSYIQEHQR QQQQSVPQQKQQLVPAQPQLNHVSMVREPEGSQMMMPTYNENGAQGSAQREFLDRRPQ EPQRANETTQEERNANYEFPSKSEEPSQTESKSPEALSGKEDFGKPPPTNDIIVDEAD GWNFDEAPSTEPTPAPVTRRPDTDAFPSANFIPPKSPTRGRGSRRKSSGGRRRSDGNP DVRELSGSVGPKADTTNFKVRFALRGHLDVVRSVIFTGGGSPSEPEICTCGDDGTVKR WIIPATYGVFGSNVAAPGSDLDVTSYFTHRGHNGSVTTLAACPPSQNFSSGGRATGDG WVFSGGQDGCVKVWERGRVDPKATLDGHKDAVWTVCVLPGTSKSVFGDQCNTNGGPDR VLLASGAADGRILIWAISAPPQLSSPQTSSRRSAGGSRRANSISSGSNFPTSPQPSTA SSTAFNYTLVHQIVRNDIAPTCISPLSLAGVNFVVSYADASILVYDTRTGEEIVGMAS LETYDGTRATGVNAVVASTIGFDGTANLDPNRALSEEESVVHGATGTSGGVEGVVISG YEDRYIRFFDANSGQCTYTMLAHPAAISSLSQSPDGRELVSAGHDASLRFWSLEKRSC TQEITSHRLMRGEGVCSVVWSQDGRWVASGGGDGVVKVFSR TRV_07908 MAEISFTKSFLASLDSKPIRVPADTVYDPRTFAPRFPIILPRLT DPPHPPMPKKVKTAAPPGSSKGITVHLKSARNPVLDIKLPNVALATATVQELKDAVHQ RIRPSNATDSDERVPLDKIKLLWKRKPVQGTSVADILADEPDVVNGKIPAEFNVMVLG GASVIPEEELKAAAAATKVAKPPVELGPQEDTEMKDPDSEQLGELPQGDQSLRSEFAN LYDADNFWEDIRIFIQDKIPNPDHAPAMISIFKAGLKDYLK TRV_07909 MGVGRRIGKKQGPPQPLEEFTVKSGKKAVRKRKADKADSAPKGV KRRRGVDDDGDDGEERPKSAKTNGAKAGKKDSVKRKNTKAPITEGARTKDEKKKVAKP VEASEPEDSEEDMDDEMLDDEFGDLDGVSDGSADDMDALDQEDSDLESHSAFDSDEER PREKMFSDDEDLSDAEEILTAANIEGLSKKLDMQKEEEAAQAELELKEAAMQTNIAGD APDVFAEHENLTAGLAPNLQLLRTRITDTIRILGDLSTLGQPGKSRADYTDLLLNDIC VYYGYTPYLAEKLFSLFTPMEAFSFFEANESPRPIVIRTNTLRTNRRSLAQALINRGV VLEPVGKWSKVGLQVFESPVPLGATPEYLAGHYILQAASSFLPVMALAPQPHERILDM AAAPGGKTTYASALMRNTGSILANDASKTRAKGLIGNIHRLGCKNTIVSNLDARTAFP KAIGGFDRVLLDAPCSGTGVISKDPKVKTSKTERDFLALPHMQKQLLLAAIDSTNHAS KTGGYIVYSTCSVTVEENEGVVQYALRKRPNVKIVDTGLGNFGTPGFKTYMNKKFDDK MPLTRRYYPHRENVDGFFVCKLKKTGPSPAETTQKAPGTSEGSGGEEIIDRTPITPET GDTDADGFGPFNEDEDEQYISRAQRNKLRRQGVNPKAVINKKSGQDGENHKQKKQKGA REKKDISA TRV_07910 MSDQKKNDNYIPMDKMDSESSSSYKPQPPPQPRHSAVASAANNA TLAIISYCASSILMTVTNKYVLSGVDFNLNFFLLCIQSIICIIAVQSCKTLGFINYRD FSSDEAKKWFPISLLLIGMIYTGSKALKFLSIPVYTIFKNLTIILIAYGEVLWFGGSV SGLTLFSFGLMVLSSVVAAWADITHAVSTSADTGAVSTLNSGYLWMLINCACTASYVL GMRKRIKLTNFKDFDTMFYNNLLSIPILMVSSLLVEDWSSANVAKNFPVDTRNRLYVA MLFSGLSTVFISYASAWCVRVTTSTTYSMVGALNKLPIALSGLMFFGDPVTFPSVSAI AIGFISGIVYALAKIKQNAKPKTGILPTSNPPVSASAQSMRDGLKS TRV_07911 MGKNRKPAVKQSNKKMKSFTKLSTSTKKTQNAKQQQQKQNKPQV PFRPSDRVLLIGEGDFSFALSLATHHKCRKRLMATCYDSEDKLIEKYPDAKRHIEQLE AFSSHSQESKAGVKRKRDEGVGSDQSDEEADQKPQDRVKAAPVESNSPKATKASVSSP KVVFSIDARKLGNTGGGGKLIRSGFPAPSPKIRYSNRSKKSGNDLTGEPGGPWDIICF NFPHVGGLSTDVNRQVRSNQELLVGFFKACVPLLSVPNPTDDWSDFEDEDEYTDEEEN GDFLSGAGEVRCKPRKEPGHIIVTLFEGEPYTLWNIRDLARHAGLRVVTSFKFPWASY PGYSHARTIGAIEGKDGGRGGWKGEEREARSYVFERKGFESQGTSSGKLKRKRKGSGS ESD TRV_07912 MAAELGPTKLNAESHLILDQPLLRLPHELARRNFKTVQRIVERE KEYVIPALKESANASLSGNQDPTQALASLDTMITRMQGLKRKMEALQEEEKSILSQSR KRIEHLEDLFGIQSLVDVKYDRWSKTRLNRLLVDHMLRSGYLESAKQLAHEEGLEDLV DVHVFAQCQRIAESLRRGETKEALQWCGENKVALKKLHNKLEFELRMQQYIEMLRAGE RTEARQHAKKYLTPHSETYKSDILRAAGLMVFPPNTDAEPYKTIYSSERWQTLSNLFV KTHHDLLSLTSHPLLQIALSAGISALKTPACHSEYTTSASSATYSSGSSICPICSTEL NELARPMPYAHHTKSSVDNDPVVLPNGRLYGRESLCYMSSRSGVSTGKVKDPITSEVF DVSELKKVFIS TRV_07913 MAATTGKGDVADYSIKPEAVAPPIPTSEWPLLLKNYDKLLVRTS HFTPIPNGCTPLKRDLKSYISSGVINLDKPSNPSSHEVVAWMKRMLRVEKTGHSGTLD PKVTGCLIVCIDRATRLVKSQQGAGKEYVCVIRLHDKIPGGEAQFARALETLSGALFQ RPPLISAVKRQLRIRTIYESKLYEFDNDRHLGVFWVSCEAGTYIRTLCVHLGLLLGVG AHMQELRRVRSGAMDEKDGMVTLHDVLDAQWMMDNHRDESYLRKVIRPLESLLTSYKR IVVKDSAVNAVCYGAKLMIPGLLRFEAGIEIYEEVVLMTTKGEAIAIGIAQMSTVELS TCDHGVVAKVKRCIMERDLYPRRWGMGPVALEKKKLKADGKLDKYGRTNASTPAKWQA EYKDYDAQAAGTPNAAPAPGATTPAKSTPAAPAASSPEKEDEEVDDADKKRKRKDGET AEEREERKRLKKEKKEAKEKKKQEKKEKKEKRKSKQAEDASDSD TRV_07914 MHVVFLLPRILQIPPQLWRLVTPFFLTGGGIGFFLDLYFLFQYA SDIEVNSPRFSGPGDFVTYVIFVAIFILVSGHSFLALREGYKHGLYPVLSSLFAKHLL NLPAQPILAAAVPEFEGDYPCTSCIPIIRIISILQELTHVQLTAGLYLQSFVFLGALS LAFLTTLSQDNAGKKMAFIFFQIPAEYLPFASLIATLVLSGQHAAITQACGILAAHLY EFLTRIYPDFGGGTNYIQTPRFIQNLFGSSGNYVKAHGGYRKHRPADGNSSDSRSSGQ STGSWFSGLGGGSWKGRGAGRKLGTG TRV_07915 MAPRTREELVALAVMDPAMATILENGPAVRPPRPSDPYYGRKDH TAAREHRATILREKWGLRYLPGPIDEVAEEDRNIPVRDGSEITVRIYKPVAKKQDGSP LIVMFHEGGWGMGDLTDEEVNCRLFSRDLGAICVNVEYRLAPEYPFPTWINDSWDALQ WCARNASALGADPSRGFIVGGGSAGGNIAAVLAHIARDEQLSPPLTGQYLCVPAIMCF LPPSHIPVQYQAEFLSHPLVTPCNDPILNVSLGSFTPLASVPRFILSLLPLNLLAKVY SIAGMSGDMSSPLFVPFLYGQGERGHRDLPPAYFQVCGLDPLRDEALIYERVLREEAG VKTKVDMYKGLGHYFWTNFPELEVSRTFVEDTVKGMRWLLEGKC TRV_07916 MVQLARVCLRPRLTSFLQRRALATALAPSNIKGELVRRRLPLIY DYLSPQPSHLLSLSLDSLNVSTPGSSASPESQWRLPSITRPVPMPRGHHLIYFPPQVP SSELLPDGCDELHSPGQPYNRRMWAGGSVRFFGDGGPLLNGQRAVCVEGIREVNIKGK EGDEKIFVGIERRVATVDEHESDDAVRSRVWAQSEEEQGATAGIHSFDLVLTYDGPVP ATAEFSQSIIPSKSLLFRYSALTFNAHAIHLDRDYARNVEGYNDLLVHGPLTLTIMLS TFQKHAATLGQVVSSIEYRNLSPLLVEHEMKVCAARKSNGSTGSWDIWVEDNNGGLAA KGTIKTVPVKSD TRV_07917 MPSMTPSLGANTLLQPVVAFLGPLGSFSHQAAIEYFSSNNNTLK AEVSFNDAFSAVQSQEADYAIIPLENSSNGAVVQTLDLLADRENLYPDITVSGEYYLS VHHCLLMKKAQEGHGNSSEPNPEVSKFYSHPQVWGQCTKFLSAYSGVEKQDASSTSKA AEIVSKDNDPKSAAIGSELAGSINGLQVVKANIEDDPSNATRFLILQNLKSNRTQAIK PPQKTQSCSDPGSKCKSLITFMVDHTSPGALADALHVFKKYGLNLTSINSRPGGVKAW QYVFLVECQRVYGVHDDHVVEKVIDELRDITQTCRNLGSWEDQLMEK TRV_07918 MSAPQGAGEAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPK DQISRAAKMLAEEFGTASNIKSRVNRLSVLSAITSTQQRLKLYTRVPPNGLVVYCGEI ITSEGKERKINIDFEPFKPINTSLYLCDNKFHTEALSELLESDQKFGFIIMDGNGALF GTLSGNTRDVVHKFSVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAELAVQNFITA DKVNVAGLILAGSADFKNDLNQSDLFDNRLQSKVIKVVDVSYGGENGFNQAIELSSET LGNVKFIQEKKLIGKYFEEISQDSGRVCYGVEDTLKALELGAAETLIVFENLDITRWV LRPSSGSDIVLHTTKAQEANREQFMDKETGQEMEIVDQGSLLEWLAENYKDFGATLEF VSDKSGEGNQFVKGFGGIGAMLRYKVNFEQLADVEEDDDEFYDAVQICAPHHLASSKL LKGPSKLRNVATSN TRV_07919 MKRKKSLAQGRPPTVKKPAASLSARATRDLIRSHHQLHKARARA AAEGNEELVRQLDSEIAAKGGLKSYQIASKKGQSKERGGDSSHVLLQWLKPKLLGGIQ GQPENGTGKLRVLEVGALSSRNACSMVKALDVTRIDLHSQEAGILQQDFMERPLPTTD GDRFHIISLSLVLNYVPDPAGRGEMLRRTVEFLTSSVKDDVLPALFLVLPAACVLNSR YLTEERLDDIMASLGYQQLQRKVSSKLIYYLWRYTGVHVRTKGVAFPKQIINPGPGKN NFAVVLKPSA TRV_07920 MAPKDTKKATKASSKAGAASKAVMKGLSRSPKYPRKSIPHAPRM DHHQVIVHPLNTESAMKKIEENNTLVFIADVKANKRQIKLALKKLYDIDTVKLNTLIR PDGSKKVFARLTPDIDALDIAATKLGIV TRV_07921 MASTRHPPPLRIYQDPPGAAPPAPAPQPAPQPASQPSSSSTISS SSSSSSSQPGAGPKSQRKQLQPSPMPLQPLGNSARNNRDLVLNPPSLDPFCAHSSTSP IKHQRRTSAHLAHNSNKLEYLPISAPKPARFPTDSPAKKPLSQEHHQARRPLPPPSSR LPLFTTFPSVPPKPSGSSSSTSASASSSAGRTAFHHQDNYSSSSSSLGPPPPRSSSHS HSRSASASSASASAASGMHAPAKRRLTVGSSDMVPAAKKLKREELEQPPPVTPRLPEP HEMPPVEDDGSKPPYSYAILIGMAILRATNRRLTLSQIYKWISDNFAFYRAGDFGWQN SIRHNLSLHKAFIKQERPKDDPGKGHYWIIEPGMEGQFLKDKPFRKVPIMSTIPASQP QSAAAPPLSTPKQPDLPPPSSNTGLSTINYLPSTTAESQDVAPASNLQDLSSDATLPA SDPALQEDDVSDEQPAPSLAQIEQHFSSPLQAMRSSPPVAPRIFHRQATPPTPSRPTS SSAGVSRSKRRSSKPSNMNDSGYYSSLESSAMRPRVAAGHILTSELDIEPPRIKRGRA EEEIARIRSSSHDVSPMRLGTLRDSAQATVGSSPLRNEYISIHAAPLTPAIKFKKPVK PPAFLSPNTNLQNHRRKIQQMVNSPIKRLGLGEELQQPWSPAFNIHDEVYTPNDNLRL PFDPFSDQFLASIGTPPFCSPEKRSVKSDGLGAGVLADITALSANTRLKTPIDKSKAY RFQESPCKRLDQSRYNDAANDELFSFNLFSEDGAGDVDGVDLLQGFEKIGQPKEERSP KQFLGKTSRSSHGPKGGIRF TRV_07922 MPPIRSARTRKAPPDGFDDIEDTLLEFSNKMKDAENASHEGKKR HEVLWPIFQISHQRSRYIYDLYYEKEAISKKLYDWLLKNGYADANLIAKWKKQGYEKL CCLRCIQTKETNFNATCICRVPKAQLKEDQGIQCMQGIACVTALFIFLFFRWSGSGVP LFGFQAMMMEFFYCMCVSAWALYCWFEFVSLAIGFSIFVFLRVAALGDEYCIDTHQIQ NMLYMNITLIRLSTIKE TRV_07923 MNAASLLTVIALPRPSTLTNHVAVVCSARSSSSKADGTTNRLLR AAREAENPQSSQYKTLVDIVLSEHISVANDLIHSTDLRSQLVSEFDAECQRVLKILEA AQTLGEVSSRCVDMVISAGEKLSCRLMAALLNDRGVGAAYIDLSDAIDFAAGVQVLDQ EFYDRLAKLFAERVNAYPGKVPVLTGYFGLVPGGLLDKIGRGYTDLCAALVAVGLNAQ ELQVWKEVDGIFTADPRKVPTARLLSAITPAEAAELTFYGSEVIHPFTMEQVIRAKIP IRIKNVMKPKGSGTIIFPDSRSELERTTPGHDPKFFRTRGPHFWAHSEGPKRPTAVTA KHKILVINIHSNKRSLSHGFFAGIFSVLDKWRLSIDLISTSEVHVSLALHSELPLLNG VGRDEYQIIDEDLRGAIQDLRRYGTVDIIPEMAILSLVGQQMKNMAGVAGRMFSVLGE HNVNIEMISQGASEINISCVIEERDADRAINILHTNLFTFLE TRV_07924 MDGAEPLSCPFCDFTDTDADFLTQHVEFCHPENGASPVLETEED TNIGSSRKPEWWHGDTPLYTDEDAGTDIKYIDCPTGCGEAVTEDQLMSHLDLHLAEGL ALEEIKGFDTKGPDMKLSGDIDEEILSPALSKVLGKQDGKRQRDAPVNAKATKQKKKT RSAGQLGITELGPYAREPKMPSWLRKVLEDGPVITRENKIFPDGTIRKVEAVSNETSG LIPFIARLCQQDSSVEQAYLCSANVRHIFKMPKEGGFCGYRNIQMLVSYIQDSNSIGC EHFPGRTPSILRLQDMIEQAWDNGFNTHGRTETGGIKGTRKYIGTSEAFELLYLIVAE YFLSHKISGSGKHIVQTDLPPIYLQHEGMPAQSPKRSSRLFWSPIG TRV_07925 MRTSPNIIITGTPGVGKTVHCEQLAQETELKHLSINQVAKERGC YDGFDEKLKSHIVDEDKVFNINWQQGKLEIVQHLELVALLSPSQFLRKTLTNMSTLAL YRSYSEEKLQENLDAEIFGVLLEEAREAYDEEIVVELESETDDAIESNCQRIKSWIDS WKQSHATGSD TRV_07926 MKSINFVTGNKNKLAEVQAILQGTIEVESVSVDVPELQGTIEDI AREKCRKAAEAVNGPALTDDTALEFNALNGLPGPYIKWFLEKLGHVGLNKLVEPYEDK SAVTVATFAFCAGPGQEPILFQGRTEVSEIYKDCY TRV_07927 MVHTYEVGTRAWQPDPTEGWVASEVTEKVVDGEKVKLVFTLENG ETKTTETTLSELDSDTNEKLPPLMNPTMLEASEDLTNLSHLNEPAVLQAIKLRYYQKE IYTYSGIVLIATNPFARVDSLYVPQMVQVYAGKQRASQAPHLFAIAEEAFADMLRDTQ NQTIVVSGESGAGKTVSAKYIMRYFATRETSDKPGSYSTGRADSISETEEQILATNPV MEAFGNAKTTRNDNSSRFGKYIEILFDNRTNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLIAGATDAERQELGLLTVEEFDYLNQGGTPVIDGVDDKAELEATRKSLTTIGLD DDIQASIFKILAALLHLGNVKIVATRNDSSLEPTEPSLVRTCEMLGIDATEFAKWTVK KQLITRGEKIISNLNQAQALVVRDSVAKFIYSSLFDWLVETINRSLATEEVLSRATSF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVREQIDWTFINFS DNQPCIDLIEGKLGILALLDEESRLPMGADEQFVNKLHHNFAADKQKFYKKPRFGKSS FTVCHYAIDVTYESDGFIEKNRDTVPDEHMEVLKNSSNSFIRDVLQAATAIREKDSAS MSSRAVAAPGRKIGVAVNRKPTLGGIFKSSLIELMNTINSTDVHYIRCIKPNEAKEAW KFEGPMVLSQLRACGVLETVRISCAGYPTRWTYEEFALRYYMLCHSSQWTSEIRAMCH AILSKALGDITQQQQDKYQLGLTKIFFRAGMLAFLENLRTSRLNECAIMIQKNLKCKF YRRKYLAMRGSILAFQGLIRGFLARQHAEGARQVKAATTIQRVWRGQKDRKYYHKIRN NVILVESLARGYLCRRNIMDSIHGNAAKVIQRSFRTWRQIRKWRDYRRKVVIVQNLWR GKKARRQYKTLREEARDLKQISYKLENKVVELTQSLGSLKQQNKSLTSQLENYDGQIK SWRSRHNALEARSRELQAEANQAGITAARLTALEEEMSKLQHNHNESLATIKKLQEEE KSTRETLRLTSLELDNAKNAIAVHEQEKTYLRQQVVELQDELEFAKRSAPLNGLNGDL NGNAPTQPSLSGLINLVASKKPKPKRRSAGLEKVEIDHRFSGAYNPRPVSMAIPTGGV GRNDFRNSAFAPGIDSVEIELENLLSEEDALNDEVSMGLIKNLKIPLPSSDPPPTEKE VLFPSYLINLVTSEMWNNGFVKESERFLANVMQAIQQEVMQHDSEDTISAGAFWLSNV HEMLSFVFLAEDWYEAQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKGLKKKLFKMI VPAIIESQSLPGFVTSETNRFLGKLLPSNNNPAYSMDNLLSLLNNVFKAMKAYYLEDS IITQTVTELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQ LEHLMVSTHPHIRFGPILIIPPITASNQAASTEESHFERYRDHSRYLLDIQKLLNQYL VADYEQPINGEIMKAVASRVTEKSDVLLLTAVDMEDSGPYEIAEPRAITALETYTPSW LQTPRLKRLAEIVSVQAMAQQDRLDSLEMGEPPED TRV_07928 PVQPVHPPAILKRIKQKKAAFAVDVRHAKPGFPIRRSLVHAKRK KSSPPKVNLLDKSSTQKKYNNHRLLARSLAPSLPPHATSRTSSLACSTPQNTPANHAP ISSHAQQPGIASIKEEGLDPATAASIFAKNPGLVSMIQGKLGSIIGRSSGYIESLPAS VRRRVAGLKGIQTEHAKLEAAFQEEVLQLEKKYFAKYTPLYQRRSAIVNGAAEPTDEE VEAGKRDEDEEEDEKKEDEAKQLPVESEETPASGIPEFWLSAMKNQISLAELITDRDE GALKELTDIRMEYLDRPGFRLIFEFAENEFFTNKTIAKTYYYQEENGYGGDFIYDHAE GEKIDWKEGKDLTVRIESKKQRNKNTKQTRVVKVTVPTESFFNFFSPPKPPQDEDDDV ASDIEERLELDYQLGEDIKEKLIPRAIDWFTGEALRYEEIEENFEADFEDDDEDDDDE DDDDEEDDKRGDDDEDSDEEVCYPLRLQTMCHTNPALRIERRLKAQEGSY TRV_07896 MAKGQKTSPYFKRKRPDIASCIPFPPTSAVLFGLIQEKLAHEPF RLLIATIFLNRTRGEVAMPVICSVFEHYPTIEALANANFDELVLLIQRLGLQNSRARK CIALAKAWIENAPECGKRYRKLHYPNKSDGLDIGCGEAVTDDDPRVAWEVGHLPGIGP YAIDSWRIFCRDRLRGLATDWNGADALEGFSPEWKSVLPKDKELCAYLAWMWLKDGWI WDPQTGTKVAASRRVLRAVENGGLVLQKSSGKWILDLTLVKETSLSIDVGLGKFVNAI TRV_07897 MLLYYILVALWATVTYAKSFSEDISEMPELSEMSSYLNQTPEAK DVLDQQKNVTLLALENSAFRDFVGQGEGNDNQSSSNSSLLRGIFSYQLVKGLHNSEQI TTTPQFSPTELNDAGFTNVSSGQIVQLVEKDGKDYAISGLNDNSTIIKPGVDVENGVI HVIDRPLTLPQSVTATLQAANLTSFQGALQRGNAVSNANDPKDITVFAPRNLGFQRIG TAFENISAEDLGRIANYHIVKGKVLYSPDLTDGDHPTYADKDLHISTVDGRSYVNSAR VESTNLLVNNGVIHVISDTKEFKILISNVSVLNPNNDTTKPVPNADPPPPAFENANPV STDPLTDGIPSPTSVIPLPGVTSGGEGGGGGGGGGGESTAPPSPTATVTETQSGGGGG GGRCWGWSRADCNKHPSARRRCNITS TRV_07898 MYHSGIGGGGFMLIRAPNGTYEFIDFRETAPAAAFQDMFKHNTN GSTSGGLASGVPGEVRGLEYLHKNYGKLPWKTVMEPAIRTARDGFRVTEDLSRIMLHS TKNGNFLAENAAWALDFAPQGTLLKVGDIITRRRYGDTLDKIAKYGADAFYTGPMAQA MVNALRAANGTMTLEDLKNYTVVSRPTAQIEYRGMTVTSTTASSSGVVLLSILKLLNG YKNFFRMDPVPLSTHRMDEAIRFGYGQRTELGDPLFFSNLTDYQKKMISEEAANKNRM NISDEYTQDIAVYDPKGLESLNTPGTSHISTADRSGMAVSLTTTINLYFGSRVIVPET GIIMNNEMDDFSVPGRSNSFGYKPSPSNFIRPGKRPLSSICPTIITRPDGSLYFVSGA AGGSQIITGTLQSVINVMDRKMNVRQALKAPRLHDQLVPNVALMEDEFDKETVDFMIS RKHNVTREKSGSTVESIMRLRNGVFEASGEPRLANSGGVVV TRV_07887 RAPRLALLLLAAHCSYSAAWIMESSAEYVAPLNLSTAATTTTAA TTTTTTTTTTTTTTSASATATTSPLSTAADDAGSLAVATNNRLITALNAQLRMTPPLT PHSSREEMVDRSGQGRSLFHNYLRAFYPFHPDGPISSSTVTLPLDQGDVILVHSVHTN GWADGTLLETGARGWLPTNYCEAYDPQQMHPLLKALMDFWDVIRGGSGSTLHQFSNQD YMRGMIAGVRFLLEKSECLTRDCRLVKRVDGLRRNRKALLSDLSSLVKLAKQLQDIAN GRHFETCLDNIFDLMLLKAFRIVTRGVRFLDVWSEEVGLVRALDHLDNTNSSNTIDTM FKGPLTPPVDGSFSFSSQRATSSIGTPTSSSSWRGSLGDRSLLNSTTIVSQKSGRETP QRPASIRIKRPSLSHRISYSGHTTKNPNLASGRLTAAHDGFLGVLGSFIGLHLQSPSS TELIVTTQEAVQSCKTLLSVVEEVWERDLHRSFLLERSKNTMYDHIAELVNATQNTFR TPINPDDETIFVPGDGKRLVDAATDCVRGAGDCVSRARTVLEQIGDFELENIGLGITM PSTEDITTPTNHKVLPERTDEVVSATVTKPDDELSMRPLPLRIPDGSVSSISLTPSSF TDASTLRTPTSPFDESFSSAFTAITDFSDSFNSNSEHDLSKSFHAYSPTKSTNDVPWQ QDPAIYIPLPQGNDMDLTLEPTETESTLVPETPTRPVSPASATHKSPKSPKSPMKEDS QTEVGSTSSDGDEDSLLEKTYAHELMFKDGQVTGGSLRALVEKLTCHESTPDALFVST FYLTFRHFTTPVEFAEVLIDRYDYIGETPRAGGPVRLRVYNVFKGWLEAHWRHDVDDI ALPTILNFARTKLLITLPTAGKRLIDLVEKVSSLQGPVVPRLISTVGKTNTSIAQYVS PDQPLPQPNMTKSQLNLLKQWKNGGANVSILDFDPLEIASQITIKESQIFCSILPEEL LSTEWMKKTGSLAVNVRAMSTLSTDIANLVADSILQLEEPKKRAVIVKRWVKIAAKCL ELNNYGTLMAIICSLNSSTISRLKRTWEIVPAKTKNLLESLREIVDVSRNYAVLRQRL QNHVPPCLPFVGTYLTDLTFVDHGNQDTRTLAGDESSIEVINFDKHMKTAKIISELQR FQIPYRLREVPELQTWIQDQLVRVRSAGDKSFQQYYRRSLVLEPRERAVTPRGSPTEP SPSLFAKENTKEKFDFLAWTHGSKLKVPAA TRV_07888 MDSNVPIIRMLPQDVAGQIRSSSIVTSLNSVIVELIKNSLDANA SAVTVNVDFQKGTCTVEDDGIGIPPNEFHENGGLGKLHHTSKFYTTGQVYGRKGIFIS SLISMALVTITSRNISFQETNSVIFRHSKLISRLCPAPIQHDLLHPQHGTRVAVNDLF SNLPVRAKRRIQDLRRNEDIDREWDGLKRAITGLLLAFQKPVKVYIVDAPRSRKQIFR GKGQNVLDLRQVIKDEDQHSFDLDRVRSILGNAGYITPSDFSSWVAASARSSDIWVEA AISLQPGPTKQVQFISSGINPVDPLGDANVLFSEINQLFRSSDFGLEHSSLGVSGGIK LATVGDTDAPTSSSINMIKTKSKGVNKWPMFYVQVSTTQASCIDSQGYESFQPKISIQ KILGVIKALFRQFLEQYHFLSRHPQCIKRGRQDHLPGPGIPQSAPTQAKRRYHETSPR RKDPTTFDKWKSLPMEPQPSDVKAPPFKDFGSWSRVKGARGGFYDDICAGLPRSKSQP ALKRDTGICGTKSPYPPTPESAPEHGGESVDQTLSDTTDTETTREIGPVYEHSTPPYP MKPQDELMSWTDPLTKRTIYVNKRTGQTVPRKPEVIKQAPNSPSTIQRLTAIYPSGNG DQGQKRNETSHWFDSLFKSWKNPTFPLPELPIPSTTSHIRYTDKWSSKVATDSHCLGC QNLQGGSTLEGLMGRSGSRLNKCALKKAAVIAQVDQKFILLRTSLLCEGREGEEVLVL VDQHAADERCRVEELFAALCSLSPSGNVDTTNLPTPISFRIPAQEARLFEARSGYFSS WGCLYQVLREAEGYYSLVVRGLPTLITERCRVEPRLAIDMLRSEIWDPTEISKPSIRS ALEGCGSQGFAEKGFGMTETHHCWLQRIGGCPKKMVDLIVSRSCRSAIMFNDVLSVSE CQSLVSRLAKCAFPFQCAHGRPSMVPIISLGSRNQPAGSMCNPPELDTPSSLDHMAST SHPDFKPAEPCSDFVTAFSKWQDDSHLT TRV_07889 MKRLTNLKSSSSDSNPNNASNKRNGASTLTKNRKNNNPYLSGPI DRSSGEHTNGHLSFSEPANSIRPSHLSQYDDPSPTTGDKSAAPTLSTTGETTVSDAGY SKAGTCATGGNGFNPAGGGEGSTFSSPSPSVRSLTTTLTTIQSAAPSTQLNAAQNANH YSAGIGNEHHSGSHHATTQHPGVQFTHQFPTSPITAVPTHLAVNPNPTTYSSATANNL LTDNASVLTLASSSKRRRRNSLDTNASVLALAPSSLFSGSRESLPLSMLSGHVGGVVG ADQSSSSTHNVAGVLNRGGASNNERASVHSMSGILATDRGSVRSGIHSHHGRNDSITG SIGMQVGSAIPGASGRVSRRGSGWGEIVDSEDTGDETQREESDKDADGKEHADEKSAA EKDKREC TRV_07890 MASKDLLNGSHRGMVKRIVDALDREEKGYGTEAESPAPQANRLA DGPTEVQINGLVSVPTDDQLDGQSNDRANGTRRTATSLSQPRLLSTHSKLRTFRVLTG IDTPAGLATASLVRRPASNVGIYTRVVNAEARYKREYRFFTLLISCCLGIQVVVAAAL TALGAGNGPRVLVTIFGAVNTGIAGYLTYLKGSGLPNRKRYHQSQYAKIRQHIEQRER DFCLENCELDVHEEIRHIQHMYEEVQEDVEANTPESFSGVKRFQANQPVLPLPTIKNE KDGIPGKETLNNGVSIPSPVLKAGTAP TRV_07891 MQYPSIGRRTLKHSQRTLKPFPATVELPVSEAKQQNNFIPPDPA YQQETNPHWTASDCSGTTNYAPKLDLITHPANVQRPLTCASHLLPEEHELLHHYATTV FESLADYEAYRPIWQVVVPREIQSVSFLKHGILAISALHIHHLRFRATKQKGLSSEEL LHKELAQKHYQAAVMEFGSLFPEDLSNTNAAFAFSHLTIFFAFGSAQLSSYGDPMSNA IDDLLSLFALTRKAMAFLRMRWELLVKGDMGILLQRGPKITNRKYLPTDAVTALELLE GLCNEWISSNREISGSFNESDHDIKTGYRRAIEQLWDCFVMLETKRKDWGMALRFPMI FPDSLFPCFRAREPLAMVILAYYCALLRRAPVRWWADGWSAQVIQAIFYILPQDWRYA VSWPMSIAGILSSELQLREQACF TRV_07892 MVQEIKMNSAFKFVTSTPRITSILGILIPIAWVAVSVLPFVFAF SRQPGLGRNIYYLLLTGCLTLGFITSSRLPAGIDWLWAFLCVIGIIHQSAGLLLEPWV VPVANQSVNHDILYLGLKLWGNPRRLRVCQLGQKNSVSWQKRLTFVFQKVGLVLLLGI LYLMGEAVVALVLYPLPMKWRVSFRYYFGFKPDHPVLVRAVFCVHWAWESFVFLTIGH AILAILFVGVLGVDIPSEWPWLWGSPGEVYNLQRFWGIFWHKIGSVSQLEWGRYLSRR VLELKPGSPREKVFLAFFIFMASAIIHALVSWSVKDKSESVARIYNFSLFLIFNFLGT LGEYGLKQLLRHHCPQFRGRFEPGIQLWRRLFGFLWVFLFFFCTLPPWEYPYFPGYAN QVKVNFNVIRDM TRV_07893 MKAASALATLALLAVAPQPTQAAFPGFTIIAAGLIGIIARALGT AIQGARVAATFDAAALPPGVPQFEYERCRNDIKGRTIEINTNYQNHLEVKGLPATCMN LANVMAGDGTQGPYALPCGSDCLFYDAITPAQIEEFRHSLGL TRV_07894 MNNGAKTDLGGSLNKMATTPKPEGLQAQEGRNLPTDVDGNLPSY TQNPSKDDQLPPETLLLAGDAIHGTSTPVSCSTPLYKLSLDISFLRKNNTKVELSRFE NRIHNRNTAGSPEVSARERHIFNLTRPPTVTTPLFEYHLEPASHGSLGHIGLKRYHHI LSSGYQAWKATIPCPGADLEAKDIVFTAKTHGKGRLEWHEAGNGAPLLAYDTIDDGIF RLDIVKSMPQNQRDALVGVWCLRIWREIANSNIEPVGWDGGM TRV_07895 NIPSLDSFIMADEEFHDFYKYTPSKAAAITFIVLYLISSVIHLF QIIRGRVLFFIPLFIGGICQYSNPYLFEDTESNLFLLLAPALFAASIYMQLGRLIIVL EAEHHSIVRRSWMTKIFVTGDILSFVVQGLGGGIMASGKPSNLSLGEKIVVVGLIIQI LFFGFLIVVSVIIHLRMSTHPTTASLSLHFSWRKYLYSLYVVSTLVMIRSIFRVAEFA QGTNGTLLRHEYYLYIFDATLMFILMVTLNVIHPGVISTLVRGKIALKGSDNLEMQSE GKRSNEQRGCEPMNLRPSFTESIYARHAIATRGLA TRV_07881 PPPTLYSSIRKKAMTCSESSKQAMMSGWQFQNSDQPVPSREELA SSFTIKARPSTDIWAKPPSTHRFNAPILYRTMPLASFQKARVRVSAKWTTLYDQGGLI LALNRRGGGHNSDNDRKWIKAGIEFVEGMPRCSTVARDRWADWSLSPLPLAAGQSAGD SAGANDEGDGVGVTIEMERRARDQTLWVYAVGKDGVRTPMREVTWLFEDEEQLECWVG VYVCRPSSAPELGDLCVSFSQLDI TRV_07882 MDDTAEADRLLQDTDDAEGVDEHLHISPYPSSLDSEVQDGVRKI EAISKTWTQRSLVIAYLGIMLMAFCTSLEVQTVASLTAYATSSFSKHSLISTVLVIQN VVNAVIKPPMAKFADVFGRFEAFCIAVFIYVLGYIQMAASQNVETYASAQIFYSAGST GLQILQQVFIADSSNLLNRAIFANLPDIPFLFTVWLGPMIASWVLKQLNWRWGYGMWA VLLPSAFLPLALSLYINQRKAQKLNLLKSKPWKGKGLKKVLRSAWFELDVFGLILLSA AVTLILVPLTLAAHAKDTWRNGGIIAMIVIGGVCLIAYPFWETSKRLAPHPLLSLGLL RQRSALAGCALAFFYFMAFYFSVQPYFYSYLQVVQGKPVVTAGRITQTFSFMSTIAAL VVSFMIKYSKRYRPFVTFGCVVYILGLALMLLTHKSGKSTLQILVVQSIIGLGGGLVN VPVQLGVQASANHQQVAAATAMFLTALEMGGAVGSAVSGAIWTSYLPHKLVKYLPDET KGEATEIFGKLTKALSYPMGSPTRDAIIRSYEETMQILLTIALCACIPLIPLSLLMKN YELDKVDQRVKGKLIGNIGDSDDASPVTPELSAFPPPNLRPTKRRSQHHLRP TRV_07883 MAEFGMKQVETALSNQAKKEASEINDSPTTHLSRLVGLFHSEGP SPEIPDKRYIASDCLDHFMAGKPPRKYAYKFLDRPFKQYLNMASYIGTRTTNDLLGAV LWRLSLPENEATQEKLRQELHEAGIKPGEHPDLSTLQKLPYLNCILKETLRTNPPIPI QLPRVVKDDEALTVMGFKIEPGTNIASQSYTLHQDPNPYPDPEKWKPERWEISPKSDE YRQMQRSFWPFGSGARMCTGMNVSLDDVWLDGDGILLPPEKRKDLYPSLPNQPLRFKK I TRV_07884 MTTSSSDDGFEIIDGKQIPFRPASASTVTMEENLTQEPILSIHS IPNKDSMIVSIQPPLKPGNDVPHVPCDIVLVIDISGSMNSAAPIPTGEKGGEDTGLSI LDLTKHAAKTIIETLNEKDRLAVVTFCTEVNVAFELDYMNKENKSTVLSAVDKLYGKS STNLWHGIKKGLNVLATNPAQGKIQSLLVLTDGAYPSLFTFVLLAETNYSNYSHQVLP TICVLPKDMFPNYAILYWTTIT TRV_07885 MDIGTVFVHAVANLYSTFTAQAKLILQEDPSSELSVDLGSRPGL ELETPAGNGISLRLGSLQYGQSRDIIIRYSKASKNRTVNIRGKLVYAVDGETKEVQVQ KVVNIDEISVPQAVSDYHYMRSRLCTLLRTFHPLEDTAENFSFSLRGVEEMRTKLESL ATEIKGLGHTDDYNRSIIEDIAGESPHGQIGMALSSPEFYHRWGGHYHPGPLMYGKAS PLFCNCRAELDGVFDNLPPPKPSRPSSSGAPHRPVRMSQYNRSSGPCFTGNCHIRISS KESELINATISLENLRPGMSVWTPHGPREVRAVLQTSVNHIDICKIGTLQITPWHPMR IQNEWEFPLNVTKEGLQYFSGDIYSVLLEPDSNSEAHAIMVENHICVTLGHGILTGED VRAHELFGDYQLVSRKLESLPKLPNGLLHSIGVERSPESGRICGFIGGDRLLKALNVP CKIEGDHQCGGSYVKVTV TRV_07886 MTGELQPVVAVLRDGEGGLDTRAPTEAGSLGEEAPKKETDGIVD VPDAEQQKQEAPQQGFSAYVVSISAANCDIQLCQQQLKIISRNYGLGALPLMTIIFGK FVNIFNDFGVGKISGDDFRAQISKNALWFVYLFIGKFAYIRAILRQEMAYFDTYTPGS VATRISNNANLIQTGMSEKVGTCCQGVAMLIAAFVVAFTQSWRLTLPVATSIPTAVTL VGITVALDAKLEAKILDIYSKAGGLVEETLGSIRVVVAFGAGDRLSKKYDNHLEAAKG FGVKKGPVLGVQYSSEFFIMYCAYALAFWYGIKLLLQGKIGSGGDILTVLFSIVIGTS SLTMIAPTLGEFTKAGAAANDVLNMINRVPEIDSLSTEGLKPSSVKGDLELSNAVFSY PARPTIRVLDGVNLKIPARKVTALVGASGSGKSTIIGLLERWYDPASGSITLDGVDIK DLNVGWLRRQIGLVQQEPVLFNDTIYTNVLYGLPPDEIARMDEEKRRELVRQACIESN ADDFIQGFPKGYDTVVGERGSLLSGGQRQRVAIARSIISNPPILLLDEATSALDPTAE AIVQAALDRVSQTRTTVLIAHKLSTVKKADNIIVMNKGQVIEQGTHESLLDTKGQYWS LVNAQSLSLASDDSSSDTDKETDAQPTEILEKHATTKSTHSNVPHEVAAESEDVARKF SLFKCLLIIFYEQRRHWLFFLLGGLASIVGGGAFPAQAILFSRIVTAFQLPRDQWQKE GNFWALMFFVLALCILLTYASIGFFLTVAAFRSSKFYRSEYFKAMISQDIAYFDKPAN SSGSLTARLSTDPQNLQDLLSSNIGLILIVIVSLLAVSLLALVTGWRLALVSLFGCLP PLFLAGFIRMRMEMQAQDKNAKLYLESARFASEAVNSIRTVSSLTLEPTVYNNYGDRL KKPVARSLKYTTIAMIFFGFSDSVDTAAMALAFWYGGRLMSYGEYDAQQFFVIFIAVI FGGQAAGFIFGFTMNTTKAHAAANHIIHLRGQVAPINGSTGEEPASTEDSDVAVEFRN VSFSYPTRPDQPVLRKINLKIRHGQNVGLVGPSGCGKTTMIALLERFYDVTSGDILIN GKPLTDIDVTKYRETASLVSQETTLYQGTIRENILLGVTRDVPDEEIHQACKDANIHD FIISLPEGYNTEAGSRGLSFSGGQRQRLATARALLRNPDFLFLDEATSALDTESERVV QAALEHAKRGRTTIAVAHRLSTVQDYDAIFVLEAGKIVEQGTHQELLRRKGRYFEMCK AQSLDREA TRV_07879 FATAYTLGTVGLIDGSVSYLFSTIPLDKTTSRSALIPLRSLVPG YRQIYPPAVPLDPGLEWTFGDSNNIGDAEVSERKRKATLLHATLHLPPPTTLTALFLH RPSPTTKLSVALWSTQATNTSKSAPPQASLLTQLFHDTGKYSTEFLFSTDNALFGFRG LWNFGPDPREQPSDRRDTKNNGQRKKPSCLQSVALLSAGGEAYYSPTSSVIGLSTGVR FTTLPGAYDLRSGPGTLPAVGSTTGSQIPSPISTFPYTLTLTLTPLTGSLSTTYSLLA SPNLALSSRFGFNVYSWESEMVAGCELWRNKKKINLHHYNPDGSIDDLAWAKRKLGLL PPLEPTDQSSSSSSPSVPSLSSESVIKLRVDQSLKVRLLWEGRIKDLLVSAGVALGPT TPHTPSISCSGGSSSKGYGWTGVGVSVLYSS TRV_07880 MARKFFVGGNFKMNGTSQSITDIITNLNNAKLDANTEVVISPPA IYLLLARSQADDKIGVAAQNVFDKPNGAFTGELSVDQLKDSKISWTLTGHSERRVILK EDDDFVARKTKAAVEGGLNVIVCIGESLEEREANKTVEVVTRQLQAVADKVTEQQWSR IVIAYEPIWAIGTGKVATTEQAQEVHASIREWLCKTVSPAVSEATRVIYGGSVNEKNC TELAKQKDVDGFLVGGASLKPAFVDIINSRL TRV_07870 MSEQIIERFPVSAGPDAIFESFKKNGVVVIENFVKKDQLDRFTA EIDPALQKLTAGYASRIDGDEPVDDFFGKKTKRLGQLSTVSSVFRHEFLEHDLMHSLL ERNFIDHPQAEYWMNTSDVIEIGPGSKPQPIHRDLELYHPFIIGGPAMPEAVCNFMVA LTPFTAENGATVFAPGTHLNESFERLEDGELPGGDKTISAVMNPGDCAFFSGKVIHGG GTNSTADEFRRGLSMSFIRRILSPEQAHPLSISREIIETMSYRGQAMLGFRSNWPAIG DEPAIIWSYQGSDIGKKLGLGDKN TRV_07871 MAYNRRWKRPSVFGAYETSRNKRIVQVIISIIYCSMSTGIIFGY AALKPILINEASNLFALPSGSILDHYGPRFTGGVGAILIAMGSLLFASASHFPYDRHL FGYTLMAMGGVSVFTSCLHLSNAFPNHSGLILAILTGAFDLSSALFLVFRSVHSTRAS LKILFLLYLAVPGLILVAQVFLMPPRPYSTIGELIGHTQDILAEEMENERTNAVSALD PDQQPSTYHDTVDKLKAVLEDYDYDHIKDTWMFPSVKETNWRQNTSNQLWGILHTLPA SEQISSWWFILLAIFAMGQFLRINYLISTLRVQYEYLLSSPTLARSLNQLFDCLLPLG GIVTTPFIGLFLDYATLPSMLLLLVGATTVIGITGCIPNNLTMGYVNIILFTLYRPYF YTAISDYTAKIFGFQTFGKVYGLIIFAASIGSFLQTPLEMITLREFNGDPLPVNVLLT AGTFLAGGILTLFVWHKSKLFHSCLDRNVDIEPNYGDVPMGRSSVAIEDDYTAAEEYY ARPTDPLLPSFNKMGHASSYNSIPHDA TRV_07872 MLPPFDYFQYRSARNFKRNQRSQRFASLPSVYHAPFSAFDQSVI DKPIEELVQDVHKGALAPVDVLHTYGKIAIKAQERCNCITELLIPESEEWAKSGINLQ GPLAGIPVSLKDSIQVGGFDTSVGYTRYTRQPAAKDGALVRLLKDAVSLSDMIQGAVP YAKTALPITLLSFESTNDLWGVCRNPHGSAFTPGGSSGGEAALLAMGGRIGVGSDVAG SVRLPAAWSGCYSLRCSTGRWPKAGVSTSMAGQEGVPSVFSPMARTLNDLTYFARSII GMEPWKYDTTVHPIVWRSEIEDEAKTKKLRIGVMSSDVARGINTTVAALTAAGHTIVE VKEPETATSLMGLNLASLLLNSDGCETFNSHLQTGESSDPGAAHLTTFSWFSWPFRYA YYLFVRYIKRDPTWAYLLRDFGLKTATQQWKLVAQRETFRATWHDWWNAKEQDFDFIL CPVNATPALPHGAMTDAVSACGYTFFWNMLDYSAGVIPVGHVDAKRDALVGPDGKKGK NVYKRVLKNLGVDSAVSRGAWKYYDADAMHGLPIAVQVVGRRWHEEKVIGCMHAVERA LEEYKGPGGDGGKYQLLEVD TRV_07873 MLPSIDPPQAEMKPASKYSLMEQVETPCGNLLVTGGSEEYSAEY PPKIATFYYLGELNDEMKPIYSQGNGRSFTIRGNVYHIFGKTIWKDAKGEVVGEAANS LALVSDPEVNPIANIAMPISRGMAPFLPLTKNEEELEKSHNHKIVLEMPGGFCKPEPD AIGGYIWFQKYIQIRSTEGIVETAFQGVSLAIAVRNRHTEEVRAERIMHDELLFTDSE PAFGSFCSVLESYYFYVWGKLGEDIYLARVEKYEPQNRSAYEYWDGYNFTQDISTAVP VFSGYSSGTIMRSKIFGHLYNWMFIGGTESNMPVVTIGVAHDIQGPYIMHSLIKSEHV HPMLRQVHSVYAHHWAYTERDGQLLITWNERKTGNIIGTKLQFSMSAISSMIMDGKEL IISFARAKKAACRIDYDMDGETIIRVFSGDVESVNAAVDSICNLIRRWCNEFLDEGKA AKASSSLKGMLRWPFSIFTSLSLQSE TRV_07874 MANYLASIFGTEQDKTILLPNLYQNPQYDPKNKMNPSQLQNHFD AFYEDFWCEMCKYGEIEEVVVCENNNDHLIGNVYARFKYEDSAQKACDALNSRWYAAR PIYCELSPVTDFREACCRLNSGEGCVRGGFCNFIHRKEPSEELDRELELSTKKWLRLR GRDERSVTRSPSPEPTRKRY TRV_07875 MVALIEYNTMGPKQPAKDFDWGYLIQPDKSPSPRLEQLCLGLAG VISELDPSSSTKELTPEKLAAFYRAVGGNYDSLFLNTPSASLSFIYQSLGCFHTLQPT KNAFEAPSIPALLPHGYIRWQTIQLLLCPEEHTPFLQRAVEMFDIVDVHEGYLLPKTI PASAFPTQPDPDMVKWHETVSNRLELESAATTPHTRPPGNTHPPSCTRGTRQSRNEED GDYFNQSHYYSPTGRNGATASRQGSREPHSRIPHPLRYTEAANYTGDTGPKTAGFERP ASASRSKTSCHYRGHSSPPSAYRRSWAHPESSDGDDEGVAIAEDIHAHHHHNRMGEPR HHDHGHPAHEEIFPSRSSHVRHHSHESMHRHRQPSHKPMHESPRRRIDEEEPETYYSY PPPKHIPEIKPTTYDDSAANAFPSPKHHSHHFHPRYVDLFDDDGMINFSHSTPSSHRG SRSSNPAENPHMSPPTSPTTTTDQYSEPMHPDHRSRLHRHQSLREPRQRKTRGRRGTE IRPRSHSRGSPSRRQHHYYQDYRHADDQIHSSRSARERERERERGRERDIERSRSRPR RGEDETWSESDSSIDISDDEYATAAQTQAQAQGQPPSSAYAAPPAPMPPTAGPIPIIN SSGGQRETSRRAKYAAKLESTIKNGLRNSSGSSKEKRRKNRSSGEGQKDITSLSYLAW EEAGKMSNAVSNPGIFYALFCV TRV_07876 MRNALNALSDTVKDPAEKKRFEAEMDNFFSLFRRYLNDKAKGNV LSWDRIAPPQPNQVVEYDDLGESASVEYLKKLAVVKLNGGLGTSMGCVGPKSVIEVRE GMSFLDLSVRQIEYLNRTYNVSVPLVLMNSFNTDDDTQSIIKKYEGHNIDIITFNQSR YPRILRDSLLPAPKSYNSAISDWYPPGHGDVFESLMNSGTLDKLLDRGVEIIFLSNAD NLGAVVDMRILEHMVKNDAEYIMELTNKTKADVKGGTIIDYEGKARLLEIAQVPKEHV NEFKSIKKFKYFNTNNIWMNLRAVKRVVEEGGLQMEIIPNGKTIPADKKGEADISIIQ LETAVGAAIRHFKNAHGVNVPRRRFLPVKTCSDLMLVKSDLYTLKHGQLVIDPNRFGD APLIKLGTDFKKVSDFMNHIPSIPRILELDHLTISGAVNLGRGVTLKGTVIIVATEGS TIDIPPGSILENVVVQGSLRILEH TRV_07877 MSLSLCRLPGLSLVAVLGRLPSALPGALGRAEVLCAPEGGAAGR GAGQWSLSHPLGGKTQPGPSREINSTSAASHVLGPGAQIWSSSQLEAETEPAAAAAAA EAEEEDARRLATKKKRRSRRGRRSNGRPQSQQEMLLLLLLRLRRRKKETAVDSQADPA SSPFAPELQKAELATTNFTLTLTTLTITIAITITSVLNPSKKDLIPFSAPPSTSTNAH ADQLVSVCPSLDRLPVPDLAQLTPLAAAATRSSELNTKTPVVCLSGLPRHG TRV_07878 MAQHGARRSESSTNWRQREPQREPRPAASPASSSSSSSSSSSTA SLTSTPFERPRWKPGSSSSRSSSSRRGEGGAGGGAGGGGGGGGGNIRGFRGWEAVDCG SGSLRTLGARYHESPPSSSSKVQTPIHPAIVERRRLYVGNMPYMAKKEDVVELFGGQG GDETTSPYNIERIYISIDPFTGRNPSYCFVDLKSTDQAERAMADLNGKLVLGRPVKVN PGVPRADLQPPLGRRDLDLDSPEPREESNEQQQQQQQQPAAAAGTYPPKFVFERWTRD DASKHWYGYAAEGRRLFVGGLPRMRNQPTVDYEIRKVFYGFNIEAISKVIISNRDKLP LSGSQYYYLFVDLESAEEADKALKTLDGVSAIYGNRLHIRKARGNSRKPSERDRWRAE HEKKKDEEKSAAQDQDHLLDAPIEAEGITQSLV TRV_07818 MAVEVEEKIAAPADAPPDYKTQQSNGHVPAADGQPVILGKKSPG VLRVEVISSHMTLVDRIILFIGIFLLAYAYGLDGTLRFTFQTYATASYSSHSLLATVN VLRAVIAAAAQPTAAKMADVFGRAEVILFTIVFYVIGTIVEATSDGVKAFCAGAVLYQ IGYTSILLLVEVLIADVTSLQTRLFFSYIPATPFLINTWVSGDITNAVITHSTWQWGI GMWAIIYPVSAIPAITILYIVQRRAKKAGALDSYKTPFQIYGGKKIVTAMFWQLDIVG VILLLGVFALILVPFTIAGGVETQWKTAKVIAPLVIGVLLIPVWLYWESTCLHPMVPF RLLKDRGVWGALGIAIMLNTAWYMQGDFLYTVLVVAFNESIKSATRITSIYSFTSVIT GVAMGVFVYFFRRLKLIIVAGTVLFLVAFGLLIYYRGGSGYSSHAGVIGAQVLLGIGK CTQPIIHYTSANIHLAGGMFPYPAQTSIQAATKHEHVAFITGLFLASYNIGSALGNTI SGSIWNQILPQALMQRISNQTLAAEIYSDPFPFATANPIGTPDRDHAVEAYKHVQRLL CIAGICLSVLLIAFSLVLRDPVLGKEQSLAFADKDSSDDENSGADAAAVKV TRV_07819 MYIPHTHPTHINESLLTCAQEYLRRPTSAADWWAEPNSHVLGSR DLAREVHGTWMGVTKQGRLAVLTNYRENTPETVVGLRSRGSIINGFLVLPPDSPMTAQ QYIEELVAGGEGQAAGGFSLACGDVMGPLGIVSNRASAGDEIPWVATGRNQTVGLSNT AFGDRSWPKILEGERLVKEALQKSYSKQESESELIERLLGVLSTDTLPRLHDRATLEE YIPFLSESIFIPAVGDPDEGVDVVVSHGAEAHTLYLKGMYGTQKQTVILVEESGRVKF FERTLYGDDTRKIPVGEGDREFEFVVER TRV_07820 METKNSSKRLNVLVYSGAQTYPDWRENILTAPPGNGTTVDSVRQ CLYTLRRILSPNYAVIPVTGEMVVGEPWTASCALFVMPGGADLPYCRTLNGEGNRRIK QFVQRGGSYLGLCAGGYYGSARCEFEVGNKKLEVVGDRELAFFPGIARGCAFAGFVYH SEEGARAAELQVSRSALPVGSVPDTFKCYYNGGGVFVDAPKYADRGVEVLASYTEKLH VDPGEGQAAVVYCPSELYLLPFRYGDIFLANEGRFSAANLDRDEASAPDYSSVVDLLA RDDKFRTDFLKACLAKLGLQINQDTATVPSLSQIHLSALEPGAALQVLSSLEDVVTTE DGEDYIKDDNDTFILEKPSSMKMTKVAEALPESSSTPTTTTEAAATDVEDRIVDYNAV VKRLVVNDELPDTKTTPYFNHHAFYSNLREYRHQSKEDSRAFGSHMLYGEVVTSTNTI LEKNSRLLRRLPNGTTATATVQVAGRGRGSNVWVSPPGQLMFSVCVHHPVDKLMSAPV VFIQYLVAMAIVQGVKTYDKGYDTLPIKLKWPNDIYALDPSDPTCKTYTKIGGILVNA HYSSSEYIAVVGAGLNALNPAPTTSLNALLQTFKTTSNPEPPSLEKLLARILTTFEEL YARFLRTGFDKEFEDMYYSNWLHMDQIVTLEAEGGVRAKIKGITRDYGLLIAEELGWE DRPTGKVWQLQSDSNSFDFFKGLLKRKM TRV_07821 MASRAMRRVSWASVSRPSTYSSLAVSSPASRLISSSSKPASTAA SKPTTTYTRSTSSNYPRRTYNSYNKTPSSSPSSSSPASSSSAPKPASSTPATPASSSD TSSPPAFTTAPTSHPIQNITKTGLADAPPDLVLEPANVPNGHVDWTRSYHGLSAEPFS KEAAAVLLAPVDPDDVEIKPDGIVYLPEIKYRRILNKAFGPGGWGLVPRSESIVTGKT VTREYALVAHGRLVSVSRGEQDYFSPDGIPTATEGCKSNAMMRCCKDLGVASELWDPR WIRKFKANYAKDVFVEHMVSKKKSKIWIRKDDEVMYPWKKT TRV_07822 MASLWRLIALGLSLALAAAQTITPLDTRGQLQVGSPLYSLDLDP EPYDDRVKLHLYPSTSNLYAPDEALKVISFFFFFVFFCCRRGAVVVRLKETDIAYLSC DPDDYPGNIDPNDTLVALLAASRRPAAILLYTTHAVRCDYEADHDEDPATTYKTIFSL RDPELANALLTSTERHNATISTAGPVTAAQSLQEDPQPSRPHQGDPRNNGVETTRNTA MIILYTVTGIITLLFLAVILTGAIRAHRHPDRYGPRNLPGRARQSRAKGIARAMLDTL PIVKFGEDSEQRSKQHDVELGDDAHPHTRPESRQQNPEQTEAVANTDVGAPAPALSTA DVPVEQQQQQQQQQPRSASPSGSAAGSATSEREPSTTCPICTDEFVRGQDVRLLPCNH SFHPECVDPWLVDVSGTCPLCRINLNPDAQEQQQQQHHDTITGIEGDPAIDARERERI RNARASTGGTSTEAGGHEATLQHARNLRSRHANAEERRRNRLSARFVERFRIRTRPHG EADAPPVPVPNTTTATATANAGTGTTTTANPSTEPSTNPST TRV_07823 MKLSPVVISLLLSAVSASPARTALLTRTVGSKCTAPEGEGSCQQ TSACKGISYSQALCPNDPADVQCCVEHECSTGAGHGFCRSLSNGCPSGRFDKGSGPKW PCPGGDNIQCCIKREDDNHPPPPGDGSIGQKILDKALTAAGVPYAWGGGSCEGPTHDM PPWQNGEIGYDCSGLIGWAVCQVTGRDLFSEGLRVTRSMYCASEEKLRYKKYPFAERK PGDAVFFGGSCDCGNPDTIHHVGLMMYVYPICLHLRRLFSFHYSLTSSRDSGDRMWNA PNDDVNQVQENSISGFGEKPCPDVVRFE TRV_07824 MHPATILLLAIPALAQPNDSGSKFKRDCIGPDVNDETIALIKHF EGFVPRPAPDPIGLPTVGYGHLCRTNGCSEVPFSFPLTEETATELLMQDVKSPQQSIT LSTTDQVVLNANQYGALVSWAYNVGGDAAKKSSLISRLNQGQDVDVVIREELPLWNKA GGHVLPGLVRRRAAEVELASENTDQPALPVDC TRV_07825 MLVASAEFVQLLLGVSRERDRLWAGRLRSRLWRPLLQPLLSRKP TALRQRPGMGHQDDALSAAETGACGPGEDEQVSTCPAVREFAFSTHPECYIEGGVCLL PPTDWLVIVGTVGFTELFDSIEALAATLKTVKGCLDFYLWLIRNNFIHQPLDSNSVQS N TRV_07826 MEMEKTRGEGKEKAEMKKKRKDGSERRRVSSPPGPEQRSTGFVG IEHYVSLRPEG TRV_07827 MSSRPGIGKRFSHDRFTILPDSDPNSNGNPNGSGMSKDATIDIP LTAVPSVSQTGARKPEDGEHYFEKNHDGGGDAGGEGAERQIGGRRRAHGHHHYGDRSA TSDEDGTITRMGRFYNKILNFSVVTRYFIYVLPVALLFAVPIIVGAVAAPTASIGGVR IVWFFAWVEIVWLSLWVSKAVAHYVPFVFQFLCGIVSSGTRKYALILRALEIPFSLVG WAITSLATFIPVMTRNPDNIKDPRIQPWQTVVNNILFACFISSLILAAEKVLVQLISI SYHRKQFDSKIQESKRNVHLISMLYAASRKMFPEYCKEFEMEDYIINDSIMGITGKKK GHGRSGSASPMRLIQNVGQNVGRVGDKITAAFGHVAQEITGKQVFNSTSAHSIVILAL EKRKSSEALARRLWMSFVLQGRDALYLDDLYDVFGPDHRAEAEECMAALDRDDNGDIS LDEMILTVTEFGKARQSMSKSMHDVDQAINVLDNLLLAVVFILVVLVFVAFLNKGFGT TLAAGATALLSLSFVFAATAQEVLGSCIYLFVKHPYDVGDRVHINDNELMVEHISLLF TVFRNIQHHKTIQVPNIVLNTQWIENVTRSKAMREQITLTCDFGTSFGDIQLLKREMQ AFVRAKDNARDFGPDVDIEVSGLGEMNKLELKVEIHHKSNWHNEVVRATRRSKFLCAL VLAIKKIPIYGPGGGDAALGDISKPSYSVSISHEQAQHSKDEYAANKEAKRMIPTDQM DEALSADPAPLSPRPGAGTGAAAAAAAATSASGLTYRGSTASNSTSNVHSPHNPEAAF ASALNSRPPMESTPSSANVTQEHLIRGPSTGHRRLSQNPNQNPSGRQGMSPNTPATEY QQYDYDNSNNPFRAASPPAQMYGQPGPSSQSPTPQGSVHPATIIARGQGQGQQDQAPL GQGPPRV TRV_07828 MDDDLLSLARKGIPVPARKRILKAGLRGIAELHGRDIVHLDIKP DNIMVNYRDTGPEMAVEQVKLIDLENAAYLPKGRCIKGMLAGNDSWRSPEAHLKGELN KPSDIFSFAAVCIYAMLGKVIFGADEDLRKHEAQGAFPYVIRLQRQVSYFGDRKGFNG LLTHIGDDEINCKVLGFLWEDRVADYHPYKPFSEWPGVTDDVQFKDVISKMTNLDPGQ RVTAHEALDHPWFSGSEIG TRV_07829 MAEPTSTCQSTSTTPSLTPETDTPNSSTSNLSDDGIRLSPNGIY LTLSEMGQHEKYHWALLIAHSPTAGTVLQQLRPNDEAEWEYSIQPAEVTTSRAMLVAL KVGELPDVNAEWLAAVDECVRSSSIRDSEKFSCRAWVMGAIFALADGGFIDLEPCWVK VGMVEEEAKALVAGATSLDTSMVVSSKYL TRV_07830 MAPAAVSTIARDRLDTVSRHIMTPSFFTPAAVPKAPEDPLFGLA AACRADTSDKKIDLGIGAYRDSDAKPWVLPVVKKADRMLREDPKLNHEYLPIAGLKDF TTAAQKLILGENSPAIRENRVVTFQTISGTGAVHLGGLFISKFFPSTPKPTIYLSNPT WPNHPQIFKTVQLESAYYPYFNPANKGLNLEGMLKAIRAAPSGSVILLHPCAHNPTGV DPTQHQWKQIATVIRERNHFPFFDCAYQGFASGDLARDSWAVRYFISEGFEMCVSQSF AKNFGLYGERAGAFHFITAPGPNAAEALSNVASQLAILQRSEISNPPAYGARIASLIL NDATLFKEWEEDLRTMSGRIVEMRKGVRERLEEKGTPGTWDHITNQIGMFSFTGLTEE QVQILREKWHIYMTKNGRISMAGLNVHNIDYFAEAVDAVVRETTK TRV_07831 MDTVLDIQPQIPAADPQDGDGDGSSSDSQLGVEAQTQPQSEPTS HPFTTAGRLLVFSPLKIYVGITDDQPQIPTQSPNQPVFLSFDPLMQDNYLLYDKKWKT VPEELVVFGPEYETVESRKKAALELLWNGVLWIRQRKLLKREAFIKWTLERLRWEAKF LEREWFIRGNREIFPPDTHHRQQGPGGHPRARADGALPAAAQLDFSIHDFSFSAFFFS ASSLSIGIHLYKTRDVDLKMAKNDVDDAKSQTKRSENTTRRAETPTGRPSASRPIDLG FPPALPRLPSRSSSAVQPVLATPQAGQTGSQHEHLDPCRAGRSNKRIKKKRSETARTM EEIGRRGLSAGPAALPATTDKCQREGLEADETDERLRALNTREHETASASEQSRPDRQ LLYITSYAARSPAGGILRLLRRLLRRSAALPRLFFCLPRS TRV_07832 MTDLTPAVNDLLRARGSSLLSGETSSPSDAQVDEFLKEAHRINV HISSLLAYLRSIRTVYLSTVPARPSGHTQNTDFNPLSPSSSSISSHLTDPERDSIDSS TASLLQDLSRSIGNLASAESLRAQTQASVLRKKYGHGKLNERLWQWAGGGGPVDVPRS SEQEEAEDTEKNIVGMRESVLWFLRRGLEGAAEVQREMVEKRIERVREKEKSVLYKAK AGQMPIHTQAEGSSGGISGGSGRYDGGDEDTLRGRDVSIDEKEAAAIESQLSPEQLQL FAQENDGMLKYYEDTLGKVQNAEKSLLEISSLQQTLVAHLATQEDYINQLVRDAESTH TNVGRGNKELKRASERRSEAQMVFWATVVLCVWLILWDAIF TRV_07833 MSLKQQEIETWVQALAHYDNNEFEDAIAVFGGIADTSKILFNCG VIYATLGEHAKAVDCYQRAIALDKYLAIAYFQQGVSNFLIGDFEEALANFNDTLLYLR GNTTIDYEQLGLKYKLYSCEVLFNRGLCYIYLQEIESGMNDLTYAAKEKAKPDHDVID EAIAETAEGYTVFSIPVGVIYRPSEAKVKNLKTKEYLGKARLVAASDRANTFTGFQGH ETKIPVFESSRDDRPPENISYGATNLVQKNLAARSRQQSEPPISRNAFPPTPPPDEKA SSLAPSNGSSGSLTRKQSLTRATRPPVLELGRASSEQTQSIGGSTAVDSQQPKLQQEK PRVGTVRTASEPRGPASRLSAIRYGPPPNGKLLFRETTGQHRRPMSNISPLSEEPQAY YTMYAPGLGPGYAAENGHTRLPEYINEEGYESEPLDDDAAECIQFEMVGSRPPQHQLS NRHRHSSRRPEIKKIRVKVHADSDTRFIMITPTTEYAEFENKIREKFGFKGNLKIKMQ DDGDMVTLADQDDLDMLVAAAKQVARKENNDMGKTEIWVAEILA TRV_07834 MVQVSSGLTIFDLTINGLSPGRYWATIRETGDVSRGPESTGGVW EAVKELKEKQQQGSSGSGSDPRGVVGSVDVDENGKGNVFLDRPIAVWEMIGRSMVVSR QREGPFSIDDEDTIVGVVARSAGVWDNEKMVCSCSGKNVWEEREEQRSRGIV TRV_07835 MSAPAKSRWADDNPETEAELQRRKQEKEAKKRAKAERQRLIAER QQQQEQQQQQQTQQSTEPSDKQPDKPENGSEPPTKRRRLSNETPEDAGAAPPARLLQF PAPEWGPCRHIDNFENLNAIEEGSYGWVSRARETATGQIVAIKKLKMENAYDGFPVTG LREIQTLLASRHPHVIQLREVVMGDAMDDVFLVMDFIEHDLKSLLDEMREPFLPSETK TVLLQVLGAAEFLHSHWIMHRDLKTSNLLMNNRGEIKLADFGMARYYGDPPPKLTQLV VTLWYRAPELLLGADKYGTEIDMWSIGCIFGELLTKEPLLRGKNEVAQLSEIFALTGP PTSQTWPGFRSLPNAKSLRLPPSSSDPSGPAVPLLPRSKFPYLTSAGLSLLSHLLALN PAARPTAKSCLSHPYFREDPKPKPKEMFPTFPSKANLEKRRKRDTPEAPKRGEEAPRL DFANVFGGGDSGPTEAGAGFSLRLG TRV_07836 MADAVEDYCSPSVLPPQSTTSPSTSRRQQSNMSLSQTYFLAQVA RHKLMNAARQPDRNLRVLTAHANMLDGLMADLAEAENEQEDWFNQAVSYANAGNAVTT FNNDNIRDTHGNPTSDFDFDSEDDDDVYDDFDDDDDDDYDECGSDCSCSSGSGSDSGE DIVFMLDAHNRAVQRKRSISTLYNELNSTLSDAEPEEETDYNHYTRDNIQQPPELLHD LDEEPDDDSQPSSPRRNSFDYFAASSPTIEETLTSSEVEKGAEIAQSDDNGEDVPLLG YCFSRSSVLHG TRV_07837 MPPYLSTQAGFPLPVDQFENHPTSKVPIVQVKEELAQFCFELPS DLEDFRAKSGITSLQILLAGWAITLRYYTASESVTVGNIVWHCDGSYCNGQYEVGLDD DTPLLRAVEQFRHQSDCDSRDSNSTSPDTLMADTFVIQNLSTSALPFNRSPVFNIRGC KIPTSHTITVDIVNNGLREQVELDIYLNESWGKHIVNMSRTFEQVVSEMLRKPTGKLG DLDLCSAWDITTLTTWNGEISIEGSDKCVHDMISLRCAQDPNYTAIQSWDGNISYGEL DELSSALAVRLVASHPSIGPETFIPLLFEKSKWTVVSLLAVIKAGAGFVLLDPSLPLK RLEDICRQVKATVVITSPQHSVLASTLETPIFIASEKTIGTPTPLDYHRTSAQPTNPL YAIFTSGSTGNPKGIVIEHGSFLSSALAYIKTVDLNRTSRVLQFASYAFDVSVSDILY SLLSGATLCIPSDAERNNSLVELIDRLKPNWMDLTPSFLRSLSPADLYTVRTIVLSGE AMTQDVIAKWDSEVRLLNVYGPAECSIQSTVQTVVAADPVNIGHAITGASWVVSPSDH NRLMPIGAIGELLIEGSHVGRGYIGNPENTQKVFIKSTEWLPGFRKDHQALYKTGDLV QYQPDGSMRYIGRKDTQVKLRGQRIELAEVEHYARECFSEARDVVTEMVKPQYEGGTP MLIAFVHCPKDHIGQNASQSREEAIFAKPDDCFRDRSANAREKMANSLPNYMIPTIFI PLARVPLSATGKTDRKLLRSLATALPRVNLDSYSMNINKSRVLPSTDMEKCLHSVFAE VLNLAPEMLSIDDDFFRSGGDSITAMQVITQCRKQGVVLALSEIFRLKTIMKIAKGAT FGATTTLSRQQQEDHIGVPFGLSPIQDMYFEDCPEGHNDFNQSFFLAFARPVGEVELT NALELLITRHSMLRARFHRCSEGKWTQSISRDVPGSYRLRSHQLSRREEVTAIMVKSQ TRLNPQTGPLFSVDFIEIANDKTQYVFLVAHHLVIDLVSWRILLAELEEVLETGTISQ DVPIPFQAWYRLQSEYAQKYLPPQKALHFRPIPIETDYWGMKGRKNIYGNVIHAHLKV DEGLTSKLLKDANLAFDTQPVEILHASLLHSFMKCFPDREPPLIFNEGHGREPWDSSI DISRTVGWFTTIWPTEVLVDDRKDVVDLVRRVKDGRRKVPGRGWPYFASRYLNDEGRE AFNGYTPFEVVFNFHGLYQQLERKDGLLQQVPWKYEASCDNGPNVVLPGLFEITAVII HGSLQFEFMYSREMKHQDAIGQWIRNCACSLREAVEGLIAHEESPTLSDFPLLPLTYQ SLTQLTTEVLPQSGLSLADIDEIYPCLPMQEGILLSQLRNNSHYQTRTMIELISTNGS INMDRIRDAWQQVTDRHSSLRTIFVKSSLSTAMYDQVVLKSMPAQVNILPCAVDNDAV EILHRERRTFSELGKPLHLLTVCANSNGRVLADLGFNHAIIDGMSISTLLEDFKLAYL GKLPPSQGPRYSEFVTYIQSMSQQDTRNYWMNYLRTSEPCLFPVITDGISLISEEEPN SLERVEISFDCLSKLQDWCRAQDVTLSTLLRVSWALVLRCFTHMDDVCFGYVNSGREA PIDGIEGIVGLCANIITCRFDMSTTRRIADIIQSDKVDFLNSLSHQNASLADIMHEIN MANQTLFNTVLSIQNKSTAGDEKSDFMVDELYEVDPTEYDISVNIEISGAGKNIDAYL GYWTSRLSQWQANNISTTLSHIVSEIVAASTLPTVGQLDYFAAAHKWQVAKWNIDEAA TVNSCTHELIQTRSTRQPEAQAICSWDGNLTYRELDELSSKLATKLIGAGVKPEAIVP LYFEKCKWAPVAILAVLKAGGAFVLLDPSYPKQRLQKIYHDTNATLVVTSPHLSTGAE QLTDKVIIAHEDISTWDIDEASLKMTPVTPKNAMYSIFTSGSTGEPKGVIIEHAAFLT SAQAHTAALHLDSGSRVFQFASYTFDASVCETLSVLLVGGCICIPSERDRWNDISGAV ARLGANWILMTPALARSLDPSRFPSLKTMVVGGEAITSAETHLWCDKLQLLLAYGPSE CSVMCSITDPVSATTDPRNLGRVFSGSSWIVDQNDHNKLVPIGGVGELIIEGPLIARE YINRPEKTAEAFIEDPSWLYNFRWGQGSRFYKTGDLVQYAIDGTIRYIGRKDTQVKLR GQRLELGEIEHRLRRAFRGAKDVIVDVVLSTVKKTRILIGFVYCGHAETLSLDKLWDN PSEEFSLLVQEAKKRLQKELPGYMIPEMILPLASIPLTKNGKCDRKLLLKEVEAMPAE SLLMLSNETLSKSMPSNAIQRKLQSLVCQVLSQPEDGVGMDDSFFHLGGDSISAMKLV GAARAEKLRVTVADIFQNPRLEDLASVLQRHSNDQAGSIEPFSLLGSDEARESIIQQV INTGSVEREEIEDIYPCTPLQEGMMALSIKIPGAYTMQHVFQLPESVDTKQLQEAWDK TINAHPILRTRIYQGDSGKAQQVVVREKFCWQQSSNLNSYLDQDRKRPMRLMEPLIRL ALIKEQVDTPRQYMALTLHHALYDGWSESLLLGAVEAAYRGEELKLTPFSPLIKHISD GHEAAGNFWRTQFSDIKSAAFPSLPSENYSSIPSSFIQRSVRLGSIHRNGFTLNSQLR LAWVAVISQYTACEDVVFGVTVTGRDAQVSGIEMMTGPALATVPLRVRLQSSVNVRGN LQQIQDQFAQSIPFEQFGLQNISALGEDAAAACRFNSLLLVQPSVEDCKLDIFNHSVA VPDQSTFDTYPLTLQCTLGRDVIEIQAIFDANVLPHETMERILSQFVHLFEEISKNID KPMGTIGLVGPEDMRMLKEWNGKPPQKQDLLTHELIQRQCEQQPNAQAVCAWDGDLTY GQLNELSGQLAAYLNRLGVGTEMIIPLCFEKSKWTTVAMLGVIRAGAAFVLLDPSAQP VQRMSDMCQQVSASLILSSAKYASCLQQLSYQIVTIAEAEPIWTTDISTWRPPSISPS NAIYSVFTSGSTGKPKCITIEHLGFASSADANREILGLGSKTRVLQFSSYSFDVCIEN NLTTLVAGGCICVPSEADCKGDLAKSAREFKVTYADLTPSVARILQPEDMPTVEIVIL GGEAMASEDIARWSKKVRLVNAYGPAECSVTSTIQPDAGHQASPANIGRPCAARCWVV DRHDQSRLLPIGAVGELIIEGPIVGRGYLNNATASEAFIEAPGWLSTIREGESYRMYK TGDLVQYNSDGTLTYIGRKDLQVKLNGQRIELGEVESHVRRCFRASRDVVAQVVIHSQ LGNKPRLVAFVWQGHEQNGIVKGEEPSLFESPSDQFRADVKLAEEKLRQSVPNFMVPT TFIPLSRLPIAASGKTDRKGLLAAMQALSREDIQAYSPVRERRPVSTDMERKIQAIWA KALKMSPADISAGDSFFQLGGDSITAMKVAAAARTEGVFISLQDLFQNAPLEKLAKAG EVKIDVADEPIDWKKEVAVCPELEMPLSRFSPRQSSGDKIEVVLTGCTGLLGNEILKQ LEASAEISKIHCVAIRAKGTGVARNGNLNSEKVVTYTGDLSLPRLGLSKEDEETILAR CGAIIHCGALVSFVQGYQTLYGPNVASTKELARWAMKRFIPYHFVSTAGVGHLSGADF FDQVSVSAYPPPSDGSDGYTASKWASEVYLENVNEKFGLPIYIHRPTNILGTATSQID VVNNLLTYSRKMKIVPRFINWTGYLDLINVKYVASDIIESVLKLGPASSPSCTGRYKL EFIHEGGEIVVPIQQLGEYLGKEAGGAAFETVTLDEWVARANESGINPLVSEYLKDLR DQEIEVSLPLAKCNRTMEALAKLNMDVGVNGTNGTNCTSTKGTNGVNGVH TRV_07838 MTNCTRLITFHCLKKTDKDEDETKDAVRCLKPPDRRTLLFNAGE PPAFTIHPVQHFEDRRSTAGTINSQLKEEQLEETTISTASKSASDIHAMRLCWFACIT LLNSRVRDVGAPARCEQRSTPLVKPVPYLVEIYNFYIYLHYLFLWRTLSFECVSLADE SPDHYLAYNRGVSFDTFDNRDATEFSLTLNYKHKDYRNTRRSRTFLCGTDQNDYSDFA LEWLIDELVDDGDEVVCLRVVDKDSKIASDSGVEEGRYRQEAEKLLSQVIAKNKHDEK AISLVMELAVGKVQEIIQRMGLLPGSVSKYCLQQSPIPVIVVRPSSKREKKKQKRLAA DPARKSYSQFLKMSESRGGLGIDSSPSGNSSTSKLPGEEEETGEPSTVANTIIASSTN GDTEENGHDPQSSTPKGSSGDAGNDDDDDDNNAAGTRRNSTVTEIESPLKSPSCPVLE SPNMSANEDEEDVEDEDDDNDGGEGDITYTDTSSRLTSATSIADTDSKGDSAEETSAS QCGDTPTNMESKDRTSNSNGSADETQDGGSNIDLPNYDPANPSSTEDSALAHVPDLAG QSVDKSSASGATYHRHKSLAGLVIFPSFATTHTLMALHPTITPIYILRWLPPSSWIQD PNAPSSPQVKVFSLLQASSDANPLARRSTSSGFVLFPRNATVQFWRSDGRELMPVIQA GCFSLFFFFFFFCFYEFSVGNLSLYFHFAP TRV_07839 MDSQTASNNTAASLANAPPPPSMAVDGSVVKSEPAEDLQMADSK DPESWDDERRLLTALCTLQQMHGRINQLRDLLPGRLLGPLKSIINQPPGCDKYQKSPQ ELFQELSQSIPSCMAEIEGFKSSWNSPEMTTILDRVDARLKDRNGEYPYMNNMWECDY EEALASLDQEEKKMEEMKAIQQDAFEKQKLESTVGAWKDIVESFKSKNIPGINMQIIP SDETKKFCMDIQSVSTTFHVQMSSGIAGDNSEMWHVSTGHQQNQSKLATEILGCIQSR QRQWDLQYLLVR TRV_07840 MRSFKSAFVFLSLVILVSLVSAYVPARNTGIAIRAEAASSSGDS SQTDKPSQTGENNSEATGSDSQSNSQSNSDENTSNKDKPSPTDKNGSSKTSDKGTKTT KKPKTTVDPRLPPGGIVMVTPGPFDPSTYVKIGANATFAWNYTSLEITPSAVDVVAYC SKNDHYYTIASNQSVQETGSAVWDTKPYKTASVRLLVEHYTLIVHDSKRGPTDVAPAG ELGVSRPFIFGVYEPHDNPNLKKKRTMGSAAISTTDRQAVWFMMGMVGITIASFTWFA SGLGLFA TRV_07841 MAELDQDKRQDGSSGTAADAPAEPSQQDVQGTDESSRLRALDAD IRDQDDLERDITRQADKILREQANERDIRRLERTRNEKVYSLAPTPDSIQLYMLTNIC GVERSNLKFLDFISDYLSPSYEADIKNAESRLTQLETDLKEIQQRIEERGGEEDAAGI SAGREEGSAAGRLPNESRRDYLIRTGKITPFSRMGGGAGDDAGTTLQSALFEAEDERD EELALEAAGEQPVASHRHLMKPGFDDSQFDEAVRPTKRRKVAGGSGVVASSPIVSEDE YLDADAEEPEDEEESVDEEELDSDETTGRARRKKGKSKGKSKAVGAIEDFKGVDDGDE RVYQSRLRDWVHRRSEARDRAKLEALRLSGDDNVKPEDEQTSDQHLEEEWHLPHPTTP DTVLDGGYQLPGDIYPYLFDYQKTGVKWLWELYQQQVGGIIGDEMGLGKTIQVIAFLA GIHYSKKLKGPIIVVCPPTVMKQWVNEFHRWWPPFRVSILHTSGSGMVNIKSESQAED RYTSGVWGDRNSTSQRGNKAARRILKRVLEDGHVLVTTYAGLQTYSSLLIPVDWGIAV LDEGHKIRNPDTSITIHCKELRTSHRLILSGTPMQNNLTELWSLFDFVFPMRLGTLVN FRNQFEFPIRTGGYANASNLQVQTAAKCAETLKDAISPYLLQRFKMDVAADLPKKSEQ VLFCKLTKVQRAAYEAFLASGEMSSILRGRREALYGIDMLRKICNHPDLTQHKILSTK TDYNYGSGAKSGKMQVVKSLLELWKDTGHKTLLFAQHRIMLDILERFIRGFDGFNYRR MDGNTPIKVRQSMVDEFNNDPDLHVFLLTTKVGGLGVNLTGADRVIIYDPDWNPSTDV QARERAWRLGQKREVTIYRLMTAGTIEEKIYHRQIFKQFLTNKILRDPKQRQTFQMSD IQDLFTLGNDGPTETSQMFKDADIVYEDDAAKGKNARSSDRQQRRRQPENKPVKEEDQ KISRVTGVAGMEEYHDETSGPGTPQQEKEAGGESKSKTDARLMENIFSRSGVLSAVEH DQIIHGKRAVKADPKIIETEAKRVAAEAARELLKAEEVARTVPAGTPTWTGQFGTAGR PGLDVAPAGTSSIYSGGGSTVRRAMGGPSSASLLANLASRSSAAGGRAGTASGSGSPS ASASASAPASRTGTPRTSTPTGRDFLVMIRDYIITHGGAVYTQMLVDHFNRFCDSPRA TAEFKEILRTIAVLDKSGTGTRARGKWVLKPEYAKK TRV_07842 MAAAGSGWLRRWRSKTGGGGGWGGGMRPLKKDSADGRQDEEALG LSGCSLWRSVCRELLSLWVVMDAMKWTRTGEGEVEVASPQVAKSAQATSSSRVQQQQQ HQQRQQRQRQRLATTRNHAVLLCLLG TRV_07843 MEPQWQNYSDIPGNKPGQFTPNTGHSAVDHGQTHQPPRGFTYET YQPPGSVSKVPASLRPTSMVPSPSGNGNGSGTPNTRDLDHDTHMEDADPYNRAKYSAR PPTHQRNSSQYLANEETYSAARRYSPMNIISPTLPYNMSPNAAQNTYGFPPTAKSSSH PSPTTSANNYSASQSYQSPPCMFSVLFHSLPPQDRQFHSLPLLAGGLSRGGLNASQLA KGGLGFQQSSITAL TRV_07844 MGRGPVPKFQSIKCISELQPRINKQPAFRRANPEGGFISPLQAL TTHLPATYRICNPTFKYESSRNPRRVLTKPSKGVKNDGYDNDDSDYILYVNDILGSEE ANHKYDTLDTLHTSNPQQHTDSLSTRNRYLILDVLGQGTFGQVVKCQNLKTQEVIAVK VIKNRTAYFNQSMMEVSVLDLISSKLDKNDDHHLLRLKDTFIHRQHLCLVFELLSVNL YELIKQNQFRGLSTTLVRVFAQQLLNGLALLNKARLIHCDLKPENILLKKFYRSPEVL LGLPYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQIARITEMLGLPPVWMLENGKQA GEFFEKTQDEFGRQSFRLKSMEQYSREHNTKEQPSKKYFQATTLPEIIRSYAMPRKNM KQAEIDREMNNRVAFIDFVRGLLSINPLERWSPQQAKLHPFITQQKFTQPFVPPVHLK SSVINKSVAPGVQQQQQAEAASKQRAQAAQAQAAQAQANSAAAAAAQSAYALQMNQFN APHNQPPPVYNNMYNSQQQQQHPAPPPQQQPQQQQGPPPPYPTQQGYQMPIMQSGHMS MPPQQTQYGSSQNLYAQATTRAGRQRATTMDQQQSGIPPSIQRVASHLDPNSPIRLQP SPAYYPPPADGYPDASGPGQRRTSRARNNGSHGRNRDFIRSLEDGALGDGFMNQNQNQ WH TRV_07845 MSRFFHGGSDSESSSSDEEELYSDRDKPEDDEEESSEEESSEEE SSEEESDSDDDGGLQGASKFYKSTKAAVESDEDEERVTVMKSAKDKRLEELEHTIKVI DNAERINDWAVISTEFDKLNRQTAKIVQSGPIPKVYIKAIADLEDFMNETLAKQKVST KKMNANNAKGFNTVKQRLKKNNKEYVTEIEKYRENKEEYMEPDQEEEVVVVERAPRAA TRVEDVLIGDDTGFSTVGRGGKALQYTPESILKHLRVVVESRGKKNTDRMEQIRTMEK LLEVATTPYHTIRILLTLISTRFDLSTTSVNNFLGTDQWKLAEREITTLLQTLEENPS YVVTEGAEEWEDDEKMPQLAPGEVLKVPGSIVSLVERLDDELTLSLQHIDPHTAEYIE RLSDEQLLYNNIVRALIYVENLNEIEKSEPRQDSVNRVLMRRLEHVYFKPAQVVNIME ENSWKVVPEKLDSSITPRAKSTDVTALVQTLCNYLFEYSDGIIRARAMLCQIYFLALH DKYHRARDLMLMSHLTENISNFDVSTQILFNRTLVQIGLCAFRAGLVYEAQNTLSEVC GSGRQKELLAQGIIMQRYSSVSPEQEKLERQRQLPFHMHINLELLECIYLTSSMFLEV PLMAQSSSSPELKRRIISKTFRRMLDYNERQVFTGPPENTRDGVIMSAKFLAAGDWKK ASATLNSIKIWDLMAQPDKIKVMLAEQIQEEGLRTYLFTYAPFYDTLSISTLAAMFEL SEKRIAAIVSRMISHEELSAALDQVNDAIVFRKGVELFRLQSQIVTLADKSMSLLEAN EKTLEQRTQGMTNAFQRDQGHGGRGGGRGGRGGQRGGGGRGGGIGGQGRRPGNQQFGG GALGGAIRA TRV_07846 MSSRRGVGLGAFVNKNQASQSFATHGANLKSTHASSLQTQLSVF QSLLHTFALEHGETIKSNPTFRAEFARMCHAIGVDPLAASNIKGKGKRGLGEGGSFWT QILGGDVNDFYFEVAVRVVELCRETRAENGGLISVEACQKVVGAGKAIGGGLEVSEDD ILRAVESLVPLGSGFKVVKVGSKTFIRSVPKELNTDQATVLEVIQLLGFVTVSMLQLN LQWEKARSKTVIDDLLADGLVWVDSQAEEAEYWSPQYLQDSG TRV_07847 MISPTMRLDLQVDAVAFTLTIFRANKNKRAVIFKRAESYVKEYR DAEREKIRLARLSKQEGSLYVPAQAKLVFVVRIKGINKIAPKPRKILQLLRLLQINNG VFVKLTKATAEMLTIVDPYIAYGYPNLKSVRELVYKRGYGKINKQRVALTDNQLIEEN LGKYGIVCMEDLIHEIYTVGPNFKQASNFLWPFKLSNPTGGFRTRKFKHFIEGGDTGN REENINALIKQMN TRV_07848 MSSRAKKLEELKALRASGRKRLLTYEVQEDEDIYEQVDEEGYKK VVRKRLDRDDFVVDDNGEGYADDGREEWDDTRLAASDSDSDGLPVRGKAAKRKREEDN KKKEEINNGINKYFTATLTKQTSKPKPVATADDEAFLADILGDVETNIASLKVPVKRS VKSETRRKVRIVSPPAQPKPRVKKTEIDQENDNPHPKDSSAADAEFEDDVFLDGGDDD AIMSEQPLPSSPVASAVERKTAGTSRSKQVVIKKEEDDDDGDDDMLDVAEAVADHSTN TPSVNMVGKRPPPKVKKEVCDDPMASSPARATTEMINPSSWNDVTNKLNVLNSSVTDI QRFGKLTCQEAVEEDGSLRFFWLDYTEINGSLCLFGKVKNKTNNSYVSAFVKVDNILR KLYFLPREYKYVRGQPTSEEVDMEDVYGEVDNIMSRSKVEMHKIKPCTRKYAFELPDI PKEAEYLKLMYPYTKPALPIELKGETFSHVFGTNTALFEQFVLWKNIMGPCWLKIEDA DFTAVNGASWCKLECQVTNPADISLVPDSEEIETPPLTLMSLSFRTQLNVKENKQEII VASARVYENLSLSDTTPPENLPCKTFTVMRPSNLSYPLGFEAEAKKQRGTVMLEKTEQ FLLSKFLALFERIDPDVIMGHQLQEVDFNVLLSRLKEKKTPGWHRIGRLKRGEWPKNF SKGSGFFSDRQLLAGRLLCDVANDMGKSLMMKCQSWTLTEMCQLYLGEGDQRREVDTE AALKTWATSKEGLMNFLSHCEADTYFIAALVLKLQMLPLTKVLTNLAGNSWSRTLSGT RAERNEYILLHEFYRGKYICPDKYGHKTQIKIEENEGEEDGADKKKKEKYKGGLVFEP EKGLYDKHVLVMDFNSLYPSIIQEFNICFTTVDRHTSSENDREESVPEVPDSDQPLGI LPRLIATLVSRRREVKKLMKDKSATPEELALWDTKQLALKLTANSMYGCLGYTQSRFY ARPLAMLTTFKGREILRNTKELAETNQLRVIYGDTDSVMINTNTDNVQEALKVGNEFK RLVNQRYRLLEIDIDNVFKRLLLHAKKKYAAINLSEVDGKYVEHLEVKGLDMKRREFC ALSKEVSTKLLHEILSGDDVEVVLNKIHDYLRELAQKMHEYAIPVQKYVIYTKLSKKP DEYPNKETMPPAQVALRELARGKSVRPNDVISYIVTLGDSETSSLQPAKRSYTLQDVI KPDSGLKPDVEFYLLKQIFPPIERLCAPIPGTDAARLAECLGLDVRKYQISSNATHGQ QEIELCALESQIPDSIRFEKAARLNLHCRFCRKKSTFEGLVASRDMCSPNGLLCPEPS CGKAFTVISIVAQLESQIRAQTAQYYEGWLVCDDSACGNRTRQMSVYGQRCLGPRGRA EGCLGRMHYEYSEKQLYNQLLYFAGLWDVEKAKGMAEKEASVDKREAILALIAWNRTR FDTVKSVVDGYLKKCGRQWVEMDVLFGFAMA TRV_07849 MLASRICRAAPRVPTQFIRSPAFRVPSTQFRRWNSTEGGEEKVK GQVIGIDLGTTNSAVAVMEGKTPKIMENSEGTRTTPSVVAFTKDGERLVGVAAKRQAV VNPENTLFATKRLIGRKFTDPECQRDLSEVPYKIVQHTNGDAWVEAQGQKYSPSQIGG FVLQKMKETAEGYLNKPIKNGVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTAA ALAYGLEKEQDRVIAVYDLGGGTFDISILEIQKGVFEVKSTNGDTHLGGEDFDITLVR NIVQQFKKDSGIDLSGDRMAIQRIREAAEKAKMELSSASQTEINLPFITADASGAKHI NSKLTRSQLEALVDPLIQRTVEPVRKALKDANLTAKDIQEVILVGGMTRMPKVTESVK SIFGREPAKSVNPDEAVAIGAAIQGAVLAGEVTDVLLLDVTPLSLGIETLGGVFTRLI NRNTTIPTKKSQTFSTAADFQTAVEIKVYQGERELVRDNKLLGNFQLVGIPPAHRGVP QIEVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSDSEIQNMVNDAETYRAQDIE RKALIEGANRADSVLNDTEKALKEFEEKLDKAEATQITEKITALREVVAKCQSGETTI TAEELKAKVDDLQTSSLTLFDKMHKARHEESQQQQQQQQPPQGEEKPKDGSN TRV_07850 MALDTELLHRPTEALLLPGGRRFFPFKIPNTHHQLRHYISTVDP DRIYVAVGRIIYAIHISSRKREILTIVPFDPKCLTAGNGWIGVGGTESGDCAFIKLDA ASPLSEPARPGSPADVDSPLPLDFDSSLSRRALPYPPNVSVRELGGSIVNSVTLHRLP ANGDEFVDEDIAVLSNNDTTVSIFSLPRMEVIETIRHPVCMNFAIISPNSKLLAAVGD ENRVYFYRAIPSPDKQNAVSTDGGKLLREWTWPLLRSVELDSDPHYDDRYCFTVAFSP SSHLCAVASQAGVITVFSVKDIFNDDPDGRSGREDIFCVFRSSRSCFDGGAIRCMSFS PQPWDLLVWVEDHGRFGIADVRQGFSRRQIITLDVDEPSLERVKTDNLDKPESDLDLD GDIHRPENGATHSRLNEAEEALQRAIDSNRHRRRGAAIEAESQVMRDSLARDLTARER QIIDFLNTARWASSIEEGPQRLPHLISPLPNPSYSYQQRPSSQYLGASPPPPPPPPPP DHYITRNEPSRNSERPRTGAPRRRNSVILSQDSSSRPTVHTSSALIPHPGMSLRWPYS PNQVPAPNDETDNLSMEEDFPRIPSPNEDGVQTGPSNSTHRGSRAQQPEDTSSSSSRP NTGQRQRLARSRSIPRRADRPTRVPGERLDPRNTMDSELRSTLAAERLRAQRQAAVEE NQRLSEWEQQYRRVLELDNIRASPRIRNLSGEIYGGRESGWGVGTAGVGWSDDGRTL TRV_07851 MTTNQVVQGFSVTNRWLLYASMMLTPAQFVSGIGNNCPSNIGFL AYNWYTQIQWYQAVRAKQLHALALLPVYFNFIYAITYFGGVSSGNLITTIILTLGSAG VMILNTVSAWVSWKTNLPEGIGEYQFFFFGWRTLTKGWHTFFLLWQIADSMLAFSFLI GVIQLGIAAYHYRHYGEDGKKMPWYLNKYIQIPLGSAVMMLFFGWMLILWTELIVARN HIQSETDMVAIYLFIAQTVALVLPSASTVFGCITGRKKERSQEPPPQQAILIQPTFHV FSAWKIEEGFDITTLEDTIFNNNWMRGKKRKIITNNHTSSDSCHGNY TRV_07852 MSDGLEAAMQQLKIKMDAIDPDGDLDAWAKDEAVEKPRSRRIQP AEELKAELEREFLIPSPCFNTHWLNKLQRRWDYPTDYSKLFELAPTQSRTIIRFNREG LEGKVTGYHEVTVPAGSATAKNSTSLRRKPAIRADFVRGAAGFYPFAPGGLEAVDDIS AIDAEAELDEDQTVESAPKKSSGLDRIINFGSQGGLLEIPPGFTRGLKFKIEENKDAQ EGAEEVREALEDEDETELKHLPSQESGDTARKPGVEEGGEDSTSEDEEDIDALLPVEY PALEPRGQLIQSSLKKSRKEWAHVVDVNKEITNFYELVPDMAREYPFELDTFQKEAVY HLENGDSVFVAAHTSAGKTVVAEYAIALANKHMTKAIYTSPIKALSNQKFRDFRGTFD DVGILTGDIQINPEASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDLERGVV WEEVIIMLPEHVSLILLSATVPNTYEFASWVGRTKRKDIYVISTPKRPVPLEHYLWAG KEIYKIVDSEKRFIEKGWKDADDILSGRDKAKAQKAAEAQAARGGHQSDRGRGQGQRG SGQRGTGQRGGPQQRGRGQPSARGIGNIARTGRGGGRTSAAQDRNVWVHLVQYLRKRE MLPACIFVFSKKRCGENADSLSNQDFCTAADKSAIHMVVEKSLTRLRIEDRDLPQIRK VRELLSRGVGVHHGGLLPIVKEIVEILFAKGLVKILFATETFAMGLNLPTRTVVFSGY RKHDGRGFRDLLAGEYTQMAGRAGRRGLDTVGSVIIVTSGRDEAPPITTLRKMILGDP TKLRSQFRLTYNMMLNLLRVEALKIEEMIKRSFSENATQALLPEHEKQVQLSEASLEK IKREPCAVCDVDLAACHQASVEYERLTVQLHTLLLASPVGKRMYAAKQLVVFKKNGVR TAGVLMKEGVTGGPIPSLNVFEIGPVESRRFPSDILPYMPVFREYFHPLPTSPENMVL KSCKVPIANLECVTGTTVRHPMYEIYKAELTLTQEALKVADKEFSKLCASWTDKSWDE LDWERVKDMSVREVLEQRAQQVKIAKSCACLQCPQFLKHFEMQHDEWQVKENISQLKL LMSDQNLQLLPDYEQRIQVLKDLGFVDEASRVQLKGKVACEIHSADELVLTELILENV LAEYEPEEIVALLSAFVFQEKTENEPNLTPRLEAGKEAIIAISDRVNDLQIKHQVVLS SDDANDFASKPRFNLMEVVYEWARGMTFNRITDLTDVMEGTIVRVISRLDETCREVKN AAKLVGDPSLYNKMQLAQEMIKRDVIFAASLYL TRV_07853 MDVATLRDRIKSTLDSNGDTRRQAELDLKYAENQPGFPNALIDI LEAEQDPAVRLSTVVYLKNRITRGWAPEEEHSIYKSIPEDERPSLRNRLIPVLASSPP NIRVQLIPILSKILQFDFPEKWPDYIDIMLQLLNGNDANSVFAGLQCLLAICRVYRFK GGEMRGDFDKIVEISFPQLLNIGTRLIDEESVEAGEMLRTVIKAFKNAIYGRSWLTTS KFELPIALTTQQATVGWCTLFLRVIGKVPPANSMQDDTDEREQSHWWKCKKWSYANLN RLFIRYGNPSAISKSSGPEYIEFAKTFITTFAPEILNGYLQEIDKWVSKGQWLSRPSL SYTLIYLQECIKPKVTWEILKPHMDNLLAHFIFPILCLSDEDIEMFETDPSEYLHRKL NVYEEATVPGVAATNFLVSLTKTRKKQTFSILTFVNGIVSKYEAAPDGQKLPREKEGA LRMIGTLSSVILGKKSPIADQVEYFFVRHVFPEFRSPHGYLRARACDVLEKFEQLDFK DPNNLMTIYRNILDCLADPDLPVRVEAALSLQPLIRHSIIRSSMRTNIPQIMQQLLKL ANEVDVDALTNVMEDFVEVFSAELTPFAVALCEQLRDTYMRIVQGLLERKSSKPEDDM YGDLLDDKSITAIGVLQTIGTLILTLESTPDVLLHLESVLMPVITITLENKLFDLYNE IFEIIDSCTFTAKSISPSMWQAFVLIHKTFKAGAELYLEDMLPALDNFVTYGAATLAQ NPEYLAAVVSMVEDIFRDEKSGGVDRICGCKLAETIMLNLRGHADQYIPVFISLAMQG LSNEETQTKSYLIHLMEMVINAIYYNPGLSLQVLEGGQWTNKFFSTWFSNIDNFKRVH DKKLSIVAISALLTLRAEDVPASIQPGWPRLLQGVTRLFQTLPNAIKLRDEAAKDADL PYEDDDGDNDWSGRDVEWSEQDASEGPEIDVTDESSAYIEFLHQEGMYSTMCLNTNNP MQALKIGQVPDDEEEDDLYEASLPESPFDKVEPYGLFKDVLMNLQQEQPHLYENLTKI LNPEEQQIIQGVINEATKIAMTAEKADKANGEFTLFSQPTRKLKYNQPNTKIKKNDKT VFTLFGPFFPFVPILDLGWFTIACCPFATPFFFLYPRTKCPVSFFLLVSALKYDDIFI CFFYVDLCKHILQGGRCMYPGATGLVSMEKKEREQSTIHISDINLIYYIDINTCHAKY RALKQTRSKANQNMSAPKSGRQSPPPSRQGGSQQGDIPTWSGKAEQPSHGTSSIDNPS DPHGKDPGVTGLESNPVHPLAETSQLKHRRLSEDFHGLGSSGY TRV_07854 MSSTVHVANISSKTSEKEVRDFFSFCGKITSLSLTPSSGDAEAT QSATVTFEKETAAKTALLLDQTQLGPSAVKVTAAPSIDDLAGEKATTAHGARDEASNH LEQEDKPRSRIVAEYLAHGYAISDQAIEKAISLDKTHGISARFNAVLKNFDSKYHATD KAKGIDESYGISDKATSGWRGLSSYFEKALDTPTGRKIRAFYLQSDKQVRDIHNEARR LADLKHASSPSPESAMGQTAQTTEGAPTAPTGVPVGDPSAPKTVPGTAAETK TRV_07855 MGNAATKEARPSGLRHGQSRTYHDTDSSHSRGAQERSFLGLSGN DRGTSSSEPRRETRQEREARKREKERAARIKERERSMKTEHVDGGYLVTQGVYVGTED FNKPIVRQLMIERRIAPFWRGLQDFSTSWAEHQIMAAARGLPIPPADEVPPELEYKAP PPASQEDRQNDKFLNTLTVPIASRSQSFNSDSSAGARKTPPQPQSPAGTNPSGSQLFR GRAKTLASLTTSSKSNSNMAPREIQLPHDPFVNGQPIEVYLYKDPMECPICFLYYPPY LNKTRCCDQPICSECFVQIKRADPHPPEHEQLGANPSRDAESQTNESEHQLVTEPAAC PFCVQPEFGVTYAQPPFRRGLAYAPGHGGHHLGAVASPMSSSSSLNSANASPGTTTTR RRATSLSADAPGVITTDKIRPDWAQKLATARANAARRSAAATALHTAAYLMNNNNNNN STSSSNGESRNLANIGRRALLRRGTGNDSPTSRSNSTHVNAFAYLAERRATERIDGEE QSNNNSARGTSRRERSRAEEIEEMMIMEAIRLSLTTEEERRKKEEKEAKKEAKKREKE AKRAGKSAAQNEESSSTNESSSSTTGPTSTDAGLPENVSLQDKGKGIARPAPAPVPAP AEQGEASSSSQATEQPPKLTLNTPLAGESSAHAGASPSPRPHPRSHSSASSSDISLVE SAFDDQKDTGTPNGSNPNIDAVFNFRSLAAMVGDAENERTETSSQPVPIPASGQASDS GNCDPQRESSKGQDPPYEGKEAQSQSTEISSQSPIS TRV_07856 MRCSQRETGCHANEEAYQEAKKVSGTVRISRETLVCISLKVSSL LLQLLDLLGGGMAVVYLCLSLARLALGVRAERRPAQLDVGRSNADGQCLALCRLLDVG GCRAAVQCEELRLVDALSDTPRRAEETRSSVLRRTRRLREGRKETAGGREIRPGRMSD AVKRLHITPLDAAILETVLQPSIRPLATDISLHTIETHPENNYGFVSLPKAEAEKLTR KLNGAFLKGRKLKIEAARPAPSSPLHAEPEVRAPSTGPDKGVALKRRAIDHVIEGYEL PASRKVMRGWTEPRSSSGRSKKKDKDEDSKKAKKSKQPSKYSSGSECLFRTVPPANKS AKTKSDSSKKKKKKGGAESVTVHEFKQTVKHPSFIKTGENVAPSKLTGEYVEGKGWVD REGNVKEEPPKKRERPVQTTSVVPKQISKSDTKKRGESPAASDESESSAAESSSSEDY TSSEGSDSSSESESDDKEEEEEEEDGSDTSSTGTSSSEESETEKPEAVEQETPAPTVE ENKDTPKVHPLEALFKRPKPSNISIPNPQLTIDTQFSFFGNAEDSGSEDEARDKLYTE PQTPFTVQDLQSRSMRSLAPTPDTALPTKITFWGEDSNVNDLHDDDDGLDTPSAEREL PSSPTKPGAKRGKEAAEDSEFAQWFWENRGDNNRAWKRRRREAGKERRQRENRSHSSR GRK TRV_07857 MALNCTNKFIAVCRFAEPIALTSIFPYSWVMVKDFNIGDKSNAS FYAGIIISAFALSESLTGMFWGSLSDKVGRKPVLLLGCAGTVLSLMIVGFSRNFWMAL LGRVVGGLLNGNVGVIQTMVGEVVKNPDHEPIGGTFAQPYKSFPSLFSPSGLFGTFPY LLPNIICSLLLFISIFAGYFFLNETHPDHQPQHTRTEGAEQHTDEDDNDTIGAPLYAV ATAGSTAHAGADLTAKSYGTFNEVDMHEDEEWYVRPDGKSLPAPDSGKVFTKRVTMLI IALGIFTYHSMTYDHLLPIFLQDQRDGLINHRPTSPLDIPGGLALSTQTVGLIMAVNG VIALVIQAFVFPVVTEWLGVWSVFVLVTILHPVAYFIVPFLVFLPIRLLYPGIYTCLT IRNLFSILAYPVLLILIKQASPSYNTLGKINGLAASAGAACRTLAPPIAGYLYTVGTR IGFTGIAWWASSLVAIFGALQLWFMSGKPHTSVSILARPPCFSRHVETHPPIIHVHVE EEEQPTPHERQPLV TRV_07858 MGDAEDLDNLYYDENTTPSKQTRNSPVFDIHEDHHHDQSGFQNP PSSPFQDSINIEYTDRPDEGPAALFDADESCHIEPTHNPYEDYTEHDLDMNEQENVPQ DQPADTVEAGETPEDKQIVEPESSRQSLATVVEENTHEHMSIVRHQNEGDSSLCDSAH RDNSVGDHTSLSTFSAVPNADMTLFSKLRDDSPLKFTPNVRDQMSQVTPRSAKRALNK REPGMSSSETESSSFPRSPVRKYMRVEDDANLLDFTDQMDIPTNGTLEGQKSPYRARR NSPRKPGENFRSPNKFSLLDFDIPPPATPRSIPSITPRELESLKSSFLSQISSLKATL NGKDAEVASLKDSVSDAERRVGEALEQVRNETAKKEALESEQVEWERRSQEMKDVLRT VKAEMADGEREREKLAHRAEEAERAREQMEGKLVELESQLSAARSNNGTGTQGSAQPT NCDTRSSDETAREVQDAVERVARELHALYKGKHETKVAALKKSYEARWEKRVKEGENK LKDALEKIKHLQSELNGAKARLADEETAPGDATMLRENEGLEAEKRVLEARIKGLEQE MVSLKKDSEALRSELKAERAEKGELVAVVDEWLQMQQDSQPAPEPAEKPSTPPCEELN EEERSEKMPISQPTPAASSSSSSSNIGLKSSTSSRPRQLPQSHTPRIPRFGAPSGTKA APSGIAMAKTPGRSGIMSSIERMGRGGN TRV_07859 MKIAIIGAGISGCAVYLALKKRLPAGEEHEYTIYEAYETSASSP QNYADGDVHSSSFVVGAGLAICPNGLSCVRRLDEDIYHTITRMGYPYAYQQMKSSHGW NLMKMEASGSNPKINSVSLSRHALWRSFRDRVPDDIVVNKRVASVVAKPDGRNVIKFV DGSPDVEADLVIGADGLKSVTKSALFPEDKEDRYPPHYEGVAGVGGFFPADQLHDQIE PGTMNLVFGGNGFFGYCYTNSDKDEPNRHIPQGVLKPGSTVMWWSTYEAKECPETKSI DKATIIQDVKFRHASWKSPVVQKILASADIQNMYPVWTVPELPTWESHGVVLIGDAAH ALPPTSGQGVSQAMEDVECFSILLAHSLGKEGQESSEGSALQTRKASISWAAKKFMDI RMPRIKAILDQARKYQNQKRKLSVFQEWFMYLMMYLMSKSDLPGVKGTGTKDPFIGFF PAPPWVKEIYSYDIESETQKAIEKS TRV_07860 MVSSPESLDNSLSKNKRKSNAASLQNSARPVKRRASKACCCCRA RKVRCDVVENGSPCTNCRLDDVECVVAESKRKKKHHVELAVEAPKDSSPDHSSIFGNK SDSNRLFPSLSDSWPSVSPGRYPENEQHVPHMIYQTQKRQRMQDEERRRRLSSSTTIN SPFLYTIPPKVTATPLMSTGFGQMRSPPPRPSPRNSLPDYIQPLPCKFLTEDIDYLER KGALTIPSDSLRNELLKSYIQYVHTYMPLLDLDDFLRVIMRNDASRRLSLLLFQAVMF AGTAFVDIKHLQAAGFESRKAARKAFFQKARLLYDFDYESDRISLVQSILLMTHWYEM PDDQKDTWHWMGVGLSLAHTIGLHRDPSTSNMDAKRQKLWKRIWWSTYTRDRLIALGM RRPTRIKDEDCDMPLLTIDDFDIAPFSTEVLQVLGDCELTHNVRYQKDLAMMFIEKTK LCLCISHVLSAQYSVLGHKFGGTTETTMMLVPKKTATEACEVRACDIELESWKSGQPD VTVYRPSASWSLSRGEEVLHLHRGLLQMIYLTTLSALHRPQVLPANSTTTIEAELQSF SRAKVRLAAVEITKVAQELHTLDLTRYLPTSGVTVLLPAVIIHLLDIKSSDINLRSAS LGRFYQCMQILQRLREIYASADFATSFLEAAIRKAGVHINIEPTEQEKQPQRVKPEQP VNTLTPPPDPSPECFIDPVLSLKSDLGNVEQPVDTNNFGVVVSTPPNSASSENGSLQN INHDPTVDEILNTSTDPSEPSLAEFMTLAHEADITQNDLDALINFDENTATDFLTTDD AINATFTLPELGDDFIKGEFDDNDKKLEELKEIESTDLGMDIDGQAWLHDP TRV_07861 MTSVASGPDAAIPVGTYQHNGPRWMYRQLKIGRFYTPWFASPVA QLILVSLVCFLCPGMFNAVSGLGAGGLVNPQDIDKANVALYSTFAVVAFFAGSIANRL GLRYTLTFGGFGYFLYVASILSYNHNKNDGFLIFAGALLGVCAACLWTAQGAIMMSYP DEYSKGKFISWFWAIFNLGGVIGSLVPLANNLHNKSNSVQDSTYILFMVLMFVGFLLG FALVNARDIKRSDGTRVILMKNPSWKSELIGLVTVLKSDWYIIALFPMFFASNWFTTY QFNAVNLAKFNIRTRALNNVLYWISQMIGAFVFGYGLDAPRVRRSIRARYALVSLFLI TMAIWGDGWFNQKQYDRADITETNRMDWTDPNYAEPMVLYMLYGFYDAAFQTCAYWFM GALTNNSRKLANFAGFYKGIQSAGAAIAWRIDSLGVSYRAMFISNWVLLASSLIIAAP VIWKKIKDITDIMKDLKFSDEDLEEVSTAAAMELRGR TRV_07862 MPSITREVLAPRVTKFTNCRVPNGQKLIEQDLWVDSVSGKILQG QHAFYEDKVCPDQVIDLGGRILAPGFIDVQINGANGFDFSVPQPTKKMFDDGLRDAAQ ALVKMGVTSYLPTVTSQSKEVYPKVLPSLGPSGHRRRAEDGAESLGAHVEGPFLSPGK NGIHNPEVLIAANDVNDLIQCYGLENFCKNQTPPDRMPIKMITAAPEVGNMLSLIPAI QSQNILYSIGHSDATYEQAMAAVSKGATMITHMFNAMRPFYHRHPGIFGLLGQFEQHR PFYGIIADGIHLHPTSIQIAYNAHPAGMILVTDAMKLCGMPDGVYEWTNGDRIVKKGA MLTLEGSEKLAGSSATLIECVNNFRRWAGAKTVEAIAAVTETPAKMLGIIDRKGTLAP GADADLVVLGEDRLADGGMGTLAVDQVWKFGVKVFDKEKA TRV_07863 MASPVTHIAPADADSFDYVIVGGGTAGCVIASRLAEYLPRKKIL LIEAGPSDYMDDRVLKLKDWLCLLGGEFDYDYGTTEQPMGEFAGIDLRSNSHIRHSRA KVLGGCSSHNTLISFRPFEYDCKVWESKGCKGWSFDTFTRVIDNLRNTVQPIHARHRN QLCKDWVQACSTSLNVPIIPDFNRAIRSEGRLTKGTGFFSVSYNPDDGRRSSASVAYI HPVFSGAEKKPNLTVLTHAWVSKVNVSGDTVTGVNVTLQSGAKLTLCPKRETILCAGA IDTPRLLLLSGIGPREQLSALSIPVVKDVPGVGENLVDHPETIIMWELNQPVPPNQTT MDSDAGVFLRREPINAAGFDGDCADIMMHCYQIPFGIHTGRLGYDVPANAFCVTPNIP RPRSRGRLYLTSADPTVKPALDFCYFTDPEGYDAATIVAGLKAARKIAEEEPFKKWIK REVAPGPATTTDEELSAYGRSVHHTVYHPAGTTKMGDVQNDRMAVVDPTLKIRGLKNV RIADAGVFPDMPSINPMLTVLGVGERAAELIAEEAGWRPSSSRL TRV_07864 MLKLLLATEQGDLAEMSFKPQRLYYDGQPQASTSNKTFQSVNPA TEEVLADVGVASSADVDQAVKAAQKAFPAWSRTPHVARARILLKAVQLLRERNDEIAR VETADTGKPFSETSTVDVTTGADVLEYFASMVAGGGLNGETVQLRDDAWVYTKKAPLG VCAGIGAWNYPIQIALWKSAPCLAAGNTMVYKPSEFTPLHGEILADLYTQAGLPAGVF NVVHGAGDVGAYLTAHPAIAKVSFTGQVATGKKVAGSAAGGMKYVTMELGGKSPFIVL PDADLDSAVDGAILANFFSTGQVCTNGTRVFVPSSLKADFESLLLKKMQYVRAGDVMD PATNFGPLVSDVHYKKVTDYIRHGVEQDKAKLLYGGLEKPKASSPSASFDKGYWITPT IFTDCRDEMKIVQEEIFGPVMSILYYETVDEVVRRANETPLGLAAGVFTQNINLAHRV VDQLEAGITWINSWGESPAEMSVGGWKQSGVGVENGRRGIEAWVRTKSTLVDMSGVVA TSFTKL TRV_07865 MYNNLFAHIDIPPRNIHILDGNAPDLTKECQNFEAKIAKAGGID LFLGGVGPDGHIAFNEPGSSLSSRTRVKTLAYDTILANSRFFNNDLSQVPRTALTVGI KTIMDAREVVIVVTGVHKAKALQKGIEGGVNHMWTLSALQLHQHPLIVADEDATLELK VKTVKYFESIELSGTDARTQGPPLVQFTKPYVPVAPPADLSTPVGSRKGLKIDTAFNA KDSDPDELTPDSMSSRIVDSAIAGLDETMTRGGDLIFDRMGSRIPTQG TRV_07866 MVLDIGYRQMGQLFVMGFDGTTVDPQIRSLIEDYHLGAILLSAK NLNYLAVRYKTKTNSRIAADETAKLVLELQTIARQAGHQLPLLIGLDQENGGVNNLFD DTYIRQFPSAMGVAATGSPKLAREVAKATAQELRAVGVNWILGPVLDVLTDGKNQPLG VRSVGDDPQEVATYGVESMKGYQDGGVATCGKHFPSYGNLEVMVSQSDVPVITDTVDQ LRLSALIPFRSAISQGLDSMIVGGCSMISQGMNVMHACLSEEVIEDLLRSDLGFQGVV VSDCLEMEALSSNIGVGGGTVMALKAGCDLVLLCRSFTVQQEAISGLRLGVENGMISK ERIRQSLARVSAMKVRRTNWEQALNPPGISLLSRLRPSHTNLSMQAYNSSITVVRDRG NLLPLSSILEPDEELLLLTPLVKPLPASAAAAVAATAAAAAGHAGGERSRESTNSIDA SSSSMSSNMKSGEAVFRELGRSLARRRNGRVLHTSYSASGVRPIHENLINRASAVIIV TADSNRNLYQHGFTKHVSLICNSSCMNNSTNNNSTHNNSARRNSAGYQRPKAVVVVAV SSPYDFAKDPTIGTYVCTYDFTDAALQALVRVLYGEVSPTGRMPGTLSKSTARRTSQP RQQWLVETWNEERDSAALDTLLTSIRESESGINNSSTSSNTHNNEMGPSSSIDSTSTS STSSILANVRADTFLLKSADVQECHLVVRNSSTHAINGFCATYFFRSTGTGVIGAILV DPARRRLGIGSSLHSRAIQTLRQHPDIKQLQLGSRLPGVYLGIPRTDPVERRRLRRWF AKLGWSMALSRPLCSMVLRDVQSWVQPDGLKGGSRSGSSRSSSSVIGSGEVEYDLVFG EEYTDAVIEHVRTSSRQGVAEVYRTALAGGPHCGIIRAKKAGETSITSAAAAAPAAPA NANGNAASANNNHNVVNAGSEEDGDGEMMIDGLQLGLEADDQLHDQLHGHSNDQPHGH GQGHSNKTLVGSVVVYNARSSLARLVPAVREARVRAGGISSPVISPSVGEYAMLVQGL IVLGIKEIRKQGGNTVILDCVSVPSFYFFFFLPLL TRV_07867 MAGMRHGYPPPRTWLSSCSTHLSQSVFPLCLSVSSLSTSLSFKC VSSSRIRHEDEDEDDGEDEEDPAGIVSDRESSLILAGLPRPPLSALRQTAHRTIQDYK TKRRREDRRTDEKRERERGENGQYGRLRCDGAAVHGLAAARDLARRLHGPDGAHGDAA ALALRRDVP TRV_07868 MRRFSSSQFEEPFPEIADMIPATPFDVDMQQQQQQHQQHQVQHQ QQIQPQHQHQHQQIQHQQQQQQLQPQPQHGQGTGQGTEEDSIDHGHKLLSFSVPAYNF TLLDYSLRRTSLNMTAQLHGMFFLAESSWSASPGGDTPVSVNTAAPAVGMGMGVGVGV GVGPAELTCYRRNLFQITGSVTLPRTLRYIMTEQGDRIPILAQELTVSATESVEGNPV KIISVPWKTPAGGSSSANASGSAGGAGVGGSGGGSGSGSAAGGGMVEDKTEKEPAPVV LDTMGGQDADADFATFSIAWKRLQFRIATANNGRRRELQQHFVLRLKIVATLSTGAKI SICESQSGPIIVRGRSPRNFQSRKDVPLSGSAAASRKNTQAAALARANAGGTPANPAG SVSAGGGKRNSPVKTEERAAAAAVISDPSAAAAEEEEESRSSKRRRMSSGIPPITLTF NDEEASSPHSLPIPELEQQLEQPERKRSTSHYDGPLNHHPLNQPLNQHHQHQHHPLNQ HQHQPQSNHHQNHHQNHQLPAIMGEAEDRADVLYEYFPLALEEWQAPVDAVYRPHVVH HTALAGDAKALAARRSKVYFES TRV_07869 MLYVSRNARIAALDVTLRPPLALLFVCAVLLLLIILLLLRLRLR LIDTISSPLFLVYSQIIPVSRQSIQSIDIKMGKGTLEQVALRLRQLFDSLLSVLQRLL RLSQKQKTTPKTASKTASKTPSKTCEKRPSSLRCRRSLDDLAADVQRQFTSHLSTARL AAMAAGIRLQLDRCAKSSPVCMLPSFNHALPSGSERGTFLALDVGGSTFRVALVELAG HGAMHIRRIASSAIDEPVKLLEGRAFFDWIALRILDMLRAGDEGYGRDSSSPLPMGLS WSFPIDQTSIRSGLVLSMGKGFLCSNGTVGEDLGDLIMAACRKHQLNVRIEAIVNDSS ATLLSRAYIDPSTRMSLILGTGTNVAIHYPTRAIGREKFGDRPEAWFDKASHVIINTE MSMFGGGGVLPMTRWDDDLNRAHIKPDYQPLEYLVTGRYIGEIVRLIIVEAVAVAGLF AGELPASLRLPYSLDTAIVACIEADTSANLDKSAAMLTASHAFAVPPSTADMQFLQTV CKCVSRRGAAYLATAIYSLWRLSNESESPASSSPEHTRDDLLLTKSLSTTTDLEVGQA VTIACDGTVINKYPGFRSTCQAYLNSLTAHAHANSSVNTADSGSDSATETESDKPMIS LDPAPESGIFGAAVAVAVALPSSPSS TRV_07810 MTPSEAVTDTPSALFRLKTGTDSATPSTNGVIAQNLLRLSSLLE DESYKLKARQTCHAFAVEIMQHPFLFVGMLDVIVGLEIGTKSVTGVLGRASATGDDSV KAESDLIRKIRAEAGRTASTSAAVLSMVDVRQSRTDQSSWLRERNPLFKELSSGKDYM LICEAGSCRTVDVV TRV_07811 MEKESFMSAEVAAILNKSFIPIKLDREERPDIDDVYMNYVQATT GSGGWPLNVFLTPDLEPVFGGTYWPGPNATPLPKLGGEEPVGFIDVLEKLRDVWNTQQ LRCRESAKEITRQLREFAEEGIHLSQVNKSEQEEDLEVDLLEEAFTHFAARYDATNGG FSGSPKFPTPVNLSFLLRLSRYPEEVMDIVGREECAKATEMAVNTMIKVARGGIRDQI GYGFSRYSVTPDWSLPHFEKMLYDQAQLLDVFIDGFEASHEPELLGAIYDLVTYITST PILSPMGCFYSSEDADSQPSPEDTEKREGAYYVWTLKELKQILGQRDADVCARHWGVL PDGNVARVNDPHDEFMNRNVLRIATTPAQVAKEFGLNEEETIRILKTSRVKLREYRET KRVRPELDDKIIVAWNGLVIGALSKCAILLEDIDAEKSKHCRLMAGNAVKFIKENLFD AESGQLWRIYRADSRGDTPGFADDYAYLISGLLQLYEATFDDAHLQFADKLQREFPII ANH TRV_07812 MKIEDDGDNEDEDEQDEDKEASERKIEGLEERSACRHRTETTSF RLRKALVLDIHCAQLVKAQLENAERKREKSKNSNGSPIKLQSKILAIAADPTRSDAVF LAESAGTARQLILEVGCWDKCIWSWDVKTRKPGHKFTGHTDFVKAVVYVHADGRSLLI SGGADAEVIIWDATAGTRLHVLKGHTHGIQCLAVDPIARTEGNPLTVFSSSSGQGIHS FTVPASLSDAVLSDPFKVHETSIYKIFFDEDGDLWTASADNIARCLTRQSGWKSETAL EHPDYVKDIVVDEARGWVVTACRDEEVRVWDRATGELHHTYSGHFEEVTGLLIVGSKV VSVSIDATVRQWPLDPREIQLAKAAEKQKPPAVPEPAPESMLTEEEERELDELLNDSS TRV_07813 MDMNNFIGYVGTLHDINPEASTIALENVVSHGTEGRRGNASDEV PPTSCVYEYIVFRGSDVKDINFADNEKENQPPEQPQVPNDPAILGTTAPPRQAQAPAA APAPAQAGRGQPAQVPQQPSRQQPPPPGYPQQPPYPNYYNPYGQQFRGPPGGPPGFPG APGPGPGFPNMPYGQQGWYPPPGQGFPQQPGPFGPPQMPIGPPRGPPGQQQQQPPQQQ PQSQQHGPEGAPASQSTQMPTSELPGGEKAPKKSTTPAPAAAAQKESQKPTQPKPEAS TAQTQKPAPAKAPTQTISEATVVAKNERIVPAVPVAVPLKPAAQSTASASQQGNRAPP NAMEEATRAATAAVAAAMAKLPQPAGSQQQQQQQQPTNGSLESVTKKINEMRPFDGER QPRGGHRGRGGHRGHHHHHQQVKKIEVPSTDYDFASANAKFNKQDLVKEAIASGSPLL EAEGKAHSATSEANGVEGGDFSSAASGHATTAVYDKTSSFFDNLSSEMRDREENARNK TSGREWRGEEEKKNMETFGQGSVDSGYRGRGRGRGRGYGGRGRGYNRGYGGGRGRGGF RSGRVAAESTGVPSAS TRV_07814 MHRYASPTPEAQELISELEFVWDQIKSNTSSSFSNPDQGLSVSG PTRIPSQTSYDNIGSRVARQGFDEAGRGSQLRVLSPVSQPDGGSRRHRYGSQSEKEHD HSSRNRDGDDTEDDEEEDPDEDEEEEEFQEARNSFYENQEPGEDPRLQPPITAGQRHY QRWQKRVEQALTKMTVEVAAVREQLEARKAFESRKSGIWSWMKWFAWVAIRQLFWDLA LLAILLLYVRIRDERRLGRTLKSVWLEVRQRLSRVRIFRRRPS TRV_07815 MKELERTWNSKFNYPWTFFNDKPFTAEFKRRTQAETKAKCNYEI VPKEHWDVPSWIDMDKYRTASKAMEANGIQYSTVLSYHKMCRWNSGMFYKHPALADMQ YYWRVEPKVRFFCDVDYDVFRYMQDRNKTYGFTINLYDAPETMPGLWPETLKFLEKHP EYVNKNNAMDWLTDNKQRPEHTKKANGYSTCHFWSNFEIGDLSFWRSKEYEDYFNHLD KAGGFFYERWGDAPVHSIGLGLFADKSKIHW TRV_07816 MSISLRIGALTDELVAAISKSSGKSPSAIPRRAAIEKKIKDNSY LRTNKFEVMKCLDGLLEKFQVVGNDELSDALHRRLEELDQKKLSLAPEILSLLLFLSD NPATLTNLKDLKEFSPPRDPKTIKWADITDSELNEPDDLWKDIDYAAESSEDDISSAS SHVSIPKIVPHGSTVPLEEFNPSENLFIDVQDDALVASIIKSQALSEGGDGEVLYLTE LQIVKDAISMLRGLPTSTFSIYDNKIGVNRSISLSHTSQFAFQGLMMSFSSIGTSVQI LRLFLKRPQRVPFMQTFQKEVEALVLSFDRYLSSEQSHYIYQPTPLSVSLLHLLHKVR QHVRVLLELASLIDDLNKNSSHETFRCLDLLYDLVCEKQAAGEDSQYREISRLFFACF ENYTKPIRRWMETGDLDIGQLSSFFVTTSTGSRDDLQTLWHDWFNLNWQSGRLYAPKF LHPSSKKIFTTGKSRVFLRHLGIEPLNPGVPDPPSLHYEVVCPLNQSLSLYPFAGRLD AAFNQLVDTNHNHASSLLRAELDEKCGLWQSLDALEYLYLGKDYGRYSVTDYRIFDLI DRGSRAWNDRFLITELLRQAFSGLPCIDVTSLIGRSAKVPLHVFDSHSRSVQILKVIS IDYTLPWPVANIVTKDSLQIYKRISLLLMQIRRGKYTLEKRWFTKPHLSPNDDEERAD HVLSFCVRHRLLWFLNVLYHHFTEVVIARATREMVKAAKASADVDSMIEIHQSYIRAL EAQCLLSKDFAPIHHALISLLDLCISFSDTQIARSLELQQDQNEQTLGTIRLARMAGR KALVEDEFDDSESDMEISMFEAGNATSISFFEQSYHRRVMRVKSKFDNLCSSIKAGLR VDHGDYSQTMETLADRLEWG TRV_07817 DNSFDSSSNMASSDSLFLTLPGSVAFSEFRCRGIAANTNAKAIR AQWVHYLHLAEPLQDNQPTNLEQLLRYGDIADSPGSFGPQDGKTLTYYVSPRVGTISP WSSQATGIAHVCGFQKCLKRIERGLRISCLVDEGVDSLDAASLDVLHDRMTQVISTEE PNLQAMFAEKAPAPLEVVSLKDGEKSPREILQDANKRLGLALDSSEIDYLVDAYAAGG PISRSPTDVELFMFAQVNSEHCRHKQFNASWIIDGEEKPNTLFGMIRNTHKQHPDFTV SAYSDNAAVLEGEEAGHWAPDATGEWVQTKEKVHFLAKVETHNHPTAVSPFPGAATGS GGEIRDEGSVGQGSRPKAGLCGFCVSDLLIPGHRQPWELDVGKPNHIASSLDIMLEAP IGSASFNNEFGRPCTGGYFRTLLTKVDTGNGESEIRGYHKPIMIAGGVGTVRPQHALK NPHAVKPGSYLIVLGGPAMLIGLGGGAASSIASGEGSADLDFASVQRGNAEVQRRAQE VINACVAMGADNPIKFIHDVGAGGLSNALPELIHDAGLGASFELREIDNADKGMSPMQ IWCCEAQERYVMAVSEGGMNSFVTIANRERCGYSVVGRGLGQPDESRRLVLLDKDSKE YPKPIDLPLSVLFGKPPKLTRTVSSRKLNLPAFDSSLKTYIPSVPEQGLMAEAVDRVL HLPAVGSKSFLITIGDRTVGALTARDQMVGPWQTPVSDVSVTATSLLLGMKTGEAMAM GEKPNLALISPAASARMAVAESLMNIAAADLPERLSRVRLSANWMSATNHPGEGAAIY EAVEAIGMDLCPKLGISIPVGKDSMSMKMKWSDQETGQSKEVTAPLSLVISAFAPVQD IKNTWTPTLRSFEEVGETVLMFVDLSCGSKRMGGSALAQVFGQVGNECPDIRDVQLFK DFFDATQSLQEAGIVLAYHDRSDGGLFTTIAEMMFAGRCGAQIMLDEICASPNVKDAI ETLFNEELGAVFQVRKAHEGEFRSCFATCGPPPGLIYRIGRVAEKQKQNLAIYHGATL IYRESRSHLQRAWSETSYRMQRLRDNPDAADQEFENILDDNNAGLSYNLTFNPKETGL PLMTSLTSRFSPFATRPKVAILREQGVNSQAEMAFAFNMAGFSAVDVHMSDILTGKVS LSSFVGLAACGGFSYGDVLGAGRGWAKSVLLHKQTREEFKLFFERPDTFALGVCNGCQ FFGRLKELIPGAGIWPNFERNASEQYEGRVCMVRISEPTDIPPTVFFHDMNGSSLPIA VAHGEGRASFEDTPGMDGKELVKRGLAPARYVDNTSLEPTMRYPFNPNGSPEAIAGVR SPDGRVLAIMPHPERTIMAGIGSWFPGDKAMSEEWGNIGPWGRVFFSARRWVG TRV_07801 MLYPVAAAVAVVVALVSLVLFTPSLPGYIVRSALQWLGYLNQKK SEARRALIRARVRVEEEDYRSRRARQTAGLKVEEEDWETVDKDGGDNGQSSSASSSNG RNCSDWAGIVGFFHPFCNAGGGGERVLWAAIAAVQKRWPKAICAVYTGDHDVDKVTML KNIEVGYLYSLRRFNIQLHPPTVVFLYLSMRRYVLSSTWPHFTLLGQSIGSLILAHDA FTLLVPDIFIDTMGYAFASALSHYLFPKVPTGAYVHYPTISTDMLESLDDASGSKGLN SGAGKGWKGAIKKHYWRMFARLYGWVGSTIDVVMCNSTWTSEHIQRLWLPSRRGRGKQ YQTASVVFPPVAVSELESIKIDLRSEQQVRQPAILYIAQFRPEKNHSLILHAFARFLK QYNATAESRSAADPKASVDGDGRAGSPQLILIGSVRHQTSDETHIYNLRLLAHELRIR DNTTFLCDANWPTVIENLRKASIGVNAMWNEHFGIGVVEYQAAGLISVVHNSGGPKRD IVVDLDDEGATGFHATTEVEFAAAFEAALALPESEKLAMRLRARKSAQRFSGEQFEKK WLCEMEKLVDLQVKHNERTGNI TRV_07802 MSLMRPRLGFSVLSSLGCGRVLIAAPSRRNFHASNPNQIIDTSV LVAHDVIQGFHSISGLPWVLSIPITAITVRCCVALPLQVWSILKARQLQKLNPLLLTW SRVCQKKAMDEAIMEGRHLFPKAIEVSWQQDFKLKRKELYKRWKIRPWAGYASILQLP VWLAMMECLRRMVGMSGGLLSIIQSWIESKVDGAPIIEVEPSMAMEGALWFPDLLVAD PIHVLPVVLAASMFTNVTWGWKVKSAAEISKLPRSEAIRERAFKILKRFLQGLSIWLA PVMIYSQAPAGLLIYWISSSTFATAQTQIVRRVMRTKAPPAPCREKSVGSIHDKTSTA FT TRV_07803 MIFRNLSLAFASSLVATGAWYAYTGGSESSAVSGTSTTLSSPIH TASNAAITSASSSGVQVESDEFYTTASVDQPVSKRTDNSGERVVGMLTPEQATERLRR NEESYFIGRGSGVVRYDVVQLPSNDPIEDDHAEKVVEVPNSVAATDNGAPTSDWNFWG VFDGHAGWTTSAKLRQTLISYVARELNATYKSAATNPSFPFPSPEAIDAAIKTGFLRL DHEIVIESVEKVVKANSKTVAAELLAPALSGSCALLAFYDSRSKLVRVACTGDSRAVL GRRSPSGKWSAIPLSEDQTGGTESEAKRLRAEHPGEDNVVRNGRILGGLEPSRAFGDA AYKWQRKTQEKIKRHFFGRTPNQLLKSPPYVTAEPIITTTKIDPSKGDFLVLATDGLW EMLSNEEVVGLVGQWIEHQKSGGKDGMGNWMKSWFSSNGSLPVEMDSKEKQSGQRLPI RQVQYEIPQDTNRFVVEDKNAATHLIRNALGGKNRELVCALLTLPSPYARRYRDDLTV EVIFFGEGENNGSVSVNQDASASIHKIPPRL TRV_07804 MSSRALRKLQKQKEAQQDIEAQNDSGDSEQDIPVSRPKINAFDL LGDQGGDEDRDDSLESELERSVTEGDHNLAPPLEVSKATSGSKKKKKKKTKKQQSKKI TGPAEANTPEPQAPGVDEIDLALEALALKHPTPEDQNVQPGRDLPGSSEQGLCALLEV DAKKLVAMNEMKRLFGNAAVESRTSPSDSLPGNRRRERNRRALDLGAALTGRYSPASR GQDLSGVALRKNVLMQGKAEWPKATSGGLGMEVVQKSPTGVMEYEILHNIAYKDVQRQ FDMCVESMQPERMIELLQYNPQSSFGNSIKEGKARLDFTIKENREVWLAGWRYIINLG MKGTWKTAYEWTKFLLSLDPDDPYCLCLIIDHIAIRARELQHFVDLCLHPSFKKRWHL FPNIQCSLALAYMYLRKPKECREILHSAMPKYPWIFCRLTQELNISPVPKAIWGAQAP NTAHDLLCELYIARAKDIWNTPEATSLLVEVADSIPTTEKPPQAPEISQNLARHVILS DISSVTTHLPRHFTIRQISASDPLPPNQVGLSTGRAASMMDEVLQLFGVNEPDHIQTG RHDHRQIDDEENTDSGEELDMAGVYLPPSQVPEWLLAGGQEELAEFFRINGVDPGNWE EGVNMLPIQRWVRTLQRLDRQDWRRTISTVAASLGSSTEMIEMVLLEELEQQTSAS TRV_07805 MAVPLTHREAASGILGSISLACWIFLLVPQLIENYKNGSAKAIS FAFILVWFIGDVANLIGALWAKLVPVVVAIAIYFCIADGVLICQCIYYNEKVSREMER RISRHTAYEDDGTHVPQPTTPLLSRRMSENLGQSGRRRPDGNSLNHDPLAKMLAEDEP KSTWAKNILSLLGICTAGIAGWVIAWKTGAWQPTPIQPIKGPAEMAVGAQVAGYLSAL CYLG TRV_07806 MSSAELAVSYAALILADEDIEITSDKLQTLIKAAGVTDVEPIWT SLFAKIIRAYAEVCSGELVLIGTFFCVGLKALDGKNLKDILVNVGSGGGAPAAGGAPA AGGAAAAEAAPAEEEKAEEAEESDEDMGFGLFD TRV_07807 MADSPAVFAPVDPAEQPILERLITIRDGLVLIKQDKSTYVKSHD VIPFYDQAIEQVQILNQHRAGRRVAQNRVDNVLDDCFQLISLLFLTVGKNNEAPAVEA AFFSQKDLDSISGSLDGVESNIRRGKDTYSPHLLTLLETRLETCRSQLAELQHDLSLL SPELTPTHEILVSILRSTSAANTRSKFSASEVLGFKDQLNAIKSKMVDGNFVAANGSI PAGQRIVKDLLEKCFKWSDIVLERQGQVNEAFLDYYNQLIDIRNQLDRLSMTHAWSLR ESDLYMYQRKLNKIDECRVDGNFLDASGKPADLHAQRTLLYLIRRSYALIYGLLVSSE PVSEALLPIYNQLQTLRKCLIEVKESGGVSSARELYPYSMKLNSIDNMRVDGKFYIGS DLPEGQGGVNELLAECYDLCYDLRADAEDIASPQS TRV_07808 MGLKILSKLNEVRRVLGASRPLTLAEKNLYSHLDNVEESLLTDT QNGTQVRGRANLKLKPDRVAMQDASAQMALLQFMSCGLPSTAVPASIHCDRMIVGEKG ADVDLPASIKGNKEVFDFLESAAKKYGIEFWPPGAGIIHQTVLENYAAPGLLMLGTDS HTPNGGGLGAIAIGVGGADAVDALVDAPWELKAPKILGVRLEGALSGWASPKDIILHL AGKLTVRGGTGFIIEYHGPGVETLSCTGLATICNMGAEVGATTSLFPFAPSMIPYLQA TNRSEIAKSASDIASSGPQNLLRADPGAEYDQLITIDLSTLEPHINGPFTPDLSIPLS AFADTVREKKWPETFGAGLIGSCTNSSYQDMTRSEDLVKQASAAGLRPKADFFITPGS EQIRATLERDQTLSTFASAGGTVLANACGPCIGQWKRTDGVKKGELNAILTSYNRNFR GRNDGNTATMNFLASPEIVTAMSYAGSTTFNPMTDSLTTPSGESFKFTAPVGSDLPSA GFAAGNPDFQPSAAVPDPSAEVVVSPTSDRLALLEPFDPFPAHDLSSLRVLYKVKGHC TTDTISAAGPWLKYKGHLPNISANTLIGAINAATGETNVAYDVDGKTYSIPELADKWK NEGSQWLVVAEENYGEGSAREHAALQPRYLGGRIIVAKSFARIHETNLKKQGTVPLTF KDKADYDKIDACDEVETVGLYDLLNNDGQGEVTLKVTKKPSGETIIIPVNHTLSKDQC GFILAGSALNLLAAKSR TRV_07809 LTPGKRKNKGTNHPSYFLDAHHQQPRHTTGSSSPVKYQEQRSVS VHTIIICLLLELVKGAKKLPGCLATGARDRRLPQKEAKMSVLPQEVHTALSQLLLGLS SADNGVRAQAEGQLNNDWVQNRPDVLLMGLAEQIQGSEDTNMRETAIAESEVLIVSNL QGRSLAAVIFRRMAAKSIKNPSTGDPRELFFSLLPDQRVAIRQKLLEALSNETFAPVR NKIGDAVAEIASQYSDQEEPWPELLSVLFQASQSPVSGLREAAFRIFAATPTIIEKQH EDMVQGVFLKGFQDDHVSVRISAMEAFASFFRSISKKTQAKFFGVVPELLNILPPLKE GDQGEELSKAFVALMELAEVNPKMFKGLFNKLVKFSVTVIGDAELSEQVRQNALELMA TFADYAPTMCKKDPTYAQEMVTQCLSLMTDVGQDDDDAAEWSASEDLDLEESDKNHVA GEQCMDRLANKLGGQIILPTTFNWVPKMMNSASWRDRHAALMAISAISEGCRDLMIGE LNQVLALVIPSLRDPHPRVRFAGCNALGQMSTDFAGTMQEKYHSIVLGNIIPVLTSEH PRVQAHAAAALVNFCEEAERAILEPYLPDLLQNLLQLLRNPKRYVQEQALSTIATIAD SAEAAFGQFYDTLMPLLFNVLKEEQSKEYLVVRAKAMECATLIALAVGREKMGADAIN LVQLLGHIQQNITEPDDPQSSYLLHCWGRMCRVLGPAFVPYLPAVMPPLLQVAASSAD VQILENDETLRDVEQDHNWELLPFKDKIIGIRTSTLEDKNTAIELITIYAQVLEGAFE PYVVKTLEEIAIPGLAFFFHDPVRVSSAKLIPRLLNAYKKSHNEQSVEFQQMWSSALL KIIEILTAEPSIDTLAEMFQCFYESVEVAGKNCLSPQHMQAFIESSKSALEDYQKRVQ KRLEDKAELDDGEDDTFSFDYEMEEDQNLLSDMNKAFHTIFKNHGPAFLPAWEQLLPF YNSFVTSDDPTQRQWVLCILDDVLEFCGDQSWNYKDHILKPLIDGMQDENPSNRQAAA YGVGVAAQKGGEAWSEFAAGSIPMLFAVTRFADARSEEHVFATENGSASIAKILHFNS SKVPNPQEVVDSWIDTLPIENDEEAAPYAYAFLAQLIDQRNPSVLSKAEKVFTSIVQA LEAETLQGNVCTKVVEAAKQLVAATGINADQILNIVRPENQMAVRRHFQ TRV_07794 MARFDNALLGHRIFIFTDFWVNWNPEWFPYTKSLYMGVSWRGKY AKDNRRVKPPSQCIYHHEDDPVKDGGWPTAIFTFIESCQSWYEWLVREEPAGEEEQCQ GKENKGDQRGRIGSDEAHP TRV_07795 MPRVKAITTGSVPSFVDVILNFAESDTTSTLAHQDTWLAQLRAK PGGRLVMYGDDTWLKLFPGMFYRHDGTTSFFVSDFTEVDNNVTRHIPEEMGNADWNAM IMHYLGLDHIGHKAGPRSPHMIPKQKEMDSIVKQIYSSMEKEAQLSSALLVLCGDHGM NDGGNHGGASAGETSPALTFISPKFQDMGLVKAPLKPSPGEFDFYDIIDQSDIAPTLG GLLGFPVPLNNLGVFIPQFLPLWKKGEERLQLLQENARQIIKIVKQTYPGYRFDSTTA QLTHCDGSPNSEIAELECKWQRAQQMISQAAEDTTLSPDIEQPLIDFLRTAQTMMSST ASNYNLSRLYQGIAFSGIAFLLSLYSCMQKGRNGTAAVGYMFLVLLGYGALMFASSYV EEEQHFWYWMASGWIFYLYWKSSNNHKVKSGYVGAFVLATLTRIMRRWNQTGQKFAGE PDIANTFFRDHPNVMWLLILFTYTDLYQRLLPNTSIADPTNKLLSLLYLPLTSFSFIF KVVFTDADAPELIRNIPFLPFLIRGVRGLSLVFQARVVLIGVLVCSLYAIYLRATRNS NRTGARRDIRGTFHTLLSLFLITQSRATNIPLFALFRIQQQVLSSMSLSTTEITVTSL LFQYASFFALGGSNAISSVDLSNAYNGVSGYNVGVVGILTFIGNWAGPIWWVSATHHL LSITRSARQNQPNKHIQLLTLFIATSLLAVMIACTALRTHLFIWTVFSPKFLYSMAWT LAQHIVINILFGEHLLRWLYN TRV_07796 MSAQLTESLPFTASSDVRSIHRTLHLLYHHNKNQHRCTKWWKWL NMLRRWTLNLACEIEKIEKFEDVLGADGSDEHPFSPVWKIMRYLHDELIPRCYRAFST VVADIQFCSLGITLLATLAEVFKVVQINKGELSNIARELGDEKTLETIPRSASNVTVA EDFGEVIRRSEVGSHIKTTVDSTVSTDGEAGTKKNRKLCLDESMKRKVDYDTAMTASL GVADSSKEKKKKKKRKVNAIDDIFAGL TRV_07797 MKHPPEGFTHVEILAAGARKGATADVGAWSCALRHPLRYMDLTP IKGTRPSDEFLFLFPFELFKDASLNIITVKVKLEETIYTNGLHDQQPVEPYTDEPSDE PPAYTDAIPGSSSQVQVSLPDLSTPGAYVNPYQEVIGSRVVKTFSGHESYLVSVHPEY TNTPSALYNAIYDQACVAPTVLISVTGTHTVKQRDGKKDSSSKVTDFDFRIETRGTIL PLSSYDLTRRPLFDFYRELNVVRDNDGQSAYRGGRFRCKSSKKYKPTGAGDLEQAVMD GEQTEAETSLESMLQEWCTRYCEDKSGVKSTVEELNMAIIKNEITSIIRSTNYRGTIS VNQVTTHTALTIYSPHWINKLRTNRFVWWVVVILQLWIMTWPIIVLLERRYEPVKAQY YVRRDSEYNPPFKGEVEWTKLFARAISAAALNRRKDEIVTTADVQRANDAALNGRREE SAAERDRRARLNSGNGSFMDSVIGIARGVSELRSEFDHARVHRVFRNLKSKCAFERCH TSLRCRLLRRVQEQASNNYNNDETKEKQKQTNVPSSLRDMVMAVVIVSPILDTTKCAN CSVGDNTCLRREKKKQEKRETIPKVLNAGRQAQDVLFLFSQGDEWSSQWSFAKNFKAM QDSGVGRTKKARLESKKPGS TRV_07798 MAGTEDHFPGPDNPSKMRRSVENAEHVGMHILPIVTFMQYLTFL AGLFAPRVGQQYRDEQRRRRSQFDRGTTAAERESHWTRDWPAHSDRMEQMRPSIMSPW YEPAGGSRHHHHLSG TRV_07799 MSSPTFIQDQNPANLADLTKTSVPDTPGLVSAFTPRGSVDFGPI GGARVSPPSSASSKYIPAPYAMYYQQGHFDAENRNRAFVIEGVPVDMPYHDIAAIFNH REFNTVKGPVLSELASHGRIYVGFTDIYEAKMAAVKAKSFFPSWRFHALTAKEFTQKF EPSLAPTTSDYEGQIFATVYYNGGPEETDGRIISHSFKTLLSTYGEIKAFHSIPTDHN NIVNFHVEFSDTRAADNVVSGLNGSSLPECVIDVKYFKPDVTYHAHAHHPVEEPVPRT SPIIMHDRTIPMARRRSFASPNPYMELSPTGRSTIPIGDPAVATWNRRSDECHNFRSR HGSGRNRNSTHNNMNQNHVDIERIRLGLDVRTTVSVFLFPFPMHCLTFELIQIMLRNI PNKIDQAMLKDIVDETSHGKYDFMYLRIGKKPTFLSSSVYIS TRV_07800 MLWRKRSESRLWRAIARISLLGRPRTACEFRHFVLTPVTSQDID IYEAAGGEDEDEDEDEEKKKKKKKRAEEGRGGGLSRLDGGRRLGGRRAKAEADVEPLF FLPAASPSFLSRKEEEEEEKRRRRGGGEEEESAGRVQEVSAYQALFSEKEGEEEKEEH RRAETGHRQRGRRQKAVEAEEDEEEEEEEEEEGDGDGDGEGEETYFLGVTEAQTVTVY SRDLVARHTREDICVSSVIIHINYWYIEDIKYLG TRV_07773 MEDVVLRTYVQSEVYYFLFFIFLLSPELKYEPVRTDTLLWNGEV TGFSILASVTWAISHNRLTLNKGIEMAISSPMKEEQSPIESFTAYQI TRV_07774 MVKAGELSSVASPASSSIPVALVREFEQDWLPVAGWLALSPSLA RTPASAACRLLSLKVPAELTPSCAVVICRAVAVVRGDSNVKGTVTFEQESEAEPTTIS WNITGHDPNAQRGFHIHQFGDNTNGCTSAGPHFNPFGKTHGAPTDEVRHVGDLGNITT DAQGNAVGSVQDKHIKLIGEHSVVGRTIVCHAGTDDLGKGGNEESLKTGNAGPRPACG VIGISA TRV_07775 MSTSLDQLKASGTTVVCDSGDFATIGKYKPQDATTNPSLILAAS KKPEYAKLIDAAISYGKQNLWQGSNIDEQVDAALDRLLVEFGKEILAIIPGKVSTEVD AHYSFDTQASVKKALRIIELYKSVGIEKDRILIKVASTWEGIQAAHILRSQHGVNCNL TLMFSLVQAIAAAEAGAYLISPFVGRILDWYKAAMKREYTAEEDPGVKSVQNIFNYYK KHGYKTIVMGASFRNTGEITELAGCDYLTISPNLLEELYNSNAAVPKKLDPAGAANLD IPKRSYLNDEALFRFDFNEEQMAVEKLREGISKFAADAVTLKGIIKEKIQASA TRV_07776 MSGAQPRSRVSSSTISVERLTPKLQPAIDGLASCCHNPSGSIEE ASGATEHLLLIHHTLIDDHQPQEAKSLFRQLRGFENVLGLLERLADLYKPDTIGDADG KLLLSIVKDSLCIVAESLKDDPGNKRYFGKRDNVAGIIAYERILSGLAVKLNNTQDVE LLYGGLLAAGLGNETMSQFFSTVRKKAEDAESNTLLSLSELVNRSLGTGEVVENPEFF GPLLRLWLAQTAEQETYPVLRLSVPLCLTRLASLSQRNCLALHSTGILSPLLTLVTVP ERSKEELEAYLKLTTILCQHGVNSLQDAIQLYQKAQKAPQVSRFLLDALKSSKSPPCV HFDLSSHGYSSIELPTLGRPFPSITSSGYTLTIWARVDKFEPDTHTTLFGAYDATQTC FLLVYLEKDTHNLILQTSIKGVRPSVRFKATKFLPGQWYHICIVHKRPKTLSLSRALL FVNGEFAEQLKADYPVVPRLRVNQKNPQIQAFLGTPRDLAVRVGKGVSSSKWSLASAI LFDEAFNDDLIAVLCHLGPRYYGNFQDCLGSFQTYRASASLNLRNESLHAGKEDQSDI VSVIRQKGSILIPESSVLLNISPTSVMDDDDTNNIDESQLVKSLSKTAGKNLTQLTKV KGNSIVINGAVPAINDALTQPHGVAILTGDPVVIVPQSLDDVSWRIGGCAGVHLSLVE AATTRESLHLALELLFEAVQDNWRNSEAMEKENGYGILAMLLREKLGFPSPVQSSPLK TSPVCSTTQERNEASMELLLPILRFVGYDFENPKKSLIINPLAYRILLIDLDIWRQAD LPLLEIYYSQFRTFCVESHYHRFNSKRLSRMQALKAEIFTAATLKLFVAAFKSLVGAC MTAEVLRSVSLFITFSIHSAKQRVNKTNKNRNTRFDIRSRRTGNLYSDHVPGYVSKEQ IGVEILRVFTEVFCNSEDTASIQKFARTVTNKWLLYLLSEDNPDVVILVTMILSRLFI VHGTAYTKKFAEKAGGFVVMRHRLKRWWNLAPLWPLCFSILFGLDPGSINLDKTSGTS DLQRLLSSPEALKVSFPEVLPVITGMLQLGLKASVMPRSGSPSSHAEDDDGVTPGPIS SDHDILKSVVDFLARIHEKSQNFRDFTVTSNYVEELLRALYPVVVGSDIVNAGFELES NSEGLRFGGDSVTIQPLQGARPIVRTSTVEGTDAQENDNELHRSSSFILVSSNKSKYS PSPARLQQIVTPVPDAASPRPSNPVANDILDILLAVYTDQLCLLGYLPEKKISKDKKV LVEPRVLNNLSRLLSYVAEAVYGGWFIDGAMATLNFIGPVLEYLQEPDIASLKSVRLC SQTIAGIRSTVFRTVLLRLSAAEGENAYTFLQQLTYWQTVLLSHEESPNEQLQLIYYL LYSKIVTEKENVRVAAAGLWRITLVQKPSETSAILGQATPSLHRRLSLGFQKLVGMDD DSFLHWIDDQRDDLDCFSFGALCKGWESFVRDENTKTQETARIRASKRKEKLKQWTQI ESINEEILRKHGITFGHWTANISVSEGLKHQRAVQDQQDDFNFMASAFSRMYTNLRRE NGFLATQSKHNWRLDQTEGRSRMRLRVTPDDSTAKRDYQPKRKVTNDTPEIKLDIRTR PAPDSDMINLSPPTIVPDSVDTESLDNETEDKSATEDSFELIDEPTGNDPYEDKNRKV MKNLQRGDQVQYVCNISRIIGLEACEGLLILGKISLYIMDNFFQRSDCEIVHVSQAHP DERDPYVRVISGRESDDRKHNNGAHRSRSWIWADVVSVSKRQFLFRDVALEIFFSDGR SYLFTLISAKLRNELYNELISRAPQIHSSTSSTEDSWRFETLRSEGNNSQFFGSKLVN VFSQMPSHPATRKWLKGEMSNFHYLMLVNTLAGRTFNDLTQYPVFPWILADYTSEELD LTNPRTFRDLSKPMGCQTITREADFRSRYQSFAEMGDENAPPFHYGTHYSSAMIVCSY LIRLQPFVKSYLLLQGGTFDHADRLFFSIPDAWNSASRLNMTDVRELIPEFFYLPEFL SNSNNYDFGIRQSTGQSIDSVELPPWAKGDPKIFIAKHREALESPFVTRNLHQWIDLI FGAKQRGEAALEAVNVFHHLSYRGAKDLDSIEDPMERLATIGIIHNFGQTPHQVFHKH HPGREEIQNKPIRLDSSADSLTRLPFTLLDIQERVASLSFSVKQDRLLCAAAFRLNIP PTYDKYMEWGFSDGSVRFYAAESRKVQYNLL TRV_07777 MCWMTLAMVMMSEEEEEAAETKGLRRDDDDGEEEVGGWRLAVGG RRFALEVPEVGADDGVTGCYLATEIRDRDRDSQKGGKLRVVISGDSSSSSSFAR TRV_07778 MKGLLSLSVLPVLAYASPMIVDSIHQNAAPILSSTNAKDIPDSY IVVFKKGVTSTSALAHQNWVQDIHTSVESKRMKKRNQFTFKNEAFDGLKHTFDFAGGF LGYSGHFDEEVIEQVRRHPDVEYIERDSEVHTLKAATENGAPWGLARISHRDKLNFGT FNKYIYASQGGEGVDAYVIDTGTNIDHVDFEGRASWGKTIPQGDDDVDGNGHGTHCSG TIAGKKYGVAKKANVYAVKVLRTSGSGTMSDVVKGVQWAAESHLKSVAEAKKGNRKGF KGSVANMSLGGGKSVTLDRVVDQAVAVGMHFAVAAGNDNADACNYSPAGSKNSITVGA STLADERAYFSNFGKCTDIFAPGLNIQSTWIGSKHAVNTISGTSMASPHICGLLAYFL SLQPASDSAFAVAEITPAEMKENMISIASKDLLSDIPSDTPNLLAWNGGGSDDYKKII GGARENDTTEFSSTLTEKLEKLAEEGLTAIYNELKDAVVA TRV_07779 MLQWRLLLAGLYPVEFRDFFLGDMYCSQTYAMGNIALFFCLYAN KWDNPPMCNSSHSRVFGFVTTIPSIWRGFQCLRRYYDTRNAFPHLVNFGKYSFSILYY LTLSLYRIDKSTTLRGIFITFACLNAIYASVWDLAMDWSLCNPYSKNPYLRDFLGFRR RWVYYVAMVIDPILRFNWILYAIFIHDIQHSAVLSFAVALSEVCRRGMWTIFRVENEH CTNVGRFRASRDVPLPYDISMTVSDEEAISSIPGASTSYKGRESRGSLPHALGRIPTG GTAVSSGAASQMPDLESGDGGDESTSSLRRRRYSQREGGTPPSGTLARVGTMLATAHA QDFERKKRPSILDEGKDSPNNGTSTDEEEEEEEEENGGNDNNNNGYEGHISEESDQSS GNREGKSGSNSGTYSNRASRHAM TRV_07780 MIPRRSFQHQQEDKVCFPSSLPGHPASHIVRFLLFRTRRTRRLF VGPIIPARGFRLLAPASFPPAKQQPQSQLIRIHPACVVVAVTASFLFFSSSLVSYLSS GICFAVRRLAVTASESKKEKTPSKEAEIASFLPLMSQQTRGSLPKPVCTFVVEAQRWK DLYIKRHRRGRPGASRDSDLLQELFILQSLQQQLAMNLPRYTPEDEVCKVSPTLRRDT WLLVTFDRAGGKKKVKAIARALRHVEQASWTPGRRRFSQISATRRDSIQFPLTPNEIP GLSSVTQRHAGDWANQAAPERSPLRTPASRFDQTEGYGSIVPPRPSHTVSPSLAPSSL QLPDPAIDPEDNYFTPRNESSTLHNDGLLAPYTNRQKNLRPGQNTQSGRGSLRKQIRD RRPTIGTPGPRPSFIHRMFSSSPEYENSKTQAPAREQALAELKTKEAEFFAFMDKELS KIETFYKLKEDESTKRLQLLRDQLHVMRDLRLEEIRLKKNQSKSESEEATNGIKGPAG QTAATWTRPLARGRGSHIGKTTKAMAQLSTPSGPVPRAMPDEQRDFVTRKEYQSVPYT SAKRKLKLALLEFYRGLELLKSYADLNRKAFRKMNKKYDKVAYARPTGRYMTEKVNKA WFVQSDIVENHLVAVEDLYARHFERGNRKAATHKLRGKAGIPTDYSPNSFRNGLMLAG GLVFGAQGLAYAIGHLFSDEIDVKTETSYLLQVQQTDTSTMGDLSNIYFL TRV_07781 MDGLLINSEDKITSSINQLLEKHGRPPFTRSIRDRLMGVPGSTN SDMFHDWAKLPISREEWALESAKQMQLYFSNCMPMPGAEQLVYNLSRAHSAASGQRIK LALATGAKRQSYEVKTSRPETKRLIDLFPTEHRILGDDSRIPKGRGKPAPDIYLVALQ ALNSAVSFGERVILPSECLVFEDSLIGVEAARRAGMRVVWVPHPDLLAEYHDQQKDAL ITSTGDFQTGNDWQPGEMPNDWGETIQTLEHFDYERYAIDLSV TRV_07782 MKLSHLASLIGVVSLCSASPLRIEKRDNTSISAIQLSSDKPFHY ELLRDLSLVPYEGSDVNEVLLAASEIKPGDFESYYTAFNKLATRVHQQAKAINITDHP ISARNTFFKAATYYRSADFFLRGNWTDSRVYSLWDKHLEAFNAAISLLPVPGQRVTLH AKGDDPNSNFTIPIIFFDCGLPGPRPTIIMGNGFDGAQEEMYHVLGKAALDRGINVIT YEGPGQPTVRREQKLGFIPQWEKVVTPVVDYAITRPEVRANAIGLMGLSFGGFLAPRA AAVEHRIAAVIALDGIYDFGESNLKPFPPKLKELFKSGPENAKLFDSILGKGLAEPST DTFTKWAVQQGLWSFNTESPFEWLSQTQKYNMDGFAQNITAPVFVGDAQNDQFFPGQA KVLADRIGSKLATYHEFSTADGAGEHCSVGASVLANQVVLDWFEDVLQH TRV_07783 MTRKCDETKPVCRNCERVEEPCIYDRLPKDESMEAGEPAETKDR RILELKLMHLYITETGPTIAFDPETSYEVFVDALPRMAFQSDGLLYSIYAVTSLHQAR KLPSTISESSMLEHHHRYLQLAFKHHHKELISFSKEKADVIMLTTHLMRLVAFVMLSE RILEPYAPPIEWLRITSSNAKFFQTAMEAIGDDPSTCTAKLIRSTPLTLDEDSAQHDF PDPRPSFEHILTARSKDPDDNDDPAFWDDGVRVAYEGAMGYLGSIFRILHNYDGPPGP IRRRIVVFPFIVDPRFIDLVAEGRPRALVILAHFFALIVFLDTYWFVGNTGAREVRAI AAHLPPFWQGLMGFPLYIVENGFTCKR TRV_07784 MPAIPKESDFPSSVSVTVTPPDSPRSTNVLIILHGLGDTPAPFT SFASALHLPETSCVVVQGPVPLPFDLPGFHWGDDIVFEADSLDQDPGFTRATRMIAAD IIRSTLIDKLGYKAREILLFGFAQGASVALSAALEFHLSETGRGELGGTVAIGGVLPL SAIKERGSRQNKSPSPVLVLGGHGAQSAVTDVGVRRTKEEFEYVDVVRWRSKKEDTMP RNRDEMMPIMQFFARRLRSTSGVPEGSVELT TRV_07785 MAAKELDYACFCGIDNFAKKIARDITDNPQLTCAPTPMPGKYHV SLIQRTPLLARRLFFCPSCSQIRQLSSSSGPAPTARSTIKRSASTLSGSSIVSATKNI PERFQELYKALEELKNTPGNHADLGRLELAQRGIETENPLIRIAVFGLGGTQTSARLV RLLLADPLGPKEAWEDQLDSRVNYDSGSFLIRYGDKYEVSPGNSLLPTVSIPSNLLRK ANLEILMSPLGTNIISLKEPIANSLQIATISIPSTDGSPATHIQYPVHRSIICGDGID GLFTFAKLTGRTDTGELGPVKAVFQAPLNKAPENGNGDVSFIDIDRAEVALDKFRESA QNATAYQEGWDGSRIQPIIDWLAAAPTDQVVSPDIRHLLDSVLNQADSKIKQHEKKTL ADVQARSVPEETRESLNAMISGWAERAHEELRTSLDEAFRCKSWQSLVWWKLFWRVDD VPMICSSLLQKQWLTQAEKEALWIGGRFQQARLLEDDSSVGKEICTSTTEDASPESPR SAPVFTPWRTQITESRNKLTASTIMPLHSLAQNLVMFSLSTTGLTSALSALTYISTTT TSLSEAGTFAAVGLIYSVWRQQKKWDLARRTWETDIREEGRKALRHTEDSMRMVIRDG NRPAMPPTETEVKESIKRVRNALSDVL TRV_07786 MEGKLVQAVTEKKPVPEIDFTLHTMEDGTQVSTQERVCKEVQAP AFNTPSNEQFWSSEDPTKPDLKFLKQHFFREGRLTEEQALWIINTGASILRSEPNLLE MDAPITVCGDVHGQYYDLMKLFEVGGDPSETRYLFLGDYVDRGYFSIECVLYLWTLKI WYPNSLWLLRGNHECRHLTDYFTFKLECKHKYSERVYDACTDSFCALPLAAIMNKQFL CIHGGLSPELHTLEDLKTIDRFREPPTYGLMCDILWADPLEDFGQEKTGEHFIHNNVR GCSYFFSYHAACAFLEKNNLLSIIRAHEAQDAGYRMYRKTRTTGFPSVMTIFSAPNYL DVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFTWSLPFVGEKITDMLIAILN TCSKEELEEETPLSASEAPPSPTLPSTDPESTEFKRRAIKNKILAIGRLSRVFQVLRE ESERVTELKTATGGRLPAGTLMLGAEGIKQAIHNFEDARKVDLQNERLPPTHEEVTKR AEEDRRQALERAAQEAANDTHLTNVARRISM TRV_07787 MFDSEKPHPILAQIPLTVSPFISLPTATTLPYTYKSIPSSLPPS VTADASGSEKPKYVVSSSGHAAHPADILATCQALADHMKKRQSEGEAAIKEWEESIKQ RDLAEKRRVAPGWLDREEKLLQPTHASGQASTEAHQSLLDRPVADQTNKDTSPAIEPR SEGVELDRAFGGLGLK TRV_07788 MAPKSAKAKSSNSGKAKKLQSHQIRQEPNLLIPSHKIIVGIDYG TTFTGEKPAYASWRSKLRGDKGPATTWPGPSRDTETVFKAPSRIAYPFENTRINTIRW GFQVEPGTVAYSWTKLLLDQNTVLGAFDDTSLENASKTGILKLPHGKAVVDVVADFLT EVRDHVFKMIEKQVTKEMLRITPIEYWFTVPAIWSDQAKAQTKVAAQRAGFAYNIERP SDQMFMITESEAAAIAALKKTATDGLGASVKVGINLRKDITTYLINEVDPVLSFEELC TGIDRNLYQLMSQRFGKAFDMLPAKKKGPGSEFMRKFEIIKKDFGFNIEEDRIHELPL NMIASTVKSEYFDAEERLVLLSSNDIRQLFDPVVSQIIGLVRKQIDDAEDADDGEINF SGCIHANMDKRIILVGGFGDSEYLRESMKKAFFGITITVPDNPQSAIVKGAALRGLLG LQATTKRCRRHYGFEWSISFRRGIDREKDAYIDKFTGGKMIGNNCKTENWRMALTDKA QGQRYTENHTNTVNYTKTHYSGSSVIKKFVLFSCDLKDAPERVEHTSIKKVGTISVDI ADVDFNLFQKKLIGGMLSYKVELSVKVIFGAQDGVLKFETTSQGKVVGKTTIDFTNTK YY TRV_07789 MNTSMREGASGPADIDMVSSSHNFKNESSDEFELEAVSLLSTNY PVEDPTTGPASSSGLPNDSGAVDAISKPEDHQQANSDETHTIESLRSRVRVLETRLRN QQLESHQEIDYRQELLSKLSDEVNFHKWRASGLRDNLYAAEHSLTHLADEIEGHKGYI KQLEQKIKFLTHDLRITRCGYEELQNNLRVAQEGTLLSVKRASWVPKDDGAVRNEFSK LEEKLKAWAKKNAVPDINALRPLSNEEKVEITDYLEKYIFQLNFDALLNKMSPPLMKR FPVLITHSMLAKYIFEEIFDNPFFALQHLDTNSKAVRGNAMFGLYKTMINGQQQHAYH IFEMQGKTNLVIVLPSDAHIWRSQMLRLLSTAPDSPSGVPLLSAQVFEKFSTKMAKNF LAGPIRPLLKANEAPEQQRNCLLQDLCHIFYTAAKLSTSLWCQRTNIDCRRTTLRKMK LFYHKNPLSTAHRLHHLDEDDDKFDGKAILAIIQPAVVAYGNEDAENYGKGKVWSPAI VLVDEGN TRV_07790 MEVFHEAPNTSSFVPLAEHQSRTPASFYSGPPILHHFSERCKVV ILEHDLLASPALNSLRWTTSEGSSNGTAQGERGVTAGTTVDEAAKDTKELSLPGVSVW VTSEYVSRSLGLYMQLAITSGGDENMDEDVDEDSISLTIIPLSGPATQPTDQETSAEE EDKKTQSQIEALFEAVSACSNLHPDPVDQDDEDRYEDADEGEEFHQQLQGSALFQNGL IFPGNNTGGLPPALPGSGGWITAENAHEYFDEDGNWKGGEEAPLGAGAGTVRPREDDD EGMNEAGASNNENGTSGDETKWRRTE TRV_07791 MMFLTQLVSALFFFLLSPISYGKPVGTFVLPLAQDAPIPPSEDP FYQPPPGYEETEPGTILRHRRPPFPISLFRSAPIDLAATYQVLYRSSDTFGHPTATVS TILIPHNANMSKVLSYQVVEDAAFINCAPSYALQLHSDPGGLFGTIIIQSELLLITAA LENGWVVTIPDYEGPAAAFLAYWRAGYATLDGIRATLASSGFTGVDPDAAVGLWGTSG GSVASAFAADLHPTYAPELNIVGAALGGVVPSITTALHSLNKGFDAGIIVSGVIGLSK EYTYMQPILESYLVPHLRDKFMSAGKKCSGAVSLDFRMEDIFRYFKGGEESGLFADPR VKAILDHNAMPQGVPSIPVLILKSINDEISPISDTDALVEKYCSDGVTIDYKRDLLSV HTILAVTGAPEAVLWLRDRLDGITVEKGCKTSTIFMTLLQPGALEVMSKTIIDNLLNL LGKPVGPRLRTEIAHVPPL TRV_07792 MGRRRGLSASLCLWLSGSTGSPTLKLRLPACSPAPARCCEALLH CWGFALAGNFLQRYHTTSTARQQHRHCTVTASSLHRHRSTLSDRITHGSMDGVNLVQR VSMSLRAGTALGRRDAQSHTEQEEYLTYAVFMADFIPFLAVAIYILLAVVIGWKYLGI DVEAYGGCYELEELEQGDDGGSRGEEEEEDDNDNEGIFLLHYIQSGQAPQQQERDQAE PVHKPPLDDSRHSLDNIITTTPNGISKYFHPTSGRLRDDIVHPTQTRLDRMLGDDEDE GHGSIAWYKALQRFSDKFILKAQAWLDKDEANIDRVEHRETSKVCGESNRVVRQILRE RREEGGYKDAEDNLH TRV_07793 MGIDTPSLKLAVDNAHVLLDSVTSRLLLQHCFNHGNLTFLRRDS AICGNEMVYINPLLDWNPPSLLFISTSSPKASKSAENTAKRALLHLVSCKHHCQRHSS VLPKDISLYLYIYKNHQEQVAKMPAQNNNTTTTTNNNNNNNNAGNNNAGNNNAGNTNT GNDNAGNNGLGNARRFILTLSRIDRVIIYDTRTGAITQTALSARRRLRPRNVTTPLRN ENRGRDNREEEEKKEKT TRV_07764 MVLLRLTVKVVPRAVEGEEAKDADGLKSTSFLMVVHRPDQMSLG ELAWRVSEQWKKLRPEAEPLVIKKLLDDNHDTDELDADLTVADVFIDYGKARADGLDQ RGALRVIQKPTSTRSVRYGSVVQDWDAITNHHSRRAARLSRRKLAHFPMASGLASASP VKNHVAAVNGGGIPLEVAESVHPLGSIEEEPAATESHQRREPSPALAERELTQETTDT NASNLRSSPLDAFPSSTRLPRHHRAELGKDGDMLIPGVHTSLLSSEWPLDIYFVLPFT DFKYTDQAALRNSKGAKTSQSAISGRPSPSAARQPKRPRTADSEELSGNTSSLAANQR YPKHAKRQKIAETPASELEPEDYEMLDAHPPRASIEKSQTLPYAHKGKTDARQNSQKA LREGPNGAPAVIDLTCTLCTTESNSKPKSNQIGLGITASPPKKRTVDKLDIHEDNSSA ILTPKASGHPNRPRSSDMHQISPDLTQDAPSSARLANNKKAVSSRDAKADARKKTTMQ SGKAYSRDFSSVTAVNRKWTDKFDCRVAGYNRERAISHLDDIVQAAKEVDDSQDQIPR LEKILDELDNLPNYAGKGKIQVRKNAHRRINRLLETLEVMGQPRLSREEPQHHEDEVD EESSGEENEPRSGGSSSTENDSEKEVDEKSTAAKSTAVNTDEKKGASDGNGSESERVH SNEENYKGRSDSEDGSEKDDEENEEEKQDRMRLRVCVSPSLSDPGDESLSATGPRRSS KGTVRDKSPSVYSVPLSGSEAPEPESDKSESGSDSGSEEDEEDDESTPRAKVVSKKTP SQESSAASESNELESVGKGNTSESRATTPSEKDTDIKPNGTQQDQVEREESERSGRSS KEKEAIESDEDGEEGKDEKFEDAKEGSESDEESDSAGEEAAASNADASKMPAAKKQVT VFEDSDSSSSSESSSTSEGSDIQYRKPKPKDDGTEAAQQPATEQDMQNRLPTAPTPRK KKVHVLKRSQTPLHRPFRPRQDSAHNKTNPASSPLVEDKSNRPEFITFSQPTPTATSR SSAVANGTRPRSTPTGSNPERSNNGLKRLLKGKSTRNMFGKPSSPAI TRV_07765 MDLDLCFTVVQPAPDGYESAVPLVLIHDGGGTSVNYYYLHSLDR AVYAIQNPSFYSGEPWEDGIPEMGATYARLIRSHVPAGPILLGGWSLGGMISLEIASI FSRQSSELRVLGIVMIDSVYPLAPKPAGRTIVPHKLQFGKYTKPETQRLSSNCMAQAV QMAQTWTMPVWRGCSDETEYNRRAAFEKELSQKMKSKHPESEEHNEISMRDLAALPQA ILLRCNETVPVSTPEDPTAMCRVDVARDSEKLGWEQYGYDFISAVLQIPGHHFNIFSD EYLDDLTSRIKQKPKDIEYKWQIGKVISLFYDIFNNDRTAGMLPSRQIEELSTSPPPT LEWQYLNQKPQVRG TRV_07767 MPGFRAHFPTPPTGQDMPVNMDIHSILPMHLFALIVVSVFAYVI GSVVYQLHFSPLSRFPGPKIAAVTYLYEFYYDAILKGRYIFKIKELHEKYGTFIDKEY TRPRELHINDPHFYNVLYSHSNPRDKEYYYLKPFDFPLSSFGTESHQLHRLRRGAMNP FFARGRVLQQESLIQGLVKKLCSRIENFGAGGGTVPLSLGYTCLTTDLITTFTMDRCY GYLEAPDWYPNWGQVLRDASEMSVVSRQLTWVLSLLKMLPKSLTMSLNPGLKLFYTLA ERCRERIDEVQYERQREQKGSEETVGRKKTVFDQIFDSRLSGEEKRPARLTQEVRSII GAGTETTSNCLSVISFHLLRNPEKLRRLREELREAEPDPTAEIRLCQLESLPYLSSVV LEGLRLSYGVSTRLQRKSPRDVIEYGKYAIPPGTPVGMSSVIMHHSEEIFPDSYNFVP ERWLDLQERKYLEKYMVSFSSGSRRCLGMNLAKAEIFIAASTIFRRFDFEMCDTTLDD IQIHHDLFIPRPKNLKTIGVQARLKGKLVASKISEK TRV_07768 MPDIQFPGAVIPLQRGERRGQDHGLEPADEMLPADAAGRHEFAP TTRRRQLAVLICSFLAVAMTIGPNFSYGVFQEYYVTSTDSVLDPSEAKNRAAVALVGT LGAGLTWSGSIVINPLVSRVSGNANQKIATAGCCLMSASYALASLSQRVSESKQPHPT PYLLALYSTSNVLSLSLSPCIPAISI TRV_07769 MALANLVITLPVAISAPPSRSMARRPTLVNIRLAKKPAFILQSL AALLQASGNFVPMTFLPEFSTVIGYSVSFGATLLAVNNGINAVSRVLMGVTADKLGRQ NTLVLGVAGSAASVWCLWLAAAVNGGKAAWLTFVVVYGILAAINPPSPPSNRHNCSGM TANSSVIGAFNALFPTTITEIFGVHAYASVNGFLYFVRGLGALFGSPVAGFILGDSRV PSPGQETSTLLHDYRNLIWYDGGLLLASSLCVIGVRGFDALEKRKWAWRA TRV_07770 MEMLEKKEPGLAMDNGGSGKENDVVSDSTLETSPALGFWTRMGC TPESFKQRTLEDRHNQFNQTLKSRHLHMIAIGGSIGAGLFVGSGSALRAGGPGALVID FAIAGMMMFNVVHALGELAVLYPISGGFYTYSARFIDPSWGFAMGWNYIFQWAIILPL ELTVAALTIGYWRVNVSVAVWITVFIVGIIIINLFGVLGYGEGEFWASSLKLVSIIIF MIIALICVLGGGPSYGKYNEYWGARLWQDPGAFANGFKGVCAVFVTAAFSFSGTELVG LAAAESKTPLKSLPSAVKQVFWRITLFYILSLVFVGLLVPYTEKRLLGSGSLIDVAAS PMVIIAKDAGLTGFDSFLNVVILVSVLSIGNSGVYGGSRTLTALAEQGYAPKMFRYVD RAGRPLVSTVAMILVSFLAYINITASGEEIFGWLLALSGLASLFTWGSICYSHIRFRA AWKLQGHSLDEIPFKAAFGVWGSWFGLIMVGLVFIAQFYTAVWPLGGGVNNAKGFFKT YLAVPVVILFWLCAHIWKGKSWLKLRDVDVDAGRREIDWEAHNHVMEKRRTASPWMRI VYFLF TRV_07772 MPSMPPFSRREKIATYEKVCWPIVWEEQRINSLDGVEIALCVGR NVAIMTGKEEKQVKGPEGEVVILYFQGNGSSIPPRLPQLSAVLKALDERPYTLIAVSY RGFWTSRGRASQRGIERDAVAALNWARKTYLRPDTRLVLWGQSIGAGVATFLAASHHR QHDCLRRSEPPALILETPFVSVRSMLLALYPQRWLPYRYLGPFLRNWWDSEEALRSIS NTRPNGTGRRKVLVVSAEKDELVPSEQADAIEKLCTGGGMDVSRTRVRGALHTEATFR GDGRNAVVSFLKRL TRV_07759 RPGKPGETLPSPLSRCLALLVLFLSLALRARGWRAGGDSRPSRR RLLHKAAVPCLSFSISLSCLFPLFSLSLSLEYPSVYLVHLPRLSCKMARLLSFLALVA LCLALVSGEPLRQPLLRKHHPRDAAEDNAVFSLHNLSLKLFHKRAAVADPVPGAAGAE EAARRKVLRRQNTGDIPTATPTGTDSDSASASPTASPSPTASPTASPPASPTASPPAS PTTDGSSSSPADTPTKPPTNSPTSNPPTNPPTSSPTNPPTNPPTNSPTSRPTDSPTAS PTDSPTSSPTQPPTRPPTSPPTSSPTNPPTSSPTDSPTNSPTSTPNETSNQPTSQPSM TSQRPTSQDTTLVTETSPSPTRASSETSRPATTEPPSTTTRPRSSSTSTSIGVVTEAD GSVHTYTSVVVVYPTPSDGGNGGSPASPTASPTLQNGAAPVASVGLTGVFAAVLGGAV GVALVL TRV_07760 MDAYADLWEKGYLLPNDEKEQDHMNIISHLFKLILGGRLFLAPL PPKMKRILDIGTGTGDWAIDMGESECVFYYGRVYAWLTLLADRYPDADIRMLCVPPNV QFEIDDVENDWAHHHPFDYIHCRFMASSIRDWPRLFRQILENLTPNGYAEFYDFDFFF RSDDNSLLPTHEMYINCAETTSAAEKIGQTACPGPHLAKWAREAGFVNVTERKMKVPV GPWAKDPKLKEIGAWNHVQAMEGMEGWSMALLTRVNGWSEQRVREHLVRLKRDYQDTK IHAYMTAYVVYGQRPEKN TRV_07761 MTDIPASTTKRLLRELKEYSKSPNDALLSLAPVSDDDLLHWEAV LKGVPDSPYEDHLRNAHLPSQHLLLDRRDLPDAAHVGALVARLHHLQHPVGDTPAADR PQPGLAAQRRRRGPVARRGPAGLDPAGEVLDGHGEVEQRPTATVESSSVKSLSAVSLV INVLAAPAAPWAPPAGQAEKREDKDRLSLLDWLLEAISTLV TRV_07762 MPRLWLGGGFSRQQTDNLMDTANNNKQQQQQLKTKRRRRRRRRR QDADVEGLAGGSKGGRELDFTQTGRQEGGGHIEDLLTATRKRKRAATRAGRAVKAKDN DVKAVKSVKEELGVDIKGPPNWQVMYETVKRMRERNPTAPVDTMGCSELYWRSSSPRD RRFHTLIALMLSSQTKDTVTAATMLRLHTQLTDETSDNAVAEVWDRDHQKTASTLTLE NMLAVSPERLNELIRAVGFHNNKTRYIKATAEILRDQFDSDIPSTVEGLISLPGVGPK MAYLCMSSAWSKHEGIGVDVHVHRITNLWGWNKTKTPEATRAALESWLPRDKWHEINK LLVGLGQTVCLPVGRRCAECDLSGTGLCIAEIKSKSRGTKKRISPVKADKEEDVDFPE VRESKIDVRVDKEEDIALPDVKKDALLEAEDTKSNIKLSIQEDVEDMSKFAQIQQRLL LAEHEDEIASSPLSSSSAAASASTRRALQNAGHALTGMVLTNCRTGMGGREVGEFGLN TALSGSGRAKGASKDEDNTRLGVHGITVGDIVRVEEIASGKTTAKSKAGQDDEKGQRG LEGVVTRVGERSIWAAFGDRGKGKQDEDGVDELWGRKLWLMNQTMAKLEKMPESEHSQ LIRVLFGHTTPSPLDFESVGTLEFMDASLNDSQKEAIRFALASREIALIHGPPGTGKT HTLIELIRQLVQRKKRVLVCGPSNISVDNIVERLALHKVPLVRIGHPARLLSSVLDHS LEVLSQTSEAAAIVKDVRKEIDSKQASIRKTRNGRERRAIYGDLKELRKEFRERESKC VDNIVSGSQVVLGTLHGAGGHQLKNQKFDVVLIDEASQAREAQCWIPLLPASRVVLAG DHLQLPPTIKSKSSSKSDQTNSALWDKEDLHFNELSNTFDMRNLEITLFDRLLSLHGT GIKRVLTTQYRMHQKIMDFPSDELYESKLIAADAVRERLLKDLPYEVEDTDDTREPLV FYDTQGGDFPEKSPDSNGISAKSLLVESKCNDMEAAVVARHVENLVSAGVRPEDIAVI TPYNAQVALLSQLLKEKLPTLELGSVDGFQGREKEAVVVSLVRSNSDHEVGFLGEKRR LNEDICVFVETQKLLAGGFASNPN TRV_07763 MDPTKDTSPEFQRKFKWIKKLGNGSFGAVYLFESRITKEKFACK VAVDPGAQEALLREVAILKRLHHYVTSHFYLNQRPNCLIMAYHPLGSLENYNFSTREA LEVVHQCLRALRYLEEMALFHRDIKEDNILLKSLSPLHVCLADFGLAVTSREGATHGG QLTHVAVEVYLGRRYSYIANIWSLGVTALSLLRRFPKKEAQCFFRGRDRAQEAKYYEK LLSEATSFRGYFGELIKHMLCTPDVRWTAVECLQYLGEGADTGPSRPVHHR TRV_07752 MKQSSVPVPSRADVMAACALRGPLANGIPYNNDVWVKYGTGVTE AEAILQQFVNENADHSIVYTPKVYDYFTGQRISNMPVTYIVMERVYGEALTSKEDDTV LENIAAAVRHIWELPLPPQASIGPLAGQVPYDRFFSDYGAGRTFQSTEELQAWINEKL KEEGWPDRVDLPSERCICHCDLSQFNILRGNPVIILDWGMSGVYPRIFDEFALFHQFN LRGSKFAKALHKKLFGDKLPRHLRPLTIVSRINTWGS TRV_07753 MATQAESPATDAARPAPEAIEQKLVDESKKAGVPAFQFDPDAAP EKKAEQAKMAIPAGFQKNGTNGTNGSQAAVNGKKADAVALATDMGATNGTKKPAEMDK ADEADKPKMEVKELTEEEKFAPGRTGWAPRFSAPAAEDGAGEEPGLPPTLLEGKLEDK FFGVIIFACLASWIIALFGGGLGWVFLVMAACGTYYRTSVRRVRRNFRDDITRELAKN KLETDTETLEWINSFLAKFWPIYAPNLAHSIICSVDQVLSTSTPAFLDSLRLKTFTLG SKPPRLEHVKTYPKTEPDIVLMDWKFSFTPAEKMDLTARQIKDKINPKVVLEVRVGKG IVSKGLDVIVEDFAFSGLMRVKVKLQIQFPHVERIDISFLGKPEIDYVCKPIGGETLG FDINFIPGLETFITEQIHGNLAPMMYDPNVFPVEIAKMLAGNPVDQAIGVLAVTIHGA NGLKKADQFSGTPDPYTLVSINSRTELGRTKTVSDTSNPKWNETLYVIITSFTDALTL QVFDWNEFRKDVELGTATFSLESLETEEVHENLNLDIMQNGRNRGVMQADVRFFPVLT SKKTDGGAIEPPPELNTGIAKFTVEQAKDLDGSKSFIGQLNPYAVLLLNGKEVHITKK LKRTNNPIFPDPSKEILITDRKTARLGMMIKDDRDLATDPILGKQQIKLNDMLKMMDK GKEWFDLAGAKTGRVKMRLEWKPVALKGVVGSGGYINPIGVMRLHIKNAKDLRNVETM GKSDPYLRVMKSGMEVRRTVTWLNNLNPEWDEVLYVPVNSAREKLVLEVMDDESIGKD RPLGLVELNVGEYIKEGEDGEYEVHDEKRDLSTPLKLDGRSNQKGVLNYTVAFYPTLQ VVDPDEEADELEAQKELAAAAAEEEGKPTDADTAVNAEATTNGDARPSTDGLVSGEKA EKEVPKIHLTAQDVHKYESGLIVFKLEEGDFSSTGTQLEVLMDDNLYPTYTSPKIQSK HMVFGDVGDAFVPEMEFSKITLRLVDKSDRHNEDSDDHSIAKLSGPTLATLQQCLYKP TELVLRGSDGINSKVTVSLKYLPVKMKLDPSESINNMGNLRVDVLDAADLPSADRNGY SDPYCKFKLGDKEVFKTKVQKKTLHPAWNEFFETPIKSRIAANFRADVYDWDFGDKAD YLGGTTIDLTNLDPFQAQEISLPLDGKSGAIRLKLLFKPAYVTRSRQGSSTFSGTFAA PGKIVGAPVKGVTMLGGGVVKGASFLKHGFKRSSRAQVETEAEPEAAAAATATNGTHG HTPSVEVSAPGTPQRAGALADSPSGSPVMSHSRSRSSASQAGLGVSSIKGETGAANIT IVSATGYPRSTNVRVVVKQQTSKGMKEIHKSKAHKAHGSSSEAESVVQFDPGHETIKI NSVTADAQFQLQVKDHAVFGSDDILGEALFFLDDQGSNAGKERNVKVGNGFVTIKTVF TSSESSSLRPGTSHSNTGPEESTADSPKPRRSFLSKRSVSGVGAS TRV_07754 MADDLKAEGNKAFAAKDFATAVEKFSAAIELDPNNHVLYSNRSG AYASLKDFDKALEDANKTTELKADWPKGWGRKGAALHGQGDLLGAHDAYEEALKLDSN NAQAKAGLESVKRAIDAEAKADGVSGDPTAGLGNMFSDPNLIQKLASNPKTAPLLADS EFMAKLQNLAKNPNSIGNEMRDPRFLQVMGVLLGVDMSFGAPPEGDGATGGRSKEAEE DVNMPDARPAAQKAPEPEPEPEPEDEEAAAKKKAKAEADEEKKLGTENYKKRQFDAAI EHYSKAWEIYQDITYLTNLSAAQFEKGEYKDAIATCEKAIAEGREMLADFKIIAKAFG RIGSSYEKLGDLATAITNYQKSLTEHRTPEILAKLRAAEKAKIKAEKEAYLDPEEAEK ARELGNKKFKEADWPGAVEAYTEMTKRAPDDHRGYSNRAAALIKLMAFPQAVQDCDEA IKRDPKFIRAYLRKAQALFAMKEYNKCLDVCTEAQEHDENGANQREIEQQQQKALEAQ FSARAGETEAETAERIQRDPEIMAIVQDPVMQAILQQARNDPAALREHMKNATVRTKI QKLIAAGVIRTG TRV_07755 MASNASPSRAKASSGDPQSLAMKLYDQCLSSFPADHLFYQQDLL GLGVIPNDDLALLLRCTQILVDQSLLRLLQAKDDRLAWKIIAQSDAEKLVAFCPFDIN NLNGEERLVYNVIHSTGRNGIWTKIIKTRTNLHQTIMNRCLKSLEAKNYVKSVRNVKY PSRKIYMLSGLQPSEEITGGAWFTDGVLDADFIRGLSGWIEHWVSARSWNSPGAEDQP KGKKRKLQADDSETQYIPYLPSYQGHPTTADITKAINGSGLTPVTMDEGSISQLLQML CYDGRLISLRDGAAYRSVKKPNEISLQRDLGLQGPDGGANEGSALGSNGMTEAPCGQC PVFSLCRPGGPVNAENCEYFDEWLKSSALSF TRV_07756 MAHTEDCSSVLEQFVHNVANLPAEINHLMEEIQAKDKIIQECRA IINSRDGSLQKFIKINGSHALNPKEEAYSKTILQNMDRCQVLQDEKVILSDKASILLD RHIKRLDVKIRELQNDGLLSNDPPLPSLFQNKDSFRETPKAIFPEAATHEGSYSTPLN AASGNMNLQYNLAQRLNNTTTTSRVPVGSATATQAAARNSAPATPAATALHQQRRESS AGAADSKRRRLNGSISTLPAAPSNLRQSSTGPGTPKAGTPGVSRAGSAGPRSTTGVKK PATKKVAPHQQVRKIKAHNAPGKPTKRSSSGNRLKPVSGNGRKKSRSPASGIADDDEE ESMLSSADMSDSENAHISRRQMARSSQEDADDDDDEEGGEDTKTYCTCRSVSHGDMVA CDNDNCPYEWFHWKCVGLTREPLGTWYCDECRKNLGK TRV_07757 MGRSAIVQEYGPPPLANTTITFDRKTTDEVAHDIIKRPKGYRVS WHSNATVEPHHFGQSHPMKPWRLTLTKQLVMAYGMHHAMDLYVARAATYDEMAEFHST DYLDFLSQVIPADMDSAAQQDRLAGFNFGDDCPIFDGLYDYCALYSGGTVDAARKLCN NQSEIAINWSGGLHHAKKTEASGFCYINDIVLGILQLLRHHPRVMYIDIDVHHGDGVE QAFWSTDRVLTVSFHKYDKDNFFPGTGPLDSTGPTHPLNPGAHHSLNVPLNDGIEDND YVNLFKAIIGPCITTYQPTAIVLQCGADSLGCDRLGCFNLNIRAHGACVAFTKSFGLP TLVLGGGGYTPRNVSRLWAYETAICVGAEDQLDPKLPETLPFRSHFQPDCSLFPPLSD LRKVENKNTKQYLDSLVEGILEQLRYINGAPSVQMSVIPPDILGIREEIEKEIEEENQ LNEDENEDRGVNGTSSRRKDAERGTAAAGELYT TRV_07758 MEPDTQMRAALLVVDMQEDFCPPDLAMHTYQKYNEQDGSLAIQH GRSIAPLINKLLSLPGFVVKIGTQDFHPESHISFATNHPAPSNIPFTSTIETKNPVAG AEDETKTQRLWPPHCIQGTKGASFIPEIESEKLDAMVRKGMDERVEMYSAFTDAFGNS NCVEAGGASHDLEALLNEHQVSDVFIVGLAGDYCVRFTAIDAADRGFRTYVIDEATKC VDPDEGWETSKMDMAAHGVRVISADGIEEAINKSCLRV TRV_07742 MSTTPYDEDVFGPLDFPADEQITIDNIKPAQLSTLKHRPDPSSL GLLDRLPPEILYQVLRLLDLWALFCLSRTSRQGRVIESLLPPYRDLIKYIPDILMVLR KSNLLRYYSADRLYAVLRSKACVSCGEFGTFLFLPTGERCCHICVAENQSFWVVPLST AQGIYGLTMRDLNKLPMMKGIPGTYKHQEVKEYQRPMLVPVKGAARLAENNGTMPDLH PVFVMCGGVYHGPDNELDEHGQKESIEGRPVTLEEWPSDIYGILSEQGPMINRPVWNE SSRPANGFSQWLPSPPLLPEEDGFDLNGEPIPDWEGEIRNEFCGMASIRFPYLLPDDT LEFGLMCKRCKALNPYLMLKSELPAHAIECHHWMQRLSTQD TRV_07743 MFFKLVAVALALVAHRASAQASSNSLVSRRLTEYLLPAASATHE FARVPNTNFLLLSQLSDSQLIKIELDPTTEEPIAYHAFPMGKDNHSELHGVWPSTVYP GMMWLTLQADNKLLLVDPGKDLATPPTIIKTIDIPAPGNGPHCVFEIGNRVWAGLKVA SKQTGGYYVFSADVTNSTDQKLYPCLNSPVFIKEEPTTGLIYVTQDTDSSIMRINLTT GETAQLPIPPSVGNNAVGMISGYGPMEGVWFTLAGNATGGTGTFGRIGPSGKMEFFKL KHPMLGTNAGLLHIADASSEAGGPAVWLLSTSLLSNNSPDALIRVTFDSDITSISGEE YVSILTQNAMAHRILPMNATVLVSELHTFTLAQLSYKNTIAGQWLPAEAISNTTVYEK PG TRV_07744 MCIFRVFSSVLFLSAVVLSIPLAFDVGGRTCGLAFSLSLSAFYF FLSLLKLTTPTRSIIRKTCIFLISSTQWLIVPALLIWSLNRFSIDSNGSGSWVERAFT TLRARNSSVRQWVFGQDGLLEAAILGFWDKLLVWSMPVFQLAEGFCSLLVIQASGQIT RYLVNKSGRSDSWMIGLLVFSASIISSSLYFLWRVFGLPGISNVDSTLIGVSITCAVF LCAWGIGSGRGNPVESSLLFAYVVLCLYQIFTDYKPSESREKPNSTAQSLEFPPLPPI IMASYTTLMHTISALPSIIHTFFSVVTAAFSAITPSVLISLIYRLFVLYASTRIIPAV RESGARALSQEASLEDADGAGKFLGLLSWFSPSILIAVYTSLLIQHFTSLSRMADEDL EWWKIQPLGSGNTWRWVNLACTMALYVVELWLGRQNDIDGGLTHHWKND TRV_07745 MNPHQQNKIDINSLSPDEQRLLRMYGKMPNKKDLLQNKLKERKY FDSGDYALSKAGKASDVTSIGSRHPVPENIPHLTASSPPQSTATPNHFNGSHGAAHSH SGGANNGMPPGMARSPIKEGSFLQRQTSIEDANNEEGPNDDTAGKQGCPETRESQGSK LSMSESKTEETEDPLSHEIPIRR TRV_07746 MAELIPPTFVVEHLDPELGPWSALEYKCIAEELNKAGAKFMLTS VPESLRLPQNLVSQNNLAVEHQSVEELFADKKSAICLLDPAAVAELSPADGTTFQVFL FGGILGMY TRV_07747 MDGALMEIGPYRLQDDHTLIYNNGSWDEFANLLFVDQPVGTGFS YVSTDSYVRELGPMADQFVTFLERWFNVFPEYERDDIYIAGESYAGQYIPYIADAIVR RNEKLSVNGTSWNVQGLLIGNGWISPLEQYRSYLPFSYKEGVLDKDSDGAKAAESQLS KCMSKLKEVGKFGVHVDECERVLELILDTTKVDGKCINMYDVRLQDTPDACGMNWPPD ISLVTSYLRRPDVVKALNINEDKTTGWRECSPGVGRNLQATESVPSVQLLPGLLERGM PIVLFSGDKDLICNHIGTEDLIHNMTWLNATGFELSPDVWAPRHNWEFEGSAAGIYQQ ARNLTYVKFYNASHMVPFDFPRRSRDMLDRFLGIDITSIGGDPADSRIDGLKGAPTSV GAHPNSTTAEEKEKEKIKIAAWEAYYKSGEVALVVVAIAASLWGFFIWRSKRREKGLE YKGIYPNLESFSSASLATFRGKRRGRMDVESAPRPDEAELETLYNAAEGSDPQDGGEE NFSDGKGGNEKAQSHAGMGNSR TRV_07748 MNHEAGSLPNLVENLVKNWEIEASFKPNFADWRTVDQECYTFSV NGGPAQSPNHMLSVGTYNAIIPSNAYYDPKHSDFAKSHKTFKRMMPTFAWEVLEVYSG PPVVVFKWRHWGRMTNDYSAVNDKGENVVVKAHNGAIDIEGLVVAKVNDNLQIQSIEV WQDPMAMFRQIDPKGEAQLKPQTETELLTDESSSKVQVPQCPIAH TRV_07749 MSSTFPDSLFSGEASVQDPYSKCGVYPGVTGSLKRTPFPAWSVA EDVKRNTRPSQEVGSMGSKGSGPAGKIELYSGKYYASCITGGILACGLTHAAVTPLDL AKCRLQVDPTMYKGIIDAWGKIGRAEGIRGIFTGWSPTFFGYSAQGAFKYGGYEFFKK YYSDLLGEDISSRWRTPVYLAASASAEFIADVALCPFEAVKVRMQTTIPPFARGTFTA ISHVTAKEGVAGLYKGLYPLWGRQIPYTMMKFASFEKVVEMIYNYLPGQKSDYNKGAQ TAVAFAGGYVAGILCAAVSHPADVMVSKLNANRLPGEGFGAAIGRIYKQIGFVGLWNG LPVRIVMVGTLVCTFSA TRV_07750 MTSYVSASQAYERERTPTTDTYSNTSSSGWSERYRGVCIALDFG GFNIIPSLATTFSLQTSMFYYPNLLLILATILQATVEDLDPPAALSTSPHDLISSALL SAYERDYTHLTVISSDSRSLLGYLSIPRLKSLLKSGVVSESDPVEKAMLKFRRKGHVY QVITMDTPLEELERFFDGKLHPAEPGKEAQRQDFAVVTDASRKFVLGVATREDLEQFV KRRPT TRV_07751 MTRVADGSGRRALRPVSMGPRPQRPMSFTFPDFPFNPESDVFPY ADPNKRLSYFKYPDVDPVPPLPTLSASRNGSQDTIVPPPYENGDAEKAEPPPEAPPSK MSRQEIVIIMTALCTIISTSLPTISKVFNANESGYTWMASSYLLANASCVPLWGKLSD IWGRKVLILAANVLFLVGSLLCGIAPGLAIFLVGRGIQGIGGGGLIILGQICVSDLFS ARERPVYYALFGATWAVAGALGPVIGGLLTQNTSWRWCFYINLPVGGICFVILFFFLK IESPKTPLLAGLRVIDWLGTVTIVGGTVMLLFGLEFGGVSFPWNSPTTIGLIIGGIVI LGIFGVVERYYAKYPIMPPAVFDGINNILILGVNWVHASLFISGAYFLPIYFQIVLGV GPTLSGVYILPQVMGLSVVAMLTGMFIRNTGKYVWIMRISMLITTLGYGLFLDFQSYT SWPRLIIYQLISGIGIGPNFQAPLIAIQNNMPPGDVSGATSTFGFMRQLATSSSIVLG SVVYQNIINGKEAYLTEVLGPELAPKFLGSIAGANIDLIHQLTQSQKDIVLNEYTTAL KRAWLFYTALSAIGCIFSFFVREKALNQNHEVTKTGLEEQERARLARIAAAKKPEERQ TEWPGSESRKPQ TRV_07739 PGVSGIAHSVYPSPELPTLIGETKPSEVYPSSPKVLLLALHRIQ AGIYTSAGHGGLLSSLAEKSAEKEKIISKLEANLNATRSVRIEYSLLVARYLHQIYPL LRTDVTRGSHLDNALLTVFDDDALAYLSKRGYSAGDVMAWAWVLATPDAYLAAMRYHK LVEEAAVPVFVLMFLLRRVDIDEPSFKLLLEQCRRLLNSMVQDDLPPTSKDSEPIPAR NIKGDSALAMTILVRLTNLARNVAPRALVNIAEMFTSSFAHLTSMKDLDKLGPKKARR VTELYNKFIQRLAGWCRVEPYHSAKLQQKAVFHVLREMETYNPPLPVNKASYQAITQI LLASKMTKAERQWDSFKAVSWPPWKEDRLGIDAEKTEGSKSKAMESMAHMQQAGYRFD EWDRAASVVAGWDTDGTPTIQKRTRLPDPSWQFSEENQSPSEPLVWAARIRATRTLRE AWACYVSSQQQNVRLTEQIIHEIVEKLIASERTRGQINRLEQFGSQASTFTPSDGGKE VHPEPTSPRDLIYVPSEPPSLPEFLQQTFSQDFPLPDKVLVLILRHTSSLKQGLDYIN HSSLSKGQIYALFSPSSQQGKERLEALSSIHDATFSAVIRFLCRCASHSTVNRIVDRI EALNAMFPIILPRYSDLEPNQGRALAHAVFLMEQRRPRYMSAWHNLLKAVAIGSRPFH HFHRLGLAPGVNRVFVWEETLRLAEYMKANSIALDLRCIQLMCNGFAGLLQTLHLHPN AVKAGARAMSHIQSLGPSHATLDRPLTTQTPDEMAEHGLSLLRCQINRYLPLYRRQQP TSASSVDDATPSNGVVMPSPSILHSLIRVFGRGRDRQTIVAILRVLQAEAHELKRVTN ERRGGRRMLRRAVVACRAFLENDLAGSRDDDRDGRPQVCWQTHPLTQEARRLVNGTEA MAPWPSDEEVDAYIQHEMLYNYHHDEE TRV_07740 MAAARVFRPTSLRQFPVVQIRRNATLGTSASGEVINVQQIPAPG AGHIRVLLLNRPKARNAISRQLLNSLGKHLDDIKAEQGKGPTRALVVASNVDASFCAG ADLKERATFTKQDTEAFLAQLRQTFANLDELPIPTISAISSMALGGGLELALCTKLRV FGSTSVVGLPETRLAIIPGAGGTYRLPRLIGKNRALDMILTGRRVSGPEAYFLGLCNR LVEVTPEEAGKPGEAREKVLRESIRLAQEICEGGPIALGQALKAVDGFERGAEAENEA YLGVVETEDRFEALKAFAEKRKPSFKGR TRV_07741 MTTAVTKRGVEVKQFGLTQLYQTSSPAVDIVFVHGLNGSAYHTW ATKKPPEVFWPSDLLPGALRGLEVRILTYGYDANVASFSGGTSKDKLHNHAEHLITSL CALRSGTESTERPIVFVCHSLGGLVVKKALCCYTRISHQHTQHLRSIFVSTFGIIFLG TPHNGSSIAKVASTAQSFINTVIPKRLLSTSPQLVQVLQSDNEHLQVINRDFVQIMDR FHIYFFHESKPMDIGSTRAFIVEESSAAPVWDGVERMGIEADHGAMCRFADKNSPGYD TVTEAIRRYSSTAGPTIVARWQQAKQEYLLAMQAGLQVQQLNAGSAFDLSADTQDPLD LSRISLPGPHDPIFTNPEALRHVNRPLLLGNNDDSLVTVSPPGFHPNSVFFGMQEEMD RLRRVLSDERKRVLGPATVLIHGSPGSGKSHLARQYMYDHDKLYPGGIFWIDGKSKES RLNGIWEIAVAASILLEDREDRDPRWLMAHKYTANVKRWLESRDNWLLIFDGLAFEDE DDLNEFKNFLPFKPNTSIIYTSVDRTLRQKQRLFEPYGLAVQPLAVEAACQLLFTELG ISKPNTRQTQKARELVRYYECLPLAIHALGHRLNASGKPLETYQPGSHLTDSRLAEPY REIMTDLNSNQYTEALQLIYILSFFGHNVPVGMIHLGRKALAEYKVEIRTLERYGSTE RHIDNTFAILIKYGLIERSFDAYSEVSATPTSEVDRRGIERRSTTHSQSASSQDAIMG RPRTEIDVLKIHSVVQGFCRDELIVEGAKHFWYWLGIATSLFCLSYKNATTQIRAIKN AGLVRDYHKSEVNLELHHECLKKMLLDIEEEIRHRSPGSSQEWFRHQRSIFDHTNSMS SFSDSIASTSTRSTWERDVGAECTDSPQEIVSPQGSVQPHSVLHGNTNVALKSQRRKF PQEERPSTPGKKRGSFLSAFKEPLRRLREQRKNLGESRPATPTVSVSDGSRRNSSILV QGSPSQRKPSDAGSAGKPVAPLAQQHSSASSSKNSGTSTGPVPTKPGSSNKSNHGPSY AAVVGGATHAGTKPPSRQQRSNLTQRPMHTDSPPLPDVEEIKRLSASLMGGAEMNLSL STHSDPGLPYPRRISASDRGSPTTPNSPFGIEQDSQRPPISNQQHFSDSNVGPPYMGP NPLPIPYEPNIEITQMPPRRPSAFTPPPLRAVGSRNSLPHGYSSQPMSREHSGLSHQS LQADPGRFTPSPPSASAPRAASFSHSNRTAVVPRIDTRIRYPASECAIDSATTTPTLD ESQFLPLSNVTTGPGFMVDRGDGISGVVEFNPVQYTPPHIRFGEFEPVNVEAARQRAE RALRGEQLIRQAQVQAQVEAQAQAQAQAQAQAQAQAQPRAAPYPDHNFMPTASDPQQL ADMMRQGFATPPQQRAAEQLRQGGARTRIRSGSTPQVPDWTGLGIHHRSP TRV_07738 TPPTPDSQVTNIKTKTVKMPKEKTTTRKTKRGVEKKKKGKLILS LTLWQPQAMANRWTVDPNAPKRGLSAYMIFANEQRAAVREENPNITFGQVGKVLGERW KALSDKQRVPYEEKAATDKQRYEDEKAAYN TRV_07702 PSQPSSLWLSSGSPLALLWLSSGALSPSSFPGWFTKADGAGGFC CPPLPRLIFCFSSSSCFLLLLRSLYLTFAITFGSPACTSLPLRLSLARVARVALVVGL PSRVAVVLQLDAVQPFNFILCQQKYPDNILTSALIVSLSSASYRSSRRTGGSPVAQVS IRMLFIQGPCLLHGFGLTDMARSVAYRVPYSTFIMAAIISLRDVHAASHQLTKRKNWA AREPGVVLVSASSSLSQSACCPHTSTAGCNAVKLLLAQPRV TRV_07703 MDNYAPSHPVSPISQPPSSPSSPSSLKRYELGLDLSDKAIETAA HPKPTAPHLSLIEVPRRKPVPPPKAVFADQTEPHVEAPPAGQSGGGLKGKIRDLWLFK RRMVLTVAAVVAVLLLGLIIGLAVGLTRHKFV TRV_07704 MEKREKLRRQLEAQIAATERQLSQLKQELADLETKQPAPQQPEA TRERSADWPLLQDEYRRYGRQMIVEQVGLPGQIRLRKSAVLIVGAGGLGCPAALYLAG AGVGRLGIIDGDQVESSNLHRQVLHRTRNTGKYKVDSAIEYLQELNPHPTYIPYRTQL SPQNAPDIFALFDVILDCTDNPATRYLISDTAVVLGKPVVSASALRTEGQLMILNYPP RLPGDKSGGPCYRCVFPTPPPADSVTSCADGGIIGPVVGIMGVLQALETIRVITSMSE NTTPSTGAIVPSLHLFSAYSTPPFRTIRLRPRRQNCAACGTEPSISIESLKNGSMDYV QFCGSLNPVSSLMPNERLSARDYKIWLDSHSNNDKGILLDVRERIQYDICALPGSVCV PISEVLRSSRSSEATNGELPAWVPASIINTDTATPIHVVCRQGNDSQTAVKILKQLGL GQGGKRFIGDIQGGLDSWRRDVDPQFPDY TRV_07705 MAPVRPSSKAKKAVKTKSHPAVAKAKPTSSSNDSLASEFPSSKK DKRLIKHSSFISKIEKSRKKPLKRRRPSKKLIANLDSLANALPDAGDGDEPVSTHTID GNTQVNVIRHKSLKHRPGATKRKEKLDRMERDRFAKNMGQLAAGIKTTDTDNTAMDAQ PSVESGVDASAAAGGDSSSTSARWAALRSFISQTMDQNPEFKGVKS TRV_07706 MVAENTAANPDLFEKEVNMWVYEEKIEIPKSSRHYSESSPLCKG EQNLTEVINQLHENIKYLPDITLPDNLRANPSLVDSVKDSSILVFNLPHQFINGVCKS LKGHILPYARGISCIKGVHVDEEGVSLFSESIGKQLGIYCGALSGANIASEVAKELYS ETTVAYDPPHMDSKAPTPLNGSPSSSAVDLAEFKHKDSSGKLSKVNLRPMPSDFPPVD HSLLKTLFHRPYFHVRVVHDVAGVSLGGALKNIVALAAGYVDGLGWGDNAKAAVMRVG LLEMVKFGTTFFKHSVNAETFTVESAGVADLITSCSGGRNFRCAKYSVERKLPIEEVE KQELNGQKLQGTLTAVEVNNFLKKQGLEDEYPLFTAVYHILKGEAKVEDIPGLIEPK TRV_07707 MDSNNQELVYVVHLNDNGSPDAHHSYVNLPPPTTPAYSLRLQFE GSSPLCRYGSLWVNIPPAGEEFQRDKYREYKLKPDFNKDIHVDIPIAISGAFDYYFTY RQLPKLFGPGSGSDAGKETRSETYYLNVRPAITLKGKALPLESLSIFSVVSKFMGEYP TDWNKHLRGIGERGYNVVHFTPLVKRGASNSPYSLYDQLEFEECFSNGQKDVAEMTAK MEKDYGLLALTDVVWNHVAHNSQLLEDHPEVGYNIKNAPWLEAALELDTALLQYGNDL AKLGLPTEFKTEDDILVVLCRARENVIDKIKLWEFYAIDVERDAAAALKSWESDNYED AELGNAEEIRGWSMEKKAKFLRQKGVTNANRVLGRYDRKADPNITASFLAAMFGRHTE SKADASTVKAELRKLLDAVNLPLFKEFDKDVITILDQLFGRIKYLRVDEHGPKMGPVS NESPLIETYFTRLPSSGKRDPRLLALANNGWVWNADAMKDNAGPDSRAYLLREVIVWG DCVKLNYGASRDDNPFLWDYMADYSKLMAKYFMGFRIDNCHSTPIPVAEYLLDEARRV RPNLVIFAELFTGSEQTDYVFAKRLGLTGLIREAMQAWSAGELSRLVHRHGGRPIGSF EADLLSHSDHRESNQIVRQLQYTPLNALFMDCTHDNQMPAQKREARDTLPNAALVAMC SSAIGSVMGYDEIYPKHVDLVNETRLYSSASSDGEVKSRTGEGGIGGIKRLLNELHTS MAVDCYDETHIHHEGQYITVHRVQPHTRKGVLLIAHTAFSGSKDEHNLDPIVLSGTNA KMIGAWKLEIISSNRDADTNKRFINGLHSKVSDIEEVSIENDGNNTIIRVPAGLVPGS IALLETWLPETNLLKDLSTFITSDAEAAFKSLDPVDLNFVLYKCNPEERDISHGSDGV YDIPNFGPLVYAGLQGWWSVLEGVIRNNDVGHPICDNLRNGQWALDFIVRRMHKAASN EGYGRLKEPAEWLQGRFDAIRKLPSFLLPRCFAIVVKTAYEAALARGIQLLGVTIEHG KDIIHELAMVSIQQVGFVNSASLYPTKRVPCLAAGLPHFSTDWARCWGRDVFISLRGL LLCTGRFDEAKEHILAFASVLKHGLMPNLLSDGKAPRYNARDAVWFFLQAIQDYTKIV PDGILVLNEKVRRRFLPYDDTWFSHDDSRAYSETSTVAEIIQEIFQRHASGISFREYN AGPNLDMQMKNEGFQVDIRVDWETGLVFGGNQWNCGTWMDKMGESTKSGNKGHPGTPR DGAAIEISGLLYSTLSWLSTLADKGKFPFKGVEVGSGKSISYAEWAAKIKSNFERCYY IPENQVDDSKYDVDSKIVHRRGIYKDLYRSGKPYEDYQFRPNFAVAMTVAPELFTLEK ALRTLELADSVLRGPMGMATLDPKDLNYNPYYVNSEDSTNFATSKGRNYHQGPEWLWP TGYFLRALMKFVLMRRDSPQDHTDIFQQLTNRLEECKKALRTSPWRGLTELTNKNGEL CADSSPTQAWSASCLIDLYYDASQLRKLE TRV_07708 MTSRPPSSLAATTTTSALVYTFASDQPDGPRYQDQTRGQRDLLT QIVISVSFGLSAFITFCFLRPKWSALYAARRKMRTAASRLPDLPDSLFGWIPVLFKIS DEEVLASGGLDAFVFLLFYKYSIHFLSIVFFFSVVVILPVRYSYTGERGYPWDGDRGD KPGGDSDKKQKTDPTFLWLYVIFSYVFTGVAVHLLIRYTNRVIQIRQKCLGGQTTMAD RTIRLSGIPVDLRSEEKIRGFIEGLEIGNVESVMLCRDWRELDRLMEERKRTLQRLEE SWAKYLRYRKSKPGGLASRTNRIAPQTNTGDTAEDSCLLSDEPNTHQNYILENPGVRP RTRIWFGPLKIQFKSIDAIDYYEEKLRQLDEKIEIARQQECTPGALAFVTMESIAACQ MAVQAILDPWPMQLVANLAPAPADVVWQHTYLSRAERMIRGWTITTVICVLTVFWSLL LIPLAYLLNLETLEKIPDANWPSYIDAIFALAGSSIYIRLYVDFLFPLKYILIPGPLA NHLVILGLANVQGMTSQGDVELSVISKNFFFTFFNLFLVFTVFATASNFYGLWENLRD VFKDTTTIAFALARSLEKLAPFYTNLIVLQGLGLFPFRLLEFGSVFLYPFQRMFAVTP RDYADLRKPPIFSYGFALPPTILIFIVCLVYSVFPSSWLVCLFGLIYFSIGQFIYKYQ LLYAMDHQQHSTGRAWPMICSRIILGLVVFQLTMIGSLALRSAITRSILIVPLLAATV WFSYFFTRTYEPLMKFIALRSIDRSRDADSNLSPTPSSNFSPPSQWDRDAIPLRLRGR DIAPRLRKYINPNLIIPLDPAWIPGQPENYDNDLASFSQNGISVPTQETV TRV_07709 MDERTRLLQSSVGTTTNCNASSTENSQKAWYFSHSTGKWIAEAY SIWEIPFLCYVFALAVDMADVVRLTPKTQLFETIICNGYYHGNGHDSMILSGITTNPC KGSEVQSRLATIKARLKVIENIFALMLAIPFGNLANKRGRVFVLALGTIGQMLSEIWV LIVSLAGGSIPYESIYISSILKSAGGGGIVIAAVAHTILSDVVPADRRAQAFLYLASA LLVTEMVAPVLASLLMQNWNVYAPLVLGLVFELFGGAVLFMIPETAKRVQDGGDLSID DGSFESRSEERERYNFWSGVKTTTKHIIAPFAHVWKMIRADRNILFVATSFLVLSLGR NTLEFLIQYTSKRFGWTLAKANYLISIRAAINLILFLIILPALTRFLTSRGMQSAQMD LWIARVSSIFSIVGPIMMGISPSPGLLILCLSPPLASLRSLIYSLLTSSIALALLLFT FSFGFHPAIKSYATSLVLPNDVATLYASFAVISIIGELAASPLIAATFTLGLSIGGAA LGLPFFVTAVLFLVCGTGSFCAQMPNLDDEL TRV_07710 MSWYIENPNVGKKNQVEDWRVLGYNPLTPPNLLQHEISQTNESK GTVVTSREEAAAIVRGEDAKGRLLVIIGPCSIHDPQAALDYCDRLVKMKEKHKDQLMI VMRSYLEKPRTTVGWKGLINDPDIDNSFQINKGLRTARRLFVDLTEKGMPLASEMLDT ISPQFLADLLSVGAIGARTTESQLHRELASGLSFPVGFKNGTDGSLDVAVDAIGAVKH PHHFLSVTKPGVVSIVGTVGNEDCFVILRGGKSGTNFDAKSIKEAKAKLAEKGLSQRL MVDCSHGNSQKNHKNQPKVAAVLAEQIAAGEEGIMGVMIESNINEGNQKVPPEGKAGL KYGVSITDACINWEDSEAVLDLLANAVKQRQSLQNVNGAE TRV_07711 MREVAICEPKLSELSAIDSRINLLKKSLGFLLPNRNSQWLKEAM KIISDRIKPRQHSFCALAVSIEGLEGYTIAADCLFFTVSQSSVIVLSMDKYRFNLIDI PLASIKGTEAKGNKLRIILGQRCYLVVNGVEQYSNNILIGMETPRHVEAAIKAVRNII ELTTRDNETPLYKRAKEGAMAIIAFHDCVNDFEPEAPIGLHISIHADSDHDAGCMLWQ ESHRQPSSSAIIELPTGEEVLSASQLSLQSSRPLQSQPLHQQPREQCVSNQTGGTPAT GGPAPVKERRTTKLSEKKEGADTTLNPVNRRKRERGDASQVSKNVRQFMSRNQLSAQK PIISSNARKSGQEKSKDQGKPTSKSDEVNSNTLSLQNGNRTANVVQTQIPPMTTTRYE QQKPTRSVKFDLGAGHTPTSSTGPSDNANSIDGKAVLDLAMRKESSSALRSLTNDDAP MALENTGDEDEDLTSSSLDELLKYTSVRTKQIGWQSRTVDENGSPIPRLQHARKNYSQ RVLGMLDGTDKPKVAPHIDMPPAISEPSQHQGMTTLNPPAYNHLKRGANRDITDRHSF QSSNMFASEERHSRRCRSMRANDLFYDQKIHRGKFEGQNKSATSSYKKSFDLMQRLKA HQHNPIPRTMGKTHHIDVRDSKPFKAIQNDEDAVNIEAETDDECSPPRYLPECSEYVE CQGHEWEKHLRDNYREGGDILSDTSKVSTKVTPTYSPLIPRMLIFLPNANQHFQIATL PMIDQLEEIHSEKLDQSEHALRPIKKRFLGMFENFSKRLANDLESIHKASSTLPTAAN RGQRLNGEINSAIDEYKSRLIKSTNT TRV_07712 MKEAPEDKRAELETQASIGAAWDFPEISIIPHTSTDRQHAAAHD EAISIHALEAERELISEEPDKKALLEEEETQKTILYLAYGSNMCAQTFRKTRKVTPLS QANVYVPNLCLTFDLPGVAYLEPCFAGTQYRDQKTGMPIYPGKPQSPSDGEGLWHKPL VGVVYEVTMKDYARIIATEGGGASYVDVVIDCHPFPEDYDPAKPVPDIPDTEPFKAHT LLSPATAGKSHRKGTEKKSSLFNARFNRSRPNYARPSPRYMKLLITGAEEHDLPAEYR QYLASVEPYRPTTSRQRVGQFIFGVVWVPPLLLNLRLNAIFANEDGKAPGWLAVFQRY IFAGAWVTYDYAFKYIFGDGERTIPQRTGVKVE TRV_07713 MLARSVLRSVPSKAISRQCFQQAAKITADSSSQRSSSTASGSQT QSPFYLTLTASAATATAIGSMAWYYHLYGDEAFAMTPAEEGITNRRDIKNSLHATQYP WEHSKWLKTFDHAALRRGFLVYREVCAQCHSLSRVPWRSFVGVMHTVDEMKAMAEEHE YDTEPNDEGEIEKRPGKLSDYIPAPYKNDEAARAANNGALPPDLSLIVKGRHGGCDYI FSLLTGYPEEPPAGASVQEGLNFNPYFPGTGIAMARVLFDGLVEYEDGTPATTSQMAK DVTEFLNWAAEPEMDDRKKMGMKAVVLLSTLFAISVWVKRYKWATIKTRKIVYNPPIG PRR TRV_07714 MSHTGGFKGPHSTYLDSVRVIECSGMQCESETIRLDVVNLDIQA YQLRASEPENLSQDHDGEKQDEYSPQARVMPLPNKELDATKANDGVLVGFSWRKLDPR TITWNRLILLYGPPGTGKTSLCRSLAQKLAIRLGRQFPQSKLVEINAYSLGSKYFSES GKLVAKMFSMVESMLEDEPDTLVCVFMDEVETMTAQREQTLSGNDPLDAMRAVNSLLM SLDRLRQHPNVIVLCTSNLLSALDKKVYNVVLTSMQDSAFLDRVDIKQFVPPPSEIGV YEIFRSCLESLSKCGLIEGSRFDVAPVDPTDTATELKYIIEPAECLVLPTYGEMQLWY QLFPSSIPKQLADIAQVSVVSDMNIHHSQRIRVPF TRV_07715 MTSSIKQRPTSKVPRTPRRDVLAPLKLAQIEVVKPLLPAGMPWT ACFIDLLYSHNTNVIVIEIMASILDYLSPTDLITVARTSKKMHEMVYDDTRWVRFLKQ IGCWNEAEARSAAEGSEKDADRPHGGKTRSRPTTTELDSHKSNGNITDTGGFDIVEFN AEVEAEITGPLAALRDARSTRGRAREEYGKIHESLNPYYQDIIKMENPTDSSVFKSYD KPEQQALLLSELNRFSNVDMGLGSTARRKKLTNVISVFERAALREFKNGYENGNSDDI MPRYAQVLTTLNGGAAAVELLIYQNHIIKQKADFGSPMDGFDADTGTVSLNHTHAFLT RLSVAFNEEATYINRCFPNGPNVSSLFLEKICKDILSPYFLPLLDLLQSTNKAAYIQT LPGTFTQSLNFIRELNPIQVSSDKFKELAREAIERTFEPHVDLYLAEELDQFRLHSES IVGDWDRQLSEQAASTESLYMSNIHNRQADKRDFLSSFTKVIMAPVNMIPGLYKSTEP KKGGANKAMAKATQSSSRSSVILAPPTPPTPAELPTTEFAAKAAIMNSKLEGIRSLFS IEVALNLVHAAKSSLERTAQFVGLKGGLGKAAQAQCEAIFVSLLDSLGHRHVIAGFDR AVNHLSEYRPRKQAEEKSGVEPLVTFLELVNVGDLILQMLDVFYEQELVAAGLTDRND FLDPAVKGKRKFEQKLDERVAAGLNKGIDVLVEEVEHLLATKQSIGDFHPEAVDPSQR TADIGPSEAAKAIVQVISSHTRMLIGGTDKSTLDVFNQEIGLRLFNALCKHIKRHRIS IEGAVKLISDMNHYFGLIQSMRNEQLLLYFKALRELSQVYLIDTSDSKEIASIISDAS RFHGIFRAEEAYEFAERRADWYQVKNSVEKAMYGVGCSVM TRV_07716 MATVLPPPSKRQRREIDEKARQQQEIDSIPEDLGSVRVQFFDQS TGTASGPAVAVPLGDATVKNLEILLNTLQGHDDHERIPYRFTYKQGDKDGDVIDISSD LYHSLLQPGIKTTEDIIHLQYTPQAVFRVKVASRCSASISGHGEAILATSFASSSSSR MVSGSGDSTARVWDCDTGTPLHTLKGHTSWVLAVSWSPNDNIIATGSMDNTVRLWDPR TGQALGAPMKGHTKWIMGLAWEPYHLQSPGKPRLASASKDSTVRIWDVVSRRIETVLT GHKGSVSCVKWGGLGKIYTSSHDKTIKIWNSESGSLIQTLSSHTHRVNHLALSTDFVL RTSFNEHNQKPPDKEEEKIKLAKERFEKAATVNNKISEKLVSASDDFTMFLWDPEASS KPVARMLGHQKEVNHVTFSPDGIYIASASFDNHVKLWNARDGKLLVSSSKDTTLKIWD VRTGKLTMDLPGHQDEVYAVDWSPDGERVGSGGRDKAVRIWRH TRV_07717 MSSRTSSTPLYPFTPDTRSTRPSTSRTAVGGHRVTRPATAATTA ASREIICAISESRGISPAVGLAFINLSTSEAVLSQICDTQTYARTVHKLAVFEPSEVL FMNTSKEPLSKLYAIVEENLPQIRIITFDRKHWSEQNCHDYVERLAFKEDTETIKMAL EGSYFSACCFAAVYTLSEYL TRV_07718 MIDLATIVSLELIQNLQNAKSKDCLFGILNETLTPMGARLLRSN ILQPSTEVSKLNTRYDAVEELSSNEGMFFALTVIPTKPSFLFAEQSINNVIMLKTFLC SPRNYDPVQTILKQTLNDDVRYQTSALDLRNHRTYAVKAGVNNLLDVARQTYKEANDD VSELCSRLTGNVYIRRCYQRLRLTCIEEYNIPLDLRFESGRHYYFRVKSTDLQGVVLP DIFINVYRKKAYVEFQTLDLVKMNQKITDSHNEVVSMSDQSIQELIDDARSQISSLFR ISEAVALLDMIAAFSYLHTIQDYVRPEITDTLAIKAGRHPIREKIHSTKYIPNDVYAS SQSRFQIITGCNMSGKSTYIRSLALMAVMAQIGSSVPAQYASFAIVHQLFARVSTDDN QATNVSTFSTEMREVTFILRNVDSKSMVIIDELGRGTASADGLAISIAIAEALLETHA LVWFTTHFHDLPRIMEHRSGVINLHLAVDMSDPRSKITMLYKIVDGYVQEQHYGLALA RIFSLPPYLIQVAEEVSNHLALAAQNRAKSPAIISLAKRRRLLLTLGEQLMHAHKGTM EDEALREWLLRLQREFTLRMTDIEAETESNIGDGETSPEPRETEESQEDHEHMEAVSQ VSSEWLPIIPIDPISNLKRPRGSASHSFGNSELLLNIDSGKLDTTHSCTSNE TRV_07719 MADQATTNPSGTPQSREATRGRGNRGSRGNRRGGTTATGGGSSH VDPSQQQRRHNQGGSGGRGRGRGGGAHSEGRGGRNPRRGGNRTQDGDGRHDDGSEPPG AGAEGTGTSGVRLTGDAKRSQGEEKPAQKAGGSAAGEEEEADENEICFICASKIDHIP TKRYEEFTNSDFDRSDDNLGIKYEHFDIFEDTVLLLRYNCPDSDCDVACLGWPDLHRH VKSKHAKVMCDLCTRNKKVFTHEHELFTPALLRKHERYGDDNPGAVDQSGFKGHPECG FCRQRFYGDDELYSHCRDKHERCHICDRRSGGSNPQYYVDYDALEKHFSKDHFLCLDK ECLDKKFVVFDSQMDLKAHQLEAHPSGLSKDARRDARLVDMSTFDYRSPYQPTRQRRD GRDGRDGRGAGRGRDPNSEPIPQSSAQPLRRDELAYQRQMAIQSSQSVSTRTFGGQLT SSRPTATSSAPTTQRSTPAATPAARATQNSGLPSIEALDLGQPTAPVTPQDHARQAAH SAVMDRACSLLQNDQRKINDFRGKVSQYRSNAIGANELIEAFFSLFDTSSVELGKLIK ELAHIYEDENKRTGLLKAWNDWRAINEDYPALPGPSGTTPYTGTASGFGSGKRVLRLK SSTTQSSRSASGQNSRLSGILPSNTTSNPFPPLSSNTTGRKATPGGNAWGTTPAISSG PSSSGSRPSASASRPKVAGDANAFPALPAAPKPNTLMAGLTRGTVRWDDRNAASARPS AWGLSSDPTPAESDLSASQDKKGKGKKGKQVLYHFG TRV_07720 MTFFFPRLFNVTALLHKTERLCLPSRYPLISDAEVCWYDFLLLS CNPLPFFLGLSLSHTHTHTLSLSFIYIFISWVDMQLMTYHQKDPSNDPNIGSARGSNK SSTSDALQTVGITASTSGMALLSTFLPAFILAVVCFLIFLICRRTQRRFYSPRSYLGH MHDHERSPELPYGFINWIGDFIRLSDSHVLRHSSLDGYFFLRFLKKMSLLSFIGCCIT WPILMPVNITGGAGNTQLDLLTFSNVVNPKRYYAHTIVSWIFFVTDYKGSPITLSNLL VGVVFLMVCRESIFYAALRQAYLLSPLYADRISSRTVLFMSVPQSYQNKAKLSKIFGD SVKRVWTSEDTSKLARLVRKRDRLAYSLEDAETRYVKAAHAARLKALKKQGRDPEVSL EQAAVKQNSNESDLDQAPWLLNVKRPSRLAHYFFGEKVDIIEDLRSRLATLIPRVKDL QQEHRVGEAKTVGGVFVEFTTQREAQIAYQTLSHHHPSQMTPRFIGIPPHQVLWPALR YSWYQRIVRKFAMQGFITVMIIFWSIPSALIGSISNITYLTNLLKFLKFVNELPSFIK GIISGLLPAAGLAILMAAVPWIMRWCARQSGVPSTAKAELFTQNAHFCFQVVQVFLVT TITSAASAATSQIIKNPLSAKDLLAKNLPKATNFYISYFLFQGLMLSSGAVVQVIAFL IFKFFRTFFDSTPRKLYSRWAALTGVWWGTVFPVFTNMTVIAITYSCIAPLVLGFSAL GLYLVYQAYRYNLLFVYEPVIDTKGLVYPRALQQVLTGVYLAEVCMFGLFAIRAAIGP MVLMGMFTAFTALCHISLNEALAPLLSALPHTLNNEDDWTCTTPSDLEKPEFLSRSMT SGKEFLPRRQSTLTLAEITTAPDSIWDKLKNFRWWLFHPSIYANYAALREKIRQDPSI PYDEHISDNAYYPSCVYSPPPLLWIPRDAGGVSRQEVELTSTIIPMTDNEAHLDEKNK VVWDKVGMKPPIWEEKVFY TRV_07721 MAIRIRQHTGGDVCRRTARAWPGTAGGSDADADAAGAGAGAGRA GVADGSGSPLSPAAEHGGXAVTVTVTVTGTVAAAAAAAAAAGAAAVVYMDMAGGIGSR RGRRERERRHGREMGHGRTPDVAVGCGRLALLLLEMPFCGGRRGPGVRRGAAGDDGQA AAAAAAGVAVGVAAVGVAGGGRGRERREGSV TRV_07722 MVYFSHHALLSVGMMKIPLPSRQSLWDAPSAVKWEDEMRQLKKT TRSRYFSLDSAVQSILSMKDAEHMREVLHWHSTPSPLSLHILIHGITSAIGDAKYRSV SSSSSLVIRELQRLEFDSALAYWRDQFDQLSGPDQRCQLSWCALVMYDFSAVLLRNNL SDIQMAAGSAFSSGRTVTSQVAQAAYTRLVSTDPVCHDSYLHALEVVGLCIEEGTSNG SVKPGLGVLLSQPISQPRPLWQTYCAFLGLLVLWARALGLENKEQSRNVSRIGLRQFS LQAIPSTAATILANMHHREQAQFSAASVEVQVLKSELRELIGTVSDRLSASSWEIFLE QYEEIRLIHPSTYSPNIRLSGCCFNQVDVLLRLLLSIYYIHPHTYIFGTQEAYGLLAS INIGQADTLSIYDSHGSLYLFLYSVH TRV_07723 MSSQALHPGYSHADAFDEGFLSVGSIHKIHYEQYGKKDGKPVIF LHGGPGGHCTKINTTFFDPEVYRVVLFDQRGSGKSLPNSELRENTTHHLVEDIEAIRK HMGVEKWHMVFGGSWGSTLALVYAQAHPEVVGSLVLRGIFTFRREELEWSRSIVAGRL YPDAYEEFVNYLPEPARADIVGSYYQLLLSDNRETRISASKAWNKWELSISELRQNPQ SLKKLEDDDWTLAHASMELHYAMNDAWLEHGALLKKENIDRIRHIPSSSGFRMPDTVQ CSYQYAQERIYIAIRLNLMTGLPFLSSGVPWLFSMLLFTAAAISSPNTNADHNGDTDA ASANQRASGSPDAPNYSLHRQSSDRQSMSSSEPTS TRV_07724 MRIEQASASESAILLDPLSHSLSVDRQLNFRYLFVVDMSIQAQE YKNGPLSLDKGHEAVQVVHSKLFRHIILFTLVFTCRIDQPAMKGLLSLLLVGAANALA ASYEPRSLTEDMLQGKEKKIWDALKGEIPDAKLDDYFNPPTAHQRSPDEKWDGKLEGK SVNTLWVEEGKDKPSGIEEYGMRFKTVDPSSLGVDNVTQYSGYLDNKKNGQHLFFYML SFTLASFMVE TRV_07725 MELGPARVGQDLKLTRNPHSWNNRASIIFLDQPVNVGFSYGKSG AFNTPSASKDVFAFLTLFFKQFPQYALQDFHIAGESYAGHYIPVIAEDILKQKSNIKF KSVLIGNGMTDPYTQFASYPPMACGKGGYSAVLDQPTCKAMEAAVPQCQKEIKRCYDK PTDVATCVKGAKFCKDALVRPYSRTGQSIYDIRGRCEDPKDLCYPILGWITKYLNQHH VQKAIGAEVSHFKGCNNHISSQFFAHGDYNQPFHRKIPGILKDVNVLVYAGDADYICN WLGVKEWTEALQWPGRHIFRRKNLSVVYHSVNKWPLGRVKYHNGLAFLQVFKAGHRVP YDQPENALDFFNRWLAGEWTP TRV_07726 MNNPVKYLVTGASSGLGGSVLATLYKNVSDPSQIAAASSRLETG QSLQQDYPGIQFRRLDYNDPKSLVESLAGVERFFFVSSPEVNTEKRNKQHERVVKASV EAKVGHQSMYSITLGPFIPSKMGNLTIAPSRSGLPYTSVREGVYIDAFPVFVFWYPNT TTIYLSGDGPVAFASRDELGEATAQLMLRDPEGLNLKNNIALLTGPRTYKLTDVINAV SEVTGRQLEIEHVSKDEFPRIMVLEDAREGRGRKSEAFFRSWQSLVESMEKGDTATVD PLMGELLGRQPRDALEYVKKLVKDGADKGGYTWHQNY TRV_07727 MASNPPGECCIRGFIHEGTATGEIKKMGDLDVYFAHPKESCKKA GKAIVILSDVMGIRINAQLLADYMASQGYLTVIPDLFRGDSLKPAVFEPNSGFDRQAW FAKHGTNAVDPVIESTIKMLREEHGVERLGGVGYCFGGKYVCRFLKDGKLDAGFTAHP SFVSRDELSAIEGPLSIAAAEIDEILTTALRHESEEILAKTKQPYQITLYGGVSHGFA VRGDLSKPDIMFAKEQALAQALAWFGQYL TRV_07728 MSTFDTLTEQDLQQDEVEIDFSGMPAHIPPQKKTLVEDAELTAV AEFEVRLEEGLDTFVVFDGLPIVSEDNKAKLIKFLLKKIKKDGPSSSNIDPNRQIFLP MNENGMSEGFAFVEYDTPEEAFAAIKSLHGTPLDKKHTLAVNKLTDIDRYGREGRIDD EYKPPRIEPFQEKEHLRSWLGDPNARDQFAMYRGDKVGVFWNMKKDPPENVVDRDHWT QLFVQWSPMGTYLASVHPQGIQLWGGPQFSKQKQFPHPFVQLVEFSPQENYLTTWSSR PIQIEGAPGVLSYDEDGKNIIIWDITTGKPLRSFVSHDLTAPAGADDAAAQKKKVQWP AFKWSADEKYVARMLQHQSISVYELPRMNLLDKTVVKIEGVMDFEWSPATVQREGVKR YEQLFSFWTPEIGSNPAKVGLMSIPSKEIVRTRNLFNVSDVKLHWQSQGAYVCVKVDR HSKSKKSMATNLEIFRVKEKGVPVEVVDSLKDTVINFAWEPKGDRFVLITTGEAIAGS AVAPKTAVSFFCPEKVKGGAIGNFKLIRTIEKKTSNGIYWSPKGRFVVVATVHSQQNF DLDFWDLDFEGEKPESEKDLSANLQLMKTVNHFGVTDVDWDPTGRYVVSSASAWTHSL ENGYNIHTFSGTTLAEHPTEKFKQLLWRPRPPTFLSKEEQKQVRKNLREYSREFDEED KYAVDIANTAIVEMRKRVLSEWTAWLRKEKQMILEEREDLGLSPNPDDDIVAQPNITA AEGDTVVEEIVEEIIEETEEIIS TRV_07729 MERFDMFAEQSNAVAVQPPPVTSSVPVANGNSLPSPPQKRSADP EGIPDVTDSSPPPVKKRKDDVVDSDAAYAAKLQAEENLRARTTRGANSRKTGVVKKKR KNTSKTAKKVKASDDSDVDGSAAESKKEVNRTGGFHLSRPQTVKKVWEYIREHELQDP NDRRQIRCDDLMRPVFKQDRIHMFTMTKVLNQNLYDLEE TRV_07730 MPRPKKPGAPEPKRRSRKGCCGEEKPSCINCKRQGDKCDYSIRL NWEGRARKRAAAEDTGLNRESIPSPSSPATPNSARDRASFSPASSVKHIFMPIQQYLG PHLDNKQQSDHGEVLSEPFGSPIIPVNDSQPLSGLSACLPRTSADLAWLPYTPNSSSY ASPGQSTSPSHYQKITAGESSEVTSPSSSTLAQHVDPQRLSTTAHTNYIPTPNTGMFR ASELAITESPASCMAEETLLQFPLGSNTSGANTPADMHRVSVNSLLSVPSGPDSNHGR SYGLDCGQPDLDVYRDAELLASLRDTPTSGINNLKAIQYQKVSSGTATPSSSQEDISA GLHRSVTTVFSKGGYYAKPVPILIPRLLSPLPPTLLESPINMLYFHHFINHTARILVP HDCHLNPFATVLPAMAIEDENILNLLLAYSASHRSRLLGHAEPYTRIARWTSGVFPSL RHALGDPRRRTSAATLATAIMLVSLKVISPSTFEVPIRWESHLMTAREIFLTRQKTDP EHFTGKVNTFLHRWLVYLDLFGSLSSRRAEAPLFNGTYWPLDTETEYPKNVIDQEFEV DCFTGFTPRCCSLLARLSALTHQCDNVRVDPAGRLFTSWNPPTSVLAKAERLLQDMED AGPERRSVPMTHHGKLVDHGMAAMDEAYRLAGMIHLHRRVLGRETSDPIVKSLVDALF DSLDQVSRGGQEEVCILFPLFTAGCESQNEGQRRQVSERVQGFESVGMKQIRGARKLM QKSWRVGLPWNVLADGEFLA TRV_07731 MNTPIRTAVLGGRLSNAWSPLATGGASGPLSMTGYQHQPCRRSA PQSSPLKQHPIRASSYATACKSPMHPANSFSNTCRGNYSSSSANSPTLQLITRNSQPC LVSRRNSSTSTQAASSSSHPTSTSNTPVKLDWNTFFQLRASRRKYSLISSVLAAFTTT AAGGQILATQNLESLGAQIMGFDPLIVLGLATAASGALGWLAGPFLGNGLWGLVYRKY KSAVAIKEKEFYDRIKRFRVDPSANSFANPVPDYYGEKIGSVQGYRQWLKDQRAYNRK KRRFV TRV_07732 MGSMGSTKENAVTPLTPVTMTPVTSKSSFDLNETLVDGVGSVDD LVHHRGRGNSLDNTDSDDDEFFTSSSSPPTPARALPWDGYISWTDTLANTDFSKLEGR FSGFGSRAELIAHGLVYTPRFDDKDAYRTVFLTKLPLDVDMRTLLSAIRGGAVYSAHI MNLQEYVGHHMGVVTFVRGKDASAYVNFATNHGVYFNDARVDVYLSKTPTYPIPKAMH QNITDQLYSRGLVIRGDRDPRRYSYIAKNLKNKMRLDFEMGDRMTEMLTKPRSKSSSA QFELLMLPMEFLGPS TRV_07733 MAALCTGIHCSGEICAKQEGDSKRKSVKSEVSARPERVKQPKEV DVGRKEEEERGEAQGKQREREKREAGSQTTLKAKRLPASSFFQHYIDEAAMLEFFFSR LKYRRR TRV_07734 MSSSRCLASSIFKALATDSRPWNLTRRLKSDNQLDMQGELEGTA TFKPARNGGSGLSNDLVYEEQGEMRDPSGRNSTGMKWSRKYMWRLSDSSLSVWFVKVN MESSKESAQDGQTEELPDYIFHQLRFMDEGEGEKGHLEATDLVLPPATASDEGETAVL FARGSHLCIKDSYETTYAFRVSKRCLSCIHSWASSHVVEGPKKSQRIVNMYTRAA TRV_07735 MVTNDGATILKAIALDNAAAKVLVNISKVQDDVVGDGTTSVTVL AAELLREAEKLVEKKIHPQVIIDGYRIASRAALEALEASAVDNSADPVAFRKDLHAIA RTTLSSKVLSQDRDQFADLACDAVLRLKGSTDLSHIQIIKKAGGKLSDSYLDEGFILD KSIGVNQPKRLEKAKILVANTGMDTDKVKIFGARVKVDSTGKLAELEKAEKEKMRAKV ERIKAHGINCFVNRQLIYNWPEQLFTDAGIVSIEHADFDGIERLALVTGGEIASTFDH PDQVKLGHCDLIEEVIIGEDTLIKFSGVAAGQACTIVLRGATGQLLDEADRSLHDALA VLSQTVKEPKVTLGGGCAEMVMAKAVEHAAQNTTGKKQLAVDAFAQALKQLPIILADN AGLDSSDLVTRLRQAINKGLTSSGLDLLTPGGGIANMRELGVVESYKLKHAVVSSASE AAEVS TRV_07736 MSEVAGSSEKAANVRLHSQVEEDEREADNSSSDDDYGPALPSGP LKKKKRRELPYEKLYIKALPASPRYSKSLMHRDQLAYVTVTPHTDFIITSSIDGVVKF WKKMAVGMEFVKEFRAHMGEIKGVSVTSDGRSFATIGGDKTMKVFDVITFAVTSEEDN TILIFDGRCEKTTPLHKLNSVHRAPVISMAFNNAFNCVISVDNKGMVEYWRPDEPFDK PEGVFDLKSSTDLFIFKKSKSLPSSISISPSGHRFATFSFPDRQVRVFDFASGKLYRS YDESIQTVTEMQQAGTALQKLEEVEFGRRLATERELGNPTTAPKVNVIFDESGHFILY GSILGVKCINTFTNRVIRVFGKDEPFRALNLGIYQGQPQKKGVVTVSMAASSNPLLQE AEERDPILITTGFAKVRFYLYTNETEISKSTRDVQNEKPIHDKGGADTASAAKPAETG TSAILHTTLGDIHLRLFPSVAPKTVENFVTHSRNGYYNNTIFHRVIRKFMIQAGDPLG DGTGGESIWGGEFEDEFSSLKHDKPYTLSMANAGPNTNASQFFITTEKAPWLDGNHTI FGRAVKGMDVIHKIENVKTHKEKPEEDVKIVSISIS TRV_07737 MIWRLRGSRASVPASLAILFPLLAAQQQLLLSQAGDVPLAASFR GAPADAGLPIPHSISDPIRPPVRSDESALETLALAASGSAVRAPPVQISSRNDPTPQL QARSIQDWEVEDFVLLATVDGTIHARDRKTGAPRWALEVPSSPMVETVYHRANRSHSA KDAQIEDDFLWIVEPSRDGNLFIYNKAQNGGLQRLGLTVKMLVDETPYSGVDPPVTYT ARKETTLYTVDARTGSILHMFSSRGLVDPDQTCRRLNDFKPAGHECKSGGKLTLGRVE YTVSIQNTHTGQPICTIKYAEWTPNNRDVDLQSQYFKTMDERHIYSMHDGVIFGFDHS KIDGRRYTQRLSSPVARVFDVARPMSSDEPDTPLAILAQPPSTNDYGSAGLDNRESRV FINCTETGGWYAMSELQYPLVTGRARIADCYDRDFLSQDRPITSLDLAQQKAALVGVH SLSEPSDRFHPNIPSISGPPAESSNDTPKDISREPERLPAPAGPGRNSVIIRKGWDNA LDIFVTLVLLFIGTFIYYNTQNIQELMKHRLDLKNIISIPDNSVTTSSKTIDQKPADE QTEYPALVIHPPPEQEEQKETDETLAESKVEPVSADLKSSSNLLTSESNQETPSRESQ TPTADEPAEAEDPAAKTPRKKARRGRRGGQAHKRGKKNTQESADPDNPPSQSGGSQGA RLNSQSDLQLARTPSNTEVIDADGAIRIGQLKVYTDKVLGHGSHGTVVYKGSFDGRNV AVKRLLVEFYDIAAHEVGLLQESDDHSNVIRYFCREQTAGFLYIALELCPASLQDIVE RPHNFPELLRHGLVLPDILRQVTAGVRYLHSLKIVHRDLKPQNILVAAQKSARGVNNL RLLISDFGLCKKLEDNQSSFRATTAHAAGTSGWRAPELLVDEDQSNVNPASWANNGTL DSSEPAVVDPQTNRRATRAIDIFSLGCVFYYVLTHGCHPFDKDGKFMREANIVKGHYN LDELQRLGNYAFEAEDLISRMLSVDPRLSFLCDVSDHFEFEPRDPPSPALQCLESVAE NVMYPDMDFLKLLPKEFKDSLGKQRKYTGSKMLDLLRALRNKRNHYNDMSEHLKAHIG GLPDGYLNFWTIRFPGLLINCHWVIRKLGLVDLERFKRYFTPP TRV_07692 MADYNLNRRLPPIRACLFDMDGLLIDSEDIYTFVINQILHEYGK PNLPWSIKAQLQGRPQPQSGQVFQKWAQLPISQEELQKKIAALQRPQFPKTQPLPGVM QLISNLSRAASNSPPVHIALATSSTTTNFKLKTSHLSGLFSQFPPSRIIVGDDPRIAP GRGKPLPDIYLLALSIINKEIQAKGEHPITPAECLVFEDSVPGVEAGRRAGMRVVWCP YEGLLEVYKTQVPEVLAGLTGAHKDPEDNGNDTSAVSNSGKPGNLNDGWGELVRSLEN FPYEKYGIKVT TRV_07693 MFKYAGKKVIAKRAAEDDGAAEDEARRPLASPFSTPKQEALASS SSSNPAYVNQQMPPTVQQHLSQLSAAFHQPSPHTSPMVRLQPPVTQQFSSGTQPAYHT YPVGNFEARSSVSFRQDQMCTPESGVAGNIPPANMNTMASPIYSNILSPPRSPWDQTY PNVNQASPYPPRTPSMPSAVLQFPSPSVSGEVTHACQPKSRKPSRKRSADTSDRPESP LKKMRH TRV_07694 MNHSYVFGGEDMSKFASDDVAGLMALHNYAYDIDQSGPSHDQGG AVDGEESTELEFKAENQTNAVDAEGGQEIVEQGQEQQEHQTTDNNLFEFDDLFNLTGS PDRMCDADLAPKNPQTHNFENAQASSFSEVAYNTSPVQSSKQFRTPQSATTDGTGELK QSPHDHNADLDTENVDDRTFYEAFAAYTAGPQVEPPQPYPNQNNMNPGVTQDLQTATG HSTSNVYSSSPPYDQAPGNPAIARPALMTWSLQNDPSRNDGPVEIKDERQNYKDPSDT VTLQFSSSKEANDYRPDRRPMPFDPTIPRTTYERQECVIKLIKAMRSFECATDNWGMI KPFATKKFSDRKIEICCWNILDCCIVRQEAGPFLTPEEEALKNKTRKNKQNFAERFGD MLRILRVRYFFLAL TRV_07695 MPSGKEVLLFLSVELFKKQNAHHFRSPDGTTIITNSADNHLRSF ILPPDLLEDRDKPHILQPYHTIPSKEPIYSVELYPFYELQDPSTACLLSGLRDHPIRL NSALYPGLLGSYSLISPTTEAFITPHSLLYPPSLGGTHFLAGCDSMICLFDISRPGKE GPVSRLLTIPSKRKKLVGGGVGMKGIISAMSVENSGSGILAVGTFTRQIGLYGDNGVG DTIATFSIADTAADKIIGGNGVTQVLWSRCGRYLYVLERKSDGALVYDIRVTGQLVGW LEGRGADTNQRLDAAFIDINGSSELWAGGTDGAARMWKQPCHAEGGLRPTWEEKVHHG KSSSLSLIVSRIYSSTLLTDTWI TRV_07696 MFSQKPSTTGGLTVNTSTANSLFGGTPQTATTSTSAGGLFGGTL GAAATSQPQSGNLFSGLGATGSQTPQKSLFGAVGSSAATTASTPATSQPASGGLFGAL GTSQPQQQNTLGSGSLFGRTTTSGAQTQQTTATTSAPSLFSLGTSNANQAQAKPSLFG GATQTTGTSANTGGIFGNTVQQPPQQQQQQGPTLSLFRNTTGPLKQDQPTGTNVVSGV KIDVSNLVPTTKFENCSDELKKEIEAIDTFILNQIRMCNEVSDLLPTISAQGAMIPND VEFVQGKLDTLQEALENDANGIEHARNLAKQDATEARLAFRTLDTLLLPLQYQPSPGE RWWPAGQQGQAMSKHPLRPTIGLRHGGTLALPEGIEADSTNSSQNEPGSLVDYFSQRT DDMGSVLEEYRKNLKEIEDHLYNVEDSLQRKIHALVSSRGRDSGSIANTQSSRVRELA ATLGDVETAILGVASRVGTAKEEVQELVLGPMGVNGTGIGNGWQPRAY TRV_07697 MLSYDNNNFHQQNTTEESNRGTNTNHNMALDGLNPPNSRTMNHS ELHCFVEDDQKGALESIQGIDSAKIPLTRASSELLSYVLRLHKEYMNTNPRTLSHTLL PDWYKVEQLKISSSIPEQGASRGTISALTFLMRDPSNLQTTLEKLNVEPDEGLEFPTL YTDLKDKILAEVAGEKLVCRPTTASLILETLSHEKVRKLEYQKPTYHHQPNDKLENFE LCPRIEKSKETNHSSVVKNATNTGRQLLPAVPRGPLLPGLFSASDSVSSFIEAHGKRP PWNNNNMSNSKLHSFANFHNTKVPDQQLQTAEFLIPRKTSPEAGLYTIPLDELPTTIS SQKPMVLFLSTDLLRTHGPLVHKLETLSNPPTLIFQDHSTPHSYNMGEGLCLRDADIT LSPTVGMLLATTIDFMQLHLPGHSIQLESDIKLNSPFQEKIYRTCKKYDELYIFTLHH ADPSTPLVSVKQNTFNAMATIGAFCNSMNIYSKVRVLNVTSDVTNLAHWVINLGKRHQ NLVLQSDIERIISGLNGLPPQRHSGASDLEPISSTLDEQFLTQCLALNHFAAALVVHI LLPSLEQTQEIDQGLLLTASCPDEESTTFPVSKRLTIGLGRLIDGKPEEKAYAVYLLG ERAFSRVRDRRRRMLLSPFVPMLVRE TRV_07698 MEEDDDDLYDPTDVAPSGSSAAQNTEHQSGDIGMTEGQGHEEEE AEEEEEEEEEEEEEDDEDDFKIITEAPPEVVAELTTQTSRHPAHRNEPQKSATDPASA ASKGATLHPTPKPGTPSVTPAATTKSAAPQKPGSSYPAHHTSTIDVSANPVHPSTNKP ILSTDLDSDFPTEDDKPWRRPGSDINDYFNYGFDEFTWASYCLKQQSLRKDINDQKSQ MEDMQAFLSLPGGLPGMPVPGDPAPPLPGLIPGAVAGGGGSGGSGGTGGRGTPGPPQG AAGPGSQNAMPGMPSGMPDLSPDMMQAVFAGMMAQGMDPSSMDPMTFMQHAQAMMGGG QPGAGNPQVPQTGYGGQAGGQAFSGQATGQEQMGYGSYDQHGAYSNPGARGKGMRRCY GCLGIFSGNFLGVFFSLNREGENKNFFLLLLFSSFNFDAITSYSVKNLAPLLDRVLVQ RIKSEAKTASGIFLPESSVKELNEAKVLAVGPGALDKDGKRIAMNVAPGDRVLVPQFG GSPVKLGEEEYSLFRDHEYVDIILPP TRV_07699 MSAPSEPPSRTSTPRSFTNQSTTAEDLFKSQTVGLVKLSDYRKR RAEVLEQKEREAHDKSLGRFTPGTSRSGTPSAGDKADGEQSDEPLKKKKKKVRALAKS KLSFGNDEDEGETEDTPNISRDPSERRSRSGTPRESSPKPSRRLAPNPHLTLPRPKLV TKSALEAESKARDALWREFLALQEVVKATEIVIPFIFYDGTNIPAGQVTVKKGDPVWL FLDRCRKVGAKLGLAGAGGAARGRKDHRREWARVGVDDLMLVRGGVIIPHHYEFYYFI ANRISNFSGNGGLLFDYSDAAPPTSSDNNSNATPVLEGKDADPTLTKVVDRRWFERNK HIFPASLWREYEPGPEFEEKMRGVRRDNQGNAFFF TRV_07700 MYGSHFPSWCLKNSEKAIKQLTNSVQILPGPFEQDIKREMQCNG QADGSNGARRKFCYRDPPASDISIFLELEHGQEQLQKKNQELVNVYRDKCKKHAQMTN LYNLLKNRAIRSQIQTAVSDTVAQTLNSYGAVDNTQHASLDPQRPTTSLTISRMSDIN QYNRASNDHDSRVPRQHKGAFNHRDGTMMPPPSVIPVSRVATTPNPS TRV_07701 NTTFAMAADKDALATDAMSKAINSTETWHAFLPSEPRDILLLPF RVVYHAEVFIITLPRQLIRFVGLDVAFSSALDGFARVFGIGGGDVAGDDAAAAAAVIA AMTGTSANGALSGDAAAGSAASTEAASGFSMGGFIPSLKKFGGFFNYMTTRWSLACFV VWHTTLILRIIPIVLFATQILKLLRAIRCQTSPQYSIFRYDQPGKQSRLDYAGEGGFL YHLSSSLLQWEAEQSSCSATFTSPGPGVTYGSFSLLWPAFLRLCFGHFIDTLSAALQG KPLATEGGMSIFELSLAFAEAEIQIVRSVGLEFLGITKSTASVSVEGAATGDGASTVP LFSKERVLERLNVTPELLVIALLACCNSLATNLLDVFGKQSQYRLINTTVWGLSFMGF MVWSFLRSYLDGNHAGLLNFPTVCIVGFVPHLLMLLGILTCLAIYTIALLLTAYSLPS NLPRPNSFRERLAIAHGNMQSSTQIQNTRFQMHDDFYATLVRVGYAALSAASDAVFLN EGKSVKVRKMTWLEEDRLAEFTSRRDQSSQECLPRGDDVQLDENFDFAIPNHPRQWES GYGKEKKLERTQSSSQAMKKQSGLGNVGAFRGPTRCYHGFAFFKAIFYMLAGWWAFGL VRLLDHFKLPLKPQWLVHLAGVRQQSSSTNEVAIRPPLDFWILTDEGVLELPETDDFD VEKEMRKREMMVSESWGESQEEHLDNKLYNWWKVGGSWGNQDMTEDYSPPPQDWDTTS VASTSTAEETEWESCDSDGRRTPTPSNPFPGLSRVPEERIDMTSLARLLDPKDMETRD EARILSAHILASSEGKIMTRRRFRQQLDVERARILTSSNACWPAESRRSSRPTMEEEL EILENLILERRQGTSQNNIPPLTSENSQTWATGASGLGPDGPQCVICQASPRAIITWP CRCLCICEDCRISLAMNNFSSCVTCRQEVTGFVRLWVP TRV_07677 MLNASATELPPLPSYTLTPRQPLIAPIPDNATILILPVVAYWAL SMIFHWIDVNDYFPQYRLHTPAEILKRNHVTRWEVVRDVILQQIIQTLFGILLIFFDQ PEFNGREEYDIAVWATRIRLAQRAVPRLMMLLGVDPVSLAAKLSGYPILAAVVSGGHY PFLTQVVTSSTGETATAPAFAAWEWAVGYSIYYYLIPALQFVYAITFVDTWQYFLHRA MHMNKWLYTTFHSRHHRLYVPYAFGALYNHPFEGFLLDTAGTGLAFLTCGMTTRQGMW FFTCSTLKTVDDHCGYAFPWDPLQHVTGNNAAYHDIHHQSWGIKSNFSQPFFTFWDRL LDTRWKGDVTLRYERSRMAAQKKVDVDLASCSQTDGTQEQKQIPQSETHIVASRDDDD ASTRSLLKRSVRKTTNSFSPQSDSLKGLTHRLSGSIQHK TRV_07678 MNRGKGFTWTPNPTKYEDAFKVMKTALEQGANFWNGGEHYGPPD ANSLQLLRAYFSMYPEDADKVVLSIKGGMGKDHAFDGTEKGVRPSVENCVRLLGGTKK IDIFECSRVDRNTPIEETMAALLKMRDEGLIGGIGLSEVRAETIRRAARVAPIAAVEI ELSLWSTGPTNNGILETCKEFNIPVIAYSPLGRGFLTGKIQSPDDLPDGDRRKTFPRF QPGKIENNIKLVKALEGIADRKGATLPQIAISWVLALEKRFHVTIVPIPGSTKRERVL ENSRVLSLAEEDLEDINRILSQHPVEGDRYEEQVMLLSDG TRV_07679 MFTLSFNSSFDMKTNFTDLLGRIPRLAGGDASLLAPTYIDGTIF YSDYTLLTYGGITRRPLQTPTNSSLYGYDAYQYGPFKPPFKPGSILPQIREDVTRYIT HGAGVSVPSENKGYYFSGRQRENGDVIDVVTQPNITANTLISVDLSRFDEPKFARDNL TGVTGRSSAELVWLPVSDGVMVVIGGVTNPESIYPSPISSQEKEENCSPTSVDSIINT FCQTKKDSAFMETVSLYDIGTGEWYSQKTTGDIPPASNSFCSVVGEAADRSSFNIYIY GGYNGTERTVRPYDDVHVLSIPSFTWTKVYTGESNMGRRAHKCVKPYPDQMFVIGGQY TSQTSCLVGPLVRVFNLNELKFKDKYDPREWSEYIVPDVITRKIGGTGKGGATKTQPD SWDNDKLADIFGKKYTKTIQKWYPYEPAKATPSSPGTTAPPGEDKGAGGGLPRWVGAV LGVVLGLIFITALAVVWLIFRRRKERRYAPSLGGTSEVARRRILGWMYGMGQPSHKPD MTTTSTEIGINDKHASTGIYSDSGIDSVVSPNNHPPSTIVYSDLNAPEAGSSPIHEMH AGTVMSPSELPTPFNDTPVTARHPSETPSFISPISPATSPSPENQRELHHPARPTHGR HGSSFSSIGVPVTLDNVVVSENTESRPRERRVSGFTEEFSDTHSPNYEDVETGHKI TRV_07680 MRLTTSVLLWAATSVLQADATQTYRSPTGPEAYGAYPPRYTVSY TTITSTSTIHSCPPRTTTIFTSSPPPDDGCKFPGCPNQPPKPGSDVHCDIYCWAKGCS LCKNDTCPEQGGIYKRREDMKNIFGRSSGSAEGYNYTPETTCCCCCEPGGGKHTVTVT KTKTETKTETASITKIITDYVTKTVPIPTTVLEPTTIIDPTTVPTTVPTTIPTTVYNE TTIHDSTTVSTTVIQPTTISDTTTLTTTFTTVVPTYITTTTFGTVTSVVTVMVPTTIT STYVSTMYTTLPGTTLTTSVTVPGPTVTPPPVTLPPETKIITLPASTVTKVTTLPAST MTVTSTLPASTITQSGTTVTLPGNTTVITSTIPASTITQTYTEPGTVSTITSTPPPET HVITLPGSTITKVTTLPGTIMTVTQTLPASTVTQSGTTVTIPASTTVITTTLPASTIT QTLTEPGKEITVTKTNTVTTTTTSFSISLCPTRTANPTYTPLAPLPSNYIWGCPPGQL CRPKRTPADGQCNFEVGLPSQNFVCSPDECIPSPPRHPPQKWTPGKVEKWVVSPGYFN IDPRKFGLTFDIFSFENVTATSQGYFRRSLSALFKRGPEIVAGECYDECDSAATEAEA VGADPALCKPDSLFMKLVGQCKKCTNDRSNGTYSEFDTVLFPEFQKWLDYCDTLPIPS GPTTRPEPGMTSSTTSSPTHSTVITSMTSMTTSESSTPTRSSSTVTTSETSSPESSRS SSESSTESSTDSSTTERTRTTSTAESTETTEPTSTDASTESTSTATHSSTGSDPEPTN TRHPPSTASGSTTTRSGGGGHGSSSSEGPIPTSMGTPTTTGGGSIPSSGTGIPPSSST DPVPFPGGAGSLSPSTWGKAVTCISSMALLVAFI TRV_07681 MDGQRSDSSFTDAVIVGNGPSALILSYILHGNIPYYNLDSPHPD PILHAKLRDATELLRLDVARLTNHFEASRFSYSTQSLPINSLVDSLVRPFGETDDRES ASCVSWHHDPQRAVPHLCLGDALQPGGQWTECPPGTTWDIQSLSYAGMLSLPGYSFYD HYYATHGVHMPSYTRPSRQAIAEYLAAYPAAVGIGNSIQNGQLVSGVSRTDGGFYIAS HRIHCKHLVLASGIFTEVKPARPLLQPLLSLPDHPDVSTTEKAPLLVIGSGFSAADII ISAPRDQKIIHIFKWEPETNPSPLRSCHQQAYPEYAGIYKLMKRSTLAKFTTSKPNKR NQSSLSPFLTTRDWDDIYEALPNTLVVDVEVDGTEGVVTFRCSDDSTITRRVSGLSYA VGRKGSLAYLDHMLQREILGAGFDQSQDASIAKDTLKSAAVNDLEVANDVFIIGSLTS DSLIRYAYGGCIYAAGKLMERHAQRQVHPPPCDGPVDHTVPGQPQPELPTTPSPNTPM NILNHTKFNNKSSNTVPSELRMHRVSSDLKLGSKSDRFGSRRQRPWWAFIFSS TRV_07682 MRPPFLLSSALFTFVRNTPKFQRVLQRLPRQFSTLPKLAMSTPR EAPGSQYEYIDDSVELLERYLPGGYHPISIGDLLNNRYRVVHKLGHGTFSTIWLAHDK QKAAYVAVKVSTADSTPREADVLCTIASSSSHPDHPGRAMIPRIQDQFELQGPNGRHL CYVTAPARCSVAAANFCRLFAVETARSLATQLVLAVAYIHGQGFVHGDIHLGNVLIRL PSSLDQLSVDQLCEKFKTPLVEPVVRHDKQPLPAGVPSHATLPVWLGKRANEILPTEA HLILSDFGEAFSPSDPHQRKLGEQCRSPAAFLPPEAHFEPEKPISFPCDIWTLACAIW SILGSRDLFESMLATSDDISKQQMDILGPLPLEWWTAWEARPRYFDEGGQPNEGRFVY PSLEHQFERFIQKRRQGDRMGEFDAEEARALLDMIRPMLAFKPEQRATIEMVLASDWM IKWGLPEFQKLQPVN TRV_07683 MLQAKKVGVRNSNKPSSHCEEKKRMLTSYAYTEYSILLLGLDNA GKTTLLSQIKALYIPPADGQTQQVSAKTVPTVGQNVSTISLPDMYLKIWDIGGQISMR GLWQSYYSSCHAIIFVVDSADVGDDPDVSDLGPLTTPRDDGEVGTEFDGAGDGGDGAS LADGGLEIQKIESIDRAGRFGRLDECRQVLESVLRHADTAGVPILVLANKQDREDCVE VVRIKEGFVRKVFEGQEGGGVRDSRVLPVSALTGTGVQEAVDWVRSRVKWNKEGRPPV MR TRV_07684 MPTVFSFFPIFRNIMIREQIEVVHGHGSLSCFCHEAILHARTMG LRTVFTDHSLFGFADASSILTNKLLKFTLSDVDHVICVSHTCKENTVLRASLDPMMVS VIPNALVAENFRPPSYTESVSGSLNNRPLTHRMPGPRLLGPDDTITIVVISRLFYNKG TDLLIAAIPRILALNKNVRFIIGGSGPKAIDLEQMLERKVLQDKVELLGPIKHEDVRD VMIKGHIYLHPSLTEAFGTVIVEAASCGLYIVCTRVGGIPEVLPQHMTTFAKPEEDDL VQATGKAIAALRSNKVRTEKFHDQVRMMYSWTDVARRTERVYDGICGAISEEEFYGNF PKESWTGSRRREHSFALIDRLKRYYGCGIWAGKLFCLCVVIDFLLYVFLELWMPRSSI DIARDWPKKPVGREGSQLLDVRQPMDNARHHPGNETWQEMI TRV_07685 MADTAHRTDHSSPATRKRKRHEDSSDRPHSVEKGGRKKKSSTAG TPQKDVGEMRASSKTDSEARAVGNGFSQRSNAEKGAEEPTDFAVEDKPDGRKKKESGG SMANGSDKQARKHEARGMPSKENDTRRKVISTANGDDATTKRHKKIMSKYEKAKKSAA DLVLPDLKENKPPVEDDEKEAHGLVPLPQPAPAPSESATPSYSTLPAWLAAPSKTSSG LRSSFSSLGVGTGLVDVLKNKGYTEALPVQSAVIPLLAKGPARYTGDVCVSAATGSGK TLAYVLPIFAGLKRLPVAKLRALIIVPTRELVKQVRDACELCSSGSGLRIGTAVGSTA LKDEQAQIMEQISVYRPESTRSQNGTIMTADEWASFSLVDYIAEAEEYSKTLPGHCIE SSPCVDVLICTPGRLVDHIRSTKGFTLDSLEWLVIDEADRLLNESFQEWVETVLPALE TKEKPAATGSLEQLIKALSYPAESRKLQKVILSATMTRDITKLNSLRLHNPKLVVVDG AEKDEAEAGEAEPDSNIALPSLLNESSIPVGDGSEKPLYLLKLLQSYIGMVIEEKTRT KSRQISVSSDTSVSSSDSSDSSDDSISSSSASSSTSTSEDDSDSSSDSSTSVSSDSST SSEQNNTSGSVLIFTKSSEAASRLSRLLTLMYPYLDGKVGTLIKSNKSSTSRRAISGY RKGKIQIIIATDRASRGLDLPLLDNVINYDVPNSLTTYVHRVGRTARAGRPGSAWTLV THSEGRWFTNDIARGSVARATGKTVKRVPVKLDDGDISDLQDRYARALKQLEAEVAGL RKGVGKDSPVKAAR TRV_07686 MDFASLMSKEISKAKSDAAEKKYTKRSDIEAARVAAYNAEQEKL QREREERAELKRRRDEEEAEQNRRREEKRRRLAEESRARREAEEEAREKERRKRLGLA EDESSSEKDGTTIQDGEDLDDDELVGKLRELGEPARLFGESHKGRLRRYRNLVNPEQN RKKLTDGPIPTTLELVPEADMKVPDKLPADEEGKRYLFRQLASYFTLVLKEWEIALAQ RDDSVKQTFQGKQAYNAMVQSRENMRPLFKKFENGDIEDGILEPVVEIVRNAQNRRYV DANDGYLTLSIGKAAWPIGVTMVGIHERSAREKLHEGGKGQAHIMSDEITRKFLQSIK RCLTFAQVRWPPDDQLQLMG TRV_07687 MASGEEALKIDREKTTPFHLKLFYRQNSFHSLSDFPILSAPSTG ENGTVANPPLPPHLQIYTWQSCSLRELAHLLTSTLPALLPDPAVGTRLSFRLVYPDTR SQPSGRPGDVGDGRGKYISKDIGSVIIRPKKVEDEGEGITLEGDEADKVLHDVRFVIG DYIDCAILPPLADGSVAPPLPSRGPSTSSVGGGMRAFGGGPFSRDSTLSRSRYGPGRS GIMYGSNQASVPSGEWRRGERIPDGSGRAYGRGSRDGGRPY TRV_07688 MSLYFEAAAVLSGPSHAGSLKSRVYTGKWKSPPAQIYALIVEVA KYNECIKEVIDNAGILAHESKLTPILSLLLVHDFLLSKRGIAAPSNHPLRLAVERHKS RLNAELTKLRVRRGCASKEELKHKLVQDQQVMKTFSPRWIRINNVLTTLDHEMKSTFA GYESASSLSELAEATPDEKKYCLDEHIPDLMAISRDIDITSSSAYKEGRLILQDKASC FPAYLLLGDHPGQWKGDLIDGCAAPGNKTTHLASLLSSTPEKQKNRVFSLDASHSRSK ILQTMVKKAGASNIVTVLPGQDFLALDPADTRFQHVTALLLDPSCSGSGITKREDVPQ LDLPKSKSELTLATTGSSKGSKNRKRKRENPADSSSPGSSPTTEAKEDESERLAKLAN LQSQIVEHAFGFPAATRVTYSTCSIHHKENEDVVARVLASSIAKNLGWRLELRSEQAR GLRNWHHRGILPGDTSERPPQLSPEQTEACIRCWPAGDEGTGGFFVAIFVREEIEGKV GGDEDNDEEYDDVWEGFSSS TRV_07689 MDSFTFSMQPPAQGITGLNSNKQPQYPIFNDGLQVRMTVFVDEQ NCDPENEVDADDDRSWHWLVYAGDVDGGCKAVGVVRLVPPPHGGHQHQHESGKPFVKI GRLAVLPTYRGKGLARRLVEMALEWAAEHKLDVGGGWEGLVLIHAQTDVEAIQTEERK NKKIKKKEEGRRKKK TRV_07690 MIRKKQSNNQTSTSDGEAKQQPDEQKRPAEEDKEKEDIKRHPGS KQISRQRPSMQVSTANASASRHAPDGQPSPANSASATPTNNSPSSPGPMSAQPQLPHQ PLQGQSRQLRPLKTPLYVPAALRRTERPPKPSPLTPPRSVHGSVDGQDGQDGSITPTE NLSRRSTVDSTRSGVSRLAQDEWLRDQNLGEVTGSPTREHWKVDSPLPLSQHTYLDIP GTRADSASPSCDSPVCKSFFGIFVRRHHCRHCGHVFCSSHTPYTIPLDQNARFHPDGI PSRSCDLCWAAYRRWEQARTDQLNQIQHNLIANLNAPERSRGWAIEADRLSIADPDAD SDENQDGMIATSVPRDWSWSTF TRV_07691 IGDRHFQIPRDIFSSPGDSPNFFSLGFAVFFASPTAVFPGLERE GLLRPPSITPPIVSSRSGDVFAELLHLLRGYPVHIRDEEHRACLLRDCRYYHLRGLEQ KLIPHDISYNLERRRFEITVRLEDVRPSGITFVPDGEGSSNPNANSTITGGWIHYARP FVDDDHRELIIEIGGGNTLVDLTTMRAELHGLAKARVTSLCQVISNKMNLPTNTPLSL TVTPGNSSSSNNSPANGSNTALTGDRIKIHIDSSTDITLDGEPFSPNNNYDTTSNGSN NSTFQPAEAPAGYSPMEGNAPPLTPSGLYPRLQTPSAAAVQPNQQLPRKRRRVDGSER SRQWVVDRGQWRLRVHPSTNASTGTSQMEVVFVAVKLEAHSSQRARNARRSFVS TRV_07658 MARLSEICIIGGGVSGLASALALAKYLSTREEHANITVYELRDL PSSLGGAVNLTPNALRNLYRLEVLPHIYKNEYGAEVDRIELFSNYSGPEQLASMDFVD SQGESMSGLKGLRVLRLPLLLAMIDAIHDTALPGITLNIVYDKKLVKLEEISPDAQGD GKVQVSFEDGTVVEADLVLGCDGIHSKTRMNYVDPEREPVYTGISVAQGYVARKDITS NLHFKDTGMIMARRGSLLTSFYESTRMSIYLASVMEAKEALSRDGWKAKGEDQEAIKA DILDRFGDSVFPCVKEMITASNSWYLFPVYKLPPGGKWCTERVMLLGDAAHAMPPQGE SIGIAIEDAILFARTLAKYRASPLSETFRVYEELRRHRIDEQYQEARGIEALGDCS TRV_07659 MIFIILSYLAGVLLVLITSMFWIRRQKTADESGNHSRIQHLKTL KTRHETASDLLELVQIDGAGAWPPRTDFESWPSPLRPYHDIYSNIIPLLSTAEPSLDD AVNKKLVGDFRSRMRKMLAERINLAHVKEIMAAAEAGKWDVFPRDAYNGFYCCIAVSR HAYRWGTIPVVEFAQREQVLELPPELDLPWDYLQRNFGVTAASGNNTANVLLNINKRG EREYKINVAMSSLIKSSEETFFRIFLDIEVSPSIYQAFPIYYEMVCAIISYEDNNRVT CLKYLESISFRLRRLLRLFFENLIESRVSHSVWLSYVQGFQAWGVGRIVNGEVVKYDG LSGNQVLIFQALDAFLGMDSYLSDEQSNRYIPVNQRKICHSLRKHSFRKSAQANGYIK IEYGFKNIVNHLRVWTILSYYSLQIDC TRV_07660 MASRPPQPPPGQPDAQYEEYQSEVITETTNRPIPAADGNEITPT NDVMDDRYEHGHDDYESGAMYETVRTWSPQSRPELVRIASVFSRVDSHPDVAPTTEDG GQLNRRDTLAGVKIGDPVLDPTKPEFDFYKWARMFTHVMEKEGIKRNRTGVMFRNLTV LGSGSAVQYQDTFLSPFAAPFRPGELCGKGRNPEKVILHDFNGAIREGELLMVLGRPG SGCSTFLKAICGELHGLQKKKESIIHYNGVSQHTFKKELRGEAVYSAEDEHHFPHLTV GQTLEFAAAARTPSKRVLGLSRKDFSTHLARVMMSVFGLSHTYNTKVGDDYVRGVSGG ERKRVSIAEIALSGAPICCWDNSTRGLDSATALEFTKALKIGSQVGGITQCLAIYQAS QAIYDVFDKVIVLYEGRQIFFGPTRIAKQYFEEMGWYCPPRQTTADFLTSVTNPKERI AKEGYENRVPRTAVEFEQYWKQSQNNKLLLADMDRFEAEYPPEEGHLQKLRETHGQAQ AKHTTSKSPYRISVPMQVKLCTVRAYQRLWGDKSSTIATNISQIMMALIIGSLFFDTP QTTDGFFAKGSVIFFAILLNGLMSITEINGLCKNIDPILPDAQRPIVVKHVNFAFYHA YSEALAGIVADIPIKFLLALAFNIIIYFLGGLERSAAKFFIFFLFTFITILTMSAIFR TLAAATKTIPQALALAGVMILALVIYTGFTLQPSYMHPWFKWILYINPIAYAYEALLV NEVHGNRYRCGTPVPPYGSGKNFACAVAGAVPGEMSVSGDAWVESSYDYSYAHIWRNL GILLGFLVFFYFVYLVVSELNLSSASSAEFLVFRRGHLPKNFQGSKDEEAAAGGVMHP NDPARLPPTNTNGTAGETAPGGSTVAVIPPQKDIFTWRNVTYDITIKGEPRRLLDNIS GWVRPGTLTALMGVSGAGKTTLLDALAQRTTMGVITGDMLVNGRPLDSSFQRKTGYVQ QQDLHLETTTVREALRFSADLRQPKSVSRKEKYEYVEDVIKMLSMEDFSEAVVGNPGE GLNVEQRKLLTIGVELAAKPQLLLFLDEPTSGLDSQSSWSIVTFLRKLADNGQAVLST IHQPSGILFEQFDRLLFLAKGGRTVYFGDIGKNSETLLNYFETHGAEPCGPSENPAEY MLNIVGAGPSGKSKIDWPIVWKESEESRHVQQELDRIQSETSKRNEGHGQSAEKEPGE FAMPFTSQLYCVTTRVFQQYWRTPSYIWGKLLLGLASALFIGFSFFLQNSSMAGLQNS LFSIFMLTTIFSSLVQQESTLTRLQIMPRFVTQRDLFEVRERPSRAYSWKVFLLANII VEIPYQILLGIIAWASLFYPTFGAHLSSERQGILLLYCVQFFIFASTFAQMIIAGLPD AETAGGIATTMFGLMVTFNGVLQKPNALPGFWRFMWRVSPITYTVGGLAATSLHNREV TCAQNELAIFDPPSGATCAQYLQKLVEAGAPGKLYNPMSTSQCQYCPLSSGDQFLGGS EIHWSARWRNFGIGWAYIVFNIFATVALYYLIRVRKSSGRPNRIISVIMYHLSRAGTY CRAFITGRKEKCPRKREQIGKIY TRV_07661 MAFRGERFHIDLSDDEDTPGRATAPTASLPSLSSFVSDIQERTP SAPTPPSAPTPKSTLTSTGFPAHRKRNKVSAFKRQREGAGAGAENDKPTVKENTEADE KRAIDEENRRRIEAMSEAEINAERAELTASLPASLIERLLRRANIEEDRQETQGEKRE THKQLEPSSSDTPDEKPKKSVSFDMPTSHQATVEEVKDDEREQTFTHPDDLPPSNPPP GLHPASQPPPPIHFPRPPPRSQPMPNLDPSSPSFLSDLQTHYFPDTPHSASSLSWLRS SDSDSDPSSAYHPSSTATSIAPAALRFSLKGAMLAPRTSLSIPPSKGLHHHAADPEAA GYTIPELAILSRSTVPAQRCLAWQVLGRVLYRLGKGEFGEQDTPLVNGLWSVVEREAV VAGMLSEAGADADIDVDGKKETSDGKLSTVQAKPGGIGRHASARAWATEAVWLWRRGG DGKRGLRRESEAI TRV_07662 MDPTSSPSLTSLSECESIAIPSTFQSQAGSKSKPNPEPQAPAAD SGVPLASGCGTVTVTAGSSSTAMDERKPAVPEDAGRKSGKESRRNSRAPATNTDTSHM ISQQETPAAATATGPKQKRVRKRKDDEEKAKPKRERNSTGDKAVAGAAGGAAAAAGKD GSEPKPRRQRNSNVKPQDGGGDAAATAASRKKAKLEHTADEVTPSVTPRQTKITDMVS MTSTATAHPPQLSQQQQQHQFSQHPPQTSSAQPSPTPAYSMQRSLSQHSPQPSPHHPA SYPQRNGNYEAGGTSGSPYAYNNNSTTTTTNNTHHAPPPPPPQQAQPASLPRSSGQNY DPIRSAIESSSSVSTPAVPTAKPAPAPQGSSSFSPPPNTVTPPPRPATTPFRASASPA ISSIIDFPAANSTPAISIPPPVTTTSDNKPTLSPTQNPANGATESSKPSAQPLTHSKS QDSNAMDIDSKPASSKPGPKKNTASSTGNPSSGALSPKPASSRNKEPPRPLPSTGSGL LSNALFGGDTSSSSDTKYLPNIILNIPIKGKSNLVINFARMAEEQYGFDALHPRIAAQ KERRARLAAASAVLEKSERAGRGESGAEDDLSLDVDRDSDGDGDVNMSGMGAHTPNGT GTDEATAAPNPNGEVKKPRRRKIEEYDRDDPFVDDSELIWEEQAAACKDGFFVYSGLL VQEGDKVSVEKYALSLYRLLGPYITVLTNVFYRADGTTKRGRGRRGGASGTTHGNSGT SSRGRGGHAGSSSTTNHPNGDGATAGKSGTGRGGARKPRITKADRLQMEKEKIEREKM AIGLSGKAAAK TRV_07663 MARKQEHCGNGDVNEKNLVQSNSIASDLSPGLEDDMVDRAAVYL TQAVEYPPLTPEAERALVRKIDWIVPPMLLLTATLGAVDKVALGTAALYGLREDLGLK GQTYAWAGSILPIGYIDFRPPSIFAFAPLDGFLEAIIVPGISLLIAGFYKKREQPPRN AIVFSAFSSVINGFLSYTVGRIPSPAPLRLWQYLFLIVGSVSMAWSIFALIFLPNSPM DARFLTDEEKYHAVKRLAENKTGIVNKRWKWNQVIEAVLDPKTWIIFLFNVAINIPNG GLITFGGILIKNLGFSPFQASLLNMPTGVMSTLSAFIFSSLAARWANRRCLVTMLAAS VPVIGSIIVYTLKRTDIPAQIIGLYFVS TRV_07664 MSKSRPLSISELSYFNNARNNLELELQSVQQTLPSYAKDRDDLL RVAEVKRLTALLYLRQRLGTPRNSSILSPVSVGLYARYPITFNTNTTRASPSPGPLAL VESSTLAWKEKLVTDIIAIISTLPGTATLLWPLFVVGSVDIDNEEHRRFILERLQNIQ NSRNLGNIRRARLAVESAYRARDLDHPRGNDWGREGRGISLA TRV_07665 MYGGKTALQSMRAKPESDRKNIERNFQAVPITQYAGRWLFLNTT YWDFGSSPDRPLEEPESLTQLYGAGRWTCSFVPSLRAPPGHPLTSFSQTERYLLDYFI EGIGPNCSLSPFYNPYLSLVTPLALSHAPLRNTLLAIAANQFYRLGNVKFEKEAYIYK QRALAGLQKEINERKPSFGAVATVLMLCFHDISDGCTPSWKTHLRGGMQLLNLLPLKT AEGHMLKQFFVMYFVAHDIMGRTAMEDSSEAETLENAWLADDDLEEVRGPSCFLLFSS KPLAYHPGRLICLWAALAG TRV_07666 MSDWMPILARLEYIPILQKGLNDLRLRLSSNDTGYSVCWVWSTA VVSLVQSQAWRFATKSAFGSSRVKIAHARLLTLGRTTTSTITTTTTITKVEILARKRT LFCTQASPLYGYVYRIEGGFKAIFSWLRSMATITSLGLHKPSAFGYLVAESILPADAP EDTYTWRCYNSENENGGIIEEELLYTDKCVVWSRGGIVKRSFNFEVEGESIVEALFTY FPAGKSNAAKQTRTGAGLENSTAAQAGDQGHTAASVTSKQSRLNGKIRKKVVIQDQTD SSSVPGLGTLENENTTESSRALVVVLKSQVHIFFISGDSHVVPLPFELDSIWPTPNGL LFQRKLPEKHEAPVPPAPPNSFVSSQPRHPTSRHSAASFRLSGGTGNRSLLALSPSQP SKLLLKTEKDDSAPRVFSLLDPHSEMGLVAVTSSPLDDDELHKRTDILSQTEELLYVS PTSEIPLGVLTKGASPLILLVTLNPKSGLHNIWVAKYRPRESPISSQNQRRKSSNTRS KRRSSHFDITTGTSTPVGPGPSSFRESFGGPGHGRNASQQLNLEGKQEGAADLASHLG QEFEDVGVTSKASRRVSSLLARSDLMANNDRSTFSDLAGSQLKSSFHGGSRRGESFGG TGPRLSQSFNRRGSLPPGTASVYSNGSSFLDAPVDKLLESLNNGGDFDGFESMGIKET VSNLPREVILSKIASVPSGIISTNALPYSQKTCPFEVFTLASSDESTLKDPESIPLAV CILNRNSKDLIVVTLSAQNLGSQARQYENGDNDISTYKVRALNVRHGSNVLDCCKLAD RGVSRMLVLSSTSDGRGELTLQAPWSTLVRVELPDSLVLYEPSNISMELTPSNIREEG LGRVLCKTPLNMRRLTQSTAGGKVDITDEQNRKHRIQIQLQPFNSLVRRILSLCRYVL RHAERAGDGVHIGWWQALRWLRARDVREENLEWTALVVILFSMAVYFIDDITTEPKVL PKKKKRGALMRSSTGSSIDLTDWHSMVDQESGSAGICASWMSTTAWDWIREEHTKNSV ERTQSHRTIDDHKAETQPNDKNTYITRCAQLARDFLSSPQGEAATGGDGYLTIAISRD QGTRRTALGTILVGMHLLREELKLSVANTDNSRSEKGLLLPVLAQIGGWLGWDSWTWK EGSYYGTETASIDAWTFEDTRITGLDLPPEPFTPPSIFSFVENTLQRTPSSFLTLMDV VSSSTIAPGSGKIWDQAVNLTPRSLALTGFLSEVRNKQSAPERMALLLRWGITSSLID TLPDGISTPLHEAIFNCQGDPPLSWGSSLLELVDREDLFLSTSSETPQLPASRSQFIQ PHDAHRDVRSIGNSVLDSSSTNSFEISAEADRHWITKLIFRDDRRYFEAARILNQMKA PTAECTPEPDWSESDLLEAQKELVQLVTLRTLSIPAGRAMLCFDGRVPLLTEKLPIPS FSLQCVMKPSNVTISADRSAFVEEKACWAFFHNGVSTGLAISKSAKGIDTSWILYNKP NELTNRHAGFLLALGLNGHLKTLAKWVAFKYLTPKHTMTSIGLLLGLSVSYLGTMDTL ITRLLSVHVTRMLPPGAAELNLSPLTQTTGIMGIGLLYCNSQHRRMSEVMLSEIEHME EEETSVSQEPLRYEGYRLAAGFALGFVNLGKGSDLQGLQDMRIVERLLALAVGTKEVN LVHILDKAAAGATVAIAIIFMKTNDKALAQKIDIPDTEVQFDYVRPDIFLLRTLARYL IMWDSIKASQKWIQKSLPSFYRHKYRLSAIKRLTTDDMPYFNIVAGLCFALGLRFAGS GSIEARDLLVAHLDQFIRICRISALNYDAKLTQNSVRNCQDIVALSAAAVMAGSGDLV TLRRLRSLHGRVDGDTHYGSHMATHMALGMLFLGGGTYTLGTSNIAVASLLCSLYPIF PTSVLDNNCHLQAFRHLWVLAAEPRCLIPRDIDTRRPVTIPVSLTLSTGEVKTATAPC LLPELDEVSSIKIASADHWTITLDFTSNESLRNKFRDGDQSIYLRRRANHHDSERSVF STTIAGLSDAQDIPPPAAIPRNTRPFYAMPPASLHASLQPSEKGPGEARMPPRHIWDF IFDLPAFSSLDMSERMAVLPQIPFHESLKLLSCGKKRNRTSPPSWLRPTAVDTRLVLN RTMRNLISAAACHGVSEEVVRDRLWQLRLLFAWIDGAKYSSNDDGAGHDKDGISISMS RWLKQEVIEDARWKIWRIQSGDTSVIDVD TRV_07667 MSNRCLFSYQFPHRVYDSKVYPIQSPNGSTVIIYGHDFGIRILW RGGKNFKKQKAQQDDAEAKAPVNGVDNNDSIMIIDSDDEEPAPTPQPEIALPEFEDDE EEIDPSRPFENIIQYLDVKLDTKVLGIAVPSILPGAARFLSSISPILSNMIVVTAVCS DSSVRIVAVPLIPPPPGTVDAEFWKPQIISLGEGSVQGPPATAAITSTRQTPTDEDGN RRSQSRGRAMQDTPPSVGNWEILVAIHTSDLSGKLSIYRIPFNGEMAQGKLYSLSTEP QLPIRQQFLKSPASSISFSPCQYPSERHSQLLLSFTNGSVKVYSCLSTPQVSNLDRKR SGTNAETGDATGRWLVTLYTDFDQVPGEVPRRKGIVDAKWALGGKGVFVLLSNGEWGV WDIDSSQGDNAHQGRSTSSLSSFAIAGRVGPSERTVRSQGHADTSESKLVQFAPLTPS TRRIREEALFKGVPNSATQHATRGSICIIPSNQTWDQPADESVLLWHGTRNAYIPSLA GLRRNYGKQGLFGNNQAGPKPTLIEHINLLGEVQKGIRFLSLPTPANQFNLTPIPTIL ITAERRLIILAENILDSAEAKQKAQQQGNAPVVEEDDQTMLQRGELDITGMGRVLAGM AASSEASFGRGMQTSSLLS TRV_07668 MLAFAGADEKAEGSWRVDYLVIEQPPSPRALSQRSSFPQTMPAL SSPDTPDSHQQQQHNQHTPGTKQASSLFAHPASKQPHEKAAANAFLWNPDFNNGDTGD GVTASANFFVDSRSRGPNSKAGATVSISPSRDQDRERDRTESVDSSLRNGRAVSSRNS MADNATTTTPTTTTNGASAHNEAYEAFADEHERWMNGTGQAQGQQGTINGAALPYRPS SKPSTTTNYQASQLHNYTGYPSTAELTPPSSSSQHEDAGPAPTRPPPPIPTTATTTTT TTTTTTTSVSKPTTPTPKPTTLDTNNLAPEPQPITYRHSSPAPQASSNAHSEIPTFPS SPPGGRLIHRHTLQVPRHSSSRASRELSTPIAVDDTLLPIDRVSSPTSVLRRGSFTIP RLSQRSNHSEPHLDEALHDEGAARWTEAFKQRRASKRKRREEEDDDRVIVGTKVDQHH VNWVTAYNMLTGIRFTVSRTNAKLDRDLTDADFDAKHKFSFDITGNELTPSAKYDFKF KDYAPWVFRRLRAKFQLDPADYLMSLTSKYILSELGSPGKSGSFFYFSRDYKYIIKTI HHAEHKLLRRILREYYAHIENNPNTLISQFYGLHRVKMAYGRKIHFVVMNNLFPPHRD IHQMYDLKGSTIGRDFKEEDLVANPRATLKDLNWLRRNRHINCGKEKREVFLAQLRRD VSLLQRLKIMDYSLLIGIHDVEKGNEEKLRDRTLQIFQPGGDLADEQQPNLLMRTPSR LENARKARELREMIKREKPVPMEHTTAKMPDEVLDERKNLVFYSDDGGFRATNENGDP GNEIYYLGVIDCLTHYGMVKKAEHFWKGLSHNSSQISPIPPQAYGERFLEFITSITKS KDQVEREKQTAEAAQTHTTDEAASSAEKKSTDAQKSQSAHPEPSRTLKTVSTPADFNN GGAAATLPVVEEVGENSSTGGRSGRSNVSRGGEGDGAPADEERWTSMESRRHSFRTAR EPASPPPVSAPPPIPSPKIGDGEYKPHTHLGNPTDSNGTIRAVRNSSERDKELPPTPD RPPMPQRRPPPTPPS TRV_07669 MEEDSATSNTPKYEYIEGCERLERYSPGGYHPVKIGDQLCHGRY NIVQFLGHGGSSTVWLALDKVKQKLVAVKIKTADSTDQEEEIMAELRDMPLIRQLQDV FVEHGPNGTHRCLVMETGLCSLRVSKVMSAHELLYLSTARVIIAELVLTVQSLHDRGI VHGDIHGGNILLRLPEDIRQITDATTLYQRFGEPITKPVVRVDRQPLDVGVPTHVYWP IRLSVRSDKIMDSHLPIMLSDFTSSYYPSQTRQMISRTLPHIVPPEAFSIDEHKKEES LCFPSEIWTLACTVFDILGGGGLFSDQASALGKFPEPWWSQWEERAEFFTEDGVSIEF PNSKDSLEDRYDWFITAARRRYKMEYPDEEEKKAFLQMIGPMLRYVPGERASIRDVVN SEWMQKWALPCMHRSS TRV_07670 MMSAGWGFAPLRSVFLRSIIFQHHHPRLPNKSLLTRPQLANYST AEIQPLDAEERIYHPQSDVEDLEGYRPGGYHPTVVGDTLCDGRYKIIHKLGYGGYSTI WLARDQQRQRYVSLKILVAAASRESDDNETSSKENSTNLMFPRDAARSIAAQLVMGLD YLHANDICHGADLHLGNFLLRVPEFDNLSPDELYERHGKPYEVPTHRLDGRPSTPHAP LHVVYPMKQNMPSNDITDPEILISDYGTSFAISKTTSPRLHTPALYAPPEDFFNDSII GTAADVWTLGVVLYDAMGERPLFETFAWDPDNIIGEMVNTLGYEQMPERWWNSWKCRS EFFNEDGSWVSNFQRIGTPAFRRLHQRMWDMGRGETPESCQWDVAGGELKALEDMLRS MMTFEPAKRPTARQLMESEYIVKWALPAWQKQMRRKQ TRV_07671 MASFQDLPHEIVQLIGSYLSPASLHNFSLVNSLCCAVSDPGIFQ HIHLVLSERKKLIEELHRWDQILHQSPRRASRHIRRLTISCTCYPRGWTDFESWAENE SWVCGCYCDCCPDNGVLDDFFYYPAGSTDLTAAKLDRAGQAELEEEDKRWLWHEKFNW RPLTEFIARLPALHELVYFRLNIPSSTDYLAKPTPCSGGCIQDIPRASAPDIPPRSLY WLGISNDNVTATCFEALARYILFSRLRCLVLLGDIQNDGIAWLVSNAGIFTSLEALTC DLTTHDDDTDSFEVRQRRDQTGVAVLAAFRPLKRLTLNNLYGRAVYNAALRYHGPTLQ QLFLHSTNRKILLHASVKMIDNIRLTCPNLRKLRLSIRRSQGDRHEVEHYRALAKIPK LELLHLYLDPINYSRDPDSPETYDLTRAPIVDDAYIRQSLVNCAVDASLAVSIFKIIA KNPFTSGYSAFKDITVYVRTGSARDISSGNPRYASYFSFFRYSTYKPWKCTRGEGYDE VVVKKIDIEHWSSISEDEIEDLDRDHAGLFRSIWPERRGRNCLEDWYSLPLDVDT TRV_07672 MTNSITSTSTSNSTSTSTSTIDKLKAFTHSFTRNKETKVDFYLK NYGFVPKNVMTEAEWAKARRDAPKVKSATGLKATAYQGHYW TRV_07673 MPAKKAASGKSATHASYKDMIKDAIINNAMEAGKLSSSRLVAGD VVMLTRCSRQALKKYVQNNNAISVTSQATFDAQFNRALKAAVEKGEFTQPKGPSGPVK LAKKETKPTAKPAAKKSAKPAAKTATKKATTTKKAAAKTDKADKADKEEKKPKKAAAT TKKAAAPKTPKPKANTTKQRKATSSAPAVVDVPKVLGKTKSGRVTKSSAKPAGAKKAA GTKKKAASSTKGTPKKAAAAATTSS TRV_07674 MAVQTRLAAGRESTLMSVELEHSPAQRRRRGDAAFNVSEDAKED SSMTLRPLLSRIHVSSPSDDVSSIRDHCLEVPPLRRTDKKKEDEKKAKGNDGDDEKEE EEIRDSLNNVDMRTAEEEEEEGRPDKTRIITNSNNKEKKGDAGRSTRPSRRAFIRRPP MPRQRQPFLSLFLFLFFFFFSSTTTTTTTTTATRR TRV_07675 MVSQRLARHSCISLFFFSSTISTSSTIFYYLHHLHHLSIFFSSR FLLRLCFGDPCLSQQARPSPKNKTTKKKVLRDKKTSWKKS TRV_07676 TNEADLYQIISENAPDAVKSGEIKNQFGRKVAILTNESGETTSH LMGMFYRTLRMVDNGIKPLYVFDGAPPKLKSGELAKRTMRKAEAQEAAEEAKETGTTE DVEKFSRRTVRVTKEHNAECKRLLGLMGIPYLDAPTEAEAQCAVLAKAGKVFGAASED MDTLCFAAPVLLRHLTFSEQRKEPILEIHLDKVLEGLGMDMTQFVDLCILLGCDYLDP IPKVGPNTALKMIRDHGTLEKVVEAIQSDPKKKYVIPDDWPYLQARELFFNPDVRPAD APECDFKWSAPDVEGLVRFLVEEKGFSEDRVRNGAARLTKNLKSAQQSRLEGFFKPVA KTEEQKASAKRKAEEKAEAAKKKKKEDAKAKRAMTAKPRGAG TRV_07650 MPPNACMVHLLASRSDGEETDLPIAVPFFFFLFFLFLLSLFSFF CFSLLLFFPFFYFPFFFYFSFFLFFFFVFSDSKEKKGERQTSSSREQQRRTSSVSSSA HLFFYFFIFIFIFSSTTYHLLLFIFYLSSSCRLLEKELRSCPFAFSSSPSLLNCCNHR LELFAAISRFFFLFGPSSDLTSFYISSLRSLLFFFGLSRIRLEERESPALSFFLLFVV VVVAVVCSEAAPLTLLRRRRRLSSSSSSSSSPPVVTTTLNTSWPHEAISSTNMTLQLK VPFFPFPSSSLLILHRLHRLCLRLRLRLLPLVPYIDSSVTDSYRKQCVIDDEVALLDV LDTAGQEEYSAMREQYMRTGEGFLLVYSITSRQSFEEIITFQQQILRVKDKDYFPIIL VGNKCDLEKEREVSQEDGEKLARSFGCKFIETSAKSRINVDNAFYDIVREIRRYNKEM SSYSSGGGGFGSRGPEGKVDVDDHGDQAGCCAKCIIM TRV_07651 MATAMGKRLDGKTILVTGASSGIGKATALEFARTSPKNLKLILT ARRFDSLKQVAEQIKTEVGEGVKVLPVQLDVSKKENIDKFIVELPEEFKEIDILVNNA GVAKAPEIATEDLEVMFNTNVHGLIHMTQAVLPIFQKRPNGGRGDIINLGSIAGREAY PGGSIYCATKAAVRSFTDALRKELIATRIRVIEIDPGQVETVSI TRV_07652 MAQENRIDWRPFTKKVLEKWAFASGPELPEPRHCTISSPSRLRE SGPYAVAYFYLVTEAEPGPWYVIESTLWDTEKNFKLREAIFIVPCRDPPSASHPFVCE WDDGLHVYIVRHLKGAKNLTVVKEAAKKNSKKKPRKSPKKKPQKMIEDDYPSAMESYD GSSDEPEGSSRHAANGQQDSIGDILDAKPLAPPHDGYPVDEKPSDYEKMADLNEEESS ASSPESDICDEDTPASSQSLDNIGDNSSEKPIDTDDIDTDEIDTEVPSFKSSESDEEE GIQGYNAADYECYRQDTELSEEALDEIYTRDQAQDEKSAVHHYNFFGDAMPARNSTPP EVSLFVLLKGPKCWPHESSCVASLMHRAIKYIDPVLYTGPLEVLSYAHTPSLLKGITG HVHKFYTSHGTWVHDKAKRGKKRPILDPADSDSYYAEPWLPFNGLLNPHWRSWSRNDF KKGMGERAPPKMIRIRPMPKSPLSQGYRDDDDRDGVAGLFYQVCLFAGFPQLKLGNVV RGFVHSGGLWILVWVMDLPGTQLHTEKRLLLFFSTQ TRV_07653 MLTLRSSSETVRGFPATKRDMIRQPSAEDLDAAHQLVSSARGVA DIRPDSFDASRSPDGDKASVSTGAAMDDAAPSDQNQSESQQQQQQQQQQQQQHSQASE QVSAPESSSRSRASPKASRNTEVFLGHQCVPTNRIRDSGANANSGYANSSTSDPRASP AASDVSAQNASGCGSTPAGTCPGGGSCNGTGGAVGCDGCPAYNNRVYKAAPRAPSVRQ ARASPSAQTSEEQAQSGLDALDSASQDASGMPKACQNCGTTLTPLWRRDDQGNTICNA CGLYYRLHGSHRPVAMKKTVIKRRKRVVPALRDRSPGAGSSDNSSVSPELHSASLATS NADTNAYPPSENGGTSYGTAQFPHSAPPPIDFTGYYSKPTQSTSSPGLNTLINHSPNT KKRTHSESTSAESAPPATRIQSDISASAHLPPINPASARALPNSGRLSSISSLLNHTD PSFTESHVDAALGSNAPARSQTQTQPQPGTRSYSPNPVNPPATQPAHGSHSIPPPPLT PAADDNVKAARRAQLQREAENMREALRAKERELASLK TRV_07654 MAASNKIVVIGGGVIGLTTAYLLSKDKSNQVTVAAKHMPGDYDI EYCSMWAGANFLPTGAEGSAHARWETNTWPVLEDLAQNHPEAGIHFQKSVVINRKKDA NFSTGLWFKELLRPDPWYKDIVPGPAAKFTLTPDSDLPEGVDNQAEFTSVCMNAPVYL AWLVSQCCKNGAVFKRATFKHIFEAAKVHSSGQKPDLIVNCTGLGSLKLGGVEDTKMY PARGQVVVVRNDPGAMYSLSGCDDGDDEACYMMTRAAGGGTILGGSYQVNSWDSQPEP SLAVRIMRRCVAVCPQLVGKDENGKQRGIEGLDIIRHGVGLRPLRQGGTRVEKDQIDG IAVVHNYGHGGFGYQTSFGCCVEVAALVKEVLAEKKIKARL TRV_07655 MLFPSFFLTLTPRHSIKTPLSLNLKSLEPFSLAFAYLPFKMRTS ILFSIAASLLGASAQAVEAPIKGYGVEPFSWEVKATANGPPLTLNGTVEEVHSQLLKI NPNYDIEMATSGRKREHARDIHGLFKRDNVICGRQRECSRKRIEEGIAYLYRVPGRPT NGPGPGNCGRVSCSYDSAIWWCNDNTFTKVLPGFYNVAEGAQLILNTCNPGGSYVSGQ DFHDDKWNVIVQYTQC TRV_07656 MGKSIESMHSAFQLLKLTAVKTLLAAALIWLVIFQYCRYQFWRD PHSAFFNDRAGVYDLGYSLSREREAHHFITRNNARAEPPASIKGGTDPLFCVAFVTVR READDYFDPSIGSLLVGLDPRERRALHLHILFADTDPKRHPSWGQIWVDRLADVAETY NVTASQLEHLKKLEKERNFYEKGVFDYVYALNSCKKSNAPYTVVFEDDIIIAEGWMAK SLRALADIKRMPSNKRPWIYLRLFYTETALGWESSDFAYRNMPLVFATVMLFTFSCLT VVRRLRSYRSLIDFPTTLAISVICVPAFIALVYMIGKYSLFPLHGVAEIAPYGCCTQG MVFPRDQVDGLVAYLQKRGHGQTDTMIEEYAEESKLTRYALIPQQLQHVGLKSSRDNT ELNAQSTWAFYFEQNVPKLLRKEHDEILQDREIGALLDKHGAGK TRV_07657 MSPARLTSQPGGKGSEKQTGGAKMLQEDDLHIECLKERRLYAVP TPGDGNCLFYSLSDQLYGHQGRQGEIRERLVEHIRTNAAYFVQFVPDVGGERRAPRRA AAARSKSQSYSDRAATSEGQWAKFEKLLTQMKETGFWGGSVEIQAFCQAYQRDVYVYT NQGITPFTSHGSLEGRENQPVHIAYHNFQHYSSVRSVDGPHDGVPELSRPLGCQGSSS SSEERQDEASSRSASASTDDSTVATREGETCQNPTAIAEAFPDIDDDTLRCALRRFAA DLEGESSVDLDEEKFRAILPAIEADFIRTMQSLRDGPTSASTTHDCCSSSQPPSLVAG GSGSSTLTTPASTPASVCAPVSEPTEAATRSAATSTSTTAASVSPTKPPRRRLIRGIR PTARTLLRASSSRSSSRNSTASKRSAGRSDDEEEDGPDDELPLIRRRRGRDRKRRILQ DVTLGISKAGTSTHDEDCRIISVRPRDVAEDDGGSGGKVDEPASSETAEGEEEEAPSS NERASTAGESDSEFEGD TRV_07646 MATIALALVCALFYIIFVLISRNGSEKSLSHEGFFQRVTHLLQS IGQQKGPQGSKILKRKEVEETFGPTTWQRQSYDAFQAAVCSTDPVAFPCVYATKGFKA KEHRYIFLDSEDMNNKKNIATLAAALKEYLTTPQSELGPNTSLVVLFPVINSQLSPQE YHQKYWDCLRALRKVDTKPWPSHIPTDTDTPLWKFCFNGEPVFSAAMTPSHEKRRSRY APCFCIVFQPNFVFDILFATDLKKKAAISKVRGLLADYDEVPISPELKNYGDATGRES KQYFIMDENQSSPPCPYTSLDV TRV_07647 MSSPGDTPSSQPSMTKLEILPTIGENNLQALLEEHGLEYTPDGE HIRWLASNPKHPRNWSMLRKIYDSGLIIILDLFTTAVSTAGPFTLIIDLLSWKTRYLL GQGVGGIIFPPYSESFGRKKLYIVSTGLYSLFCVVVGLVPSVAGVIVGRFFSGFLSAI PTIVVAGSIEDIFNSKDRIWLIFMWAMVANMGLILGPIMSIYIIDHLDWPSLLLSREV AKLQKSTGITTLKALNPDHTPDMKTFARIALFRPLKLFFTEPIVFMVATISAVAFALI YLFTEALPPIYEDLGFSSANSSLPFLAIGIGLISGVLTRIFDHRMITRYQREGKVLQP EHKLVGFSIGAPVLAVGLWWFAWTIPPRVPGVHWVVSAISLVLIGYALNEFDAVLAGY LADSYLSYSASGFAALSLVRSTLSAVFPLFAGRMYEVLGANLATSILAALATAFCIIP PLFTRYGPRIRARSKFARYSLQVYQENGVDKDGY TRV_07648 MADVEMTDAAGDASKGKAVAKTAKADAAGEGKKRFEVKKWNAVA LWAWDIIVDNCAICRNHIMDLC TRV_07649 MSSPAPTTPKAPRNGRRYQKRTPSSKSGAADPSRQPDKTNTTPA ANRDSNVASDSGNRASDSATKAKNGRSAKKNRDNHHKNSPAPARTASLGHGHRHTSSQ SSIKDSPLYAGPTFHASPAPSALPIPSFFSKSVPETDGRTSDELQDESPDTDPGDSTP TKANNAPQQTQQEAGTASPLDFLFKAARQARAANPVSELEDSGPFNGSTPPRNEVRPQ QGERQISGGVFPLELEGSDTRMSPIGPSFATFFKDRMNALRATSPGKQGGLPGTTREN STTDTNKLKSEALKNLLLNPPPQRAASASPRLTDPSNGPSNNGSNNNQNNFRMSGDYS MYQQPTRYASGPASPVPFAHSGKPLGTRNEFSGPESTIPQQYLASLCAQTHRSPAPSS GLRSMVSPTSPVTPPRQQSFSRYAQFGLSSAPPAHASYNGAAGGALTSPTPNRTMQPN SSTPPARNCPAPKLDSAETKRMEDDLRRMLNLNVGDGQQPENTGRRSS TRV_07633 MHMIAGTIAVALLVASAAAAPVDQLSVDKLSPKTEVEKATYWDL LGKRIIAELDLMVPRGVPVMASHGKDDDNDKDDDEYDDDDDDGHHHGDDDHDDHDHWK EVKTRGHKDHDDGHDGDHDGDHDHDGDHDDHDHHGKGMNARGHRDHDDHDGHDDDHDH DHHHHHNKSVKARGHGGHWDDDDDHDDDDHDGHDDDDDHHHHRGIKSRGHKDHDHDDD DHDDHDDDHHDDDHHRKRCLKCRGYGDHHDDHDDHNDDDDDDDDNDDDHHDRK TRV_07634 MKISTVTLFVVCWIAAIARAYIRLQVQKQFSWDDLFLAIAFCCL SIALAVFYTVAIDKMYLTLAVQYGETAGISLPQDIIQITFDYQKWIQVTTVMLWLAIM SVKFSFLALFKNLVKGILPLSRYWWVVTILNIGILGYGSVVTHISCPYYYTLKNCEHK QFKISRINRCANLRSSYMFDPVWPETSVSISFHTPSIGPVGRRFEKFMVSLVLFIPVH LIWTVRVRRMQKLGLTLSLCLTILMSIVTIIRGAGVYYKGLVDTNWETYWVIICAEIG ICLAAATCFRSFFIARKEYRAISVQKPSKYRWEDRWYRMGAGLFKGRVVVDEIPRSLS RQATGLPQLKVQTTTSQPIGITALPRLPSPQVSAFSQPTTSPQSSVFIFD TRV_07636 MPSKSREHHRPRDRGSDDQSSEATEGTAKPSHPSRTREKDKDRH SRAAHTSSHSSSKRTRPRSDKDRLKDEVGRSPNSPTSSLKIKKHPSTTLMSETNELKV STPYPSFSKAHSKEAVGSRDNLGIRINILTPEPTDLTSAEAKKDEQKPSLTTEDKTQS KRHSQSTRPPSPPLTNDDTELPRWKSTPSNDGRRSEEKETPNKSSGEAKKHRSSRSHS TRSSAGIYKKLSDQTLKTSTRTRSSTPTKLKIFEALQFSHRSSPTSAHRKSKSSLNRK SASPAEAESDNEIIDETVDSDATSIAPVQVKTTEQLPKLRNRPRASRPPSHASPSPRP DVLTQETLRDPSAASPPPPPPPPEIPLSRPRVDYLLQNGGLPYHVPRNFLGAGESANM PQHLFEPANTGMKLFEPFSKLLSDYSRVIEKNGSLAVATGYRSVARRLLDRLESVFAR DISSESCECPMCCGREENEVQPGVSWGEVLELVSGRGELPTWPPFRMQPELDPATIEN TQEHIPMQKLDIDVPEEYRDHYIRQSRKTKQAVDKWLSRQPDQPAEPPGEVDDETLTF AILTYLDPEQRAPFASLLEFPLGPAVPKKETPKPPDRPPCLVLGAIAIYRLYRLQSLP RDPETALYMVKHPDMHNVLATLAAISDDEWDILISGRFDGFLRSGAEDNSVPTSATEP PFDPHSSFQRNGNQTMRSASQPRAGASISTAAAGTYGAPISIDEETEIAALAEVERDI FLGMEALEDAFEILHGKAEMVRRALRERGAGLTAASQARRGCRSNIQILAGTPVPMGT PAEFESGTDEDVDDGASIAPSESASNISSNRRRRPKRRTERRTPAPVEEEPDEYNEYV VPIRPGK TRV_07637 MVQTQMRSAAPGGDVLDIFLPSAGREERPRFLSHLAASRALSPI GVKRRGGNISEGGETNNGQAFNGLVEERRKEKGTRKKKKKKKKRENKVHFLPLAI TRV_07638 MFLWLNGSSQPAKPVGWPNDMQYYEKTAISDRKTDPPSPLSGKV KRIHTKREQVPLMLQEFISPYIHFQICQASQDLFYFLFPNISVFFPIFQLNKEPALAQ WTSLQFLIYLHIEPLYSVTGPPSQLGTFIPSNTYTLTGRETASLEARGNITLDVSPLP DDYRKGTIMVVAASLVAVVAVLGLLGFLTHRLVFWHKHDGSYLGHNQFVVLIYNLLLA DLHVALGFLISAHWIQSDGLFASSPFCFIQGWLLQIGDPSSGLFVAAIAVHTFATVVA GRKLSYKLTVSCIIGLWCFCLLLALIPTIRHGRDTYVPSGAWCWIDDDFEAERFWAHY LWIFFSEFGSIMLYTILFFYLRRKMKASAALARGQRENFGRLSRVTGYMVLYPLAYLL LSLPIAAARMVSMQGNNPSLTYLCIAAAIIASSGTVDVIMYTLTRKALLLDSELSRPS EKGGSGSNARHSQITSTAPDPRKPKGYELKKLNKNNTKNPAFFADQSTDDIIDQLEKG GFGKVYQQTTIEIIHEPAGLDNNEPPSSSTSIDQAVIARGPSPQPGQPWGGEWK TRV_07639 MAPTGQAYSSYQPQSMYSQPPPTTYSYYPTQSYAAQTGMPYPAP QSGPAQSYYPHVNQGYYTSQSQQPVVHHLPPAPVGMFTRNLIGSLSASAFKLSDPAGK LGIWFILQDLSVRTEGMFRLKMNFVNVGTPQQALSRSGNTSSSTPVLNHGSAPVLASV YSDVLQVFSAKKFPGVIESTPLSKCFAIQGIKIPIRKDGVKGGRGGGAAMMLKGDDGD GDEQYDE TRV_07640 MEAVAVAGSGFGRGYANGAVDAGADAGAADVAAAAAAAVAAVAD AADAGVVDGEEGELGLGLELELLGLAYTAGSEDCP TRV_07641 MYSPQPFLTLMTLLLFFSFHLSLLTKKSQHTITLQTATCKFKRR VNITAVITDKHRHLHCEKLTTLEDIPSCIHDPTYLNEARENSGSHTGEKESNIKNKRP KDEPRKDTITKSFTMDLKFTSDQHLAIQLTLENSDRVKRWLRTLPANPISPSNGSISP DFAKAHLMDRCRHPDCAFQVRLISVHNHTHHKGMPLDQLYPVAWTSSGGSTSTGTTFL TAKSHQTASDGGETKPEEQNELEELKEPQELEERGESMEPEEFEETKEPDGLDELPIP EELEELDERKRPEGPGGQDKQAEPEEREEDKKLEEHNVLEEHNVLEEHNVLEVHNVPE EDDESEVHNELEKHNEPEELPQRKQPDERPRETEEMDYLATRVKERMEKPRKKPQRKP EALVSTNQYQAQKEY TRV_07642 MAPPFESGGSAFDTRPFNSQAERSCLVYKDGNSFVNFSCNCNNH QSSKQSRHIYSIFTFLLAKYHPSTQSSLIWLNILIDYLPSSHHHLTFSTMDKCTLETC PITESIYQYKPSLAGNAALLAIFAASGIVHCLQYFFFRNGSYSIPMILGCLTEVIGYV GRVLLADDPFSQNGFLIQICCLTLAPAFFSASIYFCLADIVRLFGEEASWIKPQHYAW IFIPCDLVSLILQGTGGGLASVAADKGTDQAPGTNTMIAGLAFQVITLVVFIFLTLGF IIRTMKSRALQEKEMGARPKLPISRDRLVTFAVPFTVAIVTIFTRCVYRVAELSGGWE GDLIHEEVTFVILEGV TRV_07643 MASLRSTSRLLRGSSALMRPVMASRSYATVEASAKPTEASSAPT SAPAPKMKTFKIYRWNPDEPTAKPRMQTYTIDLNKTGPMVLDALIRIKNEDDPTLTFR RSCREGICGSCAMNIDGVNTLACLCRTPTDTTKETRIYPLPHTYVVKDLVPDMTQFYK QYKSIKPYLQRDTPSPDLSFKKGRENRQSPADRKKLDGLYECILCACCSTSCPSYWWN SEEYLGPALLLQSYRWLIDSRDERTEQRKAALDNSMSVYRCHTILNCSRTCPKGLNPG KAIAEIKKMMSFN TRV_07644 MPHPSLKDNRALPLLDAAVAGKYAVPGMCCYNLEGILATVRAAE AKRSPAMVLLFPWAIEYADGLLVQAAARACRNASVPVTLHMDHAQSPEIIKRAADMSP TFDSIMVDMSHYEKAENLALTRELVEYCNARGIATEAEPGRIEGGEDGVADTADLEGL LTTPEESQEFVDTGIDWLAPAFGNVHGEYGPRGIQLDYDRLQRINKAVGKKTKLVLHG ADPMTKEIFEKCIECGVSKVNINKVMNNEYMKVQKEFAGRVPITKLHELATDAMQRAV ERSMDLLGSAGKAA TRV_07645 FIPPEYTTPTHLELGVISKCFGRIMAITSKPTVGFVGLGAMGFG MATHLVNQGYTVLGFDTWAPTLERFKEAGGTVAASLEDSAKGCMYYVCMVASAPQVQA VLFDDARPIVNALPHEATLILCSTVPASYAKSVRAQLVSRGRGDISFIDAPVSGGAIC AANGSLSIMAGGSDTALKRGEPLLAEISDTAKLYLVPGGVGAGSNMKMVHQVLAGLHI LAASEAMGFAARLGLNAQEVCKAIIASDAWSWMHENRSPRMLKEDYFPGVSALTIILK DVTIITKSARIKHFPVPLSSAAEQIYVTGLSRGFGPHDDAGMVRMYYSEAITKVASSA TRTPDSKSWATNLVISLLRNIHLCAAAEAVAFARFLDLDMTQFYELVNAAAGGSFIFK SKATEMIGGIGQPAVPTGRTLDEAVDELSVVVQEARNLDCPMYLGAAALGMMHQAKYR GWGKGPDSSVVRLWEMHNGDSSSST TRV_07626 AGTLLIFHTSTIEEADIDKKLLAVVIYHFFDTWLPYHLTYTTNC CLTLCYTLFSTRPTGQPLTCMDKKHLLILVITSFASWLLIVLLVFATWKAADWFGQEP TDVETARVEPDIPPAIPKAKHVRFSATVEFI TRV_07627 MAPIYSHYISLQRREIIQPPRHPNGPGGAVPVDERIDVRTFLLL FIGTVSIFILGVFYWKLGTFLRSFTRSRVTGGGRSAASHHVRAWYGWIPTMEYNYHKE RWKEEFRWARKFMFRRSSHADYSWIWWDQDGEKSRQRAEDEGRLRRIPLRLMRYAVNG LRPSSHSQANPELGVPGVGRLSALRRHHSRRAMEYEDLNIPRRTDLRLSPPLRTRSKS FDNSGYGAGSEALPRPASRKTHSEGYYDGSRHVRFHSPATQPPNTKRRHGRSSILSMG NSMDETHGEKSLSWKYRAWGARMQCQTFGDTPGVLKGHAGRPGTALSFALKSMISSGS GSDIYQSHHYDTGTQPAPRLPNRPTPEIRRQIPRPGCRPGEDTLRIRKCRGIRRRPPL ENCLSRPEVRLVDNLDRKLEWLSSEMDPGRKSFAFLLLHNHWLNRATWVVMDPSSRLT VQKKRIQSDPRVNKKAFGGKSNAVLPDLTPAPRARAVTPRIDSWRVAVNQARVSSGAK EIPKVELLEGSAEEAADNNVDPASWILKKPPQGHGMSNKQKQTYFEGPGGWSEKLEYW ENVPRLYRVRRVVLQGKANRRCVVRTGKGIYHGVERAAREARRLSAQQYQKIRQLERS EKSGRGVVSLHHSLRSTLSRSAVSLQGADSPTLQPQSTTDPVSMHERDEG TRV_07628 MRYFKWGISRLILEPAECPDIVPMWIEGTDGVMHEDRGFPRFIP RINQKVSVTFGEKVDTEAIFGELRSKWQKLKRESEQGSTEPLAVGILNEKLMYGDEAT ELRLECTRKVRDLVLEVRRSRGFPDEDPKASMAETWLREGPKREGRMDDGSLVRDI TRV_07629 MPKKHKSPSIKPTPAAHPSLQSTRSPSHGQTATGQSSVNEILQQ MRNASSNATGSRQARLAPRTAHPSLRNILELPETPPPRPRPTTSRQVIGQRRVRRTPG PPPPLSWITPNTGSNAGLDAPGGGTLRSRGFKFGRRLDRLPGARIPPEDSLLHTTLRF MARNWDWHLIYDGTFLSTLPVSIRQLLLTYISVYTDHSSMGVNMEGLEPLFLTQESGP EDNAEVTRLDLGFSIGYWLNLKQLSKLIKGNIPKHRESTPVPTSWEEEADLETQSPSP HTPGRPEVTAFGNLKFLSLASPAPESASWVSLMKLLSHIPTLTHLSLAYWPTPTLKPN ALTASVSHPKHHSLSFQYGASDVYSSYENNWTEASIVLAKLSRVTYGLKWLDLEGCTE WIPALCWNGIDIYGQVHSASGPEWNGSWRGIEWIGLGPGWVPEAPTLDSISATEVDED IVIKQQDRFRKERESFKSTLERIKDVGKGIQTARIEGRGKWIHYSDGSERRERILNI TRV_07630 MKRKLDENDVPTSAETTESKKPARHFEDFGLDPRLLQALTSQKF SKPTLVQAEAIPLALGGKDILENIAEESEFCSKDIRSGNLTQKVSDAVQRALLADLPD IVISTPARAIVNVNNSALALDNISQVVIDEADLVLSYGYEQDMQNLAKATPRGVQTFL MSATLTSEVDTLKGLFCRNPAILKLEEAEDEGAGINQFAVKCAEDDKFLLTYVIFKLQ LVKGKCIIFVGDVDRCYRLKLFLEQFGIKSCILNSELPANSRIHAVEEFNKGVYDIII AADDQEVIGKIESNKKPSESEEPITDAATEENKDLSEPEEVEEKAAPSNKNKKRKKSG KEKDYGISRGIDFQDVACVLNFDLPTTAKSYTHRIGRTGRAGKTGMALSFVVPSELYG KHKPTIFPPAKNDESVLAKIEKRQAKMGREVKPYHFDKKQIEVFRYRMTDALRAVTRI AVQEARAKEIRQELVKSEKLKRHFEENPQELRELRHDQELGSVRVQSQLKNVPEYLMP TKGKSSLTSEDIRFVGLHKKKGNRIRQARQKNKARGRIAKKGSARRKIDPLKSFKSK TRV_07631 MAINKSFCPGNIPIAIQRFRNDDELAQFRSNTLTQPLSGGHCQV YRLGFFDDVDWAVRIPIHLSSCSEEEVVSVLMTEIETLKNLEDCQFPWSPRVIGYDMT FDNAIGFPFLVLTWIPGEPLSWTATTPRKRMDRERVVDQIAKIMLSLISCTRKDGVSA LEYFTDKIDQKVIRICQGRLPELEINHCLLLKCVLPEILHPELDNAPSFIDHGDLSAG NIIVDSEYNVKGIIDWGFANYQPFQLAASLPRFLAVEHPPGLELYLMLQKDRANFLKA LRRELPNSSVIVSLLLKMYSAPDVDYRRLILEAIVSKGRHKWFADRPSLYSDTPVDLK WEVSEFFARQGGQKSGLHATALMERLES TRV_07632 MHPVFGYQAYAGSVLYARSGAVCKERCKLKAAQWQMPSRIKLYI STYSLESVQIHIPAFSPQASQNALPTLEAARAKFKSINGDELVNNVFRPFFVDGGMEK RLGLAILHRHFDLNVDERLVDYNGTSLPWPTAHTQEIEEPYASIWSKAADGTFRPTEY RYSQNPEAAAIGPAELNFMEEFDKLLQQHGASDLFGLCLYPGDDFEGSCEITVGRANI NLKPAD TRV_07624 MTRAKTNLHGQLQSTPRACSHLETRSLKNKLPPVTANRRPLLPA SSLNLSGPSHPLCFSLGFWQIAACATRHLIRYPYASGQKESSKKEKNTGNRGAKNRTN RTKQDGQVWGKELQGLQHCIHTAYPCSKGTTAFLLFTPAAAIRVAPLRLVPVRIRFIL SPFCYTAACLRWNCRASRIKNNIIHLAPRYSTKETTNSHIRRGYGGLSLNIIYSPTTH GFSLLSRLVKLAWLVEACTPFFSKIQSWNPLGSGRGGYVQLSPDNAASSAPLPAPSRR EEEEAWFARECRPLTHTLPISPFLISLICSYRIRIHTRTHPHHFYILFILTSPKRENP SCDILACYFTLSHAVLSLHPFLLFFFFLSIFAFSSLLFISILA TRV_07625 MAHQDAHEVLQLVQRLEAAQKKQVKRAFTCKKSTFEVAGSDITV DSWRFQDWDYKDRGLPTYARGLFTTTNRAGKPEIAVRGYDKFFNIEEVNLTRWRNIEV NTRGPYELSVKENGCIIFISGLEDGTLLVCSKHSTGSRQDMEMSHAEVGRAWVRKHVE SVGKTERDLARELRSMNATAVGELCDDSFEEHVLAYSEEESGIYLHGINYNLPEFATV RSPDVHRFADAWGFKKAQFLVKDDIESVRTFLEGCAETGSWDGRDTEGFVIRCQMRES SSLPYHDWFFKYKFEEPYLMYRQWREATKKLISGKLPTFKKHVKITEEYLKYARKQLA KDPKLAKLYNQNHGIIAMRDGFLKERGLKGSEIIAMEAAEGGELEAAQDNKDFVLVPV ASIGCGKTTLAVALVKLFGWGHFQNDNVEGKGNRPKRFVQGLVNELMNHSCVIADRNN HQRREREQIFTDMQTLVPNAHFIALHWVHEPKGALLDDIRRVTQQRVLDRGDNHQTIR AGSKSESEILQIMEGFLRRFEGVDTFRQPDRHFEGVIDLDVAASSRENLETIVDYFYA NYPKLMKRDKPDAAELDAAIKEAMEGYTVDIKHDLNFKSKNQPQKQQHQQQQQQNQKN QKPQTLDQLVKKIEYFNISVPSAPIHSILSSVFDASSSPDYAHLYRLLTNSRRIQPSF HVTLIHRASSSDHPQIWHTYTDLYKAAVVQEQQQQQQTSSSSAASFPGSATVTGTPTP SLGTASVRLETLVWDKRIMAIVARILSGPPSLDTTTGVAAAALPISGEAYGDRREEEE RQGERELPPTWPCANEIAHVTIGTANASVKPKESNDLLKRWLTMGAGEESGIWEAKIP SVKVVEGLVGVVMRR TRV_07620 MTKNNLKAHLAWLLERPNPLDNFVIPQVTLDSQVALADSASTEP TTYIEPELGQRPSTALHIEEVSSAPRAAAPGNDDVSTDNTMAVLQLASPPPRNRLHST LSAKCSIDVPGTPAERNSKKRPLYRTESETPKIRIKQRSLTSITPPTSSNSSRLARKV GISGSVLKQEEIESVDLTKDAERNLSSSGSASTFGEPRRLWREDYASRSGPHSSSSEK KKRRKTPDSEDDSYPQKKHSSPSPIVRQLKREKIRPEKAKTPVAQRVINDSEDDGVYL DMMKEDTFYPRLSQGKESTEASKKINERNVISEPKLDVSQSSSIAQPPKGTQAHPLHP STPANPLPSERADALITRYLNTPPTSFRRIINTLNNDRTIKAKSAYDLILEGIDSQDK MKEVRILASRIKAMEELQELHSDFCSSKMNHDRLKSALIASIEQMGDIPDSFKVTEIR ESAQRLQEKEAKIVSLIQESEMFKGQSCDISTTDIDSFEKADQEPDSFSQVKSTVLPQ ASTSEDESRHHTSAIPKPNDTTSLPSNHTGGTRRNDIMRPPVPETRWSQEFPSAPRNV KSPLSSWDTQFDDAEDFVDMLDDDPPYSRTMDAPCGLATTATAVDSDFDDRSASNPYD ELEDFFDSPNSKPAPSAPGPPESHSQFPNREVFRETSGNQSKPPSVKHLSQKDVGQIS SVEGHPWSRDVKSAMRETFKLRGFRPNQLEAINSTLSGKDTFVLMPTGGGKSLCYQLP SIIRTGKTKGVTIVISPLLSLMQDQVAHLQKLNVKAFLINGDVSKDERATIMNNLRSL RADSLIQLLYVTPEMLAKSRAMESVLLQLHSNDKLARIVIDEAHCVSQWGHDFRPDYT ALGTMREKYSGVPVMALTATATPNVQVDVIHNLRMKGCEVFTQSFNRPNLTYEVRKKG RAQDALKDIADLITNDYPDKCGIIYCLSRKTCERVAMQLSSKFGVKAAHYHAGLSSKD RFTVQRDWQSGKHNVIVATIAFGMGIDKADVRFVIHHSIPQSLEGYYQETGRAGRDGK RSECYLYYAYYDSTSIGYMIKKNKETTHEQKQRQRQMLRHVTQFCENITDCRRAQILA YFDEKFKREDCNRTCDNCKSDFTFEIRDFTQHAAAAVRLVGNLESAKVTVLACVDAFR GYPRQSEFSQLPEFGYGSELERGDVERLFRHLLYEDAIEEHNVPNQRGFAVQHVKLGR NAQRFKTGRHKTELPIRITPGKATTTRRVDTNFTGVRVARDDQPESTNVSSPIRGPSH LRMQDRRSRKVQTEDDEDDDDSDGFAPIRDARNPGSPSRSRHSQLGPRITDDGSRSGL SDLREMVLDDFLLRAKGKCEQ TRV_07621 MAKDKKAKKAEQKARAAAKQSKKASQKEKKAKAKGLNDDSDAED VDLDAVLAAYAEEQAKFLKVTEVASGTPSPRSSSTIIASPSNRGEIFLFGGEYFDGSL ATFYNNLYVYLIEKNEWRVVTSPNSPLPRSGHAWCRGGNAGGIYLFGGEFSSPKQGTF YHYNDFWHLDPSTREWTRIETKSKGPPARSGHRMTYYKNYIILFGGFQDTSQQTKYLQ DVWLYDCQKFTWYNPALPPATQKPDARSSFSFLPHESGAVLFGGYSRVKAATKANKGQ KGGGSSAQRSVLKPMIHQDTWFLRVTPPPSDAPSTASPTIRWEKRKRPVNAPNPPRAG VTMAFHKGRGIFFGGVHDVEATEEGIESEFFDNLFAWNIERNRFFPLPLRAPKSGSKK QQATERAIKSRNKGKADEEELLRNLALLEAKANPSKAEADLLPETDEKDEDVPETTGK KSLPIRFEMPHRRFNAQLTVQEDTLFMYGGTFERGDQEFTLCDMYSIDLLKMDGVREI FYEEPEHWNDAIVPDSEDEEDEDDEDDDEGDEDENMEETSSITAPSTVATEITDPTSI EAEAEKEEEPEPTVVDSRPHPRPFESLREFYSRSSTEWQDIVLAKLREKDSGAEMSVK ELRKVAFDFAEEKWWDCREEITALEDEQAEAGIGEVVSMATRGDSGPRRR TRV_07622 MERNHLKDGVGIQVDDSHSKELWETALNPPSRSVLPPAASLEQS RSSIITELSHTGQGYTQTKDHLFNDIIPGLNDSAINANYYGFVTGGVTPAALLADNVV SVYDQNVCVHLVDHSIATDVDYAALCLLKDLFGLKRDEWPHGIFTTGATASNLVGLAC GREYVLRKAAQKRGASGDIASGNITDSVGEYGMPAVLEAAGLKGLQVLSTMPHSSVGK VAGILGIGRANVKSIVSNTGESHGQPLEFDFELFEKELARDGFASIVSISCGEVNTGR FATKGIDEFRRVRALCDKYNAWLHVDAAFGMFGRVLDDSPEFEIIKKGSEGIELADSI TGDGHKLLNVPYDCGFFFSRHGDVAEEVFRNPNAVYLSSSSGEHIPTPLNIGVENSRR FRALPVYSTLVAYGKDGYRAMVERQIRLARLVTGWLHEHPKYTILGGGASKEDLIAAT YVIVLFRAKDEALNARLASAINGTGKMFVSGTKWAGEPACRVAISNWKVQVERDFTLI KEVLDEVSR TRV_07623 MRASTFTLYALCAAGSAMAHMEMQWPYALRSKFNKENTNPDWSM TGPLNPSGADFPCKGYHKDPFKSVATYEAGQEYNLTLAGNTRHDGGSCQVSLSYDNGK TFKVINSYLGGCPMKDTYNFNIPANAPSGKALLAWSWFNLAGNREMYMNCAQVTVDSK SASTTQFNSLPDMFVANVGNGCTTVEGQDIVFEDPGKQVQYADGVTAQTQVSPKCPKK SAKRSEKCGGTHSAKFRV TRV_07605 NLLRYCALGFSERQRVGNPLRRIEGGGGLVYDSSIEVDEADERA GEADDDDDDDDEGLWITGPRDLAAFEIPETTEAYLPLVA TRV_07606 MYIAQSIQVYADFHAVSAYVFFTTRIDSARCPANGKKTGVILAQ RAETRRKRWKLPAGPPNKVVENSVALCHRLCVLPFPRLFEPRVTAVIAFYSDIFHIYY HYQIPLFSFSPTSSSQPYHGCLLSSDAISASWSTRQLNILLPTKRITHAAI TRV_07607 MTTAMCDWKRRKKMKLKNEDIEKATWESFFCFQDDFVCFGASFA MDTEKLSSVSEVIGSRPEETGDDRDDDVKGRGRRRRRRYSRIRGYRKELKLALTLALA LAVKASRCADRRTTTTTTTTTTRKKTRRTSTGTMTMKRYFTSLARKIHPPLPKTSRES QQLLNLLTSSFRRHLDSRHPPVSSPDSSSNPPDAAGRAGGSSKADARSTATERHLNSI LEHPLFNTVPSTLGARDIWNGKQIQDDPFGALDDAMASGRADSQWLHDCLKAHRSSLR SMSDEEALKVLKKSGGGARIISWFMAADSESKKRFFGNRHTMALAMPYLAVERRQRTV MDWLQLARAYRPAHRQPKHADDGSFLEFNIMYEYVAAEVKYGRGSINDALAFFIRASD ASWRCEIVEPGSHAVPTYPFRPTAYYLAQWISAPEHASAVENIRPELFNAFYSICLLL PNRLWAAFLPVYHPTEPNVTSSLEYIRNYKRSDRDNIERLLHLSFRLASLCLEQKQYA AASEVISFTKDLLPDDTATQSTKRQRQQKQQSDQVVSASDLVSRLSFT TRV_07608 MSEVQSRPSASRGRGSARGGRGGHISRGGRGGARSTAAAKSAAA SQETAADTSHEDEGEIGQLKKKYAGSLSTIKELFADWTDEDLVFALEDANGDLEVAIE RITEGNVSQWGEVKKKSSDRTRQKASSKDAHPISGEQNIPPSRPGRGRGGFDQRGRGR GDRGRGGRGGRAGSHAPLQPSQANGTTSNLTPTDGWDSNSAVEPPAGVESSAVESKPQ PEWNTVQETPKVAVEQSTQKSSLIPEGSKRGWASLFAKPAPEPVKEPPLTTAPSAPEK PAEAPVEPSVPEPAPAAATEPELLPNDQQQENAEQQQQQQPPIAPAQQQQPVPVPVPK PVEQPRPVIPQQPPAPPSVSVPAEPEAPAQPDTESPADIEKEPASQHKQQQQQQPQAR TPATSYAYPKGPAAGTARGPNFQRRVMEQQEPVVMPGNHAVDRATVQFGSMGLNGSTT DVNIDEEREEPETRPQPPQHSPVAPRASLPPSTRPVAVPETTATVPRPAPGLPPAPVA SADTPFNDFSRYGDAQKPYDIFNQQADQQAQQPQSQEPFSNQGIPSQPAAVTTAADYS AFYGADQSRNPYYYSAYGQPQDPAASQRVGAGFAASGAESQPQVPASQPPGRYAHAEA PNSGQNTPQPVVPGQVQSAQQPSHHMPQSQGAHAGFNYGYPYYTNPHYPNAYMNQMTQ HQQHQYGRNRPMYDDARRYEEQQQQQQQQQQQHYLPHQSQYAYGTQYAPYGKGGMYSQ QPQQHGFGYESSPANTAAFNQSAAPQRDTATYGRAGSAQPADGQQQQSTGANAFSGIP DVFGRTQSGFGQNQPIPSQQATSADETGSSKAYDSSKTGGPSPSVSQVNRPGSAANNA PHQPATTGQSGLPPVPAAQQANQQAFGGYPHLNQQYGGLGGLGAHQAGTTHQATAYNN YGAGFGSNYYGNNGRGGGWGGNYGH TRV_07609 MSSCEGVDFINNDDVTWQYCPTFAPAVLFAVLFFLTTGSHIMQA FTFKKTFSWVIIVGGAWEAIAYGLRAAGAKNIYQKGFGLPHHILIALAPLWINAYVYM VLGRMVHFFLPDKRCFGISARRLTVIFVCLDIFAFLTQGASTSLLNSDSASSIKIGIN LCTFTSLTLQTSQFPNMSIDMGGIGLQELFILIFIGLAVRFQYKMAIVEQTEHSNGPW RPLLYTVYATLVLITIRIIYRLVEYSGGLHSAIATNEAAFYILDATPMFLALFALNIF HPGRFLVGPGSEFEKKPKKAKKRKNKNKSGSGKWILDDDGQGHFEELPLDERV TRV_07610 MSASKDVGSGVPASSKGSWATFLKSIASFNGDLSSLTAPPFIIS STSLVEFSAYWAEHPALLVAPSREQDPQKRALLVLKWFLSTLHQQYCSRSEKLGSEKK PLNPFLGELYLGKWADDGDVGDTLLFSEQVNHHPPVTAYAIENQKHGVQLQGYNAQKA SFSNTINVKQIGHALLSFPTPGSTEKETYLISLPSLHIESLIYGTPFVELNKCTYITS STGYMAKIDYSGRGWLSGKKNSFSAILYKQSEGEKRPLYTVDGQWSDSFSIKDASTKK EIDSFDVKKSKTTPLTIAPIEEQDIYESRRAWRDVAAAVETGDMDALSAAKSKIENAQ RELRKVEAEEKREWERRFFKRIDLDGSDGDNEDNTVFKNLLKTVGFGTDGSSSACSFI DSDKTGGVWRFDSARSKDAKSPYHADSAKGVGLAVSPPTAN TRV_07611 MAEEGAEQGYLQSQNLNTDADATAGSDSDDYDPSETIQEQFPAN IAASNYNTIPHMSPFASSSTSTPAPGANLPERMPGESYNNNDSTFSLTPSGAEPKGST TISQIGVFEEGSTGDEDGGDAEYEPPAAALSAQVQNSISTSADMSQRSLSENINEPVS HFHVQQQNIASDQNTPPVSINLPASDSHVAYTVQNDSAPKPMQGESKTPGRSAIQSAN SSLPSTPPVAAAASRTRLPHDRVGILEDRIKADPRGDTEAWLELISEHRSRNKLDSAR QVYERFFKVFPSAAEQWVEYATMESENNELYKLEQIFTKCLLSIPNVQLWSLYLDYVR RRNNLTTDTSGDARRTITSAYDLALQHIGIDKDSGNIWVDYIQFLRSGPGNIGGSGWQ DQQKMDLLRKAYQRAICIPTQSVNTLWKEYDQFEMGLNKLTGRKFIQDKSPSYMTARS SYTELQNITKELVRSSLPHLPPAPGYEGDFSFSKQVEIWKRWIQWEKDDPLVLKEEDL ASYKQRVLYVYKQALMALRFVPEVFFDTADFCFQNSMETEGNDFLKQGIEANPESCLL AFKRADRLELSSVSEQDPKKRGTLVREPYDKLLDALYELIAQVRAQEATDIAKLEEQA AQAEPEQPTQLENDDDDDDDETGNPPTQESAKAKEIESVKKDYAAKVGVLSKAISFVW IALMRAMRRIQGKGKPGEIAGSRQIFADARKRGRITSDIYIASALLEYHCYKDPAATK IFERGAKLFPEDEVFALEYLKHLIDINDITNARAVFETTVRRLASNPKNVAKAKPIFA FLHEYESRYGDLVQINNLEARMRELFPEDPSLKQFSHRFSAPSFDPTTFQPILSPSQL KPRTISSLEEPSSRPNSPSIRHPTSNTHSPKRSFPLDEYSDELNRPRKFIRAESPLKG AAGRREQQKRLQSNGSSGGPTAARKQPQQPPPSAGPLPRDVVYLLSIIPPASTYDATR FSPEMMVNLLRQIELPSSVSQLRLSQQTGAGSHQYPQYGMW TRV_07612 MSVVSLLGVRVVNNPAPFTAPYEFEITFECLEQLQKGVVDKHSG EKYFDLSEYDQELDSLLVGPIPVGVNKFIFEADPPDLKRIPTSEILGVTVILLTCSYD GREFVRVGYYVNNEYDSEELNAEPPTKPIIERVVRNVLAKKPRVTRFAIKWDSEDSAP AEYPPDQPDVDALDDDGTAYGAEEVELQAALEKELEELDREEANNDGMEIEGPAADEG NADEDDDEAGSEDLEEESSESEDEGDGDEDVEMGDGDPADNNQSDPKTAEAQQQSEVM VH TRV_07613 MADTNTPTPAEKEAIPVAEVQPPKPEEVKNELPTQAEEEESDWE ELDDVLDDFSATKTTSQAAQDKIIPESQEKQEKSNSELSAADQDALLKQLEAGMAELM GALPTDASGQQTKEGDSSKEKTNDIPGMPQSPGNEDWNALTEELEKKGFTMTDVMKLM MGEELMTGGEGVTGMDGSTTTDKASGTAKQPSPSTKKGEDNFQETIQRTMERMQESGD KATAEVNESGNEDVLMQILKAMESSGLAGAGEGDDGNLDKLFMGIMEQLSNKEMLYEP LKELDDKFGPWLRENKEKIGKEDRERYELQASLVSDIVRKFEEKNFSDDKPEDRAYIW EKMQKMQAAGSPPDDLISAPFMDESKLQALGQEGCAQQ TRV_07614 MFAFPQPAIPQPLPRPFGQFGTTLAYSMSDQNTPLSPENRGHSG TNQLDSTPFTFSLSSPPHPGSTRTSNSRFAQRYASSIARPAARVAERQSRESRRDSFL NKVKRSRQSDKFEARSDQIQRSDFLEQRKRFEEEMAKSGPQLDNIEEDEGDDYVDAYP QEEDKDIDESLLEDFIAQEENEYMTLAEDMPDTCAAEEPLNSDILYGDMEEDGLLVDL IDQIESQSHQQMDMSGT TRV_07615 MAQSNKDGMESLEASARALLDIATQDETAESFSFSQKETEILEL YDRVFELKLEEALLNHELPEDTEMGDIYVKLAEAERELLEVRARVSVQRKVVESVLMT EPSLQAVHSAPSSPLDRTLLRLINKRDILSLAYENMLSTHTTCLRKLSSAEVSNIQNI KQNQELVQSLLKLTSNDKSADEEIPDLELKEELNRLKSENKQKKAQWTRIKRIVSASI AASGVDWASDEKLESLVLDDDEFDDV TRV_07616 MRASINPLYEPSGSKEDLQPRADIVPGNVVTHIHSSQRPDALRS WISRYTHIPVQRQILMTAKGKSVKTQSLATENEIFVYDRQNVSEQASSSTPEELSPPL FTSQDPPDVLADRNNLQSWKDLFMARRSWALNLAAHCSTMAKSVDDYNIRIGVIQRGV SVALENLKSHVGNLEHKFQDTHNWANDLMKDQRSALKDWQRAYSTLGEIPAKSDFTFL RRAAPKKSKDYRTGTLQDYIEAEELQNAVSDVTSQSQRFARRVGDLEKEVRDISSQAE SLGNAISNASANTPEQALLGEIEKHAKKIAADYTSVLSLQNDPGSISSISKVALVHTK KVLPKMMDLSLSLRDMLNATAQGLSTAMKDSSAQMQKISSIELRLAKVQADIAELNVE TDSFDVLCLVYHLPSIYGSILIESTRRHEWTDKIKTDSRDIAEELAIFRDEEQRRRRK WAKLMGEFLNLPDDNAPSVEVNMQAAKDTDWPEVSRAEIEEYIDLIGKKADMEDAVQE LTQLFKELDTPSRQQRRRTKAFKQGSLFEMGRSSFLLRDNDVVRSLQEEKSKVEERLK GSESRVRRLEDLLHRQSHISRPVSGQFGPDIPTSPASPRLENARLENASRRSSMSSRR MSSNQPQDDKALIQRIVALEAELAVERDAITKMQRETSLERQSNVDKIEEAELTKKDL MRNLEAKQREFEEERRFLELESSKFKSRIEELEDELDRVLDSHDHERHELDEKIESLY RELEIVRGKDKEDATTQVRLSELTDQNTELHEQIQSIHKEQKDLVQGLQAAYHNASNS MPPNNIRELVDALCVVVEGLAIHSKSSDEALARLGEENDEMKQKIAQSEQDAKTTREM LDSEKANASDLENKLKVVRAELEGVNERLKTGQNEIESLKAELDSERGKTQASDRRVM KAEKRVLDVNEQIKRMEEEKTSVSAELSEWKQRAENVHIDGKASSSWFDARGVRAGDM SVKVHALVEQLSRILEELGFTIVSQNGSMAIQRTSRVMNGLSVGENMSSSTLVGTITA PEHVHWAKAGNENDESSQFSSFMTAIDRMDIPACGDAVIKRVKDIEILARKWQKEARG YRDKYHRAQSEAHDKIAYRSFKEGDLALFLPTRNQEIRSWAAFNVGAPHYFLREQETH KLHTRDWLLARITKVQERVVDLSKSMNGANQDRLSTGGNDGASIEDDNPFELSDGLRW YLLDASEEKPRAPSTPGLGKSTVASAHVDAKGSIRLKRTTYGGGATKTLSKSLDSRRN SSASRNGAAIPTLQPATTSETVLASDGDQGAESRREEAQIFDEVRRDLLSDPSNE TRV_07617 MSSSQSLYGIRRQKSEASKKDLVSSSTLAFTTHLSSLIAKESKA SSPGAESPSGSTVSRGRARKSQKPDIFSVHNKGAQKRAAADLSSDNAALQIHKRGADI GEVDDAVLNRSKRKMIEKTKLYEELQKGEYLAEEDSDSDEAGQPDDRFSAIRRADKNS LVNFDRKWAESRESEIGTKDTSDDEITFVEYEDEFGRTRTGTKAEAAEAQRNRQLQQE RSSMDGEEVERSMKPSRVPVAARPSRPSNVIYGSAIQAAAFNPDENTTAQMSNLAKKR DKSLTPPPETHYNAEGEVRTRGTGFYAFSNDEEIRKKEMEELMASRSETTIERDQAAM KKEAREKAKQERRKKIEELRGKKRADKFLGSLDTLLPSGASSEEAGGKSAD TRV_07618 MSAKAIYIGVVGVGGVGSHFLSQLSKLPNAPSLVLIARSSQTLS SPAPSYQAIPPADWQSAFSSGSFTKSEAWSPEQIATYLSGVPGRAILVDNTSDPTIAN SYPIFTSKGISIVTPNKKAFSSSLQLWKDIFSTAAANNALVYHESTVGAGLPVLSTLR DLVNTGDKVTRIEGVFSGTLSFLFNQFSSPSPSAAGEKKKWSEVVSKAKELGYTEPDP RDDLNGMDVARKLTILARIAGLEVESPGSFPIESLIPGELAKLEAGPKGTEEFMRLLP DFDAKMDDLQQKAEASGKVLRYVGSIDVPEKVVKVGLQSFDKDSAMAGLKGSDNIISF YTERYGKLPLIVQGGGAGGAVTAMGVMSDLIKVLERLR TRV_07619 MSSAVFSGSDLSRDPEWQNLIITYTINTFMSVRALRSYPSFLHP LARWLLPECRKCQAQVREARKLLHPTIQNRTGSDDTFQWLTDVAAGRPFDQAAAQLAF AVSALHTTTELLKQTLLDICMHPDLIQPIRDEVEEAVSQHGWTTAGLFKMQILDSVIK ESQRLKPGLLVNLERKALRDVVLPNGMKLPKGTNVAVDSSIMWDPEIYPNPTAYDGYR FLRLRQAGNAAAALVSTSHDHIAFGIGKPICPGRFFAANELKVALANILLNYDVEIAG ERKPKIVEMG TRV_07578 MKAAYFILPLFAALTSARAVDHVARSEHSVSECADLLATCLASV ASSGQSNPQCAEYEKQCGDQSLRQSPVKARDAPAPSPAQPPKDNNPQKPPKVPEFGVF AASVEGAVKAHASGKVDATGLAKELHDALSKGNCSLTVVLQIIIKACGNGGAGINLGA LINAILKCVCDLFGVFDGILVHGAASIGGIAQIICKVLGGVAHVGLDIAGFIVKISGM FAHGHFDLAGLLNAVITTLNDAGVHPRHIGEVSNELMLLSERTSVAARDEMVHARSLL DIPKLIAEIVQCFPKGGKPDWGRACALILQVVKELVVGIGKFFIPGLGAIAGRDDDEI KAAARGIAIGRGLKLGQGADWPAFAQMVIDLIMNFSIGKLIKVIIAFFTNLFKPKKA TRV_07579 MSLHVTETESEGISFGRLTKSKRNIIESRFKKKNDDLEEEELYR STAESDSEYKKKEKKQGRRGKMETAQDHAKKRKRKHKSSEGKSAKPHQQEPEAVEAES QTPTKKQKVDQHDDDGEQLDGEHIENGVEAGKDVPALPSADTMALPTQEAVSNKFSDL SLSEPTVKAIAGMGFTTMTEIQQRGIPPSLAGRDILGAAKTGSGKTLAFLIPAVEILR SLKFKPRNGTGALIITPTRELALQIFGVARELMEHHSQTYGVVIGGANRRAEAEKLNK GVNVLIGTPGRLLDHLRSTEGFVFKNLKTLVIDEADRILEVGFEDELRQIISILPKED RQTMLFSATQTTKVEDLARISLKPGPLYINVDHKKEHSTVDGVEQGFIICEAHKRFLL LFSFLKKNAKKKIIVFFSSCNSVKYYSELLNYIDLPVLSLHGKLKQQKRTNTFFEFCN SAQGTLICTDVAARGLDIPAVDYIVQLDPPDDPSEVGFINHLREARVPVVEFEFPTKH IINIQSQLEKLISQNYYLNQSAKEGYRSYLHAYASHSLRSVFDVNKLDLVKVAKSYGF TTPPRVDITLGASMSRDKKVQARRTRDVDVSKETTTRRRERRKREKKAIEPRRSTMVA VSTAFASRQLFSTHLHFLRESLMGSNSNSNNNDDKVERFSSADLSSARISHRPPAVKK GSLGTGSIFSDGEVSTSGPTPKRPPKKEDAAATQDAEHSTALVDRNRDTISRTLIPHP ERRARWERKMVIRAVKNRGQLTREEVIQQSERELQSRSHWFKTSVKKLGPLARQIAGK KVEDAIMQMRYSKKKAARDVLAFLEQARNEAIVSRGMGIKSEADGDRGQEVEIKLKDG KRYTVRDEREIYVDQAWVNRGPYGVDFDHRARGQINRLRPPYTALAVVLKEEKTRVRE WRDRTEKALRERREKLWVQMPDRRVTGQSQYYSW TRV_07580 MQAWGGVESFDLTLRRRERLPPTTTTTTTTSPAATSTSSKKSPK NIPRPVPDWLHDCVGPNKSFDEIRQLINDLRLHFLSLVQNNQEPFFPDIEILPNLRRS SKKPAKQDN TRV_07581 MVVADEEKKKREEEEEEDEERGIYMLKRERERASPEVKTGGQQA ASSNTDRQTGERKEEKRRRRREEEEETDLSRTVLNLCPSSIFSQSRVPQGIQHPTRER VNHHINPLRLSPSSTTPKNAILTTTAGARPGGEDSWWREEKRKKRREKKKKKKRGREG GGGEKPPPFYRLSAGCLVVDESQLTSHRHHHHHLLLLTVLLLGKRRRRESEGQKDRRD RSGRREIEVDQGDIKDRQLEDKRQETGDKRSSSSSSSSSVSSFYLFISTSLLLLLLLL LLLLLMSSVSSSADSKMPKDDSLVGGGGGSGGGGDAATSTTSTTSTSTPPTTDLPDKP VDDSSKLRAFLSILRKDKDKDTDSDSFIGVSDIASVRFSLPAQLLEPIPNLGQSPHFY TYIYIYIYIHTYLWIEYWNYLDRPESFASIGKSDDELGRMLEVLRFWFTKDLVGLLLF STYLLTCLPIEKKYVKGKPCKPYNSVLGEFFRCNWEVKDTEAAVSLPPAKPESSSNSK SNSAAPSIASTSATTTTTTTSSSSPSAETVKISYITEQTSHHPPVSAFWVDCPQRGIT ARGFDQISAKFTGTSVRITPGQHNLGIFITLARRDNEEYRLTHPDAHLGGLLRGALSV SVADTCYITCPRTRIKAILQYLEDGWLSKAQHRVTGAVFRYDPDNDCITRIKDIPATH LLAEISGSWHERIYFNLPSNPKDKQLLIDVTPLFPAAKITPPPEKQLAYESRRLWADI TAAINAKQYSLATRLKHELEESQRSKAALRKENNHEWTPRFFTVAEQHIQPGRPHLTD DGRKALDNLHRDLFDLDEKLTPSGEFAR TRV_07582 MNERIDFDLNEALKYYLSDPASVPTPEADSQLLDCELDPDSLSL PLIDEILNPIVDAVAEDPSNLARNSFFDSLQLLLKLTPVLPPKALSKILDLVVSGLAS LADIAHNDLEADEPEALSEHKDLLELYAFLLQWALSSVEAKAAEKPPTTGPGRRGGGK AGRKPARDESWDSSAQIQIAMEVMCKVLKLKLSKLFVTTSDRDTFVNLFTRSIYLILE SEQRVKSMSIRMHAFKVLCIAVKHHGHAFVANTVSGAQTSIVQSLTYFEHLSDPMAEF LHILAEQYDYPQLADEILREVGNKEFNPNDTKGPRSVSAFIIKLSELAPRLVIKQMTL LAKQLDSEQRTFTDNCQAYTLRCAVIEVCGNLISDLSKQEERSENFQTQINSFFDVLE ERFLDMNPYCRSRVIQVYMKICDLDQKFPKRRQTAAKLAARSLEDKSSNVRRNAIKLL GKLVSTHPFSVMHGGQLSYKDWDARLQAVEEELNALKPPPETPGLAEMGIESAQIDSE LLDDATQVPDDSPSKAPKMTEEEKAAAVQKAAEEAATSEMLARLQLTRKYYLEAIQFI EVLHSASETVLQLLSSRNKSEVIEAMDFFVMLDAYKVETSRAGIRRMLRLIWMKGNSD EGKGVQNHLIDCYKGLFFDAPDAFSPNDTANYIARNMISLTFGATPAELTSLEQLLST MVKAGHISELVIGKLWQVYGVQKKEISRTQRRGAIIVLGMLALADPEIAVKEIEIMLR IGLGSLGRADLVLAKYTCIALKRIKPGRQAIAKDGAHPKLANDHPVLIKLAAMMEIVS DSKEWYGVAEQAISAIYTLAKHPDVLCSDILRRKTRAVFRANNNAQQRMMLDSDGDRP SSSSASSASAETAVTAATTLAEDNNAARQKASVNGLSQLLIIVGHIAIKQIVHLELCE LDFKRRKAEQEKEKAANTAQEKDDAAGAGAAAGGPAGGAAAEDNELDLIGGTTEDDFT EAMAHIRERELLYGENSLLTHFGPLVTEICSNNTAYPDQNLQATATLCMAKLMCVSAE YCESNLPLLITIMERSDDPIVRSNAVIALGDMAVCFNHLIDENTDFLYRRLNDDDVSV KRTCLMTLTFLILAGQIKVKGQLGEMAKCLEDEDKKIADLARMFFTELSTKDNAVYNH FVDMFSLLSTEKSLEEESLRRIIKFLAGFVEKVFFYSYSFVWKYKIYTNKV TRV_07583 MSDYAASNHSPLAQETGKDEMSDCWDDETRSLDYEEDDTAQEDD YIPTDEADTESEHEEQDEAVLEDMRKLQDEAILRGKFRLINRVGEVYKAQDLTWNEGA FQADLLDPSKTLRGMKKQYVALKKIYVISSPARILNELEILHDLRGHPAVCHIKAAFR LDDQVIAVLPYFPHTDFRLMFRTFLVEDMRHYFKSLLEGLAFVHKLGVIHRDIKPTNF LYNPKLRRGVLVDFGLAEIMDSIRYSKQAPVGYPRNDTRPSRRANRAGTRGFRAPEVL FKCTSQTTKLDVWSVGVILLTFLARRFPFFHSMDDADALIEIASIFGKNKMQLTAAEH GLVFDTTIPTILPKGHSLERIIRWSSSVVELTGRELQAVNLLEKILEPSAIMRWSAEG ALYHDFFNNPQGADLPWGGEDPENLEHHSAQGDDNDDTACDAGDEVKLI TRV_07584 MAWQCTGRSNIQLIESLFTADLIRSERVKNAMLKSIGYAATISA PHMHAHACEYLLPFLRPGARVLDIGCGSGYLSHVFAELITDAPASDGCVVGIDHIQGL VDLSLKNLAKSEEGRKLLDSGKIKIVKGDGRKGWAEGGPYDAIHVGAAAATMHSDLID QLRAPGRMFIPVDAEPTTGMLGYQGQHVWIVDKAEDGSVNKKKVFGVSYVPLTDAPEE TRV_07585 MIVRFRTVAFLLAVISSANGLKPSDIPPDTPVSSLLSSAKTHLA SGSPEDALLYFNAAIDKDPSNYLSIFQRGAAYLSLGRHSRAADDFNKVLKLKPGFEGA LLQRGKLRMRSGNWTGAKEDLQAAGKPGEGDLVQLHEAHVAAVAAEEAEKKQDWETCV AQSNIAITKAMGSPELRRTRGKCHFERGDIQEGINDLTRLTQLSPNNVDPHLQVSAML FYSLADTERGMDQIRKCLHSDPDSKICSRLFRGEKRNSKQLKNLDKLLETRKFHKAAE LLVGTKGETGLLEDIQQDVKSAQEDGYIHPKAPNNLYHSLVEKTCETYRAMNSKRKAR PYCSQCLEFNPSSLHGLLSKAEEQIDAEQYEAATQTLKTADEHHSGSQEIHTLMQKAQ TLLKRSKQKDYYKVLGVDRDADEATIKRAYRKLTKKFHPDKARSQGIPKEEAEKKMAS INEAYEVLSDPELRRRFGHGDDPNDPHGGQPHFNPFAGGHGGSPFFFQQGAGNHHFKF TQGGFDFQGGFPF TRV_07586 MLGSSTWTCLRCFSRSVRLAQSSRIVPVSRRYSSAVTPKEAELS PILLNRARALAAEHATLTAKQAESFERAAAKRIGEIAPVANALKDWDTAHESITELQG LIDEPSTDTELKSLAEDDITTTIGTLSSLSATLKRALIPRHPFADMPCLIEIRPGAGG DEAGLFAAEMLRMYTSFCSSRGLRTTIIKMEAEDGVGAGAEERLSEVVMEVETPGSYN ILRTEAGVHRVQRVPATEAKGRTHTSAVSVMILPSFPENNQEDSPLNFDDPNSDYYVN PQDVQSEKFRASGAGGQHVNKTESAVRLTHIPTGTVISMQDSRSQHENRRKAWLLLRA KLAQARREAREQEMMELRRGAMGGVNKTGRGDKIRTYNFTQSRCTDHRSGMTVHNIGK VMDGGENLEKIIESVQSWLVDQEVEALCLEQQAATAEGKSSRKATA TRV_07587 MATKENDQKPKPSSLRSIIAGSTAGAVEISITYPAEFAKTRTQL NRRLADGKKLPWPPFGRAWYAGCTTLIIGNSIKAGVRFVAFDAFKSLLQDENGKISGP KTVIAGFGAGFTESLLAVTPFESIKTQLIDDRKSAKPRMRGFLHGSAVIFRERGIRGF FQGFIPTTARQAANSATRFGSYTTIKQFAQGYIAPGEKLGTLSTFAIGGMAGLITVYV NRYF TRV_07588 MTDALAAQLNNTKLGDSSTDNKWKEQLKIPAKDTRVQTEDVTAT KGLEFEDFYIKRDLMMGIFEAGFEKPSPIQEETIPVALTGRDILARAKNGTGKTAAFV IPTLERTNPKIAKTQALILVPTRELALQTSQVCKTLGKHLGINVMVTTGGTGLQDDII RLNDTVHIIVGTPGRILDLASKGVADLSECTTFVMDEADKLLSPEFTPVIEQLLTFHP KDRQVMLFSATFPIIVKTFKVRYTSIFFITCGNQLILIHIFQDKHMRNPYEINLMDEL TLRGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSTNRVELLAKKITELGYSCF YSHARMLQHNRNRVFHDFRNGVCRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLH RIGRSGRFGHLGLAINLINWDDRYNLYKIEQELGTEIQPIPPSIDKKLYVYETPNTIP RPISNNPSEDQKAAGQENQPRRQTTNPSNGHGQYSSGRGHYGRGSYRGSRGSGQRSNN PDSSRAAGNPQANAANSGTPGS TRV_07589 MKRGQSIVEPGSSSEGGASTVKRGPSITNKRPRISDVLEEEDAA SSGSDSSSSSGSSSSSSSEAGEEDAEEEEIGLRSTQAIHEKNSRRQENVAAEHGIIER VDCYNFMCHEHFSVELGPLINFIVGKNGSGKSAILTALTLCLGGKASATNRGQSLKSF VKEGKEGDGAYLPDTYGESIIVERHFTRSGSSGFRLKSKSGAIISTRRADLDCITDYF ALQMDNPMNVLSQDMARQFLSTSSPAEKYKFFMKGVQLEQLDHDYHMMEESIDQLQAK LLDHQEQLKVLESNRNNARARLAQSDRHESLRARIRHLRSQTAWIQVEEQERPQIRDS LVAEIAETRARIEQLESEAENRDAEFQAADQEVNEAREAVRVAKEAQAAIDDSKAEIK QRYDEAVKERTGLQRKAQQAMIREHIMDNKRTIADTEKQIEEENARIEALNGGATAAK LKELEETRAAASTAKERYNEHKQGAEDLQKAVSEAEEAAGKKRGPIGMKKTEITDAEN QLRTLMRDSRDQQDGFNERMPLLLRAIAAEQGFDQAPVGPLGQHVRLLQPKWSSILEN AFGTTLTSFVVTSKRDMNVLSGIMQRVNCVCPIFIGNSQGRIDTTNHEPDSKFDTALR VLEIDNDMVRRQLVINHGIEQMLLIENLEEASTTMFDGARPRNVKRCYCIDSGDRRRG IHLAFNRTGDPSQSPIPAFTGRPRMKTDIDIQIRMQREVIDTLKRDLGRLEQEYRAAI QHLQRQKQLLSIHKNQEHELFVESQRAEDRADDLKDAIDKDRNQDGRLEALSSALKEA EEELKLHERSFEDCVNARDVATTKVKEIKRELAAKDTEISRVSEDTRKAENELSVKAN KRHTALVGKNDAIAKTDTAKAQVTQIERKQEDTATRIADFIQKASMVSPRVPIDAGET ETSLAEKLERLDRDLRRYDSQYVGPIPRSYNLFFTYSCIRMGASREEIAAAAAEADAK YERSQNEIVGFRTLAQMLKNSLVHRQERWQKFRAHITSRAKIQFIYLLSERGFRGRLL ANHKKKLLDVQVEPDSTKDSISRGAKTLSGGEKSFSQICLLLALWEAMGSPIRCLDEL TRV_07590 MDRTFIVEPQLAEFDVPIRDFFQSKSEYNAFIIGAFIFSPARDP NTTVSDDEVRRSTRALLLRRAITDSLGGLWEGPGGSCDDTDATVLDSVAREVYEETGL HVSHIRDLVAVDRWDRVKDGEHIKAIKFSFWVDVHEAHQAPENSHFAPDWEHQIKLAP GEHEQYRWVTEAEVRRYLAGQDEAVKFTFPATAKNYLEAFAVYNGV TRV_07591 MPHNSVQSEFEGSRLSIGSQYQHQQQPQQQQQHEQQQQQHSLTI PETIPRVKSLKFDSQPFSVSFMGEVAHIMSNGDEKRPRDSKRYSGRGLALITEERPVS HTLETSEPHVQTDVPNNQPMPLPDQPMQSPGIPEINLPTSTPNIEPVSATASDRGSGE SGVVSVLADEKDIEKGRLSFAVSEVDVKPTRESSVISSADTLDDSKQIEAEIIVTNGN QKQNLPADATEQQQGLGLRKFSFELEPEISPPIDSPPLVDHQQGSSTPQTTNWQSEYK FPPPGTVPPPPVTDVSNSLPSNPVHPAHARTWAQTDQRSVSPLPSTHARTDTNGSGIS IQAPGAATPRTHNQQTNDRNANYYYSQVGAGCPDPGVYHPPDEQKKRRSSLGFTGIMS KVNLGRSKGQPADRPSSPALKKGSKMFKTLKFDLLHGKNKNNNGPTSEEPAIPTVHTA TASHARQFQSVENRDALQRNTPSALSNHNTVNGSVPHLNSGVYDEPSPPMSNRPFSPE TVLSPARAFSDDRALSPSRAQSPAPSVNVNQRTPNTSTAEEGPKQTSGNRPTRFYKSV NSVTSRIPGPSNTPPMSRQPSPTKEFSEGPGGRVAGEHTGLFSPTAVPSGSNFVSNNQ VIITPPLSQAMYSPTGSEQTTKSPTHSPHAKDLHFRSRSPLSRPPDTADSPSLAPDTQ DPAQRLGAFHASVPHTPRVGDQETPWTITLPQDEKHQNPQIPSSTSQYAMSTTTSPGL RHVSSPQPISPPKGSLNGSYSYFETRPTPASNANFTVPSGDASNGSRIPEQTRLVHVA SMEPVELPTNDDSSEEIVMSSTSYPGQEWQPAYLGQWD TRV_07592 MSGYQPTYVPGDRSPAGGQNPESPWSTAQTLDLKTNVNRQKTKR WVNAKQYSYAGDEWGESEDEDEEDQPDNSTTTTTGHPQSPNIVRPADIYKRLAEERGS TRSGSSVGAAGTPSASTVAVQDTAAATTGTEASAPAGPDGGKTASIPLPNDTAEPPIG QLPELRRLSGFEGFMDSGDKSGTTASPHALAGEPAEKVPTIREEHVGGDEPSSNHSAH TAPTDPKKSISSEGEQSRDGHSPSSALDCPDAAGHSDIETHTPSRNTVSEDDSSGPHI AGLDVSPLSMSKRSSIDPNTLPNTATETTEQQTEPPPLAQEHDESSPVQKSAQKADIP SAASGEEPTQYAYTQLQDNYPSPHGLDHPHTTISIPTYEQQQQQQPQRQLKKKFSWEV DSEESDREQALSPLSPVSATLTPGALVINKQPTGGSGNEATTSAPVPGSLAAESPQDT TPVETQDKEAELLPKTQSQSPLPPNTVQPGASSMSNQKAPEFREITSIPQPAQRIAAF NNARDVYANTDSGLDGWIKNVAETGNPDNAETIQRNGIAPTDPNAAHRPIPSQGKFPK LPSLSNISLPSRHHDGSGPGHGHIRQSSGVQLGGMMNTQHVQAKGKDLLHSAGVLGGK AGDAAKGLFAKGRSKFRHSGSADKVDT TRV_07593 MAAPAPIQDRTTEFRSILSQAQKRLASSKASGRQSLQANSTART TSADVPAAGGRPARSEFARRAAEIGRGIASTTGKLQRLAQLAKRKSLFDDRPVEISEL TYVIKQDLSSLNSQIASLQSLTLAQHPKSSRSKTDHEGEHNDNVVVLLQGRLADVGAN FKDVLEVRTKNIQASRSRTENFVSTISSRSHALDPQRSDSPLYNSGSNSNLNNAKGGG GGLSRSRSPQPGYRPGSADVLTLDTSSNGTAASSGLGPMHSDQQLLMMEEAQPSNTYI HARGEAIEAIERTINELGGIFGQLATMVSEQSEMIQRIDANTEDVVDNVQGAQRELMK YWSRVSGNRWLIAKMFGVLMMMLTATDILPSLGPYLWVTELALVPSCILPSSHRLNHV FCIFVHFSSTSSSLFSPFLVPFLPVKRLIYVLVSEHVSTSSLSITGLSLALPLLTQPA LSSHPDKKCSSIQPLVSKPTQKQNTQKNKHEISLFLSLTSSIIPSAFIPARSGWTKND QPLSPIDARGSPSPLGATNAQPRDMTRSPPRAAPHPTNTYYEDVETRFTNTSNPDPAF IPSALTPGSIAPEPDQRTSVDAVHGMRSPVSDISHFTSISQRGINPKWQPPEWDNPRE KVQKQREALLVNNPDFQLSTDSTRAAKQTAGLGFNIFCFSLFSCYPYVMRSRATKYLL LTTSLSFSFEPLLSPVHSSCCVCMLTPYGYAPFLFFYFSFYFFFSFFLSFFSFFIFIF NSHCLDGFFEQGWD TRV_07594 MIKKAIQIHARSDIGQEAETDTKQRVECGIDIMEAGTRPESRPK TQSATEPKPDPKDELESKTEPTTSDLEDMHIQGELTEHLKLVEPGQAKETPSVNPLIE QMKTTPLFSSQIKGVVHPALLGASTMDSLFPQYGLLGLRLASYTPGSDEEIAGIGGAK KNLVYANINAPWSTFICGSQGSGKSHTLPCMLENSLLLPSQTGKVSNQLTGLVLHYDK FTSLDTGQLCEAAYLCSVGIPVRVLVSPSNLQRMTQLYSNLPGLPSGCPRPKVEPMLF NEDHLSIGMMKSLMAISDSEGTPLYMEGYLLTYLPKMVTKILRDMAKESNGQRGIDFQ DFETRIREKSTLRGQCTALNMRLDLLRSFLEVRLSRKGKPISRKSDIWSFEKGSLTII DLSCPFVDENDACALFNICIGIFLEGRGKGGRVIALDEAHKYLTTKSREAVTLTETML SLIRQQRHLATRVIIATQEPTLSPNLLDLCNLTVVHRFSSPAWFKALEGHLAGAIMDV SASRASKYSTGSLFSRIVGLGTGEALVFCPNAILDVSHANNAELGGDPSTHQAAQSIM AEQGSSYFKMKSRDNQRRINDLFVILFFFFFFFFFFFFFHFVFDCCFYQEQNLKKQRK EKKKKKKNIKKKVTPTTGEKDQAVEDEPITRQEQRKMNFWMTRRDET TRV_07595 MRLRPTTPYFVLVLLTNNELKVIPLAFAVSIKGPGGVPQINFSN QGDPCKPFPGTDLLHCPQIGYRTTSIHLTNIIKGEDIKTCQKKGKTILLSIGGATYSE GGFRSAEDAVAGANLLWDTFGPVKSSNSSVLRPFDDAVIDGFDLDFEATVLNMVPFAK QLRTLYDAEKSKTFYLTAAPQCPYPDLYNKEMLEGGVKFDALFIQFYNNFCGLNNFVL GSQSQDKFNFAEWDNFAKKVSANPDVKIMVGAPANKGAASSGYIDAQTLVSVINWSKT FSSFGGVMMWDASQAWANGNFTSAVKGALSAGNSRVVRMSYAGYHSGY TRV_07596 MPPPKDIPNPAEDPGDYTITSDVHSDTYPEIDPKKQDFTGRVIF ITGASGALGRAAALSFAKAGASKIALAARADLTQVCKDVEAAAESAGRNKPTVLTLYI DVKDEKSVAAAAARVEEEFKFCDVVINYAGVAGILGKVADTDTAEWWDMFQINLYGSY LVARSFLPLLAKGGDSRYLILVGSFCAHFAIPGVSSYHISKLGVIRLAECINAEYAEE GITCIGIHPGNVFTKLVRGIFDKMPPELKHVFTETPELSGDALTYITSEKREWLGGRY VNMIWDMPELMSNEEEIVDNEKLFFRLKC TRV_07597 MANAFSICSLSQDWRAELGTVGTGNLPKTVKDPSWLAITINSVS LGFALISNLSLLLNMARRVRFSVSQPITIGGWYIASALLVGLTVAVPRVTDLHGRQLT QAYYYAIMAAAIYFFVSSLLLVTVYGANTGRYSKHFHLTTSQRSLMLQTILYMMYLLI GAAIFSRVENWSYLDALYWADFTLLTNGIGDLAPATHLGRSLLFPFAVGGILTLGLVV MSIRSMMIERARTQLTARTTEKTRKLVTEQLQSGDIKKRMLQPLANQDNSLSDKELQQ EEFQLMHRIHKTCAWQLRWLSLLISAFAWAILWLVGSVAFWLAERDAGWTYFTSLYFA YVNLLTIGYGDVVLGQSWGKPFFVLWSLLAVPTTTILISSMGDTVAKLFHDATIFAGD LTLFPHETGIKNRLFSFIHGMVESLRHRGRHTEYISGQPISDHPHTYHSASMENLTPQ HPEYQDGALRDAALFPRYLPQMRNYPMRKGLAVY TRV_07598 MREANNKSELLPPFLISSLVIVIILIALLWFKHLYKISASRGPL INTEEESPFNPQPQTPQQEPIPSSPGNTAGTSTTELRIQRLIRKNKPGSSLAPSSTSF SQDIGYRRQSCETMHKFPDSPVVSQDELQDFGASNSVPSGTSTLFPSSSGGRSGNDYR TQQSIPLRELTRDQTHKLNNPHPYYGHKGESYQQNNPYGRYACRQDEFYRNNSTPTGP FGTVGSDTVRETESSSRDFSYTGSTRQLREEHMRSSPERSKYTHPSYERRESRSPPRS GEGESFHSSLRPDPLCIHKARSSPLPSISSSDRYTSGMAQPESSKDASQRSARYDFKG RSSLEYRHPRQDWLSQAQVGSVRNDSKWRQKEAITRDEDGLRTFEGSRTFSIERSRSH PFGKQHNRDNTQISSTSATRHDNPGTAERPEEPYPISAGQTPVAYGLPPGSARPLAEF VNDTDENKVERKLNLLDLVSQLRDRDV TRV_07599 MSKNSIGDTSFSYEAFLVNMPGNKAGAKISCHWRLPEPAGDVNA QRYFYSLDLLLQIEIVACENNENGSVIPYATGTVLENLVHECYKVHEASGIKVEQAVK VILVPNNGYLCRTDVLELRMRNSAFVGSVIRFPPSPDGHLSSIAVADYGKCSFLTLLS SAPGALLAKQSLTSHSEAFAVLEEELRVRLSFNWVLPTKPTVRKVALIGGRPMFDIHK GSYGHQGTFEAALALGILVDVVDRPGHWLEGETYSHLRNEFVAVDMTNDAELPSRIAE ALKGRGIDAIVTFSDEVVVATAKAAEILGLPTESAQAILRAHNKYETRKVLDSDNTQT QTLRLDNAAQLDDPPVAMKVAYMQYPLIVKPCRDGASRGVRKVNNYAGMRQAVQQLEE SGLSKYGILLETYIDGPEVDANFVLWEGEVLFCEISDELPCQADAMDATISDNFAETI MLLPSRLDPTETQLIQSSLHQSLLKLEFRSGVFHVEARVRNSSMGYIQTDGILDLADV VHSAAAKDQPDVFLIEVNARPPGLQCVFATTYTYGVDFYGLQFLRALEDRERFRALSK PFSCHAQYWVFPIVLEDQQKAFVGGRRSCQRDLSKDIG TRV_07600 MEGGSPLTCTEVTAQCPVEASIYGYYPNRPINAALVIVFGILML VQGWQTFKYKTYTYSFAMTVGCLGEAIATGFQMQITTLIMAPAFFSAAIYLTLKHLCL ALGPNLSLLKPRFYTWIFIGVDLLSLTLQGIGGGLAATAKQNKAQQDAGTNVMIAGIV WQVFTLTFFGFLVAHFFWRLRSERRHGANPTAKQLWGSRKFKLFLGSTTVAFLFIFIR CVYRIAEMVGGWRNEIMQDEVSFVVLESFLCLIAALLMTVFHAGDCFPQMRSDFVATK FVDSLPLSSADTSYTAVHKQPLMPGEDH TRV_07601 MQRHQQHPLETPRLKVVGSSQPKLVHTPSQQSIAASDDYYSFSD LTSHSSASRVTVVPYQTASSRHPSPDRRQTQAETQPQAETQPQTQTDTQARDTPIPAR VVNMSSAARAENGTPTPATDDSPYIRFAIDQLTRDEELTGHGRHGSLATSADYPVERL VPDEGLGYYTNTTATNKINKDDHTRNTHTNVKKEINDHTNNNDNDNDNDKMGSSPKTG ILLAVDPPINAPLTFVPYVLRPVLLGPVILLTLGMAAALAFINIWSSRNDGMAAYVYF GGSEYFLFEFLPQIIGMLFVLWIFVLQAAVYRIAPLSGIARAQTARDTTFQRLSMLPR NFVLPDLSHFTYGEPVVGVCLLVFWCVDWFTVPLLSCLFQPRFYGTLQDGGFRWVSVQ GVGWTLFSLYSILALALLALLARFGFGTSGLQWDPVSLADVIPFIQRSNVLHDFDQSE VTPNVAGHLPPRKLRLGYWKSSAAPEDSFYALGQEAAPLKRVSSVDRLLEKGHSHRRS NSTSSNHNIDSIDLERQDLHSKDSFERSLHSPFFRYRWVPWFLKDTFIVVWIVISLVL LIAFITVSFVNRPLERGFLPLLPTLPSASGFSSSNFLFSFIPAMLANFLFLAWQPIDV YFRAAQAYADLSSPRGAFAEKSLLLSYNACLPLEATFHALVAGHYKVAYISFISVASL ALPILAGGVFMARFYAELEQVRISSYFPAYYTLIGFAILYAFSFLAIWPRRKRYLPHP LYTYADTVSFLYQSPLLVDKIFRTPQTKTDLITRTIVAPPGESHKALYAFGLFYGQDG KSHLGIDRLRRLEGDV TRV_07602 MASTSHAPPRRFPSSGFVALDPKLKVEEEELPSYVPGDFYPVTI GEIFVESVSQNMRAYCVFKMTNFPARSHRYLSLKVCTSHKRQSNETAICNHLRASNIK HPGTPFVRMILDSFNIMGPTGNQHQCLLYQPLGMSYTAFLDMFPGKQMPEALVQRSMQ LILLGLDYLHKAKIVHTDISANNILQGITDLTALSEIEDGEANQPIARKVLDDRTIYV SQPMPINTGLPVLCDFGEARIGDKHKGDVMPGIYRAPEVILDMEWDSKVDIWAAGLTT WDLLETGNLFFARKDGLLNDEQHLAEMVSLLGPPPLEFIRRSDKCLQYWDENGMIITQ QL TRV_07603 MFTSTKALQMVYKGISLETLGSEAGPEFMKTVNIASGSIEKKKV VTVGYHTSSGTRLLSIHAHDDRTWKEFFSRHGKPEAAISASLQKSSDAEASNAEESKK TRV_07604 MNEEGHTDDIMPDIYRAPEVVMHMRWNVKVDIWSVAMVAWDLVA PHPMFNRQHPETGEPDDRYLIAQFAAILGPPPVEFWSQSKLCQAFWDENGNWKNVVPL PEISLEGLAADIEGEDVPGFLRFLRRILRWLPEQRPTTEELIYDPWLLAGLGSGIQGQ IKADKDPLPVS TRV_07565 MATMARETPQPQPEQPRSSASTSASTASPSTQSAFSLPTSTKLL IGGSAFFVLSTFITRRSLNRRRLAAIPQFYTTASHHKPNVSAPLEALEALNLATLNVL ALAILGVGAGMKAVGFETLDELRRIVRGGLGADGTGRTEQQVEEDMEEWVVSVLNRKA SKENANAKEAEKQRAQDEIRKTWLNERGKER TRV_07566 MPGRLTGGEKTAQTNIVVVGIGNPTDSHLDRRRRTGGATGAGKV ATETETETVKATDPLPDTTMMRTIVTAAAAVTPTADHAVEFEVDLGVERVVEVIVAAE VSSELAQYYHVRGLEDVRVIRDKQTNLEISRQLAFIRFPSIDDSRKFLELNFPAVHLY GKAGGDGQGARVRIAYSREREDRNRARADGEWTCINSLTLVDIAVPPVEETVKVANYG DNDVSTDGTPSQFLLFRGLESSVTEEVLAKGVAKLCKQSKSAAASASSGSSGVGGAPA KKVAKVASTTGDSNLGARDGSIRRVLLVRDRRTNESWGYGFAEFATVEDAQCALTRYT SLDTFTISSKPVLASYIHAGVFVPVLNLNPGVHSSTTGTNSELERFTFSPLGNLAAKL AYWDEEAYASELKLATAAATSELSGKAGGMASASAQKTSAARAAHPGRDGEKEKKRKK VEATAGAAAGSATKKIAMASHLQFWSNRHAELHGIDRRSAETGDGDHFNDPGTAAARS QSQSQASTPHLQPVQQQHQQHQQPEQPEQPHLQGQQSYADLNRLCCYLCMREFKSEAH VRQHERLSQLHRENLQDETLKAKALAKLAKKRTLAADQTEYRDRAKERRKVFGSSKSR KREAEDDRQDEYEQGPGSNPVGSDTAASPPPALSKGAALLGKMGWSAGSGLGAEGTGM KQPVAADLYVQGVGLGAQGSKVGDAVQEAGRSTRGKYDEFLEKTREHARERYERMKDE QG TRV_07567 MERQTLTIEEMQKGLVELDRAMGENEMIYAFSPITVISPGGFLA VSYLKSRETTEDIDIIIDPQWTGDKDIIPALRELFSSVGKKLGLNRKWLNDDVSLFLT QKAREQIFNAAGNQNIILYEGPNLRVLGAPLEWGLESKLRRINSKPDHPKVPTDIQDI LIILKLLIERNNGPLNRRQVRERNTNGFDILPSEETLDLVANAYQNKYGEYPFC TRV_07568 MARRTEAGTCHIFLVFFVTVVVISSLSVSCFPPSLPPFCPSVGV SGRSSRYLTVAGYCVLAAVSYLEHVRCVRPSTLLNVYLGVSTLLDLARTRSLFFIQDS HAVASISLAAFIVKSLAFILEATEKRHLLLAKWKDASPEATSGVINRSLFIWLNRLFI NGFQTLLTVNTITPIDEELLAASEPTALLEKWENADKAKRYALFWVFFTHYKWYIMAG ILPRLAYTGFSYSQPFLVQRVLDFTAQAPHAQTSNIAYSLVGGYAVVYIGLSTLRVGS TNEDNAEAITLMSADIDRIGYSMTLVHEVYAGFIEIAIALWQLHRLLGIAIVAPVGWI IGVPLAKAAADAQIPWLEAIETRLAATAKSLGSTKAIKMTGLADIVSSRITNLRLDEI RASLRHRVYSILVFVAAFASSELAPVWGFTAFILLAKKNNTGTLTEGVAFASLSLFEL LNHPMTTIINGFENLQTVLNCFGRIQDYLNSQERVDYRIPQHDRRDSSVSGLSTPADT LKDTIILKEIQDPDSNTDAFVAFVEGASVGYTIGGDSPILKNLNFQIPRGEITMVFGA VGSGKSTLLKLLLGEMPCVSGLIRTGFSLAAYCPQSPWVTWGTVQSNIVGMSEWDKKW YDTVVSACALRTDLEELPNGDQTHIGTQGSRLSGGQKMRVSFARALYSRNSTMILDDV LTGLDRATERHMLDAIFGPNGLLKKLKSTVILATNSDNIIFLNENGEIARQGNLESFS AGDDIKQLANQCQTTTTSRPEPQLSEDVLHELEILEDPELESSRMTGDMKVYAYYAKN AGWWTISLYLLACCAFVVGVTFPSLWLQWWTNANDTRPNERIGYWLGVYSGLAFLAIF SATLSDRASTSFLTSTDAGTTVNRFSQDLELIDNDLPQSIDQAVFQFLSAIVSGVFVF IGAGYIAAAVPLCIIVLIGVQFFYLRTSRQLRLLDIEAKAPLFSQFLETVGGVACIRA YGWTQRYTERHYKVLNISQKPYYLLWCIQRWLTLVLDLLNAGLAVMLVAIATNVRSAS TPFLGVALFNIVTFSSTLQTLVTAWTQLETALGAINRVRSYSQDVKDENLPNENGSVP DEWPESGAIAFNDVSASYDPSLGPVLKGVNLSINAGEKVAICGRTGSGKSSLVSCLLR MLEMDSGTILIDGIDISTISRQQVRSRLNTLPQEPFFLYGTVRDNVDPLQLADDEAVI GSLQDVGLWEFLEARGGLDEEVSEDKLSHGQRQLFCLARAVVKQGNILIMDEATSSVD AETDKVMEDVIREKFKGMTVIAIAHKLDTVLDYDRVVLLDKGEIIETGNPRELLAIPD SAFHKLYEKLATEGTHTE TRV_07569 MLSSKRRVKSNPPRHEPATEGPKTFSTSIEHAIARSRAEQLVTE PNSQTKITKEEDVALLNAPDFRGAIKKGPFGTCLVFEEVAQSARDINRLFPSALIEPM LRSTIVSYLVQG TRV_07570 MRYQIHEGSGFRGMDCDNNNHDTNNQHWGEKYALDSTCSLDRAV PEPVEACIHSLIKRIAWEKPGAPAICSWDGHLTYLQLDQLSISLACQLVNSGLTPGSR VILCFDETCLAPLSMLAVMKAGGISIALDTRQNRDHLQEFADQISPHTILSSSESEQL ARSLPKGNVMIVDHSLLSSINDPLEPSGKLPVVSPSEVAGETYSVDSVTGLASRDNII THRDFSSAATYQHGALGLTSNTTICNPARSFSDSAWRNLFILTCGGTLCMAPTAELLG NRRGLISALQSGIVILNSESLSTLDNSELLQLVQLKHIAVSAAPHGLKRLGAYMERND ESIISASQRKSGDMLASNVETNEDGRLVGKSNNPEVTISEPQISSRDWQMPSTVNIDN LEGNNAEKMFAIDVYQKEPPVHSRELWSSDSSSVSPIVSEGSFSSYEDVIRPVKAIDN EPSSPDVLPFSLLGSSIDKEKARAYASRLCNVKESQVIDILPCTSLQEGLLALTAKSE GSYVARNVFQIGVGINVNKLRHAWEQVVSMNPILRTRIVSLPHHGIMQVVLDEGAIWT SRGTPYDMANFNSGNEENTMGLGKPLTRFTIFEGAADNPGHLIWEIHHALYDGWSIPL LLSQAENAYFNEFCQPLETMAPFIKYIQDRDIGAAKAFWSNQFTDIKGSHFPPVKPGY EPKQDSQIVRTISELPWGRSDFTPGTIVRAAWSVVAANGANSGEALFGVVVTGRQAPV PNIELMAGPIIATLPIRVNVNWNEDRKRLLDAIQRQSIEMIPFEQTGLQHISKLSQGT AIACQFQTLLVVQPSSEMTETTDRPFLSEVVDSHIGSQWQDFSTYSLVIECQLASESL RISASFDSNVIGKQKMELVMSGFEFSIRQLSSGSTEQVLLSSLVRDYSGLNAIWTWNR EVPEATEACIHDLISRQVQENPQAQAICSWDGNMTYQELDGLSTDLAYQLVKRGIAGT VVPLLFEKSKFMPVAVLAVMKAGGGCLPMDIKQPYERLAAIAAQADSSIIISSVANEP VAVKLASGNAENLSRLKLPEREVLVVGPDQILSFSAATPGPTSTSTASPSLPKVDPSD ILYVVFTSGSTGTPKGVINTHRGLCSAITYQQKALGFSRASRVFDFASYAFDAAWCNL LHALTVGGTLCIPSQDERENDLAGCMEKYDVTTVDFTPSVARFLGPAVLSRLSTLILG GEAVLPSDAHLAGDGTTIINVYGPAECTPTATLSNITKAATIDIGRGAGACTWIVDPD DPSSLVPVGAVGELWLEGPLVGGGYLNDPEKTASAFVHNPPWLQRGLPAQHGQPGCTG RTGRLYRTGDLVQYREDGSLIFVGRKDNQVKIRGQRVELGEVEQHVLDGLYLDFQVHN INITKAQVTAETIHPEGSNGAILVAFVTSCAAGIQKFLKIYTHLQSRRLPVVCPTVYM VPTSYIPILDLPMTATGKTDRKKLRSTGEYLWLKYRSDTDSDELTDSLNSVESILQKV WMSVLNLSARETSINKPFTRLGGDSITAMQVVSQCRLHNITVTVSQILQASTIRRLAS ICQVRGSGDAAAEDDDQADSEQGDKLFELGPIQQMFFDSYPHGLNHYKQTFVLELGKP VLPEAFEGAIQALVKRHASLRGRFKKDTETGRWMQTVCSEDDALSYAYQEHSVLSHND VARIGQQRQLSLDIQKGPLFACDLFHISNYPQVVILSAHHLVVDLVSWRIIWGDLEDF IEHGSLISQPTSSFREWCRRQAQVGRSLSPLSVLPYSMPETGWDFWGMPLSENTFNDL VVYTETFDEALTIQLFGDSNASLQTEPIDIILGTIAHAFRYTFSERSVPVIWTEGHGR NQSDDFQLDVSGTVGWFTTIQPLPIDISLASSIVDTIRMVKDRRNGLPGKGLPYFACH YYSESGREAFSNHDIVKVMFNFTGRYQQLETEEGLFKRPQHMGEADSLIQEVPENARR FTLIEIDSHVEGDRLVVSFTVHKKMKHQDRISLWLTIFAETLSSATTMLLHSKREFTL SDLPSLSLSYSGLDTFLKQQLPGMGIAPQDIADVYPCSPLQEGILLSVQKEAAFYDSF SIWRCISQDGTAISPSKLETAWRTVVSRHTILQSVFALHPEGSGYIQIVLPKSIVRVS HITTNDKDPVSTLNRLDRPTYRTHEPEHSFTICSSADGQVACRLDAVHTLIDGWSISV LVQDIIAFYTGSTPAPAPPFGNIIRYISKIPKARRIVSWMKLLGGVKPTEFPTSPRLL QMAEEPHGDIPIPAESIPGVLDVCKKLGITRSVFLQVAWAMLLSYFTGQSDVCFGYLS SCRDSPVDGVEVMVGPLANLLISRVDLQFSAKEVLKRVSEASIRHLEIQHTSLADIQH HVGSSTGRLFNTTLSIRGGDKLKGGDDATLSFESCTGEDPNEYDLNLSVNVDGDNMEA VVSFRPPYVNGRTAREASTVLTKAINYLVTLSTEDIDDASLFSDFFQNVAGVDERSTQ SFWSAQFSNTQGSHFPVTESVTRCGTTNFQKILTLRQLEWAGCNEYSTSTLIKAAWAI ISATNTRSDEALFGEYSTSSSGVLPVRIPLDWGMPVHQLLHIVQCQADDIDIFSKIPL GRIRSINDKTHVGCQFRKTVQFTNRLDNLDATYTPGSTGSDDEEELAYQYPLAVKFAI YPSSLSINVTCDSGILGEVEVIRIFQQFEHVLRQIIGSGVHYQKLSDVIVTSPQDMRD IWTWNSVVPSVVSGCAHELIIQQAHKTPLAPAINAWDGDLTYQELEHLSTNLAKELIS NGVKRGAIVPLFFEKSMWTPVSALAVMKTGAALVLTDPSSQPETRLRTITELVNAKIC LCSTTNESLGRSLGIDQVLIVGPDHYQCLNHEEKQNSEDIELPSVDPDDLLYIMFTSG TTGTPKGVMLNHQNICSAITHQRACLGYTQSSRVLDFSSYAFDVAWSNLLNTLTVGAC LCIPSASERQNDLSSCLEKYRVTLADLTPSIARHLTGLEKLSTLVLGGEVVLPSDADA ALVGDRTTVINAYGPAECTTTSTILNLTRNPHGGLGQGVGLCTWVVEPDDPDTLAPLH APGELWLEGPLVGEGYLNDPIRTAGSFIEDPAWLVQGVPGKHPGRHGRVYRTGDLVKY QEDGSIAYLGRKDTQVKIRGQRVELSEVEHWVESMIQLPNDVSSAVQVVAEAIQPIGV GNLILAAFVSVGGSTSEPHDTLVKRAVHGLNDRLAASISSYLIPSLYIPLQVVPRMAT GKIDRPRLRSIGAALSAGDIAQLSRSETERLPPQTDAERLLQALWADILEISPDTISA DDSFFRIGGDSIGAMRLVGMARQKYFNLTVRDIFHHPVLRDMATI TRV_07571 MSARIISRTLKVISLLLLLQGVDAVTFFSTGTTVVLDDTHYYVP PDAVGRIPGNATGDSGLLPISVISINASSAFGLHDLQARIKRFSLEDDVFQVGFAEGN ICSDHAAEKRNRAIGNHTVLSSSSHHNETTALPDGPYVVSALGCLHQVYRLYADVQSA FSETTTINADGSFSVLPANVPGQSLAVAVPSRLYYRQTAQKPLAGVRLGVKDIFDVKG LKTSNGNRAWYQLYPAANRTAIAVQNLVDAGAVVVGKMKTSQFANGETATADWVDYHA PFNPRGDGYQDPGSSSAGCAAGEEIRSQAAYPWLDIALGSDTGGSIRSPSQLQGLFGN RPSHGLVSLEGAMPLAPQFDTAGLIARDPRLWAAAAKALYGAKIKMTKSYPSRILAVG FPTEPKSNLDVLLLGFVDRVARFLSAHVEVFDVAAAWAATYPRGSPLAAVVNNTYEIL SAKEQARLVRDVFYADHAAAHGGRLPHVNPAPLNRWALGDNSKATIAEAEANKTRFMD WFNRSILPSGNTSCSENLLLYMPRIPVPKYRDTYRSGPALPSAFNTSRISVMSGTPDM VIPIGQVAYRSAVTNHTEYLPVTVDIMAAKNCDGMLFSLIEDLFVRGVVNVSNVGSTI ETGIV TRV_07572 MAFPLFLTLCFCITVLAHPTYPPNNSKNGPRHGKPLLSDFDALG AWFDGIAALEGTTIPRQPNVTVAIVGGGISGLATGLMLDSIGFHNWEIIEASDRVGGR FRTVFVGGTQEFAEMGPMRLPYTITYKSDNSTHEYTDHRMTFQLAEWLNEMNGYNSTW KIEFIPWVQHHPNELIARGTGRHPDGRVPTRGEIAANPSLGRPPPLVTAEYNNTKEQM NRILKDEKTLRAIQKDIWRAHKWAMDQGLDDYSQQSMMRHVFHASENVTDAIWTSTDY DVFWDEMVHNSNLAQDGSKDAFGETEWKCINGGFNRLSDAFIPHVSNRLVLNRKITKL EPVNDNTNHTRTRLSWYSGTGKNRTSDSKDYDYTIMTVPFTMTRFMDLPKFSSVLDRA MGETGLRFKSACKVSLLFKERFWEKGERPIFGGYSMPESLGIGALYYPSYGLNESRPG LITHYRGGDWSDRFVSMSDEQHTQLVLDAVVSLHGEQARELYTDDFVRLCWLQDEHSA TSWCRPDVEQHKLYIPAYHRTEHNTIFIGEHTAPTHAWISSSLHSAVRGSIQLLLELG MVEEAKQLNHRWMGRWIKHHD TRV_07573 MLLSRTFLSICFFARGLASPVTTSGRNQSEGKISWGPCDINATL PIQCGSLGVPLDYTDSKSNATLNLQLLKVPAINGASKGSILFNFGGPGFGARAKLAGA AKILQTGTETTLTFSCFKDNNERLAAFSNARYEELLSPKERAPLGRLWSTASIFADIC DRYPEANQRGKLISTAFTARDLMQIVDALEEDGLLRYWGLSYGTVLGATVAAMFPERI DRMIIDGVVNFHDYYNGYDIEVWADTDKVFSAFLQQCVKSPDECALARPGLTAPRLEE SIYKLLDNIKYQPLVFNDTLIDHSVAKNLIRPNLYAPPTWPLLSKALENLRTGNLTGF TENSITLSPPWENGIPTESPFGIPCSEKVTGLNRLDQIQFTIKSLDQKSKLLGQVGIP LAMTCTRWKTKAKERYSGDFKVKTKFPILVIGNTFDPATSFPSAQNASATFDGSVLLE HGGYGVSLLQSSGEYYQF TRV_07574 MDLFRRSQFSDYIKKALCSHKIPGISISIVQDRTIASGAFGKSS LDPSTNFTPDTLVGIGPISKSLTAAAVTLLVQDNVNYPQVQYDTLMSSLLPDDFVMSG ENHRDVTVEDILTHQTGMPTHEFSVFGPSAEQPDDPRSITRNLRNLPTIGANRSGFVY SNTMYTVASYLVEHVTGDSFADFLEKRIFLPLKMESTSVQPWRALAKTKGQNISVGYL WDKNAKTFKSSPFLDRPESQGAGQLITTASDHAKWITAMINREGPITEDVYNLLTKKR VLEDASKDQYSENPSFYGLGWQIQDYSGYTIVSHEGGEAGSSCDNFFVPGLKFGAFIF CNADHAHNVISLVKYRLLDQAILERQGGLACINGKLQPSFEPDWGLDFDTDDPDDLYD DDIQTEEELIQELCPDINGLRSQPQEIPLDSYTGLYWNQGYRGIKVENRGDQLFIDCT DRTTPFTLAFKHIREQVKYIVYVTEVHGNDIFPIKAEFVLDNNNRATKLGLQLEQTMN GYIWFIRRSLYIDTVY TRV_07575 MEAAASAIGLIQVTTHVLHLTVKFYFEVRDARKEIKSLEGEISD LQGTLRKIVDLAEDTSDPEAIKRLPAFALLLGEDGALARCQAELLELAGKLEKAGGAG SGKDETLLQKKNPMRQFGVRALKWPFTSKEIKSSIAVLERCKASFNLALTADQTKLTL DTNRLVVELGKDITASRNEQQKGEYRSKIIQWLSAVEQGITWSNHEDARKKHSQGTGE WALELQAFKEWKSTGPAILWMHGKPGSGKTVLSSSVIEHLQAEYDSEANTLLSYFYFD FGTPAKQSATNCLRYLLSRLLTKAPEVPQELRDLYVKKCNFGSETPALSDLIFIFKLF AESEAIENIFITIDALDECPLDNRQELLDFLTTISSWTPSNLHVFLTSRPESDIREAL SPISIVTAVSVGGSSVTQDITYHIQSQLSSDPALKKLPAKLKSQIQSKLIADADGIEL KRCKTKALLIKTLESLPKTLDGTYERILQSIPTNYQDYARRALWWLVEARRPLSAEEV AEAAILDADEDTPFDPEGRFFNPQDDILEILGSLVSVAEREHEVTDVNDESNSRATAT TVNETFNELRLSHFSVKEYLISTHPLNCQNPLVAQFYVDKSLVSSFILRSCLLYISHY IGSDYRAGARDDVDEFPLLLYVCEFWFAYAGLPLDNKSSGLLNDFFASETQVQAWLLV HTPNQPRKDLFQPPDEPGQALHHACYLGLTGTAKYLIENGATVDCTTESGVTPLIRAA ERGHVDIIDLLLNFDSNVDHSTRGGRTPLQVACSRGHIKVVERLLISKADVESKDVDL WTPLYWAAEGRHEDIVSLLLKQGADPNARTMSGGTALHQVCGRDYDEIARHLLDSGAE VDVATSWGRTPLHQAAAGGSLEVVKLLLAYGADPNVLDRSYSSPVSLAEENLHHEIVD VLRPLTNLKDPLDSSEE TRV_07576 MAPKKYETPALLSSKEGRILETYVHAIKKYPLPNDPLIPKEIDI FLRKVFSDWTSDDKLHSKPDRKGAKANFHDTAVRMGTLTRDLPSSEDQSSPEKSNPI TRV_07577 MVKENGYSWPSLVGGTGTQTFLGGCHGLQSSTSNIRDLRDLPDS STRPPDAQNAIDHIALSVMFSFFWRYCAAAWAGFRQFVHLPFYLLLGVSKYEIPHDIE ATSSAGLTSTTYDMAEAPRALTLSNSEAAQWNRDILLEFKAALEKNPAVDLISMFSDS YHREHRNAQYSQLSYAAKINLRTLNELHDAFRHDPEVNLQSAFPTNYTRRITMATGSP DLSPAEKRASERNAPEFRTRLDLAKTASVIFPLSEKVTSLLAQSGESGHSGPGDAADS LLRSLKKLLWDSTKLWENPVRGMVVKCNEDIVAKVIIGNKDYTEYTSLKFLAKQAPDV PAPRPHGLVAFGPFRVMFMSYIPGMTLAQAWPSLSYEEKLSIRGQLDKIFRRLRILRQ DDGHMLGGVSGEGVKELRVDECALFKGITAAIKFNDLQFSARHHGSSTYVEFLRSFLK NDHSTSMYGSVFTHGDVRTDNIMVKQDPNGRYIVTGLIDWEYSGFYPEYYECTGLTRT LSLVDENDWYLYLPESVSPTQFP TRV_07552 MESSLESDNAHYIPKSDIEVLSQEIDNELGMYRIRTGNKIRYLT IEVDAFDEDTMCRPYLLIPELPSFPNAPWTKMDICRSNDGSLKVTTSDVKLQGVGFVW HPEKVEVLSLKRTRYYRHNVHEVIFNGAPAIAKIVRWEWELPRMENETAVYSFIYQDQ CAHPEDPPIAPQVLAHLTEDGRVMGLLLEKVNGRFPGLDDLARCEEVVRRVHDIGIVH GDINRYNFLIDDETGNIRLVDFEHAKHLTEETARAELDSLPSELAEETGRGTTTEEKI AIFED TRV_07553 MVAALTALRFGGRQLAARQTQAAVLLRATPRQLSPLTACRQCLR SAASHPYRGAAAYRNVHSSAAAGGVTDIQQPLDSFPRRHIGPSQSSAEEMLSVLDPPA KTLDEFVHQVLPADILSAKELGLADPKQTTTKLPRDGQHGGLGESDMIKLFEAYKKDI NTTGRSHIGCGYYGTIVPPVIQRNVLENPIWYTSYTPYQPEISQGRLESLLNFQTLTS DLTGLPVANASVLDEATAAAEAMTMSWAALPANKQKQAGKAFVASHLCHPQTLAVMRS RAQGFGINLIVGDIMADDFKLVKDQGDKLIGVLAQYPDTEGGIYDFQALGNQIHEQDA MFSVATDLLALTVLKAPGEFGADIAFGNSQRFGVPMGFGGPQAAFFACTEKHKRKIPG RIVGVSKDRLGNRALRLALQTREQHIRREKATSNICTAQALLANMSAFYAVYHGPKGL KAIAERTMSLTALLKAKLIALGFNVPTRGNAGGEGVAFDTLVIETSSQAEADSLMEAA LASSLYLRRVGSSKVGVSLDETMGLNDVEKLLSVFVKFSPYKGAEAIDLSKDVQPVEI PESVKRTSSYLTHPVFNSHHSETEMLRYIQHLGSKDLSLAHSMISLGSCTMKLNATTE MLPITWPEFSSVHPFTPTPAVKGYIDMIEDLEKQLANITGMAEVTIQPNSGAQGEFAG LKAIKKYHDSNGEPGKRNICLIPVSAHGTNPASAAMAGMRVVPVKCDTATGNLDVADL RAKCEKHKEELGAIMITYPSTYGVFEPSIKEVCQVVHQYGGQVYMDGANMNAQIGLCS PGEIGADVCHLNLHKTFCIPHGGGGPGVGPIGVAEHLRPFLPSHPLNDHLLAKRPASV DSPPISAAPFGSASILPITFAYINMMGARGLTHATKITLLNANYILSRLKPHFPILYT NANGRCAHEFILDVRQFKASAGIEAIDIAKRLQDYGFHSPTMSFPVANTLMIEPTESE SKAELDRFCDALISIRGEIAAIERGEQPKEKNVLKLAPHTQRDLLTAEWDRPYTRETA AYPLPWLLEKKFWPTVARVDDGKFTPLW TRV_07554 MDQDQFRSAAHSAVDEIIQYFAGLPSQQVLPDVEPGYLKGRLPA AAPLEGEPWSQIQADLDTLVKPGVTHWQSPNFMAFFPATVTYPSILGEMYSAAFNAPA FNWLCSPVVTELEIAVMDWIAKALGLPDCFLSTSPTLGGGVIQGSASEAVITVMVAAR ERYASDMARAEGLDEGSEQWEDRLIEIKSRLVSLGSDQAHSCTAKGARIVSTRHRTVP TRLKDDFAMTGDALREVLEKCEQDGLMPYYLTTTLGTTSTCATDRFAEIKAVLNERES WKKIWVHVDAAYAGAALICDEYQYITRDWSEGVDSFNFNMHKWLLVNFDASCLFIRNR VDLTSALDITPHYLRNPHSDAGAVTDYRNWQLPLGRRFRSLKIWFVLRSYGIKAMQAH IRKGIDLGMTFAGLVRNQSDLFELVTPPAFGLTVFHVTEAAARQVAGGDSNSVTREVY ERVNAGKEVFLTSSVVEGLYVIRVVSANELAGEKYVRNAFDILVRVTREVLDSPSSAE KVKN TRV_07555 MSKPTIALVPGAWHTPAHYEEFLAIFEKAGYPTACLQLPGVDSA APKDETVASNAAYLREKLLLPLLDDGKNVVLVMHSFGGCVGSVAAAGLSKKDRGSSGG VVGLIFIAGFLAKESMSLFDALGGKFDDFVNVDEATGQLTLDNPTDVLFHDVPGDVAA KAAGQLKQQAMSVLKSSSSAPAWQEEFYNGGRRGYIRATQDRCVPAAIQTMMLDKSGL DWNIKDIETSHSPYLSRPQETFDIINGMITAIWSQ TRV_07556 MAASYSYSYSYAFTTCLLATATAYLLLLLVLVHVPPTSSLRAWL LPVLMLPTLFVSQMAVYATPVMPFNFVLGVGYGPRLALEMFDLLCISKAAYPYPGDSG SSSSSAGDEKDSGKTADGLLSRTARVGRAVSWLCEISSPDRRREGYTEVSRETARKTS RRQFLVFRAARLVLGYLALDFISSQSLEGADVKFGPGKEQILSRIVAGDFSGEDLGET LGSIVGYAASGYLYLLTMSDLVSLLAVGLGFSRAQDWPPFFGPLTRLYSIRNLWGMVW HRQLRVLLVDYSYFITHSILRLPLADSVAPESKILLLLIRYVRIQMAFLVSGLLHFPI DTMQGVPLRESAIVTFFTVQALGILIEDAVCGIYRWITTGSFSRQAARSLEKKEPALW MKLVGFLWLICWAMWCMPPWIFPVIRKPPMSVVPYSFFARA TRV_07557 MKQPNINLAACILWLLSIITAVAAETDAERNTGVFARNSAARNR SPGNEPPGYATRFKGVTWDVANWRLTTTELDQGHYQSRGSVANGYLGINVAAVGPFFE LDIPVSGDVINGWPVFSRRQTFATISDFYSFQRSINATNFPWLDKYGGDLISGVPHWS GLILDLGDGNFLDATVKNSTISNFSSTLDMKGGILTWQYTWSPEKHNGTYDIFYQLVA HKLHVNQALVRLEITPSRDGNVSVVNVIDGYSAVRTDFKGSGQDGSAIYTSVNPEGIS NVTAFIYAELSGTEGVDLSSSSLVDDKPYIHMNGSTIAQSVNVKLRAGQTTKIDKFVG AASTDGFKNPRQAAKEASARALRTGYEESLKSHIAEWATVFPSDSTEDYTIPGKKWLP LDHHIIEASIVSVVNPYYLLQSTVSNNALAAVRNAPLNRGSIAVGGLTSDSYGGLVFW DADIWMQPGLVVAFPEASQIFSNYRVDKYGQALRNAQTQHLSSKNDTYFSPDAAVYPW TSGRFANCTATGPCFDYQYHLNGDIGMQIVNNWVTTGDTEHFKSKLFPVYNSIATFFS QLVEKNGTKWTVTNMTDPDEYANLVDGGGYTMPLIATTLKYANQFREMFGLGANQTWN EIAQNVQVSRDQASQITLEYTTMNGSTQVKQADIVLNTFPLHYTEDYTHDNALRDLDY YAAKQSPNGPAMTYAIFSIVANEVSPSGCSAYTYGQYSFSPYVRAPFFQFSEQLVDDW SINGGTHPAYPFLTGNGGANQVAVFGYLGLRLIPDGILHLNPNLPPQIPHLRYRTFYW HGWPLEASANYTQTTIQRATNRRPLTSADPKYASAPITVHVGPANNITVYSLPPSGQL VIPNRQIGSISTLAGNLVQCQPVFSPNEFSPGQFPISAVDGAASTKWQPRRASSTSSL TVTLPDHASSATISGFAFDWAQAPPVSAKVVLHDEPLHPVTDPENGGASGSSPTTPAS SVTVWESAKVPLSDPYDPIKIDLNMIMSYKGNTTNVTLPSTVPATKFATLLIRGNQAL GPVEVRAGNGTGATVAEWSIVRSS TRV_07558 MPGLNFTYEYFCEVVGQLTRHSSSPVTRENLNPLIQQVLTQFAG STIYGVGGHSVLISIANNIGVKISYTPGGEHLRHEQSVFQLLASEPCQHIAHSLFTGP DVIFMELFPNGTLYDRLWKADKPYPVLQWMQQLCDAAACLESVGYAHGDINPRNILFD DQGQIRLIDYDHALKVGETVEVGFEPYVRHRKEDYGIAGPDTEQFALGSVFWFMSRGS ELYADIDGAERVNRLIGCKFPELNVESDPIDAIIYDCWHGKFESIAALARRVQQVVLD ESLKEKRKMCEESYSRISACLDSAS TRV_07559 MSDLSALDNGLRELARIIQQYPNADISVCYNSLPAESKEHLSRF AKLCNNTGKPCTRPRREDHRLRSILAIQEVALSMNVQSAIITWRSNINLLHGSSGGIV SANNDNLTSIYWRKVDRSEYNEIEVIRDRVDSLILYHMAVSSKHHTGRSWTNGGLQGF TSQILSLPERDHTFLEKEERIQEWVASGHSYWEWVEYLYNAETQEIRADSSHYREDRL GYIIVLPQSRGILESTYTSRELRKSQRKEAAMHLQLAGIYELARTSGALDVGQRIRDR SLQGQFPILCPEEMLTTQSPPAEYNIDTLTSNEAPYYQDIPDRDSVLAELAFAEGIVI ESTFNKSSTLSFQRPYDSPIFMALQNGNTLEVLNLLGTRQASVHDVDPYGLGILFYAA YYCWKGSGSNIAMELCTQLVLFGANTNWEDDIHNCPVETMIDSALASAISTNAFFAPP THCQRIAQLFNTSPGDLWAEYLHSRGFTPLHNVVLGIDTSQSLEDFLDLSARTGTLEL MINQTDFHCRTPLIWAVEFGLVDAVQALLKYGANPRQGIQTERGELPLLHLSLAGPPS QFLNMNFRLIVSLLATANVDINLKDHEGWTPLHVAASWGHGDLWEFLCLPGLDWNALT NDGESVDDLSPDKMFSHHLTLL TRV_07560 MTSRPTCNPLPACPNPIKWGVEGRIAELQAIVDTPQIKEGQKIN LQAAINLYHEKKPPPPPPSLFKKGKVISLQDLNTTYPFWIEVMLPPFL TRV_07561 MTLEEAKPVLAAIFTVSAAINTAISAFDLEIRSTAHQTQQSRVY ALINTTSDPMMQLATTYSADEIAYVKRLLDAMFESNNTSRCEAMVVSSIEAVRLARTG ANLNRRQSEGVPQSGTAQPLSMREAEGMLSRLVGEGWLERSRAGYYSLSPRALMELRG WLVETYNEEEDENGDTRQNHKIKTCFACKEIITVV TRV_07562 MSTVIIGGGIIGVSIAYFLSDPAVQKKHPGEIHIVDSSGELFSC ASGYAAGFIARDWYAPELEQLGALSFDLHQRLAAEHDGTARWGYMPSIALSLQVEGLD GKKTARGDDWLRRGASRAEAASKDKGDGGSTANEEYPSPAWLTRQKGASNRETCCRDP LRLCKFMLARCIERGVQVHNPARAVSTTKDSGSGVISKVVIEDIQTHTRRSLPCTNIV FAAGPWTPRAFSSLFPLSKAHIPVLSLSGYSLVFRSPRHTLSQEREVYGGKSHAVFTT HPQSCGFSPEVFSRTNAEIYLAGLNGLDIPLPEVATDAHSLMTKDKLARVREAARILM GRPQDGLEGENIDDLEVVREALCFRPYIESGLPIVARLQDSITGTADRVSGGLFMATG HGPWGISLSLGTGKVMAEMISGMEPSADVSRLGLVADMARSKL TRV_07563 MRFIKSVTWTLAASATAVKALSNHTSQYPPQPTADDGLPSNFGL LLFPEFQSLDVFGPLDALNLFSLARKLNLSIIAETMDPVSTQVRSSQIDKFGSTFGES IMPTHTFKNAPPIDVLLIPGGYGTDSPNIGPAIEFIKKIYPSLQYVLTVCTGSQLLSQ AGILDGRHATTNKQAYKRVTKHGPKVKWVPEARWVADGNIWTSSGVSAGIDLMFAFLD AVYGTVVSNQVSEGMEYVRNVDWRNDPFAHYIKDSEPMS TRV_07564 MVSAIRTSARSLRDYILKTKSRTINFAKGQGTPGHRFAPQQTGT NDASIGSRLDNGDIITKDGTQYKRYKFQLNKNAQNSTLKDEARKDSHKVWAQVDVQIK ENPTLEESKSTVVEAFDTIEGQLS TRV_07537 VYLTVTKLALATHDPGVVSAAAVLLNTLIESEVDGIVDSSVFSR ALVDLVSHGNASTCIDRRDLEDGESKLVELVFGVANVIRLRPEILPAWFSPEEKKDGS GEPQRIDPSLRAGKGFAGVTRRDDFPLFYLLVDYVHYEGRTGDFARTGLLYIIETASK VKELERWLIESDMATLMAIGLGASYSQLSRLLISTDSHEDIPAIIALSDHTDLDNTVH HSHQGQLDAFLSYLLFWQDAIDHCKSPEVTDTLLDHFQVLFLEQLLYPSLLESSDVAG GSTSSVITYLSRILESIYQPVLVNRILNFLLASPASEQQHIRLTSTKKSRMSLSRRKS LDILASFAEAAAKPSPTLFNLVDLILMGIRSHNRQTVVATLRLITVVIQHHHEFANLL IKSARDQSSHPTELRTVSALNGEVQRLFTYATDLLDDPGIEPSYENYISSALSVLYQR MHGQSHVVPWIVDVRGGVFSGMLDLLRRFFTNSVVTNLALTNSLSALASSDLISLDGW LLSHPEEPGSNGQTEDSAATAANVEATSQTKTETEPVLSAILQQLVKQVQDWRTEIPD FELLLSARRELLHREDQSEVTGTDTQQGKDEHQQSVSAADESETEPAPAPAPTAAAAA ATTTTTTTAPAGREPSPSPPQLSTRVNVQPPAESSSPSPATLHPLSQAPSSSLQVVHE TPGSSTAPSEAGENEEASEKEDGASLNHILTNVVILYEFILEITALVQARASVLQDVR F TRV_07538 MLSRKHRPAPSVKSLPISPPLPFPPAYYHQHGHEHQHHHHHHAR DAKQAPDHAEIYPQMAPRDYPDYAAVPGPAPDVSLAVEQSYFDLRGQQQHHQHQHHQQ HHLHQHQGRHEQYPFSPNDYSYEFNSYSAPRLPPSPPTSPPYVAAAPREDRDSYPASL ASLPRSLKSAGHRRGTRSMGSATAVSQPSRLSRGGSATTDVGQASDVSIDLTDMLSDK LDEVINHMDMQVFSGRERDLYVDAGPAGPDAASKTSSGRSFLRSSSSASHKTNYFAKA YHYANSRLPPKLVPLNLFISTWPLLCLAAQSSQSAYNRPSSVERETHVEGDPYLGTKA MVIKSVALDHMNLIVFAVRGTTRSSFTDWATNMNAEPATPTGFLDDPGNLCHAGFLSV ARKMVRPVAMRLEQLLAENPARSKFSLVITGHSAGGAVASLLYAHILSSTLRSELIYL RDRFKRIHCFTFGSPPVSLLPINKPSDPRYAKWLFYTFVNEGDPVCRADKPYIRSLIN LYKSPAPSTQGSRSRSSTTSSKPSKLGFLKDRRESTDKSDFEPEVTWPIPSPTLTLPG CLIVLRGDKHNPRDKDVVEAYLTNNEQMAGVVFGDVMMHMMTLYERRIQLLATDAVTA RAAR TRV_07539 MLRIQRAGRLSSRALLPLLPQTAQRSASSSSKKPESELNSVSRH VTQPISQGASQAMLYATGLSTEDMSKAQVGISSVWYNGNPCNMHLLDLSNRFNTIGVS DGISMGTKGMRYSLQSRDLIADSIETVMGGQWYDANISIPGCDKNMPGVVMAMGRVNR PSLMVYGGTIRPGCAATQGNADIDIVSAFQAYGQFLTGEISEEQRFDIIRHACPGGGA CGGMYTANTMASAIEVMGMTLPGSSSNPAESKAKFIECEAAGGAIKNLLAEDIRPSDI LTRQAFENAMVLVTVTGGSTNAVLHLIAIADSVGIKLTIDDFQAVSDRVPFLADLKPS GKYVMADLHNIGGTPSLIKFLLKEGLIDGSGITVTGQTLAKNVEKFPDFPSDQKIIRP LSDPIKPTGHLQILRGSLAPGGSVGKITGKEGTRFVGKARVFDHEDDFIAALENKEIK KDEKTVVVIRYTGPKGGPGMPEMLKPSSALMGAGLGHSVALITDGRFSGGSHGFLIGH IVPEAAVGGPIGLVEDGDQITIDAENRLLDLDVAEDVLAQRREKWLAREQAGQGRPTG LTMRGTLGNYIREIWFKKGVKKKAALAPGIGGLKEEKQEVKKKKKKKERKKERKRKKK EKERNECEGIEYNRKNKKKEEKVLCPLIQIVHQPANQ TRV_07540 MLSSVVRFSVLPIVLAWLVLLAGPVKADNFLAHGYERLFFWGTY ETFCLIEGPDKQQHLLPFKQQKGQESRNNRGSGPGGMLTYPEFIARVEDRPLPPDHPV LSHPDPPGRVGDAVADLLKYKLTGEVSVNALDPSLRGKTFNKPPGGKKKPGSGGGSRN FYDPKDPHRTSFTVLVDGLVGGLLQHLANPRNRGIFQQNLPFMKEALKATITLREETT SIYLLKKLSSPVKDGGFDLDVKLDWKDSKIPLGSRYPEFRIRETFDANGGKLRGLGFK NADDFGDWVRHFGDPNYKGKVEFGPGAFTHHRLLTSWREADRKLHL TRV_07541 MSDKPEKPNMPEITQSVEAPEDTNQSDPESEPYSDLDGRPYSYR QCVAALQAEGVPKDLSSKTARWCVVRGIRTSYEYATSPGVSRICDDMTIPQFARARNA RLIMSNVIPEGMEDPRVQPYCIWIPDCASADTYRKLVHRYPAMLYQVGRACGAAGYAD LYKELVKDFKLLPEVSIAEEARESGTNGGREIYRLILDSPIKYAVLDDNTRGINVDNP QFPAYLNGNTAVRWKVEPRGDLLEDGEYDQGDQDIEEDNRLDVAAAYLDDQYKCLSAE EAKWFYQPLPADIPTVKKELLRDMAAYEGNIDRYHRLMDPQEMTHSEVTCVTRGIYHN TMFARWWMDQLEMNTSRIPKQDVWRIRSAINARRIMINDISGFHDEMEGKPYLIWWPL KPHRKCLEALAKKCPSMQEQIAIACIFCDYEDIYQSINPVPHWRIRLAATKNANPFYL ADIDKRALEQGIDVTERPGEQDGRKDSLSSDIEPTSMWVESPINESTMVSRLPDFHPY FGSRVEPGIIERYVFKSPEVLQKIEALRTRCFGDDTDLLKE TRV_07542 MPSSTSMVEPRGCPEQAEPEDFPPSHPGLRDSGIATSPTALPFI PHLALQTCPPARGDASASAAGQQQHHNGQQGQQTPLAPIVDSSPVTPRPPVLLQLRTD IPSVHQPPQYLHQPVSQDQQRYPQEEEHQHQHQQHQHQHHLQSPQGAGFDQYLHLSRS TASLPRRIPSIRHALAEVHSSAGSLSPGSAFSSPQLAGLSDLTPLPSPIQLLASPPWG STSTFSLSRVSSTASSRELHLPPPLTTARPRPVQPASASISSATPASPETTTNPSQLS APKRDHRHTRNRSLSEYVPPALQVHPPTRKAAASEAASRARDRDASRDGRPGPNIQRE QYLAAQRGIAVLPPPLPTPTSALEPSDFGVFDSEVYEVQSIRSKQPRRYRWQKLLGQG AFSQVVLAAREEDGVVNPQTRRLVAVKIVEYGPAGGADEERVEVSLNREVEILKSIDH PSIVQLKAFGSDPKRALLVLDYCPGGDLFEFASMRMKRINPPLIERIFSELVDAVRYL HGNHIVHRDIKLENVLLNMPFAKMQEITDWRHYPRAVITLTDLGLSRRIPQPPESPLL RTRCGSEDYAAPEILMGQPYDGRSTDAWALGVLLYAIMENRLPFDPLPGTRGDPAKLR ARTPHRIARCEWSWYRYADPDGDWDPVKGRDLEGPRRCVEGLLKRGSKRTHLDEVADM EWIKNAIATDVLPLRRGDVEVP TRV_07543 MLTRTSRPLTKVPEPDKRPTVRNMIKWLWHDGFYKGVVGWRSNV ELGDTSLPSDAFNSQRKRHNMTRLEDFDQVAACFKDESCPPNFVEEMIEKHSELIPRA VKKINKQLLHAGVSREEVDRLGKGHDSGNKEAYAKLDRLVEARRKIPPEGRVYRVVFG KVDGRWLSQEVPVNANCTLAEFDSKTREYSLASCEGSGVDAMVGSGIPRMNERRSESQ WLYKLVPAGQSVVKQTEEGWRRLETPQHFERLKERQMQHRPMTVLMCREEMLHHLMLV ADPAPETKLQTQPEPYRRILLGTEGGEIAGDQEEIFRVEAETEAWAAEHGYEHVDRST IYALHPRLKN TRV_07544 MSIWDSLSGRKKTTGTAEFDPSSATDVSSFLSSTAIPDPSELHP LAGLNKDTLDYLTLEDSALSDLPGSQSALPSRGWSDDLSYGTGTTYLIALTTGGAWGL IEGLKRSPASASPKLRLNSVLNSVTRRGPFLGNSAGVVALVYNGVNSTIGHVRGKHDA ANSIVAGALSGMLFKSTRGVRPMMISGAIVASIAGAWTVCSRLTARISETFHSNALLN SYLDVSSFPKLGRD TRV_07545 MKGKMGSMMADRGPEEKILPVRSRLDLDEYISTAKEAPKTIPEP DLRTLTQGPLKCLLLETGNYEKSLSLWRCLFHTLEDTTLPIPHQASVCNAICAFLEAA LTAKGSEVCQFARSQKTWLAVFEVYLDRFEYSPPKPMKQILATLTNILATHSDPDTAG SIRSYLIDSVVKTILLAEPLTRLKASVVSLHFLIRKEAFPAVDLISHLQKWLQANVNS WTPVIGEHATNVGLDISTFTGSGPSVSVEEQGTITAQLLCLVLLLHLQNANVVTSSGT LLSQLCFKLKKQSEDGLFQYSTPGNGAPFWAAPLKYLSLRNLDNLDATISLAFHLLFR GQPAEFSSFLDVLPLQDLLSENYGETGTAEFALLIAVLETGKELGLVHEDQAPSSSSP DKLLVLESQKLRLLLIHSNAKIRVRVLSLLISAPSTTKPFSSDALLILKEMIPFIHAE ADAHVRSELVSMIRKLTVRLRGGSSTCSPAPGVDATEQESAHLDAQSFISWYIDFLQS ELRPNASYQTHILALKALATIIQSGLDPRIDPTKLSKIGSDQRNWPFSRDIFTFSLFR ALGDLLTNPYEEIRMTSLSLLGLFPASFLRPQNIADTDSGIDGRTHRHPYNQLLDALA RAEEMAGQTSRADHADGVARIYHLLFDLADTGRCPGQQIIVYDYKYDIVDSILTKLEK VTSLSDITTLRNTPIHGHLSALRFVLPVFDTTTV TRV_07546 MKYSSMDDILTAISLLLNAILSNTSFAPLGTQEGLNYDEFARVG SLTFSQLAELRHRGAFSAVSQTFVSCCQRCSVSKDNAVAELPQTWFKEILSTIYGQSA KLTRRSAGLPALALGVASSAKRPFFHEIMEKLQDIAKIPPTSVSEQVDVKLPQVHAMN CLKDIFTATNLATVTEEYLMPALSISAECLGSEIFPGLIPLLTGLLEKGAAKGSSDNT LSEQLSITTERVFPALELIGNKFPSPATSEDERILESVSWQFDSPVWGIRDHAARTYA TLVDRDDILSVILKLSKTSIQGQNQLHGIALCIKYLLRRICAAPVAYYQCKRSITLFD IHSTKYLLALLPAVVSTTEPMFTNLGRHVSSPFTLRELVEIINDLLQSGMTKGTEDTI VDHFDAISTILHLDKHYQNIIYNPKPGSPMERSSSLLLESIAFSYLMTGALLKSPVDD LAQFIQTVSLVDADIAASILGRFSMVSLGHLSSRSDRLKLYFRIITDGLSKDTRLIAI SSLSDELEAIQESAEESHAAFRELDFLVSWSSTLPISESPGEPLWGRKMTDATIRLQG CLLSLHIRQSPSILSSDSTFIERFNKLVQQLSVSMRDETVSAIYMLLSLRAAKIQFPV TPILIDVMFVLYDMLNDDDVEIREAATLVASKALADDLMVFRLPAASASAIADLLTRQ YPGSSGVFEGALQRFLGAPSQRRLFVPVAETLNKAINESTALFAEEKQNLYIDEVREI KLWGQHLIQLEKGAINCSLYGHFSTWVMDGLDSLIQLAADKSKDSLLGWTSNTDVFVI GIRILYGAKVLLLTHRSVSIDVNTIELTHKLQALYTCTYTSELNPAWSSLLEGGVNNM NILIEYSFSFFSDSYPLIFIHHKGLIYRLSTSGEVNRTPYP TRV_07547 MTENYVIVVLLLMTPVMILILWKIGRRILEAIRHGILLSRMQQE TREEEAARVAQEIQQAEQLAELSAMMAAHRSCRHQPVQYRAPQPIQQPQPAHVAC TRV_07548 MPAVLLRQYRNKTPPVLSLAPRESSTDWNSWTSAQQGGVLAASL LLFLFFFGLGTILWQRRRERKRKERRALKSRSRGRRRHSRKRSGPDSTSPPRKKRKTK RVKPKQTEEETGEDKFLMKGAVETPKRTTREQQPAENQDTPDRANDEADQLVEDRTAN TSLDRANLRLKRRRERRREARRRAASGYSSSARAALRGRSNAAARPKQAPETP TRV_07549 MWNDEDNNPYGALDRNEDPLGGSFHPAAMAPPAYQERPASPASS RSSTRDPPDFVTRTDTPDLDDDEDQNEYGGQQQPYQRKKGIYDSRIEQILYENPELPI LITDAGKNSETGGSFIVYTIRTGDLEVRRRYSEFSSLRTALVNLHPTLIIPPIPEKHT MADYAAKPTKAKEDTAIIELRKRMLAVFLNRCRRMNEIREDGVWWRFLDPNASWGEVL NSHPAASVPKNNLKAPPLDPANPTPAHNWLPVPSSSAKLKPTASDIPASSAGAPSFMN RFPPTSKTLSEHELDPYFVNFEASTRELELLLQGSVEKVNRRTLTHLTALSSDLMELG ARYNGFALSEQSPTVAAAIERVGQAADNSYIETEELSSTLGATFSEPMRESAQFAGVV RGVLRYRILKRIQQEMTRDELNKKSALLDSLERSEIEAQRIEEYLNKSSSGSSPKTRP GRSLSEASSGSNPEPAPESPPANAEDTASIDSDFPPTHGEPVHRPSASQGLPHRPVDS TPSGHRKSSSGNFVTNKIFGRISHAIHGFADVDPERTRRDQIGKTKESLTQLEQALKV SEQDVKDASSGVLRDLKRFQKEKEDDLRSYMVAYARCHLDWARKSLETWTEARDEVDK IAVR TRV_07550 MSNTRIPHSTGQSPYCWQVSATISPPSTPFSTSSTLLLHLDIDL SSSSSSSLRLFISSSLHLLSSRRLRLNKLHHVTRREKTQTPATSRSKKAPENIEADED EEKRNLSLKDARERESAASSPPPCPPPRLSFLLFRACPAGCGLFQRTVATPARDLEHR EAEGIRSLSRAVCHLRPDAQDYTQGKEEKKQKNTSPIPELPLVSGQLTFTTPSSKRRK VAAELRKAGGNKGLYFLKTSPPRKPKIGKRYGQSSSTSALSALPQRGFFTEPSSPSSP RLSPARSLQVDSSHGSANEQSSTEDWRSTTATSSPTVTDGESTQDTTCWPMSSSNNNN RAAGQSAAAASDPPKEDVSMVDSNSSGVGGSLDNGNSHTAPPAIKRPAAEMGCDEHDT VMQTDSPSLGSQEEAEKSSNKAAGSKKQTERLNRHQRDASVDMVGKETESEPSKGSRK HASETSSSGTDPTIYTPSSSSGQTHASHDDNPSIDEQVAQVMQLMQQSPTDKQKGYIV SATWLKRVLSRSSTHPRPDKADKLAAEGEIGPVDNSDLVLVTDPDTIFNDEAGEPFVP MRPGLQMGEDYEILPEEAWNLVMKWYGLSNQSPAIVRYAHNTNTEGDMEHIQYELNPP IFSILKLSPNPSEAEKSKPPVRFLASRHTPFQQWLKTAKTLASINMSTKTRVWRILEG LGSSANVTPAASRSASPAPGTTVSTKIPTSISLGLEAFVGLTEGSQRELVDVQDHTAN TKYNGRASIHVIGLGSDNVIVLEEQVGGPAGGEWLSETTKSSTNLTVGAGTKANVQNR LKNKSSSGSGRTSPAPSIVTRGRRRKDGKARGVTGLSNLGNTCYMNSALQCVRSVEEL SHYFLMGEYKKDLNPNNPLSHNGDVAKAYANLLHQIFDVQGSASFAPRNFKVTIGRYG PSFSGYGQQDSQEFLLFLLDGLQEDLNRIQKKPYIEKPDSTDEMVHDKVALQRFADRC WEIYKARNDSVITDLFSGMYKSTVVCPECDKVSIIFDPFNNLTLQIPIENSWSHKIMF FPLHKPPVNIDVDIDKNSGIKAVKQFIAKKMNVNADRLVMAEIYKHKFYKLFDHSQSI ADHQISEGDTIAMYELESVPTSYNPEKPRRFRFSSEEPAVGFNSPKADRMLVPIYNRG RVRRGYGQLQRGLFGVPMYVVISREEAYDYDAVLRQILARVANLTTRDILREDDGLGV TRAQQQAGEDSDTVVMNEDDTQAYGQTIKASSVEGEDGLVDVSMRDADHDEATAARCN NNYNDNDNSDNKGADDEELAPVLRPGSFIPPMLRNLFDVRYIATNDSEPTGFSIINEA REYPLMLSRVPDGKSSSSSGSSSNNGGGGGASKMVFGRRADVESVASSDDELSGPAQP VNVMRTRAAVAADDEMSTNSSSAETKDDSGSETDSDRMAGATSLLRSGKARSSSSKQK AAKKPVQRRLPYVKPGDVIVLDWNEETYDGVFGDEDELYGDNDEGGDGGLRGRPTWTN VSDVVDPEVTSKRQLRSRKKRRGITLDECLDEFGREEILSENDAWYCPRCKEHRRASK KFELWKAPDILVMHLKRFSANRIFRDKIDAVVDFPLELDMSGRVQMVEEGESMVYDLI AVDNHYGGLGGGHYTAYARNFVDGLWYEFNDSHVTMKRDPSSVVTSAAYLLFYRRRSD VPLGGSFLARLTEQAHDRASDDSGSESGSGNGTSGTGGGSGGGGSGSGEGKRLGGLSR NGSSGALHGAAATRQSGDGGLPIDEEEEVDVEGSGEVGEAGPDDEDEEEEGDEGFSSV RFHAGSAMYAQQPSWSYDRLANHGYGEDEIPRVFPPPGSSYADDGEGDDVASTQAERG EGDLSELDRSFELDAEGDADADETGMVFSSVLDEEHAHGHGHGLGHGLGHGSSPAMPN DFDDCPAVVEVRVDEE TRV_07551 MMARPASSVYSRDLQHLRLPSFLTNTSTANNTANNTSNNTGNNN SNNDSTVTSISGLLRNAEDQPHSDTRQTVTIANKNKEKKENKKNNKKNKTVDLLNALI AVLTLALLVLLVLSCVVALGGFNHHSPSSSSTDTQTASSGIRISPGVPDEPSSTDTTP VPTSLPTPTTTATATATTSTGQEREKLSALIPFLTFAAGKTMTPDGPDAAQTAGS TRV_07530 MASIQSPTPKLDRYIIIHVATTCDEHGVYVTKDSAEVIELGWIL LDTKNCEEIHRESVLVKPVNTPITPLC TRV_07532 MDMKKKKRKVLLMGKSGSGKSSMRSIIFSNYVAKDVRRLGATID VEHSHVKFIGNLTLNLWDCGGQDAFMETYLASQRENIFSDVAVLVYVFDIESREVERD LDTYSAIIGALQEFSPNAYVFCLIHKLDLIQAEHRRRICEERSALIRARSGSFSIDTF GSSIWDQSLYKAWAGIVHKLIPNLSVIERFLHAFAAKIDAEEVVLFERSTFLTITSVT SEVGKLNPIYDRHERLSNIMKAFKHCAARNTHTTPASASFLVMHTKTPQFNVFLGLFT DNTYILVVIPPGEAAYNCAVMNTMLAREGFAKGSSGGSADGFPLSYSDTPASVPNGQH NGHG TRV_07533 MATWEQKDGPLSETMQTKEEFSDQTGEIQYESDPNTSLHRGLKS RHITMIAIGGAIGTGLIIGTGAALAKAGPGSVFISYLIVGFVVWIVMSALGEMAAWLP LASGFTGYAVRFCDPALGFALGYRLVTTCTCMNAVLFFFFTLSLLTCCDNSYYCKYII ITPNQLTAAALVIQYWVKRDKVNPGVWITVFLIVIVAINYFGIKFFGEFEFWLSSFKV IIILGIMLLTLILALGGGPDHDRKGFRYWKDPGAFNTYLTHGASGRFLAFWSTMVQAT FAYLGTELVGVTVGEAQNPRKTIPRAIKLTFYRILFFYCLSILFLGMVVPYNHPDLLF ANKSSNSASASPFVVAIQIAGIKVLPSIFNACILVFVFSAANSDLYIATRTIYGLARE GKAPAILARTNRSGVPIYALALSTMFALLAYLNVSDDSKVVFGYFVNMVTMFGLLSWI SILVTHIYFVRARNAQNVSETSLAFKAPLGVPGSYGALAFCILIGLTKSFNVFAHSPK TYGNFDYKNFITSYLGIPIYLILLFGYKFYTKTSGVKAAEADLWSGKDEIDREEAEFL AQEALRREQQGDAGWFYRTFVSWLF TRV_07534 MNKQRKKSGKDLERIIEDINIGFDLDLPRVQSQGSSESCPAEQK CVNHVIYLYWQDHLHEPLMELFKWDRQQFPNRQISGRSFQSHFADPLSSTALRRGLDE RLRLRSEKEKAGVYEYWSKILYDAAWMTKYNLAESTSPARPTSSADTSTTDTPDKCIP ETNGNRSAKRKNKNNSEVFSTAPSSPIFDFDTCSSSDACDGGYGSDTYALSDFDKFDG FDFDFAVEALTDIDGENPDSL TRV_07535 MVRIQTPSKKRKQLKLGDYGIVPSAPTKGPAPCTASPRIDTLQS KINDGMIVKKSPSRSMRPGLSNSKGLSFNDSTSPGRSFSSTTVSTVPNSRVTTPLTSF ASATFRLPRYPVMRISPTLRPLDEGKLIPMLSDLVTKGPFSKRLAWFKDIPLRLRYEV ERLARACDIMPETILSEDILTEDQSYDTLSQILSRGPYKNKIEKPKLGVWRVATESYM DPEKRTMVVFSGQLEWKDERLVLVLNPLKLEKSHRFARRYGADRFLEILLPSKHPTKS GRDAEIFGKALGKWLSNEYHYLLGRRWRAFYLEDFKSKSKTTSFSGSGRKTFLFAVDG EDFLGTPSGISPMDETSENHTPMTVEQLVDWHIHYARNTHQEYSKLFTRIRLGLSRTT ATITLRPEEFIYDDDPRQEKMSDGFAMMSRSLGKAIADSLCLSKVPTIFQGRIAGAKG IWLVAKDDHDIHVKGLRHFETERGYWLVINASQLKVQPPPCDTSLTFDEHQLTFDVSS YSQPPQPVHLNIQFLMVLQDRGVNKEYILSLIPDEADKFYSEFLLVQNGDSVACRAWV RKNGGDASSQILALNESTFPTNHTAQLNGLLDAGFSPSNLAFVRRLFKENSLGGFVQK LEALKIKIPHSTYVYCIPDPYGVLEEGQIHLDLGDSWEDGTTDLDGIDVLVARAPAHL PSDIQKCRAVFHPALRYLKHVAIFTKGDVPLASLLSGGDYDGDEVWVCWDQEIVKPFV NYPMPEIPEPEEYGLVKVSRRVGDMPFDRFMEYAFTFNMSQNPLGLCTNEHERYCYHR GGIGSPLAIHFSGLLSHLADIRKSGYEYPAENRQKYRESLGPLTLRNPAYKIDKASEQ DGEPITWKSDNILDCLRFEVVETVKERIYSRLNNDCPSTNIPKVDEDLIAIWKKTWDR AIQERNSGCSKLLDAINKLREQVEAASETYRRVTDKLSHAAKVTFAAESLMAIKPPDI DHPVAIVWRESAYEWKNLLASCAYQCSPDSWFTWHAAFKDLCHMKARANGPWYSVQAP IYHRLTMNKSMMKKLETERRKAEAEEELLLLRSLEEQEILDNDSDDDWYSVV TRV_07536 MLLSLSLSSSLRHGNMTMIQAFPPSNGGGQAAVQQLESQNTPLA LPGYTPQHQQQSQAMTDSPPPQYHPPSAEQASSNDMEVDSVGSDTRSRRGTSVISMDD IEAAQALEGLRSEYTHTPAPSRSSNNASSQAPETTEPEPLLSLITSHPLLSSAINSSM SVYTSSKTYSPKFRYGAEFIERNIGPQVLNTVGSVGRRTGVEGGLRWALQRRESVNNK SNANSSSNNNSQGAETADIEKGMHDLRTSHSRRSSTLSSEPLPPYDNASSPKYDELYK GNQQPQQQSQTQYSWQNRLMISTSGLGVAMSEESLRSLTYCLTWLQWANRRIGASVGS LKEALREWDTSNQLNTESRTSEEGSQQQSPAILSQQIQQVKGDVLQTLKMVVDVVSKY AGGALPENARNLVRRHLTSLPQRFRIAFNSNVPADGSAPESEMRTRAFRVLVLAEEGL DMMGQVSNVVNDTLVSAENWCDTLRRPRPSASSSSNNNNNNNNDNNNTNTQNMPQPPP FEGDLDRKVPIPMPAQREDVEMDG TRV_07523 AKELDTLYTLVALFSPDSGHAPDTIAMAMFSVSSSNRSFDILST MSFQDVPHAPFPTTGLSAEDNPLLMGGLMMYPNYDEPGRKLLVGDSKDKENSTGTGRQ KQKSVSTRVNIHKKGGRETGAASMSNETKKRGRPRVDCPDRTAAERRRTQIRLAQRAY RMRKETAISSLTQRVTELEANMQEMREAFLAFNDEAVRSGALSAYPRLAQQLERTTRR VMSLTPEVSEGGKSPTSDLDELPAPTTAAENAGRVVLNNPTDTYASDESCQNVPDKLG YFNAEPLPLKDDNNLLYMNTIPTDTTQFYGPTTNDAITSINIPPVSIPAFEGPGLSNC PIPSPCSSGGYLFSRDLPITYSHQESSFARRLHRRSLEYGYALLTNPGTRPERLTHKF RLTFYLTMRSHLHNSFTTLLQRTANEPLEFIEKPHFCLGGAGMHYPRRDGLGNNMFPP NMQSLDRVLGTLLSQLGESEKFSTVQEFIEKMGLGGTWFDCHDVEGYLETKGIHLGSL STFIEIPVSLVANSRKLLASNKPTHLPRSQPNAQDATSSIEASYPPLKHRGDYLSPSS SSSNMRSLGLDSQFLPPTPTIDQTVNISTVTHRPRTVILDVEKFTTRELDRYLHSSPD LIFTLFRVFT TRV_07524 MAQKGGGAWRPRMWSKKSKAKDAVPQRGHVSDSEAQLEHGPRHS LHTVKKHGDLRSIARSHSNEDADDQNSSPAVQAKKPGHSPQGFPECHDGKTDAREPIL QGRPFQPGNGQPAQKGQGQGSPSFKGVVIGPSSKKKRSARAPIANNIESLGPISPSRI PFSSSFKSPVAETRTDDIGSASMDQSFFVKIPKTPTHDFDRSRENVGQTPKKEEQVPL QPRSPNISKKINRNPDFRASPKADAKKPTELFEVLDLPRDNDPVAESNNEPDIHDKPT TEEQDPSCTSDTAVIADGMPATSSDFNNYDNKPKTTVNFSRTARLRPSPLSGPPLFSP EPKGSATSNGDTDPICAGIAVQPMATFPTGQGHQLVAESIPAGTRQLSLDRFLRPGRA KKASINEIDTPPAKQAVLDFNAETTNDTKGPGKGHSEGQTSTNVASKSDGKSKVIDST QGGALSLGNGEQKLAVYDGNTARPHRNSIDIINKLRPSLGKTEAKLKKKRPISAEVNS ISTSVEKPPSSHASSPVAPNSPLPSPENTSPGHPSSPASISALRSMKEEGNSYFTKPV GKPWLGSDHISSSSSLLGFSSVSPGSSRGSRPTNSGQRIAKMFVICCKCKFWHDMPSD AYAKLAFPTVAALKNKLITGPTQSSRHSEEDGGAGWPSISEPSSPQPNKMDDETRTSA NLMGTGSHGSRQSTNTMNSGKISPPSAFSRFSFLNQSVIKCCWCEHRMSRTCCAGWTA LVQLRERHH TRV_07525 MAPQEELDGPDSISEGDLESPAKFYRDALEFPYELDDSIQRPLS SRSVVRTPSSKYGDKTIIKTLDDVTPQPRVLEEGVNEVSHDVNNTNTSGPSELPKEED LADQRSISSRICVSPSWSRAADKKRKKKTKNDKNRINETWNEN TRV_07526 MLQLQDSRPRSSRYEWQGGKGEMDRRLLQMHLGQHMSRQAYEGL DPVEHVGYCSSFYLRHGSIDIYSYRARQAVPEFGNINQCEGNGPLLSKNILSTAGAAL CIPYGALKSQPALFSRYSVVVQSSSLPLQAERLAGSFAAGAAPKSMAARQGTLDWPTS YSGAEGGEGPRVNHAGDRRTSPRSETTTAEKSVSPSLSGDLHRAEEAAWIAGLFMMLT ARRFAYGKKEQKKKRSDSRGLLCCCCFATEVLSYIMIWAVNNDNDNDDDNDNDDDDDD DDDEDLVWNKRHSVISASRCQGTVGARRVAPQNN TRV_07527 MIAMSPTVSLKGSIKFSMKKMLAGGEMSSSTFTGPGELLLAPSM LGDISILRLNGQEQWSVGKDAFLACTQGVVKDYKNQGLSKAFFSGEGLFVYKISGTGI LFVQSFGAIIRKDLVDGEKYIVDNGHLVSWNCKYVMERVASGGVLSNLSSGEGLVCKF TGPGTIYLQTRNPSAFASWMVANGAAGGGGA TRV_07528 MADTEITLPERKHRKSVAFSEGATVMDANGTISEANHAEDKTTA EKHTAVSPDQEANEMTDMFKGLTKKKSKKSKDTEATADGEDGGAADAEFDPSTIKKKK KKSSKKTTEGDFEAKLAQAGLAEEAASEETQSPEQIIEALESGTGIWAHDATQPINYS LLVTRFFNLIHSHHPDLLASGSKSYKIPPPQCLREGNRRTIFANIPDICKRMKRSDDH VMQFLFAELGTSGSVDGSRRLVIKGRFQQKQIENVLRRYIGTQWFLKLIDWSLKRH TRV_07529 MSLSTSTFEPSSLSSSVFTFTSRTTSDSEDDVASLPSSPGSDSF LDRDLSVDSDEESDAEAEWRESLHQLEMLLTMVLVPFVGKFLGRKCAYWGKIAFILEY VEISHFTENVLTEARMGESDGVEIPN TRV_07518 TPNEWLVGQQRRCYSPSRGSMDGTYDLRPPPPAARDGTSSSIRA ATQKTMLSRALQKANTAVLLDNATNYEGAIDAYTDACGLLAQVMQRAGADEEKHKLDD IAGGKALPERPPSGESLSQSILSTHITDGDADDQDIYFIGTATATQILAKSPATESQN ERYIPPRQQSLHPSGDGSTHDTDNTSSTNMNSEHHPSGSYPRPDGLGLSNVANGDLQN LQERGTESTSWLDTIDESGASSSSSIRSANSSLYLRRKQDAHYSRGTEAEFDAALDEA VEAAYDDGLEVVPREDEPDDDIMSHVRRNIELAKQKVREAELEAEAASVSARERDRRR PEISPDDIDVGSGSDLDRKGYSDHEAEEEERILEEMMDDFEFDLSSKSALPRQSGSSG YSSRTWGSSVASSRTNTGTLLSTLTEEDDFSIPPGGMAKNVLSYSQPFQKLPPPVGAP PPPPPPPPHPPVQQHQHQQHQLPPPPAPTRATPTPPSPSAKATTSSPDTADIMMASTV RSRRLSGRPKELIIETGSAHAPSSADGARQVPLPPSMLLAHPITPLPSKTSHGKSSSD FNLESIQQRPHTAIGSPESPGPDSPAISAFPTLNTHESVDFDTLLTSPPLTLSRLHSA PGISREPTYSAPALSKSSQPPSSPFTTSFIPELGKTFSLPAVPPLSSAGPSRHLFDNN IHSPLTPGSPNPNAPDAPIPLEPCPQSFLLRPFWLMRCLYETITHPRGGYLTTKLFIP RDIWKVKNVRIKGLEEKISNCDLLTAALLKLAKVDTNDADAVLEEMQAFENILDQVQA VWSRKLGNEVGVQGAMALFKLSSDDTSPAVDSSSKPSSSGSKSYLSSWRKLRSKSSGI SNTSSSSISRDNGRENLTMTSLPMTDTVATATPRRGVIGLNCTGPNANYMSALARLFD AAQVIVGLELSTRHASEFFGFYACRFALNDIGLMIDKFIKRGSEYVMA TRV_07519 MGLKRGKRASSEDVEPAVSEDRSKRAKTAGDAGKAGPNAQISST LDKAPKTDSNGDPYWEISRQRRVTISTFKGRVLVNVSEYYEKDGQELPGKKGISMTLE QFNSLVTLLPEISAVIEQKGGKVTRPDFSESAATIGEEDEEMSDVDEEKSKSNSKAKK PSPTKNFEATSDEDDDE TRV_07520 MEDDVVIKLLSEDPSNYKDAPLEGIRRLLSKFQGEEIPRGVPLD TSKIESIRMGTTVATNALLERKGERMALVVTQGFRDCLKIGNQSRPKIFDLAIRRPDD LFEEVVEIEERVTLEDYAEDPTRHATPTVARTEEAKDADIVRGLSSEAVRILQRPSEG KVRDQLQALYDKGFRSIAVCLMHGYTFPDHESLVGKIASDIGFTHVSLSHQLMPMIKL VPRATSACADAYLTPTIKRYISGFQSGFKGVLGAGGVKDPSQPKSARCEFMQSDGGLV DVNGFTGLRAILSGPAGGVVGYALTSYDPKTKIPVIGFDMGGTSTDVSRYGGRYEHVF ETTTAGVTIQSPQLDINTVAAGGGSRLFYRNGLFVVGPESAGAHPGPACYRKGGPLTV TDANLFLGRLLPEFFPKIFGKNEDEGLDEKASAKLFEELAEKVNAEMAESGKRGKMTA DEVAYGFIKVANEAMTRPIRSLTEAKGHDTSKHRLATFGGAGGQHAVAIAENLGIKQI LVHRYSSVLSAYGMALADVVDESQVPESMSWSESSEVKASIEKRMQELRKGAVARLND QGFKEEAIVFEEYLNMRYRGTESALMIIKPQEGGAFGKSFIEQHEKEFGFTLPDRDII IDDIRLRAIGKSFDSFPKTVDEQLRDAKPVPVSKSKAHATQKVYFEGGRVDTPIYKIG SLETNDRIDGPAILGDGTQTILVTPTSSALIIDTHVVIDVDVNKKESTKASADEVDPI LLSIFGHRFMAIAEQMGRALQKTSVSTNVKERLDYSCALFDSDGGLVANAPHLPVHLG SMSTCVRTQAGMWKGKLKPGDVIVTNHPEFGGTHLPDITVITPAFSGNEIVFYVASRA HHADIGGILPGSMPPHSKELYQEGAAIKSEKLVSEGKFNEERMVELLYREPAKYPGCS GTRCLADNLNDLKAQVAANQKGISLISTLIEEYGGSTVQLYMKSIQKNAELSVRNLLK QVSERFKGADLTAIEHMDDGSPIHLKISIDAEKGEAVFDFEGTGPEVYANTNAPEAVT YSAIIYCLRCLISEDIPLNQGCLKPINVKIPKGSFLSPSSKAAVVGGNVMTSQRVTDV ILKCFQACAASQGDTNNLTFGFGGNLSGEEETKGFGYYETIAGGSGAGPDWEGTSGVH THMTNTRITDAEVFERRYPVLLREFSLRAGSGGAGQNRGGDGVIRDIEFRIPVQVSIL SERRVYHPYGMHGGEDAACGQNIWVRKMPQPEGSKEPPIIKHVSLGAKNTANMEPGDR IIIKTPGGGGYGKPGNESVVRKEADPQLAWKGGSLASRVGTQETN TRV_07521 MNVIKLQRKYPQFQQSEIFTLQDAFHKLDVDDKGYLDEAETIKA TQASERLPYDVVRQTLKEVELDSSRRVEFEDYVDLIAKLRSGGQPASAAPPVPSKPSS HVSGGHGHASKGSISGKIHVQGSSANVTHTINEDERTEFTRHINAVLAGDPDIGHMLP FPTDTFEMFDKCKDGLVLAKLINDSVPDTIDERVLNRPGTRIKQLNAFHMTENNNIVI NSAKGIGCSVVNIGSGDIIEVREHLILGLIWQVIRRGLLGKIDIKLHPELYRLLEDDE TLDEFLRLPPEQILLRWFNYHLKNAKWHRTVSNFSTDVKDGENYTILLNQLAPDICSR KPLETRDLLQRAEQVLDNADLLECRKFLTPSSLVAGNPKLNLAFVANLFNTHPGLEPI TEEDKLEVEDFDAEGEREARVFTLWLNSLDVQPAVNSLFNDLRDGTIILQAYDKVIPN SVNWRHVNKPPASGGELMRFKAVENTNYVIEIGKQNRFSLVGIQGADITDGQRTLTLG LVWQLMRKDITNTLSSLAERLGKREITDNEMIRWANDMSRKGGKSSSIRSFKDQSIAT GIFLLDILNGMKSSYVDYDLVTPGRTDEECYANAKLAISIARKMGATIWLVPEDICQV RSRLVTTFIEIVNVERQVEHEKEECGIETISGRHEEADCFCFQTLIS TRV_07522 MFVYNNIGTRQACAFRDDYANLTSTGLSIFGLSGDSPKANTTFQ TKQKLPYPLLCDPFFKLIGAFGLKKTPKGTVRGVFAVNKEGKVLLRTPGGPEKTLNLV QDLVKGKDLSRSLPVLCRGGGALVGAEVTLHQKKKKKKKKKKTKLAKRKRRTKRKKTE EEESWSLEQSCIFVAGAKRALASAHLYNPVVDRDPPSANLQAAPF TRV_07482 MWKLSRFRSLFHLPIRSGLTRGSRGFMNSTAPRDEHAVHDTKLN THGRDDLFRYTSGRWLINEKHQLDQRFVEFSIDKLCSRAAAIFGPKTKCVRVVKIEGN SNKAFLLTMDDGNEVIAKIPCPNAGAPLLTTASEVATLKFLRSRISIRVPEVYVWDSD SSNPVGAEYIIMEKICGVALAEKWESMNSLQRYEIINQIVKMETEFRSLKLPAYGRLF LRDSLPPEYHRYPLPSDLDSDGLFCIGPSNSRLLCHNKPTEVSKSIVGPWDTISDFAL SIPQKELALIAMERDRVQSLLNRFDTHQSVDEYSDLLQKATQVLPYLSKDLEVLKWSD SVIWHNDLHLGNIYISPDDPTKIQGVIDWQSIEASPLFTQVQFPEFLRPPKSYCPGTE IPKLPDNFDDLDPEEKETAKQEHTLATQSKYYEMYCLGYNIPVYNAMKLDRRLWEPFV CCELPSTGFLVPLRNSLIRIFQDWNLLGFSGSCPFEFTKEDLKKHNEQVQRYEDNRYL LDIIKGQLRTDDNGWVPIERWEATNKMNEYLFDTYIKTMSEEMSPEVAKKAWPFPPKS A TRV_07483 MPPRFLTRRLHIPASRRPPLFTAGPAALARPSSTQPVVPSIPPP LLLLGQPPARFPRSSLAFLQRGASLYTTTATATPRYSESPEDKSSSPVMAQVERLADS LEKPSVDNRTYRVIRLPNQLEALLVHDPDTDKASASVNVNVGNFSDDDDMPGMAHAVE HLLFMGTEKYPKENDYNQYLASHSGHSNAYTAATETNYFFEVAATSHPRSKAPSATPS AVPSAPPSQAPTPGGILADKMSHLTVEGAPNSASSSISDLTPPLYGALDRFAQFFIAP LFLPSTLDRELQAVDSENKKNLQSDPWRMLQLNKSLANPKHPYSHFSTGNLKTLRDDP QARGLDVRSEFMKFHDKHYSANRMKLVVLGREPLDELEAWVAELFADVKNKDLPQNRW DDIEVFEKENLLKMVFAKPVMDSRTLDIYFPYPDEEDLYESQPSRYISHLIGHEGPGS ILAYIKSKGWATELSAGATPLSPGSSLFNISIRLTEDGLQHYQEVVKIIFQYISLIKE RAPEQWIFDEMKNLSEVDFKFKQKSPASKFTSSLSSVMQKPYPREWLLSGSSLLRKFE PELITKGLSYLRADNFNIEIVSQHFPGGWDKKEKWYGTEYKVEKVPEDLLSEIRRSLE TSTGRTSELHMPHKNEFVPTRLDVEKKEVDQPAKRPSLIRRDDQVRTWFKKDDTFWVP KAALEITLRTPLIYATPGNNVMAKLYCSLVRDALTEYSYDAELAGLDYDLSPSVFGLE VAIVGYNDKMAVLLEKVLTIMRDLEIKPDRFRIVKERMARGYKNADYQLPYYQVGSFT RYLTAEKAWINEQLAPELEHIQLEDVAAFYPQLLRQTHIEVLAHGNLYKEDALKLTDL IESTLKPRVLPQSQWHVRRNMIIPPGSNYIYEETLKDPANINHCIEYYLFVGALTDAQ LRAKCLLFGQMTNEPAFDQLRTKEQLGYVVWSGARYSSTTLGYRVIIQSERDNQYLES RIDSFLEGFGEALTSMSDEEFEGHRRSIINKRLEKLKNLSSETSRFWSHIGSEYFDFT QHEIDAAVLENLTKDDIIAFYRQYIDPNSPTRAKLSVHMKAQASASLVASAEQKSAVL AKIEQFLGSAGALVDTEKFKEAFKDITISSNNLDGIISTVHNHLTSVLQMAEDEATKL LEPAKGALPALLAQAGIKTVTNSDNESKSKEDPTPTANGTSSSADKPSSRKPIYITNV PEFKARLSVSPATAPVVDLSEFEDFDPKL TRV_07484 MRFTLFAIVISAFVTSTYAQREYYLRNEPSGLNVTWDDDDYIYM EPGIRKYTISAWNMYPVASDLKNWFAFGASYFYLQFDFGRDGDTARRTDEGRIFEIRK AESHSYVIDVQSIDSGQPTLAWTVERNSTDPRVFLKLRPYMRLPSQQFTITPK TRV_07485 MHLFNLSAICLVAFLAQTCLCLTGNFTFLENYNHDGLTISPLDG LVEIKPNATDIWRIEPIPPDRGSHIIRHPKTNQFIYFRQTEDGTTATLSKNAATVITI AANNPYHCLLYGLRLRSSSGSPYYCTMEANSNTDPRQVLRLYQKKPAVDFAFLPEAFG RK TRV_07487 MRTDEEKASKGAADHVDDLKQVTTAYVDEDEEFSRQQQRKIIHR IDARLVVICGVGYLVSLMDRTNTSVAAIAGMTKDLGLKIGFRYSIIVLLFFVTYIIAQ PIATVAIRKIGPRIFISFIVFTWGVILIGFGFVKDWQQMAGLRALLGFLEAGFFPGTV YLLSTWYCRHEVQKRYSVFYILGAVAGSLSGILAFGLSQMEGLQGIRGWRWIFIIEGV ISILVAILSYIFLIDFPDRASGAWGFLNEKELAFVVRRVNRDRGDVHVEPFTLGRFLR PALDLKIWGFALIFFCSTTVTYAIAYFLPIILVDGLGFGVGESQCLIAPPYAFAAIVM YTSAYVADKYRIRAPVIAVNSLITLIGLPMVGFGKGSALRYTGVFLATAGANANIPSA MAYQANNIRGQWTRALASATLVGFGGIGGIAGSLVFRSQDAPAYIPGIWAAIT TRV_07488 MEEAWRKRLHQRRLREAEEDEEKDGTSSQRPAAREKERKEKKKK KQRRPRAASHGTRSLHLTDHYWSKEIRAGREAKIVILKDGVLPACVSVLSPSPSLSSL SLEEAKEAEEEAEEAKRKKKKRELPRFISRFISRSPGQSRLQVFHIYLQHPRTSGTAT SSQQHLHSNISRMAGTTDVSRVEAPVTLKAYFMCAFAAFGGIFFGFDSGYINGVMGME YFITLFTGLKKSDFPPPHEDKFALPSWQKSMITSILSAGTFFGSIVAGDLADIIGRRT TIIAGCGIFIVGVILQTASAGLNLLVAGRLIAGIGVGFVSAIIVLYMSEIAPRKVRGA IVSGYQFCITVGLLLASCVDYGTQSRQDSGSYRIPIALQMLWALILAGGLFLLPESPR YFVKKGKLEDAQTVLARLRGQDRDSDYIREELAEIVANHEYEMQAVPAGYWASWMHCF SGSLFNPASNIRRIILGTALQMFQQFTGINFIFYFGTTFFQDLGTIDNPFLIGLITTL VNVCSTPVSFWTIERFGRRALLIWGAIGMFTCEFIVAIVGVTDGENRKAVQGMIALIC LYIFFFASTWGPGAWVVIGEIYPLPIRSRGVGLSTASNWLWNCIISVITPFLVGTDKA NLGAKVFFIWGSLCVGCFLYAFFLIPETKGLTLEQVDKMMEETTPIKSSKWKPHTTFA AEMGHVATAAADEKNAVLDAAADSAV TRV_07489 MFYNDPNVLYISIHVYRDGSFYPGGDEGNWDYCGEGLGLGKNVN IPWPTQGMGDGDYLYAFQEVVMPIGYEFDPDLVIISAGFDAAAGDELGGCFVTPPCYS HMTRMLMNLANGKVAVCLEGGYNFRSISKSALAVTRTLMGEPPDRLIAPSASRPAVET ARRRTLPATVYMVCMVITGEEGGMLIGVGVGTDIIRQYQAKQLYEEHKLTSLYIYRDT ISKSFENQVLASQNYDKVDTLLVIFHDPPELMGIPHPVTNVLEAHNTWLQVQKEEWLT EGTNKADNVKDYISWAVEHNVGVIDVNIPKHISEADQNSRSYERESPNRALFSEQLAE YLWENYIECVYPTDLSLLAAVVGCLLLTTRRTNDTSRIFFMGVGDAFLGLANLLINKE RVHTMVSGVICFVAENPVRAVASTTITWLSKWYKEYRRSNKMKNSLVFVSHRHMVWEG QENKQKQYKRYGRLVKSTAAHTLNEMLVNHRADVFKWIEERVEAGSESSS TRV_07490 MDGDEDVVMGEARVPESAPGPAPTSTSTIPIGGGRPSPPSAEEA SLTPEAQIQAPNGSKEHTQSLLATFHQKVHSPGKLLKEIEDHQAGISTPNNDPTTGTP RPTVAAGHHVKAETDTAIADEEAEDSDWSADHHEGRSRALHRAQLPTGYCYDNRMRYH CEVKPTLDVHPEDPRRVYYIYRELGRAGLIADGGEFQGPLVPQPLKRIEARDATEAEI MLVHTKEHYEFVKSTKDMSEDELLELEHTRDSIYFNSLTFTSALLSCGGAIETCKAVA EERVKNAIAVIRPPGHHAESNKTMGFCLFNNVSVAARACQHVLGDKCRKIMILDW TRV_07491 MHRCTDVVDLLTSIDQEYMLKYDSQHGQFKDEISHDESGLVVGG KHIKFYAERDPANIKWSETGADYIVESTGVFTTTEKASAHLKGGAKKVIISAPSADAP MFVMGVNEEKYTADIQVLSNASCTTNCLAPLAKVVHQNWGLKEGLMTTVHSYTATQKT VDGPSGKDWRGGRTAAQNIIPSSTGAAKAVGKVIPELNGKLTGMSMRVPTSNVSVVDL TCRTEKPVTYDEIKKVMKAASEGELKGILGYTEDAVVSTDMNGNTNSSIFDAGAGIAL NENFIKLVSWYDNEWGYSRRVVDLICELLLFVVLSASNSGHKLTRIFHSLHRQGRLRL QIATNGKCGTTEHALVRSTTSFPFLSLPPYIPLSI TRV_07492 MLENYPLDILRTIVSQLDDRVDLKSLSETSRFWNEVTNPFLYRN IFISTSRNDYGIIQAHLRAGVLQYTRNIQVLCSRSLTGPNDFDFEDYSPCTDSPNHYC TRFDSNESVIDSLYNWDLYQGLSSAELADKKRWIDPDGDPNFYPELFNLGSRRRILSV LIRCREGFLKSFRQPFIEQLRFGFLECCNLQHPQLFTRLKRLAILNAEESTFIDHESE VKNVRDCVTLNCGQLEYLEISCIYWQLLGDEYDIEDQHFTEALIKPCRNDQLRFPNIQ HLVLEGYLFNKGRVSLLKDLNPEVLHTLSLRSCLFWEDLLPLLNSEDRPFHIRTLEVQ AYSGSLPGVMDRQPEGCRELASFIEKCEALENLFIGIERAFGLERLWRSLLRHRKTLK SFVFYSLPGRIEGPHAAPTPYYVPNLSFDPPYHNEIESGRLTLKHLDLELLGVSYEPE YLVCFDSDSMLWENTNKSMLLDTSSLSANYHGQPESVAYSRLPYTS TRV_07493 MMQVSKKIPFDNPDVLLGVRTMYIASNIAIAALYYYIYTVIVQK KDLKTLKYVEPPAMGSQDEPRPVVTTVQEYDKQQLRAALKSQLMGVGMMAVMHLYFKY TNPLLMQSIIPIKSALESNIVKVHLFNVPAKGDLERPWKAAGGMMGMGQPPVKTDKAS VESAEKNWRGGAKEE TRV_07494 MPGGKGKSVGGGKAAPKDANGKGPRSHSAKAGLQFPCGRVKRFL KSNTRNKMRVGAKAAVYTTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGDE ELDTLIRATIAYGGVLPHINRALITNADKKKHS TRV_07496 MSSLPNFPIPSQLSNEQFLQQWAPNTATSGVNQPNPNSAAFTAG DDGTGLMSNQLTRRPGNNQIISRARMGDQNLVPMMDQNSLSMNDVGNSGTGETEEEMR QRALRVKKESLAKRKHIPPFIQKLTSFLDDSKNADLIQWSEDGNSFIVLDEDEFAKSL IPELFKHNNYASFVRQLNMYGFHKKVGLSDNSMRASERKNKSPSEYSNPYFKRGQPDL LWLIQKPKNVSGQGRGGSKGGRVKLEPDVDDAEGEEFGEEGGGGPSGAGGREDKSRFR GQLALGSGEGMLHGDQLTDVYRELQAIRHQQHVISSTIQKLRRDHEQLYEQAANFQEQ HTRHENSINAILTFLATVYNRSLQGHEGAQGLANSFAGAISQDHQGLSGNIVDVDELA DNYESELTPNQPPFKKQRLLLGAPPTRDGQRHNTLSPNPANSAYVGHQRSSSSGPHKV SVQPVSEVEELFDPTSPMTSTAPAQQQPQLQRQGQPRQTPQPQTQAQNQNLTHPHPKH QGSPGHLPQRDIMSLIQNSNARSSFSTNPSDFPTVLSSLETSGGNSPLTPAQRADMLR LISNENNAAHPTGGQPQNNALIAPNAPQMPSNYSAQLANTRSELDNLVKMQAEQARSV QHLTNMLQPLSPTGSIPGIDNTQIPPPPLDLDNIFNSGDYFSDIPGVENNSSKDSQNQ ADPNNAAATTTAGGKNDNSNNNNNNNSNNNITATADNNQTDDLFDFDTLAADPSVDPS QPTPNFFDTFDEFDGASSHGLSGLGDVGSTNMNMNSNDNNNLGSGVGRDLDRNRVTET FTSSEATSPANTLVDDNSQNHSQNHSRSQSQSQSQGGDGSTGHTHGSPQMMQRRSARN QR TRV_07497 MQVVKEEEEEEVREVKSRWKLVQEEEEEKKDDEVLGRSRRQ TRV_07498 MSGYQDMYNSSASRSPGSHRHPPQQLRRQPSRQFDAYGPMSSAL YEEPPLGRYDTNPLDRLNATMPSGSYPYDISGSQTWNANCVGLGGLAVNSATATSRMR NVRSRTALPTQTWLDQQNSLPNSFSLAPGQHQPSPQIRAENPHDAEDELIPTAIVIKN IPFAVKKEQLIQLMTEMNLPLPYAFNYHFDNGVFRGLAFANFTSAEETATVIELLNHF ELQGRKLRVEYKKMLPAQERERIEREKRERRGQLEEQHRPMATSQLQHQTSMSSLASH LPTTSPSPVSQRAGKLEVDMNDAQTLQYYSQLLLFKQDLSKEALIFGTNLTPAQRRIV HTISHNMGLAHTSRGTGDQRQVHVYRAGPGANVSPPVPAMPATVTSDAARRGLSRAAT IDFGESRHEQQAYGSSMRQSSFLNVLDSPGPGGYANAQNLRAAKSFADLRSFTPSPVP SSASFPAALQTNGARFQQQQQQQQQAYDAGTSGASNTPTLTPTPSGSSLGIQRVDDSL LVNSLGGLSLGTSVTGPSGSPRRTRGIWDASDLPTTTAGSNNGSGSSAGAIGSNRSIG LGFDSGNQNQERVPVRQPRGPAPERGPGFRRQNSHQQRGSDELRSNPGVEIIVE TRV_07499 MSAHYDTEPPPTASATLHTTVGPIHISLFARQTPLACKNFLQHC LDGYYENTTFHRVVPNFIIQGGDPTGSGSGGSSIYEYPEFEYDPRDGEKVVFKDEFHS RLRFNRRGLVGLAKSEDGTYGSQFFITLANVERDLKNSCTMFGRVEGESIYKVASIAE AELVEGSDRPMYAVKITGCEVDQLGPFEGQLKRRERIAVTDKASEEAAPVKGKKKKKA KVGKALLSFAGDEEDGEAIIPTKPKFNTRLVREEEEAASVAKKQAERERADRDMHKPE PARALTELPARKKQEVPKAPPRKPDPNTQLPIPDPESPSRPASPSGSESESPPPRQSL LSKTNAEIAALKASMKRSAAAAPAEPVRKKSALESLIPETSVRGARKRTAAGSSSQDA IALDMFNAFKAKLENADSASKSSKSKQSHDTSSKPGVKKDTERQSNDQDEEEEQVCDL HFVAGCLSCRSWDVPESERAGAEDDDGDTGWMTHTLQFGKDTLGKDLSWKQKHQENDD LVVIDPRQKEKEITGAGGRKRERERKRKLETGHDREWDRRDVKKAGNSRS TRV_07500 MLNSASLLAVSLLAQAATAETVLGAYIFSRHGDRTSKSTPPTVL TDLGYSQVYSSGRYYRDRYISSSSSSQVLGISPNIISPGEITASAPQDEVLQKSALAF FQGLYPPAGKEAKTTLRNGTVIEAPMDGYQLVAIEQVKSGSNSENIAWLQSASACKNA KISSNDYFASKEYKDLLKSTEGFYEDVAPLVKDTIVAEKVNFKNAYTVFDLLNVASIH NSSDSFPSLSSEVYSQLQSLANIHEFGLAYNASEPIRAVSGALLAGEVLNSFMDTIKA PKTKPKVNVQFGAYATFLSFFGLTKLPTANHDFNGIPDYASTMVFELVTNASTSSGSF PAESEISVRFLFHNGTTIPGSPETEPTAYPLFGQEKTLLPWSDFVSHMKEIAITSQDQ WCQACGSASEGCPGAPSSGGPSGPSTSSNSDSGISRPVAGVIGALVTLSVILSVQALV FFVGGFTITRKSKRVNSIGEMKQGSV TRV_07501 MSEKKASLLHGELDVERPEAPRRPGWRTIALRLAQLLGLTGALY ACILYGRANQGNGTIDVDKLMRESPLIDTHDDFPEFIRRMYGNDIYQKNFTIEDRLPM HVDFPRLQKGRVGGQFWSVYVDCPEESDKYDDSVYSKSVHDTFQQIDLVQRLIQRYPD TLVGALTAADVKMNFARQPGKISSLMGIEGLHQIGNSASILRAYYNLGVRYATLTHFC HNKYADSEHPAEPLHGGLSDAGKDLVREMNRIGMMVDISHTSADTQRDALKASRAPVI FSHSNAFTVCKHTRNAPDDVLNMLKTNGGVIMVTFLPGYVNLSGKATLSDVADHIQYI GNLIGYKHVGIGSDFDGMSGVPTGLEDVSHYPDLIQELANRGIGAEDLKDVMGRNILR VLGEVEAVASKMSKCTRPLQDYIQPR TRV_07502 MSDYEDDMDVDAPPARDTAAFTADPTDVKGKRIVADLPVEAGDN LPWVEKYRPDTLDDVSGHQDIIATINRFVDSNRLPHLLLYGPPGTGKTSTILALARRI YGSKNMRQMVLELNASDDRGIDVVREQIKTFASTSLVYKGRSEAESLTAIVGTKQIFS TAPSSGSGLGAFKLIILDEADAMTSAAQMALRRIMEKYTANTRFCIIANYTHKLSPAL LSRCTRFRFSPLKEKDIRRLVDTVIETEEVQIQPDAIDSLVKLSKGDMRRALNVLQAC HASSMPLPSKNDDANEQQQERETITEETIYTCIAAPHPADIKIILETLLSTSDVTSCL NTVQTLKANKGLALADIISALSTELQSLEVPAQVRVSWIEGLADVEWRLSGGGSEVIQ TGGMIGVIRSGCELMGDKDILMNE TRV_07503 MPTRNICLLELIGNFPILPLRTRTRGPAYTLPLLPPGSSDLDID PDSESYDCLDEVLSLYRANTFFRNFEIKGPADRMMIYGILFVSECLGKVKPNMSSREA EKALINASLDHFAIPGDAAFPLNQAFEPPKDRQDAEALRQYVVFLSGAVKFW TRV_07504 MSYLKKVAIVGATGTIGQHIVREILKTQKHELTAITRSASNTVM PEGVNVAKVDYDNQSTLVSALRGQDVLIITMAASAPKDIHIKLVEAAAEADVPYIIPN GWGLDATHPVSDDAFIGPHQRAIYKRIEELGKSAWINFVCGFWYEFSLVGGPNAYGFD IDNRSVTFFDDGTARINTSTWDQTGRAVANFLSLKEKPENGEDERLTISGFRNTNVFI SSFLVSQKDMFQSILRATGTKEEDWKITHEPSEQRYKSAVESFRQGDRGGFVRAMFTR MFYPEGSGNGSGAFEATNKLQNDLLNLPKEDIDGLTKVAIEDPEQFRRNYS TRV_07505 MASSTLFALPKTQLRPLISTKKNLIPFAHYTRRSVTTLQPSDVK YEYVEDVERLDYYTHGGYHPTMIGDAYHNGRYIICHKLGYGRSATTWLAEDTREGRLV ALKISTAESVERTCEAQILSHLADSPSEHPGKINIPSLSDSFTFPGPNGTHRCFVTDA ARISIHEAKDASYHRLLHLPAARAILSQLILGLQFIHSQGIVHGDLHLGNILLSLPPD IQKMSSQQLYSKVGEPSTQRVIRRDGAALDLGVPSEVVVPIWLGLGSDEITLADSSIL IADFGEAFDPQTTKRLTAHTPLLLSPPESRFLTEEGKSLSFPGDIWTLACSIWEIFGS NPPFESFPATLDDVTVEHVETLGKLPDRWWKIWEKRSDWFDKDGRKNVKGSIRQWYGN EARERYTEISREEKIRAITA TRV_07506 MPPSANSLLSILGTQVSDDLFTYTSGRYVFNESLRRRERYVRFD VEALKQLAASHINHGKVADITKLAEGGFNRVLLLTMEDGFQAVAKMPYQTALPKYYAI ASEAATLELLRTINIPVPNVLGYSASSDNPAGVEYIIMERAQGTQVKEQWDSMTKRQR HKLASSFVEIEKTLFSLPFSSIGSVYFKSDIPAELQAPLHDVPSLSQSDGQDIPEKFC IGPIADYMFWYGDHVCAPFTERIKMATDSSTGSSSTAYLRSIADKEIKWTQQYGKTLE LQFPHNGVLTGKQNPDRYVDLLRNYLALAPYLLPREGSELNTPTLRHPDLNPNNIFVD PETCEITCLIDWQHTTIEPRLLVAGYPQAFENPDTDEPLDLEEPTLPPEYDSLESDEK AEADELYRHLLMTHYYRIYTGVLNKPHLNALRDPLLNPRKHLVDRAGRQWSGNTITLK GALVRMVDYWDQLPETKGIECPVKFDISDLDEFLKQEEMWLCFNAVMNYWRDELSISE DGWVSNENYEAAMKGVQRLKQDMLNKAEGDEEDIILINKGWPFDDHEEIS TRV_07507 MKFLTALSAIGALVATATAAAVPNTPAKQSMIDVQLSATGNTMI KATITNKGDKALNLLQFNTILDKNPTRKVRVYQNGTEVKFTGMLPRYKMSNLSPEYFT SLGPKASVESTFDIARTHDLTRGGKITVMASGTIRTAEGHGANATTITGYARYESNKL ELDVDAKKASSVGQAMGKVKKSRGTIDKRTNIDTSSCTQGKLDALEGALYNSAALAQA AAEAAPSNLNTVAEFFKSTSSSTVNTIVSRL TRV_07508 MNGCSPGVLAYTLPDQNLIFNCPIYYSDLPALAQSCYEQDQATT TLHEMTHDSAVVSPFCDDLGYGYEDATSLSAAQAIQNADSYALFANGKLTLHLHDIAG L TRV_07509 MVSTRTEPEAGHVAGYKDMSHESNTRPSPDVRESHNDAHATAEL SQTPLSQTVDHGQNKTVEGTSSSSVTESGHAALPQIPPTDGLENSADIWADSVNSDLR QYNPPTQPFSAQGTGQTDSTSLNDQTRGEIPTSGIQLQSNNPFLKAKLSRDSGCLLTS SIRQRSLTVAIENLNTASNATEDPFDNPNASIQPQRSYNANQICDKMGNLTLDSSARN NTVGAHDSQAVPAEGRVRATHEVTSPTESGDLIDLNSHDHSEPETHSVMHTPSESSDE KKDRGKGVAIQNSEHLEPVVTNPTGPPLVPGIVEPASSPKPASPAPSSQHSSHKSEIY DIRIVNWTDGTTELRQSPILVQNENGPCPLLALVNGLVLRSPINAQTPLIRALHSRER ISLGLLIQALFDELISSPDGHNDLPDIEALSVFLTMLHTGMNVNPRLTPILHPPTPGT FLKTRDIELYSTFNLPLVHGWTAAPSSPTHEAMLRVAEYHDDIQLLHFKREDLESRAI NTGSLASDEQRLFEDIDTIQRFVTVDHPTQLSPFGLQHLERSIPAGSICVLFRNDHFA TLFKHPISNQLFTLVTDAGYAGHAEIVWESLVDVNGTNSELYSGDFRPVGHSSSAPSQ PPPCAEEPSSRENASATEQTDADYAFALSLQYEDEQTRRNEQGPPQPPRRSQNNLRVS SETTSPRGRSQHQIRNTRSASFSRPNSALPSTLTPLPRTPTTHRPPPSRAQGFPPMVP PATNQNNPVNDPNAPPPTYEQAAQEPAYIPPPNGPLHPQQQQQQQQQQQPYNGPMGPN PQYNRMSGYGPSPHMPGRRRQYPGSLSTSSLPHRDDYYRERDRNKDCIVM TRV_07510 MHSSIPPNVYVALKLPSDVTKIIQTVPNSNISLGKYGSFPCNQI IGRPFYATFEIADNPDDDGHVLHIVPAAELHTEALLADGEGEGEGEAGTSTPSAPFPQ EDNRHIIDNKSTQQLTMEQIEELKQSSTDAGREIISKLLESHSALDQKTQFSRAKYML RKRKKYLHRFTVEPMDVGVLTEWMLEQKDPGRVLELRDETIGLIGAWANVHYGGSGES EGAAKVNGAVNQAKGRWLIVDDTGGLVVAAMAERAGILYPPEVLSGEGLGSITKQGVR PAQSVEDETPAVEATPAPHTTLTVIHHNLQPNLSMLKYFSHDINEPSETHPLSSHLKT LSWLQLLHPSEDAIYEMEPPEISEEELASWKPSKRSMHFKKKRRWTRVRNTVDETRAG GFDGLIVATLMDPASILKYTVPLLAGSAQVVVYSPYIEPLVQLADLYSTARRTAYITY KREVAEGAAEDKEEDFPVDPTLLLAPTLHTSRVRTWQVLPGRTHPLMTGRGGAEGYVF HAVRVLPAIGKVEARGVQAKKRRTEIS TRV_07511 MVAGELAAGPIGSPLPASRICHSRRSKLLPLCRQALCFFLLPFS PFLLLTTWMGPRSEDMPLPPNHRLADGNIKRLPQSTSRRTSTKAKWRVDMAPPIARAW HPVRHWAWYSLFFLFLPVFALALFFFIFLVLELEALGLLLVSVAMQIWENRHQKTQSP RDQRPFNQRIYTLMLSRCLLNIPSPRFLFDGRCFVCSSMVNSSNTTSSIINRITLPIM ILATVKESVRTSWGMFSAVERRNIFLYILGIAFYKFGLEAFNGSILALATNRYDYDAY LKHRPAKTFERVGLLSGLNQACQCVGSIMIAPLVRRAPARLVLVVSVVLFGLFTGILM VVDAATGGTIMPPEFRGDHPAMDFHYYGDYNTDGIIPIYCVTGLVYGMVELIRRIIPR DIVGGNIQKLRRLDAMVHVFYETSGTASAFCTALALIPTLGNNYSFIITPVFFAMAGA TWFFIKEADTFQPPPPPLMGKRPTYIKAVFVSFYLFGESIWTGSKLLLTNRRFIWLIP GYSIAFYGHRYLENGLAPAVARRYLGHSAWANIIVGGSNLGELLGALFVFLFTNLVTT PIPWVRLDAVMLLGVWYLSFWTPPPDQVAYAWIIGATFLPISFGWAAGDVSLAAYIQA ALARHESKAQNVSPLGAVMACLYTTYIVTYAITSPLLGQYIDSVYIRTGGRDGGDIHS AIHNVAGVQYSVMAVIIFASTFIPQGAWRINPKMLFNQNLDADLEVTEIGDGIDLKKK SHERETSLSTNPRDRESW TRV_07512 MISQANRDVQIKVQQAYESYRQASLARSLASMTLADGKAKIIPS PALVQYLSHTALTSGPKEIEKHYRDESVNCMVIWARPSRKILELLLGLQNRLKDVVGT ESSRLHLSVVEISHRHPMAHLWSVFKQIGRPLVQEMLDLPASHAASHSRVARLGRPML LFDAAGVAIAFAPVGTDTYTYHHLRRDLHSMAISSGVKTDTCYTACMGHITLGRFIST KHFDSDNVETAQERLRVWMATIQDINEELRQSYQAADWEWTVGEEKGLELQMGMLKFG RDTEEAEIAGRSFGGEATASTKAN TRV_07513 MVAFLSRLLESTPHEKVLHRIHTNAAAVSVIKANALADEKTEAH VEDEVADELNISLDSLLKVLARYDFPPSACSHIRGQEQIFGSRIGRDDKKNKVTAYDF WYAIRARAYIRAVDKEADLKMTIVTHYDVKSQSSVILLKHRSFNDLPKALKDELHDKL VDFILNPSTAALAGNPFALHLLHFNSTIQYYRRAARDPRDSVRKEEIKAHGGSSGLEE INIQRLHLTLTSLDQDKLQLNFILGVLARLRKQHDQFYRMVKGASDVDSRDWLYTRVE EEYDRFENQITYFKTSIEDVAARAQRLLDLLFNLSTRHSTHFSSRMAEEAMKESASMS TIAIMTMVFLPGTFVAVRFTTY TRV_07514 MADKKLLVVLGATGKQGGSVINSILGDEKAAAQFSIRAITRDPS KQSAQALAKRGVECVKADLNSKESLIEAFKGAYAVYAVTNFWETGSAETEIRQGKNIA DAAKLTGVSHFDSKAAVEEYIRSIDVPATFFLPGFYMSNIPAGTLNNVSGVYNFSNPV PNNTPIPLFDSYRDTGKFVKAILLNREKVLGKQIYGATDYYTPDRIIAEFQAVKTQDG QGGAALHIPDEDFKGILAIGNMSEKAREEMLQNMQLLYMFGYYGGASLKESLDLLDEA PTTWKKFVEQEPAWAHLK TRV_07515 MPLEDRDLFRGRSRSRDGWRDVRMDRDRERNRDPRDRDRDRDRM LDRELDRRERFDRRDRDDDRWSQRDDRDRFERVEPWKKDRPPARIDTKTSGSHSANPS SAVSQTPTLMSLGTPAVGTEDEVTAASAAAAAGPRKAGSTSSTPRESRRPSEKTEHVT PIRTPTHVQSTTAQPEQPSERPTPQFSPSPAAPEVPAFGSLSSAGSAAAITETATPEP SRKLSQSDTPRERPDLFPSTPIKPPTAPRADRVDAQQRSDRSKTEVPLRSSRPPSASP VVQPSYMIDYSHPVPALDRGITHEPAPQSNKAKDTHASSNEQEPDLFPSLHGLSGSSN AATPSSTRASSLPTQNQSQPQKSPPPHSTSLSPNSQHASIPTGPRAQQQRSTGQRPGA KSSNQWVRPGYVNRRMSATNSPSSKRDLWDEGNKRQALESPFVPEGPLSSSGHGDEEA QPSISPVNGEDSEKREKEKASSEPTREHTEENETRPSEEEIQGQSLDADNDTKMLDAP EAGEIKETEETQEEPEQHIPMLFDEPSDQQSDDDDALDEEDFNQSEQRFEKEMLALKS EIPLPPLQDPVVVDLLMKIQMLGMIADGAAPANLDQPGAAMEVESPDKPVAIIPLSGK EAEEVELAEEVRPIENAAGTPVTIPIIEAKAVESLPFLPSGPPTPFSDMETLQENYKL HERIKDSLLEDIIKQRSEVAKQHAVLREQYARYYKAWRLKVRDMDKKKEEARKAESEL SSTPPPSATAAASLPVIEGRRGYKLNSELDFQLALKASAITAQEESERRRDQEATVHP DMTREARIPDMLDEYQKKASLYQDTNQMVDPADAFTVFAFHPPPNDFTPEEHKAFTEA FMAHPKKWGKIAELLPGRTFQQCVMHYYSTKEEIKYKAKLNKKWTRKGRARKTARAPR SNALMADLGIRPAYDADDAETPVVTDTGRPRRAAAPTFGESNADTESNTPTPASGKRG GAKDSGDQPAERAPGRRAGRGGGGGRGGRRGRGGGQQAASRVEPAPPTTAAAAACAST LPAVAPLPKPEADAVESLVDAMLKAKEEIEKPVEEAAVRSKPSRSSRNKEQQQQQQQQ PAQQQQMQSVAQPGLEAPEPGSKGGPSDGGYGSLQPTSYWSVPEVKDFPDLVAHFGKD FDAISQFMKTKTPTMVKNYFQRRVDGGKTELEEVALIAEAKKLRGEPTGPLPTPSVSS KRRYEATPSSIAPRALAPNMEHAEMMDRTLAPKAKPSVINMAPAPPVIQPRQGMEKDR SQPKLYPLVQAPASQPNLAATSDELHQRSVSTSQLPQSHRNQQGPRMGYFTDERRESR PPVHIAAHMSEQDVKPIPSSLTSQVTPGMPLQTLPRQNPNVVPQIQDLGDIPRYGPLS QPPTFPQGPYLQPPGGARNTSTTPQSHSRRSSRTIPSAVTSPVQRHIKLDTDPSGIPA MERPAPIGPPAYLTPGHPVSLPHQCHQQRQSLNVRCLRNVPIS TRV_07516 MEVPHIVHWIQIVLEIAAVAGDVEAEMDQNNTVAAFDNDADPRN DPAGLTRNDVPGKQAVMERAAQ TRV_07517 AAKKKKSRKKSIGLKPLSNMVIVWVLAGRYYGDPVRRAGAHQIH DAVVYVVDEGYFPGWIGEEDETARWKMTWGPQHEVSLGYERLVEESYVGCGQKENCYV DLTRGRADADGVGDVGVAEADASVDAGAGAEDADVGAPAVLVA TRV_07450 MALPKPTHQFKIPSVYDGVLLQCRIYHPDYAQGTDRNTIYKTKG AMIAHPYAPLGGCYDDPVIAVVASELLRAGYAVGTFNLRGAGGSQGRTSWTAKPELGD FISFYLFLVHYIVGLDPSLGHDSTLAGNDTSLMEDSPCPSIIVSGYSYGSMLARYSPS SRVILSTFQEPKQNEPAYKILQEARSLSSKRNREARVHSCSNESSSSCFGTTVTKTKD INISYLLISPLLPPITIFTATSLLLPASKLTVTINGNTLPPSDLQDKVLEHQTLAIFG ENDGFTSSKKLMGWSDQLKKLEGSRFDSVMVKGAGHFWHEHEAEPRMRRAIQEWIARE ASYQSI TRV_07451 MPIPVRQPRKKAPTLRNVRQGILRSIHLLLCLKEEERDILTSEM YSRKDAIDKVKTFMEKQAGLEKHISQMRAGNQQKYVDTLSNELRELEGEIKEVESRLA EMKSRRRHMIDEITKRQSSVDSKLSSYEGALSLVKKDSEQFLKTPPIAPLHSDSVPTP FFSLKPNRRTLQMAEEHWTQELSFLEKRSLTTDHEIEALEEGGQIWNDTVILVTKTEA TLRNTLNQLRGEDYSIESAKPSAAERTELSEVQKMLTSTLKRLDEYLHLAEEKKWSLL ICSIGAEREAFNEARARFSSMLQLHHQTSEVDREGTMVGEVPDDLLTSHTLSGDGSLS PEIHRPPEHRIKSELEPGFEPAQKGGLEETVHTTDQAIACPSTPPASRNMGDSDDEPD PAWLLSP TRV_07452 MVGLASAAGLVGFLSEPDTELKVFALKALDAQVDLLWTEIAGSV GQIEALSEDESFPERELASLVAAKVYYHLQEYSESMVFALGAGNRFDIENGGEFEETI ISKCVDTFILTCSMLKASQTAGRGGASQLNTSFPRNEGGVSSTSAGLSSPITPFSQSA LPSKSLLSRPESSAETSYPVGEDRGSGRDDVPVILQRGTQRQLQNIIKRLFDRCFEQQ RYRQVIGIAVEAKNLDIIRVAILRAAHDAKEQGVEPSKRGEELMEYVLGICMNVVQER GFRNEILKLILELLNEIPSPDYFSIAKCVVYLNEHSMASDILQKLVAKGDARSLAVAY QISFDLYDNSTQEFLKKVRQELSAAIAEPKETDSAEKMEQEPKESKETDKLLSDDEED DDDEDDDDEDEESSPARRKFTDEGRASLKSIRSILDGANSIQLNLEFLYRNNKADIAI LDKIKDSLEARNSIFHTAVTCANAFMHAGTTHDKFFRDNLDWLGKAVNWSKFTATAAL GVIHRGNLTQGQKLLAPYLPKESMAGVGNSGSVYSQGGSLYAFGLIYANHEGWAVDHI REHFNKATEEVVQHGGALGLGVAAMGTGDEGIYADLNKVLNADLALNGEAVGLAMGLV MLGTGNMKALEDMIQYAHLTQHEKIIRGLAMGMALIMYGRQEAADELIHGLLGDPDPT LRYGGIMAIALAYCGTGSNKAVRKLLHVAVSDVSDDVRRAAVMSLGFILFRKHQSVPR MVELLSESYNPHVRYGAAMALGISCAGTGLDEAIDLLEPMLKDPTDFVRQGALIALSM VLVQQNEAMNPKVGTIRTAMQKVIADRHEDAMAKFGCALALGIIDAGGRNCTISLQTQ SGNLNMPGIVGMAVFTQYWYWFPLTHFLSLSFTPTAVIGVDQKLEVPDFKFHSNTRPS LFDYPPEQQVKADEAPEKIKTAVLSTTAQAKRRAQKREKQRSGSIDIEQTPTTPKSAA QQLPEKMDVDEAPKAEEAKASGDKEAAAEPKRKPEKEKVGYEISNLSRVLPAQVKYIS FPDQRYEPVKKATGGVVVLLDKKPSDPRDIIELSASKETKPAATAAAGSSQANTVQSR LNAALQGAAQAASAAAPSTPARPGASSGAAAAAGVLTAVDEDEEGVEEAPVPDDFTYE TEGEDEEL TRV_07453 MSGVYSKDDVASHSKGDSVWIIIDGDVYDVSKFLDDHPVLQRMG GKDASKQFWKYHNAGVLKKYQPKLKIGSLDSKKAEAAPAPAPAPTPAPKKEAPKPQEQ SSPVPAAEALDPYGELIPFSDPSWYHGYYSPFYNESHAALRDEVRQWVETEIEPYCHE WDEAKAVPESIYKQMGERGYLAGLLGLSYNKDLVKNQVKSVSPEKWDLFHELIVTDEL SRAGSGGIVWNLIGGFGIGCPPVVKYGSKELVERIVPGILAGDKRICLAITEPDAGSD VSNLSCEAKLTPDGKHYIVNGEKKWITNGIMSDYFTTAVRTGGPGMGGVSVLLIERSA GGVSTRKMDCQGVWSSGTTYVTFEDVKVPVGNLIGKENKGFKVIMTNFNHERMGIIIQ CLRFSRVCYEESVKYAHKRRTFGKRLIDHPVIRMKLAHMARQIEASFNWLENLVFQCQ NMDEDAAALRLGGAIAGLKAQSTTTFEFCAREASQIFGGLSYSRGGQGGKIERLYRDV RAYAIPGGSEEIMLDLSMRQSLKVHEIFGMKL TRV_07454 MSHPGNEQQFASEDEEDFNPAPAGDSEPEAEDDQQDNRSGGKHI DNDDGQEVGKNKKEDDEENGDEVKKMNDGENGDLNTNESQQARGEDEAGVEDDDEGEP EGDNEDDDEEEEEEEEDEEAVTGRPRKRRRHGLGAFFEEEAEVDDEDEAEDEEDEIEA GFVHHDDEVVLPAGAETDDRRHRELDRKRDMDATMDAEQQAQALKERYGRNRASGADL VVVPKRLLLPSVDDPSIWGVKCRPGKEREIVFSIIKRMEERPLGSRNPIKIISAFERG GTMAGYIYVEARKQADIIDALDGMSNIYVRSKMTLISVKEMPDLLRVKKSEELTPGGW VRIKRGRYQGDLAQLEEVETNGLNVTVRLVPRLEYGLNEDSNAPALDPKRKRIGGGSS AIARPPQRLFSEAEAKKRHSKYLSATSSLGGKSWSYLGDTYVDGFLIKNMKVQHLITK NVNPQLDEVTKFARGADDGTSNLDLASLAATIKNTTEEDAYLVGDTVEVFAGEQRGVV GQTVATRGDIVTIKVTQGELQGQHIDVPTKGLRKRFSEGDHVKVIGGSKYRDELGMVV RIKDDRVTILTDMTMQEITVFSKDLREADDIGVDGKLGQYDVHDLVQIDQTTVGCVVK LDRESMRVIDQNGSTQIVLPSRVLGKIEHRRHAVTTDRNGSEIKCGDTVKEVTGEQRT GTILHIHRAFLFCTSKVVGDNAGIMVTRAINVTTVATSGSKLGRSAPDLSKMNPALQK NGMNGSGMPPPRTFGRDRLVGKTVHIRRGPFKGLLGIVKDTTDIIARVELHSVSKVVP VEKENLSVKEYVLLIILYFILSSANPHIRSPITGQPVDINRFGGRGGGAPRVPQSMAT GRAGPQDAAWQGGRTPIAMGDSSRTPAWRASSSRTPAWNAAVGSRTPAWKAEGSRTAN PYDGNRTSYGGFGGRTPAWTSGTKTPHDAGSGFSGGSSNSGFDAFMAGSRTPGHPGAM AANSGSRTPAWGHSGSSSSHLSANAGRAFDAPTPGGDYAAPSPAPFGSAPTPGATAAT PRAWPDNAPTPGASTFAGKRMDGDAYDAPTPAGDHRPYDAPTPAIGMGFPATPGAMDD APRYAEGTPSP TRV_07455 MSPSPPETEAEPSRAERERLDREHKEKEAKEQATLPYKWTQTIS EAEVTIPVPAEIRGKDLEVVLTKTKIRVALKGKEPLIDGSFPYPIHVDESSWTLETVS SPPGKEVTVHLDKVNKMEWWPHIVTTAPKIDVSKITPENSKLSDLDGETRKMVEKMMY DQQQKEMGKPSSDEQKKAEMLKKFQEQHPEMDFSKAQIG TRV_07456 MAQDLGTKYIPGHTLAKNFGVEYVILYSFEDQDASRELQRLLRT LYSVGLEAAVRPGKGQCLVVLVRPREDKLKAMAYRTRIKDWLYGVRTSQPTKDINDTQ GVPWTEAERLRTIYDMMTLPRADGGADITPGYGYWKNVKSIFPLHDHDMNSEWIKSWS RKTLLDNDDLEQIRVKLGEKVAFYFTFLQTYFRFLMVPAGLGLFCWVFLGHFSIFYAV LNSLFCLVFVEFWKRQETDLRLRWQVKGVSEIKARRKEYKHEKEIIDPITGETVYVFP ASKRLVRQLLVIPFTMAVVVALGTLIATCFAIEVFINEIYSGPFRTYLVCQSLPLTRF LYQTANKQQAFVPTIILSLCVPTISAILTKVATQMTEYENYETQDSHDIALTRKVFIL NFVTSYLPIFLTAFVYVPFAPTIVPYLDVFHLAVKPFQPNEKGATTASAATEIKEFRI NRARLRNQVIYFTVTAQIVNFALETVVPYVKRKFFRKYEEMSEARKNKEDSKSASSSS TDLLLDDVPEEAEFLKRVRNESELSDYDVTDDLREMCVQFGYLALFSPVWSLVPVSFL VNNWVELRSDFFKICIEYKRPTPFRADSIGPWLDSLSFLSWMGSLTSAALVYMFSSVA GAGNDEPHDDIKGWLLLLTIFFSEHIYLLARLAVQVAMSKLETLDTRRDRAERYLMRK GYLDRASSGHANINDTDENHYQRRGEEMLAEVQASAGVGEAVGDFDELGSDYVEEEIG QITRSSLEEDARKFSMPDATPSELFWARQQGWKESARIGAAIIQAGAQKETKEPKETK KSQ TRV_07457 MSSDLPASSREEQLLQELERLKRANEEERRRHEEERLRYEEENR RLEGDRRQRSEEIKEQQKELKALKQQVKPTTLPEYLDACHAYLSVGFSSRVDHKKGTK GPVENAKSKLRPRYIREWRTFPQEQAKVWELLFESDFVSQPHFASANGVEQEGKYISL RMLRSELDLGYYERYTVEDRVAFIIQTLYADPKLRKTFNLMGSVTFENHGNTINPESD EDVNAGLPLPPQSPVQKRRKQTDSADPPTVQTGSSRPRADQFCVYNSGNDKMVPAFII EYKPPHKLVMDSALDGLLDIEVDEVVISGDNDDQAKRNRRMVAAVITQTFSYMIQARI EFGYVSTGELFIFLRIDPNDPATVYYYRSTPNEDVGESTGWTGDSDGETSDSDSSTGN SGGHNRLHLTAIGQVLAFTLRALRSPPHGQNWPADTEERLKKWEIEFNHDVVFGLDSS SPPEKLPSSGYKPPQSSRDEYMRMSPVRTRSKRRAAAAAAAAAAAAAASSCREPEEPN RESSDGDDESGNWGNDPNSPTPRRPRSLSKKAQVVVSSRARPPPPTERKRYVYNTGPI NPWCTQKCLAGLRTRGPLDPKCPNVAIHGSGPRHAIDDFQFRKLVREQIEGPRGPWGC ESMHRHGTSGALFWVTTFPYGYTLVAKAMPIETVRRAIHEENIYQQLYEIQGEYVPVC LGSIDIASGPLWYDGIFPVVHLLFLSHVGKSIVSHAQGKNRVSLFIPSAREALQAIHR QLTLHCDTHIGNMFWSRENKRVMFIDFERARIAKEKKRKRGAESPQTRVLGTEFEREL RSAASEMTY TRV_07458 MDSFANQPGGGRASRSAVALPRLQDQAEQGRPPRQAAPKMQRQM LTDVVVVLREQLLAAHLTNASHTAPPPPRPQPGVPAHLQAAAAAAGAGGQRDHIIDPA ISGMTAAPQVHTTAAMLAQPPQAQVPVGQQDMTGAPPGLDQTGEPRKVYGKRELSSSK RAAQNRAAQRAFRQRKEGYIRKLEEQVKEYEMLLDNYKAIQAENYQLREYIISLQSRL IDSQNEVPELPGNIDLSQPRPEPAIVTSGGQQQGQQGQQGQAQQGQQGQQQAGQQQGQ QQGQQGHQQGGAGGQAGAGQHSSQSELNALNRIAVAGLGMRKHQHEEAAFLGQGGNFS KRTRQDGQDDSQAGEQSKAEQI TRV_07459 MVITSSQRIQSPDNSLTVQERREAKLVPFLYNIAEQPLRNNQEF PAELDLRDGYERSRHDPENSAIVIDNGSHTVKAGWSFDESPRLVIPPVASKYRDRKLN RACQFVGYDAYTDATTRGQMRNAFDSGTGVISNWDVVEGLLDYIFIKLGVEGGNGGVD RPIVLTETIANLGYSRKMMNEILFECYSAPSVAYGIDALFSYKYNFGENGLVISSSHS STHVIPVLGSKALLSNSARLNWGGYHGSDYLMKLLKLKYPTFPSKMTESQIQSLVREH CYVSLEYDKELSGYLDWTGLEDRDRVIQYPFTEHVVVEKTEEELARIAERKKESGRRL QEQAAKMRLEKLVKKEQELEYYKGIQARFANETKKEIRRLLDAEDMKDEAALERTIRE LEKSVKKSRNKDLGNEENGDAMEEASFPLLDVPDEELDEAGLKEKRHQKLMKSNVEAR QRAKAEKEREKARIAEEQRLDDEKRENEFDTWIEERRQARETILRKIKQRDRLKADAG NRKSLASQIRMRTLANLASDGPKKRRRGGEDDTFGANDDDWGVYRTVATGDASDDEEE EDIDGMLKSVEAQLLQYDPEFTENHTLEAQTDWTKSMIHMFLRGPWPFDAESQREAHQ LHLNVERIRVPEVIFQPSIAGIDQAGLVEIAADVVLQRFPAGEDQQRLLKDVFLTGGN TMFEGFEERFRREYRSVLPTGLECSVRRAGDRVLDAWKGAAAWAGSGGLRTASVSRQE YLEKGGEYLKEHNLGNAT TRV_07460 MAPPAAVQDDSHQRLLDELDIARLPKPFRNPNWKPSARRNKNVK QILSETSRREASAVASQTNSGSSTPFAMSTNSAVGTGVGSAEGAQTPMVASTAAAHAH QQQGSIKQPNIAQAAQSLSTLVLEKNFRAGAPSGPAVTYTNIDAAPSLHGHQKRYCDI TGLPAPYTDPKTRLRYHNKEVFGVIRSLGPGVAENYLEARGAHTVLK TRV_07461 MPFIEGMYSGFAFSLFLFLMTNPLRWYLIQKKKNKIICKKWKTA DICTRLSSPFLFLHENERGIKLSGRSRGLLVGVLGGLAHPLEVLCQGFYGVGGGTRLD GLWVVCKEEGHLSPDDDDAGFPLRCCKYTEFGVKEVNAYKLAVDSAVIGPESEELLSA DVEAVGLSNETDRQTAKPVRKKAYFETRRGSPCAGAILVENSGLVDVAGANKAAESKV LDIDQGDAGAQVPAVNVCCRRQLAGLYTHTRRRKQPAADIPCQDMMLGDAYLCAKQSS VCERLSSADSCCAVCGAKDEAGDVEVVAAAVTSADRIDGWLAASPGLEQSSRPSFSSS GGGGGGGTGSPAIFNQTPRIPRPDNKKRRQMQGLISSGSEMQRRPITPQRGVAAAAAT QKQHRSSTEANRSISNLQLQLFKYGQDGIEMNRERRRRYAHHG TRV_07462 MGKQTVSSRPRAHTTTGTPKQQPHESPRPSNLHHQRAAAYNVPD NVHSPANNGSLREPRRSNTAAANRSRSAGTYAQKRLSPVADKGLPLRGGQARSIHPNK DPRWNEMIGVALSSPTVDLFPVPPTTRITPLSSIAAKSAPTSAGKREVHTGSGGRMQP RASTAPSTVSSTISNTVTAKKPLQRKPSKWKKFGGLFRAKQVESPQNEFFYQVQVNDK PLRPAKSPNFQPQVYIGNQYPSNSMDAVNSSKTSIDSRSQARQSAHHRHQRQRTANGS DPVSRPHLDLCPSSGRSSSPEESPKQARKRTPAKLVKNAEIRRYWNTTADEPESAPDL LQTTPSGSPFLSVDIPSIEMERYSVMFGGLIDNNAPSLLARRSRALNKLKIPDKEEDD GPLPPPRRATSPGPARSPVFSLFPSTPTDKQSKILGSYCLPRQSPRQKRSNTHPSPHK ALFERWGHDGGRGGLDSPRDLQPPTFPNADDASDGSLYSPTSYDEEYLNPVRLQVNPP VKLVEPDWEMVTPAQNKRNEHKPPKNRYPLLSPTPSSNLSEPGEQLNGSIPQIIHPST PPQNSITNHDYTAHPRVDSLRPKTASSCPPSKSGHKQSLSIDSMSDDLHSDIHTAQIS IARSVSVSKGQKKILVPVGARTGFLRPGERFVEKRAGIPAQLAVQPGHRYAKSRNALI ENA TRV_07463 MSADLTPEERQWLDQLTAMRQEIEKLNLPNEPDSVLYGSDLNLS DDDFSSQPGSSDDIWDLISDSGESDEFDDINGVALPVDSSHDEQKQEHDRRWLAKKCE SIAQLKEGLSGPDLQQQIEALLASDMSSDELQIALAEVIGFDELDFVIELLSHRREAL SGPTIPDSQPTSAIGRLQTRAEREEALRRQDYEHKHAQLAAKVDREGPQYPHVYKTYQ AGNTLSVNGRKYGLPVGTIHREEPLYTEYAVPASKVGTLGAGHRLVPISEMDALCRGT FPGYKSLNRMQSLLYTVAYKTNENMLICAPTGAGKTDAAMLTILNAIARHTTPSPLED PEATDFVVQIEDFKIVYVAPMKALAAEVTEKLGKRLAWLGIQVRELTGDMQLTKQEIV QTQIIVTTPEKWDVVTRKSTGDTELVQKVKLLIIDEVHMLHDERGAVIESLVARTQRQ VESTQSLIRIVGLSATLPNYLDVADFLKVNRMAGLFFFDASFRPVPLEQHFIGVKGDA GSKKSRDNLDKVSFEKVREMLEAGHQIMVFVHSRKDTVNTARLYAKMAMDEQCSDLFS PVDHENYSQALKDLKGTRARELRDLVPKGMGTHHAGMSRLDRNLMERLFSQGVIKVLC CTATLAWGVNLPAAAVIIKGTQIYSAQEGKFIDLGILDVLQIFGRAGRPQFQDTGIGF ICTPQSKLQHYISAVTSQEPIESRFSRKLVDNLNAEIALGTVTSVTEGVQWLGYSYLF VRMRRNHSAYGIEWSEIRDDPQLVQRRRDLIIKAAKVLQKSQMIIFNENTEELRAKDV GRIASQYYVLQTSVEIFNSMMNPNGSDADAMKMISMSGEFDNIQSRDNEFKELDRLRE EGLRTEVEGANDTAHAKTNILLQSYISRARIEDFALVSDTSYVAQNSARICRSLFMIA LNRRWGYQCQVLLSTCKSIEKQIWPFQHPFHQFDLPPTILKNLDEKFPASSIESMREM DTAELGQLVHNTRMGGTLKKLLDNFPTLSVDVEISPLNRDVLRIRLYLYADFQWNTRH HGTSEPFWIWVENSETAEIYHHEYFILNRRKLHDSQELNFTIPLTDPLPTQIYVRVIS DRWLGAETVAPVSFQHLIRPDTVSEYTDLLELQPLPITSLKNPSLEHVYGKRFDYFNP MQTQLFHTLYHTDMNVLLGSPTGSGKTVAAELAMWQNFKDKPGSKVVYIAPMKALVRE RVHDWRNRLATPLGLKLVELTGDNTPDTRTIKNADIIITTPEKWDGISRSWQTRGYVR QVGLVIIDEIHLLAGERGPILEIIVSRMNYIASQSKGSVRLMGMSTACANARDLADWL GVKKGLYNFRHSVRPVPLEIFIDGFPEQRGFCPLMQSMNRPTFLAIKNHSPDKPVIVF VASRRQTRLTAKDLINYCGMEDDPRRFVHMSEEDLELNMSRVKDAALKEALSFGIGLH HAGLVESDRQLAEELFANNKIQILIATSTLAWGVNLPAHLVVVKGTQFFDAKAEGYKD MDLTDVLQMLGRAGRPQFDTSGIARIFTQDAKKAFYKHFLHTGFPVESTLHKVLDDHL GAEVSSGTITTKQDALDYLTWTFFFRRLHKNPSYYGLEISAEEHNSAAAREIVSEFMV ELVDKSLGALAESSCVLFDTATGFVDPTPYGKIMSYYYISHKTVRYWMTHAKPDPTFA DALGWMCSATEFDELPVRHNEDLVNAELAKNLPLPTTAISDHLPLWDPHIKAFLLIQA FMSRVELPISDYVGDQTSVLDQGIRVIQACIDVLAELGYPKACSMMMTLLQCIKSARW PTDHPLSILPGIEPDSVADGSINASKLPLSLTKLVVMPNPAISRMLDAIGLDQLLASQ FSRTVPMLPNLDVSIADLTLDGLTVVLRRKSKESDNQRQPRSHGTDGYRIYAPQFPKP QTEGWFVLVTAAKDQSKGREELLALKCVSLSPPASGTRGAGPRGKLNGDSSTRTKVHF SGDIEFGSMDEVKDEDERTETAPDPNPWHERRAHHLREFDNN TRV_07464 MTLFCKTVAERTRPGQRQDIEEKSYTFHVYGRSEGIAGVIISDA DYPALVAHQLLSKIVDEFLVKHPRTSFIGKEISGPLDFPELKEYIVKYQDPTQADSIM KIQKELDETKIVLHKTIESVLERGEKIDSLVAKSDGLSAQSKMFYGQAKKQNSCCVVM TRV_07465 MSQPQMDVRSVGSCVAAVVAAYNDAAKLVKRIQSAAPTTAPSPV ATTSPDSPAIDEASNTTALADLGDSLMLGPPIVQGQYEENLMRLGNAYANGDQNARET LKDVVINLQLTLLATLRMALLDNLELDFAALQRASDESRISALVCLFQLGQRLSLGSP SMLPKAPLASSTSPTRPAALSDPGSSSSPFAGSSSTAAATDPRKPPPIPPMSPSRVAS NSISSADLGNRVSRESNYTNHRPPSSINPVSPPLKPQSNYPPPKAPYSPSAGHGHGVK TPPTRLAELQGSQPMIREESNRSASVYSVLSSNNSSTKGVEAPMDRYRSNPSSGDPHI EPDQSAVELSAGIFSRTSSSRSSSNDPRKAPHPGTEKVISQLSSSPGTKSLPMFKWKP FARSTGSSSSKNNSADQPMLPPPDLYLPTEENNFAGFCKGAWRLQNSMKNSFRIDNRP VGMYMLQSSWHCSKCSFEGIVQESKFSGKAPVLSSMLGPVKTSIIQGMRVLQMFDQTV HLHGPSGNRYRWIFLAKSHVECKPPKPTDKVVCGFGCIFCSAQNHGPAPIYGNLDTFM EHLREHGGRGYAWDRKKPSQPLLDWTRCILGRIADDSEDFDINIPTVAEVGG TRV_07466 MASKALKYTTELFNGCSPTYKRLLTMTAEGNNPHVTFPFKGIKL PRGTKEHCPFTDLEEVRNSVTIQFLGTPYGNITAHLFNDGTIKTSTMMHQENNRRREH EARLLAEENKFPHLNQTPLRTQAYNRKMAKIRNARDNSTWSIMKKQLEKATAEEEYSR FLQEQAEQRAKAAKK TRV_07467 MAQQEPVEEQVLSFYRRKNYYPVKIGEAFNGRFRVIAKLGYGGY STVWLARDNRTKEYKTLKVTVQTDNVLRASIPPVLNEVNMLKHLKSAAVETKEINHPG VDFIRFAEEILEIDSPVTGGRHYCIVTKPQGNSVRALQDEFPGGILPRLLVKSIVHRL FFGLNWLHAGARVVHTVIQILTTIDILPQNILMDIGDDFTSLREVEEEESQNPSVPIT TKDENGTVSGIVYKSRPTRLEFTGIPILTDFGQMRHVIDDGGEDQGEFRDWWMPDLYR APEILLQLPWSFAVDMWSVGIMILELIEGRNLFDPIDHEHRQYVYPLAMAQYIGYLGP PPPLLMSKSPVLSQYFDGDGKYIGEAPIPKTSLEEFITTIPSEKEKEMFLRFIRRMLT WDPDERATAEEILSDPWLKLTPEEMPGGFGVHGS TRV_07468 MPPFALFAVTFVFAALGQGYQDAQANVFVAGLEGAHRWLGLIHA SYSAGCLVGPLLAALVATHTDEWMYFYLVPGGLGLLNLLLAWWAFKEHVCISISWSSN TSPTNDSNADSTTSTWTEMKLTLQCRPVWLLSLFYFFYLGAAITVGGWLVEYLVQERK GDLSRVGYVPTGYFGGIMLGRLLLAEPAHRLGEKPVILVCSLCCLALQIVFWRVNNII ADSVVVSVFGFLSGPFFASLCKRLLLTPAGLIFVVAQAGGTVFPAVTGAIASKAGVSV LQPILVGLIALMGLSWAFVPSVDRKRD TRV_07469 MYPEAEEVSQELGSGAGHESAPQPRCRAHPAAGESGEEPADEGE PPDEADGGGGEAVGAQQIRDQGPKAAVIDAGDEEAKGRGRDPGGLFLFHYSFMA TRV_07470 MSNSLEAKIVVLGAQGVGKTSLVQRYVRNSFSSTAPASTVGASF VTKRVLDSTSDTIVRLQIWDTAGQERFRSISRLYYRGAHAGLLCYDITDERSFDEMRG WLLELKQNLGGAAGGAGGTGGGAADGEAADGLVIHVVGTKSDIVADDPARRRVPFERT IAYVAEQLYPSQASTPPPSSGIGSANNNNNNNNNIYSNNYSGSMAPDSKRNSGFWAQD IGWDCCHEISSKDGEGIDEVFRVITRKLVEQRTRRERQGEASGGSAGAGAAGAGGARA GAGARSGAGGAGTAGGAAAGSSAIHTPGSNGSIRARSRAGRTSGDADKRRSWLSFPPA LIGDAEDEAEQEGGGGLDLTVQRKRCC TRV_07471 MEKQLRAADPDEEQRLERIRRMPSLDQCYNLMDFESVARRVMKK TAWGYYSSGCEDEMTMRENHTAFHKIWFRPRILVDVEKVCTRTTMLGTPVSAPFYVTA TALGKLGHPDGEVCLTRASATHDVVQMIPTLASCSFDEIVDAKTDKQTQWLQLYVNKD RAITRRIVEHAEARGCKGLFITVDAPQLGRREKDMRSKFADQGSSVQATTASSSSAAA VDRSQGAARAISSFIDPSLSWKDLPYFRSITKMPIALKGVQRVDDVLRAVEAGIDAVV LSNHGGRQLEYAPSAIELLADVMPALRVRGWDRKIEVYIDGGVRRATDILKAVCLGAK GVGIGRPFLYAMSAYGTEGVEKAMQLLKDEMEMNMRLLGCTSIDQLGPDLLDTRGLSV HTVSGPIDNLSHSVYDPMSLPADRPVSKL TRV_07472 MFHPSRRRCCLKLSSCLVVTLPALREREREEKKFDVVAFAFFGS SANFFSSPPDLQLHDNNNNSNENDNARGKSQHWRRPDRRLTLFLACFWCKASTDRRAQ RDLRLHQPLSACENQPSLSHPPPSSLRRNASIVASRACSSAIHGASFISIGLLEAPVN GRPASPSLTLPQPPLYYEYYYYDYYYLHHHHHWAFAWALRLPLASRRHTLNHWRPTMN GGDLKKAAAAAANGTAGKKRRKASDLKPIITNEESDPATMSSTQSVYTSSLPISPLSR ASSSSSEEEVDAADEEDSEDYCKGGYHPVSVGESYNNGRYIVVRKLGWGHFSTVWLSR DTTTGKHVALKVVRSAAHYTETAIDEIKLLNRINSANPDHPGRRHVVSLLDSFEHRGP NGVHVCMVFEVLGENLLGLIKRWNHRGIPMPLVKQITKQVLLGLDYLHRECGIIHTDL KPENVLIEVGDVEQIVKSCVKDEEKKADTRDDNRNGRRRRRTLITGSQPLPSPLSASF SGGDPFRNVTPSMQSSHSSLNQVLAESPLSATPTTPSKQSMKDKLGIKDSDAAADEKQ KQREKTTSDLLEREVSGISLNKDTDQSMAGDQYNIDIISVKIADLGNACWVGHHFTND IQTRQYRSPEVILGGKWGASTDIWSMAAMAFELITGDYLFDPQTGTKYGKDDDHIAQI IELLGPFPKSLCLSGKWSQEIFNRKGELRNIHRLRHWALPDVLREKYHFSEEESKAVS DFLIPMLELIPERRANAGGMANHKYLNGTKGMDHISLNIPVGSRGEGIEGWASEVKRR TRV_07473 MSLADYLAKNYLTADTLSSERPKKKRKKNKHAVAEDTSAGGLII ADDDPPLLSSSSKTSRSRRPGRYDSDEDDEIPYAAQAGTSSEFRKSKSSQWRSVSGPQ PPTNDEQVAADAILASAAAERTAQMEADDDRPTVAEENDSTPRMESGMRAGLQTAADI AAMVAAQEREQAQEAEKLRKERKKKGKQEVGLESETIYRDASGRIINVAMKRAEARKA AEEAAAAERAAKEALTGDVQRQEKEARRQALEEAKFIPLARTAEDEDLNAELKARQRW NDPAAAFLSEPKSGAAAGVASGTAGGGKKVYKGPSPPNRYGIRPGFRWDGVDRSNGFE HKWFEARNRRGRMETMEYAWQMDE TRV_07474 MDGIATLDFDYFPPFQHVIPEENLSSANLPPFYRLLCDAQAVAL SNWGSKGNIAKELLGLYWPADFNTDGKLKRNRLSWSIGNQVRPAQRHHLTHQSCGRPM KSMPSQAAVDEDDDFKAAENGSPSKADRPCKVVLLHDSKESERVVELEYEIDRLKASL KRSESQLEGMRSQMNQVETAMSVRSELVTNAIVNYHFSRANLELLSPSISSLVDESVS ADDLSELAGGAATLDHRIKVIQEVARRLQIPVSIPPEIIGEPDDSDLPVDPSIAWNMQ VGQNGK TRV_07475 MVCANSGPGSAARQAEALEQEGVIVRSDSMNEFYVDMAQFGWFP DRLPNEEDDGELDASIVGDDAAGGAG TRV_07476 MTRNSHVSNRSKETRKATATPKTGVKSKNTNNTSEFVPNTRPTR GQKEVRVCRPRPKLLLEYSGAAGSNSKVPVKVSIQHAINQAVRESRGAESSSDEEELD PPATPEGLDPLYSFDANTSPAQGGHVLSAAVVQAVDKFETKQTEKLAREYEFVGLVDP EDFDEGYGGHEDGFELIEPVNY TRV_07477 MHLYHAALLGGPALLQVPVWRVHEERSQSGAAAGRLVLSTSRSR LTLACPDGASPAGPHGGAEVIIIIISSFLTVPHPPFFPQKKKVIRTFYEAKIFISFSP LFASLGSTAFIYGSKAGERSSSSVDAVVEQSQGQQALQFDINDGRESSLATPPPSSAS DDPIEFESRLIRYTPPTPEVQANPFELSWLPKQQQKLLDHFVSCTTLSMSCHSPIQDM FCRVLVPMATQTPHLMNALLSLAATHRLTLGMDQSMSELNHLKASSLRQLQDALAKPG ASLDESVVATTLTLCHSDFVSDGRSPGSWRSHLQGTTAIISSYLEKSKASPDSLTNTM SLLWRWYLSIETIALLTGNLVMPNDSRALLQMRKLISDDKIDDLAGFSSSLGPIFKQI NRLAIEADLALKQDEGGHLPADSDPKNRIPLSVLDESYQLINEIHSKMGPQERRFRPT VDASVHKYDFATVDEAFHHVALVQIYRRILNLTSTDPLVQSSVKHIISRICKVRFLGE PCPGIAVLQPLFTAGCEASAGPDRDSINTLLLNFEHRYGMGNARNCRTFLHDLWAMRD KSDDVEGKTRWDKVMVDKGLDILPY TRV_07478 MQNRSNEAPMDFEWQTRAPGDATSPFYQLALKNEHANARRTFAS PIKPQAPAPREQPAQSKNLTQPSFANVNLTRGSSFTTPRKLDADVSSGAENLSSPEHA DNDETPEQPSKATRRNSLFNLYGIYAPAAPSSGRGEVPRSNKFSNSLVKKVQKRRRRD RELRHIRHASEDSSDDRPSSRELDRKPGKAQQSNQIENTSHIPYLSRLFTFLETHPHI PRILSYYAQFTFNLIIAFLILYVIVAFLLAIKHDVEIASESQSADILAEIATCTKNYV ENRCGDPDGRRLPALETVCDNWERCMHRDPAKVGRARVSAQTLAEIFNGFIEPISFKA MFFFISSIAACVTVSNLTFSFFRNKSNNPPHMPPGYTGYSPPPHMPSQQAHVSSYTSG HSRLETENPFFSQPPHRGVFRDDQGPEGRPQRRLELGPPSGYASQDHLRTPSPIKQRK FM TRV_07479 MFFIGILLPYDDKRLLSSGSKTAQSPLTIALSDAGILPAAHLIN GLIVISVISAGNSSLYVASRTILYMGRSGKAPAILGRTNKAGVPWVALLFTNLVACIS FLSLSSSAGKLYSALITLSGAITHIRFRQALAIQGEDTSVLPFQAMFYPWGTYIALAA NIFLIFFQGYTAFLHPFSAEDFVVNYILLPVFIVLVLAWKFAKQTKIVKLEEMDIWSG RREYGDDVTEDVSKKTIWTKIKDIIVG TRV_07480 MGYWTDVVPQWAWILMFWVIFLTLSNLGVLTYGEVEFWLALIKV ISLTIFLILATIISAGGIGGKTIGFKYWSNPGAFADSINGVAKTFVIAGTLYAGTEMY DAPSTLSLR TRV_07481 MVFAIVSFVRDGEWMASRSQIYGVYAATIIVHGILAILAAPIMH RIQSACIVANVGLVLATVIALPIGRSRTAEGINSAAYVFSHVENHTSWPTGWAFMLAW LSPIWSVGAFDSCVHMSEEAMNAAKAVPYGILGAIGACWSLGFLSLCIIAACISTDLS SVLESRFGRPIAQVTSWSEAHFVIAASRQTWAFSRDGALPFSNYMKVVSRRFRYQPAR AVVGVTVTSVILGLLCLINSAATNALFSLTVAGNNVAWATPIFCRIFWGQNKFKPGAF YTGRLSTPIAILALAYLSFSVTLSMFPTAGPAPSRKYFPAIFLQHYVSHVNTNISIAD GMNYTIVINGCVWVGSLLYYFVSAKNWFHGPQRTLDPASVSLEESEDKEKR TRV_07437 MTVGPKMTWLMQAVMKNIDLRGTTMGSRKEFKEMVDFVKEKKIK PVVWKIVQGIDNLDGINGLFDDMQRGNQFGKLVIEFGDSTGSKL TRV_07438 MHAITITSEDGKFGKPGQVYYPLSLRSVPMPTPKGTELLIKLTA ASLNHRDLFIRKHLYPGTCFETVLLSDGVGIVASTGPDVPHAQSWVNKRVILNPATGW KDAPDGPESATGFRIMGGTSLYDKGTLQEYITLDMSEVEEAPEHLSDAEAAALPLTGL TAWRALVTKAGEANSSTGAAILVTGIGGGVALMALRFAAARGADVYVTSSSEEKIKQA VELGARGGVNYKETGWEKKLMGMLPNGKTKFDAIIDGAGGEVVEKGVKLLKVRRFFLL YAHFKLWVFPSPHLLILPCRACEVKTHLLSYLPLCSLSIYRGLI TRV_07439 MISERDLFTYTSGRYLYNEKLRLAGRYVEFNVEALKRIAAKAVD RDSTASIKKLAEGGFNRVFLLTMNDGFEVIVKIPYLLAVPQKLTTESEVATLDFLRGK SIPVPKVYAWSSDKENEVGTEYIVMEKATGKPLTDRWFNITPKEMLKLVTSYVDIEKK LFSFPFAAHGSLYYKETLPQNLRVDLYSPGELGDDRFCIGPVTDYMFWRGKRANFDLN RGPWQDHCQYLQSIGERELEWTKKFGKPLMNDFPHNALENIPREISQDVYIDLLKKYL SLAPYILPQDPTDPMNKPTLRHPGTFSCASYLLAELTRVDLNPSNIFVSEEGEITCLI DWQYAAVLPLLLVAGNPPMFDNPDSEPPKDLEKPSLPPDYDTLSAEEREHADELHRQR MLFWLYMVFNGRDNKPHLTALRYPLLMPRQHLVDRAGRQWTGNFITLKGAILRVVSNW HIILGKRAGTIECPVNFTKEEEEEFYAIEEQWFQFNILTEHLRSKLDHLSEDGWVRNE SYDKVVALNKEFKEEWLARGEDDDDRFLVEKGWPFQDHEEVD TRV_07440 MGNFTKQVVAVIGVIIGRPADLRKFFKPTVARGKTVWPKTPADL LRYGVRWDYDGVVDHLGQQCHKYQLQPNAGKIPSTIKEWREKNGGTHAVITTMYIAVD TEPNAEIFEEAATNAINAIGTH TRV_07441 MSSPLPSTFASAAAGNTQDPAPGSRRDAMNSGEWSRNRMNGATQ TFRRPSVATNPSHNRENAQQAQSNTSNSSSAAYIPPHLSSNYPSARSGNDNTYSKEQL LNLYKNHRGSKDWGKSITGYFMGDWDPRSERPSTNGSWGKQDDSKDNTTANPEVCWDH KGQSEPLGLLDMNDEEKELFSTSVNSPLKPPPQNSNKDGSSSVGTIGRKSSISHGHMN SFNSTSPASARNVPRRRGTGDSGNPMSPTNSRFFRDEPNTATPPPAILRRKTDIKDSS GDSKWEDKDKSFAERDSSFDISSPFGSLKRSTTNPVGAVGSNSPWSSNQGSAFGSMGV GAFGNFALGNAGSQGGTDKKPGFGSVRSESRFKNLLSKSSSEDIASSGNNKDKSSSGT GLDRLPEAEDDAPRDSAEEALRNRPSRSETNPFDERRSGSAALGGQEIGGIDQFGLSS FGMPGGTSALRDLVASSQPPSHAHHEPMSPTHTNPYQSPRGDRTADNGVVGTGDADNE GSDIQQHARLPNIGDLRDDSAHGSFGSMSRSGMISDIPAADRSQTSSVGANRGFPGLG GLGGLGSLGNSGGWPTTSATGTPTRERTAFGFGDPIFGPMSELQSPSNSALGGGGLFG SQTSLSNMGGGPRPSKLGSLFPPAMQEQMRNEQSHSKDEPGKPQDPFMSLENPVSLPH PSTTTSHTPTVTAGGTITIPPGPGLLDNGNGNQYGASASNQLPPAQQRQMVMPDRMRW IYRDPQGNTQGPWSGLEMHDWFKAGFFTAELQVKKLEDAEYEPLAQLVRRIGNSREPF LVPQIGVPHGPPMNQPGPWGNSSQSQTGAVQPPFANSFPSFGTTLTAEQQNALERRKQ EEQYLMARQKEHLAQQQALMKMQQIQGPAHPINPQLQHHSSAHSLQSQPSFGSITSPA AFQPIPMQAPIQPPHSVPAFFEGPMRQNTGPPGPQGGAPDFGTGQDELPALLDRMNVN RGAGQFGYNNTGAFPPTPQDPQAQAHNQAVTTMLQDRSRLQQEQENFNKAHPESIFDQ QAREERVRQFHALRIADEDIPVRRTEEPANHREPSQEQQRPLQERSPASKDQTPFQQN NEQELTLTQQVQNAASAQRLQQQQQQQLASSEVESPWAKVDTGLPQPFPPPPSQSPLP APAAQRNRQHVADNLAAETRSQTQTPNEVHATSIAPWAKEHTEPSKGPSLREIQEAEA RKVARLEELAVSARRALAEQERANPPPAPAPGLPSTANWARSGNANSSADTSAWSKPA GGKNAGVPANTAPKKTLAQIQKEEEARKQRAAAAAAAASAANSLGTPAGKRYAELASK MTAQQPTAAASSGAWTTVGASGKAKAAPVSTAVNARNVPGLTPSPTPATKPKTIMTRN VSMGNSAATTANRPTEELAKWAKNALEKGLNSSINVDDFVQQLLFLPAESEIISDSVY ANSQTLDGRRFAEEFIRRRKLADKGIIDTASSGAFGSPAESKNSSDGWNEVAKKGNAA ASREDQATSNASFKVVSKKKGKR TRV_07442 MVNKVIPLSLYAQLGDSDINLPGSFGTYPIAVLNKFRELQDKFE ASPDRFLRFELAFYLLESRKALGSLLNTPVDSTVFVKNATTGVNTVLRNLVYQPGDVI VYFSTVYGAVEKLIASLAETTPVRARKVKYEFPISHDKLVQRFMDTVTKARSEGLNVK IAVFDTIVSSPGIRLPFEKLTEVCRKEGILSCIDGAHGVGQIPLDLGKLDPDFFVSNC HKWLFVPRGCAVFYVPQRNQHLIRTTIPTSHGFVPVPGIMKTGSELGEEDEPFAKPID AFFTQSDFELQFEFIGTNDDLPYLCVPDAIKYRQEVCGGEEKIMQYCQTLAFEAGNRV AGIWGTDVLSEFCSSGGDLDVTKEKRSEFRKCAFANVRLPITLVDDQNVPQGKLVKAE WPVVRMADAVPTCRAMEQRLVLKHSTMSPCYVHAGSIWTRLSAQVYLEVSDFEWLASA FKKIFEELAIQGGMASLDINPKL TRV_07443 MADPHANNNIQLDENQTIHETIHGNNQDADNTGNNTPGGQQQQS PRNASAEADGAMQVDSHPIFDTFNSFNSPRRVTRHHYQSPDGSFQFTSTTIRSPDGRG IGGGIRMGMATGPAAGEDALGPLFRTFNTILRGIAETQPNRGGIPPGYGTQSPSNDQA NNYRDSNPPEILGGGLYPRDADRAQRNAEPVLNINDILAIMHGAANPNYRGGGMPILH PIAALSQALGMQHHGDAVYSQEELDRVISQLVDQNINGNAPPPASADAIRSLPKVKVD KSMLGSENKAECSICMDNVELDTEVSMLPCKHWFHESCITAWLNEHDTCPHCRQGIMA TYQQTHGGSQQQQQQQQQQQQQGQNTQGSTNGSIGSSSNPIVIPSGSAQGQSSSTSDM SQRVDRPSRSLLDPPGAPPQRSNSDNNSGGGLAGWMRYHFGGGTS TRV_07444 MDSPLKPAELQVLRAQYEKEGEYVGVQTKFNYAWVCSLSLSLPV GLIKSNSRPEQQEGTRLLSEIFRTAPERRRECLYYLALGNFKLGNYGEARRYNDLLLE HEPNNMQSASLRTLIDDKVAKEGLMGAAIIGGVAVAAGLIGGLIMRGARKR TRV_07445 MLLEEDPATLIHHTITNFNIQPDKLALARINDSLSSLQQSRELR FQEVENSLRKLSRQLSTLNAQHKETVESHDPARHAASIVELDTEKFRIAKAASELEIE SERLEAQLESLKARLAELDAQGLEGDEHARREREADDATILRLKIYRSLGIDVDTDEA GNYNKAIIRNSRKGDVHVVNIDPKFSRFFYANYFWQAM TRV_07446 MPVHRPRQAQRINQLFILVSITTVFTLYFLFFREPPSRTHGLSS SQQQQPQRPGSGKLATASRELVVASVKADDTAWIQEQLAGWKANIYVADGDAASNSGL SVPVNKGREAMVYLTSIRLAARLYDIHAWTAVPMAQRRPNIRYVQTMDRLGGQVVQMA LNDYTSSTPADGASVISKLQLQAVVKTGYAPLRCTWIPGCPVELHPLKPVDEGPIVRQ QTELAFAAVFKTLFPGTEVPAEVGATCSSQFAATREQVMLRPKADYERIRKWLVETNL PDDISGRIMEYMWHMIMQKKPVYCPPAGECYCFTFGLCNLECTAAKCEKQYVLPTYAA VPDGWPEKGGGENGWPKPGWNQ TRV_07447 MADILTQLQTCLDQLATQLYATLCYLTTYHDHSPAIPPPNVPTA IPQLKKIPKNAPPNTPAAQPASGQAQGQDQKDQTPQQQQQQQQQQQQGGEASGGAQDQ NKDLPPRPDSPNTFAQRQRELARDLIIKEQQIEYLISVLPGIGSSEAEQEARIRQLAD ELREAERIRRRKRKQMKKLAERVDGLLEALIVCICMKTKWPFSGNIVSETEHLKNRQR ESDGCCVVL TRV_07448 MSYPSAALLLDPKAYKRQNTTGNISPLVHKPKTGCAMDGGQAVR TRHLSEPLISQPVAPLSISRKRQAIDDFHLFGTSDTYTYLKGRPTTGNNNKPPDSPGL SASEAPPGTSFDPKLLLQPRQYKFPTRPFPNSNHSQDLNSSTRSRNGTKTPTNTNSST SVTGNLPPESNKAPAAAHSNMIEDIYGVERRENQPQKRVKVDKGPSTGTNGGPDARFS GTSSSALGSYMKEKLDDSGATVTANNPIDLTAGMSGVFTYGETKINELTIILEKDDRN DDDVIFVANKEKGAEEVCYGKIEGATVHAHMIPALPKSAFGATASSWPSIRCQLVRTR SHNITIDVRDVAGNSFGVLDKHVSVALAPLLDMTFDLRTQARLDARRRQPDEQPGQAC SEIFRISINLYGPRRIADTIGRVLSQKNIWLGIPNSVEAGIATYNPHAERRRLMAAAS QQQQPQQTPRYAVQSEVRTAEEISSAVTKMFDQLQSAQNLPEMETPDLLETQLLPHQK QALGFMMEKEKPRKISTNEAENNSLWRVEQKGNGRVYREIISGVTLAVEPPQVLGGLL ADMMGLGKTLSILSLVCSSLSDATAWACEKPADPSLINAKTTLLVSPLSAVGNWVSQI KEHIKDGALSYYVFHGPNRTEDPKELARYDIVITTYTTILSDVSGKSSKRGTSPLVRM NMFRIVLDEAHIIREQNAAQSQAIFQLNAQRRWSVTGTPIQNRLEDLGAVLKFLRLSP YDERGRFAAHIVSPFKTENPNAITNLRVLVDSFTLRRVKDRINLPARHDKTVMLTFTE QERRLHDFFKKESNVMMNVVASETRGKATGKMYHIVLKAMMVLRQICAHGKELLDKED RERFRGLTANDAIDIEELDDDHSTTAASRKAYEMLSLMKESSADTCARCSNYITLQSD DSPGPCDKNAMVAAILPCYDILCAECFVPIAPRLDELAGKPVQVSCSFCNSVIAPAYS VITTAGFEEYQASLLDNKKNRKQTKEFGLYEGPHTKTKALISHLLDTAEDNKKSSCQP PIKSVIFSAWTSHLDLIEIALEENGLTGYARLDGTMSLKQRNASIETFSTDNNVTILL VTIGAGGVGLNLTAGSMVYIMEPQYNPAAIAQAVDRVHRIGQTREVTTIQFIMNDSIE EKITELAKRKQKLADMSLNRKMDRRELQKERLEEYRSLFK TRV_07449 MAPKHRPATSGYARLSQADAHEYLDESDDENQRPFPSISSNSGR FAPIQTHSLRHDSSDVYYKRHHGRNRARSNSSGVDIKAINMRLEKWAEEIASKFKINR VHGKTSEEEELEIHHSVFQPLDGIRPVTAASLESADQNNSGRMTKAEFEQVVDSVRTA IELGVHPKMISQGSSGSYFARNAEGKVVGVFKPKDEEPYASRNPKWTKWIHRNLFPCC FGRACLIPNLSYVSEAAAYVIDTQLRTHLVPYTDIVYLSSKSFHYDFWDRRKAWYGRR PLPPKVGSFQVFLKGFKDANVFLREHPWPDQPTTGFRAEDAPRRKKRGWNDTCTPSGA QSDDEDGDENRRMLGANTPQDNSGHHKFHWTENLKQGFREELEKLVILDYIMRNTDRG LDNWMIKINWNTEEVSIVSDPIRLNETDHLGDDEPTPPARPVSVNSQGKPSRTAPYRR QDAMTASSRAGTPLNAAELAQATISIGAIDNSLSWPWKHPDAWRSFPFGWLFLPVSLI GQPFSQKTREHFLPLLTSTAWWTETQTALRAVFSQDSDFKESMFARQIAVMKGQAWNV VETLKQRDHGPLELTRRTRVCVWDDLVNIPVAIPLRAPSTDANMHRANGRSQLHEEMD IGAAITPEPSTHGHRDLLSFGSPTNELPNPNRFELSRDRASMDSNAADGIVSPRSLDA SRLRNIENFEEGGRKLATSWAATAPRQHAHHSRRRSHLGGPTHKKRNSLSQRRDSAFD FGADDLEGDLGYAAAEEMDGHERKVIVERLETVKSKNPVFTWW TRV_07434 MGIPMDDIHTPTVPAGPVSGAGSTSTDLSKAGLTQLFDDKEKLE AELKILSDVLVSHGVDMNTNLLTEDGFPRADLDIAQSTVAQSTSFLGGLFL TRV_07435 MLKVEDGLAAYFASTKDKDGNISATPSIRPSATSRNVEGVPTAQ VDTLDMPFAKVNSVADGSPAAKAGLKAGDKVCNFGNITWANHENLTKIAAVVTNNVEV DAPWICKKLIYSSFIFADTYLV TRV_07436 MAAVSEENIPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIATL GVEVHPLKFQTNLGPIKFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNV PSWHRDLVRVCENVPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEK PFLWLARKLVGNQGLEFAAGIALAPPEVNVDLAELQKQEQEMKEAASMPLPDEEDADL TRV_07397 MGFRYSDTLEKHGCIGVGGYAYIYLVSPTIVVKTVRPDRDHAEE KVMGHPLHGDIAFYKSFNERRDRCPHIVECFLMFPDHLFLSFCANKALLYRFHERQER ENNDFFGRLIRIKDYEDPALIARWIQQLTSALEYVEKMGFCHNDLNTSNCLLDERLNL KLSDFGRATTIGQLLEHSRAPWAMQLAAGPLQGTYGLCSARTEQFAVGSLLYYMVYGH KPYEDIDLDWPELESRFEQMKFPELNRHEIFDGLILGCWYNVYPTMALVAYDFKRKTK DFASTMEYETTDRLQERKTCEALVRKGILGPELALRYQPLWKKYLHALKSTFVWHYLV GLPRRVWFWSWF TRV_07398 MTEINRYPYFHPTCPLCQHDVAIEHTSSYRLFPLHQSRYFDSLQ EQPQYTDGRVFVQGVLFQIKRFPGVRYTDRAVLIHCRCLSIISHLAPGSVSRLLDLIE PTFLPSSTGPVDEHGAFHHVDGPNNNTINSASIDIDVRKGISKLPNEVWNLISKYDVG RLEFIVRLAAQLQPLSASPLLILDSRFELETVDVRGDTVRIHLVRVGGRVYISHLSDP EDGASTPTKEHDRNTLPYRDYQFGDSTFLAVKTDSIGVVDIALDESDGRPNWIFDHPT APFKKQISRVRDADLHRLRLSMKCRAILPTNRGGIEPYFDADSMPPGNSWINSSIRVG SWPTQQSDPSTYLAHATYISFQKAQSVTFYTDLVRRGITEVYINERQHRGYSVSFPDV QPSRTFEINGSWLPALPQSGIVIQQVVLDHVIGIWLGETFPLQPVHIGVVRDESAPPL LPGDQMPKVPDLSMFTSRW TRV_07399 MGCHVCSQPPSSRLGFYCPTCARNQLYGLRYNHAKVLLDKDAAG VQIEASIARNARENAEILSSGGDIPRLSKDVGGVEPEPSRLLMQTKRTAISQSTSRTE DIRSHISTLEKEIADGKKEIARRRAALAQRRSDAESANYEVASRRARALATVQKSIKG KEKQWNSIHEKAVESRVFLSREVASLFGFRQRTRRKKGDLMNQFSIGGVNIVDLRQMN SKHKPLYSHIHIANSWIVGANPAHITASLGNLSRMLVLVSHYLGLRLPAEVVVPHRGH PLPAIYTLSSSYMPCRQDSLSSSPNFSPTQSMHNARSADAMLLPRPRPLFIDRSLPKL AKEDPTGYALFIEGVSLLAWNVSWLCRTQGLHLGSESWEDVCDIGRNLWQLLVAPEPL LKALVSGQANNDANTGANTYEKEGENGLRRTRSLPILGHFSHGSAHTFLGSSEGMEFM KTWKLPSPMKIADKLKSTLLGEMANAEWELLGEEEWEDEAGPAQKGDGEGGDKRDVVL LE TRV_07400 MNTDTETEDRSGTPVPGSSLDPDSPMVDSPIYPRSPKINMASRE VEEEEEDDDGEAFITVAPASVPASPTKETVIEAMVEPRTEPRPRPRKSLIPTPKSKHV PKYIPKQTPKQTNKPSPRKDDGLSGLAHELAGCTWEQLQQRFTEEMDERSKAENILQK ETADLLEVSLATLLQFNVLF TRV_07401 MTLLQSSLIWIVYAVVVAILIAIASVFVYVYQAPRERSGLVSAV CILTVSALLATVLLLPVDIALISSTNSRKLGQRKDWATPDVVASIVQSLTIVYYSLYS LDTILCLLVIPFTYFWYEEYDELAEQQDWNAFGKRFWGAFRYTIAFLVVTVILFLVGF FVPVARNRAGAGLDLDYFKHLLTENNGERALTFALGLLTMIGIIVYVLYTSAGLALFP VSFIKTAPSVSSPSLYATTASRLEENVERQRQLEGRCGGNLDHLSSKERRELDSLVRE ERTLRRRQRLAESNAGDGRGFLVKAWHKIEAVFRPIKLLGGLLLLLLSIVIWVSMLLT GIDKATNSICKHNCGYILAKVNVFNPINWALLESAKVFPIDYVLFIVLVLHFFSSSVI GVATVGIRFLWVRIFGIRKGHTTPQALLLATVLLALIILALNFSISMMVAPQYATYGP QTFCDIPSDHGEHSLSCEHRPQDVKPCSELTENHSAKDVCTPSVVSVFLNRITLNYPF FGMVNFWAQFAFLGMSLIVFVTMLFRTPRLDEQQLDEDAEEAEEEGLLASTGRRFGAT WEDIMGRAPAPHPAAAGRGSRDNGYHDGH TRV_07402 MHQKPNGIPQKKAKYFGESSPHDLRDLERNAAKAKQCQLAKAAS YLEQAFAGIKTAWFGGWAMNLRGSLRETHGLSLLILAKDTAEIRSVLEQYNWYDIAAS RALLSFHLVSGTVQERLFVDIGEEGQVVGANITLSGTPKLDEAESYESITPAFPTPQG SRVKVIHITWQVETKLNAWFSNRKLSDLLDLQFLLETYKNEIARWSQFLDKDMRETFY AVYIAAVEDEEKCKVAKETLSL TRV_07403 MLLYTLVIDSSDDSKIGDLWNIYNNVLINDTALTLLRSQAKKLV ENSKSISDWNGGKYGKTLRFCTQATYSRVVHMWKFYALDPSDELSLNDQQEKLKLTIQ KARDLKKQIVGTTRVATGIRSAAPCDLSQIEESHDFYDQFWREGAITPDMSSFIKATH MNPMIWTQSQRLVLHYGTQPLLGFHLAAAYSPLAKGSPLNYTSDGLGDTPAAVRAAFA QFRTYVKAFHALSSKWILRFVCADALVFCHTLQTQRCGTATRQEYSGNWHFEPFILDP HEYPGQGTGAPYLFDAVDTSNLADHLGPLNILAATGPLLKANPTSTISTELLVSRGRD TDYLKSLLLGDMVTIGSLFKLTPNQYWTGASVSSNFMERMVGVINKDTGQERYVVRWT RLVTEPITYDPKQLSRLMYSIYLSMFEDENPANLLKLKQNRVVFDEYKAYTRASLCCI LQALQRSNVVNWQAFIDQFFGLINQDSNLLMGAHYLQEFYLHLHLSGLHPALSLNPGL DGITAPLPQSAFRNWTNIPPALSVTLAIPHEKLSLFVEKPVNNYGTPICQLVFDSKAG QSIFADIQLGFGEVKTSGTKYTEEYAIEIRKDERGWDGTAPLLASVMVPTALILLNPG LSTNIFLGLKTSPATVQLVGKLGMNLAIHESKLANNDVYITRCRPNMEHQMTEHSKIP PLKSSVVPSYSPKQMDGVELKFHLELSSDCSKAMLLTTRLDITSPKYQDILRQGAAVL VEQVSPYKINVKLGGKPFHSNIRLPFPILSVNTKTRIARKSSYLEFIASIASTGDLTQ NPDNVSPIDLYENGPILRNLDYTALDKLPILDLRDPSKLSWVKLLSSDMFNDREGKER NKYVKGKICTSHDVRVAFKDTLLSLFGYLSGVGTKAQINTFGLDSETSGGVNVIVLMS SLRLEAANQSMVIDAAVIPLTIDLVMEMYSSLHDLTNRGMKTLKISDDELRLWKHVLP AFVDRCRTWKHLPSCEYMSTGKIPLSTDWAQPILCSCGKGKFPNGYEIKGFPEWKDIK KYATRVAISPCYWVPFLDKEFKFDAEDEEDKEHKEGSYKDNKEGLSQITQSLLQSMDL EKGSCSNCGGERPGSGTKLLRCSGCKVAEYCSKDCQREDWMARHKLACKMMAQMK TRV_07404 MRKIFGRKPRDELDDLKSPQEIPEIYPHSPDVTSLHDDEEGGVA VQPPARFHRSSSLPSLKNSIQRSPKSSLRGLGSPSQPWSSSEFLDVRVHRRRATLPSV ALSAHDALELASKIDAAATFDKKSSIGSLDALAIKADNHYKRRSRSACGVRDTAKSHR MSPIQWRRRSDEIRFWRESTLNDFAEPRPDTRPATSISSHIGPDEKVADASEESADCP IEEDESDDSEPNDEEGFDFKNLMESMQTENEVSLAQRVGTLEVKLMDLEFAIAKLQNS SAEANTVKKKATGSPVRGRPMEPINDSSQFINSFVSTPSITPPQGSPAEIEPMQHSSP ITLCNHSLECISQSRRPPSPYRSDSGFQGISVEQYSALTTLMRREQCARKLLESQILS MQQEIRRLQTGEPWGPGQGSTFLRSSSPDSQMLPTPYLHDSPRQAPTNPWKPPPNIEP SQNDSNSINSYRHNSDSSRYNTYHRSRNDYSLPHNNHIPGMI TRV_07405 MSSQIAPAAATGPASQPEAKVTVLSHPPIRILANPTAQAYSHLH PILIGSIFALRFNALVSDPVTTLSTLLPVFAVLQIIYVSICLPAAGAALGSSNKARSS GKIGAGSKRKDQEASIGSKVVPAILSLSLPLVLGTPGLSVLLVLFGAPFTTHLPHTAL CAAHMSILGGTGLVYVHGTDVAVWREIWSISKAFDAVWGGTICMALGAWLGAVPIPLD W TRV_07406 MVKEPEAWEEKAAVKRAVTVAKIPEEWRLTEEQINDAKAQRQLA GAYFQGFLTDEERKITGEESTEIVSKIKSKEYSALQVAKAYCKAAAIAHQMTSCLHEV FFDQALERAKYLDEYVEKHGKTMGPLHGLPISLKDQFHVKGNDTTMGYVGWIDTFEGD KGSHKVHNFDSPIVTDLLSLGAVLYCKIGETENHIIGRVLNPWNNNLSCGGSSGGEGV MLALGASSAGLGTDLGGSVRVPAGFCGVYGLKPTHDRLPYRDVANTNPGQNTYSSSIG VLSTSHDSIQLMMKSILSTQPWLRDPVVFSVPWRDEIVAETLERVAPDGSAKSDQKSL KFGILYTDNIVTPHPPVTRGLHALEDALKKAGHKVVSWNPPPHSTAIRIHLEFMSADG GNDIKKQLSLSGEPPIPQIKIFVEGKEPLPLLEYQDLILQGIEYAEAYADYWNSTAQD DGQIVDAVIAPLAPWAAVIPTKYYHTGKILHLILTRHNTQFLQSPAYTEVINLLDYSV SVVPVTKADKNVDVADPDYEPISELDKANWELYDPELFNGTPVGMQLIGRKHEEEKIW AIGKIVDNIMKKAKSG TRV_07407 MQTGRREPSPAIRRLQYTQNVYLLIFFCIKQGTLSKNGEHCWKG KSNTLVSPYVSLASSVDGDPELIAASQTIKCQAAVAWEAGKELTIEEIEVDPPKAHEV RIQIHYTGVCHTDAYTLSGKDPEGAFPIVLGHEGAGIVESVGKTNLCGKIRATQGRGV MPDGTTRFRARGKDLLHFMGTSTFSQYTVVADISVVAITDKIGLDRACLLGCGITTGY GAATVTAKVEEGSNVAVFGAGCVGLSVIQGAIQNKAGRIIAVDVNDTKEEWARKFGAT EFVNPSKLGNKSIQEYLIETTDGGCDYTFDCTGNVGVMRAALEACHKGWGESIIIGVA AAGQEISTRPFQLVTGRVWKGCAFGGIKGRTQLPGLVDDYLNGKLKVDEFITHRENLP RINTAFDEMKAGDCIRCVVDMTK TRV_07408 MSSNLRAPLSFPSSGFDLVDKTRNIEEETLPTYTPEKYYPVHLG QVFQGRYQVVGKVGYGGSSTVWLARDFRDARHVILKMCVSSREPSHELRIYEHIKATE HRVDHVGKAFYRKLYDSFTVDGPSGSHVCLVQEPLGLNLDQVLEMRPNNTFDLELLKP PLRQILAGLDYLHRLDIIHTGKLLLACKSLLSGLLTVIKIDLQTRNLLLGIDDTSVFS VFEEAETEYQPCPRKVLDDRTIYVSRRVPFSSRLPIITDFGEVRLRDEAHIGEDIMPD VYRAPEIILRSEWDIWVDIWSVAMVSWDIVKGRTLFKARNDEKLLDDRLHLAEMIAIM GPPPKEFLERSQTSLIYWDENEGEDVAGFLSFLRRILRWLPEERPTAGELMFDPWLME GLGKFGQSKKP TRV_07409 MTFRNLYLVTFYAPSDKWKHLAIFVFNTGDSKKGTLMHVIGNPP TGYTLQFQRNYDPTANGSFQKIILIGKIAANYVADPKSSQPSNDNVPRGQLEIIASRI PPPTVGNYMAPFKGVCISQGPSAHSVNFY TRV_07410 MSSRNGGIPNPSAITNGDRTHNPSLELEPGMLYITLFRMEKDGT YHWALVVATTNRTGMVYHNTNDGDGFYLSRFLHPHLLNSTRFLTAVKVSRLTDYERKF HEEFHTRIGEVPIEGHTCRTWLLEALFEVSDQGLIDLQPNKAQISQIETEALDHAIRA ARRRGVATVVTSFCFET TRV_07411 MEEALARHDRFGEDFSKVFTIINSAEIPAVENSALYLFVGTSRA PDEASKYVRDRVAQNAQSSTLEETLHSIHEELKILSKKMTREDPMNLDPEIEAALYER DGGCCFITGRTAGVQPIYIIPPSILEDKDLQPGGYLRPLLEVSLTKEGTEQMLNLLGL PGRENVLKNLILMEPSIRYSFRHGYFEIIKSPYLEPPYLPTDAPKSKNGGWWLQPIAP QSEVPQIIPRNNELYKVPSTINPISHPLPARLLLKTHGIVSHPLHTIYIEEQIKAGWP VEPEPKELNWVGRLLLQILLRLIPNFARIRLYELIYKMVDYWDPSQKGSHVKFLPLGL VLKKGRENTENEAKALTLAEQYISISTPRLIDSVMVNKTSGFILMTKVVGRSLSSILH RITWEELEQIGKDLANFITELRRIPNSSKYLIADTRGGPISDHRFFYQTWGPFKTVSG FTDRLLQDVKGARDKPPLSFLYEKKHKVYFTHSDIHMTNLFVTCGRLSGVVDWENAGF KPEYWEYIRAMWAYGADKHAKCLYGSAFGDKYKEEGGIEITAVWIGFSLTTFSGFSGL IFEQLIRLRNEYLPLAQGKISPDVNPGHLCSTAKTSYTSAFARWEAVPARPMPTSQSG PITAKDAFKG TRV_07412 MPTCPDGLPHHASAGGAAVVGDGKSIITYERMGSKISHLAPHET CHIYMSITNPDSKTAKLKRIQVECEGGNGGKIMGTWIYFGHHHVLEVHVPNVDSDYEI VPSIGHQIPNSQPFGTNVTFVLHLPNHDSFIKIISVALGFGHDHESDPSLEVSETKVL ISE TRV_07413 MAFPKNTPPDSLIRRDDGRRFWEGKDGNEDEMIGTGEAQPGMSE VDLQGSREFLAKLGIGTGPGLRTLIDALEGGAGYE TRV_07414 MSTLDIDLKRRPSDDLANSAKSLRLEDKKDNQYNNDGDGSQYNN TSTGRQYVADQINITENRIDKGENLEFLKDLRLTDPRDDKSRILDTKGGLLTKSYEWI VDFGDFKKWRSDQGSGVFWISGSPGKGKTMLLCGIIDHLSNPGVKHIPPSFFFFQEGD SQLNNATTMLRGLIYLLALQHRSFLEYLQERYSLSGGTLFADPENFFALSNVFRNMLS IQTTKPIYLIVDALDECQTDLRKLLDLICQTSSTPSNCVKWIVSSRNLYDIKEVLGPF EHKVHLSLDQNDALISTAVNTYIDHKVGQLSRAKGYSRAVQEEVRKYLKENANETFLW VALVCQRLSGIKPWNTRAELHKFPPGLQDMYGVMLGQMLKLDDNDLDFCKSILAIAMI AHRPITLAELPSLIELEGDISGYTEWLEDIIKLCGSFLITRRETIYFVHQSAKEYLQT HASTKIMPSGISDVHYSVSLRSLTTLMRTLRRDIYAQSRPGLAINQMEQPDPDPLKAV RYSCVYWAHHLHEAYTKNLQKCRDFLSRGDNIVYQFLKKLFLYWLESLSLLRRMRAGI IAVEKLEAVLEDLGANPNFLTLVQDARRFVLYNQVLIENAPLQTYASALVFIPAKSVI KESFRMEEPKWMKTYPIMEDSWSACLQTLEAHNDTIRSVVFSHDHKHLASASSDYSIK IWDAVSGKWEKTLKGHSNCVTSLVFSHDNNLLVSASNDKTIRFWGAHSGKCLQTLRGH ENHVRSVVLSYDKEFLISASCDRTIKIWNITLGECVRTLKGHLDWVNALALSHKSGLR HLASASSDRTIRIWDVDDGRCITILKGHSDWVNSISFKQNSVYLASGSSDKTVRIWDV ATSSCVKVLQGHTNWINSVAFSHNGKYLASASNDASIKIWDSDGKCEQTLRSHSWTVT ALAFSPDDQRLISGSSDRTIKVWDMSIIGKNMRVISAHDKWVDSLTFSRDGKYIASIS DDWTLMIWSATTGEYMHTLGSHKDMLNGLCFSSDTHLASASSDQTARIWDIITGECKE TLEGHEDCVNSVDFSPDGSLLVSSSGDHTVRVWEVDTGMCIQLFEGHTDSVGTAVFST DGRYIASSSRDKSVRIWSTAEVECIWVLNGHDGWVNSAVFSDDSQFVASTSTDKTVRI WHVRTGVCARVLHGHKDSVNAVAFSHSGKLLASTSADETLRIWETSTGKCIAGINARI LLHTVSFDPTDSYLLTKIGRVALGSLLQPKTGQTIWYGYGMSPDLTWITCHGQNLMRV PSEHNLLCSAVFESTVAIGTEAGKVFVLEFKPDMIFPSPRVEENWMIDEPMAEGWQAP PIIPFHELSASAQATSAPPTQDGDISSEQEAAGSPMQNYDVTMRRESDSDGRTPLHLA VLSNNEQLVLQLLRDKEVDQNAKDKHSRTALFYAMQQRKTKIALALAEKWNVATRVVI SHLNHPAQDYKDKSLFNAIMRQNHDEIGFLIETGANTEARGYGIWPGWKGTTLHEAAW FGTLEILQLMLDCGAEKYATDIGGRTPSHHPNWSRTKEISKFLS TRV_07415 MRSTKFDDLISGTIASLGAAAGAYDIMKNDVDLPATFHKGGQQI CRVQQALQAIVNIMKWRDLAGDIMQIIPSLEDSSKKAKALEEILSETASQPESSRKNQ YITAVRNKGPENTIEALLLGMMRNTVVLAEDEAIKGAVEEQVRGLHGAMEELSAMEPS VPVEAGESRFSHFGSGGQFNNAGSGKQFNNTGGGRQYQAEVMYFKD TRV_07416 MSGIEVIGLISSIISIVDTAVKIHNALKDADRLPRAFQEVALRL PVVTDTLTAVKGHLEENGVDEATFRAIMPVVQACGENAEALKDMLQEVTVSPDHSRLQ RYRLAIRRLGKGSQVEELMKALLENVQLLAGNRAMQSATGAQVGEVLEAIHALSEVPP SAPDTPGSMTFSHYGTGSQFNNADSGTQNINTGSGRQYVANSMTFGAD TRV_07417 MVDLGRPTADVPSRGYQLWVTDVVVVIVAGVFVAIRLVSRYLRS GIQVDDWTILAALVTDMSAAVGYGFGKHDWDITDEQEKKSLKVSIHIIIITIIITFNS SQNAALANGSGLAVDSGRSSAIQAGDLSG TRV_07418 MAHSQSQSQSQAPQTSAQEASATSTPSPAASGLVNSSTAAHSPP SKRDLASWWRQFKRSTKKDAAPQAPPSGIFGIPLNVSIKYANVAISLTGDDGKSFIYG YVPIVVAKCGVFLKEKATDVEGIFRLSGSAKRIKDLQEIFNSPDRFGKGLDWTGYTVH DAANILRRYLNQLPEPIVPLEFYERFREPLRHRQGRPDGEEAAIQVDQEHGFDREAAV IAYQQLIKELPPLNRQLLLYILDLLTVFASKSDLNRMTAANLAAIFQPGMLSHPSHDM SPQDYKLSQDVLVFLIENQDNFLFGMTGTAADAQTVQNIQGGMYPPPLQKTTIRRSAS NASGGADSLRKYEALRRNMSVSSRNSNGNVTGPSTPNSNSKGGGLQRSNTVPSKRAPG FSPSSTFARQQAATPPAAGLSAPPQLQMTPVVKGSPAQDITKVAEHPEPTTTTTEQPE NGAPSTAEVVETAPATEAAAQISLPVRNIEAALPEEPAKTTTEPAQAQPVESTKSDHP AEPARAPQLAEPFEFTKPPEPAPSPAPAPAPASAPAPAPASTPPGPDSTTQPATAGQP APVEQSQPAQSSSTAHPIPKAQRPNHIDAPPPPQLDPATHKPTTITPTRERKLASLFS WTSPPSGERRDANKLKKKRRIPGSASESAQSSTASLPHGSQTGSDEATPTKQQQQPST SQPTTSSNPEDSTVADESHVSTPKVSNPPLSPLVPVRSASTTQSHTSLEVNSPPHHHT SLTDRMLRPRSRTPSPNSHSLSATDQSDLEESSRGDKKDKRRSWRFHLGKKSDD TRV_07419 MQMFQSSFLPAWTAIQSISDIFGFHSPAVSRFNPTEATIQSVHK ALFANISNPETRLTCREVVTAFLTQIDTYNPRINAIISLDANSLAVADDLDAWLQDSL EDDDGSYTPDNTSSNSWSDITSLMPLYCIPVLLKDNFDTANQSTTAGCAALSGSPPPV HDAPVVKALREAGAVILGKTNMHEMALEGLTVSSLGGQTLNPYDTTRTPGGSSGGTGA AVASSFAVFGLGTDTVNSLRSPASANGLFSLRPTRGLISRAGVLPVSYTQDTVGPIAR CVWDLAVSLNVMTAGEWFDERDNMTALRPRHVRGLDYTASLSRYQGENGGESLLGVKF GLIEGFMNRADNSETTPVNKAMDAMVCRLKKAGAIVIPVTDSMYNSTAILGLDVQAYE YREMVDAYLQGLHGEESRSPRTMADLYSEIDNEQDSFLVIPHQYAFVQSALVSSPLNV SYAQKQLAINALTLSVKRTFSSLNLDALIYPQQQNLPVKIGSRNQYGRNGILAALTGN PVVTVPAGYSNRDIFRNIPSGVPIGMEIMGLPWEEERLLSIAARIEALEKIRRPPVLK AKTRNADAGKWIESVPKVVPDRKNIHNMYPLGVYRNHFHGLSVYPKHHIREAAALLPS TIAKEKFPFSSYEQTLSFVQLR TRV_07420 MANSKIPDYFCVTGAGSKRKHDTPDQDESISSKRSSRPYDAVSS LGDLKKGPNRVAFDARIVNIYNQPNIYFDDNAESISKSSKKKKKPSKSDSDPIASQQA RGCLKLILRDDTGYILVKLWYADTTYDLRLGKLVSIWVTHISPFKPQLKGHVKADLSS TLSKPATPPSTPPENFPLSLMASIFPEKDQGCGIKIHERGDIGIIGRIPMGYRYPFQV DSLVDAPKKHSMGKPTKVLVYVYAVGPVRETTNSSNQTVPTVDVGVTDGHSRASLGLY GEAMKSGVKWIPNSTVLLISNASWKPGSRLYLTSFTLVDVDPESVEAEELKRLAARRA EQVNPPFPTDLFNVEEFESSVQKIKFTLADIEELYAYLSSFYDGCMLFY TRV_07421 MAPHADAAANGSVNGSVPTHGVDIFKVESPNVVYTDDEINTKYV YRTTAVTKSEDGKLVATPKETVYDFKVDRKVSKTGVMLIGLGGNNGSTVTAGIIANRR GLTWDTREGKRSANYYGSLIMASTVKLGTDAKTGEEINIPFNNMLPMVHPNDLVIGGW DISGMNLADAMDRAQVLEPTLKAMIHDEMAAIKPLPSVYYPDFIAANQEERADNVLPG SKACWEHVEKVQQDIRDFKAANELDKVLVMWTANTERYAEVIEGVNDTADNLLKAIKE GHEEVSPSTIFAVASILENAPFINGSPQNTFVPGAMELALKKGAFIGGDDFQSGQTKM KSALVDFLISAGIKLTSIASYNHLGNNDGMNLSSQKQFRSKEISKSNVVDDMVAANSV LYKKGEHPDHTVVIKYMPAVGDNKRALDEYYAEIFMGGHQTISIFNVCEDSLLASPLI IDLVVIAEMMTRVSWKSAESEEYKGFHSVLSILSYMLKAPLTPPGTPVVNALAKQRAA VTNIFRACVGLQPESDMTLEHKLC TRV_07422 MSKNIALDIEGRKGYLHGTAHQASRKYLKAMKIKGKSSDSSLIT ALLPALFLQVSVCYSGDEGDIGMSGRDNEHEQRIPGLPA TRV_07423 MDEGLKKLLASHMWRTKAMEDLLEYRFKDKTIALSALNLPGRLT SIKDNTGLAQVGKAVMELFLITDGYDKDMDPENINTLLTFVLDNSKLADIGTKKGLGR CMMGMRSSTANLTPLYTARTVQALMGAVYLDSDGDLEKLYEAMMAIGLLPKPGAQLPV TRV_07424 MHAEGMDDVFSTEDSTGTTLASIFECPVLRKAVFDVRGASDYLF HECEVTLDGIVDIQLMELATRDGSKEFLRGLATCICNDSSLSAKETLRWQESNDFKNY IFRPEVDESCIEKYMETPLRTEMIDHCAYSLVVLSRLYDVYDARLKQGATKFWKTEIR SVTKARINDTKKEEFDVYDRENAYGPWDEEELKMKMERRDSCPRGVTNRTGGKEFWDL LARNASGGSNIGC TRV_07425 MRQATRLGIALSTSIHPLYHVCLTKKIVSVFSSLSRFILLFLFW LATREMLITWLLDVLLLAPPVAAGYPHKPKNLITIESKALPGATITYKEVPKGVCGNV RSYSGYINFPPNSMREAPQDFPVHIYFWYFESQVKPETDPLAIYINGGPGAGFMVGVF VESGPCRMSEDAQSTVLNEHFWNKEANLLYIDQPVQTGFSYDVLTNATFDFKTNILSP EGPDHDPSKDGTLLAGTFGSGDPSKTANTTLNAARHMWNIVQVWSQDFSPYTDNREND KISLWSESYGGRYAPGFMAYFLQQNNRIKAGLLTGSVLHLDTVGIINGCVDLISQQKS NIDFPYNKNTYGIQAINDAGYDKAMHAYGKRGGCLDQILECHALAKRYDPNAYGHVDE VNHVCERANSYCNTEVDGIYVDGAKRGLFDIAQCHLDPFPSNSFLGYLAKTEVQEALG VPANHTDPSYTVEHVFNVTGDYVRSDRGGHLLDIANLLDAGVKVAMVYGDRDFICNWV GAENVSLSVDYKDAKNFRRAGYADVYTDDSGVPKAQVRQHGLFSFTRVYQAGHMMLAY QPQVGYEIFRRAMFNMDIATGTVTDDIEFYSTQGEVNSTHAEPPLPTVPPTCNFWGMA MSCAKNQIEAIQKGEASIVNNIIVSPTQARGECPTPQPTRKSWFYNNEQQSFII TRV_07426 MDALPKIKCSDCHAEVELVALGDHVCSKAAGPTVTTSPVEEKLS VAEFNEHGPPPKRSLSRESLFNRLGRVQPPPRIDPTAASTYISSHGYRGIMASGLTVH ANKFLDRPFLSPGAQMSPHTGSFGALTPRSASPLSPLQQLQRSHTSPVASQSSVVAVV PEGKANLDCAFPPFPRSASAGGSKAKRLASIRSINTNVSSRRGVTSPSPSDGSYMSPS TDGRSSRATTINRHRREASIDSKAFQRLSMTSSKNGHGLPSPVSPRGSSRSRHEDRDI PPLPSGPIASLASSPVFTSGNSEPFYFGSSDNNDKSDQDQDPPETSPRDKVRQLDGME GLDFGFTTRTKSPEMMDVDNKLENIEEDDSERAPDSPGPSVSEYKLDREFSVSNFASG LGISDPCHTANDSTSSSNSSPSEAPSNSSFSSRPSESSNISEPKSSLSEKYNVDRLRL LQEEPPTRPKVDPFAYELDSPTDPLFQQGRLSDPPANTFPSSSETKYSTPSIQLEPAQ PKKYTPYRSPTQSLNSQSSTFEQQQQQQSPSSPPLQPEVQPEPQEVREQQQQPPPVPE EESAAQPLPPSRSATPGKRHHPCRGCGEIITGKSVSSADGRLTGRYHRACFVCYTCHA PFQTADFYVLDNHPYCAADYHRLNGTLCAECGEGIEGPCLEAEDISPSNGVGISSSKK SQKFHPGCFKCRTCHIVLRGDYFEWNGSAYCERDGRRAAGLMPPPRSPGFPPPHGYQG HPPPPMGPPGPGPGPGYRRPPPPSSPLAGPRQGGRGDPRYRPMNPSSLRPRPDKHRPH PSEHQFVNSSLTPAPGLDNGPYPSTRKFPERRTTKLMMV TRV_07427 MKFVLFAQLAAVAAPAIAVDLAVQAGQHVMYSYPGLTPPESLYK LTSEGKVGGLIIFKENVNSNLPAIMDKFQTLYKASPAYNGHPMIITTDQEGGNVRRVP GGPSQSARQIGDSSTPMQAASQAGRDAAAALKAQKINGNLAPVLDIYREEGNFIDEFG RSFGNNTEIVTSCGSAFAISQSRSGVLSTVKHFPGLGAAKKGENTDLVPIKIDLSLDE IRTFDEVPYRTAIRNGIDMIMTSWAVYPSLDAKYPAGLSRKWATDELRTRLGYKGVII TDAIEAGSLKSFGNDGQRGVLAAKAGVDILLASGRNATQGEAIVNEIVAALKTGTLSM TEFQESSKRIQALQSRLSA TRV_07428 MTQSGPRKGDPTLEIWIGERSLYIFIVFLTVFGSVILIPTAYIR WRHKRLREPEVIFIVLAYIFFLANELVLLGLQTRVYRLSYVALRMKPPYREILNDRHD VIILAYASTYLFYSALWCIKISLLLFFRPFLRALPDQLRWWNVTITYLFITFVFGFLA TLLSCGGPRQLNHVAPGQIMILPLRLIYGMHISYAQKIRAGALFSVGMLCMITAIIRL VQIGSKTGITNPNVQWLSLWGTVEATTDRENANGQFLAMVVGCLPTFRLIFKSSPGHD QPNYSIKMPWNNESSSNPPTSSDTQQEAPSSS TRV_07429 MGSGANVHSEDCLTLNLWTKGTAGRKRPVLVFFYGGRFTIGDTN TPFFDGQFFSEAEDVVVVTVNYRVGIFGFPGAPGLRQNLGLRDQRLAVEWLRDNAGAF GGDPSRITIFGQSSGALAVDAYSYAYRDDPIVAGLILHSGTIFSFPLNSRELAARNWH NASSLAGCGSAGDVLACMQSKSADDIRLAADKVPPPPNTSVARSQPVFQPGPDGELIF DDYESLASQGKFIQIPMILGHGDRESSFYNISASARGTVLTDEQWAQFVTDVFGCPAM KEADYRTQHNIPLWRYRYFADWVNTRLFPNSGAYHGVDLHMIFGNSQGVAGDPESPDQ TILKRIMQRTWAAFAADPWAGLKRHGWPVYKKDDFVKPAAYDAHCPGA TRV_07430 MALPAHRSHTCNLKYDTSARPSEMGSIFSDHASYTPLGHLDSTP DYWSSISSIDDDTLSIAPVSQQARLEDIIGITLERTCYGFAPSQGRRCRNPIAQHSLN NALGLLNLGNEQLCNGQDISQTLERLATLVLCRRNHQYQAVTVARRWAEMVASSLAQG QPAYVTPTRSPPSQRQSAYASQPRTRRVRVPIPPRSVVFGGRTSDQRDTSSGHTTVVP VPRPTISRQSTSQPPIAHLPTAQSNSSRTTPVRYGTRKFIKPNEDCPRNGNDRLAITF NLAVPAEEEEEEEESTTDSNDSRNTTQPLPYASRGSSEQDSSGQSNEEQLEDEETSSE DSNPAEENPVAYTLSDNGGSAASSIEAVDQVEPGGAGTDLENPNIEYHTLLPENNNTS TSALIPAPDSTGETPSQPILEQYISPSVDSSIAGTYTHAATQTEISIPKYINRDDKDD DPDHGCCLGPLVNIWHRVSSTLLKLS TRV_07431 MAPHPFDPVTPAELRLAVKILENAFPGVALRYKVIDLQEPIKKD VVPYIEAERLCVSLPKKPARLLMAMFHRLDTKSFMKALINIDTRVLLHVKEIPKDIQG PCDADELIEMEQLCLEHPAVKAEVEKMKLPPGVTVCSDPWIYGTDDPKETRRLMQFYM YLVDTEDPQHNHYSLPCTFSPVFDSNTKELVRIDYLSTGSDHSTKPTQPWKPVKAVQY AHDLLDEPTRTDLKPYIVQQPEGPSFSVNGNFVHWQKWRFHVGFNYREGMVLYGVTYD RRNVFYRLAVNEMTVPYGVLDPRAPYHRKQAFDIGDVGFGVTANQLSLGCDCLGHIKY FDGYRIDSKGNPVLLKNVLCLHEQENGIQHKHTNYRSQAATVVRNRQLVLQMICTVAN YEYIFAWIFDQAGNIELEVRATGILSTMPIDEGVSVPFGTNVAPGVMAAYHQHIFSMR IDPAIDGHNNTVIYQDSVPMPDDPDTNPYGVGYVQETKVIKLSTAADLSVPDARVFKI RNDNIINPTSGKPVAYKLHALPSQLMLMHPRSFNMKRAQFATRPIWVTKYRDDELYAA SEFTNQSKGSSGVEQWVAREDNVENTDVVLWHTFALTHNPRPEDFPVMPMEKVSIMLR PDGFFEKNPALDVPQSTQNFNQSSLHISSEKNEAKHCSCPSTSKL TRV_07432 MIPIPIHRFPHDPVLVQLLALAHQTPPTEAVVEDDALGCKKTYP ELLADIVATRELLRAQLPPSALDTQGLLCEERQSVALLAKSGYEFLVAFFTIRSLGGV CAPLGKNSRSIPTLSGERNQANWLF TRV_07433 MERASNIHTYIKQTKSEALTVVALSCDAKTLDEAERAIEIDHSC VMTPGGPGMIIFTSGTTGRPKGALLPRCALLRTGIREPGSTALVYRPNHWIGGARDII QSLLSGMKIHSLKTKVQDARAEDVLRAFRTTLITHVVFMPDVLRRMMFLLTGDRDLST IPQEEKDLWQSYFRGLSMIRCSGGSLERSVRDFWMNLTGLPFDNFYSSTELGGLAIGG PSQIYVSPTFENSSYIGDNHTVESIFDKEGYYKTGDLANYINGEYIFAGRVATDCVQY AAFRFSTLAVEDGLTKLPYISEACVVAVPHKKLRQLCAAVVRLRPDTQITSNMTTLGL IRSDLEGTLPTYMMPTLLKLLKDEEELPSTVIGKPAKKEILRIYFGSVNGALVENYPP EVESCPVPKPGEATKPWDWDGRQFEH TRV_07395 DPTEGSQDENTGCIGFHVDTMWDTPLTSNKVPGDTPSSLQKHST ISTIADDEVPSNLRFSWPSANEWMSEVRPVSCDVDLVEALLALPSTEGDNQCQPALPN KPDSLNSMGQTCLPETVFHDAWAILHHSTLERADKHPWLSRALGLVKWPLSREEERNR LVTDLMRHLVAGPILSESAHWAAQGTDVAISAMRRMGMFSGKWVPLDNVEEDRWICEE EAKRLAYAVLRLDVYFSITIHRPPSIRFQELRLALPVTETLWKALKADERYRIQWFEP AGRDKCIFQSAVQEIFQTSRELPNDQRHPFEEPDSHLALCAIQVELWSAIQALRGGTE FLTSISQQSSVSYQRNHLTYCQSYLEGAYKRGHICCTGRSESQHFWLTLNLTLFHLTL LNIHADISLLENQRSGDYRQEIETHRAIRAWADSTDGRRAVYHAVQLQNKHECKTCAS WPEYYKYWNPLRPIALLYSCIVLHAYSAECTASVAEDGTVVELSGVRGTDEPVQNWLR EGGNASIRGVILGKSALSQLVSWSYERLAMFNASCRRFERFVSRVTNYH TRV_07396 DKAEKSRSIATNPSYKPLAVAVDVTDKCQVEDMIAQTLITFNRI DYNVNCAGVAMHKPAHFMDLTIQEWERVSQVNVQGVLYCMQAIGKVMRIQEPFKASGL HGPRAGKRGSIVNLGSIHSFQSAPGTAQYTASKHAVVGLTKSCALDFAKEGIRVNAVC PSWVNTPMINSNEVLAEVHQVVGNVVPLGRIAEAEEVADVILFLCSPRASYVTGAAWM VDGGFSCATVV TRV_07392 MELSWAMLCHPTLWRILFFFPPGLLSDGGSARQTAGDEEVEEEK KRPFGQESLQKRSSSYRGCCPKHLYLYTERPPRPAGEALFKIISPSSELLKGHFQLIN EWLSPPAIALASWPYFPPSSSSHPFSFLFFSSSFSSSSSSCFFFFFLSPKSFFFPKNL DATQTT TRV_07393 MSLSINIYKIFLLAYTEDFFPYFFQLSFCSRPWHNIPSLGSYAY RCVLAQRTLRTVVTSQLPHPNHGFDISVVITIQALLPTASSVLTSSTSPITDSVRFSA IELAIIDTIENTGIALTHNHSPPRIVYKYLLNPLHLPLPFLFLSYHRFSSTLLQTHSQ TSLFLANRTSNSNNFSTLSFPSSFLFLKGYYSTKPLIMAPRILRTLLYLLSIASLANS HSWVEELDVVASNGSFTGKPGYIRGHVSRTAQGFNDDLMTSRLPPNGRPANVGVTPSD HMCMPSQRNQQQTEGWPRLEAKPGDVVALLYRENGHVTLPDTQPGKPKNRGTVHVYGT SDPRPDDKFLDIHGVWNKDGTGGDGRGKLLSRQNFDDGRCYQINGDRISTERQQKYPH VPDEFMGADVACQHVLRLPSDAVPGNVLSMYFVWDWPTAPGVDPNLPHGKTEIYTSCM DIDIVASGSKIATTPTAHYIDGQPLNRAAVPSLLTNLDQPVDSGPSDDDAVSSTSVPP SPSDEDAPTEGSIVPIPTPAQRDWNSRVTRRRLRRNRRDPNFS TRV_07394 MVIHLIPKWGFNWTMRACALLILCLLLFTNFTLKSRLPPSKRRW HISEMTRPMKEPAFKALAAAVFFYYCERGYSIPLFTPLLANVHIGGMFLPVTYIVVAA RKNGMSQHMANYMVPILNAARYEFTFYSAGHIMNIANSRGCSILGRTAPNVIADKVGR YNIMIIMCSITSLLILGLWMTASNNLAMILFAVFFGISSGSGVGLTPALVAQVSPMKE IGTRTGLIFAIGSLAGLSGSPIGGQIIEASNGSLRYMMVFAGVNCIIGTLFFVVARLS LGGIKMANI TRV_07385 PAVEEPTTQDLVEPEPIPEELGAGDPVIDKPVAEEQVEGLSATE ESKPEDPITEEPAAKEPASEEAAAVEAAVEDPAEDPVVQEPAEEPAAEESIINEAATE EVGVEEPAAADATATESEAKQPADVDKQPKPEEPASEAPTPAPSGPVETSDQPDEVVM EKASEQPALVEETTTQEIATAEENIPNEPASESTPVATEEASAEAPEAPVAEKPQDDS PPAEDVVKEAAPPEQPAAEPVAKEEPVSSPTEELKTIKEPTPQPAPVVEEKPAEEIKE EPVPQAVEAPVSEDTASKPIIEEPAAVEDAPNHKAAEEAAVESKSKSRSKERSKERSK EKRHKKHRRSAPAEEPPRPSKSSGEKKPSSRRPRAEREVRSSPPVDAPVEVKETKHTG QTRHRSNRPRPNETEAERAERRKRREARRAEAERSKETGSPKEEHPKEEHTRNPPKRP LVRRLSLSGRDRPNAAPKSAGPSQNPISSLASKPLALFRLITTGQSTAHQPVMKVNER AKSSSPVPPVKRPSPPNTSGSSTHSQSNSGSRSHSDRRRERRSTNSEEVRERARRSNA RSEENKLRERKSPKTDEGAEQEKPPKPKTEVPKPRERRLSRGEDSKERMPSKQEEAKE KEPGKEQEHREHREHREHRRERRRSMRLEAPAEKTAKKEDPPPERLRRRRSSLHKHRE DAPSSMSFREKLRQVLTAA TRV_07386 MASTALPTLEYTPVEEIPSRVKSVRATFFEHKTRPIEFRIQQLR KLYWAIKDREHLVTAALKQDLNRPEYEAYVGEIVSTLNDIIFITKNLPKWAKDEKAAD IDLTFSLMRPTIRKDPLGCVLIIGLVLHSLPNPTPHLANYLHRAFNFPFVLTLGPLLG AIAAGNTVVVKPSEVSPHCAAVIQEIIEAALDPTCVSVIQGSVPETKALLDERWDKIC FTGSARVGRIVAQAAAPKLTPVLLELGGRNPAFVTKRADLRLVARRLLWGKTFNAGQI CISQNYILVDREVVDQLVVEFERAIKEYYPNGAKASPDYSRIINEGAFQRIKQMVDNT KGKILLGGSMDEKEKFIEPTVVLVDSTEDSLITEESFGPIITLLPVSNLDEAIRIAND VDGTPLALYPFGSKEETAKGIVFTSLHYVLSSVRSGGASVNDSYMHVSISNLPFGGVG ESGTGCYHGRSSFDAFTHQRSITTTPGWVERILSIRYPPYIGKLGKYKAASLKSPNFN RAGERTYGLLEWITWFITFGKGPNRSGAARATAAALGK TRV_07387 MYVWWDSASQASALAKPLSQPLATAPTVAGANAPAIPWRRSGVR HTSNELYVDIVESLSVIFAPSGRPISALVHGTIVFTAKISGVPDLLLSLSAPGGQRSL AHKIELPVFHPCVRLARWREKPGELSFVPPDGRFILGGYEVNLLPVNPEDDNPPAHME RLFLPATVDIKKGLGPTGSNFEVRLTLNNSFPGTSSRSGGGGAGIGGNGGMGNSIGRG SGTSTPSFLTIGGSSSSPSLDDVVVTVPIPPSVRNITDIQPSRGEATFMPGNESLEWR VPTREKDGSISGTATLKGTILGPLHELDDDEIVDGDGEDRTRRLTSNPLRGYYDETLT GGASYQDSGATIDDTTQPEKTEGLSPTVKQMRKKQANALLMPSSASVSFSVRGWLPSG IRVDSLTVDSRRSRGLGEGVKPYKGVKYICVSRQGVETRC TRV_07388 MDSMRSLNKSLPSTTAAQPPELLLQAFKTAALSVTNLYKNAVSD QAQSRQLGYQDALEDLRAFLDKEKIGFTDGEGQKIRNWVMEKIDMAGTTSNDSDDDKT DADKTNRAASPAAIRKTNSDTTASKPQPEAAAPSRQPSETPPPLMPIPSEPIAIVPPR TAAFTFTAGQSLPMQEDIDMKAAMDSSPSLSSDPQITTSHPSHPPVRLEVHSRPPRTP HRHGSGNRHNPRSINRDPTPGAGSKRKFHFGEFFDISNLGNGRDPFGGPKRGRFL TRV_07389 MAPVQELPSTGDEDVTMVDAAEYQPSDDARDDQDMKDSDTNPNT TASSVAGDTAPDGRKRRTEAFQLRKSVLGKKHGRLGESMEDDSIRRFRYLLGLTDLFR HFIDTNPNPRIKEIMAEIDRQNEAKSGSRKGATRKGGAAGERRRRTEQEEDAELLKDE KRGGKTETVFQQSPSFIQGGEMRDYQIAGLNWLVSLHENGISGILADEMGLGKTLQTI SFLGYLRHICGITGPHLVAVPKSTLDNWKREFGKWTPDVNVLVLQGAKDERHQLINER LVDEKFDVCITSYEMILREKSHLKKFAWEYIIIDEAHRIKNEESSLSQIIRVFKSRNR LLITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSNQEADQDTVVQQLHRVLRPF LLRRVKSDVEKSLLPKKELNLYVGMSEMQVKWYQKILEKDIDAVNGAQGNRESKTRLL NVVMQLRKCCNHPYLFEGAEPGPPYTTDEHLIDNSGKMVILDKLLNRLFKQGSRVLIF SQMSRVLDILEDYCVFRGHEYCRIDGSTAHEDRIQAIDEYNRPGSEKFVFLLTTRAGG LGINLTTADIVILFDSDWNPQADLQAMDRAHRIGQTKQVVVFRFITEKAIEEKVLERA AQKLRLDQLVIQQGRAQQQAKNAASKDELLSMIQHGASDVFSSTGGTFGSGKDISEDD IEAILKKGEERTAELKNKYEKLGIDDLQKFSSENAYEWNGKDFTNRKKDIGLNWINPA KRERKDQSYTIGSFYKQTFTKPSEPKPKVPKAPKQTTIHDWQFFPPKLQELQDKETAY FHKEIGYKAALPEGPEESVSDREAERELEQQEIDNAVPLTAEEQEEKAKLSAEGFYNW NRRDFQQFINGSAKFGRTNYEDMATEVDSKTPEEIKEYAKVFWKRYTEILDYPKYIRI IEQGEEKMRRMNQQRKLLRKKMGQYRVPLQQLKINYTVSTTNKKVYTEEEDRFLLVML DRHGVDGEGLYEKIRDEIRESPLFRFDWFFLSRTPVEIGRRCTTLLNTIAKEFEGDTK ETNGDNKAKARTRDEETENGDDTGPAKKKSKGAAPAVNKKLKAVQSNSKATSTNTSRA GSVSSSGPTKSKSKKR TRV_07390 MLLLSSRLPASSTANLRLQGLLVLQGVNSIRCLSVNSAITTGIR KGRGFGTPKKENAPWIRRGRVSSPPRNAENVSQRYTVNKHDANSYGPRAGGISNWRRD ERSDASNPNDKMPARMNGLSRDEYPLQFQRQHQELPGSKAHSRTLTSDSSRRYPESSI RSSETTHWRRERRKPPTYIDNVGVRKLEASSVLSARQQMGGYAKSEDEVMDIHHPLSS KVYVVPPQQIPYSSPVSEFIYGTSAVNAAVRCGRRKLHTLYLFEESSRDRSGVPKWEQ PEIRAIAKYASLAGAQVKHVTGPWKTTLDKMTGKRPHNGMVLEASPLPKPPVLSFQTV VSKSNSHFLAQLAAQPEEQAAVNGTDGHIQFDARRKDSKASRFPFTLLLDGILDPGNL GAIFRSAYWFGADAIAFSSQNSAPISPVVMKAAAGATEAIPILSVRDVSTFMTASQAN GWKFFAADAPDATLVNDYTRKIPVMNSLSSLSSELTKAPCVLMLGGEGSGLQQKIKNR ADSFVTIPGAYSDNIRDDTAGVSSLNVSVASALLCEAFLSRRPLSSSGETQDSADTPT ENTNRVF TRV_07391 MRPFQDLAISSSPETSDGETANFILSQFTAINTTGPPAKPRATR LVPCVEIPKKSLNKDDYPYLPGYSTVDHIRSKVENLTSDSYEVVLKSGDIRVLSLSKI QSLKGGRGALSTFNSQNTYSSSESPSTSSSEDELISPHPTTRRLRRSRAVGSINGSTR PRSLRQRTLAKVNYSMRLSSVESEGSGTRRRSSRLNPRRGRSPEDLIEIDSDDSSEDT QRRTRAKRRKVINLPVREPRNGVRTSQRTRKQVGNMAERLEDDISEVEVAQKETKYSA AQEKFYRLPKDDPFALRHQSACRVCYTVGDSSEKGVLVYCQGCTDSYHQVCLGSRGTR THLVSKVTSKLFVLQCRFCIGLAREKDPTAPHHGTCTGCKSPGEMTKPLRTRLTTRQE HIQREENGGEDPCINVDQTILSLPENVMFRCDSCKRSWHMHHLPRKSGTSYTIDDGLE DTELSEKRFKEYSRRWTCNECANLPGEIETLVAWRPTNLDNYTPGYSCDEIEEDAKEY LIKWRKLSYFQTSWMPGPWVWGVAAHVTRKAFNKSEDGQKPRMTTEDAIPEDYLQVDI VFDVQYSNVVSERTLEIDLARADEVETAYVKYRGLPYESAVWEKPPDRKNVERWQSFV SAYEDWVLGNHIQIPRRGTLKRHLDHVRSKDFAKHWVRKKQPEILVGGDLMDYQLEGL NWIYFMWYKQQSSVLADEMGLGKTIQVISFFATLIQDHNCWPFLVVVPNSTCANWRQE IKRWTPSLRVVTCYGSAAARKIAQEYEMFPCSSKELRCHIVVTSYESMIEDKTRKLFS NIPWAGLVVDEGHRLKNDKNQLYETLLKMSVPFTLLLTGTPLQNNIRDLFNILQFCDR SHNAVALEEEYKEMTNENVNKLHDMIRPFFLRRTKAQVLSFLPPVAQIILPVSMTIVQ KKLYKSILSKNSQLIKSVFKNTGTNSTVKQSERHNLNNILMQLRKCLCHPFVYSKAIE DRGVNSTLLHRNMVEASAKLQLLELLLPKLQERGHRVLIFSQFLDFLDIVEDFLDGLG LKHLRLDGSMTSLKKQKNIDAYNAPGSEYFAFLLSTRAGGVGINLATADTVIILDPDF NPHQDIQALSRAHRIGQKKKVMVFQLMTRGSAEEKIMQIGKKKMALDHVLIERMDAED DDELDLEAILRHGAEALFDDNNTGDLVYDSQSVNKLIDQSEVENTKVGNDTSAESQFS FARVWINESMEDALGDSESTPPPSNTVWEKILQERERAAEQEARAKAEAFGRGKRKRQ AINYRGHDRNNNADNDSDVEFNGPSESDTDITATSERSEMSGKRAARPFKRASIAYPD GDMETQSALNEKHPCVACDHLHPVGYCQLRLAGVEHCGLCGIAHLGHLRTCPHLQSEL QVASMLGSLRQSTERSDLVEAATKYLRGIRGHLVAARKKAASQEQTQYTMTTNPPPAP PYTQNPHPQNHPEYSYTVPAPNPPQLLNSFPDPSYPVAPPRPGKPHVQHQPSRPHSSA TPQGYSSPYAPSQNSYSNYYTSQG TRV_07361 MPNDADAGFTNGHSNERTGLLNGDSGRHGGGSQRWSRRDDRFWV WIPCEAGHLTYATLASNYINILLVFVPLGLLSGFLKWSATATFVLNFIAIMPLASLLS FATEELASTLGETLGGLLNATFGNAVELI TRV_07362 MDAERTQNLTDEKDLVLSGRASLEAVRAARRQAEYGSDKYWKTL RDVLEKSAELTEQAWNLRERLLTLHHYPQGGQHAYRQSDSAFVYHKERLDALLQIIDK RQLTHSARKEMSPALKPDISPIAQRLFIERVVNTRDEPKCPRRSFVTDIRVAYGKATV MGRWTSWCWCTIRDRQVPPTDLRAVEIFPHSLGQQWMTFIFGPEARGQLYTVANGLLL PPNLAEQFNQYRVTLAPTNHRWNDNNDPWTWKLVIVDRKGLWNEIMDCGMRYSELHNR SIPFQDVVRPNGKFLFFHYLCAMLLQARRDAEYGAMLNESGLEDAWTDEGRVHSGPYI RDTVLGGIVEQFHEFGVSQTFRTKIMAHSRPTDDTEEEAVNVLVSIDPQAEMAEDSES EEEATECVDEMIEEFLGNEA TRV_07363 MIFAVVLILLFTTFEETLFPRFLFEQDQNDPGTSHKKHIDTKAS LHEVDSTSEASYHFPKRTYRQRLKPWVHFPQDKTTYWQYFRRPFMLFLFPNIIIAGVI FAFGCTAGIVSFGTISRITSEPPYNWSTTSTGLIYLAALAGNIAGWATGMSSDFIVMR LARHNHGIKETEMRLWTLCVSFVYAAVGYMLYGWGAHFGMHWIAIAIGLG TRV_07364 MLGAAWTTVSREAEISLENMNGGSALNYLLLGLVNIFWVPIGMK LGRRPVFLITTTICMLTAAWLGYVHGTAQWMTNLAINGFGVAAYQAVIQLSVFDMFFA HQRGRTLSFYLFGQQLGSILGIVSFTLRGQSV TRV_07365 MSQLAKDSHIVIIGAGTWGISTALHLVRRGYTNIKVLDKYPVPS PISAGNDVNKFLELDSSPVGDYVSKTIAQATVSGWKNDPVFQPYYHDTGAIIAATSEA AREDISSGGGMTSANGWIPRSTGQEFRETMPQGVLTGDFPGWKGWWKKDGAGWVAARK SMESAAREAARLGVTFISGPAGDARELVYADGKAVRGVRTEDGRVHLGAKTILCAGAA AENLLDMKHQLRPTAWTLAHIKMTPEEAQLYKDLPVLFNVERGFFMEPDEDKHELKIC DEHPGYCNWVTEAGKLKSRPFARQQIPLESEQRVRQFLKETMPHLASRPLSFARICWF KLLTTLLGADTPDRKFLISTHPEHRDLVLGVGGSGHGFAHIPSIGSFIVDVIESKLDP RLAKAFRWRPETAVGRDWGALQGRYGPKGSNRVMNFQDISEDEWTTIGLDQEPAQGRK WASQQLGKEETEKNTPSSKKEQKEQENGIIKHQSNPGVQRILQQE TRV_07366 MQELSSFIEEKLEMIKPGSRPPGGVNLPKPGDKEGQMILPDKDK ADGNSEPEKPTKGNSKVPSKGSDDSKGSKDTKTSKDPKDSKNRKGDKSPVQVPAPKKE VKEKKYNLSGSSVSLTAESFLKLVTTTQDPWFIKFYAPWCSHCQALAPVWQQMAKDMN GKLNVGEVNCEAERRLCKEARISSYPTMHFFRGGEKVQYEGLRGLGDLVNYANKATDV VGLGVQYVDAEAFKKMEETEEVIFLYFFDHATTSEDFAALDRLTLSLVGRARLVKTDS KELAERFRISTWPRLLVARDGTAKYYTALAPRDMRDFRAVLSWMQSVWLPIVPELTAT NARDVMDGKYVVLGILNRQHNEDFVQNKRELKNAALEWMEKQTKLFQLERQELRDAKD LRIEEADDRNDQRALRAAKSMRITIREDDKKQVGFAWVDGIFWDRWVKTTFGVDVKNG DRIIIHDESNRRYWDSSPNGVDIMPSRTSILETLTHIAASSPKLKSKSTVGFFEHIFF TTRTFVSSHPFITLALCIVTIAIASLWAKRQIRRTRTVTGKLGGSSMGFFHLDGKEKG LFSSVGSGPSNGKVD TRV_07367 MRATLRLLANVKPARYLEPFTPTGLTGLSTHPSPRPTLIYLYTT TLQKLKAIPESSVYRKSTEALTKHRLDVVQSTKPPGFDAWLQRVRALVAENPDKYKAA LREDGTYAAFQLEEENIAKEDELWGGDTSKVQQEGAYLSEEEMEERIKESEAEAARQT TPTIHWEAEPALEAAQSYTDANEIHIRISEIENKIGGGLIEEVIQVAEGELRLVDEMV KSKVWEELVEKPKPGQWTYFERTESSS TRV_07368 MGHVYIWSYETQSIIKTFELTDVPVRAGRFIARKNWIVCGSDDF QLRVYNYNTSEKIISFEAHPDYIRSIAVHPTHPFVLTASDDMTIKLWDWDKSWKCVQV FEGHSHYVMGLAINPKHTNTFASACLDRTVKIWSLGSPNPNYTLEAHETKGVNHVEYY PQADKPYLLTTSDDKTVKIWDYTTKALIATLEGHTSNVSFACYHPELPIIISGSEDGT IKLWHANTYRLEQSLSYGLERAWCVSYQRGKQGIAMGFDDGAVVVKMGREEPAVSMDG SGKIVWARHNEVVSTVIKGGDATLKDGAPLSLPTKDLGSCEIYPQTLAHSPNGRFVSV CGDGEYIIYTALAWRNKAFGQALDFAWGSKDNSNDYAIRESSTSVKVFRNFKEKSGGL DVGFHAEGLSSGVLLGVKGQGGIGMFDWETGELVTLACEDTFYVLRFSREDYIAGLNA GQADEDGVEAAFEVVTDVNETVRTGEWVGDCFIYTNSTNRLNYLVGDQTYTISHFDQP MYLLGYLPRDGRIYLTDKELTTVSFALSLSVVEYQTLVLRGDMDSATELLEDIPKDQM NKIARFLEGQGYRDFALDVATDQEHRFDLALGLGKLDIALEIAKVTDVEHRWKTVGDA ALAAWNLSLAEECYSNAKDLGSLLLLHSSSRNSAGLQKLAEQAKQAGSHNIAFTALWQ LSDVDACIDLLVQTNRFAESVFFAQTYKPSRTPELTVQWKQSLEKNGKTKVARIIGVP PGVENADDDLFPEWDNYLKLEAEGGIKTANLIDIDANGDEEEAATEETAEEPVNGASE TRV_07369 MSWKLTKKLKETHLAPLANTFSRSSTASSPKAEPSADEGAPLSK TPTASSSTSSIAASEATANPPTDSLKPGILIVTLHEGKGFSLSPHYEQVFNSHYQSSS GSYSASMRPSSSSHASHIPNSFAHPIRPQSTSGGINAAPTNHGRYSTKHLPYALLDFD KLQVFVDSVSGTPENPLWAGDNTSFKFDVSRVTDLNVQVYIRNPAARPGSGRSDDIFL GACKINPRFEEPRRFVEDPKASKKEREKAAAAFAQKEKQLGQLGSEWIDLQFGTGSIK IGVSFVENRQRSLTMADFELLKVVGKGSFGKVMQVMKRDTGRIYAMKTIRKAHIISRS EVEHTLAERSVLSQISNPFIVPLKFSFQSPEKLYLVLAFVNGGELFHHLQREQRFDIN RARFYTAELLCALECLHGFKVIYRDLKPENILLDYSGHIALCDFGLCKLGMKDEDRTN SMYHKPEPFCLPPFAFVNGNLSLLAFCGTPEYLAPELLLGHGYTKAVDWWTLGVLLYE MLTGLPPFYDEDTNEMYRKILHDPLTFPGPEIVPGAARDLLSRLLDRDPHRRLGANGA GEIKGHHFFANIDWRKLLQRKYEPSFRPNVADARDTANFDVEFTSEVPVDSYEEGSGL SRTMQKPFEGWSYNRPVAGLNDGGGSVRDPAFGSIPE TRV_07370 MCVPCFARGAATKSHDPRTHPYSVVEQNSVPIYDPDWGADEELL LLEGAEIYGLGSWADIADHIGGYRTKDEVRDHYIKTYLEGSNFPLPDLADPHDKSLQE QIPKEEFQARKKRRIQARKEAAKAAPPATPKQKPTASVPACHEVQGYMPGRLEFETEF ANDAEEAVQHMQFEPGNGLNANGEMDPEMELKMTVKDIYNSRLTARTERKKIVFEHNL LDYRKNAAQEKKRTKEERDLLNKAKPFARMMNHEDFEEFTRGLEYEHNLRLAIAQLQE WRTMGIGDLKSGEKYEQEKLQRAQRSVPQGSFDRFSTARPKAPAGSEGPSAASQLTLP ELPLRLQRPGSSKANPSEPPLNDFDKAFANPSLAGTPAPQPVKTKYTVPLITGLVPWK FENDNSPDLHLLTKDEAELCNILHLNPKPYLAIKEHLLKEAMKQGGNLKKKDVKSMCK VGLTSFTLVFI TRV_07371 MRFQTLFFLSFIGLAASAAIDGLLGSLGGLGGALGSGQQAGAGN GAAAGAGALQEMDKDENPSPTAMANETGAASQETGASNSTATATGENQAESPAPTTES SPMPNSPEASQSAQGAMPSMSPSGNRDDGTQSMTQEQPQTMGGKPKQTMGDQPKQTMG DQPKLTMGDQPKQTMSGDQNKPQTMGGQSTQHESMVKQSKQSESNGTETTTMPESEGE SAPMPTTSAEPVANGSRPQASDMSGRRPRPSAPQTGKMPAKQSMTPVATATPTATASA VKPTATSPAPSVMDLIADDDQEKQIEGTAKAPLKNSTKSPPSASAASEQPSSASSSPP SQADTQAKPSSSSPSSSSSEVNSFFNGL TRV_07372 MTAFTEFDAGHRDLVTVTKFNFYGNRILTASADHRIKVWDQKDD GWELADTWRAHDAEIRDAAWNGPFTGQHIGTVGEDMKFKLWQEDVTQSRNSGRRFRNI FRLTSSIRTPYVSLDFRNIDLESWLALITRDGLLTVLEPVGADNLSEWQQLDQFRVCS EPSRGDETSFKVQFHHDPMDMTHMVMPSWDSKSLSLVVAAMNTVKVYRMAANRKFYHA IELTGHTGLVRDIAWANGSVRGFDIIGSGSKDGTIKIFEIYTSVTNGGNSKQNGSANA ASRASTQSAIGTALAGQTSGTLADTRKNIESPFKHTFREVASIDSKHLDVWQVQFSHA GDDGSLRFWKRATSGDWLEFAETSMENN TRV_07373 MTVTLTRRETWSLIALSIGCSAILVKNALQDGEPLVASLALSGI AFAASFSLIRWLGNVFIKAGLKGKDMSKLKKIEIPETMGAVVAVVYILTLIVFIPFPF YKELVAATSGGGNRDIPLPVHRVETGRFLHKFPHNKFFIPAFAAVPMLIVYFVDFGVT HVIVPVPLQAYLGPSLDLGWLYYVYMAAVAIFCPNSINMLAGINGVEVSQSIAIACLL ITNDALFLSPFTPYPHPATDSHLFSIYLLLPFIAVSLALWWHSWYPSKVFVGDTYCYF AGMVFAVVGILGHFSKTLLLLFVPQIFNFLYSTPQLFHLIPCPRHRLPKFNPRTGLME PSVTEWTRPPHSIIATGLDLLDKLYLMQVKRNEEGSIIETTNLTLLNLWLLWFGPLRE DKLAMHIVGLQLLCGVAALLVRHKLALLVFREDNRGPGFAYSFV TRV_07374 MPGLDKPPQTLYDKVLKDHIVNEQKDGTILLYIVISRDSQANIA SQQAFEGLKNAGRKVRRPDCTLATVDHNIPTTSRETYKDVAGFVQESDSRLQCMTLEE NVKEFGVTYFGLGDKRQGIVHIIGPEQGFTLPGTTVVCGDSHTSTHGAFGALAFGIGT SEVEHVLATQTLITKRSKNMRVQVDGELPPGVSSKDVVLHVIGVIGTAGGTGAVIEFC GSAIRGLSMEARMSICNMSIEAGARAGMIAPDEVTFEYLKGRPLAPKYGSAEWKKAVA YWSSLKSDEGAVYDKEVFIDAKDIVPTISWGTSPQDVVPITGVVPGPDDFSDEVKKAS CRRALEYMGLTAGTRMEDIPIDKVFIGSCTNARIEDLRVAAHIVEGKKIASNVMRAMI VPGSGLVKRQAESEGLDKIFIDAGFEWREAGCSMCLGMNPDILSPRERCASTSNRNFE GRQGAAGRTHLMSPAMAAAAAIVGKLADVRKIASTIPISQKKSSPKFEIHPEVEDEEE LDRILDYPEDNEPHTNTTAEKSPAGLPKFTTLKGIAAHLDRANVDTDAIIPKQFLKTI KRTGLGSALFHPLRYNEDESENPNFVLNKEPYRNAKILVSGENFGCGSSREHAPWALL DFGIKCIIAPSFADIFFNNTFKNGMLPIAIPDAATIQQLAAEADAGHELEVDLVNQRI NDAAGNKLADFEVEEFRKHCLINGLDDIGLTMQMESLIRKFEGQRTRETPWLDGSGYL KRQNGNGTGPAMVEAAPVPKTNRGEIKGEPLEW TRV_07375 MLWSSEPGLSRLGIEIPLADTEFSAQSLSWSNYFKSTCMLSLLS RNWIWEEEEEEVTVVDEEEEEDDDDEVEEDEVVDRKSKLRLKVEAARRWLDLIYFSNC AVRSADRKNRLQIPRRVAASLLVHKPRLYSFAIQDTMPAQDSDKSPDYKANLLSILIS SKVLSFGSYVLKSGRNSPYFFTTTLLHTAPLLHATASACATVLSSPPFVKSQEGKAVT PSFDIIFGPAYKGIPLCTAVLNELGVRDTQGVWDNVSYSFNRKEAKSHGEGGNIVGAP LKGKRIVIIDDVITAGTALREAVGIIEKEGGIVVGVLVLLDRQERVNDTETKSAVGAA QRDLGESIPVRAVLNLNDIIEKLGDDIGKENLEKLLEYRAKYGAKE TRV_07376 MHIKDKLALAEAAGRPGISFEFFPPKTAQGVQNLYDRMDRMHGL GPSFIDITWGAGGRLAELTCEMVHVAQSVYGLETCMHLTCTDMPREKVDDALQSAFKA GCTNILALRGDPPREKEAWEQTEGGFRYARDLVQYIREKYGNHFDIGVAGYPEGCEDE EDPELLMKYLKEKVDAGGTFIITQMFYDADNFLDWVKKCRQAGIKVPIIPGIMPISTY AAFIRRTNWVKCAVPKGWMEALEPVKNDDAAVKQIGKALVSEMCRKLLENGINHLHFY TMNLAQSTTMVLEELNLKPSTETPLQKPLPWRKSLGLGRREEDVRPIFWRHRHQSYVA RTQSWDEFPNGRWTDSRSPAFGELDVYGIGLKGTNEQNIKLWGEPKSVRDLSDIFVRF LEHKLDRLPWSESAITQESEIIKDDLIDLNNRGFLTINSQPAINGAKSSHPIYGWGPR NGYVYQKAYLELLISPALIDEVVRRIESNADLTYHCINKDGELKTNSSDTPNAVTWGI FASKEVIQPTIVETVSFLAWKDEAYRLGDDWAKCHDASSASRKLIQNTMDTWYLVNIV NNDFHKTHDIFDLFKGLVLKDIDTEVSGTQQTTEPAENGTHSEARAPKTEKQAVSAK TRV_07377 MPMPDLTTSFSDKAKGKPTQKKPIRSGSWSVWLSGSVFRNTDTQ EEPISNADGTNNDPAAKASPNVEMAINKNENHSIEHKKCSAGEEPAEEAGTGTESDAH SDAGTVRRPRIPSRNTPPKFILPPGTLGDHRPRPSSHNEAHPSHRDDMLERHKPHHSD LQQPSAGSLPSGPRRTSQTVSRDDFLTARGANPRTGVVSPDLTDYSHSDDVDPQIENS GGTMNNQKWRLKGDQWISLDASERTPVPTPSSDAGMNSSLLISPRDQAEAHVAFLSDA GVQLKNLEDRFVVNMPSAREPNPPSMTPRQIADFQQACNRFYRYDNNMIHPSRVPSPR PRTPEGPSTPPRRLSKIREALLHNADPLDRGRRKDRPPGNPHSLSPHGLPREWRHNSA PSASRPQHGWREQTNKYFLGGPGYGREERIPPPRKRGQRYPPGPDRNAPTGHSSHRGP QQTPYRGHRGPSMPTYPQELHPSFRSPGSTGRQYLNHFQDRSFSRDLYLEPQTHSRQT LQVGGSEGDELLATITTTTTTSTVTPVRLSASDVENFFTPTEISTQKSSSEQSHGVSR ESIATTTTKTGSVVNAENAENTGNTKQTTAIHVIKLGQPGQEVDGLIRASGIQVSETE RADNENNMKRSPIMPLAKWAYYSLLPMAIFYLTLMAMTYREYREYWTTGIPGLKLPQV LRSKKIVIGVLCVAVAVSITFRG TRV_07378 MPPLIRRRPLLERIKAYLNPLDFLLWLSEELDSSDWEQWEKEWA LPVGIALNVLFLVARANSQKSSRAHDDVFGDEGGVSYVAWLVCGIFDQNGSFLGFHML TDISVLQASFIVHALALLSISNALYAFCRTRPYRLFEVPIENVPSTPSAKRVQVNSSP MSSTPLRFISDVLSASSAEKRAHPDAHRDVWQLSVWDPHPISIRLFCLFSPGHILVYW LFLPTLSSDPRPSVTIVTTIFLALLLTAQMSALSASYSQQAKDSALVHKEVLNEYDTK FVHPRTDPDVRDVATQFSQADHFIPHKDEKYNVVETYAPSTTQHTFKTRPNPNYIHHV DPDYSVKNRPQVTPRTSLSTPQVTSTGSIQRTTDIQTPSYTMDTFTPINKKSGAIRQP QFRPPSGTGDGGSLGVYSHAQSPLRKSATPYLDRRQSSSYRDSDGAHSPRKPPSSPLK RSSVAGDMSSILSSSRRARLADGLSPRRESGRF TRV_07379 MRFSSTALVALLLSPLALAAPPSLFRDTSQIPLDTDGDLKVPGD NPLMYCADPAENLLQIENVDLSPNPPLPGQPLVIKASGIVAKEIKKGATVQLQVTYGF LQLINQEMDLCDQTEKVGLDCPLEKGKMVLKKSVDIPPQVPPVSHPFCWSPQRKIGGR LMHIFSKQGKYIVRADVSSAEGEPITCLTATVVFEIKV TRV_07380 MKWLVTKSVNVTTAFYTRKVKFTSETFCEKFSAILDGFPRLQDV HPFRMIFPSLKIAIFGPRQMSVFIGNKDLLNTLYDADHFRIALGQLSTAKRLVETVAR DHVRLLKYAQSLFQCKQLKRAALGRMATICKRLKDPLLYLEQVRQHLGRLPAIDPNTR TLLICGYPNVGKSSFLRSVTKADVDVQPYAFTTKSLFVGHFDYKYLRFQAIDTPGILD HPLEEMNTIEMQSITAIAHLRSAILYFMDLSEQCGYSVVDQIKLFNSIKPLFSNKLVF IVINKIDVMRPEDLDPETQEQLQALLKSSGVEMLQLSCATTEGVTAVKNAACDRLIAE RVAQKLNTGANSSGTPTGRLGDVLARIHVAQPIGGVRETFIPEAVKNLKKYDKNDPNR RKLARDIEEENGGAGVYSFDMKESYLLANDEWKHDKMPELWEGKNIYDFVDPDIEEKL AQLEEEEEKLEAEGYYDSDESVEDADEAEIRMKADLIREKRALIMNESKLRKSLKNRA LIPRSSRSKKLSDMEKHLDSIGYDATASSARAKAQPESRGRTATRDEPDAMDLDTPET QHDALRRAVSRARSQAATNRRVDGVTSLTSRSNAEKLMKLGQKQMNRMARQGEADRHT PASLPKHLVFVLSRFYYFKHL TRV_07381 MAEGTSISATPADETHETNPPAFHAIRLNDVSGLAGRPTPMPRK LFLKRRSELFNGTGANRLSGGEGEEDDDDDDDDDEDDDVEDIYPNGSSTYGTDEDDFV AVNHSDASDHPWYMRSRVPARNSELAKLHPYVQLLSVSDLDDCEKVELAFPESERCSR ENLTYRLSKCPELSLGIFSIPPATADNEKPRPVVIAHLLATRTTSPVITNATMGVPKD WRTKKSTLPSPGEEEPVGHQDQGSTIAIHSLAVLPEHQDKGLGKMLIKSYIQRIQDAK IADRLVLLSHDHLIPFYTGLGFENVGRSKCTFGGGGWNDMVLDLSKAPN TRV_07382 MQHLGPPAARKGQSKGEKKERSRAGRENRLFTIPGWGWNGMTGR TVATACSAANPHPPPFVSEDGSKSRGEKAALHSHADAGGNQYESDCMDGRELLLIHGL LSASLPFPLLLRLLSAGAASAVLCPPPHHILRYLPASSSARRTQLRIASLQAPAQAAA QAAVVVAAAAAVVACCWSFAESWCRCRRGKRAACVICLWRLEESLQRQRQRQLQHPTS ASLFITLHPLRPLQPRPRELPPGTAQHIQETKIRKIPRTDTPDTHSLTHSYSVYRDLV QDVSGFQPGKGFPHTNQRVDRRITVSVVASNVAVSSDIVTLDVGEDMTLADLKAVIQS EIQIPPQSQHLFHNNRPLADESKPLGQLGISEGDMLGMHIRVPTPASGPGQGNPSGAG AGAASQQGDSSRGGQPTIPDPETIRLHMLGDPRVLAAVRQQNPQLASAVDDPRRFREI MMSHRRAEARVEAAKEARIAMLNADPFNLDAQREIEEIIRQNAVTENLHTAMEHTPEA FGRVTMLYIPVEVNGHKVKAFVDSGAQVTIMSPACASACNIMRLIDRRYGGIAKGVGT ADILGRVHCAEIKIGDMFLPCSFTVMDGKHIDLLLGLDMLKRHQACIDLKEGVLKIRD ETVPFLHEADIPKHQDEFEDEPMVRGSDGAIIGGRTGAVQHPAAAGGTAAFPRPALPT SLHRPPPTGPSPGLSPAPRPGPAATPAATPAATPAAATPAAATANAPQQRASRWPADS IAKITDLGFTRDEAIQALDAANGNLDGAIGYLI TRV_07383 MDMDQACLKGMDSPPQSAEEKSSKDAAQKAKGPRKRTKTGCLTC RKRRIKCGEEKPRCNNCIKSKRECEGYGQRVVFRHPVGPIPHLGPIRQIQGMGGMPGM PIMAGAFGHSMQQHIEAASASRPALLPIAPRPSYHHAHPDDLSRHHQAYSSAADPYAA STQHHSAINPDQPPYTWDSVSYSDGSYIPTSSAAAAVSTAAAGSAQHYVTSTSSSSAS YPPSEAPYPHQEYAYEDTTAWEDAAQLQPYEAAAVYQAQLSLQVCSPLSSPPFPLFSF SSNVRHDHVSTTTSTSTSTSITTTYTAAAACSASSATLVPKLESKEALLFPPAPDAND APNQCRQQHSLVMHDVGEESDDYFDVESDEELEDQFSAPSAATTTAAAAAATAAGTAC YAVPGKGSLYYPSSALAVSNPPFASYMNYDNLLATYRPSPLTSPLIDPEVAKLFSHFI GSIGTIISIFERKHSIAPLLSFSLLPTEQQSLFTYTLPTLALENHGLLHSILALSSVH LAYTTGQSSTAAFRHYHFALRRISKAVGLPHRRKQIPTLAATLLLGFFEVLAAEHSKW CSHVAGASQLIREIDFASMTRRIRAMRARARKQKSVAGERSWTRAGDFRLDTFFENDI YAPIEREIDVDLVSAIMGRDADYDALPAEPAVDQPLTAKDIEDHRIRTDLYWHYCKQD LFQSLISGNPLLLPYEKWAQTPPRSAVGRIQTAYGTMDHLLLLLARISAFGVRDRKRK LKAMEKNGGEWRPPPSMFPPPATAPDGPPSRPKPASAPPPAPPPAGPPGPASGARGQA ANQGKSPPMYGMLPAGPVKLFSGFAAAPGAGPTQQTAASPSTASSSDETDLDIRTAQA EAEWQDILAACERFEQAMGPAFAPLPPDGAPLITSPFGPALQYRTHIIGCIWGLYYMG RIILHRFHPSMPPAATMAAVVAAPTTAQYAQNIGRVAAGIPYDHRLSSTSSASSYAHP SSSSFSSSCPPAGASSNLNVTIAGVLHELTVCLFFAAVQYVDPAQRAWTVAMLRDISI ITGSKSPIAIARGCETSWIKAAEAGRGPPHQRMVFDFDPSDVCSREGVVPDHGDDRRW TAVHRGSSISWAMGLLSIDGDYAVAEDRHNRGSPSTSTT TRV_07384 MLSPTNKLDRPSQGAKEKKSKERAYLGRLARGDRGDRGERGEEG REGSGRRPMKRPGREEREKTGRSKYRAKTIKDDGEEEAKKQRQRRPVTGWAAVEMDAG DGAARRRYAFNVGFPWGGRSAPDKDFFLLLLLLLRLAAVPKLKVRERDRKGKSNEEVN KRKRKRKKNKSAKEPERDPLFWGCRRVDKGKKQREEADEDEDADLALTCMDQETDTET EAEAERRGKAGQGFTGH TRV_07313 MSLPYRQRQTTYQSPVRGESWRETSDNTYTTSTRSQPGYLSPLA PPMAYSSSTDSNLSISTSYSAASTYYNTIQSCHSPSNSYEYDSTCEYEPRSSTQGRPK SHSTSSNQEYIFDGQTMAALPSSPRSSTAAERYLATPTPTPTSTLSFTHQPRYHTRET NPCCHPSRSTQRFICLYENCEGSFSRVADLSRHQKSIHFPTRMDCPKPRCNRKGFMGF TREDHLTEHLRQFHGEQLAKRTSSKSKRAEATC TRV_07314 MFDVEDEEEKKREDDDEKQDSRLRPFSPEEEKDDDEDEDKQTSN DSLAQQRRQRSGERQRDRETERQRDRERRDSRDRDTMTAMVATSLGRGGWV TRV_07315 MTSRKTQQEIDKTFKKVGEGIQSFEGIYEKIRSSSNAAQRDKLE DNLKREIKKLQRFRDQIKTWAAGNEVKDKSPLLEQRRAIETCMEQFKAVEKEMKTKAY SKEGLSAAARLDPKEKEKADTCDFLSSTVDILQQKIEAMEAEEESIQASMKKGKKDIA KSNRLADIERLSERHKWHVGKLELLLRSLQNGNVETQQVLDAQEAIKYYAEDGHNPDF CGEDETIYDDIPLGDDEVQFGMNNDNDRVSSQDTQSIQEDDAESRQANKGKSDSGPAR RPSTQMKSPLPVLATLHLNGPSSSSASSMKPAPPPARLPGETLKYASAAAAAAASDKA GVGIAPLPPPPGASPAVSAAQLASKASSTASPMVQPAQPVQVQRLMSSAGSADESGSQ SKSPTLSPSVTAASRPGTMPPTPAMSKIETSATTPAASTSAPNKERTVTNGQAKGKSP DEPAADESVYHLPPGLQDLIHSFEVTKSRSTAPQSASVQRLLVASHSTCPEPGDAEKP RHYKPQTPYTTPLYYPQETLPIFDDPRLYETGRIDTDTLFYLFYYRQSTYQQYLAAKA LKNQSWRFHKLYQTWFQRHEEPKNITEEYEQGTYRFFDYESTWMNRRKGDFKFVYKYL EDDL TRV_07316 MKAILQRVTSASVTVDKQLVSSIGRGVLVFAAVGPDDTQKDADT LAAKLLKLKMWPDETGANVPILLSSLFGYFQWKKNVQDIQGEVLCVSQFTLLATLKKG NKPDFHKAADPKTARELYEYFHSKVQNLYTAERVKDGVFQAMMEVTLEIDTNPAKKED NASASASASASASTS TRV_07317 MVSIQLLLLGETEREKADVKQSSPRPQRTSVPSALEKRERCSFS FATLGFGEAVSKFILLLGSIFHRVIKQFMIQGGDFTEFNGTGGESIYGEKFDDENFQL KHDRPFLLSMANSGPGTNGSQFFVTTVPTPHLDGKHVVFGEVINGKGLVRKVEQLPTS PGDKPHSEVKVIACGEITGDEYETATKRAVDPTGDTYEDYPEDNDQEFTGPEYFKIAT ELKEFGNTAFKSGDVQLGLEKYQKGLRYLNEYAEPSENDPPELAGQMMTLRFALHSNS ALLANKLRRYNDGRTWAGYALDQAGHAKAKDADRAKAHYRRAVALAGLKEEDEALKDL EAAAKFAPNDAGIIAEISRVKKSIAEQDRKAKAAAKKFFS TRV_07318 MGLNEIRTAMRVDRRKPAAEQPGVHVIPACGSIKNGETVKIECL DWTGGQIKNNDCADDVRDVDLTHVHYLSGPFDVETAEPGDVLVVEIQDVQPFQDEPWG FTGIFAANNGGGFLSEFYPQAAKAIWDFEGIFCSSRHIPGVRFAGLIHPGILGCAPSA EVLAEWNRREGQLMAENVGSEVAKPPEVKNAHAGGATGELKAKIQKEGARTVPGRPEN GGNCDIKNLSRGSKVYLPVHVKGAKFSVGDLHFSQGDGEISFCGAIEMAGIITVKLSV IKNGMVQMAMKSPIFIPGPVEPQFGPGRYLTFEGFSVDHNGKQHHLDATVAYRETCRR VIEYLRRFGYSDEQVYLLLSCAPVQGHIAGLVDIPNACTTMGVPMDIFDFDIRPESVP EKRDLGSCAFTSDRKA TRV_07319 MPPLDILLTEQPNLTYGQNIEPDIFPRRCFPDPCKHIKFNPEYA DSVCGDPRLGPLTLPSRFPVSVETATYYRYGGLCADEFILRWAGDLDPKKWFNYPDFD GFALDSQGKPIKAEVTLTVGRKVDRFGSPKGKFVAPLGSSYISRSLPPSNLAPGKTGN YPDNYHVYMVLKPFSGFLGPVASWFGQPGLGSQIHLKSSVEELLTGGFLRELREDEYD EPSEYSYDPNPGKA TRV_07320 MAHLLMNEKFDTSTFLAGLAFIENIEDFISFSMSIGSIGRKNIV SLELHWESKAGIFSTVRSTRVKEDHKSPQLVAVSPCVKVRGTPRRILELENITSPVDD GMLNAVHLESFKTHPRNLELCSLKVERVHLNGFESSLDRNQVSIEMSLSNGAKELWNT H TRV_07321 MVGSRKASQLQYPYPHSQRYSVPLAFRKPQQSTGEEASSSSLPG IHCCLYCFDIIFTYAIIGKKSSACCSYARPQLDKEPDSVEMVSLEIGDEQKVKAYYES ALAAFQHNNCLHIAKSYAKLMDPRNEINHSHDEDEKGPPTEATPGWWPENLICRELNY QMESECIRLLIHIFRDLGDTHGITTDKLEEAGRGVRRHIKPRKRLVILDEIYKVRRAE ECYKKGEIVTYYTHLFNLSSEATIIPKIVNRHNAGGMIIVVEVVVDQFKLEKCEGGMN RRILASQRISHLRYDDPAITSIPYYHHSNHDISFSLLWTAKKICTSHQLMHSFLQYVD ARSSRSPLHKTRFKLIFSFFN TRV_07322 MAPQHPSNGSTCDSHAFESLESIVELTFIALFSLCGLVLVYIYS LGRKRAHRNGHAKEGALWTVLALSLGAELIHDRVHTLDLANTVYGECASSFTAILPIF TIGIASTCLDLLSGFLLLAATLLPITRLMYECAGGIVPRGSSISHKILIVLMGADLIA CIALLIWLITDTNVDINLAKRFFNGIYSGLTGIFLILYYVLGLIGSLMGFINHIIAIR KAPQLRRSGNLRTLAHLLTIAQFSKYAVHIVVIWVKDDIVGYILQRTFLLVAIATALY YVPSAALASANNAEPPRYEQGAEPPVYSTQYSPLNTFPRDEARYSQSNANMTETYDVP PLPPVGKPQELPGGYTEVPQQSDYPPQPSLPSSGNYCHPVELPHQANQSS TRV_07323 MSFGWSAGDIYQLIVACHTLVSNCTLGPTSALQIVHGLAVEIQE LERLLQQLQKLVQDGGETSCIDLRGIEDTLRDCRTHMQKYNKLQQAYENHHRNNTDPV VAGERRPSTTSTTGGRTREILISGRNAARVGGELVRHATWGSGQVSALQDRVSRHKQS LTLYLTVLERERSIRMGKRLQSVERMIHELHAEAMPLTRTYPLQRVGSIPSSGLGISD GSPADGDRYHIMLEAVERQREYAMLQRALAETGNDQEWETICDQLDRFHRRVLNVIER KTNSTAQHGCRSEHGSQSENDIELNRTLLNMSRGSLRSPANENQSYRRRRHAAFETPL ERISDEIETETDHQSSHISLSGTEEVADGPGNPIYRTLSTVTLPSYTPSVFSDFTSTS TAATEDTAVTATNAAHMEIPGSHNKPSYMASPTQPLSASPSTVNSLSIPLSTSPGSIH SYSTPISPRFAPRQSPSNARDSISSYASTAPSVVSIENGNAPLRSRGYSNGNGSSFPP SPGMVSRRDTDDSGHHLSCGWKTIPLDGSVRIYLFSGVRFASFLSPCKRSNIAIVSSA ERRTIPHIEPPGDRDRSHEAGVIYFIKAPKTKYGEHPKYYIEDPDDLIYLQSLIFGKN LLLSMLVQKISSVNGRESDRQYLRIWEETDGEGHDGDTSILYFASARERPRYIEVHRE FANQQASQPSVYRLY TRV_07324 MKMPVSFKVKNRTVNFNYIPDLDLRRRRVFDELDATHFQSLVVF VAEIGFFTDGYDIFAVGMIIPMLAHVYWQGTMPIHIETGMRAATLLGTIIGQFVFGIL ADLYGRRKMYGFELLIVTLSTIGVTMAADGASGSMSIVAWLIAWRFIMGIGIGGDYPL SAVITSEFAPTRSRGRMISTVFYMQPCGYLAATLVALIAIVAHRGSIPQNIPTRVEDI VSCMDNPECRRAMDSVWRWVIGIGAVPSAIAIFFRFSIPESPRYTMEVLNRPDEALED VNEMGWQRVPQKTITPGDVEMQLPPPEQQSQSQRPPMAEGNNLQAEKPPTFSHRPASA ISNPSNQPVSNDWSHFDPRQHQRSTSRATDGASQRSPRRVSRTHTVSSAHSGAGSSLS FSTSNDDEPADSTLVEDDFSPPTKEASQWARFRTGFYNHFITNGHWPTLLGTSLAWAC FDFAFYALGPNSYKVVGKIFNESVLCFPGVIKHGGQMPTPCLPPNRSLYEDVLQNAWH SLIIVSAGSITGGLGMIKLIKRNSPRTIQLYGFIVLAVIFVIIGVCFQVVNRSTSVPL IAFLYVLSQIFFEIGPNVTTFMIPAELFPTRFRCTAHGISAMTGKIASVLVQVFVAYA PIGPYKPSDNNPSDPNSTDTKAQWLGYVVIIFAAFMVLGAAVTKWLIPETRQVDGLAR PLEELQYLAKIRRPAKKKAAAPEPANAQGASAAANGPNGVLTGEGNANMNV TRV_07325 MTVNSVDGGTRESSTFDTNDGVKTHQEEMDSQQDNSWSAIQDRE YQAASSNTASSPNAAKKKKGRTGYWKEKKAAKKLAQAAVDGDLNDNGGVPTSVSADKD TIQLDIENVDPNATVEQDAPMPGSDASTKPKAKSKDRKKTKSAPSTAPLQDIWPSPPA RDDGFVDDGWGNGTQTGWYHRDESLPREETKPKKIKPKKKAVKAKVADESAVQIGNSE PLNSKAGNVGASVNGEDPVEDGAASAGKPTVPKKTAKKKKAKADKHTAQEQEAPSMLD GVQVDETTGNETQPPTSTAAGSNDSTNGPAPVEANGVLHTLKQVFNGVNSNTDTPTPD ASNTKDSKSKKVKIQVDLDVELASVLKAKVKGEMMITFM TRV_07326 MVITTAHRALQNPELFKSEHYKQAALSVCSGIAIHLVVAIPIVA VKLVIWLLSWAIDLENAVWDDKVIGTLDFVSNYVLQVPFFLMTLMRYITPTLDNMFME SLRWVDITYTRKHKDDDPTTLRPMYYDNLRMYSKGGMSHGKKSSLAPLKAFAIRYGKR TGMALAVYILSHLPLVGHFVLPCASFYTFNKAVGLGPAAVVFGTGLVLPKKYLIVFLQ SYFASRSLMRELLEPYFSRVKFTADQKRRWFLDRNGVLFGFAVGFYLMIRIPVLGVLL YGIAEASTAYLVTKITDPPPPPAYSEGFAEGQVTWTNKHLFLKLPLDSLDFPVVKARE GKKIS TRV_07327 MAESPFPLRRSSTIPRTRAVSTGRYSLGAHELSTSGPSPADEIL YSSPSAKIFKFELPNSSSSSPILPDLDYPVDAIETLPWELPTERLASIGPLKIETVAG SATFLKSGSVVYPLLKNSQCWCVDQVSRFVFRIRKLTYYRVELPGETEEDKSRVESFK EVLSGIIRYEITPCPFKRGFSVVLPEEAKTPKKKKAWQPKLQPMPPFPPLNLPTDVPL AASHDLESNGGPVLDVRKATSREQDQDQDTGNDPSVATDGEDFLFVPDNMQSDGSTVT PPPQAFQSLVAKFQQLQDASPAEEKEHQEYMDDSERMSSLGSFHTCEMDDSISPSDTH YSDPPSPWNEGTTAAPSLAHGEDTSDQSPSIPESDPFIDSDDNNMSQIPREFLSTDLH STPTQPSFDRHGSIYLSSEEGVDVNEPSSDLRQRLRSNRKRDLSPLPPPSTLYYPSAR SPANHLTHTIMKKTCTYVLGPPVQFLMLLLRLAAKVAATRPSPTSPDLPDPYSDQDDI GDLSEDDFGIPIPYTSSLKQVNSNSLSVYDSLDEAD TRV_07328 MSKPHLPNDEFLSFLESLLVKQSASSRGSVFLTQKRLQSETTSK DESQSTVSNPPMILIRASNGRHKDSKVKASTVVKPEEIEAFYRRYAEICKAGMVGLKK RDRSAKKKGKAKGKK TRV_07329 MRRPEINTTRLPKQLREELGLKDSRDSGRPNRGRGKPLSRKERR KEERSQKKQHHQQPRKLVQRHVRDDQDRDRDPGTEASLDDDAGDRELRTTIPAKPEPK SILKKRKRSDEAQGTKKNDDADVALPERKIPRAVREKLEEDDREIARLEKALGLKGKK KLPKSFEEDGLAEILGDLGGYGSESDQKRGKQDGEEWLQSKRRKAQAQAQADMSLDED SGNDFDSDSGEDETDEDDEGLLDELEEDDESSEEGSMDEFEGFDDEPEQPPKRIKENP YVAPVAPSSKDQPKYIPASLRVAAATESEALIRVRRQLQGQLNKLSEANLISILSEIE KIYQDHPRKIVTTTLIDLLLALVADRSTLNDTFVILHAAFISAVYKVIGMDFGAELVQ RVVEKFDEIYEDKNSSDHASKSKILSNLMSLLSHLYNFHVIGSSLAFDYIRLLLTEIN ELNTELLLKIIKSSGSQLRQDDPSSLKDILMLVQPAVARVGEAALSVRTKFMIEIITD LKNNRLKTGIAGTALASEHITKMRKVLGTLNNRNLRASEPLRISRADIHNSSKTGKWW LVGASWKDPSMTDDHSNDPSAGRDATMTDTLDVDITGGEVDLGQLARAHRMNTDVRRS IFVAIMSATDCRDAYLRLTKLRLKRNQETEIPRVLMHCATEEEAHNPYYTLIARKLCG EKRMRMAFMFSLWDIFKKMGERGHSEEDDDFSGIDAEDEENALSTRAIFNLANMFARL IAEGSLSLGILKILDFPYLQPKTKTFVEILLITVMTQSQQKSHKKHKNKDNADDGYDE KALVTIFMKTSETPQVVAGLRYFIRKVVTKSDVVTSKRDKKLVKWACKTALDTLSIVA EGGSMA TRV_07330 MTATLRPRPRTLLLTLDAFNTIFHPRQHVALQYTEIAKAAGFIS QNVTPESVQAAFKVAFKRETASRPNYGRNTPGFGGPREWWANVIRGCFARVHTGKNEL EGVSETRAVQEVPDSLVSQLLRRFESKEGYTLFEDVESFFSRLHSWKKELQERKRAGC LRDGDVQNVIVGVVSNSDDRVSPILNSLGLSVGNAWADSGELLPRASSSIPTGGTQLN DVDFIVTSYEAGEEKPHRHIFDVAKTRAKEHLSVTDPASQVDGGWRRFHIGDDHGDDY QGAINAGWESFLLLRDGMDYLPGTDHRAIGSDVKTLRSLTDLYIQLNQR TRV_07331 MDFVDFSSRHQQERVKSRRKMNILNTPTGLVLRNNSQSRRPAVV DEIDKDDQEGIHGLKRSSAIFHGEVIEDSESEPEPINNGGSISSGSGDNLSNQKPTIG NAYSSDDEMLLPLSPTRPKIKRQRQLSRASSIEGGPEAKRTVPGGDDIVSSLGSSPPA FVEDSRSPLSELNEQEILTPARKELSRGQVPSSHPRFVVNKWQINTPIPGKLNYSQAP HHGEPGAYASTPRQKPRFVLPSTPEAGHGKKRAGPVTSPSPFSPLARRPRRGRGGSLA KPDFTPNGMATQVRNWILEIGARRQAGGQPSSLPAALFSSAYPITSDNDTSPSQINVQ DKYCLNTKVRGVKYSTTRSARGAGHPAPYILCSYGEDKTPLAQMLLFNPPLRAPPSTV SASNIIRDGSSIGIRNGLAWEIEIDTLTTTGSEHSGKDDSDIVKKSSRPCWVGIEWDI LV TRV_07332 MATASPRSTRSGTPSNTQKSTEGSGNHHGSSPASMTPRRKIKAM LAALDSDSEPDNATSKPFARPNPDRPANEMDEDSEDDQEDQLPVAPRGRLAARMLASA YSKESTLPESKSITTSRDTSKDISSNKEMFGLDSGPESEDDDVVAFGRKGRTTQARES RSPSHLRNDAPSRSPSPLFVPMESPSPAKTTQRDAAISVASPPVSRLQSLVNEKRMKL KQLEQEKLAKEQEREQRSMELDTDGDGEDEDDEDDEAVQRLTQQPRPMRKASKKALLE MNRETQRIARNMQLAHEATTKKKITIESFLARFNKKPVSAPSNSGSCSDTPAQTSDAE ALHSTPPTSPLRETLQKDQGDKPAAIDETAPNSENLLKEDMEITIDDLLTKSNESEIP QQETTERQCLKGKPVAIPNVPKVTKPGRKIQVRLSPETIAQNQRHDSDSDLEVVTSPH QARRLALFENVTTQKSHKLSNSLRTLKALAQLQSSRKPQPMTQGDLDDILLRKAREQA AQQREEKLAALRAKGVIIQTAEECVRGEEEVEDLVEKAREEADQIAKQEKAASKRAAA KSNSEGVDDIASDEEEEYVEDAEEDSESENSERSEEEQETMDSDDGCDEDEDKEQNTK FLDNQAEESADEDEEGETQDCSKLSLRQRNRAKLVVSDDEDEKPVEMQVTATPKPKIP NIGQPTTPLIGLSQAFAATLGNSQEDSQEDSLAQLRKMPGIDLPVADLFDSDSQGFAP ETQGQESGTLDIFAGFPEHNTPAIDSPSTKTYTEYSQLPEPTQDDGFVMSPFDQAKRF RPTPSTLAGSVITSNQDGDHRKERKRLRRGNAHQGTVAGDDDQPHTNVDAFKLMKNKA SKPFIKDKSKAKEMVEEAAEESDDEYAGLGGASDEDSGSEDEFDRQMINDNSGEVVDE KELAAMNAHHEREQDEFQVNKLMKDITTGALRRKRVGDALDLSDSDDERISRRRAAKR REFMKMRKALLADEKIGKIAEDPKKAAFMRTIEDMDFDNDSDFLEEGEDTDNYVASQG SNNNSNDSSQKDGESATRKRPFDADAADSLNRIPVKSRKLARKASMKKPLTLAEIRET VSFVLDGPNTDASSAVPLSSNVMPHDEAAQPDHSGGIEEADFIDDGGALQTGKQDRTG SVDNAAQPRRQRGNVVDRLALRRQASSNAASGSKLAFHSSMNSAGPEFKLPPFLQRRS SSGLSVATTKSSTSTSSGSSVVVTESAGVQGSRKGAVNYYAAAREKERELQLKKGLKS SSSSNLIAQRMNMGGGLSGLLGSRPSEWE TRV_07333 MAEDSSGGAASIENQLREAAQEPAAETPGVVESIESSKAADAPA SQVTLVQWRAMSDVLISLYNYREPDGHDPSRLFHRSVNKRNVPDYYDVIKEPMALSVL KQKIKSKVYTHFAEFVRDCALIPHNAQTYNRPKSMAYEDALIIKDVMISEFQKLAEQE IISPETAQLPDLGEIPEADPLPAEEEEEEEEEDEEDEEAEDSDDEAPRRKRKGRPRLS SKRESGVNDLHKGADADGRKRRGRPPRVDTPMEARIKAVLKGIRKLKDSSGQMKVRHF ERLPDKSAYPDYYVEIKEPLAIDLIKRKSKRKKYTSVDHFMRDVDVMFNNAKAYNLPE SQIYRDAVDLHREAHRLAEQEKNKADSEYLMEDGRLPLPNGITYKDEVWRVGDWVHLQ NPNDATKPIVAQLYRTWQDPEGEKWINACWYYRPEQTVHHFEKHFYPNEVVKTGQYRD HRIDEVVDRCFVMFFTRYNRGRPRDLPLNKEVYVCEARYNEEKHKLNKIKTWASCLPD EVREKDYEMELFDAPRKIKKIPSPIRHLLKEDAKETDDLPKPTWGAENAPPIVGAVHC RPRDENESPPPEPTPSPPPPQLQPPASLPIPRPPSMVQPHTPMQPMPGQDHVRHNSIP HIPGRMPHAAHLATSPQPPMMPGGPHPYHPARPNMPMYPVAHNNRNMPVHPQTPQPPY HAPQPAQPYSAPHNFPSYQGSRLPQASIPPPVYNPNAPRSVETFHLRDGLDQSIPKEI RDQFQQDDQGRVLFFNVPPLDVVSNSHQGLSHSLKYLAAKERRLKLIEATRKRISASS EESQTKKQKIEAEAAAEKKRLEDKENLHAETLKMITQTITRSNSEFYMYHYGDRAAEV MERDLQAHLDRCYPKSPKPKEPELVLRPSAPKLRWNPKGPE TRV_07334 MRLRIRGPNGQSTVTLEDTATVEELLDNISRATSLSSYDIKYGY PPQSLLLSQFDAKSKIKDIGVNLNGEQLIVSKREEPAPQPEPSSEQERIEPAAPLSLT KKKSSIEDNAPEIPSPEHGGTIVLRVMPDDNSCLFRAVGSAVLGAIDTMTELRSIVAQ SIQEQPDFYTAAVLEKAPDDYCRWIQTEDAWGGGIELGVLSKHFDIEICSIDVQTLRI DRFNEGCPTRCIVVYSGIHYDTVALSPSDEPYTHAYAPPEFDTRIFDSSDPIILEKAI ELCQILNEKHYYTDTTNFQVKCNVCGGLFVGEKGATAHASETGHYDFGEAGYSGGND TRV_07335 MEHDLLIVVDATASMGQYLTALNTSIPQIISISALTGCFSRIGL LAYRDYCDAQLLEWSGWQEESKGAGANDTNILALAKSLEAAGGGDAPEAAKTALARAY ELMRADAKTTLLFYTDAYPHFGAGEAPVRRGGHSYVPNGVLEKKALSEPSSYGGYGPN FVDWVEACKFMRKGEKPVQVFCLLSPSMSWKDCGHYNYLSTMTRGACMILENSMPGTI SKVTIDLLLSWMGVEPANKAITKDATEKNNSDEAAKKDALATLGRYKCVDYIKKLNHE LDPRAEMYFPIASNSYGSCKNNSIEENVARVPMTPDIARKYLPKKDVPVTNFATAWTT DPAYRSLALKHINKIIQFDVRAIAVNPVFGSLWRTICSDRTCDSRQELLDVFSAEVGR LASAEDREQLKIWLEASYDYRAEVLELLDSVPKEEKFPCVYLDPTLDFTPTGAEAEDE FGKPITALTRVNLLEIGRSCDPSVLRRLGRILTQLTYVEREADLPEHIAASSNEDVPK IPMVLARGEYKRQFWRILLHLIVPGTMLSARPAALLAALSLRLGITPLTDVAEREALS FRNKWNDLDVPETWSVPCLNLLISADNAYTKRRAGLEEEPESPGRSKKKPTTLLKPND RKLFEQLIAYRMLEVNLDSALAAKVGWTPGKTTAPLGPTVTCRECLYPRSVSIMDRNS KCGLCMYASQDTAYKRALHINVSMDDGPESPATWVECCVQTCRAQYVVYDVASLNVRA KCHYCRAQSANPPKPRQLHPDAPWIECIKCMNRFIWPVEYRPSSLRTSEFTCTHCNAG RETVVEIETTAKKISAENSYSWLICDNQNPETCPFTGRSLFNTISTYGTENFVSRMTL FPITKGVLTLKGKKIQNTPELISTLQDLISRLKTIKEHCSLCFSVFRPDLLNPACGRR GCLQRVCRDCLSGWYGLNSAGRILNVGALSCSFCRRLPSAKTLANYAMGVQAIANLSK AVEEKGTWIYAWCIACSSAKQFMERECARGAPPEVSNWNCPECVQREEELELERIAAE NAAEVAATLREEIMAKRMSKVKPCPKCGVMTEKIAGCAHITCPVRHCKAEWCYFCGKH ARNTDIYEHLNNVHGGIFDPEDEELVFTDEE TRV_07336 MVTPVESQHPESMASFAPTRHFIRVSLACVQCRSRHLKCDAKAP RCSRCQTDGKQCTYLKSRRGGRRRPTSSPSPVPADLPMQHAAALPPPPDCASLPVPDE NIFNDAYCGVPRSIPMVTPTRGSTSSGSSPTTNSEAQIEDLLNMYYKYFHISHPCVLP RWSFQIRLSQDPATLRPLLLTMQYIGALFTPSVTSSAFEPEVLQAFADFRASGAKPTG YHVQAWLLYSVAVYWCNEIERGLKLLDETIRMALDLGMHLDSFAQRYGENNPLLEESW RRTWWQLYVIDGHVAGSTHTFPFRTSHIQPTTALPCEEELYEKGVCFILIHLRRWWTY YIEYMLTFSFSTGLQDIPKPRTLYEYDMREFADDEDQDFSSFAHLVGLTRGLDLALSG RRPNNPDPPSVVCSRVDTCMRAWCSLIPPKKRCLAREDGTIDEQLFKANMLVHTYIVD LHRELSSLSYSPIESVSRCAPPAPADANNPNKTPKDVQIHTSKVLLAVDKFNDLLTLP TTIISHTPFVICMIANTTIAHLSACKHLYRDKTLQIERERIRLNMGALKTLSMYWPLG KRTYREMATIARDILSLTDRDISPISNSDRAAVRSLDTTPPAVQPSPPPPPPAAATAP TSAPTIAPTQAPITAPPNPVIHNHHDQQPAMIPNTTSHGVIMPHAPPASYPDVLSFDF NGIFDVGDLFDKSMTYDYSVLSLPSSVTQDVS TRV_07339 MDHSRPRRAAIPIIDPSGRPVNVAEQLRTPNFSPYRSQFSGHPA NNSRAVQYPAPVSAPVPSLAPPTPTSSTKITTGPARFHGPRSQGSLSSWTPHLFAQPY TPAYLLAINRSPAVSKYTVPLKTIDFNAYRQQFAGNLFLEPAPSHAMPPIKSVPVSVS LHVTNLVPSTYLYYFDECLLLEAYQQTLDLAQLCLYNVPIALVDLQRRIFEIRVPGLK DDTPAIELGDTILVRQILHSPNQMTRGVEWLASNQPTLTGSIAPGFNGQQLHAVVVGV SRTKEVVRLRIDHFDMTINSAYSSWLANILFTVQPNRYVPLWEALTSIENGWNWPQSS NYIPGGCSGNLQDESNWFRHMLFPEPHYGFMQHALPKGIFDLEWVDPDLNYEQMKAVD SIVSRNYGNVPFLISGVPGSGKTKTVVECTLQLLNCSSDIEPHILLCAPSNPAADTLA TRLAPHLKPGEMFRLNGWARTFAEVPSALLPYTYIDNDMFSLPGFKAMMGYKVIVTTC RDADMLVKARLTNRDLMKLACETVAAVSSKVPVKAEDMLHWTALLIDEAAHDTEPAIC IPLTVVASPLPIIHEPAHNKSSLPLFVMAGDHYQLGPRIHNYDTSLSISLFERLFSCP FYADHLLSRRNAGPYKKLVQEMLPIQRPAFTNLTRNYRSHPAILPVPSVLFYSDTLIP CATPADPNGPVPTWPEWKDPHRWPVLFSCNSSLDKVEELLHRSAGNGVFNPGEAYLAL YYVKSLLHHSDQLSNDASESVSSLAIHPKEIAIITPFRSQVAHLRHVFRSHSLHSVNI GPLEAFQGLETRFLIICTTRTRPGEQFVKQDQALGLGLVGEKKRFNVALTRAKEGVIV IGNPNVLVDSGKDETWREFLSYCARNGCWATEDSPKLERSGPLLQEYEVGSAQENTNK SARWWAKKLAGANVKADNENNDNNNSQDNDTPDAGDNETGEDNNDDDNDSTNDASNAD IRLSGYISRLERALLYEETTKSNARNGSQALEYTTTLGQGGAVHDSAPDDYDSAMWTA GIAAEEVLRGALDI TRV_07340 MLTTATKLALGQHTAGIYADMSIDGPEIGTLVAVIDRAKNLPNR KTMGKQNPYCAARLGKEAKKTETDMRGGQTPKWDQELRFTVHSSPDYYQLKLSVFNDD KKTDLIGETWVDLKNVIVPGGGQNDLWHNLQCKGKYAGELRMELTYYDTRPKDEAVIE RRKEAAAAEKAERKGIPAPQKPQPSSRRTKGPRRRPLPVDPTGSAPSPSHQSPKPAVQ PGHPAQPGHSVPIRPQQIPSNIPHASQAQPVPVQHAVVPSAARHYETPDDLHRQWEEP APTQPQPAYQHNHPHQPQQHYHGDYENAYSQPEVSQPPVQHQAPAPAPSVSASSNHSY GNPQADYYREPERLESHQSHHGQHMEGHYSQAVVPTSHHRGPYETPPRKTVRQSVGSD PRPSSQHSHPPVSRGSTHGTPDGYHHHRPSPEPHQLQLTQSPANHSGAHHHYSSSMPR NDVFQESPLRNSMRQLEYHPANSHGYTGHGYGHMQPQVHEADEEHDLPPPPPIHRDGL GRQPSPAQLGPTQAMDLQLAHRSQSIPALQQLSIGDSRNSHRSTGEMHQEYVAYSPHA AANRRYSTSPIPNEQTYSTSPTPSYHSIAQPQESALVSERPTTSCGESIPSSLIAGVE QQNSSSDLIVARRRSDISMHLSHINDHPTQTTADNRVSRRQSHVPPQQTPNRASPSPV PERKSVSPDPRQVPARKSLSPQPPPVANPDSLQGVPFGPDSYDTLNPNASLSSALIQP ASPYETPEEAMEAARQHEVDKLRALGPIIGNDGRVIDPSDHLPLDTWAPEPERKPKKP GVVVRFKHTSHTNTVGPRVTTARDRAATSSSSSRNSYQSSSGTPPARPPKRITYAPLS SSPSNESTATNSATDRPSSSSRQGSTSPLPHRESFSSNYSSPANVMTPPHYRARQAAQ AQAQAQSQANRRSPSPCPSTRPLHLHSPSHSNYYQQSGPPIPAKVPVHPGSDTYTNGG MGGNLDALSEEMKRIDIGLGKSSGALVRKGRQSYAGHYER TRV_07341 MAAPVLPLPQVKLPTTPTTRLTPEQLYWRSFKSLLLLSSPSNTP ITHISQPLAPTSSSSAAAIQPPDIFTVTTGARVQIYSIRTRKLLKTITRFDDNTRCSD VRFDGRVLVAGDETGAVQVFDINSRSILRTWKEHKQPTWVAKFSPSCATDLLTASDDR TVRLWDLPTEMSVRSFAGHSDYVRSGTFMPGAQSSNLVISGSYDQTVRLWDTRAEGRA VMTFKMPAPIESVLPMPLGTTVLTSADNQIAVLDVVAGKPLHMISSHQKTVTCLSVAS QGSRVVSGSLDGHMKVFETTGWNVVGGTKYPSPILSLGVISSGPQREDKHIAVGMQSG VLSIRTRLSGEQKAREREREREMKALLEGKLEEHDREVAKKNRLKGKGKGWEKRLRGM DFAGDGIDIVIDPEQGGKKRRKRESAWENDLRKGRYSSSLDKVLEGGDKITIITLLTA LRHRSALRTALSNRDETSLQPILKWVYKAIPDPRLVDLTVEVSMNILDIYSGNLGQSV HIDNMVRKLHRRVEEEVDRAQQAWQTRGMLDMLKV TRV_07342 MANTVDVPGLTAEQATFFHDNGFLVIPDFLDKDQVNSLLDTTNE LLENFDINTHPLTRFTTGDDPSSKSQHVGDEYFLTSNDKIRFFFEPGAFSPSDPSKLL QPKNRSVNKIGHSIHSLSKPFSDATHTGPVGARNAAIAKDLGFRDPRVLQSMVICKQP GIGAPVPSHKDSEFLYTDPPSAVGWWIALQDANMENGTLGFWKGSHKTGRIRKRFVRS NAGSQDAGGTDFVAWDGPNLPAAIDEESKGKEDYVPDASDYELVEIKAGSLVLIHGNV LHKSENNPSDKSRFAYAFHVIEGAEGWKYDERNWLQPPSGGFTRLFGNH TRV_07343 MYTAEINKKSKPHAKVIKHSVPFNGHPPPPPPMQFQQPPPYGFP PPPHFNQPYNQPYPQPYSQTYNQPYHQPYNQPYNQAYHPPPQQQNQSYFQSFNNYQLP PGQIVGTITFHDLSSKIDVSINGCDTSMKRPDPLASGRKFHTRSMGKLQWKEDGLFSS KQKLVDEKRNVIAKYDKGNEEIIVLLPPSQIDQHLDMIVVTGIGIIEAERKSDSDGEA VEGILDVIGG TRV_07344 MRIRHFASQNWQTFRERPLAEISGAVGDLGTFLPILIALTINDS ISLPSTLVFSGIWNILTGLFFGIPLPVQPMKAIAAVAIAGKYTAGQVAAAGLFVAICI LLFSVTGALRWFSGVVPIPVVKGIEVGAGLSLVVSAGVTLKGSLSWIQPSWADNYIWM IAAFVGLVITNVYRRIPYGLTVFILGLVFAIIRLAVSEGGILPGFRFWRPWLTVPSLL DWNTGILDAGVGQVPLTTLNSVIAVVHLAADLLPDIQTPTVTEIGLSVAAMNLIGIWF GSMPVYHGSGGLAAQYRFGARSGASVIFLGFVKVVVGLLFGNTIVDLLAKFPVALLSV MVIAAGLELASVGESLNTSSAWDLRSQEDRGILTGPISGASLDTDERKKRWTVMIVTI GVLVAFKNDGLGFVAGMLCHWTYGIPALVDRLQSRFSRGRVRLPTDS TRV_07345 MASCYGYLFFLWLTSIVSMVFAGGKPFNHKLCIHTDQINVEENA GRLVPLTQIKKYVALGDSYAAGVGAGPEISSECWQFADSYPGLLNRSSLLPEAHEFQF LACSGVRMLGLDTYTNRAERKLHRSVAEYIEKVENASVATLSIGGNDVGFFNLLNACI YNFYGPFSGSCEDRLDFASAVIASSQFADGYNAMLDLLVEKGDSPSFRVLATGYSAFF DDALTKDCNEHTLSYWPG TRV_07346 MNPHQPASPSRRYQGGYQLEDNPFHQPVQQPMTHLEMPSADRLQ YQPTYSVENIQNSYGHNEAYEEQHPYRPSPRPVAAGTGPLALSPEAHHDAYYTQPYEP AATPPDDYDRQHQSYPPRQYDDHVPILHHEPSYTPSNFDLSHTPHPVDTDGYTDDPNM ALNVAPTPSPAPIRRWKTVREVQLFNGNLVLDCPIPPKLLSQINHAEPPERDEFTHMR YSAATCDPADFYQERFTLRQRLFAKPRHTELFIVVTMYNEDEFLFARTMAGVFKNIEY MCSRTSSKTWGKEAWKKIVVCIVSDGRAKINPRTRAVLAGLGVYQDGIAKQQVNGKDV TAHIYEYTTQIGMEVKGTQVILKPRPGMPVQLLFCLKEKNQKKINSHRWFFQAFGRVL DPNICVLIDAGTKPGGRSIYQLWRAFDLEPMCGGACGEIKVMLSHGKKLFNPLVAGQN FEYKMSNILDKPLESAFGFISVLPGAFSAYRYVALQNDKNGQGPLEKYFAGEKMHGAN AGVFTANMYLAEDRILCFELVTKRNCQWILQYVKSATGETDVPDRMPEFILQRRRWLN GSFFAAVYAILHFYQVGRSNHSFTRKLMLIIEFICQTINLLFAWFAIGNFFLVFRILT ASLGTPDLLGKAGSILGVIFEWLYLATLVTCFVLALGNRPQGSNKFYMTMVGFWCMIM IYLTFAAIFVTVKSIQNEAREGKFTFATLFQNLQFFSIFVSLLTTYVFWFLASILFFD PWHMFTCFLQYLLLTPTYINVLNIYAFCNTHDITWGTKGDDKPEKLPSAHLKPGGKVD VEIPQDDGDLNAQYEAELAKFASKPPKETRVVSESEKQEDYYKGFRSAVVLAWIFCNF ALGAVVLSAAGLERVDANSKDNSQKRSIIYMAVVLWSVAGLSLFRFVGAMWFLVVRMF RGV TRV_07347 MAEAVFRHIASSRDGKTVTFSKLDSAGTCAAHLHDPPDPRTMST LKKHGITDFTHAARRVRTSDFTEFDYLIAMDQENYDSLMYSRSRVKEEGKLAEVRMFG DFDADRLAGGGGRGESEEVPDPYYGGRDGFDRVYDMVTRLSNGFIDYLEKKHAVDAAN TRV_07348 MAGLVSRVRPACRRCLSSAQPTYRIQARYSSSSSSSSNKDNDNG GRKMMELSESQKAYLSSALRVNHAGELAATLIYAAQSPPVLRSHPHLRPLMKHMYDQE AGHFSVFTEMLASHRVRPTAMYPVWQAAATFLGWSTGVMGREAAMACTEAVETEIGTH YNDQIRVLAGWMEDAEARGEVVPDELKQLVQTLKRIRDEELEHLDHAVENDAKEARPY EPLIGVIRLGCRAAIQISQRV TRV_07349 MTAADATLARSFRLFCFLLVFLFATKKVAVERMDHVMSLVLTSS KAKKAGEEKAEQNKRERREEKEEAEDER TRV_07350 MADLDAELLALAGGDDSSDEESPAISQQKDKDKGRQASPGSSSS NDQDDDADNMGRKGVARPVKRQRKRARAYDDEEEEGELSSSSVHSRRSLRSASMSESE SDTSPPPEENQGPIFPYEKLFYSAKDKSEIMALPEIQREELLSERAQQVDRHNQDIAL RRLLANREREEARAAAKKKRKAGSADLEDSQRKSSRKKTVLGGRKVGEPSDAIEAYKR QREQKGKRDEQRRRDASSRKNNNASSSPAREADDRDASGESEVEWDDKPQRRSVSPPR YDPPAELRELQRARIARSNFAQVCFYPGFDQAISNCYTRVSLGPNLSTGKPEYRIGLI KTEKEFPFIACSESPFTEAEFNRYRKTMAVDGLKMPTKSAVDKKVADINRLLAHDFTK EELEEKLRRQGTNDNKQLIYNRIQLERRRNEAIANKDEAAIAECDAELARLTGPKLAF GTTLVKPRPNEKTQQERLAELNMRNQKLNTENVRKAQLAERKAAKLAAAAVARGEAVA DRFARVKTRARTYYESTDGHLVPVKPEAAIADRSRAITPTTASNNTDATNTPANGTTP RSVTPSKPATPKVYKGVPVIRHRPTDEDNIAALDLDIDIEI TRV_07351 MAGMEELEIHSKPHKKSLNFGIFKHPGASGLAVNSAASTYSQTT GSDINDLSKENNGPAKQTDSSLLITEKLKGVGLKPIEWIGKCEADKISQGTYDVGASE GGNYALVFDNTFSKQISKTVTFVLLTYPTSCPPRSGHQVHHTQAIAGPIGSQAPSRPS PKLNATETEAEAKARAQQRGRPGFGAAAAGNSNGPLPTTDSSTSIAPSVHTGILSKRR RKRHQGYARRFFSLDFNSSTLSYYHDRNSSALRGAIPLSLAAIATNENTREISIDSGV EIWHLRALNNVDFQAWKEALEKASKQGDTAGEEDGSLKVPTLITRKHSVNKPKSQDWK TIERLVESVSASREKVRQLAKDTDPKYLMPTPDYEGNQSQANGVRRVSQPGDVSESKE KRSFWKLKGKSDSGSSTPNQRPALSPLGPQQTPPLSNEGSYFDGSSFKSGLGAGVHDD LMILLHDLNAVVSEFNALLSDRTRSRTPIAIRPAHSVTADSDSEEFFDAVDGGNVSPL LTIHQDSGEEDSKSVVTAVDDDAASSSGSDVDDRDEFLRGDFKLDSSSSLFPVKARSL APLPMDAPPRRSRIEPPIGLPPSLISFMRKNIGKDLSTISMPVSANEPISLLQRAAEQ FEYSQLLDKAANATDALERLIYVTAFALSQFSNSRIKERTVRKPFNPMLGETYELVLP ERGFRFIAEKVSHRPVQLAMQADSRDWSFIQSPKPTQKFWGKSVEIITEGKSRLTLHS SGEHFSWSAGTQFLRNIIAGEKYVEPVGEMCVLNETTGQKTIAVFKVGGMFSGRSEEV TVKAYDTHGEELPLGLQGTWTSSLQLTEHGNLTNKTIWAAGPLVDKPQKHYGLTEFAV ALNEITAVEQRKLPQTDSRLRPDQRALEEGNVDEAEDIKARLEEAQRFRRKEMEQSGE TWRPRWFTHVDVDGLDGGDDIWKLRTGKDGYWEERAKGEWTGTTPIFQL TRV_07352 MAITILPPTFDDFRNTARDVQDSDEDMDMGDENRPAKRARLSGI TDTIVTPGEIITDDPQWMRGHGTFTPAVPATTNIIATVAGTVLKTNKLLSVYPLRARY TPEIGDLVVGRIVEVQSKRWKVDVSAPLLAQLPLSAINLPGGILRKRTAADELQIRSF FNEGDLVVAEVQTVHGDGAASLHTRSLKYGKLRNGLFLAVSGMGGGSGTARGTGVVRS RRQVWTIETAYGGPIDVILGVNGYIWISKHVRDPSEEDSSGFKSKVSFTRLEDMVSTS MYSSQNDNIPLATRKEFTRIAGCIRVLVEGGVKVDQETVMKAYEASLALDDEEEDSDM EGDDGQGSTRKDGRYYLGGEKASRLIATVLSRGP TRV_07353 MEAMMATESLVGLESIEQRDLMNLVDRLRRAGLSSVLQLPQIVV CGDQSSGKSSVLEAITEIPFPRKENLCTRFATEIIMRRDVESAIHCKINPDTGRTEEE KLELRKFSKSIHDFTELPSIIDDATNAMGLGGKVAFSRDVLSVEICGPDLPQLTVVDL PGLIHSANKTQSDEDVELIKSLVESYISQKRTIILAVISAKNDYANQVILKNCRLFDP NGARTLGVVTKPDFLRPGSENEKSWLDLVRNRDIYFELGWHLLKNRSDDEHQLSFAER NSKERVFFNTGNYNELPQSVKGIDSLRQRLSELLFNHLKRELPILKEELDKMANSVHI ELEHLGRSRATIADQRAYLADFFGSANDLVAMGINGNYEEKFFNAVNVTKNIDGKENS SRLRAVVQYLNSRFADLMNQKGHKYYVYGCDGQSENKSSEEGSPEDDFISPAVRSSLG AVENLTRKEAVKRIVQVIKRNRGRELPGTFNPMLTSHLFWEQSSGWKAIAQDHANNVA LKCKDFLVQVLEYTATPELMSRIMTLTVVPALRAAHEAALNELERINDDRKRHPITYN HYFTDTLQKTRTQYWVDKVRNLAKQSAVHVSEKTGTGEPKFQTKEYFKPDKFLSGIDS LVEKNMDKFAAEQALDTLNAYYKTERKYFIDVIAKQVVERHLVAPLNEIFAPRVLARY SDKQIHFLAAETIDMIRRREHLESRYKILKEGQEAFYMAMGQGE TRV_07354 MKIPSQQVLLALPLLASPAQSYPGKHPRCSAGDACWPKEHVWQD FNSTISGRLIRTFPAAAVCHTAQYDAAACSVAKERWTDSFWRTNLTGAYSAILWELGE KGQCFINTPKEDRCDQGIVPYYSVSASGVKDIEKAVKFADKHDLYLVVKNTGHDHLGR SSGSGAFSIWTHNLKGKEWHKSFKPKGAPSNVSGIPAVTLQAGEQLLDVYKAAAAEGV TFAGGSAQTVGAAGGFMTGGGVSPFSHFYGLAVDNVLEVNLVTAQGKAKTINQYTDPD YFYALRGGGGSAWGVITSVTYKTHPKPTHIRVGIAQLNITTEDSRRVVIEKTLQALPD ITEAGWVGYGVYATEKSNPTAFQVIFLQPNATMENFNKTFEPMNEIATLPGVTGGAVS YVFPDFLEYSKNFLRDPNIATNVIDASRLVSRQVLTERASDLVDLMFEYPTTGPGFNS IVKVNSDERDNTAVHSSFKNSRALISFSVDWADNASEKEKKAAKKTSAEVSKRLAEIV GKETGTYLNEASPYEPDWQNAFWGDKYARLLSIKRRIDPKNLFVCNRCVGTDIILEP TRV_07355 MSNDTESLDMAETQSMPDAEPVAAAAAAAAAPASPAVTRSFKSF KKVIDWMILTVDSSRRKYGKQKIKFEAVMKDSTALAREEMRIHDLSKRLREQNDQLLE LLLELNNTVHIPRSLRYDLNKEEDSQLHTTMSDQPDSIPIVECDAQTARAKLNEAKQK LLAGEMTADECKELELSMLKSNTFAPTASITSLLKNVPHTTPSSDDAAAQDNDLEASL GFIHPEDDVDYLIPSEPRRIDLTNARSTSKHLPVADREKALLIRNPSSVYNWLRKNKP QVFAHDPEAPPAGTSSANAAGGGSAQSEKPAAASRPSSTRSKRASAQAPKEEEVYDED GVLVDVVEPTANAGSGGSRAKRKREEDGVYHPKGGNRGRKKRDDKRAKRPSTASTAAA S TRV_07356 MSDSSSSSRTPSPQPSRKRKHVPDEPPLEIDLNAPEPASKKALR KEKKAKIKSSSSGTTVPAVSEGTEKGNETQKPEKNDSNKKQRTGFGVWIGNLPFTATR ETLRTFLTSKSGILDSQITRVHIPDSGTKRKGVKQNKGFAYVDFTSQEIVERAIALSE ELVGGRRVLIKDATNFDGRVVKEADGDDLKTAGGNPPSTKIFVGNLSFDTTKEHLEEH FSPCGSISNIHVATFEDSGKCKGYAWVEFESTQSSQAAVRGFVKIPDPDDEIEEAEEE VEEEEEEEDGAEGANGAGTKKKPKKRRMKKVWVNRLQGRNLRMEFAEDSTTRYKKRFG KEKTETKEEAGSNNRPASEATQGSVNLKQKSKKPIKSYGQYSTETVQKLSGAIVEAKG TKVTFD TRV_07357 MKVAINCLTWLLAWKRKYTGQLDSSNSAINMACCKRITAAQVAI ATPAIATATIATWCAANKRQQNQQQHGQQHDWALTG TRV_07358 MDFQQNVRREDEKRWTIFFPVPVDEVEDEVEDDEVVSWIDRRRH HREIRREEEKKKKKMMIEMKRDDDITVEETAGSPLCLLSVLLLLLLLSDFALAAGFSL ASSLQLDFLSSLRLLLLFSSMFTVDCLLS TRV_07359 MAPHHRRRIGASRRTVDDEGEEDGFPGTVDDDSLSEGTVSSQSH DEDDGCSEITETEGGERSLLDGGRLSLRPKKSFSTSTTTPRGQGGGGGGTRRGREEGS GDGEGGERNSLFNARTSETDSMANGSTETAPKPVQEIGDLMTFDDDEEEETAATTTAS RPSSGGQRESLAERKRREQDEYFQKRNDPSFVPTRGGFFLHDNRSGSGNGSGRPKQIK SKPHGLIVDSNVSRKPQPDITHAPWRHDLHDIVNQPSRPPQGTSAATTATTTAAGSTT TTNNNNVNTSYQPKPVPTAPRTSPQNRSFSTTILIGNVPVIVFLPGMEAPIPFSAVPK RQHTRLPQHRPPLRRDKPVRISLPGQAPRYIFPSTERSFIFIPRALRPNQQASYRGRG RGGGSGGGGFYSSRRASVYSGSAYSHAPTHTPSVSLSMSMSRRSSMGRDMSVNGATPP LGSTMTMARPVVPPDSRPVVRLPPQVTPVQQPAQLQQQQQQQQQQQQQQPPPPPPTSV PPSTTGPYFAPQNPTYRENRPHPAIPMHQPRPQKTVSVADIESPAASAAAYQFSQQQQ QQQAGDQPFHHQVPQQPSQPMSMNGYAPTPEMNMPMAMAVPMAVPMPMPMPMPMYQHQ RHLSHPPPTSATPLSQIPERAIHAAPFQPVTYQQPGPGQQPAYYGQPYHHHHQQQHQP QQQHPPHHTHPHHNQYAAAAVPPATGTEYPYTPGGAGPGTGPGTVAHESNGTVYYYDA SQVSAPGSSSGTTTAAAVPPAGGVLGMGGMMTPPGATTYYYPHPPHVQNGPVYYQ TRV_07360 TRRRKDENETRAIRLHAEHSEREREREREIEKREIEEKEKEKEK KEKKNKKDKKDKKRTRDEMMTNKDRPSQKRERAS TRV_07303 MAPSIPRAPGSGTPSAPAEATHMTTTTIKVDGMTCGACTSAVES AFQGVDGAGEVSVSLMMGRAVVQHDSEVLSAEKVAEIIEDRGFDAEVLSTDIPRKENG KPTKESIPSQCTTTLSVQGMTCGACTSAVEGGFTDVPGVESATVSLLSERAVVVHDPS IITAEQIAEIIEDRGFDSTVIESKTSDPDSPRVMPSVKSSAQMKSTVSIEGMTCGACT SAVENAVAGLPGLIRFNISLLAERAVIVHDPSVLPALKISEAIEDAGFDARILFSEPD TSINSTSSTPLNFNVYGLTDASSAAALEDILLKTPGILSASVRLSSSQASVSFNPSQV GIRAVAKVFEDAGYNALLTESDDNNAQLESLAKTREIHEWRKAFLLSLSFAIPVMLIS MIFPMYLHFLDFGSVELIPGLFLGDVACMFLTIPVQFGIGLRFYRAAFKSLRHRSPTM DVLIMLSTSLAFSFSILAMLVSVLLSPHSKPSTVFETSTMLITFITLGRWLENRAKGH TSRALSRLMSLTPSMATIYDDPVAAEKAAESWKKSCNSMSADKPETTSTAIHSGQKII PTELIQVGDIVCIRPGDKIAADGVVIRGEMYVDESMVTGEAIPIIKTTGHHVIAGTVN GTGWADFQVTRAGRDTQLSQIVKLVQEAQTNRAPIQRMADTVAGYFVPTIITLGFVTF IGWMILSHLLPHPPKIFLVEGSGGTLMVCLKICISVIVFACPCALGLSTPTAVMVGTG VGAEHGILVKGGAALEAATKIKHVIFDKTGTVTMGKTSVAEAKMEPTWSTNEWRRQLW WLIVGLTEMTSEHPIGKTIVSKAKSESGVPDDGPLDGAVVDFEAIVGKGVSATVEPTS GPERHQYTSHIGNAVFMRSKGIKIPDSADSDDKKQKSKSEALKTATVIHVAINGQYSG TLWIQDSIKPSAKAAIAALHRMGLTTSLVTGDTYNTALAVANEVGIPSGSIHASISPL EKQAIISKLQTPEYPIAMVGDGINDSPALATASVGIALSSGTEVAMEAADIVLMRPED LLSVPASLCLSISIFNRIKLNLLWACVYNAIGIPFAMGLFLPFGGVTLHPMAAGAAMA ASSVSVVVSSLLLKFWRRPSWLKIERLEREVDEGLIDSTGQAYGKVGKWWKKSPFTSP VSPAESNLAGAFQFISRAFGRRRTPTEEDGYVPLQTVDNGL TRV_07304 MDQSQKQALEALQPFIHLANSSTTHSARFVANIITNATSAPNTF VFAELLETQAVQSLARPDTPEEYRSYLKLLEIFAWGSWEEYHATPNLPALSEAQALKL RLLSLLALSTTHNPLTYPIVMKSLSLKDHVELESLVTKAIYSSLITARLSPTTTPPTI NVISVAPLRDVRPQAVGGMISILTEWHERCQKAVEGIETEINTIKADAAKRRAMEKDR AYRFEKSEGGWHGDDDKEGEGGTASKESRSRMSFSKEGSLGGTAANAARRLFGGGSSS KGNKREFHATGGYSGNGQDSMEVDPSTLPRSMKVKLEAQNMHEKKERKKEKKRKGKEK ERKKKKKKKRILKRESEQEGKIRATTRFWRHGKGERRK TRV_07305 MSAPSLLENEYRNRLPTLLEVLGRRTLAPVDLFSFYIYMRDQQC SVDYLDFWLDVSQHMSLCRHYVRELRRSVLLETPEPEKFDSRPSTASQRHRGALPGDG DFANGAANGRSHGNGELEDSDQRLSAFLRSEGPASIRNSEESEYSRRQGSFPGHGRRV SNALNGNPYANGNGNGNGNETSSPGHTVTRSDIRASAVRILYTYLLPGSEREVVLPDS IVNDIVHMIEEEGRDDPEVFDTAKDYVFQAMERDAFPGFLQAKALGNLVPPSILARLV IALVSFGAGFWAGFFVILTDTPRNTRCWVILPFSIAAYFLSSYQYKIDPILALTGLSE YTFMNWTRIREPYVRALLVKRASVSLLLAVVIALALCLLFILVPGTRL TRV_07306 MAPVVVDREFPNAMFISIRRTDILFNFLGAKRKASEEATTPDSK ANIKKARTESPETHDQEETDAEKVKLVPYAEKPAVLEEREGKIEFRVVNNDSSRESFI ILTGLKCIFQKQLPKMPKDYIARLVYDRTHLSIAIVKHPLEVVGGITYRPFHGRKFAE IVFCAISSDQQVKGYGAHLMSHLKDYVKATSDVMHFLTYADNYAIGYFKKQGFTKEIS LEKSIWMGYIKDYEGGTIMQCSMLPKIRYLEAGRMVLKQKEAVYAKIRAFSKSHIVHQ PPKEWKNGVVEIDPLSIPAIKESGWSPDMDELARQPRHGPNYNQLLHLLNDMQNHSAA WPFAQPVNRDEVPDYYEVITEPMDLSTMEEKHEKDMYPTPQDFIKDAKLIFDNCRKYN NESTPYAKSANKLEKFMWQQIRNIPEWSVRI TRV_07307 MDITPVLSAVSTSTDQLYTLLRCIGFSRQASVQITPQGIRFSVE EGRVVQGLAFLDKALFTSYIFNVRNVHDGVTQPEKDGDFFDTSLYPRFTISLSALLET LQIFGISDSSQRPNQMTNIENTQCMHAFSTPALGMNRSCTIGYRRKGSPLCITLAEAG VTTTCELTTYEVEDSSQDSTPGEFDIPLQRDAIVFKIIMRSTWLHNAIIELDSTSPTV LSLSASPNKAPFFALSASGGPFSESTVEFAIDKESDGVDPAYKSFNEDGSSRQPKRNK LAPTVTETFLVEPPSSKSRITQRYRFSLLKKALGAMSASSKVSIRGDKQGVLSLQFMI ELNDNASTDASGPSTQSASRTATGNVSFIDFRFVPLIDEEDIVEELGDGL TRV_07308 MLCGEKEVFAQVKLAFKATSAAKMVVTRSLQLTVKKLTRQQKTL EGQLLMIKEGERTAISSRVAELDQIMPQYLGVSKAVLDSVIFCHQDESLWPMSEPSVL KKKFDEIFEALKYTKAIDNIKALRKKQNEELAKYKIMENHARDDKDKADRAEKRSLKL QEEIEALRAESHELSKEMRRVADLADKAWKESESYAEILGALEGKRIEAKSIQTSINN LKQHLVEVDESDEWLRSTLEQFESRQAEYQNQEESLKEKYMDLKELIEQNRHKLGLKQ TECGKNENDKAQFDRQVERRVRLIKDIARQNNFRGFDGDLDEMEINDFMDRIQKLTKE RNQALEKAKREAQNQLKDAQTLLNQLSQRKSALQEVKNAAKKQISMNDKEADTIQRRI DEMDVDEGKRAVIEARMEETEKNLEKEKDKAKNASWESDIQKNDTELRLLEEKSSKLN AELIQGTKKAGDLARLDHLKKELKDRERSLETMSSAHGDRISKLVDSSWSPSSIEQRY QDVLKEASTLVTTAERERDGTGKELELIDFKLKNVRKNLQQHSADVENAAKKINETIG DEPEEYPHTVKQKQTELDMARKDADQYAGLGEYLNKCLDAANDKKVCRTCARPFKTES ELQIFKNKLKALIKKATDEDVVAEIEAREADLENVRGVGTFYETWIRLTGTDIPALKK EQSGLETEREGVLAKLEEHDRIVDQRVESKRDIESLSKNVTTISRYNNEILTLQTQIQ DLSAKQDETGTSRTLEDIQDEIATLGENARSLKRISSKLTHELNQSRVDVGKLELKLR DLRRELDNVNFELEKKATLVSRVEEYRNQNSKQRETIENADNDIEGLVPEVSKAQARY EDISARGEQREKELQQEVSGLNDSLHQLDLASEDITNYIERGGPAQLERSKRELQNIL DEIKSLEAEQTDLTRELNNISTRLKDSESTKRQYSDNLRYRQESKALINVNREIADLE SQNAEVDRSRFKEESERNTREHNALAAKQASKMGEMKSKDDQLMQLLADWNTDYKDAG AKFKEAHIKVETTKAAVEDLGRYGGALDKAIMRYHGLKMEEINRIIGELWQKTYRGTD VDTILIRSDNESARGNRSYNYRVCMVKQDAEMDMRGRCSAGQKVLASIIIRLALAECF GVNCGLIALDEPTTNLDRDNIRSLAESLHEIIRARQQQANFQLIVITHDEEFLRSMQC GDFCDYYYRVSRNERQKSIIERQSIAEVI TRV_07309 MFLAISCSSWEGFQTHVRAHESQQKEVVCLGNRYYTNAMSLGVF AFAVLLPLLSLDRQRRDAISRVESETNIFHYTDEEVLELIAKNGQMEYEKWPALLEPL LDRLDHVCNFNSLIMALAGSLTAIQIVHNEFRIPQIPVYASFETIQTVPLMSQSQPTS ESLPNSNKENAPPSLAQSPQRPPVPSFSSQTSVSVDRIPDSQNSQLLSNGLPPPLIAE LSSIKNTLRSYFSQKPPHTVQRLAELILRPTQNYKTLPAYLRAVDRVVSVSSGADIFP LPVSLPADNILDASLANGVNGSTSTAFMLNDNSLGSDESLGGALLTPIPWLNNSTPSS DDDDIMQEASGQTQAENPPNSNQAPQQMGNVNQGQATPSAGGDAETDAPHVLDHESEE VPHARGPDVLGVQDLGLQNGKGVTMSLARSSPPPGSSGEAAPAAQDASADNTLDEDAP GEPDGDAEADADGDIDIMDTGPKDESGQDATSTPVNPAAGAGEGN TRV_07310 MSSDKMVDDSNWLQPVLGSYQAEAVAQSLARAQEFDLIPVGEKE RRPSSWTIRAIIRPEEPLYAVVVHHTITSMCKGEIACWTYISQGMERVGQKEVVFTVS RNTESERKKDFPNDPLRWIEIVYSMAKGGCCVGEFEHTDFQVADFLGRSDVNWIVYCP ACPIPNVDDFLLPQDYLQAIPLLVAEAEVAEKYGLMRTLGHLGASERWFPWPPWFDRY RKPCITASQMQGSIKEEMEFQHIKGLSCIKKGSNIILRVPKKSEPLLVEALLRHSPDQ AFPLNTVHHINSDSGLLWDNRDPQPRGYSAGNSNECMNLNYFAFCPGHDVDELVLVED GYMSDETWLKIRSCIKNMRPCHISLPELQFLLRLEKPSVPGYQN TRV_07311 MMEAPSQNGRARSSSTAAQSNNNSHFTQDPTNNQLHTSQSLSAN ANSNNSYPTTTSTNSQQFLFTTPYLAATSQEGLGFTTNVTGPERQFNQQEDFSQAFDT SFLDQLDQATSGINDNRDSQPFPDFDTSDPGFNFDDFNTFTHQDQTSGFNTSTTMMGT IQQHPTINPADFAQPLSSPQMPNSPHLVPPETASSPNNRPGSPAAASSPGTFYTPQHS RHTSLDPASAAYINTDAQSNWQGILGNSSFQGHRRAPSEHSDVSSVSHSPFLAQHDTF DNSAHPSAQPSPRLPPQTDPSLYDNAFGMESFTISERDHNNAFSPAHSPYISPRLSPQ LQNTELDPESSLLLSQNMPQMQGATQDTYVGTSAPPVPGFQAQQSRLSDMGQAAQMTP PSINVEFAPPSRTSTVEGPKLGADGDTLSPPMNRARGRSKSDPFMSRPMAPSLGVSQG GVAINAGSLSPSRAPGGTFSTPSSREASPVSKNRRQSTSSLDSRSYILDLANPQRPGS NTQDSKRVQKHPATFQCTLCPKKFTRAYNLRSHLRTHTDERPFVCTVCGRAFARQHDR RRHESLHSGERRFVCRGDMSSGRQWGCGRRFARADALGRHFRSEAGRVCIKPLLDEEA LERESLNQQQQQQQQGNASTSHLQPGNQPLTVPGMDGSGGSFTLPAALLAQYPALQGL QWDQIAAPVDDNSDIGGRSSFDASSGGEFGFGDEEEPVNTGFLNQPPGQSPQHQLVGD QLNPSTTGGAWPQPGQGWTNDYNGIR TRV_07312 KNNKKKQKEKNNTRNLHGRANSSRIPLKMDSQSRNAKKTPEEGD DRGRIRSSRSRNIRHDRRDQDPETGDGESSKLSWMSAGLLSRQTSNTLSRQMSIPNDS RPEERRTLAQKLHLFPYRPHSSNTSRDSSTNTSSSSLPSLVDPAAGSEAGPPSSSIPA SSSSTVSDPFSQSVTTPRQTAETGNVPPLSPLAELPMVAPRATIRGPSHDLPVYPNQS YAMLQQQIHPAPYRSPTLRTRSSYPSHSDFAFPQPTTPWARESNASPNSRTVGNTPIS SPGLFSARTPRTSPSIGSEDGQAGAHLHRTHMQEPKETHTVEVDRHELTGNKLINEYE ILEELGRGEHGKVKLGRHLKTAQSVAIKIVQRYSKRRRLGKLGNPEDKVKKEVAILKK ARHPNVVSLLEVIDDPNQQKVYIVLEYIENGEIVWRKKGLKEIVLVDKQRLDREKRGI PDSLSFIENSQQFIHNTQLRRRHIEALRQRQRAKGAQHTGVSGWSLEHGGESDNELDT DYPPMSKFPTASSAHSHDTPEFQISPQHSLTSTGEFDRRMREIAGFGSDNGLYGGYAS DPMFARRYSNASSHLAFQTESDWLSDDDDTGYVPCLTLSEARTAFRDAVLGLEYLHYQ GIIHRDIKPANLLVTGNHRVKISDFGVSYLGRPIRDEDEEQVTETDATELDDARELSK TVGTPAFYAPELCYTGVECEPTVGKDPKITGAIDVWALGVTLYGMIYGRLPFVADDEF SLFQNIVRHDVFIPTKRLKAVVADEPVSDHPHSHSYSHPHSHTQRSSSVSSSTRSEGE LAYETVDEDLRDLLRRLLIKNPSKRITLKEIKHHPWITRDIQDPKAWIEDTDPGYQSK GKKIEVSNDDVIRAVTKMPFIERVRSNVVKWSGSIFGRRRAPSSATSVDTAPSVSSGS SLTIGKDIIRELRRTSLRGDEEITRTPRAGREGEHPLSQSLVVSPDLQTDIKHPDYFG RVATSRTAPTSVNCSPVQEPRPDLPGRTVSKLSSAGSTKTIRPANLEMSFLNDPSSTA TGNDSFSSSPGGMTIFGGARNRLVKSLHLRDRRNDSCESPERGSFDAACHSTPSVAIS SASATGLVNPPDIAHESNTTPTPHASPRRYTIHRRIKSHQLRQDANLAGPPPAPLHRD FLFRNPDSTSHHHLGQDNATIVNADKDDFHIHTSPVHYLNTLEPESYEDDPFKYQPIT RPITSPPSAITMSSSSMDDYNSEMSHCASHPSIPSVVSGASSLSADGLSAYPVDLQYE KKSEKEHGTCTCPVVPPMLRTGDTVTDDSTKTATDDNKRPVPVEMESNDDDQRYHGDD EDEDDDSSDEGITFGRRKSSV TRV_07301 MEEKGGGRGWMSGGLFAAALQKNFSMFLMAETRRLFAVELPGRR RLACLPGFRSSNKKKKKKRRRRRKKRRATRMFEDEGRPLEEKKKRPAKAARESGLFGP VVLLVCSCRRFCDAESSGSFPPWLSHKPSVGSSRKDGEEKASIERLKKRTGKSQSIII FYEEEKWIGGWVGRLVRRSGAGDQARDLTFPERRLRDDDAPEPGDRPRLQQAAIFLRA EQQAEMPASFRCNELTSYTNGKFCVASPDLRLIDAGSMQSGGRLARLRLPLCARVTSV HSPDTRRIMHWRLVDPINSVHSPLLVFLPRYGNLTSS TRV_07302 MSNQNNISIAMTNRSLRNIRTELEFLADSSVITPAQLSSILEQL PSQTQLHAPLHQPVTESTFSPPAAQLSRVSLNEKAESPYSSPPPPPTYSSAPPVLSLA SALYAYTPTDAGDLALQPNDRIQVLEHMNNDWWRGRNERTHLEGIFPRTYVSVIEEKP IIHSPQPISYNNMPLEISQSGSSSHGKVPSKFEENGKKFGKKMGNAAIFGAGATIGSN IVNGIF TRV_07289 MSSRYLLMTQVSPLEQVKDQVVKEVSISMQGVWSSIAFHMCHYR DDLDELSAGQPPATTSNLTNLPEWKRWELGAILAHPTDWRRPKYTNLPDRAEMRAQNP GGG TRV_07290 MTRTVTSPPSCSTRTKTQRQHHQQHQQHQQQRDQAPPGLAEPPP PTSASPVPPPPHQAHTHANTHQFIYAHDEPPPKRTKLHHSPPQVSGQLALRARDAQGQ SSVVARPHSLPQRHIQTQLVTIRSPRNGDDSPGNRAGGCLSFAKPDATTNSATRTSDS TLVRPTRASLRHSRSTQVDDGDMPLVQRAPGGGEDADMQGVEATTNNEVHLKTPQGPS ATTPAGGEKRSLRSHDGGTRPSKSELAMYFPNYEQILSLEPAKQEFLCAETTVTLVDD LTEPITLDHEGLGTSSLPQNPLENLHNAEVVDVGSFEGTDGKDPLDEDTFFKAHRRLE RQEKQLRNLEKERAQYEKTQLDHLLGELQGHDWLRVMGINGVTDSEKKLYEPKRDYFV GEVTALLEKFRAWKEEEKRRRIEREQALLAEEDEQSDSQEEDEDDDEEEAEEEDDDEE DEGESGLVEGSSERTSTTAIGSLPDPDDVDRLAAHQLYQEVISATKNKKLKVQKSNQT SQPAKQSKAQPQQQPTGNKGLDSSKPAATYIEPNPWPTGPFLSFYSKPHLRESALKKH KRGRTRMAFGKPLPELVEASFRLPDEILTPEAIRAAQRRNRRMRREDGKPGNR TRV_07291 MATEKARDGEDKKQTKRKKKKKKKKKRRSSCSSLFCPLLSSLKK VIETNKYKLKSQKTDVEAEDEGDGGVAALISSRKEWKGTATSRGGTGPWLRRSSRSSP EENVKLNPTFPQSDNLQQNSLSSPLTLSPSTEVIRISF TRV_07292 MFFPKALLAAVAVARLASAQVVNPDDVDKTTREQWCLAQQTSCP LICLQLPGTSETPSANDCDADTLTFDCTCSNGKTPNATEYSQTIPYFICTESNNICVK NCNGDHACQSACREDHPCGAQSPTPPNSTAKPTMTATQTTSTSATSGAVYTGFGDGSA SATDEPKKGMAGPQALLNVGQMYGMVVVASGFLAGFALLL TRV_07293 MLREVAPRNARTRRILKAREPQVIEPPKQTLLLHGPKCPLPLHT VLKTFHSLTKPHSVLFHKKNENIHPFENTESLEFLATKNECGIAIYGSSNKKRPNCIT ILRIYDSKVLDMCELLLLGTQAEMEADAAAQKNAKSAFQLNVGVGMKPMILFSGTVWA DETSGVFKMLKSMFLDIFKGEETDKIDVEGLQYILMVAAEEPQDSGFSATNSSFPAIH LRWYKIRTRRSGHKLPRVELEEVGPKFDFRIGRVREADEDTMKTAMKQGKRPQDMEKT KKNIGMDSIGDKIGRVHLGRQDLSDLQTRKMKGLKRRAGIDDEDEDEEMGGMESDEIS EDENTSHKRPKKE TRV_07294 MASLNLSTNGPSITKSYQSVVNAPAPTGPAAASPTYGSWALFSV TTPLVNAFQQGGAQKESVLKVQSTGEGELVDLIEDFSEGKIQFGFVKVKDPNTSLPKH VLIAWCGEGVPERTKGYFTSHLAAVAKFLQGYHVQVTARSDRDLTPESIIQKVADASG AKYSGDASVPAPASTKPPVAAKPAFNPTRTAGSFKPTVRGNLPGHAANEPVDEDGWGA DAPPVTRTQLEKVESAYKPTKVNMKELTSQKSATTSGPAVEREAPSDVVKGGYQPIGK VDIAAIRRQAKESGQNVNDRPEIVKGAYQPVGKVDIAAIRAKAKGDSPSTISPAATGG SGGNDEQPKSLAERSAAFTTSERLTSLPKPKVTPKFGAAASFAGTKAPLPGGFESKPP VAAAPVGIASRTFADQGGKTPAQLWAEKKAKEGGVVSPPPVPEAQPKDTQKSQETGWK SSYTGKSWAPVQTTKTGASAGHAEAPGAPEQEKHEEQQEEPQTGNVGSIRDRFPHAAP IGVATYERSAPSPPPLDTSNKPTSGRGVPIPGLSQPPPAPEPAHEETQRAVPPPPPPA AEPEEEEQEEAPGSPIRVAMPVGRGATRDEHPAAPEQPAVPEVTQHQAVHEETHEPAK EEEQTYTAAPVAGSGIQAIAQYDYEKAEDNEIELREGERITNIDMVDEDWWLGVNSSG EAGLFPRNYVELVEGEDAAGGAPPAPAQPVHEEPAAAAAPPPPAAPPAAAAAQHTATA LYDYEAAEDNELSFPEDAKITNIEFPDEDWWTGEYQGKVGLFPANYVQLDS TRV_07295 MKKPLEPYSYISLLLVSISVSIFSDVELCNILSTASASTLSPSR ASARKKEPPPAKSLNSNKQKKKAKKTPPSRNKKSTKQHQHLFFRCPQLKPASPPAPRT SLFSTDVSSCLSLSATLAVLFPCPGLYAGDVGGRQLCFALLSLSRKPLALPGNLKYKL VKMTSLGDDLLVTVNKLQDLVFNTIGNDSLDLPQIVVVGSQSSGKSSVLENIVGRDFL PRGSGIVTRRPLILQLINVPSDSDDENEPHTAGSVQQHGEWGEFHHQPGRKYTDFAHI KQEIENETARIAGNNKGINRQPINLKIFSPHVLNLTLVDLPGLTKVPIGDQPSDIEKQ TRTLISEYIAKPNSIILAVSPANVDIVNSEALKLARHVDPVGKRTIGVLTKLDLMDHG TNAMDILTGRVYPLKLGFIGVVNRSQQDIQAGKSLAEALKSEAEFFRHHPAYRNMANR CGTQFLAKSLNSTLMSHIRDRLPDIKARLNTLMGQTQQELASYGNKQFSGREHRGSLI LQLMTRFASSFISSIDGTSSEISTKELCGGARIYYIFNSVFGNSLETIDPTHNLSVLD IRTAIRNSTGPRPSLFVPELAFDLLVKPQIKLLEIPSQRCVELVYEELIKICHTCGST ELSRFPRLQAKLIEVVSDLLRERLGPCSSYVESLISIQRAYINTNHPNFLGAAAAMSS VIQNKQEKEKQAAIAEEKKKRDRRRLKELGAANGVATPDEEDNVEEKQQALPVRGHSS KAARTGSPHTGRNPESISSALNGLQSASPPRLGSQHGNAKDSFLNYFFGKDGLPGSTP PPTSSGLSRHVSHAMEPSFSQSFRRNEVRSPSIPHFPAPQEEYAPTEYGDMSLANDNA EPVLTDREALETELIRRLISSYFNIVRETIADQVPKAIMHLLVNHSKEVVQNRLVSEL YREDLFPELLYEDDGIKAEREKCEKLLETYKEAAKIVGEVL TRV_07296 MSSDLSISPRRRPFLNANLPRLSTGHCDGRSPSNSSNTVRHATL RKEATFHVSASPSSPGDPVLHLPTLLRRSPTSPDTLQELSAARENRVAGWLGSIERNS TGPGNKSLSKQSSLEDDKRNLKALRGLSKRLTSANLMEIDSSFRNQVQTGPPGKENLR AELSDSGVGSSVGEGSLHNITRNVASSLLNTNYLADILKREARESVVSSSSTLSSGGK RHIQRHILLPLLKEQRLKNFHSLVRSVPQRIQSSEITCLRDVEKTLLYLAPHHTISRS SYLSFCEFTIQCVHTTVGYLNDHDQRRPADRPYTNGYFLDLVEQIRQYAALIRSSRER RATSSNRDELDYSSGEELVLEGGLASTGRPAELVRKKKGQAISLKTGEPFVEQKPEVP SLKRAPSMENQESVMRSMARRKKDAPPMNINEKCKHCDKVFRRPCDLTKHEKVHTRPW KCLDTKCKYYQLGWPTEKERDRHMNDRHSKSPKKYKCLYPGCTYDTKRQSNCKQHMEK LHGYKYVRSKNNGRASHQLSPQPTPTTTNISTPQAPSVDIPTPISAQAMSPYLSPSEK QSTPFEKTASDRSPSISVQDSVGYTPSPGVYPAPSPSIYSPSEVGQQNTNHDDFMLFP DSTSLNEYNTMHEDFNAFYADLQAADPSDTLPQLDTNLPSASSTPAGNFAAGFQAQSP LDLVGNNADLDFHFDVTENEYTSMNLQLLSPAQSIEAQAMNCLPEPENLCLPLPALDK NSSISPAGHGNVMLYSPASYESDEGFDDGFDCMKMDIGKQTGDFTLFSGFENNNMCSG LGGNESESWNISSMFPPLGTLNDQLNNANWPQQLGSREIDIDSIMEMDDTF TRV_07297 MGKRRQQREDNSDNDKENREETRFEEDDMKTRWRRAVSSTPKGA ARCCDRDSRAVENQLVISANMERPTFSFAASPCNTAASFARFWTSRWPGKPVALPPSA NQLLAAPWAGRTLRQRLGVIEQPLSGGRTVVNSDLLRLHPFLVCGSKKATDMGARPFT KVLSPTKAILPCLVSHT TRV_07298 MASTKFQQTYQSGETRYTRKFSASTVPKEALLTLRPIWPEKKGS KYAAAYITELDYFFNEDHINDAIKLMERNLLGLDMLGTLFQLLCVPEDTPISTPPKYS GTLAGGLGPFSLFTNLFNQLEDRSIQTSIEERRVFGAPRASRFYDAMQHAARDNPESP TYQTFEELDMVDIEMADSDDQPIPDSPPPMFSTTAQGVEEPPRRTPTETLVADFIVTL LGGLASLVQGLSPRPLCMANSFETTYQFGPPSHPTSLQHGGMQFRARVDGSIPFSLSV AGMPREAAIFEVKRAPRKGRGSGTPVLAQQAMEHAAYIWKCHASDSTVILHHTFTLLF LFGQFLLTKI TRV_07299 MAATSIFFHQMNEMVWSSEKNRYTSKPRYKGGLLTVRLPCRFIN ALSDNNPKATVVTFTRGKVRVVQATIRPLEKHPTLDLNLRAKYTLTKAGYDQNVAFDV LKWILDPPQAELETEP TRV_07300 MTSNGSQVAADDHQRRRRKEALRQRKNNKGPISSRLMLDIRLKG EVGVISDELLVDLFPRRGSHDVELFPEIIYVALAPWVPNFTTVEDVQWTIVPVHTQAS EKAKASFISGAAIHFPVAASSIQPFIQVLQGSDPSRSSFLLAQRGIEIHIVDVTPLHL DT TRV_07283 MNQMNMQGMNPSVAMPGNAVPVGVPGVGVPGGGMPMGGIPMMNS ASGSAPRSEPNQNLQDTMATQLNTYIYDYFLKRGYHDCARALVQDESVTLNTTTAPHK GTPHRRDGEVNGVESEEGKEDIKSRIPDDLPRPNLGDSQQTSFLFDWFNIFWDVFSAQ RKKPRSNDAMQYLQHTQNMLRLRDQQQNQLIRQGQLMPNQFAAMRGVRGNMVPANLQK SVLQNPQNMTPQQIAQLRNQQAQMMHHQMQREHSDMEMNGHRPQSPSSVENAPSPSKR QRIDGPQVNGAQMTPNGRAQPQGMPGQQNQQQANSLLMQHGINPRNLTAAQLQSFQSQ NPSVQAKSIQVYNQNLIHHTRSAMNNQGIPNGLINPGVMPNQPDMMQPMHDNQGMIPQ DYYSNGQMAAQMRQGGMPTPGAQNGNHALQDYQMQLMLLEQQNKKRLLIARQEQDSMA RDGQPQPGPAQPGQPGAGQQQQQQQPAQGMHNSLPPGTSPQGSRAGASPNPSEQMKRG TPKMPQTGLPGSPNVGDAMQPGRGSPASMNFGNQIPQDMGGAAFFNTMKNMQEAGGVV GPNGMRPPSSNPAYSGAQMTQPMDAMARQQQAQAQAQAQAGARMPSGNWQQPQPPQGQ PMIPPQQQQQPQQQQQQPQQQQQQQQGQPGQPVGTPQERNAMPPPQAPPVATAPNNGR QSPQPGSAAPPTPQQSSKPAPKKKDTKDNNRKRPAKRVPTTNAPSAAATASESEPPPT PTPSTPITPVHPNSFNKNAQANNAQPTSAPATQPQAVGQPPPPPQPPQQQQQQQPQQQ QQQQQQQQQQMLSMQPAQGQPPAAAQPGQAQGQVDPSQPFGDMSLTDNQSFNLDFSSL DNPDILENFDFDTFLNTDDQSAFNFDPNTPYLDGVEAGTGERM TRV_07284 MSSKAARIGEEYNCLPLVPRAKDLAQTHANLKFRIWKTKVDKVN AELVTLTYGTVVAQLCADYESDYLEVNRQLDKMGYNIGMRLIEDFLARSGMGRCTNFR ETADIISKVGFKVFLNITPTVTNWTSDNKQFSLVFEENPLADFVELPDDGRAQDELWY SNILCGVLRGALEMVQVQVEAHFVSDILRGNDTTEMRVTLVRYLEDELPPEE TRV_07285 MELTGHSGEIFSTRFDPTGQHIASSGMDRSIRAILDLQWSRDSH TLFSASADMTLASWDLESGTRIRRYIDHTEIVNCLDISRRGQELLISGSDDGSIGIWD PRQKTAIDYLESSAAMPVTAVALSEAGNEIYSGGIENDIHVWDIRKRAITYSMIGHTD TISSLQISPDSQTLLSNSHDSTARTWDIRPFAPADRHIRTFDGAPTGLEKNLIRASWD AKGEMVAAGSGDRSVVVWDVKTGKLLYKLPGHKGTVNDVRFTPADEPISKFSFVKGAV LRDVH TRV_07286 MATEDNAVSGLTMADRVQKIRSRLSALFAGGSPGSCNIENSHKP SRLSPAEIRMYAPGSGGSVRRERNISSGRRSISSTGSVIDNNAPPNDNILPFSPPSTE SGGHMTNSRAQHRVENHIHRGGVLLNWIQRSVEAHPHQPKHKPSRSKLAGWLLCMRPR AKARSTRRKLIDCAISGILLASVLTTCSSPSIPCFLCSIHILTICLDLVLAVTSSGKK FEFHIILIIALMACTVYFCHSLIRLLMTAKRRQVRRRRVHYNQPTRDPDMVESFTHID RPIPVIFATDLEMGLGTEAEEGENKPGQISIPPPAYGLWRGSVKMDPSRLYWQRIDPQ KPNRRHSQSMGEPRPPSTNRPPSYILDESQPSIVVNLQPQLQSTSTSSTTVPTEQ TRV_07287 MRSTDRRSHMSIHLPSSWLGYLLAISLWLTCTLGMLESQIKELR STLTTTYSRKETEHMFFHGFENYMKYAFPEDELRPVSCQPLTRDRKNPAHIELNDVLG NYSLTLVDSLSTLAILSYPNSTDLNGRKAWRYFQNGVRDLVELYGDGSDGPNGIGSRS KGFEVDSKVQVFESVIRGLGGLLSAHLFAVGELPIRGYEPPLEEKEFAKLWNKPLGSE GGIEWENGFVYDGQLLRLARDLGTRLLPAFYTPTGIPYPRVNLRNGVPFHPNSPLNSR IWTGYNTNEDYDEDGPVEITENCSAGAGSLVLEFAVLSRLTGDGRYEEFAKRAFWSVW DRRSELGLIGAGINAETGEWTQTYTGVSFS TRV_07288 MDSFFEYALKSHILLSEGYPPEFNASGPFHEYDSYFLPLPYQAH SPDSFLKVWEESFEAIKTNLYRGSSYQYPHYIQGDISTGATRALWMDSLSAYFPGLLT LAGNVEEAAETHLLNTALWTRFSALPERWNVATGDIEGGLEWWGGRPEFIESTYYLYR ATEDPWYLYVGEMVLRDIKRRCWTKCGWAGLQNVRRGDQSDRMESFFLGETSKYLYLL FEPSHPLNKIDSPYVYSTEGHPLVLPAKAKQTTPPRVQQKWKQHKNASVGTCGPPAVR NPFGVSSTAARPDIFHAASLARLRLTPPKTQPESPIVEFSVDGPSVTLSDLGSPSNYT YFPWTLPPSLVPRDAVSSPMAVRPTLDLTFPPLSSTIQGPPSLERVEGGILIKSFGGL RLGMIQDAPLYSGNTISSKEGFRIQVVSNIPLGKNEKVFVSRETTSALSPTDPNFTQT PDTIMMDIIIDVKPDIVYRNNSSSSAASLTDQGTIVSGGPVLDSSTAKADDHAGKPES HKSALSSLLSHVTALIRDELQHLPSVTPPIFRRPIARFSIPAISSTGIGSAPLPDEED ATFTPPSPGSKHAMTRLSWSSIYLAGHLCDKRLPSSIPQTHQIIVVKRGDCTFSDKLR NIPSFRPSRSSLQLVVVVSYPQPGEKRRQSAADEESSLIRPLLDEMQTVVGGFPRPRP ISMVMVGGGEKTYEYFSHALGVGIRRRYEIQSQGIPISNLIVI TRV_07279 NDPDHHRLTNCLRAYMLRRTHAETLFGRPILKLPDIDENTIVVK FSIVERALYQKMIGSFLDEHLNTMRTIDGLDNYLALLLYLRMFTSHLLLPQDVIKQIL TPQFMQEIEPEIKQSSDIEESEVFNSLQASWFANPPKPLDRSGKEGSDAGISTLFESV MGSSKEAANIMGCIECNQRQESVFILSCMHLCCFGCMPKLPRVGDEQITCRCGLTVSY KPCLDLQKFCRSKAIRRERGSKSRCIDEFEQDMGTRTIGETVLSAKLRAVKMFISNWL KESPDIKITIFTQFLGMISAIASVCEAEGWRYTTLCGKLHHRTRHANIKRFREENVSI LISSLKAGGVGLDLTMASKCILVDLWWNEAIEQQNDVEIIRICVENTVDDRLQLIQSR KSEHIRKAMGSTVLAQRDTLADILTLFGVEEDENADGGYRFLTEEEAAAQLKEKDKTP DNNADADAEAEADAEVLPGPEPKPEPEPELEPEPEPEPEPEPEPEGEPELEAEIEPEP EAKVEVEAGAT TRV_07280 MADEKKQLGAAAGLQDGYYNLGAYHRPISTRSQGAQTWFDRGLI WSFAFNHDEAAACFQRAIDEDPDCAMAHWGLAYASGPNYNMPWAFFNAEQLGYVVTKT HDAARKAEEKAQAPSVSAVEKALTKALIHRYPQDHPVEDCAIWNKGYADAMKLVYQAF PDDLDVAMLYVDALMNLTPWKLWDLVTGNPAKGAHTLEAKDILDRALAQEGGLSHPGL LHLYIHLLEMSTSPESALPAANQLRGLVPDSGHLNHMPSHIDILCGDYQGAVISNSDA VIADEKYVAQHGKMNFYTLYRCHNYHFKVYAAMFSGQSKIAVDTVKQMEATIPDQLIR ESTEMANLLESFVAMRVHVLIRFGMWDEVLALELPEDQYLYCVTTAMRLYGKGVAYAA TGRIEQAREAREAFRSAVKRVLPTRTVFNNSCADILAIASAMLDGELEYRLGHYDTAF EHLRHSVKLYDSLHYDEPWGWMQPVRHAYGALLLERGYVQEAAAAYRADLGLDNTVPR ALQHPNNVWALHGYHECLEALGKTDEAAKVAQQLATAVALADVPIRSSCFCRLRTVAR M TRV_07281 MDRQTLAAAKRRFWRSGKDGRRPELEREEATSPGSHFSLICYKK DHGAAAEEKEERLRHQIDSVSFSTMCSPDAFLAILAVLFPPIAVWIKVGVCSADSLIN IALCCLGYVPGLLHAWYIILKYPEADDEYPDGYRPIVGHGQRGDAEAGRVTYYYIAHE QAHPNQYHQQQQQQQQQRSYGTNVNPESRPAPPPPVPQKPHQTGGAPDQGSSSRPEGE HRAPPTYAEAVKGDNKIQS TRV_07282 MDSPFLRYVSNLNDFDEKLGSYVRNEYVKMKYQDILGCEGLNLK NTSSLYAQYTTSVICNGIIQNSKEPCRLSERSARPLCAESCVSCSPFLNLTVKIANSC HYQAMFATSEEIITVNQDLCRSPKRNHMDEIRADFTVCAIPANSLSGQCIPGTDNEPN ECGFGENLLGLCGFCSQTSINGTDTCCYAANAEQRCKNIKLPEISSLPPLFPHPTSTP SPTHTDKPGGGGLTGGQIAGIAVGTVLGCAFLIGLAVLFLLWRRRKRNESAESIFNQP TPPRTMATAPSYPAGGSSTNKQQKGYEPIPGGRVARMSALQGGSNPPSRHAYHDSDSD VFGDSPSGGKSRRIPPVTGRRNGSLSSASALAGDGDTLSPKSGSGAQFSSPEGVASGQ SEQLPYFRDYYSQDDIHPNDRVSVLWAYQPRAPDEFELDRGDMLKVVGIWDDGWATGV RVNEKAEDYDSKHKHSPLRDSGVSHGSEARPPSSPTTGEIKAFPVRLNLAPFLCYLFL NLFTNGSI TRV_07275 MVWRRNGGLDALVRRPVPTRWNRFITSPISFLASLIYEWRSSIP TRSIATISDKAITVVCISDTHMTRPHIPDGDILLHSGDLTQSGSQDELQQALDWLNTL PHQHKVIVAGNHDWCLDPSLKTSKTLSHSGAPQKFIDWGNIIYLQNTSATLDCCGRNI NIFGSPVSPKHGNWAFQYPRKENVWEGIIPPNTDILLTHTPPHSHLDLSFGCKFLLQE LWRLKHRSILHVFGHIHGGYGQHMAYFDKFQSIYESIIKGDASIISLLFLIYEAMKVL LWRAPTQGIWMVNASV TRV_07276 MSIHHLNYAFATINSDHTLGTTGYYADEFYRAFTNLKTKKPSLK CFLSVGGWDAGGKVFSVMASSAASRKVFIDSVIKTLEMYGFDGLDIDWEYPVADDRGN FSILIALLTVALKFVKLTNLLIGYLRGFDLKNMVKYVDWFNVMSYDIHGTWDGHSEWT KEVINPHTNLTGKTMRHYSQTFSNARINSLTAEISAGLDLLWRNSVPPGKVLLGLGFY GRSFTLGDPRCNSPGCSFKRTGDENSGGARPGRCTLNSGTLSNYEINRILKSKSPELV YNDEAGVNWITWDSDQWVSFDDARTLKQKASFANNLCLGGTFAWALDLGGPGTMSRPD ELNGNTLSLDGADLEGGDSGSGDVYISPEIYKVDKPGIACIPPCTFIMPRLTLDEVTT ITFPPYTTSLEVLWWTAQAITLPGGAISSSIGYIFNNTRSNQQHFTTPVLITNSVPAG AVAPPQNTGSGHQAGQPEVPHSGQPIHGGNQPTQTGGQLTQGAAQPSRGGSQLTQEGG QLTHESDQPTQRGGQPTQDNGQSINNNGQASRGGVQLSNNGQPTQGDSRPTPGRRPDT STTEDLLSRESSEVAKNTFGRHTTQPNPNPHNNPTSSPGDRQSTPSNTGHASERPTTG APPRISDKATNNPTNDHNSHPTSNQQSDFSTDIVIIPFPGGKVSRKGGNFPTPPPGSR MFTPKPYPLSSVRDNGKLPTVSFTSGPPSPTCTKDCGRKCQGAFCDCKDKDCTNHGKD FIDPNDPDPPKDLDRRKCIGPQCEDGNCKPGNLCSNFDCVGPDCHDGICLGPNCARLP CVGENCLPGCKGPRCKSPGCIGECNDDGECIGSHCMNFGCTGADCLPPCLGCPPVCKG PRCRAFKCQGKGCKNGFCTGSGCKQDTDDCERPDTAPRCTESIYKFKRSESVFSSTTK TKCSTVTACSVEETTVTKSTTIDKGKILTISEHYPVHTLLSDEKYQSIANSIHAAQVK RDKSRFGPTSTTKEEPSRTVTVTLPPTFTTAHVQDKGDLLCDNDGNGVADRKELILGI NFFCRKYDRYKFAGDEVGVWKDRTSPAVIVRGDCSKGKCKSYVTFRIGREKDHKDCPG DFVVSGRGDVDDQCGQNFRQIVDRCHTSGENHKGGGHFTTKCETWSINIRTNSYQEYK KEQWKKTLKVNHAPPDLHDPQWDDYFPGVVGEPPQDT TRV_07277 MASTTSSGPMPSNTALCGTPTQYDLPVSDVACGVPNQNNNRDLM KMCCNGAPVVAYDNGCALYCLSYKQSAKDLTSCLFDKKIPYHEAWCNSKNVNETATQT SLPSETGSPSESSSPTSPTGSGAPKPTGNSAPVARFSTAFAVIFTVGIIVGIVV TRV_07278 MAPSGTAGEHLSAKPPNNNSTSAESVNTYVSSDASVHETTTEPR VEVVEERREVIPSNAVPSTPPSFGYLFPSSRRLMVRHDDTTLDGNMNLRVTTLAAESP GRQDETILFHLRMYDLHDKKFSLRRYCRDSRREVCHSLRKPQVPKSRPGSSGHKHMSN PISGFLSHAGAGTRPSKGLRRPDSGYKSGLDDDEPIGSGKYAGSAYTPNRPPSANVIQ LEFSNYAHVELKRRGSVGSRRYEFEYWDAKYQWKKVTRNDGPSKEVSYHLYHQATSNP VAHIIPEPLTPRGLSIEARKGAWVPSSSMWINDPTLYEKMKDVAE TRV_07273 PKMLSRAILPLARQRAIIAGTRLSLTSSHARYYARDSKKPSKPS GYTIPSSAPSSGSLSDDQKPRVVPQPKTPATEKFSEEQVEFETSSESQKNTAPQSAGA GARPKQQSAENENEKSEPENVNQQEQQPLPDLTQGIPSTLGAEVESQSKRRSRGLNLT EDPEKESEDDGGRGDTPKDEYVSSLERRRNKMTNLTFAAFLGLSIAGTAYLGRNWDTK EEESAHPDAPSGWGFGLLFNRIKARLSDITSYYKDPAFEKLLPEEDPQFRQPYTLVIS LEDLLVHSEWTREHGWRVAKRPGVDYFLRYLNQYYELVLFTTVPSMMADQVLRKLDPY RIIRWPLFREATKYEDGEYVKDLSYLNRDLSKVILIDTHAPHAKRQPENAIILPKWKG DSKDKSLVALIPFLEYVAGMGIDDVRPVLKSFEGTFIPAEFAKREKAMRDKFEKQLAE ERAKRPKHSVGSISSLFGIKPAGSSIAGLEDGQSGGIEEGKMLWDQIRERGQKQYEMI EKEIRENGEKWLAEMAAEEEKAREEQMKGMKNSLTGFFGSGGK TRV_07274 GADCHYGEDKSNLIDQSAPTTSAETKPWSMPPDTKGLKRTHDEM SSASPARNLMEIPQVASKENLVKPTPKAEKEQGYRHGPGESVHIVGPVAAADAQVIER YSERSNNHSPDRKTPYNVYSNDPRKPILYTTVSRRRQGLRTTGTPGENQREIIEQVLG PFKHDLVKIFLDKINASFPIFDEEAFLSGYEAEDDSVPPALLCQVYATSLIYWNQSPV LAPHPKPDVRYAVNMAVAALHEEFSAPGLSTLTAALIDLTGRPIFSTTGNAINSGRTV ALAHCLGLNRDPSNWKLSRAEKNQRIRLWWGVVIHDKWASYGHGVPPHISRNQYDVPL PTISVLVPHANPTQQRVRTAHCYIALCQLTEILGDLLPLVYGLQPRPSKETSKILRRM RSDLDRWEDSLPDWLKVPQDQDTPSTSGASSMQLAFLALKMLVCRVELQEVNPSDNPN TEARRYFQTECRKAAEEIVQFMLSLRKPQYQEFWMSSTLLVRSALETSDPEIARSCLN NVSILRGVLEKARSENDWDVGDMCLDHCEKILSKHTTEEVVQASELNRNATINHHGSD APETVVMTPYDRANHNDIVDDMMSISGTFGTMDGFPFDMTGIWDVSGLQDQDTNFINM TPLP TRV_07272 MYDVGGHVIFSHYKYFDDCIDEALPKPDDWYTHQRISYVRCKEA WVPYPFQNNISMLPKEEQVKCIDGMIDAAMEARVANTKPKDFDEWIVRMMGVGIADLF MRPYNFKVWAVPTTKMQCAWLGERVAAPNLKAVTTNVILQKTAGNWGPNATFRFPARG GTGGIWIAVADTLPKEKKRFGKDAAVTKVDASNKSVTLADGSVIKYQKLVSTMDVDAL AESMGDKELISLSKGLFYSSTHVIGVGIRGERPERIGDKCWLYFPEDNCPFYRATIFS NYSPYNCPETSTSLPTQQLADGSKPTDSSSKPGPYWSIMLEVSESSMKPVDNEKLLAE CIQGLVNTSMLKPTDEIVSTYHRRFDHGYPTPTLEREGVLTQLLPKLLEQGIYSRGRF GSWRYEVGNQDHSFMLGVEAVDNIINGAVEMTLNYPDFVNGRQNNERRLVDGAQVFAL RAKN TRV_07257 MATALANQGHVQLQSVCQNCGTSKTPLWRRDEMGSANTDANNQA TPRSDGTTPYGYARASRKLSPGRSDGSNSPVSRTDTPNFHGSTSIPSHNMFDNVGLSD PNFNPSGNFASLQLQHPSPGSTSSNERHLEGPQTYENLVAANTSLKTRVNELELINDL FQDSESRLRQSLKEAQKREEELKRRISELEGRLSGQEQAQSKDEPVEPQTKRCRLSDS PEKVEVDNKSSADV TRV_07258 MVEDGADEDEDREGEEKANRLLEQKQATQARLHRQGHGLQHNPC KDLIEASNKKTRRRKTESKQREERNKEEEEIAGEKKKKKKKREWKKQASKQGEVQVSL NWWRIALLALLLGASFASFFSFSVSRLVVSLLLSCLSVYLSLLVFDAGVDAAASVYQL LVRGLKEFPCLSLLLLLLLFCFFLSGAFFFLFFAFSSLFFASSTAYHSFDTLGIRPSV RGMLLFGRDETTIMMIDDDDDDDDDDDDDDDDDDDEMMMKQDYKDGRDKCMLYCYSYI QPVVDSQPGVEYSRFPVMHIHQCSLSFSNDQSRWLPRRSRRALFCFFFFFCFVYQKQK TSKQTSRGNCTMDTRLDFVPGPVLHSTPPPPLLRPWFLQKRRRPLTGPRWLSFSPPSH SFSLRSAKIASSSNLQEVRGAWSKQARGWIKDNSQLTLHGLVILFAGKHLMGLVAFSF CCFLCLLLRLLPRRGGDALAEGWRPPPLSPLQTPFSCSQSLSLRYAAPFFWKQASSSL DSFALRALLLLLPLLLLLLLLLFLLL TRV_07259 MNQTQPYMDVQSSHLASSQPYTSQGTPTENSISHYPQYQQPSLL QPGPTAYAPSHSYPPQYGYSNGITSPPSNQPVPNPLNGQGPTQILPLPPPPGVKPRVT ATLWEDEGSLCFQVEAKGVCVARREDNHMINGTKLLNVAGMTRGRRDGILKSEKIRHV VKIGPMHLKGVWYVESLLFLTQKYPELTSRRIPFERALDFANKEKITDQLYPLFVHAI GSLLYHPVNENRANAIVTASDRRRIESSQPLGRPGPGGHPPPLHHHHSMQNPTTTHMS QPSSLATMPHPMPGRPPLDRAHTFPTPPTSASSLVGMPNQPGSYEWNGQNMSNGVQSS QPLPLESGMNGTRSMPTTPATTPPGANMSAMQAYQGQSAYSDSKAYYSSAPAPQSHYA SQQPVSQQSISSQGNGHVNGSNGANTTEPETTEQHQGTDSEYINDSNGNYNSNRASYP AYPSTQAVGSMPSEHTPLPSEMAAPPPHQNGADKRSSGPWPSTYTPRSGAPSSVYSVM SDTRNSPANNSGTATYPDAYSASTSTPSYSSSMNGSSKRSRDEDDVEEIPRSDSRGEG SVYEHKRRKTLIDSASGPIVPASISLQSVQSGNFPRRI TRV_07260 MPNKDTKITPSLRQILTTPSNAALETFDAWNSVSTGHQRAEVKQ SNSSGWTQVRSQKLSAQYGGNPKAIHGEWAWLDKQGRKKLGQLNAGNGDIRRFMSTGK RRAGDEVNGKSEVTKRLKSMQGQQHEHSHEHGQVAGDDLPIMSLEQSRGAVCFDERYD RTSMNYYESYKINDDTHANKDKRIFANLTIYINGSTMPLISDHKLKNMLVDHGAKISI GLARRSVTHVILGYPNGAGEVESGGAGKDAGNSQPGAGGGLAAGKMQQEISRVSGMGV KFVGVEWRVFPLLGLLHCFVD TRV_07261 MFDEAVLAARSGGSPLGSPSSRPPAFKAVGITLAVCSGLFIGIS FVLKKVGLLKANVKYNEEAGEGYGYLKNFYWWAGMTLMILGELCNFVAYAFVDAILVT PLGALSVVVTTILSAIFLKERLSFVGKVGCVNCIIGSVIIAMNAPTQSSVANIQDMRH YVITPGFLSFAGVIIVVSAFLAIWAGPRYGKKSMFVYLTICSLTGGLSVVATQGLGAA IIAQIMGTPQFKEWFLWVLLVFIVATLLTEIIYLNKALNLFNAAMVTPTYYVIFTSAC IVTSAVLFQGFKGTVISITTVVMGFLQICTGVVLLQLSKSAKDVPDAAVFKGDLDQVR EVAEQEEGELEPKADAIRGTAAIIRRISTPRQKMEQEEIRRFHMDQRLDELEPVGENE IIEWDGLRRRRTTLVNGVPATSPRRRVQHPPLGMSRFPDYSEPEVRTPREGLDGSLIG SIRKRASTALHPYWRPSGTISPQPMEKDGRLDSPKSMPERPSESDPFTRHELLQAFQY NKAIRRPRSETIDSVINEKSRREEKAPLFDGSNDSSNANGNGDSKEKAESTSPPPTPP AHRAHRQFSFNVFRRNSSSAPPAERLTTPTKTPHLHLPHFISHHAHSSSSSKPTTTEE EALGLSSGDKGKTRSPSPQAKAPSRPEKITRHSHGNSSESLRHVLSASSSSAGESSST PSPSQSQSQSAQHTEELTRPLTGTSEQDHRDIGPSDSTCSFPKFDPSTFPHSAMTSSP EEARRGRKERRGNVRQSYDSSRGDSKGAKKDDKNPSKK TRV_07262 MESSSGLRRKDTTKGPPLRILSLDGGGVRGYSMLIILQELMYRT YVECEGKAPRRDQIPKPCEHFDLIAGTGTGGLIALMLGRLRMDIETCKEVYIRMTRRV FETDKTIAGIPYRTTLFKASKLEDAIKQCVRDHTVDEEEGNDGTTATSANPFMRSAST ATLQRRLSRSSVSTTNTSVPTSPVTSQTLTVGGGQVWGDPNAKLYDNRENRTKTAVTA VYKGTPKTGTSILLRSYDSRREPPPEFNCTIWQAGRATAATGLAFKPIQIGQHIFLDE GAGKYNPSPQILDEAVVNEWPGREVGAFISIGTGKRPQGATSPPHEWWEDVFRDSLGN FAEARRRLIAKIEGCEATHQFMVKDHLVKRNVPREVYYRFNVEVGVGEFGMNEWSRLA EISTNTRMYLAKNEVQRMNYDAAVRLARVDRMHKRLEGRSGVPLAATNEALEERKNQP VPPIPPLKYREEAVDENVFELPAIELPAEEHLQQNRTPIQSQNQSHHRPGTSQSSTAI SFSSYDDKFAVLPGNDDGRDLPEPVSGSAGRRSSEVLPISPARRSQDSHSQHQPSSSI DSSTRASSLDAHSRPAGPPPTGPPPPLPPKTPIPYPVSSEDVTVGAVSMPSLPPRNPD RPVSIVGPPRKYKLPYPDDGPPPVNRTRKPTYNSS TRV_07263 MDTVDEATETPEVKKLGRRLVLCFDGTGNHFKGNESDSNVVKLY QMLDRHDKRQFHYYQPGIGTFNVNMSSSITIFGRMRSKISEIIDKAVGTSFEYHVSSG YRFLMRYYKPGDDIYIFGFSRGAYTARFLAEMIEHVGLLSMGNEDMIRFVYGAFSKWQ TIRGKANKKPKDIEVEDYLQKLKVTFCRKEVGVFFLGLFDCVNSVSTLEIPFSRREYR YCAPSPAKHVRHALSIHERRLKFKPALFTFESEDREASVKEVWFAGNHSDVGGGFAYE NNARFLLSDIPLAWMLEEALSVIDAPAGPLTFDDQHPEALNRLKTIEAILDSEGEPRV AGASGVVPEAEVSHFRHHDSLIIGRGAEWHMTIIWWFMELLPIFTRLELENHQWKPRY WPPNCGAHRDIPTEATLHHTVEKMHKAGILKKMPKLGGDKAPLLNDPLIVPRYIGKLL TFWR TRV_07264 MLLKVKPDGQLQNMHIDKFPQLSLFLTDIEFPASADQTNGGKVN GNAKDGKGWITVTYKNSSNPHPESGVGNTLRIPLEPVTSNLKKFEVMMHRSPTTAYDM GVEYHSWFSAWLGFKVVFAYIGEHRRLALGNFASPGVNGGWMSTISSMIPVPIPGLVG TKDASKVLTFADMAPYLVVSQTSVDDVSSRLPPGEPMDVTKFRPNIVLAGAQTAFEED YWAELIIGEGVKVQLRNNCVRCVSVNVDFDTGAPHKGEAGTVLKKLMKDRRVDKGAKY SPVFGRYGFLHREEGASEAVIRVGDAVKVSKVNAERTTLSEFPVYSIRTADIANIPHA EWPGMTN TRV_07265 MSTAPADGLSPQKEKRTASVAADENMPAHHFGFSCVKKERKERK KRKEVEAERKKREKKKGGASSGSHDYISQMDDSAIAFFAPVFFAPGDIALCSQLNYAA DREDIEMSLRCASAARRFAVPRSFIRPAQFVRWQSSTAGNHNEKRRQKHFINLIPSEN FTSQAVLDALGSVMQSYRGLFLCVVFDDWWPDLGTDKYSEGYPGARYYGGNEFIDQAE RLCQERALQTFSLNTEEWGVNVQALSGSPANLCAYSAVLNVHDRLMGLDLPHGGHLSH GYQTPTKKISAISKYFETVPYRLDESTGLIDYDKLAELALVYRPKLIVAGTSAYSRLI DYPRMRQIADSVNAYLLADMAHISGLVAASVIPSPFAHADIVTTTTHKSLRGPRGAMI FFRKGLRRTDSKGNKELYDLENPINASVFPGHQGGPHNHTITALAVALKQAQSPAFKE YQTNVLRNAQALAARLGNPTSAGGLGYNIVSGGTDNHLVLVDLKNRGVDGARVERVLE LCGVASNKNTVPGDKSALKPGGLRMGTPAMTSRGFAEEDFARVADIVDRAVTITQKLD KAARAHAEENKRKNPGSLKAFHDFLGEGEEVSEIVQLRQEVEDWVGTFSLPWTDAE TRV_07266 MRYSVAAAAAMASLASASIMGTGSPMSDVVTEVVTGFETYCSEP TSLVYGTQTYTMSAPGTLTFTEPCTVTRTLTSSTATECSSCPTTAAPTSAPTGGIPGT GAPVPVPAPTGGSTSIYTPPTYPNSTAQAPPMGTASGTGVITAPLPTYTGAASRAVVG AGAGLAGLLGLAALLL TRV_07267 MLDAGCWTLDVGCWILLKPDAYTPEADEGATSRDDSRAMEASKT EEELVGGLQQLSDEELQLEKKLRRKIDSLIMPLVILVYLLNYIDRYVYSASLLVNYIC DANGGRNNYAAARLQGLEADLGLEGSQYQTGLSVLFVAYILMQVPSNLLLNYMGRPSL YLGGFTVAWGAVSALTSLVHTYQQIVVCRFLLGLVEAPFFAGVLFYLSKWYTKRELSL RMSIFYSGSLISGAFGSLIAAGILNGLAGARGLSAWRWLYIIEGVITIAVGLVIVVVL PDFPDTWKLLPADLKHVANKRLAVEAAEADVDVPGGMSQLRGLRLAMTDVKTYAIAIA YMSITAASGFQNFFPTLTQTLGYSKIISLLLVAPPYLFMVVYSLAHSWYSDRRNNRFW FFIYPIPITLVGFIIFMTTDAFGPRYFSFFLMIFVFALNGTLYGWIASAIPRPPAKRA AAYAFINSLGNSASIWTPFTYRNGDQPHYRLALGVAVACQLLAAACVVFLRWYLQRQN RELARYESVGLEQLTDKELAKLQRTAEIENVDVHQARLLQLGFRYQL TRV_07268 MIQKGNSITNGKQLCLNHFVETYDPTIEDSYRKQVVIDQQSCML EVLDTAGQEEYTALRDQWIRDGEGFVLVYSITSRSSFTRIQKFHHQIQLVKESASSGS PTGASYLSSPLNSAISTTPAGPVPVMLVGNKSDKAVERAVSSQEGMALAKDLGCEFVE ASAKNCINVEKAFFDVVRLLRQQRQQQQQQQQLNRPSDRRTTGFGGQGGSNRDLNGSD YQKALRPDRSKTHRGVKCMIL TRV_07269 MKPQDGRAGQWQAGEAVWADRGAGMKMKMKMKVILHRYGRLEQV CTMELLKETRWIDSISRLRIREGNYNRTKKYKKKKKKKKKRRRHGIYTVYYISKRDVH PTLSTLAVMIDIIYICNQPPGQRTS TRV_07270 MPALHPQDAVQSLALTHPEQFWARHAAQLHWHKKPSAALRMGTR TLDDGTRHQSWSWFPDGEISTTYNCVDRHVRAGRGADVAILWESPVTGREEKITYSQL LEEVEVLAGVLREQGVQRGDVVVIYMPMIPAALVAALAITRLGAIHAAVFGGFAATSL AQRIEASQPKVVMTASCGIEGKKGPIEYKLLVEDAISKGSFKPERVIVWQREELRWSP ICEDQGQRDWRRLVDDAKGVVYGLMRCQFGVMKDYISYIPVGVLREAGGHAVGLNLSI RYLFDIKGPGDVMFCASDLGWVVGHSFILYAPLLAGATTVLYEGKPIGTPDAGSFWRL IEKHKVNALFTAPTALRVIHKEDPNSELLHQVGQRGGLKQLRGLFLAGERSEPSIVVA FQRLLEQHAAPGAMVIDNWWSSESGSPMTGLALRPDLGVVNGKVPKTRALRAKPGSAG KPMPGFNVRIVNDEGEEVENGTMGNIVLAIPFAPTGFTTLFHDDKRFYHGYLKRFSSR WMDTGDAGMIDQDGYVHVMSRSDDIINIAAHRFSTGAIEQAILSHPDVAEASVVGIPD SIKGHLPFAFVQLYPSAKTASGIPATPSREFFQEVNALVRDQIGAIASLGGIVQGQGM IPKTRSGKTLRRVLRELVEKAAEGKYDAAVNVPPTVEDPDVVKVARRRVKEYFLQQAN SQRSSNNNNKLNTTRSEGRAKL TRV_07271 SARLSVCLTRKKGAHSTRERERERERPAVSFMHCPTPTIMPPTF QSPFHKDIPENAGEQAEEAKRDDQKKEKAEKGGRAFYFCKRDSQRCGIWRDGQNGLPC G TRV_07256 QSQQSQQNKRETKTKTKTKDKDIERDRETQGPDDQTTSRRCRLA EMRLSAPSRFTTSVRPRSTQPKSKAAASTTTTTTTTTTTTTTPTTTPSPTPTPTPTLS RSSSRPREQLSHYRLLFNNVRPQLTDDQFAKMRHQEPVQLPFDTAGNGPVGIPDGPPG AGEGSDGTGRGILIGLLSAFGSAGVALLVLALFFFRYTQRGRILLDRIGRPGEYDDEQ EFAREEAEALESMDELQAAEYFRAKAFVQANPPETMQTDISLSQFLAIQEKGVSAWEF EPELEIANCFVEGRTEIEFFDSECGVQSNLPVPKQNDVYYWEAKIYDKPETTLISIGM TTKPYPLFRLPGYVFFFFSFLSFASSLLRTLMLMGVSGLGFHKSSVAYQSTGHRRHNQ PFNPTPYAPPYVQGDVVGVGYRPRSGTIFFTRNGKKLDDVAHGLRSQNFFPTVGANGP ATVHVNFGQMGFVFIEANVKKWGLAPMTGSLAPPPPYGSEQGSILLEAGGESSIAAQE AAGHSHHLHGHQMHGSTTAPVQQWWHPEYIQHHAQHAHQVLQHQRQQQQQQQQQQQQQ QSQTHARTRSGTVRGHSLHPSAQAPSAPVRTPTDISLGPLPHIASPSASSTAGAAAAS PSTVTSDAPAGSETSEATTVVLVGNNGNNSNSNNNSYSASNNRPPLDDVPPPEYRSPG HSPPCGQAGGPPGEVPPIPSYDAAVRQSRERDGPRDQNDS TRV_07233 ESDAWSEKPPAAKAQTGRRRKRRRPETTRRDSQGPSWSFFFFLF ARPCLCPFPAAIQGMPSLPTPPSASTLLSQLGRCTRHKNSLLPPAVISPPFYSSIRRY HIQQRPHSGLFSPLAARNRTLATRKVTVTHSSPRLGAIARQLSSTPASQDKMAPIDME GVEKYDYIVIGGGSGGSGGARRAAGWYKAKTLIVENGRSGGTCVNVGCVPKKMTWNFG SINEHLEHAKHYGYDVPKNIKYDYGYFKRTRDATIERLNGIYERNWNREGIDLVKGTA KFVEPKVLEIDLMDGSGKKMVTAPHILIAVGGYPIIPDIPGAEHGITSDGFFEIEELP PKLAVVGAGYIAVELAGVMNAVGVETHMFIRGDTFLRKFDPMIQETMTKRYEAAGMHI HRGYTGMKKVELLSPGKGAEKRLKLTFDDGSEMEVNELLWAIGRAPAVTKLGLKEIGV KQKESGHIIVDEFQNTSVDGIYALGDVTGQAELTPVAIAAGRQLGNRLFGPPELKSSK LSYENIPTVVFSHPEVGTSGLTEPEAIEKYGKENLKIYHIKFTDMFFSVFPAEEKEKN PTEMKLICAGPEEKVVGLHILGLGVGEMLQGFGVAMKMGATKKDFDSCVAIHPTSAEE LVTLR TRV_07234 MSPSLPESYAALPTEHIKLSHVPASSPTPTPVLLLTLHRPEKLN AYTEQMSREIASVFGMVDIDDRVKAVVMTGSGDKAFCAGADLDIGFKGMGTGGAAARE KDHRDGIALAIHNCRKPTIAAINGSAVGIGITMTLPATIRVATAKAKIGFVFSRRGII MEAVSSFFLPRLIGYSRALHVATTGLAYPAEHSLLKDLFSELLPTPEATLARALEIAD DIARNTSTISTSLMRDLMYRGPDSAEATHLLDSSLIYPLFGNRDNEEGVKSFFEKKSP QFKGSFNNPKDVPAAYPWWNQVDVSPPKLASKL TRV_07235 MKKLDETKDYKTIERSRDSHETVSFGSPEMGRPVVISPNKSTAT GVCCCVAGSEQILLMLSAAGHQDTRYKTGPDSFGGSQSSRPGSPLFRPNGTHILNKLI TFLRAQYKQYGFREVLTPNIYKQSLWEVSGHWQNYKDDMYEVGGRGDTGETSDTSENE IYGLKPMNCPGHCLLFKSQKHSYRELPVRYADFSPLHRNEVSGSLSGLTRVRRFHQDD GHIFCRPGQIGSEIQLALKFADTVLQTFGLADGYRLVLSTRPEKDFIGSLEQWENAEQ QLRQALDNSGLSWELNEGDGAFYGPKIDLQIQDSQGKYHQLSTIQLDMNLPQRFELEY LVPEGSEEYDPATGGRATPVLIHRAIFGSLERFFALLIERYNGQWPFWLSPRQAILLT IGKGLEQAAEDAVAKISGFEPITSSRPSSTGLPLSPLQPTFNVDLDLASRSLPKKIHD AHAARYNLVFIMGPKNVADGSVDIDLSGQVGGKSEDEIKALLDGIPCWDISLSAKPGE RTTAKMKMKVDDVHAWLVNLERRFQ TRV_07236 MRVYILEAELAKYRNEFQNRNGGDTEQQEQQGLKIEESDRPSSI DSLEQHREDDKEAVDLLSRDIEGLTVEDDGRISFHGPTSLFQLPSGLAEAANPALPVD NESDGRKERLINNAWRERAFEQLTTIPV TRV_07237 MKMNGPYFSEILLNAILSHSLRWCRDEPKIARMLDAYDGGQQFR QRAVTGLFESLKGGNGQITVVQTLLLLSAQECGRGNRTQAWLYSGMAFRLLEDLGITI DSRKYSGSVQFSDEDVEIRNRLFWSCYFWDKLVSLYFGRSPIIQDTPVSPPRILLDDT AEIEIWTPHGVVFPEGMHYPPTQAHSTSCFMKMCSLAEILNQIIIHIYDPVRQSTESQ IQECVDKQGANLEKWWNELPPFLKLIPNNLPTYCPPSHVVTLKYVICPCSSSVPLTNS SISCIYHTTNILLHRPMLCSRPFRSRANAASNANHLVQCLSSASSNITLYDLFRRTFG DSHVVLSLAYSLYTAASIFILEIQALKYASPSTLEKLRYCILALERVKAANPANLELV IHTALGLIEKELKKLRINIFDTPANPDQQTIPAVSPQTTTSSPPSSLDPLHSQHMYTL PPHGAFSITPPPADPQQAHQQQQQPLPHQNTTFMMDTPPLPDPPDFIAQDRMYEIAPE LFEAFSYAEPNTPGGVGAGFDSTNWAGTGPTS TRV_07238 MSTLPKLPPASWDSHMHIVDPEKYPLASDAQYVPPIHTLSDAMA FESSVGIQNIVLVQPSIYGFDNSCMLEGLKELGPKQGRAVVSLDPANPPSDDTLKSWH QWGVRGVRLNLQSTGKEMSAGELKEVMHKYADIIRPYGWVLQLYIALQHVPSLVEIVP HLGVTVCLDHFASPKLPISVSGPASTTGFDPYSLPGFAEMIELLKGGHTYVKISAAYR LTSNPQFDGLREIFTECMRVAPGRVVFATDWPHTRFDSVDIVPFIKACVDWCGGNQDM VKRLFQHNAEVLWDIPTETEL TRV_07239 MADLAVGEKSTPQEIGVERSDSECGPAGEGSMSPQAEKKMMRKV DINLMIPLWILFVFGFLDRINLGNVAVLGIMEELKLRGNQFNVAVQVFFVPYVLMDIP SNILLKKFAPSTWISMLTFLWGVSSMCQGFVRNNGDLIVCRFFIGAFEAGYVPGCAYL MATYYKRHEFQKRLSLFWVAGLLAGAFGGLLAYAIDHMDGLGGYSGWRWIFILEGLFS IALAGPAKFFIADWPEQAKFLTSKERKMMVTRSSQDVGGGARMDRLDLAAWKRILSDW KLYVGTFIYINITVSGYATALFIPTIVKSLGYSGIQSQIHSIPVWLVTAFVTLVVSYL TDRLKHRLGFIIFGVMFGSIGYIILLCQGPVGGGLPDAVRYMAVFFVSVGCYIVQPVT IVWFANNLGGHYKRAIGLAIQIGIGNLGGLVASNVFVPKDAPRYFVGYGVCLATMIMC GISSIVLALGLVRENRLREQGKRDDRLQLDESILGNMGDDDPRFRFTI TRV_07240 MPLFSHYGDVEDISDRLAVPALVFSIITPLFILARVATRRYYSK SLRPDDWTILGSLVFAETISIQMIINAHTNRSLQLYYFAQIFYKITSALTKISVLLLY LQVFIPPWFKTTCWAGIAVIVAFTAGTVVSSIFQCNPVPYAFDKKTPGGGTCINVAAF WFANASFIIATDVFIVLLPIPVILRLQLPDRSKVALCGVFALGLFVCITSVLRITTID LATSRSDTPWTNINSSVWTVIEYNLAIICACMPSLWRPISILIPSFLERFINSCYWSS KHKSSHAHSSGPSVISATMRAEQSNPYFSRAWSPLPSNALPPNKSRSRHPSTSASIGI GKLDLDLESQERVDAMVDKDSDSEKHGIRMTTHVVVKYDEASENGESLDKKQTGIELR HVEIGAR TRV_07241 MQFLEDRMSVISQLFERYPRALTTAAAVLVVVGAPTAFLLYQHS RLGCKVRHSTSRGSLADLPLQDVKSMPESVQDKDAASKTRAVYDVASLSTLGINISPL LADEEILTRYLRRNMTAFSRFPQAYALSLNCDQSSRKTFKASHIQSLNFEPGDVVCGA YTVVCREQDRVEFGMALNQIRGRLVTTLERNDGQITFKTQTVMWQPSDVKAPMPLENP VLKFAHEITSWWMLEAGILGEKSPLNVLISLLSFLTVSIVSSPSSSIKRRKQTQTIAI DAFPQCGISLVTKFAVFAVFAVFGIF TRV_07242 MSSLKNCPVYGVIFLFKWVSGQSRNTSNPQDGKYDHGAVEDGLF FAAQTIQNACGTQAVLSVILNQDSPSTSTRGIDIGSELRSFKEFTTGFPPDLRGETLS NSARIRSAHNLFARSSPFVDETSRPPPSEEDAELYHFIAYLPFGGKLYELDGLQPHPI THGPCSSEEFPEKVIDVLHRRIGRYPEGEIRFNLMAVVRDLRIRAQEIGDEEMLDREK RKRENWAWENSLRKCNFIDFTGQLLKGVVGMKLKQGTEEYDKWIEDAKKATSKRTESK RSNRLG TRV_07243 MDNVLAHDAANNARSPDLLMSPEIELEIQNWQKSGNPPFPELQL STRAYWYKFSRTDLRLIHHIAGLSIDLHRRGYSNCTVWAQKMPIFLTIALSNDFVMSS ILALSASHIAWMTQNQDTENLAYHHRGVAIKGLQEAISSFSRENSDAILASSLLLSWQ STEWSVLNSMEPWWKEESELARFMDGQRAFRSARTPLTPTYPGSMGHFPNEDIMRLDR VIVNLQNIHQRISHNQEHFRRITDLLAFTQRLRDELPVQSPEKAFERLQPLRIWLFWL PSAMLRGGETDLGALSVLSQFFGVALALEPLFPEFGGSYLGSMAVNPIEEIRRILYHR RAANPFAPEIQLALSLMDLPAETVAEYRSRLQWSPRSSFDGYPTGSHSPYHHALPTPH LPHLPATSAAIVSPTTTAYPAYTTSPLHSPMTPAIVGSPYQMSNAMLHSRRHSQVYPS PTLHHDPVEDRIPEYKQPEQPAMFNPAYLGNLINGGPTIGTEYHDSPPVTVTGGLVAP ELCWT TRV_07244 MRLLSYHVASAKSVTRGEKENGKKSEVIAKEKEVKKGQGELGDG VWTENMEYKMGKIPIPTGRPTMVSWLAGLHPHGWLRGILDNYVWKREADQAGKTNPGS RQLSGWMKTREAILTTRAAYVVDIDLLSSVENKILSTPSAMGGGGSTKREGGKETKFS TGKSGRINSSGSEVDGIEGQAPPSLCPKGPKGGGGRMNRWTC TRV_07245 MAILTALAIQMLTQATSSPDFVNYLSFLLRTPQPPAAVGFDIKG YNVVRVAAAMNLKTKIKVAYQSIPPEALAYLQAASLVALGDESTHVANSAGTIMAEMI KQGGILGWPTLLEELVSLVGNASASVPSRTQEAAMTALQRICEDNHRLLQKEIQGQQP IHAILPKIMEFTASSVPKVRTMALSTVHMFIAHKSPALMQSLDTFLQCLFKVAEDPNT DVRRAVCQAFNQLAEVAPEKLIPYIDGLVNYVLMQEHSQEDPELVLDASEFWIVAGEE KQLRSALTPYLPKIIPVLLQNMVYDEEEAALIAGKADDADEQDRPEDLKPQFAKTKSD RLASAKESEDTSNGEKKPAPESEDSDDDDLSDGEIEDDPEEEWTIRKSSATALDIFAT VYHQPVFEIVLPYLREHLKNPSWAHREASVLALGAIADGCMLTVQPHLPELIPYLVSL LTDPEPIVRMITCWCLGRYSGWAAHLEPAEKARFFEPMMEGMLHRMLDNNKKVQEAAA SGFRSLEEKSGPHIIPYCEPILRQFVLCFDKYKDRNLDVLYDCVQTLAECTMSELAKP ALVSILMPCLIGRWNKAADESREIFPLLECLGYIASAYGHAFTPFAPPIFSRCTKLIY NTIMECNALANGQTTEEPNKDYFITCLDLISAIIQAIDRQKSEELVASTQPSFFQLLA YCLQDPYYDVGMSAYAVLGDCAMVLFEQLQPFLPTIMPTLLKQLDLDQLADEDSSTGL SVVNNACWACGEISINAKSDMAPYAENLYTLLYAIMINEEIRDSVTENAAIALGRLGI GCAEQLAPRLAQYAYTFLMIMSNVEFSREKVGAFAGFNQVLKQNPQALESCLPDYFSA VASMTDKPFHAPEFDDLDQSTRQVLQGYKELIPDFAAFMGTLDPNVAWRLQTAYQI TRV_07246 MPGLARKLLIIAAVDGLILQPYHNNTRSSANLVQVDYKTHHIHS PARVSNYQYKNDACLESHGLIGLLSVASYSFLISITQRQQVAHILGKPIFVITGVSII PLSGQAEASKAIRQAQRALENSPDAYELSDSESDNSEDFLADEHNNFDAISPEGDLYP PVDEPSNEISIAEDVIKKRGRYGRFTAEWFARKGWGSIGLPDSRRRSLISSSDGSVHA ESMELKNLGADALDGLESKETSENTAVTDARTHDLLPKLLKYTKMMFGSRSFYFSYDY DITRRFGPVDPASTHVPLCHQANPLANKPEFQQYFWNRHLMKPFMDSGRHTFTLPIMQ GFIGQHEFTAESVMRSSPEGDSQVKYPEESDENTGKASEDASVDGNRNFLLTLISRRS VLRSGVRYLRRGVDDDGNTANSVETEQILSSPSWNPAEKVYSLLQLRGSIPLYFSQSP YYFKPVPIMHHSAQTNLVSFTKHFHDVQRRYGKVHAVCLVDKHGVEVNIAETYGRYLE SFNKAETSEDKKVPYQWFDFHAECRGMKFENVSRLVDRMSETLVDFGDTVVQNNAVVR SQSGVIRTNCMDCLDRTGVSQCAFGQWALEKQLKEEGHYIDLQGSAATQWFNILWADN GDAISKQYSSTAALKGDYTRTRKRDYRGALNDLGLTLTRYYTNIFTDYFSQACIDYLL GNVTTRVFDEFETNLQSADPGISMEKARQSAIETSCQIVISDENEEFIGGWTMLSPRQ PNTLRTLPFEQTVLLLTNVAVYSCRFDCNTEKVTSFERIDLTSITGIKYGTYISSTLT DAQRDEKRNMGLAIAYRPGTSNSLRVNTTSLHSLFAEKPDPAPENQDIIDWDLSTLFK GSDRSSTHFLAFKLPNTSSAVARAPKTPEVSEADAVRAICEEIERMVNRATQSPSIHA ERPSIVEQTQIISLENARRQTGYFEHLFFDIKKLVWA TRV_07247 MIYRVSPKYGKKNPLVYISICSTVGSVSVMSVKAFGIAVKLTLD GHNQFTHPSTYVFAIVVVCCILTQMNYFNKALSQFSTSIVNPLYYVSFTTATLCASFV LFRGFNTTDKVATISLLCGFLVIFSGVYLLNLSRTDPDGRATGRPGDEDAVPTDGIAG IQTRRSLQARRSIDPHRRSSSSIAYFNGPSDREGLMRSYDLESGAAFGLEDLTEEPDD ANDNHSPRQTNGTTEHHPDDPIPNANGTKP TRV_07248 MEAIILDESMELAPDTARYFDPHDDIEIDLDEVGAPNHDNDVIV DDASMAGSDQAEIMGGTHEPVKDADMVDDSHGTQHVEDSHKQAEEDYQFVDDALDIDN QSYEMEDDYEEDIDAPIPGTGVMEPDLPGNGAPSAEMAEGGYNGLEDEPTGPVEPSVH PEVTEQNMSLVPKEGSENSGILPAEEQEQVHEVNLGAGEPDQVNLTSDEQPQNAEHLE AEEPVSGVKSPVKEIAPETLDEGSNRVAEEAAVPRKSETGAQESEASILHNVQVLYQD SEISLFPPKEDDLSETYFLEDEALADEPIGDLLNACRVVLGDHMGTEEELYMEIECLG LHLTEAVHPPISLSQIVQVYLDLCRNDGDTNPGPLYITLATQPSFTQNFNTLSEAAQS GKGLSYVGKWDEYEDQIVSEEHENTESQNAEEPEDTENGEKDEETEASAHEPSTPKSQ PEVNHEEDNKEGNYVNEGIEINANETEYQEAQPVPAEAAIEAEVSDAEANQTEQEDAT HESFPPDDAAQQEVTGQNLPVEKVEEPSFENTQENAQSPIPDNEGGESSVPHISQDTN TEPAHESVTDAHEDFIEIDLEAFDEESHKDDDDHVDGGSSHPSHEQAAEPSTPEPSYN PLEVDEDIFKSPTAAEVELPNESSEDHKTDRLDEVAYPTETYDENQIETILREGRNND EDTTSAIPVEEGQAQDFNAIEVVDSATGETLSNPSAESKEPEVNVEGVTFPGSAEMEA DWHHDAPENRDLVDPEEEPYQTELLDGEYLEARNVAENAEVAASNPEPESNGTAQNGA DFQEQSPKAGKRPRVDDEPTSMPNTVPVIKKPRSE TRV_07249 MPRTRIEALLASFPKLADSGTQHTIVEQDNVRFVYQPLDELYIF LITNRQSNILQDIDSLHIFAQVVSSICKSLDEREIVRSAFELLSAFDEIVTLGYRENL SLSQIKTFLEMESHEERVQEIIDRNKELEATEERKRKAKQLEMQRKEAARSGRAMAPR TPSYPTYTPPVRSSVPDAMDSYEAEKKKTFSKYNLPSAL TRV_07250 MQLGKKSKTTDIYEKVRGELGPEAEDAPLVAPSPAAAAAEAPSA RASLSAERDPIHITVAETMSAKLSRDGALKSFEVKGDLQLRISDTSFTKAKLDLTANA THGAQYRTHPNVDKALFSNQKVIQLKDTSKRFPANNAIGVLRWRIASSGETDLLPITF TVWVNKGSDSTTVTVEYELTGSDSLRDVAVTIPFQTVEPTITSFDSNYEVTGDTLDWN IGYVDTSNSSGSFEFESSDPESDENEFFPMNVRFTKSTPFADVDVLSVSLLDMEGESV GFTQDVKCVADGYTIE TRV_07251 MIEEAFPPLPSPAATAQETDRAGTMFSPLADVPVAAAPPVITIH KGGDLVIICETAPYNSLPEKTRHMFRLSSLEITRASEYFKALLDPAKFQEGRSLLQSY EQMETQYGSRELALKNMKVGELLHIKIELPPLSTKINLRETLALPNGLKEFTVNTIST LASVLVLSDRFLSNDTIRCAFRFVSREHVGPFSYSSIIARLQRCESGDEECIRQGIFS AHFLNELLAFSRLTQSLILQGSVNWMAEKPGGTSGLDKPLWWHLPGGIEEELQFRHDT IIDTISEIQNHFLVAYGAVNPYQALQYSSLFDQPKRQLQCRRALENSEACDSFQLGEM IRYFTSQSKTLFLESGLYSESGIGNREDAHEGSQASHFAREYGKKNPDNSTGKNQAAT NAAEMANINTILSYLRCYPERQMDSYHIGCGLRRRLLPLLDYIGKLCIMGKPSKTPRF GQIGLCKNHNLFDNGGPDSWSNNQTREGMSVVASAHSPVSVKYHGINASVAFRKRFKS RPTVLCNCERFTLEARGFFTAKNRRWEC TRV_07252 MVNVFYVPVFFIVFRETLETSIIISILLSFLKNQLGPQYDVQVY KKLRKQVWLGTLLGLVICIIIGCALIGVFYSLGKNEWEKAEVLWEGIFALIASIIITL MGAALLRVSKLQAKWRVTLAKALESKEDDSRRKTLWGRFKMWCEKYAMFMLPFITVLR EGLEAVIFIGGVSLGATASAIPIPTVAGLIAGAAVGYMIYKGGNFVPIQVFLIVSTCF LYLVAGGLFSRSVGFFEQYKWNMVVGGDAAETGVGAGSYDIRSSVWHVNCCSPQLNGG GGWGIFNALLGWTNSASLGTVLSYNFYWIAVIIGFLSMIYYEKRGRWPFQKGSPKRDL SISDRSSAEHPPVGKGP TRV_07253 MAPLAWYYLSLCASLLSCFAHAATITYDFNITWVSTNPDGQCDR PTIGINGQWPLPEIRSKVGDRVIINANNQLGNQSTSLHFHGLFMNGTGEMDGAVGVNQ CRIPPGGSFTYNFTTEQPGTYWYHSHGHGQYPDGLRGPLIIDDPESPHAGKWDEEIVL TLSDWYHEQMPTLIKHFISFANPTGAEPVPQSALMADTQNLTIAVKPNTTYFIRAINV AALAAHYLWIEGHSMRVIEVDGVWTEEHETDMLYLTAAQRYGILVTTKNETTDNFAMV GSMDTDLFDQIPPDLNPNVTSWLVYDDKKPLPEPKTIDEFEPLDDFLLKPSDSIELFD HVDHSITLDLKMDNLGDGANYAWFNNITYVSPKVPTLYTALDAPRDVAMNPVIYGENT NPFVLEHNEVVEIILNNNDPGKHPFHLHGHNFQLVYRSEEEKGPYTAEQMTDLPRVPM RRDTVLANPNGNIVLRFRADNPGAWLFHCHIEWHMDSGLVATIVEAPLALQDQRKAGI GAIPAGHIDICQSTQTPYRGNAGGNTTDFLDVSNMNGPPAPPLPAGFTPKGIVALVFS TIAAALGIATIVWYGLGEIKTPGPNGVGVPGVLQ TRV_07254 MFYRRFIEEEGDVLHGGGPDIPDMPAMDPAASSSSRACPKTNTL TTYLRLLRQCKPTLPYGELVCVSASPNISTMSSLLRLARTVGPYIGVLQVHADIIDDW SLEGVRKLARLAKKHAFIIWEGGRVLNTHQRLSNRFLTPDEVSRDIDMSRKRYTKGVV SVAAWAGLASTWMIDSPQQGNGADRLIPTLRRAAKETVAFMTKSVRTEISSSGGSVPS NEATDEKDETEHEYTMELDEALRVSANDGLSVSQSPRKASVISLTQTITQSTEPSTPS ATEVDDHPLSEAEDELAEEDERVAPAASLPEPPLLSRGLIISAPRDDDERFTLEYRVA AFESAKANADFVVGFFTDEPWIEICTRSLCSEAAAELSATVAARTRNPDEENEHPFKE EGESTVDEERRTFVMFNPLETDHLTRFTRENDNGFGQPSTTPAPATNGAPLQSPRLDI NTATMNNSRSRRESQQISGLQQLIASAVAIRNSRVSPEEGLSPGVQARYGGFDMMFVP VITMNV TRV_07255 MPARTLPIFTAEEIKQHTSAKSCYVLRGPKVYDVTSFVDDHPGG GDLILEYAGKDVDEIMGDIVSHHHSEAAYEILDECLVGFLEESQANGSKGLPNGSGAK LTKPVYKSTGLSSEEDLSVETDIASDYKTHKFLDLSKPLLMQLWNGGFSKEFYLDQVH RPRHYMGGDSAPLFGNFLEPLSKTAWWVVPTLWLPCVAYGTFLGMSGIAVGIGALYWI GGLLLWSLIEYGMHRCLFHIDDYLPDNRVALCLHFLLHGIHHYLPMDKYRLVMPPTLF IVLATPYWKFAHFVFSYNWYAATLVFSGGVFGYICYDLTHYFLHHRNLPYYYKELKKY HLQHHFADYENGFGVTSRFWDKVFGTELPPLAPAKIE TRV_07227 MGTHPSLPSKDFETQRTLLDLVQTNKALMSTEIVSRYGGKLPFL FKILSIGKALSIQAHPNKKLAETLHAKDSKNYPGIQQQASSGTVGVNTLLKRYTDDNH KPEMTIAVTPFEGLCGFRPLAEIVHFLRAVEPLRDLVGNEAAEAFEKTVSGQETTQDE EATQKNKAALREVFTKLMQSSPESITSATQKLISAAESSPDSFATSSSSPDTNPANPS ELAQLVVRLNGQFPNDIGLFVLFFLNFIKLEPGEAMFLKADDIHAYISGDIVECMASS DNVVRAGFTPKFKDVSTLTSMLTYSYAPIEEQKMTPTEYPYMKMNTIAYSSASSASLY DPPIEEFSVVKTDLKSAGAKATFEPINGPSIIICTAGEGKISVGPAKSFDMKEGHVFF VGATAECVIESTVDGKDLTTFKAFCELDDGPVNGNL TRV_07228 MACLLPPRSHLWAWQLHTWPPRTRSQPCLSTVEISAIGHAQSPR RSTHEAACNRAKTASRAKQGMLTPVVAVDSCRHQLAGAFPAAAAAATQGRAHHTGDGR EGPACLQRSETVSEGCNIDEEEEVGEEEDVEEAQV TRV_07229 MEPGAGRLQPQAAGAAPQQRLLQRLRPQRQELRRQLQQQQQQPA EETQDSQQTATGPATVIDLVAQDERTRGTAGDADGIHGSVSDAAAASADDSDSDSDSD GDSDDDDYDYDYEEEEEEEEPIRAASAATPRSLRHKKTGSSSCNVRSTRSRPLTIHTS YAWEALDIQQQRNERVVVNMSRNRPRGPATRENGLAADEEVEMWNKICQDIRKAAEKN EKQRAIGLQIAALNEKIARNGNNQLDSLHRQQLKLSAEERAILQDEPADVTKNLGILI ALRSASEAADPQSRSLSQNKSRKRKGDVDLASTDSPAPSSSGVSSDKLNRIKGGAQRS TSVSSSHRGDTASLDNGVEGGRPSEKADQLVIGAEVVFKHNKKQQGVEGEGIQCIIKN ITGEGNKRRYDVQDPEPIENGEEGAIYKTTAASLIHIPKAGSPLPQFQIGKQVLARYP DTTTFYRAEVMGLKKEVYRLKFEGEEDDKEMEVDRRYVLDIPSK TRV_07230 MPSPSAHASPFIAPRPFHPHVTEFPLTQDTAIPLPSSSFAGGSS SSTGSRNIDADGYTTPNSASTPALLPSIISPALSPTSTLTSTPDVTSASPGSSNAYPA IITPRANLEDQHTLSKPPRLLQHLPKVECIVRARIPTTSGAEMFLHLYQNDADNKEHL AIVFGNSIRSRSLDRVREGETEMDRMIRGAYVGRLRPGRQSSRYDEAIPANDTQSPKN TSVQGPSQAPLVRIHSECYTGETAWSARCDCGEQLDEAARLMSEPDTFASGEDTNVSG PGGVIVYLRQEGRGIGLGEKLKAYNLQDLGSDTVEANLLLRHPADARSYGLATAILVD LGLGQDSSNGGIRLLTNNPDKVAAIEGPNREVIVQERVPMVPLAWKTGGARGIKSVEI EGYLRTKVRQNFSTDSSLLYLNALLT TRV_07231 MSRDHHHHHHHHHHGHGHGPSHTQVNGEYWSSAASTVFNTEWVI SCQKKIREHLQENLAWFDAHPPTDGQLKGKMMDYACGEGYISRVSNSCSSSAHLLSQL TNAQFFTPYFSKCIGVDEAAGMVDKFNQTARQEKLPETQIYAVKGNLTEAEGTPSIAG EEFFNFDLIIIVHALHHIDDPQKLINRFTERLRPGGIVVVADWEKTGKDVHPADINHP AAHTVSHSGFTEKEMHEFFKNAGCKESEYTVMKEEMTVPEAWGGKKTLFFAKGRK TRV_07232 MPICQRCVKKGISEKCYYHEAPLTRVKDRNRVTKPQTSSVVRAS VSGRRSSDVDNILVKYPASSEEKADGGTTIQNAYLGSTSFLSVFQDTPSGFSSCSVLS PQVDLGQWGYDAAYTEINLARLLSAFELYEKLIISFYERCNLTIIPMQLIIAPIRATR AYFDAGGWLQKSRQRELYAKITQNTARPLQVTGTPSLEGFCSLFSGENIRWEFVGVMF SLAGLSAISDHLLTATPYPPEDEVPNREAFAKEMAAACNSCSQLCKRYDNCNDIMVWL QYTYAMLSSDVLGETSDLVTDIYGMGLHRHKNPDSDVPFFLSEVRKRLVAATYRTDKN IATFLGRPPRLPYHYCDASLPLDIDDDELFLQGDELDRVLQKLTHDGWGSEGTSNGKI RPVTAIRMRYFSSIFREKVLRLSLGRKGANFSHDLQETYQECKRMLDGVPGWFHYRTN CWETLDSVTCIVSLVIYLECIHTMFQIERIRCREKQGSIRDLLDSSMQAVSAVIDFTK QGEKKGNKVWKDFAWVFLLYALPTAGVLAIELHRCTVTETPLSSSAPRSEVVRNLSMI VSWFECTDLPVNATHNTCVEVSKAINKLLDDTLNYQPGACQRRIGARQEVTELAVPSE IPQTTTSATPGGDADTAVKNTKPYDLGAPVLQPEPFGNDLTNGISIGTGESFLTWLDE LGMDTSIPEFLL TRV_07219 MAVFETQSLVAAAAALLLAYPVCKIVYNLYFHPLAKIPGPRAWA ATRLPYCRALIQGTIVHDFEKLHQIYGPVVRTAPDEVTFAHGDAYNDIFRVRQGHKQF LKDPVWWARQKGQPDSILSAIRPENHARIRKILAPGFTTRALKAQEPLVQKYVNLLIQ RMHDKASEVEDGKKGAEFDIGPWFNFTTFDIFGELGFGESFDCLENSRYHPWIALLFS SVKAAAFISAAKFFPLITLMLMKCIPESLKKVQRDHFQQIVDKVDRRLSWELDRPDFM SHVIKSDGTTKMPIGEIYATFMVMTTAGSETTATTLSGTMNHLVSQPDALAKLTKEVR SAFQSESDMTLDALHDLPYLDAVLREGLRTCPPVPWMLPRVVPRGGDTVCGTWLPEG TRV_07220 MATQWLGAIPPPPGVTPNIIDPPSQRSGNIALHTVCLTLATAAA AMRVYTRTIVTRTKMGADDSVSLKKIIFADTFQAYTLGIGRHLWDTPPLWVPYALKQW FTFAQYVYLILTATIKLTFLFFYRRVFSPQTLSNILITFGIVFVAVTHVAILFATIFS CSPVARAWNIAIPGRCINPTILPYLSGALSSTTDLYVLILPIYPVWNLHMKLRRKIKL LGVFGLGIFAVAASLVRLAETPILQSNPDATWNISRLAVWAVIEANVGIFCGCLLLLP AFLDRHLPKSIASSIKGLFSTSNSRKLEKGGSSDASSKQYSWPNSTHSHKVSVSTSSK RHSYLELNPSNSVHDAV TRV_07221 MVGIRRENSRPGDPNFIKSTKELPSIEAWFAQKEKFRAVGHGFP MGMPQMQNCSPELDQLFQETLKPKITSILGKFSIDYSSIRLDDWRSKGTGEVQHTILV SSDDTNTTKWKTAAEEILRVFRHELPLDFTGDAQVELQNKQLMNTDVSRALPNEPALI KCLEDTREEIMETVMDSLKDCWSSIGYHMRVPLGSSLDMQGCATVMVYCRFGSRGDFK TAEDRILKVLDKSPMDIHVEIAPGEVFLARNADGPRFLSDIPASPINGASISVRGNNT EPGSLGGWVWLHIPRRDMRVRCVLTCYHVVRSPNETIAAHTDVHGVVPDDRRGHIVAE YPASCDGQHTLDILASLPKHKANSLEVRSQYRDLYNRMINPAIGKVTLASGNRISDNS RVDWALITSPSTFRPNKLPPSPSKQDTFSLSLCGAFAMNPDSWVMSFGDVELGDWVSK YGRTSGTTYGKINHLRREVRWPSGERTYEMEVISSNGEFSRPGDSGSMVVNSRGEFVG LVLATDSSTPFGVTYITPISHILRDINKMTDGGFLTLRPDDYGWFTSV TRV_07222 MHFSSCVLVSALAMVANVATASPPPLQQLSRPALKDSTIFRSTV YCADCPEGNCYKCTLGHNNTLIANTGGMAYLRALVGFQLPVPAKKVKKCTVQFPAFVK LMEAPINITVSEAKSNDWDEDTVTGENAPETGEPFSTSQVPALTNPPALDATEACKNA AENGDFSIYVGAQFGRFEIWSKDSGNPAILHTYYK TRV_07223 MNPNVSESSPLLPQAKPTSQTTPQAATAQRSKSVTFSPSTRGEP ASDAQDASSQQKNLHPSAPPVVRGPTGQPVLAALNSKLRRRQAQEPSLGIVGKVPTLP KIGPQRTTKTTQKLKLLPNPVTGEDDVWVEDEIPRDVYSQITRIKEPTARRDAARLGK GDRERLPRVTAYCTANSYKLESVIRFLKSRASTRGTNPKLFDECVYSPYRYDDNDKTI SSRRRRSEGAGHTGLTPINDSRPQLDRGFSDSELEVESHKHQEREDLLDMHASNDDRI QSFSPPSSPRTLMTTALSDEPLVLDTAIDTPEVFLFDYGTVVIWGMTVEQETRFLNEV SRFANSVLSSEDMQVENFNFYYTRDYQARIYNDFISLRDPRSYMTKLAISHALSQSVK TSLFEDLVSETITATSPLPSQIAQTGGVNLTRRQINMQIGELFILRINIHLQGSVLDS PELMWAEPRLDPIYQAVRSYLEMNQRVGLLTERLDVIADLLAVLKDQSSHRHGEYLEW IVIVLIGAEILIAAINIVVDLYAGLD TRV_07224 MKPSASIALPNSDPLPDSDTVKYPIVNPQVFLSVSDDAPSIGGT IKTPFAFYVEKFSLTHDLKSENEEKEPFDRYCIYTGELYGPELASKGCSIIISGKGGV ESNPDAYQGGTLQIFAEHVEAAAVEKLHLDAGGGPGANRQPVTGTDVGPRGGNGGQGG RVDIVFGCIFEQAIEQGAELVKGLMDPKKKWPTDFENKIKLFVGFITLKEIMAAMTIP PSLNKVETMMATPKESIQPTLIQFLIDLAAQRDSLTNAFEQHTNVEGGPYGTGGMGDK QRGPNGDTGKPGSHSIYILSDPAKLLESAVCFVHPTQCRMLLDMANLLWFCGSLNEKA RAANIYSRLTRRLAFLGDEKDGKISKTPLAEAYRKAEPSLFILGGAPGDEPISFTLLR AVKDEAHGNLLSLLSGKTIFGDDREKVPRGSFGFYQTAIAPLLTNLKEVETTYRRFLG GEMDAEEKKQAVRDRLARCDFRKRLVQDEIKEKKNDLDTNLQRLHLSTESIGPAKKSL MNAYKAVEKQVRTGVTVSWEDLMSALSQVIFVHGSAPMVALQGADLIHNALEKLKSDS SVSIDRSLLLHDAKDMKESIEGLAEGYTLLKDGGVDFDDPAATKLQVLAEKADAFWSQ FENILGKPVLENLRKRFHDYIAIVKERNAAVIGYTEAVLLLIKYQTELDALAAERADI SREEYDQLGADHPTMTAFMKKLYTDAIHTTQEWLYKAQRSYQFVSLDRANVVGEQMEG FKFSTFNSTTLISANSTLVTRYNAFIEKAGTEPQTFNGLRYDIDEMYVDMIQDSGTDG ITHTIEIPVFDIHKPNPFGSMVDIRLTKVRFYLDGAKTKSHFMDLTLKHQGKETILNK QDERFDFVHDPLITKFRYNIDNPMVMGPGTTDGDVGSVGEDRYAKVGPFTQWTFQVTT DNNPGLSLGKVCKAYFMFWFTYYETM TRV_07225 MTTQYGKSEYYGKLSGKITTDDWDILVSYTREKLNHLLDKTWGS KPLLQNVKFTSKPYIPGVDLTEDYNFALSSPVLNFGNLDGNPRAFLKMGFSGSVTSTV KPNPPVTTPIPADVYFLQIGVPLASFSAEEDKFYLNILLETDINCLAGKDVVEFKSSE EAEHHIVFHFHNEDATTWDFTADTSKPHPLLENLLKAKGDVATWFGSRSHVAWVDYAV NKISSKKDPTSVLLRPKSYKFVIAEETLCVFIQTEGSGNPQGNTQGTRFGRKYDHFDF SPIPKDYTGSIIVSRDVFFQKFIWKQIQSVLPGGVIEKTAAAGAKLELRPTGLLNSGG SAWIGQWFCTLESSKIDCTDHPLTIEFFDEAPFDKPKYKWSWTFSGKFPFIENDKTIH ITLSCEIPTKTKTLATVEGDTMKFTLAFESADQPPTKSDIDISPIKAEINLPTFTVDL PELNFFSTQNIFAPGERFIQVSDLMFPSDLVLCGNMPS TRV_07226 FQCEFPTQDPQVTPGFSGETWKSESAGEKNPVTAKRYYPWGNCL EKECTSQMISNPRTDVSEETAVAAFNARENAATESLAMALDLIGIKKKPTVLSASAIA VDSVDKGDLLLGTFHLLFTVAAAGVGALYYQLIGGAVGTAAGGFSITFITALRNYGVE GCSRGLKADVKLTKVDFDKMLDERIREIVSEMSPEQTRDPDLSKIVKEKLETKYRRHL HEVLDDGVYKRYHYFRKLWTREFKEAYATAVKEKCEEQVPSSEVESLLQRYIDKKMAD QVLPDDILKLGEEIKSEERDRDKKLSEITDPPGTPGWREKAKAIQDEFQKKSKGIQDD IDSKVKDKTERERRSTESKLKEAREKVTEEMDRRRKDYDKGRIRKELEGLIP TRV_07200 MIVQFQSDGEETTSNAGVVAADEVEVSANATAGSSMSVLDALKG VLKIALIHDGLARGLREAAKALDRRQAHMCVLNEGCEEEAYKKLVIALCSEHKIPLIK VLDGKMLGEWVGLCVLDREGNPRKVVNCSCVVLKDWGEESQERSILLNYFQTEQ TRV_07201 MSDVETASSLPETLNSSHQRANLHLAPKPALDVPRSATSANGDD GRPVAEDADVNDDAVSVKADSEAETIIQSGREELSPEKKKTYIRHVPGQNDKNGLDLA SRKTPKFSQPGNWQLGKRKRPDHENDDSRSALRSNQSSRASSPVPALKQEKIDEPQAL SSIQGPPSPSDDVSTERKPDDKSRKPESATIDTRDAPAGGRRLNHRSNSHGHESAGKS ELYASRRQDRSHSPPIRSHKRVTSDSTHSPPLSNIQKRRKLPPQPLMDQSNHASEGRA SPSSASSAGSPPPNPRNRRLTSCDTFPFSPVKQAQNKKLRDQNGRTRLARACAAQEIE AAKCRQAERPEDLNVPDNAGNTPLQIAALEGCAEIVKFLIEAGCDIHTKNIDRDTPLI DAVENGHLDVVKILLDAGANPRVGNAKGDEPYDLVPSDNENRHELRRVLAEAKCRGNK RRKSDDISGQGASSTKDPRSRGASAASSPDSPPHHAQSPPPQYNRRKTVRSEATRNDL LWTKPTFENLRLFAAKGDMAGVATILNILQKADTESLIAAAKGGHDEVLGLLLGMGNP DPDPEPLTNGNHRAGHDTPMLAAIGRGNIDVIKLLLDQPGFNPTRTDHKNRRFFELAE ERRGDNWELERDLLKEAYDEYISAHPKAQKGESRSPRRPRDPLKVAKRPDRDSPSPAS VVRKSSSKGVTSHRQNEEVSKEVKLQKERKRADGVASTKDRLATNKVSSREDSHGLMD ERDHGQSDRKHKMPSDSRSPREATPHKHGEEPVKRRRLIAGRPPPDHAKRRPSVMSSD SFSGREDVSNKAGITEDSNDNGSRSEKRNSVLKRSRNSVSPKPSKPRNTENEEVREKH VKKRRILSSEHSSQPQTTVEGSKSKVENDTQTSKPRSVHRDSLGLKTEGSKAADHSIS HSDKHRSTSRDPTATKIKRRASDSGVKAETPSESNAAAKAKHESHAEKEHAPTEAELE RAAEKAQAKARKEAEAAKIAAEKAAIIEREKAEQEERRLEEERKKQEEERKQEEERKR KEIEQRRARQAEEEHQRRVEQERLRQARIRREQEEQEQRRRDVLPHRLRTAANLIGAN DPRARSHEFLKRVMPILQATRDDLEPLCDPELKNEKWILNFYVALLLATNDLQLSQYP SWEKRVATPTQRSNIWDCSGPMLSNPQQLNPVKAGGNDYSRIYRETKPLYEKMEHVFW VKCSDFMDLVPHIPHLHGLNITFVSMHIDPEPSASLQDKSHIVNGDHSSHHPLINGTS EPNGVNHSS TRV_07202 MDVEVVVFFFGGQRGSERGAKEEEEEEEEVGFGLRGRTFAATRK KERALGSFGQCASCFGMLTQRQAAIEQPGRCDMQGAEGRLSWHFNELSIY TRV_07203 MDGLAGMDLVDWIRGGYVVLAASVMAVNSLKFLRDRFISYGART LPASKEPSSKPSAVATVPPWGGIAGILDRVATWDVPHSYFTHFYYCAVGVAFFWWYQL LARGWAFKLIASMVDHETRKSSMSFNQIFLCWSLFTIQACRRLYECLSFVKPSQARMH VGHWLYGFAYYIVMGVAIWIEGTSRIFSTFLYLIERGSNLKLKATLLSTNSPLGNAAL TAPSLRSLIFIPVFIFASGIQYDCHEYLASLVKYTLPVHPAFVNIISPHYTAECMIYL SLSFLAAPPGSIVNNTIFSAVILTAVQLGTSAAATKKWYSEKFGREKVKDRWIMLPFI W TRV_07204 MGIFLHILFLLSHNAIHSLALDCRPVRIDGPSVGQRSNYTWEEG LPIQFGGWSDEEIKTCIGKADAYNIWLVSEDPDCPVVWPVFDTFLPKEDLKWSPFHFD LRKQTNEWYVDETLFARSQAFFIHGDGDEATTLYTTITSTSTSSYTTLTTATSTSASE SSVPTIATVVVTRTLEPTATGPVPISHEKAGLSTGAKAGIGTGVALGSIAIAAAVYIL ILLRRRRNSDKVIPMVSGKDSNKYSPPGPPEMHDEVPGSLPVVSETNDPQKRPASEML GSIPVGKPTGRVGDDIVHHELP TRV_07205 MAPKNKGGDSKSAGGKGKGKGKESESSDSGKGKGLKAANSINVR HILAGKAGSSLDYLLTIYRGQCEKHSKKEEALEKLRAGAKFDDVAREFSEDKARQGGS LGWKVRGSLDAAFEKAAYELEPSTTGNPKYVEVKTGFGYHIIMVEGRK TRV_07206 MHAPKDIPDMNINSTNGAIEQSEKGKPIQAASEPSQAGLLQLVI CVTGIYASFLSWGVLQEAITTTYYPVHPPTSAVPNPQTERFTFSLVLNTIQSFFAVIT GSMYLYFSTPRGSSTPSIFPTSRILIPLVLVSLSTSLASPFGYASLAHIDYVTFTLAK SCKLLPVMFLHLTIFQKRYPLYKYGVILLLTIGVATFTLHHPGTAKKSSGSKGPNASS LFGLFLLFINLLLDGLTNTTQDHIFTSPKLYGKFSGPQMMVAQNFISTILTSAYLVIM PHLSTSILPLLPLPIPPSQTSELSSAIAFLSRHPQATKDVVAFAACGAIGQLFIFYTL AHFSSLLLVTVTLTRKMLTMLLSVVWFGHQLSGGQWVGVGLVFGGIGAEAWVQRKEKE KKLREKAKEGKSL TRV_07207 MSIHHPAIAPSAVTTLISSQPQTPPPHVALSLEILHNLEHQHQW KDLEIHEPFSLSQKQSIPLISGTPPQPIYIHPDEQAYLLEHNIPMKDIPADREWVIPT AQGEKWTLSRLAGLHDSLPSRAEDFLPGSVDLEEATKSMQEYVKLKKEKPWGGKRALL AMVNRGLGGDGTVVYYVTMEGTPKPRQN TRV_07208 MRLSSSARLGLLLLSEVFNFYFLYPCGGPNAHAVLTNDVVSLKA HRFADSSNLTYSSLIDVDMFEQPEKVKPRRVVAKTWQQVFLIYYISFTFILPPLADPK CSTVEMASQIQLSIPQEGKLVNVVPVVLKEAALDSPSFRATTVHFSEQIDYMERWLDN YIKTTGRLTSELASLENISNGILAHITSPPNISEAILDQDYALLAMKRYGECFRDSWS GMINATKRFEVQVAEPIRAFMQGDIRAFKIYCYSPLTPAQELRRVLDQTQKQFDQLQA RYSSQGKSKEPSSLREDAFQLHEARKAYLKASMDFSVQAPQLKVALEKLLVKVFFDQW REFRVVRDASSATFTKYGQEMERTKGWTHELEAGEKSARRDLLIARKQIEESVEYSTR PSRELDDYSISTVPYLGSHGPASVKLAESHVFLPEKQGWLNLRVLTGKPTRTLWVRRW AFLKNGIFGCLVQNPRTGGVEESERIGVLLCSIRPAFQEERRFCFEVKTKSNTILLQG ETQKELTDWIGSFEAAKRKALESPSSEMSAASKSKSPDPAFAISQPPAPEFAADPSDS LTPNTTGELPAVERGVTTPLLDLDALGVRSSADFSHSRRSTGPDRDADGAGRDHTSRI IQKLDIHRKQNPNSPLLVPPSPAQPSSGIASLISASHSMFPVTSVPFAKDGNVDSGKP RGASKGDRSHTSLAPSTLVNPPAPTSMSRVAIMVSAERGIGMGPGDTASSIPTGMMAN LWGSSQWAMVNQLQRDEPTGAPASTSNHPVVEITPDTPSSSTGNPQGRTSMTRHRATV SLGDTGAFHPDTVTALHDYPSYYPQQLRPHNSQFRLLFPHIPRNESLVLVFRATFSPN DQQDFPGRAFATTHNIYFYSNHLGLVLTTCANLRSITEVTAAPGRDCDFLYLHVVPEK GSDIPGRLGVKTFLEPLKLLQRRLNYLVTNAALDNPAGLETVINTLIKLETTGPTRTP SMDSWEDVSLNTPADDGTMVPKPEKLLKASTYIDHDLDIDPTKQNSATQASRIRLPSQ PVIYEPQGSLNLAAEQYVNMSPKALFLVLFGDNSPIWQLSQHQRRAQTNGMKISSKGP GSLYLPPIFDVRWRIKFAWLIHSVRFSKNSQFSHSTNIVYHLGRSHLADVCDYQIIDV FNDHLCYVVTDKRTPWHLPFKRQFRLVSKAVITHVSKSKCKLAIFTKVEWISQPYLIS NLIDLVSDQVKRLGPYPTTKSAISMFGNIGHQTETSNITVSERVRLQLQRPLKQSGLL PLLLETSGSLFQSAASSLIIWFWALLRWIWKVSNANKTILLPLILSLLANGFHSWRDT MDWWQERNAGKFMARLGVRPNVVMGKAVYLKDLNDAVPDSVGIQGFNTSICFSTFREE NGLTRDNLLLPPSGTPAQNKISRNAAYRLQRTRERLGSYRHNLLVGLKVVNSIEKEVL HLEWERWLRRETGRCAMLDIMLKEHIQYHDNDDYDGVLGIRKQILSGNQKEIEKWYKE YCSSCQRDQERIHINN TRV_07209 MEPPNKRQKKVLFAESGSEDEAVAEDFKLNVNKEYARRFEYNKK REEMVQLENKLGKRSLSKSKPSADEEEDEEESDSEDSEEEDDAAELVTEALDEEIAAT LQAIRSKDPKVYDPNAKFYTTLDETENAEGTKSETSKAEKPMYLKDYHRQNLLSGQAA VDDDEEAAPKTYAQEQEDLKRSIVKEMHSTAENGEQDDDEEEEDDGFLVRKEKVPMPK SDRPTITDKDVAEADQDPELFLSNFMASRAWVPTSKSSFQPLESDDEEEDKKAEQFEE AYNLRFEDPNKLNEVIRTHARDTTNKYSVRREEVSGRKRQRELERQRKEEEKKQREEE RARLRRLKIEELEEKLAKIKKAAGVKSSDFADEDWSRFLDEGWDDEKWEKEMKRRFGD EYYAANEEEDESGEEEEEEGGSSKKRRLKKPKWDDDIDIGDLVPDFDEELDVDIDMDD EDEDEDAEGESQSGGGKKSKKQMLQEKKDKQKEAKRERRKIEALADKSLELEPSLLPG SSKKFGGTFRYRESSPVSFGLTARDILMADDAQLNQYAGLKKLASFRDAEKKKRDHKR LGKKARLREWRKQTFGTEEPPELTAEAVPANSTGNPDGDTQVDIREGSSKRRKRSRKH TRV_07210 MPAPVGSVALSSSTPSPSFVSLALPPWRRRRPLPRQRERSKTAD VWSLVLPRVDSPAAAAKAQQRLIATVASNQFFSSSSLSLLLLPSISQLHLHPSLLLLE GLASLCQPLPPSPLFPFFSPAKKSHTGTMASQQDKSIFGVPGFVVDFMMGGVSAAVSK TAAAPIERVKLLIQNQDEMLKSGRLDRKYNGIMDCFRRTAGTEGMVSLWRGNTANVIR YFPTQALNFAFRDTYKSMFAFKKDRDGYWTWMAGNLASGGMAGATSLLFVYSLDYART RLANDAKSAKKGGGERQFNGLIDVYKKTLASDGIAGLYRGFGPSVLGIVVYRGLYFGM YDSIKPVLLVGPLEGNFLASFLLGWTVTTGAGIASYPLDTVRRRMMMTSGEAVKYSSS FDAARQIMAKEGIRSFFKGAGANILRGVAGAGVLSIYDQVQLLLFGKKFK TRV_07211 MENESEKSPAGAASRQEETHLHTTTSTTARSTITAPEAEPAPGP TPTAPAATSEDSPSPVPPPPQPQPFPSPYTGQSTSWTGASVPPQETPNAAKPIPPPPK ASEMHLYKESTTTTKPPSAHIDTQTHAQHHHFQTLPHQHQRSASSSSHSNNTLPNLEH PPGYRQNPAIAQPSPATLAADGAGFGTGEGPHFGMRQVWDPAPGEAGGRYVYQYDFFG DDEDRNDQAADDGEWLWNSAAGAWETTLSWMKSAGERMAEAEEGIWRWVNSRS TRV_07212 MYISLLIFFFSFLFFFYLSSVLLNVLSSLIVNFRSDGGGVFILF LPFYLLSWPVGDLHIWNMAQAEESTCAPKPTEQPANDHSTLFFLYLFQHKRAIRIASV PDQPSQQWQRYLRHDGHRKSKVTVEVEFELEKSKSIWNRIWELSIN TRV_07213 MEERRAVVGRWTSGQWQRGTRAAAGAARKVWREARVERQARKEE AGRRRLDSGSQRKKMECIFTFIFVFAFCRALYLSLCLSLSSRYCMAAAIYYYLLSISV ISISYQLIALSPLFRPFCTLFSPFLVLPRPSGFFFFFFFSSSSLSLLLFNSIATTLQT ADPAAPNSPPRRRKQASREDAEAAEESDQDFIPDLLALFTYLTGPFSFFLFLNISASF FFFFFFLLLLPTNNNSNKQEDSTQSPGLSVITLSSRYPRLRVVFVVFTDVGSLFPLLG VPIIHRITTLPPDTIVRVMAPRSAPKTASMDIPKSRDAPRRHGMLPTPPSSISPCLNP QGFKQRVTQEALHQYNLRSPPASSSLVPHDTRHEIDAVDSDIDLQDTEDRRVPSSVAV ASAAAAASKGKADVSGDITPAMLARHYLPDILLAHGPLAIRHIMGYLTTSVPGFAMIP PAKARRLVVAGLEGKASLSANADSMDDGEVEFEKVGWGRWDAYRRGQQKREGENHHTA TERAGHVNHHHLSLPHYYAPADSPIFSHSDFNQNQDIDEADKMSLDDDGASQPRMYCS SSASEEPDIMDGDWDEADMTDEEDWANIGADALRAQSLGQEPSMSSSVYARGCGYGYG HSYGYGGGPSSSALAKAAPIAMPGGNVEERAAVEALLQLGSM TRV_07214 MASNLTTSILPHTIVLDYAQAVLETDQWLRAAKSHISTHPSAVS LAIISGIVIYVIWSSTARYLRLSAFHGPPWAAFTRLWLCKTIASGESASRFINVNQQY GPVARIGPNHLLTDDPEFIRKILAARSHYTRGPWFDSIRIDLEVPNIVSERHPGRHNH LRHQMSGAYAGRDVEGLEDMIDERIQDFVDVIERKWLSDDNGTNAFDIAKRVQFLAVD MITHICFGKPMGFVKTDSDVSNFLKTIESQLPIVQHFSVIIEINDLLHWLVGLPFMRR LIVPSSQDKSGIGIIMGITRNEVEKRQQSKSEPKKDMLTSFMKHGLSPSEAETELIIS LATLLAVASNPRVYARLTKEIDEAEASGKISSPIRDQEARRLPYLQACIKEGLRCFPP VAQLRERMVPAGGDTYNGQHIPEGTFIGLNTWGVQLNPVFGNDPRVFRPERWLIHDEA RLQEMSRVQELIFGHGTTRCLGIPIAMMNLNKVFPEVCPISISRYI TRV_07215 MGVVRETIGLLRTRCLKSASSRQKLLRPSVGDNSAAGRRIIPAP SHDANIGVRIDRGVQVGNLVKIYVQPNKNATNKTIREMANKNSHQNIAETWVNVEDPV DNTTVDKVFDALDECAKENGH TRV_07216 MALRQLLLYGRTSMRQRKLDISLTNKTNLRTLTDLKRTSMSKET NELFSYTSGRWLYNEEKQLKARYVEFDIEALKKCASTILGSPCVQLRKLPEGLYNKVF SVKMENGKDVIARIPNPNAGNPKMVVSSEVATLEFVRETFDSDFDEDEIGAEYMLMER VGGRQLSEVWDNMSERQRFNLVKNVVEIERKLVNTPFTGYGSLYYKDAYEESYPGYLK AINAEKVPGKENEVSRFVIGPTTERAFYADNDEKQNISHGPWETAVEYLAAIAKREIC SVQNFNLASPGDTLPFWERVSTPSSSKLHVKLLEQFITVLPYIIPPSEIIQPILMHQD LHFDNIFVDETDPSKVSGIIDWQATYSSPIFMQSRFPSIFDCEDPYTWGAVHLTLPEH FDTLSIEEKKLAEDSLDRLRLKKFYELASRKMNPLLAKAMDAMRNDECPTSFIFYIVQ QSAFDGPIPLRELLIQIYEQWDQITRRNGVTLKCPISFTEEEIKQARQQAEEWGESFS EFENFRASLLGKDGWVPHEQYEEAKRAFENSKGELERLRNGLEHTL TRV_07218 MQYRKLKGLKATLSDVLEAVSTSDKQRFGLLYIPGQPTSAEIKA TEEATTGDGSSLSTATSQALAANDPDPNNYLIRARQGHSMKCIDAASLLTPLTLSENP PVSLPDTVVHGTYHATWPKILQSGGLRCMGRNHIHFASGPSISTILPNGRYGEVATPS TKGRGQDGVISGMRADAQILIYIDLKRALAAGCPFGLSENGVILSEGMSTDGTEDKKI GLEFFDIVVERKNGLGVLWENGELVKESPDWMLNAKAPAGKGGQKGQGGKGKGKAPRL KVERDTDHIDED TRV_07185 MQGSRDFGAQLFCAMLRGVGVDTRLVCSLQTLPFTGVAKGESPK KRTKGYIVVSEDDDSSSSLISPLDDSPHSDSPFPVFWVEVFNHAMQKWVCVDPLVTNT VGKPALFEPPASDKYNNMNYVIAFNEDGFARDVTRRYVKSFNSKTRKARVESTKEGEK WWNHTMHALESPIPEDRDQLELGELTAKAASEGMPKSVQDFKNHPVYALEQHLRWNEV IYPKREIGKVGLSKLSLNKKAPPLESVYRRTDVHSVKSADGWYRQGRKVKAGEQPLKR VKARGQMRQHIFNPDEEAPETPMYAAYQTELYIPEPVLDGKVPRNEYGNIDVYIPSMV PRGGFHLRHPDAAEAAKLLRIDYADAVVGFKFKKRHGTAVIDGIVAATEYREALEAII SGINDERQQAEETRRTMAALHMWKLFLIKLRVLERVNSYRVEGDDSRGDMVQGAQEAE EQGGGFLPENDPVGQMISSANDSIDDPYILYESVETSGNLEESIGGGFLPEPEIPQQT STGDMAHGFLREREPVVSASHPRYTLAVVPEQDHTRRHLSVISTGPERQDNVEPGSSQ PADGTRSAPMTVDSPEREVSGLPELVHVDSDSDIDRSSMISHDPEDDDAEPEWLLSD TRV_07186 MLNESQVLPQALPHRNNPPHKRRRIGERGEIKAVEGTSQSEEEK EKTAAMPPQTVYDLDASEEESEPEWEDVSLPGPSTTSILPSILPAGHDIDQEPLQITL GKEAADQGKKGGVIRRKPVTGAEKKLRLEIHKVHVLCLLGHIRLRNTWCNDEETQVRT IPYMWCYAC TRV_07187 MSSTEDPVPVAAEEAVDTVVSTEEQPVTDQEEAQQSVTESMGSP TRDTPSGKEEPVTSPARSQASSTGQKRSLIAGGPKRPGGVSTRTTKPSAATTGRSTSG STLSKPPIRPAANTAARKTPGSTTLGGAASTTRASRMSIGSSADERAKAVASSGDESR KSGISGTAKRTSMSGTLGSRTATTARQSTTPADRRSSITPATERKPATSRPSTASSQT PTKPAVRSTPAASTTPRTNRTIPTSAKAPSSTDAAKRRIGAPGSPAVTRRTAGAPTLD EGAENDKMAELESQLAASEAKLTEAQDKISELEKSGEDSSKHEELAESYSQEIKTLQG KLEETEAKYQDAVERSVKELEEAKREAADLGESKSTEALNLQRKEHEAAVQSLEAELA TKHKAISELTSKVDALNKDLDEATKNVEQLKEAEKEATEALQLKVNALEEQLNSSKKE LESAQESSTQSVTALEEKVSSLEKQLEEARSEAEKGSADSTKASLEKEEEIKKLNSEI QSLQDDITKSRQAKADELEKQKAELTAEHGRALAEMVAGHDAALAKLAAEHNTERSEA ETEIKAAKSASEQEIQSLTEKHEAAIAEINKMVEKLTAENTSLSSQVETSQSSFDTEI QSLKAQLSKAEEALANEKVNAEKRSADETAASAEIESLKTTIQGLEAELAQQKSVVET LTADVEAKKAQVAVLQKALEAFEADSKNKDEHNVNMNKKLSTEIENLNKSLEEKTQEI NSTKEQHSEALEAMKTSHAAEIAKHESDTVALQEKLKTLQEEQETLRTAKEEAEAEHK AKIEALQTDHAQQIEEHSAKFAALSESHKANESSTKELEDSHAKLKEEFEKTLAKTKE DLESTHSKGVEELLAAHEEKLNNLRSEYEASSPEKLSAAEKNHSEIVAKLQADLEKAK EAAADTTSLDSLKAEIEELKASHASQVETLAKEKAELETRIDAAGNSAKDAEAAREEL IAKHAEELKAAQADATKNSDAHAAALKEISQLKDAASEAQTNSQEVEKKYSQLESDMA ALSEKNMEMVEKIQEYEASVAKANRKIRELEFDLKDAQKTASPTSNGTTNGKSGLGAS KWATTEEETQPTKDETDGKPEDSVPSATEGEKLSSSIAGTVASIQEQLRQLEDMSGDM VEDREKIANVLAQASLKGESGSPNPTLPGPNDNSSEALSA TRV_07188 MPGSNQISRTRDDKGPTTQLSFDLKTIAKRTAAKRIGSDSVNQP TALMESFVPESSYSANIPSTSPLHGDETAESSKGKLKRPQRYPQRRLRGSSKGIYDLD IDSPSARKVTSVTSAKQADLTVDTESSEDEDSSNSYVEESGDIVGTGNSPENNPSDIP LVIIDNAPISLLTDKAAINREYVHVDDSNYGEKLTISAPEVSEQLEKSPPDSDYEYTN TDEDGDEDKSKGNDEKEEDILETLENEEAIQQSDAVDNGLDSEISLTDVYSQHYLRDD ADFWDASTLFDQERNWRELIAEAQLLMQQQSKGLEIESTKNLFRSVSNGIDTYRERIS TRDSRGNFASTDTEEGSLATLEKGVSQVMAESYPYNADSPSKLTTLVTLAHEVAAGII PGMIGLLQWCLVAHYSNDSVTDKGTDQLVRILDSVERLCEVVQAEPPKPPPGLAAQVR IISVLVRFMAYVFRQQPANTRQPTTTHQPADWSRSPEPSHDQYDEPVGSEESTSVLNE GCSWSRAESETLFDALRKYRDELRKKTVETRAGLLALPDERRPHYSQWSFLDD TRV_07189 MATTAAAPSLQRLDPSIPHTFMRPVKKINDHQDVETFLSSVAYR DIMIFVLQLNRCMFPSKASSESGSSNVEVWTLDSDSVDFSEPVRRLQLLLSKLESLID EVAPDTGPRRFGNISFRKWCEEMESRAASIMDECLPPEILQRKSEEGETVTAKEELMA YLTGSFGSSQRLDYGTGHELSFLAFLACLWKLNGFAQADPGVEERGIVIGVIEPCVSP FYLTHVPYGSLFLIRYLRLIRRLILTYTLEPAGSHGVWGLDDHSFISYILGSAQLSPP IGPTDPTPTEGSLPNAPATSDVTKSNIVEKERKSNMYFSAIGFIYDVKKGPFWEHSPM LYDISGIKDGWGKINKGMIKMYNAEVLSKFPVVQHFPFGSLFVWKQDPNATSTAPSAH TSSGPQIRTQIPTSPSLSAQSNAGTRAPWASKPTSVEMGDLRGGVPSSHQIPATRAPW ASQGGQQRNLPQREPFPPANSSEREVYRGKFYISTTILNRASLNKREVFFIVKKPTLP EKIK TRV_07190 MPFPIWCSTCQPAESVIIGQGVRFNALKKKVGNYYSTPIYSFRM KHSVCGGWIEIRTDPQHTEYVVTEGARRKVTADIGKGEYEETSTGAGEIRVRMPGNEG ENETTDPFAALEGKIADKNKYMTEKMRMDELLKRQDRDWEDPYENSKKLRRAFREDRK KREATQSETEAIKEKYSLGVDLLDETEGDRVRAGVVEFGQESDPGSSLTLPSNLRTKP LFEDTSTQHKQIITSKSGQMKKREKRGGLIAEKKAQLQRKLQGNTKAAVDPFLLIDES IWQHPPSAAKKRRPSKLANEILTGTRDESDDAADDKLAKNKETRNNETRNEGSAKGNR CQLKPSQKPEGQIPLSSGLVDYGSESD TRV_07191 MSDWFGQIGGVSSALAGLDMAMPGDGPVPLAGGAFWAYEMSRSI LNGTIPLERLNDMFGQDDNYPEPNFSTNTQKESGLLYPGAVFSPKGIVNKFVDVQGNH KEIARTIARDAITLVKNKDNLLPLKTSASLAIFGEDAGTNPKGINYCADKGCNKGVLT MGWGSGTSRLPYLSTPEEAIKNRSTTSKSYITNKFPSNIKVNPEDVAIVFVNSDSGEN YITVERNPGDRSYAGLELWYGGDKLIQEAAKKFSSVVVVVHTVGPTLLEKWVDLPAVK SVLIAHLPGQEAGNAVADILFGDVSPSGHLPYTIPKSESDYSPSSSLLNQPFGQIQDN FVDGLYVDYRHFQKEGKSVRYPFGYGLSYTTFGFNGATITAGTRLSEYPPPRMPKGTT PIYTDKIPDGSEVAWPKNFPRIWRYLYPYLDNPAGVKADSKFIYPKGYQTTPQPDPRA GGSQGGNPALWDVVFTVAVKVKNTGQVPGRAVAQLYVELPAELAANTPKLQLRQFEKT SKLAPGQEEILRMELTRKDLSVWDVVTQDWKAPVDGKGVKLHIGESVEDLHIMCEISS GACRAVS TRV_07192 MAVVCEITFHQSSKPNRGSGDRLQMECDQLAAALVAASMVDGGD PIAGETWRLVPPLLLFACLFTTASGSTLNVRADVPPGYNSPPYYPTPKGGWVQEWQAA YAKAAAVVANMTLAEKVNLTTGTGIFMGPCVGQTGSALRFGIPNLCLQDGPLGVRNTD HNTAFPAGITVGATFDKKLMYERGVAMGEEFRGKGVNFHLGPSVGPLGRKPRGGRNWE GFGADPSLQAIGGALTIKGVQKSGVIATVKHLVGNEQEIHRMTNVVQRGYSSNIDDRT LHELYLWPFAEAVRAGVGALMMAYNDVPQPLIFIISA TRV_07193 MTITSDTYKEPVHIGVIGGTGLSELPHFTKVATLNITTPWGEPS SPISILHHTCSTSGKVVPIAFISRHGLHHQLSPHEVPSRANIAALRSIGVRSVVAFSA VGSLQEEIKPRDFVVPDQVIDRTKGVRPWTFFEDGIVNHVGFADPFDEKMAKVVRACG HSLEGEGVVLHDRGTLICMEGPQFSTRAESNLYRSWGGSVINMSCLPESKLAREAEMA YQMICMSTDYDCWHCGTEDVTVEMVMGNMKANAVNAKNFISAVLDELTKDEHADLVKG KHLEGSAKFGLSTKPGNISASALSKMSWLFPGYFSN TRV_07194 MAKRKRDVLEEDDSYNWGDKKLRIQRLRLDQAVQHGVVLLHRAL KVARGFERQKLGRREKSARQESDRMLLNRRLNEVEALKALDLHKTAERYLIKQMVKTK RIAESPAFIHLKLAERISNEGKKGDAEANVSARLFNSNPVKKVFPGIMNDIRHILGLS GDTESKKFAAKGGQKQLSKSMREDRAMGDNDESTDGEPEGSHDDEEMNMSRFDGLVAS GSEDGNGNDNGDNNKTKPASARYNPMDDMSLSPTSSDTSDESDVITTKSSKPKQKSTT ATTFLPTLMGGYWSGSESEPEQDYTSAIPERKNRMGQQARRKLWEKKYGSNARHIQKQ AKEQAQGKNRDSGWDLRRGATTEEDTRGRYGRNKIRGGQRKEATGSNTAQVQAVPPTP KTAPPNNDKPLHPSWQAAIKRKQSKAQTSFQGKKVVFD TRV_07195 MVSTLYARKRTRQLSPMSPPIVPSNPQAIKSNLIPPLPMTPPDP GVDSASSSSPHPSSVDTAIHVIATERAALENLERVYSTNEMARNNMERAVEQIANTIN AGSKLIVCGVGKSGKIGEKVVATMNSLGIYCSFLHPTEALHGDLGMVRPTDTVLFITY SGKTSELLLVLPHFPPTTSVIVITAYKQPSSCPLLAGSSNANTILLPSPIHEPEEVSF GVCAPTSSTTVALAVGDALALAVARRLHTTPGRGPAEVFKGYHPGGAIGAATAAAAST SISGSSTPLTSTSTTPSQSMSVNGIQDEPEPALPPTETTLCRSITDVMIPAELIPTAS PRNGYPLRVVDVMRASLRGSTSKPWAFIRPGYIVSPRRLRNMVACNDPEDNIENVDRD MPIGHQVEEWVRVPSTSTVDNVRQILDRQKQSNIASPLNTAMKRDSEGNTVRRKRRVI SVINEMTNTVVGFAEEEDLEHSEDMFK TRV_07196 MNMSSRSAWRGLHWSAKRRPMVSQAPYRCFSCSARLQSPGQQPD ERKTHFGFETVRESEKESRVGAVFSSVARSYDTMNDFMSLGIHRLWKDYFVRSLNPGR QYAREGPGKSGSSEVEHQGWNILDVAGGTGDIAFRMLDHATNIKHDQYTRVTVADINP DMLTEGKKRSLDTPYYNSDRLSFMQGNAESMPSIPSNSVDLYTVAFGIRNFTNKQAAL EEAYRILKPGGVFACLEFSKVTVGAFDEVYKRWSFGAIPLIGQLVAGDRASYQYLVES IERFPSQEEFRGMIRKAGFLTPGDGYENLSLGIAAIHKGVKPLK TRV_07197 MSFVTPASNPAIPTYRVMDSEGVIVDKSRGPPDVKDEEVITWYK NMLSVSIMDMIMFEAQRQGRLSFYMVSAGEEGIAVGSAAALSMKDVIFCQYRETGVFQ QRGFTLKQFMSQLFSNRNDSGKGRNMPVHYGGKDVNTHTISSTLATQIPHASGAAYAL KMKALQDPNAEKQVVACYFGEGAASEGDFHAALNIAATRSCPVIFICRNNGYAISTPT LEQYRGDGIASRGIGYGIDTIRVDGNDIFAVREATLEAKKRALEGSMRPVLIEAMSYR ISHHSTSDDSFAYRARVEVEDWKRRDNPISRLRKWMENKGIWNEDLERETREQLRKDV LAEFAAAEREKKPALKEMFTDVFAELTPEVKKQREELKRILEKYPKEYDLTEFEGGLK GL TRV_07198 MFAIFLDELGWREASYTLHLSRNMLFEGLFRDIGKTFSYCLPYL WLGVQVSFRANLRFFGLYPKTHFPLRGNLFKQRKPLGYPCSCTYLPYYAPFLAITAYA TFISQDRNLSVLYANILILEDEDFPPLAPASKPNYDKHPTDPIRLPIRNSLPGESSLT SSRSGTPAVPPGFGLPHAHPPTSLVRESRTSSPSLPKTPLTINPPPGLVHPQAFTESN AAPESARDSPATPLKQSVSRAAEVSLGSPKPKASSTARTTSLSDTSSKTHQSSSHGKS KPLKLDLSTFEKIREPVSNSTPVQSVAPLATSSTGPESRPDTPGTNSRTSNSPAPRQP RVLRVVDTPKSEQTPPTTSTSTSVWGVKQRPGIHNLSSVSRPTTPVGSEYDAQTSASV SRANSPPPSRIGSAPVRTVTKSQVKKDRKLKAKNAEAKKDEILLATAAANSETQAPII GRKRKTKKAPKQPNGDVDANASDGEQKESSSNDAVKSQTEPETPSSKSNQEELKKQET KDPVESEPWRENNTLQQMTMDAESKGVPVKDLFLERTAPLPTLLGQLQQLGAFDLNTH LLFNPPNLGQRTDMKCNADDYDMLHNPLELTEEHRKQLLRGEPVRINNGDPDKLKHRA LITPTGCILRHLSAEEEDRYLALEKSLSSVLDVIQDSYPSLLISEPDITNRGGGLDAL FATPEKFNICWVDEDSTRNGLVSSITAEGSSAILSHSSHANNGVNTSTSEPEMVRSHN WAISSGGETVPIRSSASKLMGGRCPNFGPGLEELMNMPDVELRAMINASQTELDVSRR EVDAIDKKVMALVKRNKKLVQQALAAAAESMAQSQ TRV_07199 MATCTAVANLEILSSTARSVLKSLISLIKTSSHALVATSEEGRC PNCRRVYDESSIQYRVPDVDEFKADLALKHRKAAAAKRKEAEKREIEASSRKNLAGVR VVQKNLVYVIGLNPTIRDENLLLQTLRGDQYFGQYGDIDKIVLSKAKPGGNPNQGIGV YVTFARKIDAATCIAAVDGSPNGDRVLRRQDLLSMNSISSQRYPSNGSSSAISQAPNQ PTQRPSPAISHARAVNAPNTQWPAVKDDGGVRSSSTDSSALPSSASWANRDSLAQRTR RESIAASRSSPSPKPTNEQLASRPVNGYGKDSQRTAEQLNTTSDSAGPSEQSNTARRP ESPSPTMIFDKLVKAVNSPEFRFHFSTEAVPDNELVFIENHPSLIDPYGGVKRRAMRE KAEQERAKHALDGKLQVPAEEENLESGSSQLGGEPEDVHGGVGVPGRHQREPQSAIQP PSQQATDNNSVVGSPVPNSHQFQNINVNARNLSQLQQQQLMLLKSAGGQQHNIMDLQA NTASGTFDQNARTFQNQMAGLNNIPGHTRQSSRFSFAYDVNSKNPSGRLVGSQGPMMQ SSSPNPLSIPTTQHNLGNQYFVSGIQGPPPGLKSAGTPPVSGGGMFAQGHGFTSTMNN NLGLGTKQDGNADLMREIIRARGTAGGNVQSHDATKREFLFPLLQQNSTPPPLAPVSG LLNPLYGQQLGLYQDQVPQKQKKRGKKHRHANTSSGGGGVVDLADPSILQARMHQGGA NAAAGQGLYGSQGQGGYNHSNMVYSGGFGRW TRV_07184 MPAVLEIPPNHFLPLPFGASSLSSSTSKPLLLDRAELSRLQGDL ARQPSSSRIASDMTRVGVAVASSLPHHYGGYSHPRSDVQIGVDGRKDVTAFNHNTNSC SNNTWREDSTAQQTRPAQRQPVPLISQHSNINQETSSNSPVKSTASSVSSPQLGSSTS GNAGNLAEFAAQCYNTCIYVESLYLMNTDLSEILQVACLFWFAKASKLKQIEDSSSSY TPTSPLELEFAPSPGFKKWVTTVLTTTQVSKNVILLALLFIYRLKKFNPAVRGKRGSE FRLMTIALMMGNKFLDDNTYTNKTWAEVSGISVQEIHVMEVEFLSNVRYNLFVTKEEW NQWLAKLSVFSSYFEKASRLSLDVYSANQSARALPVHSPLTPSVDNYRTSQHTASQIY PASSQAVNTSQARLSLSIPQSNNASLGYDDPASHSTIPVEPLSAHRKRSWDDQANDNP AKRPATANTAWVRPSTQAPSQLYTASQLPSTAPPAQPQLPTSIVSMPSNMAPQLTRPQ PGYPMGSTNPPTSLAPLTGTQPISTAPRPTVFPVNSTPTRWSQPPVSQPTLAPLPVTN LQQSAAGGFPDSSRRSGSTSFPATAPSSTISPAVPAYSVRTPTHLSPSSILLDRNSPY RPVRSVNTLLYPPPAGSLLQPRQLSVDLMRYQPLGKRSSEHRVDRTGVLPYSQPNIWG EQYFSQVQPTTHTTQYHQS TRV_07180 MEGGPCPKSRANKPASCYFVAVVVVVAAAAAAGSSASPYKPRSR NRHAAHQPGIQSYRALRRKRAGMKLNGMFTQSSLKNKKKKRRGGMQKGCRRTSTSTTQ KTKQNKLMSPGRRPSRQHDD TRV_07181 MNREKQGERKEEEEAAKKDKDGEEEAKQKTDRAEGVAIGRGTNS LITWSEQEGDPSLPSFGKDINKKNREKWLPLRSKCLAHPPISGPDSMLLVSSGQSGFN KSLILEYHCCQAFYPGLALSIFLELRVTTKAPSSPSSSSLRLNCGITYEGENPDEVSL DPEVNLITRVALYVLRCHNHHAFPVECHVHIKNPIPLGRGLGSSGAAVTAGVVLGNEV GKLGLDKARMLDYCLMIERHPDNVAAALYGGFVGTYLNELKPEDLAWKEIPLSEVLPA PAGGVDTGIKPPEPPVGIGHYKKFPWAKEIKAIAIIPEFELPTAKAREVLPQTYSRAD VIYNLQRATLLPSALGQSPLDPDMIYLAMQDKVHQPYRKHLVPGLTEILSSMNPTTQP GLLGICLSGAGPTILALATENFTQIAERIIKTFEANNVRCNWKVLEPADDGATVVYHN N TRV_07182 MVSLLKQPSGASRPEWQKFLRQCLLQRISADEFHNLAKVMLRRH PISHMDLFDVILESRAVTDFDWDPLIPDYVDAVQKLTQVELFNILPSLLAHSSITSDI RRWKKQNEAQNQDMDQKVDEKGSTEPADSKGPATLLTDYGIIQNVITAVTTGHAPKVP LEFMDTCSAIADWILALVSWNAHLGSEKGEGSGTQLLATPDVVSIFIAVGFLFGALAG TEVGANALSFQHAGTYSPICAEISIPLRNRLDQLQKEFSLFLKGEKSNQDHVIEDTAV STLEFESRVVDIPPVSSRAGLYIYVNSLGNHMALVEELIAASFDVLSNGMYRNEPQQS MFLFRAFLVNKLPPFLSDLAGSVVEPIPVELCITRALARVDPNTFPSFSEIFSTHGNS VLSDVRQEFLFSCALHKLIPESSIERLLGENPMQTLPNGGRLVKHDLVAQINNNHERA EQLLNGIESMDGNARAIVEAIAETLDIMLLFKSPQAILQPLCALLDSWKWEEDQGESQ PVYDEFGSVLLLVLAFKYKYDLSYQDLGIFNPDSFMCRLLEKGSSSQKLEDLTEKQQQ NLGAWVTALFIAEGISDESMSSCSPQEFYLLVATLFCQSLSACEAGKLEFDTLKEPFL LPSLVMALSWMGKHIWKSGTDLTTTLKLLATLVKPTSISGEAQEIHRTVLSITARELA DELRTAKARHPSRTDIEPILQALEPYQSFQRTGTSNRSELEGWRSSSAPGGMVTGIRN TFSSLVLWSTDPEISMTPPSYTHRQLSAGLKHLGSVRVLAGILEELKLQSETGSGDLA IDIAATLICAPMRECFSLEQAAYQSVGGSLKDSLPRRQMLNLRDALNLQRESLSKMIE YEPHRAELIVRLARRVDTITSIPQIPQGVENIDVGNIMANMDLTGVEENGQMQIDVAQ QAEQQDQQHQNLTATGTDATPGTIDAILDAATAGVTAPPAGTEVTMAHSDMDASIFDD MLNPTDMGVGNPEFIDLDMEGMF TRV_07183 ALSSFLNIHLPFQRQPTPIFNSAADAALSTPPGGQPSGAPVAPS VSMVPYIRRLVATGMDYPGVLHGFFGDDWASGIGSLHEQERRNFFFAAKSGGWAAVKK DYDILPLETIPFLRPLQGSENSEIEAAEKSWSEWLAMEDWMIGPRSPDEMADRQSNTY SRHSRSTRS TRV_07162 MDHDLQAAIDASLRDAVRSQTSLQRRKSSVVDLTGDSDGDASAA RRAGKAAEEEAGDDDYDAELKRAIQLSLQSGRGPEHETIDVDTLEAGKATATPEPKRA ASTWIAGFDRKKMEEERLARLAKKRKTGEDGSALALPAARPLKASRREPPSGTSSSSA KISSIPPATATVAAKSVPGVPSTEPTIQFPEGAVKKTWAFRCERKDDIKLEEVLQPSD LELAVLSSFLWDMDWLLMKFTNPSTRFLFIMGAKGEERRTQLLRETASMSRIRLCFPP MDGEVNCMHSKLMLLFHANHLRIVIPSANLDPYDWGEKGGVMENMLFLIDLPRKANET IDDTTPFRDELVYFLRASTLNEKIIDKMLQYDFSKTAKYAFVHSMWVLFLFIFLLFFP FALGVKLRCLNTLTFFFIFYFDFDFLQHLKFCFELFL TRV_07163 MASKHSSKEDRRLKVLVIGAGAAGMSCAATLAKEPDKFEVTLLE KDNVPGGQAKSIAIDKAKFGADWVNIGAQGGPGIFRHTYNFFREYGHEPQETTLQFAF GKGKENFWTNVFPTSVVQEHAEEIRKFGELLTRVRQYSLLWHLPLKAFLRIFGFSKDF GNKIIYPATSIFFGMGNQGRDVPCGLYQGLFEDPDIKFCSYDMSTLVPFDHSVYAYPN MSRFYLDWAEGLRNKGVNIRFNTQVVKVFQRNKKGIIVETRRIDSDHRIGDSGIKNRT LSESYDKIVFCIPGDQAKDLLGDLATWREKMVLGTTQWCKDLTITHSDHAYFQRKFEV HYRDEIRGEAQTLEDKEHIKVAKGEQGAASRFQPSYFTFSYQARPEKMEVGYDCTRFQ YQFRNPNGTDDPLPPFEDHIFQSHFIDEKMKHVWTVDLIDQEKIIEQKWWHQLSHRWQ HFAKLVPAMKFLNGRNDTFYAGSWTYTNLHEVAVISGTAAAYRLGARYDKIDDVADKV FAYFLKMSHGIRFKRK TRV_07164 MDSQTHTSPGVTTRDSGLRLPSTTNPPGPQNPPKQLVWLIFGAT GHIGRSVVKSALGHNDLVVAVGRTFEITPESMGRLQQENKNCLGLLCDVRTRETVKAA IDRTIAHWGRIDIVANCSGYGVIGACEDQEEYDIRNQFETNFMDTLNIVQLALPHFRE RGAGRYIIFSSTSGALGVPGLGPYCASKYAVEGLIESMLYEVDCFNIKCTLVLPGHIR RDDNDLIPGMPENASNYLTSPLPAFGHFMIKPASEPYSGVTAPAAHARRILQWLGDKP PTSAVKSAELVWQLGHCSYPPLRLLLGTYAVESIRDRLKCVTEEIEDWKHLNFPSADQ PPQANPSKTEGDSSMKEADDQLASNDIQVTD TRV_07165 MDVFSAVSGYISKMVTTGDTAGTNSSSKMKILLLDTMTQSALLN HEVYLIDRLDNQSRERMRHLRCLCFVRPSPDSIQFLIDELRAPKYGEYYIYFSNIVRK SSLERLAEADDHEVVKSVQEHFADFLVVNPDLCHLNVGFPRQRIWSHSPDLWNSDALQ RTTEGVIALLLSLKKTPLIRYEKNSLMAKKLATEVRYQLTQEEQLFNFRKPDTPPILL ILDRRDDPITPLLTRWTYQAMVHELLGITNGRVDLSEVPDIRPELKEIVIAQDQDPFF KKNMYQNFGDLGGNIKEYVEQYQSRTKTNMNIESISDMKRFVEDYPEFRKLSGNVSKH VALVGELSRNIGEHDLLNVSELEQSLACNDNHANDLKSLQRFIQSPTVTVENKLRLVA LYAIRYEKQPSNTLPVLLDLLTAAGNVPPHKINIIPKLLAYHHSLQAPTVAGGFSDLF ESASFLTGARDRFKGLKGVENVYTQHSPRLEATLQNLIKGRLKELQYPFLEGGGHTRD KPQDIIIFMVGGVTYEEAKMVAQVNASSPGIRVVLGGTCIHNSTTFLDEVEDAVGNWP EPPPTTAAGRLRAEINR TRV_07167 MLSVQKYIELSPFPLTEGDIESLGQNDEEYIPHTWQEIKDVIAE GDMSVLNRAPSDLRNYILWVRETRNNNDSVIEFLLRERLLWKKKAQAEQAEDSNNTQS PTGLAFEYQDETPFAHPADYKILRNDWPYGLEHNIVHLVVWLKTRIPVEEDGQGGPTA ESRKLIEDFVDRTFTQRIVERHREVNGNCPNNIKEEKVMWFKNKKKWQTVASIEHIHV ILRDVDEDLVVGWTGQTSMDITARSYVWNGQ TRV_07168 MIREIDALDGVAGRTVDKAGIQFRILNRSKGPAVWGPRAQIDRS LYKKYMLEELSKTPGLNIVEGKVADIVISKENMTSLNGSQGEIVGVRLESGEMIPTQK VIITTGTFLGGEIHIGLDVFPSGRMGEAATFGLSKSLREAGFQLGRLKTGTPPRLDRK TIDFSTLEVQPGDSPPSPFSYLNDSVQIGDKGQLNCWAAHTNEASHAVVRDNLNKSIH IRETVNGPRYCPSLESKIIRFKDKPRHMIWLEPEGLAPNDVIYPNGISMTIPADAQEK MLRTVRGLENVTMLQPGYGVEYDYVDPRSLRPTLETKLIGGLYLAGQINGTTGYEEAA AQGIIAGINAGLASQLKPPMTLSRADGFIGIMIDDLITKGVSEPYRMFTTRSEYRIST RSDNADLRLTAKGRAAGVVSDKRWRQFNETKEQMSYLQALLENTKYPSTVWSRKGFPV RTDSSVRSAFELLSHNGVSLDDVIPHIESAPGTIHSLSSFSPEIKSRMAIEGRYAPYT KRQEATALLFERDEGMLLPPDIDYSTMLGLSTEERQVLEKVRPVSIGMARRVEGVTPV GALKLLMHVRKLRLLELRKDESACSIADRDASTAMASSL TRV_07169 MRAYWFDDAEGDQRAPHDSGRAVDTDYLARIGVLYKKCPSVDDV NALASERGYKNRDEITVSPEKMGAVYEEKVKSFFDEHLHEDEEIRYILDGEGYFDVRS RDDKWVRIKVEKEDLLILPAGIYHRFTTGESNYIKAMRLFQDEPKWTPLNREPALDNN SFRQSYVAAHV TRV_07170 MSSLEIHRLNFLTRLSFTQGLLLGQLSVVLLIGAFIKFFIFGEA PSSSSRGISQRAAPRKRSYSANSTLFRDAASRSLKESASSNVLRPVPSSSTNTKSILR KTYYNAIPTNFQKNGRHRLHHSTHQPESLDWFNVLIAQLIAQYRQTAYLLKDSPTSSI LDSLTETLNNVEKKPSWIDRINVTDISIGEEFPIFSNCRVIAVDDPNSDGGRLQALMD VDLSDDNLSLAIETNLLLNYPKPASAVLPVALSVSVVRFSGTLCISFVPSPGTTSESS PNPPSHSEGQNEPRPGQEDPGRPSSKDGVRSGIPKTSLAFSFLPDYRLDISVRSLIGS RSRLQDVPKVAQLVEARVQSWFEDRVVEPRVQLVALPGIWPRMGRTGVRAQEEHDAVS VDSDDPEAKAAQSGFTPVNANRDGPQTSRDLNMDGLRYRRGNGGGQSTADNYENLPQG DGHPGPTPGEQFRIPGALPGTSAIA TRV_07171 MFAAVTCLIALSALLQPVASYGNNKSPSRNAVLLSDVQTLTFHA NRKTTHRRVSAIPQLNCVGPSKEICSLYKPDVIRCSNEGYDYDENDVQWTCTAQLPPE FKLGGTEVICEGYRNSNDPWILKGSCGVEHRLLLTSMGEKRYGHIPHDRDGYDESIWS TIIRMAVFFGLFMAVVKLLQYCTGIQGQGWGRNGAGGGGGGGGGGGGGWWPPGPPPPY DYQQPRFAKDDWQSWRPGFWTGALGGTAAGYAMGRGSRRGGDNTFRSGSNNAAPGPSF SSPTRESTGFGGTRRR TRV_07172 MAQPPAAMADTTTAPQKMAKRKRAAEDVAAEVVADAVREKKPRT SRKRASTPENSESIEIVSAVVTMSDLCRDLRTGKTSKREMELRTMDWAEIARKKKERE ERKKAGSSGSQSAKGSSMDTPKPAEKKMRPSAEVTGPQMRIVNGEIVLDTSSLQIDRH ADADRNADDMEEVEENPLSRRINAASFGKRTKLETWDEAATDLFYKGLRMFGTDFMMI SKMFPGRTRRHIKLKFSNEERREPERIKRTLLGPREPVDLAAYSEMTNTVYDDPRAIQ RELDEEKKRIENEHAEEQMAREEQLRNPGGKPGDKVLPSIEGESNEARRRREKKKAEQ AKFGGGTEEILGSIDD TRV_07173 MEDRKRLATQDPGDSAPPLKKQATTVNGGVKAHPDTDMPWRDDL EVRKALSSYLHAMSMDETVFVLTYSVAFAYFFFGQKVLTHYSFFTQRYQKDAIWRQMQ EYKREKTTLESRIKDLSKKMAYNEEHVSVLDAWLKQLIDEVKVISSSVDESSEENPTF QTSLLFSGHESFEKHLQDRASEIKGSISRLLSNAAKATPDITSLQSQLAKKLADEKAI NVAIEKAIAEKQQLEERLEAASLRYMVAEKKIDRAKSLTVARLEKQHILGPHKSGDSS TKRDEGPSTNGTTDNPEKATEMEMLYNTTLAVSNKQKEQLERLEAENSKLLTQITELH VKLSKLSDEDYAHCDLFKQLKSQHEDVIQRINHLEATNIQLREEAEKLQAERTAYRVQ IENEAQTTIGEKEAQLAKTEFNLVRIRNARDELLADQQMRKAVEDQEKHSVTQLKELL DAKESRITSLEQEVQRVQLQIDGVKDSRPNSSELSHEELRTKFDTLEKQYEMLNMELS SMQMAFRKSSKLASQKIADTAAAEERAQRLMAEKSKADQKYFAAMQSKESRDAELRSL RLQNMKSSDIISQLKEAEAATRTLVSNVEKQLSETKEALTSLTNQYRTSQHQVNEATI VIQGLKSQVAELKGQLATKDATLTAATNNCRKAESEVEGLKVTLSDTKKSLESWKSRG LGNNTSEYEMLRTLALCTVCRRNFKNTVIKTCGHVFCKECVEERLTSRSRKCPNCNKS FGSNDHMHITL TRV_07174 MTTNRKTTRNATGDKAKGISIICTVKNGRIRDKIIGLTVEYIVP SFESIPISHSLLLLSDSALPLGSFAYSSGLESYIAHNKPLPAHVTPFTSFNRFLSLSL ASIASTTIPYLRRAHRQPEELERLDNDLDASTPCTVARRASVAQGLAMLQVWERALKS SAIQRIQEHQHDSQHHTVRAVAVLDQFSESLKNVGVDEEHDEVNGTVNGHFAPLWAVV CLALDIDLEQAAYVFMLNHAKAVLSAAVRASVMGPYQSQGVLAGNALQRTIAELLQKE WWTEPEDAGQVVPIMDLWMGRHELLYSRIFNS TRV_07175 MNCTICHLRDCRKDGGGDVSYRLLLSEAFLVEKGQKLTGLANQM QASNFNIFTGRYTGTPSTSLLAYDAIKSDIPIICVSAMSGRRLLDAISFFNASRGVAK KHIVLQRHALDRHARTSSLTKEAKTQIQRISLAVQAASDLARKLDDDPTPGVTLADYN NARGRGVSRSEPSKVDDNTGTTGTKIESTEAPGVGVKAGFSTVPDEGPNSEQKEDVAT NEENEMSDEMMQQLFRSRKVTRSLFKNQIHKPGQVNLKSETIPVLKPQTVGPEAVLET KTQAEGVKLDSAGNAEVQSDVINTNNVAPQDAYQMVQSRVPSSRIGRLWEYGGLATSM AMGVVGAGFRRATGGDDSGSLLLSPANIERLVAKLSKMRGAALKLGQMLSLQGPNWRD LFESFDEVPMAAASIGQVHGAVLKETGQRVAVKVQYPGVADSIDSDLNNLSILLTASR LLPKGLYLDKTIANARTELAWECDYIREAECGRKFKELLKDDTDIFTIPEIIPYASGK QVLTMERLDGIAVTRVHSFTQSQRDWIGSQIMRLCLREIGEFRYMQTDPNWTNFLYNS ATNRLELLDFGASRAYPAAFISLYVRLLAAASRNEREKCRELSQELGYLTGFESKAMV NAHVSSITTIAEPFMKSSPEVYDFRDQTITDRVREFIPLMLRERLSPPPEETYSLHRK LSGAFLLCARLGSRVRCRDMFEEMLEKVEFVDERYKGRDDIPVAQ TRV_07176 MSLRTRLRLAVSAGIVLILLFYLSNNKWTVPALGYHKTPVHAPS KPVENSPQLHASFWSAFQPVLERYAPTCQPPKKEGRADTSEIGFHPERNDQKRPDHIR MPAADVLSMKKLHTDFVVEADSGRHRPYYAPGTRGLVSTAGGPYLPVLTVSLRMLRNR GCSLPMEVFLATNAEFEPYICNQVFPALQAKCVVLENMLKTAPKGISISNYQYKVFAM LFSSFEELLFLDADAFPLHDPNELFHKEPFSSGKMVLFPDFWASSAAQQYYTIASLPA PNMNKRASTESGEIMLSKKHHAKTLLLAAYYNLYGPQYFYPLLSQGAAGEGDKETFLA AATVVEDDFYQVSERLRAIGHDSPAGLAGSAMIQFDPTEDYALTQKGHWRVLNDSVAP PPRPFFVHVNVPKFNPATIFGKHPIDPVRDHTGKFIRPWSVPVETIKLMGDGIEKQFW SEMKWVACELEKKFKSWEKLEGVCDNMKKYWNEVFEKQKPKQ TRV_07177 MGNAPSKAPGATAGLDKKVNRRTSIQALSSSKAPAADPSHSRES ATGHHAALKQQTPVHQRLQSRTVETPSFGGERLERHNSRKHREGDQYDLPVHSHFRGS QAAHAQQAIPASDNVSAPSNRDQGEALSTPRPVNIYYATPSNLTRPPRLPLPIGDAMT APGSPITSGSLGAAMAFDKANPEVSAEKSELDPAVDEDDVVQDELDSIVPTGFNKSVP TTIDWRGGGEKVYVTGTFVNWARKFKLHKSDIENGVFTTVLQLRPGTHHLKFIVDGTM RTSDQLPTAVDFTNHLVNYIEISADEIERPRRESDKPPKVIIPPEIFPPKVLPESLPI DTTEPQEESDKEEPEEEIPMGDFRNIIPQFLVDIDRNEDGEGYHQAANVIRDSRAPPM LPLFLARSILNSTTPMKDDNICHHNLVQTDWANNMMMFLVGFRGSMLLIPHLG TRV_07178 MKFFAVLFLVPFLGGALAAPSPSEMIAEAPMQENSDMDASSQLL SVTAAQCPPSHPLYCRAYNFCCVRQAVSCCPRACCARGTTHCGSDGHCYRRG TRV_07179 MDKLFPHSEYPEDQEHSKTILTIHVLRSGLAAGSILSVLSGTLT TLYKEPSALLSSRHGNRLLIHASRGSVAGFLLSGVMLTTTMWGKEDIEWQDRAWLLLG SEGQCEFDKWLAAGGVLGGLGAMALGKGRTAASAAAAINKKPAIVVKPLEAIMGGISL GTASGAAGCIAWRIGVHGSFF TRV_07137 PSLPSLPFDLEIHPVASQASSSMMKEAGQRELALQHATAFYLLP SAGYTEYLYINNDPPALDSGRRRGGYSVTADGVVEFFFFAGRTGCEDVGFIFASSSHN KQPASQPAAPRLQLPFFSLMPRARARRPSSSGSGPGSGRMIPNGSADGHVFHVVVLGA SGGPREDTATGMLVRSTATDWARGSVVAVDAGTLLSAIIRILETDSPSELDTDSSHAR THLHGQRRVVSSGPFAGLELPFVSAEANAAYIFRDILAAVLITHPHLDHVSGLAMNTP AVEAQSGPKTVAALPSTIAALKNHLFNDITWPNLSDEDGGAGLITYQRLVDGGNQRLG RGPAKGYVRACDGLMIKCLGVSHGRCRQRYNPETEKHHRAESTVFMTDPVLFPSRRVS IDASSLRSYSPSTQAQQLSLSTNGKDSSIYATVESSAFFIRDDLTGAEIIIFGDIEPD SISLEPRNERVWEAAAPKINDGCLRAIFIECSYTDAVEDSALYGHLCPRHLIAELEVL AGKVLDSQKPHKGDSRKRKRASSFTGLPPPAAPAAEQSNAPLSPKSKENKSPFAAPSA PKTRSATIADSATSRHNTRQSAERVEFPTTYAPQPQGKRHSHSTSSAAGVPDPMAMDT TTDSPTTSGPQTRYRTSIGGTARPLPLQDLTVYIIHVKDTLTDDGPPRDQILAELRQL GEEAGLGCEFHAPLSGEGVFI TRV_07138 MSMKISVYEKLLTKLIPSQSPDVQQAIRNALHENSTVAEDDVSM SDENSPSAEDTIEDENSVLFGIASPLRAYSGRRKPVECVGETSTIRWLGMLLVKLQLP NFMSEENIMSMASYDLSWKLETTAKIDDVNEKCNYFSGEAELPQLDMMHNLTSLPPKD VADLLVNAYFTTVHPLFPVLPKIPTGEVEDHSIYCARSQALAQGIVDPRGYPDTYSSL EPIQLIAIRGVYQLSTYQITGAWTSVTTALKFAYNRSLNVQATAPELSGESKQLEARV WHSLRSLEQFLCILTGYPSEFQGQPIHIRPFQTTEPPFIRSPLPLANFNILGSTLDLE LSAVYSFDEEYPSSNFITGLRLDEIVSEAITSLYGMKSLDNTWAHTQRLISDLDNKLS QWYASIDPGFPYTVQSIDLCAPPSTSLTYLHLRYFSARILINKPVLCDPSELILTIPY QSEASRQMDSDAAVRCISAARQILQLLPLNIDIVELHGKTPWWCILHYIVQASSVLIT ELSFDEPHLPMEMDDIISQSDLAIRWLSTLAGTCESASKACHCMNSLHHLALEKRERA SQGHGQ TRV_07139 MSLVGFGRLIQGRLFPPANPTASLEGKTVLLTGGTSGLGLEAAI KYINLGVSSLIIACRSIERGNEAKKVIEQRTKHASSSASFDIQIWPLDMASYQSVTSF AQKINNEVPRLDIALLNAGVMHRKYTLTPDGWEETLQVNALSTALLGLLLLPKLRDSR DTATGAPAHLTFVSSGSYRHAKAGELQPDGVNSILQHLNSEENFRAHSQYRLSKVLVE YTAKSIAALTRCDDGSLGVIVNSACPGFCRSRLGREYDAWHERMFVAVFELIFGRTSE QGSRTLVSATMLGEESHGKWWRSDQYPE TRV_07142 MDALITAELAKLDPSVPFRATKSHLHHTWAKTFYSRPELYIQPE SVAEIEKVITLARRCRRRIVTVGSGHSPSDLTCTSSWVVNLDNYRRVLSFSRDTGVVT VESGIRLRQLGIELEKNGLMLPNLGSIDEQSIAGVISTGTHGSSLKYGLLSERVLGLS IMLANGQVVRCSEATNPSLFRAALVSLGAIGIITEMTLQAVPTFNIAWQQSLKTLPQV LDTWDSGLWTSTEYVRVWWMPYMKRAIVWRADKTDLPTRDPPASWYGGALGYFIYHNL LYLSNYVPRILPWVEWFVFGMQYGFTPGKTITEAVQPARTGLLMDCLYSQFVNEWALP LEKGPEAITRLSAWFHGDEETARIPFSSESVWVHCPIEVRVSDTSISKTPRPYLDSTY PDGPTLFLNATLYRPYLRDPPCRERYYEAFEWLMRDLGGKPHWAKNFGEDLGYEALRE MYGDNLDQWLQARNEADPDGMFLGAWHRRHLLPPSTAASQSPGQTLAFEEQLKEQRVL GAPGAGDGIEWIGTIPGCTPHGNGDMEDGANAAGSHPSPPTTSASEESFDHLAKGEAS ITLP TRV_07143 MATSHDADGSEDVNDFLVRIRELGNKRDKEDEERTRKLEEEILQ GRRERQARRAERARSISPTKDSPLQQQLDELIQRDSGPSTPLSHSIQPPVDLLPSSSA SRDNSGPPRRDSEAHQSETPPKQPSPDTMKSSPLGGRPLSWKQRPMSRDQSSPSPVTL KPAIQEEEEKAAADTRATGGPEGKEEDDAAVSRTQIAQSLGSKDPAFFKQTSDRGEGS RAYRRAHNSSFSEASTSRSNVKLPGLSREAVSDLGTRPASELGEERPRSPSRTSSVYG GSSIGNRYSSVSSATTAGLGSPVPLTSPRRHERASSIYEEQQTGDHLTMSPTQGRLAS DRPSSPTKGLGGFVQSAMLKRSDSVSKRWSAQLPTNSVRGGGARALPSPAMSPDGFSG MPSRPGSRPDSRPGSSHSDATVVRGEGDDASVISRSTDAYARPPSRRRESVSTASGRD SGLPVSPTKTMDPRRWSPTKASWLESALSKPDSPKPKPRVPEEPEWKKDLTDRLRKAK ELNQPEKTATPEPEKAVPPATKQPDPSSSPEKSQSPILKESSSDLKIDPPQDAIESSN PSPSEVLPPKDSTKSPPIPAKSEFLKSETKGSVSSPAQDTPSKPAAPSVKSSQIDFRA NLRRRETVGDSVKKDEPEFKAVFGKLRRAETKNYVAPDELKGNILRGKAGLTVTGGPK KTERVDEFKESLLKRKEAMKAAGGSIRPDHTGPSNNPPPQDSTPEAILVRQNLGRSNS IRNTVGSKNAPAKPLSKPAALRSSSSEEIPKEKESQPPQAVESPPTKSPVPSPPAETE PLTTSLVGSNATMTAAPPSHDTSSPAAKGKLGNRMNPMLANLIARGPPAPSKAAPSAS VSTKSTAEPSTPEALTHMTKSRARGPKRRLPKGTAKESAPGATKPDDTAPEKLPEARP ATSRLPSTEKEPVTAPKHLNSELKNKFLTSNSEEKKSEVPLHSSLPSTPSGERQLPKA DLAAPSRSKSSPAENKDRPSPPPKSATLSTSPLSLKKQTLVDDSPVPQKARLDSPLEK RPVQSEAKAGLTDFTTLAPPRLGKPSFLSGLNANRPREQSRSPSPTKTPAFAQSSEVS QMFSNFFLNQPTAEDKVEIDPASVMMGKPESYPKTTTLTKHVWELTGDGKRKDLPGNQ EYILFDESMYLCVHTFEKSSGGRATEVHLWCGDGVGEATAEDAQLFARKVAREHNCKL ELLKQGKETPNFIQALGGIMITRRGPSSRSGSSAQYMLCGRRHMGQIAFDEVDLSISN LCSGFTYIISGKNNRLFLWQGRGSTADEIGCARLIGMDIGPTGEIEEVAEGEEPSSFF ESFPGQEKAVPPSADYWQLKPKHDKYSCRLYRIDHELGQWFGASFFNRRGASSPVSRP NDTVQEIEPFCQRDLDPAHIYVLDAFFEIYVIVGDRSNARSAEFASALVFAQEYGILA VSEQDRPFLPKSHVVLEGLPTECKRAFRNWNGRHSLGTLNKTPIIIPLAVAIDAIR TRV_07144 MAESSQQGQENGQERISGVEQWGDYIAAMNAFKAIASNIHRPPD KSKALAPATNAPVPRPGKLAVPALAPTDAPVRTLSAPAEAEPSLHVLSNASRAVTTSN EAVSDQEASGASSLAAISPSEGMTPRLSSVATANDTSSLKMEGERAEASQTPAGDSNK AQHSQLTGKYLLWNTYMLCEPQLKSHYNLAGGSDGSDEVVFRQDELRMVGPNIPYGFR KNETLKAPKSVGQIKMNYPQEQENNDNHPPHRRRPSRGRNYRKHNAPARSIHKQGSET NGVVLKAPVTAIDRIKEAAKAELLEFQKKEMERYGKKVMGLLPEESPESIALSLARQG PGVDPDSIFCKQHPLYLLTDLAESLKTQTDEAQGRLERGDARSIDDDQQSGPAMNEWA LGNTASAVAVDWQYRPWEKYGDEFFAQKFRNWLDKTMRVGFIVDMTQEEFRNGEYHAN LDTGMGPSTFVCPETLRDISDPETLKHAHETAIGYVHNWNLRIRQEEERKEAEQQQRK LLAIMPKSDSAFNEPKSLEPKLNMYIRPVEPKDIIALVELFNWYVKNTVRRADTEEIS FDEMREHVEELQRDNYPFIVAVQRHPRAWHAMNHEAEMLYGFCCISDFTGPLSTQRFS YDLEVFVHPKNFKQGVASCLLDKMLEICDPKYSARGGYIFDCSSRGKDVYTCGLSRPA MRLCAVVHHSDEEFAEYTWIKEWLGKKFGFEEQGYLGGFGVSKKALVNAGYMVYSTGI DIPESE TRV_07145 MARLDPEDDFVFTLSDEEAPNVDSDAEIVVNNKGKVAGTKRRRD EPENSQAEQSTNSKKQKKQKKGGINATNSNKTDKGTNDDAEEEDEFGEDENGVLNPDF EFDVGGVANGGLVEDFDGWGDEGRNKTKVTEKKGVDIDDILDRRRQKKLEKEERERKK AEKRAAEEHEDMDNDEAEAEDNDMQVDLDDDELLAPDAFGMGAQGASESGAEGEEAES DEDGEESNPEDQEEGGDDDEDDDDTNSVASAVPHPDDLASDEDGSGSESEDDDEIRKQ NEFFAPEEGMTESKSTSGAPASFQNLSLSRPILRGLASVGFSTPTPIQRKTIPVALLG KDVVGGAVTGSGKTGAFIVPILERLLYRPRKVPTSRVAILMPTRELAVQCYNVATKLA TFTDITFCQLVGGFSLREQENILKKRPDVIIATPGRFIDHMRNSASFTVDTLEILVLD EADRMLEDGFAEELNEILTTIPKSRQTMLFSATMTNSVDKLIRVGLNKPVRLMVDSKK QTVGTLVQEFVRLRPGREDKRLGYLMFLCKTVYTNRVIVFFRQKKEAHRVRIVFGLMG LKAAELHGSMSQEQRIKSVEDFRDAKVSYLLATDLASRGLDIKGVETVINYEAPQSHE IYLHRVGRTARAGRSGRACTIAAEPDRKVVKEAVKAGRAQGAKIVSRVIEPAVADKWA EQVDDLATEVEEVLQEEKEEKQLAQAEMQVTRGKNLIDHQEEIMSRPKRTWFESEKDK RASKKRGLMELNGPDSVKKGKKKLSGKDKKKLDDTRMREEGRVWKKGKAEREAKPGSQ NKGKKKKNSGKAGKKR TRV_07146 MLVGIGYKEIEVSFPSASQTDFDFTQDLIKTPGTVPDDVWLQVL SPCREEFIRRTVDSLKGAKKAILHIYLATSSCFRRIIFNMTKEQSMEMAVRCTKYARS ITKDDPATAGTEWRFEFSPETFSDTDPEFALQICEAVKEAWGPSEEAPIIFNLPATVE MSTPNVYADLIEYFSTHISEREKVCISLHPHNDRGCAVAAAELAQMAGAQRVEGTLFG NGERTGNVDLVTLALNLYTQGISPGVDFSDILSIIRVVEECNKIPVNERWPYAGKLVF CAFSGSHQDAIKKGFQARAVAGLKDDDPWEMPYLPLDPQDVGRDYEAIIRVNSQSGKG GVAWVILRSLEMDLPRGLQVAFSKIVQKDADRLNRELKPNEIVTLFEEAYHLKTNPRF NLIDYNITADRSTSPAPPAPGKAVNTQNLKRRFTGIIEIDGIQHGIVGVGTGAISALA HALNSLGIDLDVVNYTEHAIGRTRDVKAATYIECTAAGCSQSVWGVGVHRDVVQASLI ALLSAASSFLTSRASTLFRPTRTQNFSQADIDALEQLQGYMPLPANGSENKKVNISAL ESAAENL TRV_07147 MAEDNPELQAALRQLDAELEDGDITEKGYQKRRALLLSQYMPQP AASQPENPPLHRPLSFEQIPRGSETINVSSGERPAGPGAHAPLPLNVSPPSTRQQPEH HIPSHLLGPSSGEQTTGADPNYGPYLGSQLSRIQEQELGFSLTPSAPPPTAPIGPTGN RNQSVGSYDSLFLPRGPTDSYEASRTGTLVSQNYAFNPNQQPEIEPPTRHSTIDSQQA YFSDFAGHQQEPRRDSYGQNLQRYSQMEPFSPTANIPPAPMPMSAMPGGAAVNHLLPL EPRDIPFDVQDPHNPNIPMSSFDNIPTVLRHRARTLPKQPAYWVLDQRGKEIASITWE KVGSRAEKVAQVIRDKSNLYRGDRVALVYRDSELIEFAVALMGCFIAGVVAVPINNLE DYASLNVILTSTQAHLALTTENNLKTFQRDITTQKLNWPRGVEWWKTNEFGSYHPKKK EDVPALVVPDLAYIEFSRAPTGDLRGVVLSHRTIMHQMASFSAVIASVPPSPDRPKSP AEILMTYLDPRQGIGMILGVLLTVYGGHTTVWLESHAVETPGLYASLITKFRATLLAA DYTGLKRAVYNYQQDPMTTRNFKKNVEPNFSSLKLCLIDALSVDCEFHEILADRWLRP LRNPRARELVAAMLCLPEHGGMVISIRDWLGGEERMGCPLTHEMHPVEEDKDSKTEEK EKTDEPKPTFGSSLIGGAPTASPKTESPRNELSEVLLDKEALKNNDVVVLALGEEARK LADTTPNSVRVGAFGYPLADATLAIVDPETGLLCTPNLIGEIWVDSPSLSGGFWALPK HTETIFHARPFRFQEGSPTPVIVEPEFLRTGLLGCIIEGQVFVLGLYEDRLRQKVEWV EHGVENTEHRYYFVQHLILSIMKNVPKIHDCSAFDVFVNDEHLPVILLESYAASTAPI TSGGPPRVLDTLLLDSLAERCIEVLYQEHHLRVYCIMITAPNTLPRSTRNGRQEIGNM LCRREFDNGTLPCVHVKFGVERAVLNLPIGTDPAGGIWSPSSSAVRQEALAMQEKQYS GVDIRDVIMDDRTSTPLNNFASIVDLLQWRVSRQGEELCYCSIDGRGREGKAITWKKF DTKVAAVAAYLKNKVKLRQGDHVILMYTHSEDFVYAVHACLCLGLVIIPMAPIDQNRL SEDAPALLHLISDFHVKVILVNSDVNDLLKQKVVAQHIKQSANVLRVNVPQTYNTAKP PKQSHGCRHLGFTLSEAVIKSHLPAMVWTYWTPDQRRLSVHIGHDTIMGMCKVQKETC QMSSSRPVLGSIRSTMGLGFIHTCLMGIYVGAPTYLVSPVDFAANPSSLFHTLARYKI KDTYATGQMLDYAMSSMTAKGFQLHELKNLMVATDSRPKVDKVRLHFAAVGLDRTAIN TIYSHVLNPMIASRSYMCIEPIELWLDTLYLRQGYIYPVDPETATNALLVQDSGMVPV STQIAIVNPETCCLSHVGEYGEIWVQSEACAKAFYGSMQEFDLERFRGRIVDGDPDAV YVRTGDLGFLHTVTRPIGPGGQPVEMQVLFVLGGIGETFEINGLNHFPVDIEVTIENC HRNIVKGGSAVFQAGGLTVALVEVNRKAYLASMVPVIVNSVLNEHQVVTDIVAFVPRG HFPRSRLGEKQRGKILATWVTQKLRTIAQFNIRDGGSHEMKGGEGPERRQSSKAGSIM DSSILQPQTHSPYPEPEDYGQMQQPPVTGPAEHAEQYPLLSEGIVDYIDPSLIGTDNA EHYQDPSTVNHDVSHHQPLHAEEQTLPTGEGVEREFSPAGNPDAQRVQSPESYAGNGS GGSINRTASPAVAPIAGEVPRHNSTSPPRSGLRVHNGDEPISRPHSTAPDFGIFEKTQ LLPPAKRKEVPTTYTLPVRNSSIQKDNFHGPNPAIVGHENGGTHNNTQYDDEAYDIID NWTEDTGASHPQHQ TRV_07148 MMFYRHFAAFAAIITSTSASCLHGTSLAPRLVNHDGTVPIATFN YTGEGGPLHWVGLNATANRECGHGQTQSPIVINTAIVPQVPKGSVTMSIPSVLSAEFE NLGSTVEVIVGGKLKANDKDYELVQFHFHTPSEHRIDEEYSPMEVHFVFQTSDKSIAV VAFLVELSPYGRSTPLLTQVFAHLHRITTPGTVTKTKRLDFTKLLKHLHAHDIYTYTG SLTTPPCSEKVSWYLSAAPLTISVSSFNALKKIVKVNARYTQNSPGLKNLMEIAALDV GCPSSRQ TRV_07149 MAPGTAVSASSKKRRFQVPITQYFSPSPSQQTESEPSSHFNYNA PTHSAHPSLPPTIQSSLLAVGMRVRKAVPEGYRTKLIAKANNHQSKTTPRDEHYTDSS ISPAYTELIPFSGAFKVGNYGVQSFPQPSAAVTEPMTAARQLDEESLPFSSQESTQSF ESSSSIPRPNSYKRGFEIEEDDEDFDGQDSFPSRIHPSHSRPILLPRSGRQRTLRSKA SSPSSSLLKGRSEQENWDLAFNFNNGGGGDFEDADFLKRREDVDEEYLRRAREVRMGG V TRV_07150 MAAPSAATDTGIISLIDLIDFVAHVAECYPEITKDFPQELIEMI SLHHAVLSPDLREKIVGSLVLLKRKDIIDSSTTIQTVLFNLVVADRSSSKALWSVKIT RELWKRQIWTESKAVEIMKEAALADNEKVIIGGVRFFLGGDKEREELEDESSDDDVID VGKVKHQATINKGSKKRAKAIEKAKAIVKKKEKKKNAPHPLNFSALHLLHDPQGFAEN LFQKHLQNTKAKLNLEQRLLVLQLVSRLVGLHKLTIIQLYSYFLKYLTPKQPSVTSFL ASLAQSCHSLVPPDTLEPLIQKIANEFVSEGSAAEVASAGLNAIREICVRQPLAMNDT LLQDLVMYRKSKDKGVMMAAKGLLSLYREVGAEKLKRRDRGKDAAMGLKVGEKKSQRY GEEEIGGIEGLELLEKWKEEERRKNRRENGLPSDGESDEDEENENDWAAWNMEEDDSD DSGGWIDVQSDVDIVFSDSEDDEPTAKKAKTDEDATKAGDDKKTEESEAKKISRLATT RILTPADLAKLAELRAAASVNALLPENKRKRLLQQAAANRHADDPLTAAEIEGLAALS AGKQTREEKIAHVNETKTDRSEFKSKLARKKETKEKLGKSSTNKEKARKKNFMMTLGK AKAKGKRSLVETRATLRAHHERNKRGGKRGNQG TRV_07151 MSDGEVEVETGAYDVLPKDVTAEMGNIKLFNKWSYEDIQVRDIS LTDYIQIRQPVYVPHSAGRYAAKRFRKAQCPIIERLTNSLMMNGRNNGKKVMAVRIVA HAFEIIHIMTDQNPIQITVDAISNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQAIA LITIGAREASFRNIKTISECLADELINAAKGSSNSYAIKKKDELERVAKSNR TRV_07152 MSIEFRSDDGTVTDDHVLAVWSRTFIEAGERIGKTFRIADLAKK YMDDAGFENVVETRFKLPVGGWGKDKQIKRLGQWNLLHCEEGIEGWAMALLTRVMQVC RCPDFFFSPSAAPGVQNFDFRHLLQWTYEEVQIFLAKMRAGLRDPKTHAYFYV TRV_07153 MAGFTGYLLDPSSLTILATVAGLGTIVWFAAVVIYRINFHPLAH IPGPFLARATHLYSFYFNGILDGKLYLQIDKLHEIYGMIRSWYIYKYEKSKPSLLEYY VGPVIRITPDEIHLSNPENYDKIYHVGTPYNKSAPFYDAFGVDKATFTTADNNVHRMK RAAFNPFFSRKRVLELEGIVQSKAKTLVRRISTALKTCGGIDLHHGFRAISIDVISDY AFDNCYNFLEKEDFGAPFFDMIRGFGPMFWFFQQFPVLRPILLGVPPWVAHLTSPSLT RMLQFHQSSREQVVRVKRQVEANEKPERTTIFHQFLNPEFMKEIPTVEQLKDEAYIVV AAAADTTGNAMTIGLYNTVSSPKIYAAVTAELREAFPDPNGDIDFVTLEKLPYFLYAP RQQLTGYISLSFGVAGRLPRVVPESGAEFDGYKVPAGTTVGMSSWTMHRNQDIFPDPD KFDPSRWLDPKVSAELERYLVAFSKGSRACVGMQLAACELYVTLGSVLRNFPDLTIKP KTREELLYMDYFSSYHPEKYNKFYFELPSSKAEA TRV_07155 MLSAGETDVEAPRYEYNWVDGAESLGKYQPGGYHPIMIGDVLHD RYRVVDKLGFGGYATIWLAQDSLLERYVALKVGIADSLPRETRVLRELSTSASESTSS SLSDRLRNYAHGSIPVPLDEFKIHGPNGTHPCYAMTPAQCNLREASYSRLFHIDVARA LSAAVTLSVASIHSRGFVHGDIHLRNVLAKLPSSFDNLSVDKFYEEYGKPETVPITRS DGAPLPPNAPAQAVIPISFGKYAEDFTLNDSHVLLSDFGEAYSPTSEPRLGKECHTPL HLRPPEARFEPDAPMSYSADIWGLAVAIWEIVGMKAIWSCEFATPDSVTKQHIEVLGP MPVEWWERWDERHEYFDENGKPTQGREVWPPLDQAFEEGVQKYRRKIQPNGVFSEEET TAFLNLMRQMLAFRPEERPTALQVLQSEWMVKWALPDFERSLGN TRV_07156 MAATSAVSFTRYFFYVGNTISAESKRSLVALAYATARTMEVAPE AVLVRSDIHDTTTIEGKHVKDPKGWHGTFAFKNGNHVQQEFHVASHGYTNGKRDFVLK EATHASPKPDNTPRGAKDSGKVVWPQEHHLEEYVDSPIAYSHLPQKP TRV_07157 MRFSTLVNLAACAAAALACDSCSEPGKPAEHKRLVRRMQPEALG ALTKPKGPLEWGQINFLHTTDTHGWLEGHLKEQNYGADWGDYSSFVKHMRQKADRLRV DLLLIDCGDLHDGNGLSDSTSPNGVISNEIFSRVDYDLLSIGNHELYVTDVAYETFAN FSKVYGERYVTSNVQIINKETGKYEYIGSKYRYFTTKHSLKVMAFGVLFDFTGNSNVS KVIKAADLVQESWFIDAVKTPKPVDLFIIFGHTPARTDDKFPTLRTLRQKIQELRPGV PIQAFGGHNHVRDFVVYDETSTALGSGRYCETLGWLSMTGINSRTFRGSMKPRGVPNP TRRAIKGNATTSTQPYQHPHKGLDLRYARRYLDWNRLTFAYHASKSQDRQFDTQKGLK ITHDITDARKQLNTSTVLGCVPETYCMSCVPFEAKNNIYQLVIDMLAKVVVKEDRADK PRLLLLNTGGVRFDLVKGPFTKDDEYIVYPFKNQFQYLPDVPYSIAKELLNALNKGPY QRRSEEYSPMAPQLSTNEVCANPSPEFVQLKRREAPQPYKPITRRTIDSSMLYPGYVT SDDFGLDGDDTPHSKIPYFKVPIDIQANASFPTNGSMPTVVDLAFVDYIGAKYVIPAL NKLGGKYSASDIQSYKDFGSSSFLREYALKFWQEGLPNCTTN TRV_07158 MINTLHLTRLSAYSRPITWQLPVYPATRTRRFLWTSISSSLKSF LPKLPERRRLFPTNGFQVVDSNIAIEEEAIPDYKADRFYPVKLGEVFEGRFQVIAKLG FGSSSTIWLARDLRHVSPHSLCLKYLAVITLSNCCRRHEYVALKVYMHTSKYLREPPF YDHISRHLTNTKSQYERQNIRRVLSTFQLAGPHGIHPVLVCEASQMSLRDMKTVFFPN GFEESFVKAATIELLKAVEFSSTPAQGSFTPVYIHPGNLLLGLYDNNVLQVLEKMEFD SPVPRKPVSDSRTIYMSRLGKPKEGPMLLSDFGEARIGPGPHGGDIMPLEFRAPEVLL YVGWSYPVDIWSVGLTRLFTARDEEDGQAYDAVHFAEMIAALGPPPAEFLAKNPERRA DFWGEKGEWLELAPIPEGRTLESVENRLENNEKFLKFIRRALTWMPEERPTAKELMQD PWLTS TRV_07159 MHCYFVLSGDSQLPVLYHVERVRDGRSFATRTVQARQQGRPIFT TTLSFDREGSWGKKEIKHTRKKPDVPLPGQPDGLGRGRGPFESRRVGITNRDSPHAAD RSLQLYNKARGTISAEGGRQAHLTALAYMSDSYFIGTVSRVHNIRRFSSPGAIERAAA ALKKEVGGEEELAKRYLTDLAEQEEQELKLSKQTSDDPNMEVGMMVSLDHTIYFHDRR AFRADEWIFSEMRTPWAGAGRGLVLQQMWAQDGSLIATCVQEVTKKIHNLCYISFSIR PLSPNLKAPLQSTRPDG TRV_07160 MFILFNAASLVAIFCIVLTWTHKWLSRSSWRAQKQKAENEVQRV TLPHSSASGQGPVSSPAPVREKPKYRITMGLKRMDKVGWLVVDDNYNARHQVRANLFN NRNREVSQCLPEAKEACDEALKEVSSFLCRTYPDMFELKESASGTIVRNNRMGEEFSL ENSSTPPLEAAARLAMEDMTILLKNDSGDHYVAATSSAFLIGWSANTRMGSTLNEMHA PVPQWARQIAFSVNKFMARLTPESPMERSSYFVQVIQPDEPWESIFFQPEGLLQDHTV PTPERVLIRRERQTFNRLPKTNGILFTVKTTVSFLEDLPLGELQLLAKDIESWPEDMA AYKGRSHWGETISNFCRAKEATYDVAC TRV_07161 MDLMSSRFKLGFGNKRKSGSSLAPTTTATNTPPNGSPSQPSPPP GNTSNPNASSASLPMNPQNHLGRPPSYTYAPGAPRPASPLPPGGQHHPQQLAHHPPPL NTGVGVPYPTGGGMSSAPPPPGYGGYPPQSTGQGHGIPQPLAPFRGHTGELDNQARSK AQLIVGIDFGTTFSGVAYAFATNTEAREDIITEWPGAGTHTKQKIPTVLYYDQYQKVV GWGPDIADALAPTGYPKAGVQKVEWFKLQLMSSGGNTYIDPINLPPLPPGKSEIDVAA DYLFHLRGAMRNQLQKTLGEVFNREERNIRYFLTVPAIWNDAGKAATRAAAIQAGFLR DENDNRLTLITEPEAAAMFCAKTGLLNLKIHDAILIVDCGGGTVDLIAYEVDEETPFS VCECTAGSGDSCGSTALNRNFSNILRAKIRKMKLPDGSRTAGKVYAKCIMDFENRIKA DFRNNGQKWAVDVGIEADFPEAGIEEGYMTFTNEEILQCFEPVVNRILELVRNQIIAI QAQNRPLQNVLVVGGFGASEYLFQQIKLHVPPQYQSKVVRPMDSVAAIVKGAVTAGIT ERVVTSRVARRHYLMATLQPFKEGHHPEQYRVPSLDGKDRCKYTRQIFVQKGERIKNG EPVKVSFFRQVAPGATLMYEDILYACDEDVCPEYTKDPRIKEVVTLTSDLSRKNLEKD FERMDTPQGTFYRVYFDIYLTLDGSEFNAELVCQGEVMGRCSARFR TRV_07135 LLPSYTPQQRPRGQQPVTPGSDPEDELGEDEEAGEGLGREEDQD EAEEEEEEEEEQEDDDDEGEQDELEAVEGQSYEEDLEEEKDSSAPIPPNLREISSLAS WTVSTYKPGSGVAALRHPSPNQFWQSDGPQPHTLSLHFFKRVSIVRIRVYLDFELDES YTPTKMVFLAGMGGNDLVEFATWQGETPCGWVDINLEGVGGRHQKIGKLSAREERRKA AKSKSKATSGSEDSDVSAAEDEDDSDNLTPDLLQDDDDGDDDDPSDGNVLKLMVLQVK VCENHQNGKDTHVRGFQVFARDDKYYARMGRGDNGQKLLHHDLGAGVLHSDEAELEAE NAPKFDEEDWMMEPELR TRV_07136 MGKYNIVVFAGDCCGPELAILTRQTKVLKAVEKKRPEIQFTFQD HLLGGASIDAAGTALTDEALTAAKNADAVLLGAVGGPKWGTGAVRPEQGILRLRKEMG TFGNLRPCNFAAPSLVDISPLKAEVCRDVDFNIIRELTGGIYFGERREDNGDGTACDT EPYSRAEIERVVRLAAHLALQHDPPLPVWSLDKANVLATSRLWRKVVTEVMDKEFPQL KYGHHLIDSAAMLMVKNPRALNGIVVTSNLFGDIISDEASVIPGSLGLLPSASLNGIP DGRTKVSGIYEPIHGSAPDIAGKGIVNPVAMILSVAMMLQYSLNLPEEAKIIETAVRN IIESGVKTGDIGGKATTKEVGDAVAAEVEKLLS TRV_07112 KAAKTLAARNTSLLLRTHITTASLHAVLLLLHFVFGRPASLWKY LLLTSPTLVIEFYFERLGRPRYDAASGSLRSPGEDLDAPGLTEYCWDVLYWTWGCMGA ACIFGDYGWWMWVVVPLYSVWLAYTTFMGVKSGLPGAAGMDMGADEGAGQAESKRQKK LEKRGQRVKYRQSTLAGLYQMEPKYPMVAAIIYLFLTDARYIPCITEDTARVKSR TRV_07113 MDPDRLVKVEDMEIEEDTYLDKPVVEEKYTRVRKKKPFRPVRGT ISVLLRLFVWYSIFIALFRCPSSLSELDDESPRVCEPYIIAKTRLEPYISPYYHKYAA EHVEHIKPYAQSAYKAAEKVYIPTAQFSKNIYQGHVSTYVEQAMELAKSQWNQKVSPH TDPLQAQVVDYYTTSISPRIQSAQSIVIPYFWVAAEYSVHVNNNYVVPAYIHARPVIH RVCATIYDFVVTTIAPYIQEAWSAIVVFLNGTVRPHIRTLYSENVEPQLVKIGEKLAS YREGRTIQQVNLETASSVVHEYTATKSSTRSSVESKDTRVSSVAPPATTKLTSAQQTA LAREKISTDLKAWKERTTSLASKGLEHVRTQVRQVVEDIARQEKPSGEDILARLESVA SEQLYTLAQDLYSIIQAIPEEYTQEDEDKAQDAFLQRLRESSHAIRGMAHSLRLWFNK YQETLTHAVTKTINITLDVLENARELGLQEIGMRWTAIDGVTYTDWASYYELKSDLGE WKDDIRQAGLQHDSFVLAKDDGENIVARGMDIALDAARQLAEIRSIGKLKIEAGDTTR QLNVDHINDEMIAKRKKYLITSVTSTSTVEPTPPEISDASEMFISNETEASEPSESPV AEIPVAESLEAEVETPPGEEYVGEQPKSALLEETIPSDEALEPQPTPEAPVILEETAT AVIPEGSSPGFTSMIEEPVSPDPANFEKSDQDEVIDVIEQEHGQLPVDHETPDDTIQA DETVSLPSSLTSSTPETKAEAPAFVTQVVEDATPNEPEDTLSQTTEHTQHTPSIPLGE PVDVHEMVGSATEKIISLVDSAKAGLSETPLPKNEASTLMQDASTKLGDIVSSVQSSI SSLEATPPSESSPPEQRREHIKDEISRLTAALEAAQAALLELYRSDEPGQPQESTSDE TAYEYNEGH TRV_07114 MDWEPMDTAGGRSPTPDIMNDPAFETNHGNRAGGPEEADTCRIC RGEGTDEEQLFYPCKCSGSIKFVHQNCLMEWLSHSQKKHCELCKTPFRFTKLYDPNMP SELPVPVFLKELFLHACRAILTWLRFGLVAFVWLGLLPWSMRTIWRGLFWLADGRWPA PDTMQRPVSSVANRSVAWLAAHGTSPATPTLAWDIPSMSAANGSILQANSPSRSAPSM LNFSTGEPLIYSIAKTFLSNAFSTPQSPSLNHSSAAPPIKRIRQPSWLSDITFLNNAT SSPTLNNVIIDTLEGQLITLLVVISFILVFLIREWVVQQQPAINIPEGEGEALGQLFN RVVEQHAEQEARRQDADEEEETEEEEEEEEEEEEADGEVENPETYHPQEEPGHEPETG LDSTPLQRGGMFDENTLPDAQTYNPFAPNYAGPFPENSPYYRHAHAQEPPIFRGNFHN HNRPFRIPVDASNRPSMWDEPQTNFTSRLEGSRTNYPEFGAGDRREKADDSPDEGSSS RTPMTEQENVLPFNPFTGQITQWKFPDFNGEQASPIDEPSQQQPPTVPAAAANAHDDQ AHALAHEHNEPDIDKDVEIPTSSIRVANSPPEDLNNNVPNPEIVPSTRNAEEGSQNEP NSEGSTQEQAESPSPAQPAAAAPREELLYTPITPPRNFLDRVFNFFWGAIPIVLPDPE DAEGGGDRQPALIRQHQRHRRGNDANEAANRARGAAGANDAGDGNDPEAVEDVDDLEG VMELIGMHGPLFGLLQNAVFSALLISFTVSVGIWLPYLWGKIALVMLVNPIGLFIRVP LTAVSMLADITVDLILGCFAYVVYLMNVLVRAILAQLGLFIPSLGKLSAANSITFASL SLMEGSSQRLTGILTAVFTFHESDLPMFSAISHEALKLHQARIAYLGSVLFKGGRALL RDIPLSIMQSENPLLAVKNFILADLPRVPGLATSAVKNTGGIFGIINWLTDWSTSDTL IQSSSNYDLSRWSSKDRLIAIILGYCFASLLGIAYLRISGIISGTRRDRQRRDGPVAE ILRQAGGVMKVIVIIGIEMIVFPLYCGILLDIALLPLFGNGLFASRAAFTLESPLTSL FVHWFIGTCYMFHFALFVSMCRKIMRSGVLSDFIRDPDDPTFHPVRDVLERSITTQLR KIAFSALVYGALVVICLGGVVWGLSLTFSGILPVYWSSNEPVLEFPVDLLFYNFVMPV AIRAIKPSDGLHAIYDWWFHECARMLRLTHFLFGERKPDEEGYYEYLSWRDSILCMFT KQKPQPRRFLRNGRFVRAPASDQVRIPKGEQVFVEINENNERIDGKPDNDEGRHGKKN AMYSPVYVPPNFRARIFAFLLLLWVFAAVTGVGITIIPLILGRRMLSCMFPPHIRVND IYALSAGVYAIGTVYYAYLHFNKISDTFREGIQPYTRSPKQLLYKTYCLALRGLRVVY LAAAVALFLPSVFALITEFYLLIPLHTYLSPSETHVIYFVQDWTLGILYARMAVRFLL RKPMSLPAMALRGIVRDGWTNPDIWLATRVFFIPLSLAVFVATVCPLPIGYILNSTLF PESSSTFHSQVYRYSYPAFLVLILLLWGLHLLQRQIGVWRVSIRDDVYMIGERLHNLG EKRARNVGPARRMITS TRV_07115 MSVLRHGSAFLQRRLTKLYTDTKSSCESATTAARPNDDPELVSL NRHFRTQKDRLLAWGLDWSDASAAQPNDIDEALTEAGFSDVVASVMSSIQKLLNEAER LQHPNPATISPDGIAGKAADGSYTTGTTGGVKTTWTEAEISRSKVLLEELTSHIDTLY DLSASRRDMSMGISSGTPQSEKYSHHRGLSKQSKETKESLRPKLPTSKSAPSKTTHHL EVSPTLATNDMSAVNPFVSMHIDPSMTIENAAFQQLPLHTEENGEFFLDRNALSLSKE HVSHATTPPPYEAIAASTSSRAMGFIKREAIPSCMARGCTSMSIPVMVEFLPILMEAQ QYLARPLKQRLKSIGQTLDRLIENSRVSHLGLLKFLGYYIDMTYSRYGFVYHTPIDTF PFLKQPSDTIQPKPLVSLLHNGDDKQEGPVPNLEDRLALAYNLLLSVLHLRSQNLVHG SITAIILLYFQEFTYPTMGPLATRAWTIGGLTLPHLASLTVKIRMHRQNPYRRLKYNN SMFKSRIENVYVKKLAAKCGGAYMQVVQLCLDAPNFHLSTEPMADLGLRIPQTYHYPW HDPGNSNDWNTFSKNFVYTIGKILWRCCGIDVFSPPPASDLEDSLPPPLGLEPGLSSF QQIPLNERDLHIVEPTVSIDIPYDISANPDIKGISEMCEGNEKKGRKRSMKKWSNVEI PEEHLQTWNKTLMPKISKLLQKILKDSPESCSATLLVAGETADTAKTTICVTCTNVRK VRAALKKYFEYDRENWNLIVIRGDIKRSKVPRKKRRKPKSNKGIISPEISNPDFNSHY QTKPICGASIGAFRYGEHLPPVSYGGAILVDGIPYGMTVHHMLDTPCDDDDDCEDDYD YDGGDCPPRSSANYLHGSDDANQGPSFSWGEPNIHEDGYPLEFSDDEDGDDDQSIAHS LDESFDDHWLSDGYSSDEGDDYSGFDDDDTASIGDTVGVDPGEKPRIMVTQPALDDVH EDFFPSPEDRDDEHLASHSLGFVHASSGVRRWTKDGIKHEVDWALIKVDLNRMEVKNL VPVSSPQPNTSKPNNRRQPQGNAGSFQTLTKIAKFDDLGGLNVQCCGRTSGFQSGKIS KALTLVKMYGRQSFSTSFSVDGNFGVPGDSGAWVFNDKGQVCGHVLAWSEKSRSAYIA PMEILLNDIARTLCAYSVKLPDGDEEICYRDSSPPPPLQGIPPPMFSVHATKPVSPNP VIAEHLPVDLQKLTLDLEETPRNNTRVGGVKKKDVSGTYRASTPLMQHARMERQIA TRV_07116 MAGRGHASENPKLPESLAASPKKIIFIGPPGNAMRSLGDKISST IVAQHAGVPCIPWSGTGVDEVKIDEEGIVTVEDNVYDQGCTHSPEDGLKKAREIGFPV MVKASEGGGGKGIRKVDSEENFEALYNAAASEIPGSPIFIMKLAGNARHLEVQLLADQ YGNNISLFGRDCSVQRRHQKIIEEAPVTVAKQTTFQEMEKAAVRLGRLVGYVSAGTVE YLYSHADDKFYFLELNPRLQVEHPTTEMVTGVNLPAAQLQIAMGLPLHRIRDIRLLYG VDPNTSSPIDFGFSNEESTMVQRRPQPKGHTTACRITSEDPGEGFKPSSGTMHELNFR SSSNVWGYFSVGTAGGIHSFSDSQFGHIFAYGENRSASRKHMVVALKELSIRGDFRTT VEYLIKLLETPAFEDNTITTGWLDELITKKLTAERPDPMVAVICGAMTKAHLASEACE SEYRKGIEKGQVPAKDVLSTVFPIDFIYEGSRYKFTATRSSIDSYHLYINGSKCTVGV RALADGGLLILLDGRSHNVYWKEEAAATRLSVDGKTCLLEQENDPTQLRTPSPGKLVK FTVENGEHIRAGEAFAEVEIMKMYMPLIAQEDGVVQFIKQPGATLEAGDILGILALDD PSRVKHASPFTGQLPELGPPQVLGNKPPQRFMVLLKILQDILLGYDNQVIMGSTLNEL VQVLRNPELPYGEWNAYASALHSRMPQKLDAQMTQVIDRAKARKADFPAAQLLKTVTR FIDENVKAAADAEALRTTIAPLLQIIERYKDGLKVQEYKIIVSLLEQYWEVERLFAQG NTRDESVILKLRDENKDDISKVIQIVLSHSKIGSKNNLILAILDMYRPNKPNVGNVAN YLKAILRKLAELESRATAKVALKAREVLIQCALPSLEERVAQMEHILRSSVIESKYGE TGWDHREPDLNVLKEVVDSKYTVFDVLPLFFAHNDQWVSLAALEVYVRRAYRAYALKG IEYHNTGDAPFFVSWDFILRKVPHSEFGLSSQSTTSSVPGTPISEINPFKKIGSISDM AFANKGSDEATRKGVLIPVHYLDEAEEVLYKALSVFPRSTPAAAKPKKSGTLPDRSRP APRSESDEELSGVCNVAIRDVEDLDDSELSSRLTALVNDAKSELLARGIRRLTFVCGH EDGTYPGYFTFRGPTYAEDVSIRHSEPALAFQLELGRLSKFKIKPVFTENRNLHVYEA IGKGPELNDNAVDKRYFTRAVVRPGRLRDDIPTAEYLISEADNLMTDILDALEIIGNN NSDLNHIFINFTPVFPLQPVDVEKALAGFLERFGRRLWRLRVTGAEIRILCTDPATGT PYPLRVVITNTSGYIIQVELYVERKSEKGEWIFHSIGGTTKIGSMHLRPVSTPYPTKE WLQPKRYKAHLMGTQYVYDFPELFRQAFQNSWTTAIAAHPALAEKRPALGTCIEYSEL VLDDRDNLAEVSREPGTNTHGMVGWLITAKTPEYPRGRRFIVVANDITYQIGSFGPQE DKFFYQCTELARKLGIPRIYLSANSGARIGMADELMSQFNVAWNNPDKPENGFKYLYL TPEVEKRLEKEKKKDLITELITEDGEERYKITTIIGAKDGLGVECLRGSGLIAGATSK AYEDIFTITLVTCRSVGIGAYLVRLGHRAIQVEGQPIILTGAPAINKLLGREVYTSNL QLGGTQIMYKNGVSHMTANDDFAGITKIVEWMSFVPEKKGAPIPIRPSSDPWNRDITY CPPPRQPYDVRWIIGGKEDDEGFLSGLFDKGSFEEALGGWARTVVVGRARLGGIPMGV IAVETRSVDCVTPADPANPDSMEVLSTEAGGVWYPNSAFKTAQALKDFNNGEQLPVMI LANWRGFSGGQRDMYNEVLKYGSYIVDALVKYEQPIFVYIPPHGELRGGSWVVIDPTI NPDQMEMYADVEARGGILEPEGIVNIKYRRDKQLDTMARLDPEYGALRESLKDKSHSP EKLSEIKAQMTEREERLLPVYMQIALQFADLHDRAGRMEAKGTIRQPLEWKNARRFFY WRLRRRLSEEIILKRMAAATVSSATSSQASSSPPSSPIPTHSDAPSRINTSTITTPRT QRDENLDKLKAWTGISDDEFESNDREVAIWYEENKKNVYEKIEALKTDGIAAEVASLL MGNKEGGLRGVQKVLSMLPDNEKAAVLKYLGSS TRV_07117 MPESNSTAINGYGSAYAAKHNLPSHFIGGNRLDLAPPGAVKDFV AKNDGHSVITSVLIANNGIAAVKEIRSVRKWAYETFGDERAIQFTVMATPEDLRANAD YIRMADQYVEVGDSILIPNGTAG TRV_07118 MAVADPPSSPPNASTSTADAIAYYKSQYELLEAELADFQSSSRE LEAELEKDIEASEKRERKLKEKVESLGYEVEEWKTKYKQAKSEANSVQSTLQKEITTL RDSNRTLQLKLRDTEVANDDFERQARNTTSSLEDIESKYNISIERGVLLEEEIKNGEQ EREMLRIKEQRLRDELADLKVETHIIQEKLRKAEAALERKAKFSLLESTSTNGIGHRL DAADHSPATTTSSPIATPLTKSASSVASEIATPPSPPFSESSTNGAFRGATPNMSRSR VSISESNGVPKHQKSASRSSRHSRVPSVSMSSTTGRITPSAGRQPTSNGLPKSSSLYQ IRGLIGKMQKLEERVQSARSRLPAPVDTPPRASPRTGSAMGGPAYNMPSSVTVRSNRK RSSGSVASSSKDNNEMPHTPVTPGARISQGRGSFGLPAPSQSTPSRPESRTRHYTSNS LNMSMANISDNHHHHSHNHNHSHQHHPSVSSTSSGGGLRRPRSSLSNYNPSASMSYID EDNAEMDLSMHTPTPRRYDSVGSGSSIPTPAVLRRTSGGMSSIPTPAGLTPRRTSSAI GRREGDMAPPSAGRKKASITPRPSSSLGSLGETY TRV_07119 MTTSSVPSSSYDGEAPSMIPIMEIAASATDIEGDGDGGENASII DFLKPLILDDSTVYDLAYRFSKTFKDVAANSLEQFFPTAVTRLPTGQETGHYLAAYVG LSYLRVAFIDLLGPSVPAARVHSNDGVASPRVRRTLEKAWPIEERLKKDIAKDLFAWI GDRVAEVVADSLEPQGSSSPPSVDMGISFCFPIKQGNLNEAILMPTGKGFAINSDLNL REALLDGYERHIRRANRESSADAKRLRRTLPTLRVVAITNDTVGTLASLAYSVPSLPN SKAVMGLIVGSGCNATILMNMDALNEKKTRPVRANQPDASEVLVSTEWTLRDSSRPLL ELSIASDWDNTLTMGSSRPGFQPLEYMVGGRYIGELVRIIAHDYFKTVCGIPDEALPV AIVEPYRLTTDLLSLTIASLSLTRKDLASELQTKLPPRQSTAEWTWTEENAGILRTIA ATVQNRSAAIVAAATTGLLACTGHIHLSSLEELASAAHTPTKEATSFLQPSDLRSPEE LVVGFSGGVIQHYPMYKQHVQRYIDQTLLRGGPQDGGKSIFLREASDGGIIGVGVLAG TTKGRIETITGAGMKSVEHKNDPQS TRV_07120 MLSRNGANFWKEGQSAAPGHAVSMADPTDLNLDAPSDLQDIPDL SPVDDLVPPPEGTYPDKASLIASVHAHAKAHGYNVVVKSSSTPTEKKPGRTAKVWLRC DRGGQYRPRNGLTEETRKRKRTSRLMDCPFMLVAAGNPGIWTLTVLNPSHNHGAIVDK PRQTPHHRVKKGQVTAVPYDWPHDATFTPFTTALVVVDMQRDVCSQEGYMAYQGYDVT PAMALIPKIRRLLDAFRAAGFPVYHTREGHRPDLSTLSTRENFRSHNNPTATGIGSTG PLGRFLIRGEPGHDIVPDLYPLEGEPVVDKPGRGAFAHTDFELLLRNKGIKNLVVVGM TTDGAVASTLREGCDKGFDCLLLEDGAVALDHTLHLGACNSVKMEGGLLGATSRIDDL VHAVDNFKNLLVKKMAPQMMVS TRV_07121 MAPPKLNYGLNLSKANTKNSSSSVFAGQKRKSLWDDPDSEDEGQ NDGESSGVEITTLGGLSPFPESNPSRTMDEAPLKKKTTSSKLGDVSSKREYTNLSALH TSKKHANEAESLDPSIYDYDGVYDSLHARSKSKISNPNGNTASQGPKYMTALLKSADT RKRDQLRARDKLLAREREAEGDEFADKEKFVTAAYKAQQEEVKRIEAEEAEKEKEEAE KRKKGIGMVGFYRDVLKRDEQRHEEAVRSAEEAAKNKATVENDEVEQEKTATQIAEEL NAKGAKIAVNDEGEVVDKRQLLTAGLNVVSKLKPVAAAASSSRVNAGARPPGPGYDRG GIRAAREMQRARQTEMITEQLEERLRKEKEEEARLRELAEKNKSQKSKEEVMSAKERY LARKRERERQKESSG TRV_07122 MLPTSKGYLTQAGYSPQLAAYTLIALFFSGIIVINIFSTLLHRW MPSHVVSCGHSHSRPHKAEDIEQAAETANNAHPETTETTPLLPHSHGDARTSGPAAPH QQHNGALPQPDMGTLHKPSVTAQLSEQINRIMGGSSKPSCANGKCFGVSQACGLECRK LRVQVESGEITDVTDVTQPAGNDRDVAPQSTTAEEANTGHHTEHTALGTSSSTSTTAY APSPPSEQPSAHHHHVPQNAFLSIGLQTSLAIAFHKLPEGFITYATNHTNPTLGWSVF VAISIHNITEGFAMSLPLYLALKSRLKAIIWSSLLGGISQPAGAGIAALWIWGSSGTG RPINDEHPDGPSAAVYGCMFAITAGVMTNVGLQLFAESMMLSHRRGLCIGFAFAGMGI IGLSFALTAK TRV_07123 MSKATFAIIAAAGVATGAGVTALLYSSSSSRRQPHEPLPAARGP SPPSATSPVTAAARVPPPALPTSRYPVDPSGLFQYGFPGPISDVIDRPSLTAGFDRRT RNPAWVVEHITPESVAQRDGDRSHSQFYEEESIPAAFRARLSDYYRSGYDRGHQVPAA DAKWSQEAMDATFSLANMCPQVGEGFNRDYWAHFEDFCRRLTQKYPSVRIVTGPLYLP KRDPADGKWKVSYEVIGNPPNVAVPTHFYKVIFAEDGNGEYGKVSLGAFVLPNARIPN EKRLQDFEVPLEAIERASGLEFASKLLPYRRGVLCQEVKCDITVREFKKANDRKNLPP R TRV_07124 MPLREKEHISNSPACFFSASMFDEMGYKGWFSPASIYIDLTTGV DVLCIVTMNKPFGRLIRSELFTFLIGPEKVPFVVNSEAIAKQSSALHGLVNGNMLEAH SRTVVWPDVDEDTFVRFCEFCCLDNYSPPSCGWDSNPAKEAVPVEDDSQSEKLAHALE SPLMSPVSIPSAPKTKRKGKEKGSFTSRPKQSLEPSSDITEDQRYMLPEKCAQFTEQF KPFSNVTHDQDFTPVFLGHARLYVIADKYCIEALKELVLFKLYTTLKAFTLFPRRIGD LVKPIQFVYNEDNTRGGSKQIDPLRQLVTRHMTTVLKDVAMDSAFLGLLLEGGEFVSD FLTVVWAKRENLLGCNNCLG TRV_07125 MFTDGILAPTIITTSPTNPNLEQPVKGLPPIQPHSQLHFSRSSH NLVSDAEIASRSSSPGLTGRPKKDRSANSTPPASSNARHSHICFEEAGRLSTTSLRSG HRAHAHADSQSGLSNARLSESSRSDASSGDRSMYFGQPSKKEPISASSSIFRFPRLKK SRASLFPVPIKLPPTDGQAYHRQTQSSKSAELYSDSNLPSPSHSSSGRPASTAGSPRP PLFRKDSATSGRSKSSVSPSATDRAKRRARSSTLGFLHQIQDDTDVSLTPDVTPPAIS APRKSFSDLFNIHSRLKHSQHDLTMTPRSGTPTASTPGAGPSPMTPTASKPNSFSLAR ELSSYPPRSPTDTSATYLSRLESSVHRGAIASILSQSAEKFYSVALRKYMRSFSFFGD PMDMAIRKLLMEAELPRETQHIDRMIQSFANRYHECNPGIFESTDQAYFIAFSLLILH TDVFNKNNKRKMQRADYVKNTRGEGVAEEVLECFYDNICYTPFIHVEDEINLSSRLSS PKARSNLMTKMASTDHLMKSSKDPIDPYALILEGRVSSLRPNLKNVMELEDIYSSTPA TNTHLPSPPSIAQLNNTFDNPCKLQIVSARSRPDAFMTESTIANPAESQPGLVDIRVV KVGLLWRKDAKKKKALSPWQEWGAVLTGSQLYLFRDIQWVKSLISQYDAKCKQDSRPP AVTFTPPLTAFKPDTIMSTAEAVALLDSSYKRHKHGFLLVRHGGFEEVFLANSDAEMA EWVNLINYAATYRTSGIRMRGSEKAKQSNADPQYTQEAHAARRAQIAVHIKESNEKLF VAQRQVDMLLRNARHLQHLTPIHPRTRGQVILAAGRMSAKVKWARLDLERLKCHRGIL ARDLAEEEGRVGAVGWKKEVGLALGQMMDGAGDVGENSTLSGEKDSERVSISTASARN GNGPVGSEAASISAESNRHSMSSTIASKQTNVSTPNPNSVTTPITDTDSTSNTVTPRP SVTDDDDEEQRFLRETGILALDTVSLSSPPNSPGMQEPITPISTNSTNKDAVQPSPPP SSERSKVRRSFQRTLREAHHNVPLHRTSRKGRDRDSSNSAQSSTHSASVSASTGNGNN PGTPSTPAATSDEPGTLPRKSPSFTVHGKKASIITFGSEWQILSPEQRLKQRKPQSNQ QLQAAQGQPDSSTVGGDDGTDSVFASSIVSTIGPESADQTGTQIARSTSIASALTSKS TDTGAPTVPSRCSPAISDVSHTPGSGSGNTSEHQVTHDDSKLVVA TRV_07126 MAHSKRNTSLPHFTSYERSLLRSTWGSQSTRLSRESFLPFSSCR LCLLPARQPVVACATNGDLFCRECAVNDLLAQRKEIKRLEKEREIAQQERDEDQERLA AEARDRELKEFEMVSMGLEERKMKRKRELEERKEENEKAEKNGDGSPGSLEIKKRRKE GFELDEEEMRKIAMEEREKMMKKIEREKAESSKSQLPSFWVPSLTPSVAENGDDVKST KLNPICPASTPENKHGYSLKGLVTVHFTEEKNEKTGDMVRICPSCKKALSNGLKAMLA KPCGHVICKRCVNQFMTADRGADPHSTDPSEVDRIGKVFCYVCEADLTSKKGSKDGKK EKEKIRPGLVEISSEGTGFAGGGKNMASKSGTAFQC TRV_07127 MPAPHPYTKLKIPENAPFELKPSPGKGWGIFAKRDIKKGDLVLS EKPLFMVKSSTHRTTELAVLAAFQKLKPEDKQQFLCLRDNGSARYPSMTHAFIDNNMT VSRIMTARPGENPVCGMFILQPRFNHSCIANCKAPFNGKEAISTYAIRDITAGEELTL SYDARVTFHPPQERHASLGFVCDCPACDIGTPFQELSQIRRTLIRGLMYLQDGEDMDK RRHPPSCSIIICPKLKKRAEEGQIGLTSRFIYQILLLFLLEEEGLLDYFTLKALRKKL DATARLFQTERNARIVRLAMRRKTWLRKFVTAWRLYGREDAGDLLAFMYQRDPSYYPA IFICSTALAVAEAVASDFLLLLARIYPSFYPVLEADEVMANFPRLNILKSRSSEMK TRV_07128 MHFSVRLSLFLTLASSLPLVSAVPQHEDQAYTFSSSGRSATTDT DPALDVRQETLRTPSAWTRLRDSLVESVWGLPQRSEGCESRPRNRAKTVSRAPATLQA RYGEDVVLRFTIKNQEEVKALVEASNILFLDVWGSHDDWVDIRLSRDVIPSLLGLLPP SLQTSHVPLIRDLAQTIYESYPKAGSAPPSQQGPTTRRFSPSASTSKSKPHEAKNIFF QDYQPLSVLLPWMRLLVSMFSSHTTLISVGTTAEGRDIPALRVGVHPTNNAQQAPRRR TIVISGGTHAREWISVSTVSYIAYSFITGYGKSKSITKLLEQFDYVFIPTVNPDGYAY TFSTDRLWRKNRQQTSLSFCPGIDLDHSWGYEWDGNATRSNPCSESYAGDQPFEAVEA REIASWARNEVTVNNVHFVAFVDLHSYSQQILYPYGHSCAHLPANLENLEELGAGLAK AIRKSSRENYDVKAACRGIVASCTGDKDADEPVTSSALERTAGSALDWFFHDLDVRFS YQIKLRDRGSYGFLLPREHIVPTGKEIYRAMVAMGKFLVSPHVLEEDIDGLRASEEPQ DYDNDLEDGEDDKDEQDSTVFRAQADDLQS TRV_07129 MTDTVSTMPIASSAEEQLLTANRDKKPRWSIIEKAERSHRRVPG LRKIPLPALAIIFFIALINILVWIAAGIVLHQQLLLHIALVYVMLSMRIIYHIVIITS IVVAATAAAVSDKFDKYGSIGGIIGSSVSSAFLILLGIMNGYILYKLVLQMKKVLRDK NKGEEMWKIEGGGILFSILKGMFKIIDRPWKMYPLGVLFGLGFDTSSEIALLGISSVQ ASKGTSIWLILILPILFTAGMCLIDTIDGALMLSLYVQPAAHFLDSKSQPSAEISAAP IPPETPKPQSTPVEEPVSRNPRDPVAFLYYSIVLTSLTVVVAIVIGVIQLLTLLLNAL KPHGKFWDGVQVAGDYYDVIGGAICGLFIIFGGISVLVYPQWRRWAGKNQDSAPSCGH HVSQADEESRIDGLDVAVTNTQSLSQAGENPVDSPKTGTAADTKLV TRV_07130 MGVFRFISISLAAVSAANAAQILSMPHAQTVPNSYIVMMKDDTS DDDFNHHQSWLQSTHTHNITRRATIQNAGMRHKYNFSKMKGYSGIFDEETIKDIAKDP KVMFVEPDTIISVHGKVEQSNVPSWGLARISNPQPGAGSYIYDSSAGEGITVYSVDTG VDVNHEDFEGRAIWGSNQVNDGDDRDGSGHGTHTSGTMVGKEFGIAKKAKLVAVKVLG NDGSGPTSGIVAGINWSVEHARQNGGTKKAVMNMSLGGSSSSALNRAAAQAVEQGMFL SVAAGNDNQDAQSSSPASEPSVCTVGSSAEDDSRSSFSNWGPAIDLFAPGSNIISARP GGGSQSMSGTSMAAPHVAGLAAYLMALEGISGGAVCDRLKELGTSSITDAGPGTPTNV LINNGGAKGGKPNPNPAPSPSPSPSQPSEPQQPTPSQPGQPGEPFPGEPQQPTPSQPG QPGEPFPGEPFPGEPFPGEPFPGESAPAPAPQHPHTPYPGGDNFDFDGFWKKYFGGEH WRKMFSSFWN TRV_07131 MEFHDIPGFPDADIEDTIDLSSCPTIFVLPTHITLEELHAIEGT LSKCGAPLTYDITEARLAIGKVSHEKRATLELRSKGLWTEGITIAEAMDEPAQKRRRL DDNKNIRVIRLSWVHESLKAKEPLPFEPFTVYEGRKIPKPPTASLEKALSSTTSPDRC AAQETALYQSPGSSILERARGDTPSSSMRFIPSSPSRRVKATSGSPSVIKQRPKLYRA STSDFEEEASIPDPPEWVRNKVVYSCCRSTPLHSPNAEFIGQLLKIKKIRELTLDEVG VRAYSTSIASLSAYPYKLKSPEEVLSLPGCENRIANLFTEWKHSKDGILESTLPLTTD PALKVINSFHNIWGVGAKSARDFYYQKQWRDLDDIVEQGWDTLSRVQQIGVKYYEEFL TGIPKEETVSIANTILHHAKLVRPDSDFDGEGVEIIIVGSYRRGKEESGDVDVILTHR DERVTSNLVFDVVASLEQEGWITHTLALHLTNTNRDQQTLPYRGESSGKPRFDSLDKA LVVWQDPNFDDNPSSPSSSEDSGEIKSPPLAPKEKAEERKMNEDVKRQELTSQDSSRD TLAGELGTSTAPIAVNPRKANPNLHRRVDIIVSPFRTIGCAVLGWSGDTTFERDLRRY AKKMHNWKFDSSGIRSREGSGGRIIDLESKGKTWQEREKLVMEGLGIGWRPPTERCTR TRV_07132 MASGRPPGGHGDNLLQLDDAPSQYSSGQRPPVGDDSLVQQFNIN DSDQPSSSARPSVSYDDFVGGQGRPPAHGGSSSNNSSSSSNHNNNNIHSGGRHGQPQD DASRAYGGDGSATGMYTQTSDLHNYQRYSDLDDLEDDRTYGYYNDGDRSDGATPIRDA RARDRNSIMALGGGIMGRAKNMLGIKPEYSEMDLPLTEAGAASRRVGTADTDETPPPG KPKASKFKFGFGRREPDPSTLGPRIILLNNAPANAAHKFVDNHISTAKYNIFTFLPKF LFEQFSKYANLFFLFTAVLQQIPNISPTNRYTTIGPLIVVLIVSAIKELVEDYKRKSS DKSLNHSKTKVLRGSNFEQVKWIDVAVGDIVRVESEEPFPADLVLLASSEPEALCYIE TANLDGETNLKIKQGIPETADLVSAGQLSRLTSRIKSEQPNSSLYTYEATLTLQSGGG EKELSLAPDQLLLRGATLRNTPWIHGVVVFTGHETKLMRNATATPIKRTAVEHMVNLQ ILMLVGILVALSLISSIGDLVIRTTASKNKSYLDYSNVNLAQQFFSDIFTYWVLYSNL VPISLFVTIEIVKYYHAFLISSDLDIYYEPTDTPSNCRTSSLVEELGQIEYIFSDKTG TLTCNQMEFKQCSIGGIQYAEVVPEDRRAAYNDDTETAMYDFKQLKQHIDSHPTGDAI VQFLTLLATCHTVIPERSDDKPGEIKYQAASPDEGALVEGAVMLGYQFTNRKPRYVNI SARGEEQEFELLAVCEFNSTRKRMSTIFRCPDGKIRIYCKGADTVILERLGQDNPIVE TTLQHLEEYASEGLRTLCLAMREISEEEFQEWWQVFNRASTTVSGNRQEELDKAAELI EKDFFLLGATAIEDRLQDGVPDTIHTLQQAGIKVWVLTGDRQETAINIGMSCKLISED MTLLIVNEEDALSTRDNLTKKLEQVKSQANSADVETLALIIDGKSLTYALEKELEKTF LDLAVMCKAVICCRVSPLQKALVVKLVKRHLKALLLAIGDGANDVSMIQAAHVGVGIS GMEGLQAARSADISIGQFRYLRKLLLVHGSWSYSRVSKTILYSFYKNIVLYMTQFWYA FENSFSGQVIYESWTLSLYNVLFTVLPPFAMGIFDQFISARLLDRYPQLYQLGQKGTF FKMHSFWSWVGNGFYHSLVAYLLSRQIFKNDMPTSDGTTSGLWVWGTALYTAVLATVL GKAALVTNVWTKYTVIAIPGSLLVWLGFIPAYAYAAPSIGFSFEYYQMIPHLYPLPTV WIMAVLIPCLCLVRDFAWKYAKRMYYPQSYHHVQEIQKYNVQDYRPRMEQFQKAIRKV RQVQRMRKQRGYAFSQADEGGQMRVVNAYDTTRSRGRYGEMASSRPMT TRV_07133 MARDAEISVNERAFILEALHKNVRLDGRRFDQLRPVELTFGEEH GNVKVQLGKTAVLVRISAELTTPRPERDCDGIFTVVVELNDMALPGYETGRPSELEVS LSRTLDKIVRRSNALDTESLCIAKGRICWNVRADIHILDCDGGLIDASCLAIMAGLLH FRLPESTVRDGEVTVFTTEEKVPVQLNLTKIPLSVTFNLYDEGKIMLLDATTSEEAVS EGSLVVALDKTGEIALYSKPDGAPADPVNMVNCSTLALEKVRELNKLLAARLEEDKQI REKKRPTAGLSAAHER TRV_07134 MATANGEIQAHYDQFQAGVTSAAWSHSHDWLISGGQKGDVKYWR PNFNNVETVDDAHQEAVRDLTWCPNDSKFLSASDDTTLKIFDFTARTCEMVLTGHGWD VKSCDWHPTKGLLVSGSKDHQVKFWDPRTGRCLTTLHTHKNTVTTTKFSPVNTNLLGT SSRDQTGRVFDLRMMRDICILRGHDKPISSLAWHPMHSNMISTGSEDGSLYHYLLDEP NLPAGQMPTVAPYGTTDPTNAPAQVIFPAHKIQYAHGSTIWSLDWHPLGHILASGSKD NFTRFWSRARPGEINYLKDRFHIGEEAAEAQGTWNRGFGRKQMREEEEQEAQAEDESR MDQRQAAESQIPGIQNTAAEGQGLPGLLPGIGALQPPPPPPPNASGAPPALPQMDPGR LAAMLSQGGLPPPPPPPGQGQQPPFPHGTQPPPPPFFSNPNMPGSIPPNIAHMFPNPQ FPPPGMPPQNFGQQGYPPSGLPGLYAGANEKPK TRV_07093 MTAGDTAHLMSYFRYQKHSGTILAHIVLMAIAWVVILPVGVVFS VSKSRLALPTQFGFLVVNAVAVLCGIIYSNQTPDLYEHNVHTKIGWVATWVMVAEVVM GLLLAYSNGKSHARDNAYERVAFLSVDTNSGRNDSYSRDTNRQTRWSADSGQGSDEHT YSPRSGCSSFEHDRREAEDMAIPNLEASNKPSCQRLSPFGSLDRYLTARVPQLTSQRV LSALTLIHTIVERTILILGFFALTSGAVVYTGIFRGRQIFNGLAHFIKGGIFMWYGFL TLGRWLGCFADFGWAWNVKPSRAIVGGWKSRIPTAEFTESFVIFLYGASNMFLEHLGG WGGPWTAHDLEHVSITIMFFGGGLCGMLAESRRVRDWINQTALGPSPIALDKEYPSAR AVTYILLYINPPSSILPSRPPSELVTSFCLISGGLVFMLSTADIVAAMEYYEVNAMIV FTVGMGVTSLSMAWEIVVISLKAWATKTSASTSLMRDGFKFPE TRV_07094 MTSAISAITPIAAREQVFDRELCTFAICDISLSWYKYRPSLPAN ATFTALFFISAVIFIIQGLTTRRFLGFTIAMVLGTLGETIGYIGRIMMWDNPWKQIPF MIQICCLTLAPAFLAAGIYFTLSRIVTAFGPENSRIRPRWYPRIFIPCDILALALQGA GGGIASTAGDNDRTQADLGKDIMVAGLVIQVITLTVFMGLVADFAFRTYNRIRALGNA ALDPNYSHLRSSSQFKLFLLSLAGSTVCIYVRSIYRIAELSEGWDGPLLSNEGLFIGM ESVFVVISVFLLNAFHPSRCFRAGFDKGQ TRV_07095 MTTIATLVAKLRHDPRPLYKLPPYISVLLILVGAVWLLLLPLNE YSRETYISENALLPGQVHTYFAGSEQNIFRAYRQELDTVKDRDYSFISEKLQSVFRES GLKVATQNYEYQSSGNVYTGQNVYSVIHAPRGDGTEAMVLIAAWKTVDGELNLHGVAL ALTLARYFKRWSLWSKDIIFLITPDSKSGAQAWVDAYHDMHPPSVQPLPLKSGAIQGA IAFEHPQNHRFESLHILYDGVNGQLPNLDLFNTAIAVARGQMGIPVDLQHVWNHDNKY QKRLQTMLKGMIRQGLGHAAGVHSSFIPYHIDAITFQTIGSGWEDEMALGRSIEGVVR SINNLLEHFHQSFFFYLLMHTKRFVSIGTYLPSAMLIAGNFTIMAIGLWLKSGRRTPN APLETHLTPLDSKSGEEKLNATTIAIDGVNRAVERHMSLPLALVLGLHFLGAVPLYTF NSLPHNLLSYAAYIFAVANIAIPLVISVVVVYFLRPTTQQFILTKSFSLVLLGLFLSA LATLNFSLSLLLGLVCTPLTFIGYMESSATQMGRSKVQPQTEAERDARALLLVKTAFG ILLLNLLSPTALLLGICTVYNIPVELVLSEAAFGWNVWGMWTQVAVWCIWWPAWLAGC VLHFSSIL TRV_07096 MQVDKIPDFLAEKRGEALDESQSLLLQVEDFWERKLWHQLTNAL IEYFCLPESAPQRLSFFKNFILTFSDKINQLKFVTLGLMASTQCSDDQERLSFLASLA SKVDKPESKDAHVYAIADVASVKLRLKDFEGARKDLDASQAVLDMFDSVENVVHAAFY KVNADYYHAKLEFASYYKNALLYLACIDLQSLAPEHRVARAYDLSVAALVSDTIYNFG ELLLHPVLDELKGTPHAWLRELLMAFNRGDLSAYDVLAVNMDKNQLLQQHKVFLYQKI SLAALTEMVFRRPPHNRSMTFATISEETKVQPNEIEHLIMKALSLGLLKGTIDQVAQI AHIHWVQPKVLDMTQIEGMRTRLREWDAGVNQLGHWIESVGKDVWAA TRV_07097 MLDIAATNEAHFNAAASTYETRFAEALRIIGNEVGERSRWICPQ WGDTTGDVKPFRMLDYALLPHVSEAIGIDISQNMVEEYNKNIAALGLPTDKMFAKKGN LLSDKPSEGFSEPEYFNFDLVIIGFALHHFKSPDLAMKRLVERLAPGGILVVLDFIEH SIKVEDGVTASGFGPDLRRQFEDAGVGDGFDYVLPDRGVMHGKPPKELRYFLARGERL KSVTTQS TRV_07098 MPGVDGREIKLSRGRFLGGCSGCNGTLCIRGCKQDYDDWGLEGW SGEEFFKCMSKPWLESAEGVHGTSGPIHTEPHDLAPISKRILDSFISKGIPYKGDLFS TGEVPHGCGHVVRTVHKGLRSTAADYLTKGNRKDNVTILCNTSVDKVIIEPREGSLKA TGVAAISTADKTHRTFQATREVIISGGAYCSPAILLRSGIGPKEELDKHGIPCKINLP GVGKNLMDHLIVAIFYETEEGLTNDHLVYHEGAFEKSYSEWKERKSGFLSSFPFGAFA FARVDDLLADVPAWKNAPHEEGRDPMGLAPCQPNIELFNTECYGGPKHYNIFPTNSHT FSFIAELFGPRSRGSVTLKSTDPLDPPAVDCNYLDDPLDMLVITEACRLGNEILTEGL GTKDIIKGSWPPELKHHTFKTRDEWIPYVKEHGTTCYHPGGTCAMGKSDNPNAVLDEK LRVRGVAGLRVADCSVMPTLHGGHNQMPAYGIGEKCAEIIKNGN TRV_07099 MPIALDTSPTKTSRAGQTGTNIGLSDAQRAALTPDEIATLEFGT KCNLAGWLMYTTLIWSLKACMLFFYARLTIGLYKERMVKIAAIICACTYVISMITILT HCRPIEKNWQVNPDPGGKFDFSQSGSTWPSISELTNIDLCTLGIPNYITVATTNLLKM VIGLLLCGGLFIMVATLLRCILSIESIHGINISTIWAIRESFVAILAINAPCIKPIFS PRAWTVTSDDSSPRDSENPSSVGTCSHQLSKMSKSSRFDQLSVLRTVDDHCSEELSLP YNQAQMGFASQSTNSARGATASSEDQSLEINRSAHGGIHITTTYEVTPSEPFEKKPQV TRV_07100 MSSKEDEDERNRRCSPINSQRFINFRETTKDKPSSDSPDIATLS TGPRLWWTILLSTGIKWFIDQGHNAEVPRRAERLEPQGRPPNISSRASSTSHPAQEHT GCLHYPDWISNYKGAGRTCGANGNGGKGGTFANVGIVTRSSLGDLVWGNRITPGWDGL ADIGIFTARQGCTVIVPYREEMTKRHLKVTGDLGRVVFMEYDLRNTQSIEESVRHSDI VYNLIGRNYPTKNFSYEDIHVEGTERIVESVAKYDVDRYVHVSSYNASLDSPSEFFRT KAQGENVARSIFPETTIVRPAPMFGFEDRLLHRLARVTNIFTSNHMQERYWPVHAIDV GRALEIMLMEEWTTAQTFELYGPKNYSTKEISELVDREIIKKRRHINVPKAIMKPAAY WLNRLLWWPITSADEVEREFIDQQIDPTAKTFKDLGIEPTDLNTLTFHYLLGYRSSQY ADLPPATERELREERKYLHVLDSQ TRV_07101 MKKLPMEIVEHICLHLHPFSDPGVACTYELSPNIWRDLLFRQQL LPWLWDLDPAILESQPLQHSNGQPSYSKDDFWDWEQLVRKLAQVEAFEPGNSLENAPL RLRNRKRIWRLLDEGRRFDIEDWIWDGKYQKELELFYERCEASGLILARDEDLNVLSG RPRK TRV_07102 MIALAGTAAKAKTAIKGGVPAPIVAVVLAETAMMENALATVATA ALVPTAIMDIVPALTVKVAPAEIAITVDALGYNVAAAPGRNGGGGGGGGGIDGCTGAG CGCSVSGGCHGGDKDGDRDGDNKPTSTASCIVKQTASICAEYCTVITDIAMATMTSCT STACVPTIGCEPTGTTTTITSMSSDECLHVTPPATFPPNVDPYNGCAPCLIGKAIHGP GIIAQDNIIARDLSQPTYVSLHKRGAAETVTNIGSCTFAKGNSALAPAYTGATQYIAH AISNSLPKSQQMPRWYSKTDSDCVPVVTQVPDADVVGRNGNSKRPSIEHVCKLYTLAY LLPTIKSSMTDEPFIDEKNWLGDFFKYLIQVQGWSCEEFQEHMFMPCNSLQLVYDGLA SNRHWDFIGVTAELNIIKTAIGGNWEQDIITNADNALGTENTKITPHTPWAGAKGKLS ERMLVLQRLVIACDIWTSDYTFNPLDRTNSRIYSILFNINQPKLPVIYKYWMEHIRVG GVMAIAKKYVDLLVASIEDGLNNSAQKALEVPGGKETWDEWHTSLQRMKDVYKLDYLN DESVCTRPIKLTWKRVPLSEGSAGLGARDVCPLPSAPNTPTPTKATATMDEPPRTPEN PSQTSRKPQDPPLPTEPSGGYYCFRDHNENKRWNSFGKEEASKLVVDLCSIADLLPTS NTFGYALRGNNGLVASVTWAQDQTGCSLKFDLPLNTYCAYMFKAMLDACGDLNSDKAY GGAFVDKSKYGCVTWWLGADSTASQDRLLTLGQQSRILTQPEKEAHREMLATLEPELP RLKKEVQG TRV_07103 MERVTSKLLFFLAIFHSFISLSGAVPSPWLAALRSQYDTNRTTM PSHGTTVNNSNVTVDFVSALSIADSVSSKLVRLSDIPAIALPPNISNYDAYAQLASLM PPLPAGTVGHDTAEVLAAIKASIKAVPSNYTARTGLTERQPGLRVMFVGDSMTQGKEG DWTWRYRMWQWFRDQGIAATFVGPYTGTAQPAEPQAPSPPRLYGTKEPMGAVKASGGY APGASPEFDSHHFAVWGRAAAVDKGLIREVVAAHPPDLMLLMLGFNDLGWFYSDAAGT LDSMHTIINNARDANPRLKFAVANVPQRSFIGGRDDLPVSTAIYNSLLRAALPKWSTK QSPIHLVELQENYDCEPSACAAGYDGLHPNAQGEFQIARAFTLTLVNDFKIGSSALEV PSDIPSRSLPVPSNFKVVSSPGGVTATWDAVYGAHSYDVESRISGVTQFSAGSVPANR WDAHWTQDGWVYDVRVRASAGDTIKSDWTAVLSAKSTPKTAPAPVNVLVGATSTGFDV SWDPPTGPYTDSITQYEILYWDKDEECAFITSASFLSSPARIKDLVPGHRYLVAPITW NAAGGGFPKIVNSVMVGRGTPPPPSSLEIYANDPTTIELTWAASPNAAGYRLWSRNVN KPGSISQAQNNTVEMTCSDQYLLFPGTWNYEWCVSAYNGNAESAKGKCVLAPSPGPSP AQKRCPPPPEWCPAGSGPKFPGGGSGADPGLSVPMASAKAPTAKWVFALGFFAFPLAA AGLIALMVFA TRV_07104 MSLLPVTKEIPIYFLKTKSTPHDGYEEYFSPSKGFKPCFIPVLE HKFNHNNLQKVKDLILSGAVAKQYGGIIFTSQRAVEGFSRMVQDEVGREEAGTGEKLS GLILAHYNGLRRNTSDGNGPSRGIREKLPLLFLVGEQHRDIIPKTLMSPSLDEEERIG VATMVVYETGVMESFGQDFVAALEMEERANKANISAGNTSPHKQLIWVVVFSPSGCKV MLQQLGLLEEDQPEEHTSSVQAPGKKRTPSRWSRFDYRIATIGPTTRDHLVSNFGVQP DVCAEKPSPGGLWEGIEPIIEEWKMSREQDR TRV_07105 MVQPAPNGGSRKISFNVSDQYDIQDVIGEGAYGIVCSAVHKPSG QKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQKPRNFESFTEVYLIQV RVIQYGSYRCMNRINTLSQELMETDMHRVIRTQDLSDDHCQYFIYQTLRALKAMHSAN VLHRDLKPSNLLLNANCDLKVCDFGLARSAASTDDNSGFMTEYVATRWYRAPEIMLTF KEYTKAIDVWSVGCILAEMLSGKPLFPGKDYHHQLTLILDILGTPTMEDYYGIKSRRA REYIRSLPFKKKIALKAIFPRTSDLALDLLEKLLAFNPVKRITVEEALRHPYLEPYHD PEDEPSADPIPEEFFDFDKDKDTLSKEQLKRTLNLLCRLLFLTVN TRV_07106 MSVQVIARIRPLLKTERECDVIVQPCSSSSSAALQSGSEALSKT KENDKPLKAKKVKNGKGEDADISLAKATVVRIPNPRNEGEDFSFKFHSVYDGTATQQD IFDAEVAPTLKHLFNGFDVTLFAYGVTGTGKTHTMRGGKSLADRGVIPRLLSGIYRRC RKLERDTEGRTKVEVAMSYYEIYNDKIFDLFEPVEKRTPAGLPLRDNGVKTVVVGLTE RPCTSLKEFEVIYDQANMNRSTSATKLNAHSSRSHAVLSVKLTVTTEHQARISTASCI DLAGSEDNRRTENGKERMVESASINRSLFVLAQCVEAINKKQARVPYRESKMTRILGL GQNNGLTIMILNLAPVRSFHLDTLSSLNFANRTKKIESREIENEPMFKGPPRPAAGRV TGTGVKRQPLRPLAASINANIAAVTAEARKPSDGKPAKAFAVYTDISHSKSSTKPGAS EAAQRKSPLKRRSGNGHQPGPRPSKMARTEERLQHGMSSMSAAKFEELVEKKVREVLS ARQSDDFETESKELNKQVQRRLELLEQRIDETEDSRADGLSYLLMAKQHQARGEYSSA LRMYELAQPFFPKNAKLALKIEALRCEKAAGKKITVEFQEQSENPGENGEEPCEGDYD EASTTDAPHRRPRQIRTKASPLSPETLPSNAEGKEEGGLSPRSSHILSVINTRDIEQI KLLRGVGVKKAECIVDRLCDMDGGAEGHIQLHSLADLEKMKGPVTYSLFLVHHRHHHC LIDFWPAVVSSINFGAVAGGRRALRCDWLAAEGHRDPKEKHPNFDARRAKAASRLATN FALAAQLVQGTNGSLTLVNSVLLSRGYTVPDVRSVKEKQYAATGNYPPPGQEGYGQQQ PDTQHPQRPPAHTVLGAPGAPNAPPHDSAPAPAHSHGRKKRAYANQAFDFGVGANAAL NQPGVGAEGYGYPGAQQPQGYPQGAVYPGQQQAPVPAAPYPGADAGYQPPAPSYPPQP GPGMAQITQQMGQMSMGDQQQPQPGMQRPVQLNQLYPTDLLTHPFNVAELDYPPPPIV LPPNTSVTPSVYANCPAQYVRSTLNAVPTTNSLLKKSRLPFALVIQPYASLHDSEDEI PVVSDQVISRCRRCRSYINPFVTFLDHGHRWRCNMCSLTNDVPQAFDWDAAAQKALDR WQRPDLNHSVVEFVAPQEYMVRPPQPLVYLFLIDVSYASVTSGLLATAARCIRESLDR IPNADRRTRIGFIAVDSSLYYFTIPRDGSESSEPNMLVVSDLDEPFMPIPGDLLITLA ESRENIESFLDKLQEMFQNTQNPGSAMGSALRAGHQLIGPVGGKLTVLTASLPNMGFG SLEMREDKKVLGTSKESSLLQTGNSFYKSFAVECSKQQISVDMFLFSSQYQDVASLSN LPRYTGGQTYFYPGWNAARSEDAIKFAKEFSDYLSSEIGLEAVLRVRATTGLRMNTFY GNFFNRSSDLCAFPAFPRDQAYVVEVAIDETVTKPVVCLQTAVLHTTCNGERRIRVLT LALPTTQNLADVYASADQCAIATYFSHKAVERTLGGGLDAARDALQAKIIELLSTYRK ELAGGSVGGGGLQFPANLRGLPILFLAMMKNLGLRKSAQIPTDMRSAALCLLSTLPLP LLIQYIYPKMYSLHDMPDDAGIPHPETGKIVLPPLTNLSSERLVPHGMYLIDDGQTQF LWIGRDAVPQLINDVFGLSDKSLLRVGKQVLPETENDFNERVRAVISKSRDKLSRGVG SIISPHLYVVKEDGEPGLRLWAQSMMVEDRADQSVSLQQWMGLLREKVSLPPSSLSIW PCS TRV_07107 MWEESMDSPGGGTFTRSQTMNSSVSTIVLPRTVAGLTNSSWEKK NGDSTTNTSPNDAPDMASEEDTDVEMGPDVVATPKDNYIDPDSYPDGGIEAWTVVFGG FCALFVSFGWINCVGIFQDYYQTHDLKSYSPSSVAWIPSLELFMMYLVVGYPFMQKEM SEIMAPLCGKGFDNFGPRYLLIGGSLFHVFGLMMTSLSTEYYQILLAQGICSPFGAGF LFYPTMNSTVTWFYKKRALAVGIVASGSSLGGIIMPIMITKLIPMLGFPWTMRVCAFL ILGLCIVACSCVKSRIPPHPRPLVLKEFFTPFLEMPFILLTTATFLYCFGMFLPFTFL VLHARRFGVPDNLASYLVSIFNAASILGRTLPGYAADRMGLFNVVIIMSFFSVIVVFA IWLPSRGTIPDVIFAVLFGFASGSLVSLPPSLVAHISDVRKLGVRSGSMFATVSIAVL LGNPLGGSLVPDVIHGDYWRMQVFSGSMLLVGSMFFVFTRMRLAGTAIMKKI TRV_07108 MSPHKVLAGPLLPGLKLIGLGERQREYIASLEKQLRQLQQEGVQ ATVEVQAAARHVAEENRHLRELCLVAGLSRQTVEEWLQRKRQLETERQAPRQQRCNMD VASRTSDQMKQDIDPRRQKAAVPHLDTRSATSSQQCSGQFDSNDEIRTLDASEAPIVS VPPANSQAPSKAESSSCVESSSSRSCQQRQVGKSACNRGLPPRTLPPCKILTRLAAEP HTDITQLTAASDDGLDSVQAGDEISCSRAHQLLMQYATSEEKLDAIAEVLESGLCLTC VFDELRLAGNQLTKSPDGWDSPQTKCVPSFTGLLDTSFCDV TRV_07109 MTGTTATSLATGHPATDIEALPSASGGVNKGMNTESLSATSEAS PSHEEDDLTISNAPRLPLHRLFWFFFYNFGLFAWGGPVAQIALIKEKLVVQDKWITLA RFQRVFAVYQILPGPEAAELCMFFGCLSAGRIGGIVAGVAFILPGFTLMLVASYLYSL AGFENKFVNASFRALQPIVAAMILRAVHKIADHSVVKPRTKKVDPFLVIAMVCTMINS ALRINIFISLAVYGILYMFIARRLWIPASIIFVLQYVVYAIYVVFRGIPSPVSLALGI AQSPSLINLFVLGLVAGTLSFGGAYTAIPFIQVEAVLKGGWLSQRIFIDCIAIGNVLP APLVIFATFVGFQGGLVDGGLGNAFGGAVVITIGMFFPCFLFTIAGFDLLEKLVHNKF LASFFDGLCGSVIGVIAIIAFQILKSSVRGGEHDFQLKPVERSISKAADSGPAAVLYL LALGILYKSNNKWVPLLLVVFGSVAGQFIFVD TRV_07110 MAVSTANISTRVSLRWPPEPSYETTDTASLSVGGWYVDLRIHRE SGKVEWAMAGQRVVESASPSIVVFTHAIDSLQLFDTADIGTFKKLSNGDDLETGKMIR HDLPGAPVCEYEELWRSLDIPKTVPKGHGYAWVLESEEMLPNISMLKQEYEGVKVVKT FLGRVPGHYIALRQTQWYQEKQVDGKPVLTKAGGEVSGRREIWDATTGQNAAVKYAVG AECDNLPSLVAAGESLQFSGEGEGPWRSPGRVVIVDGTRFLVRAFEELPITINGE TRV_07111 MRFSVVFAAIAALSSVVTAERGCGAIPHKGFATELMEAMDNARA SSFSNTTAANVTINTYFHVITDGNKGQINNDTLQKQIEVLNKDYSGTGFSFKLVGSER TNNAGWASGNDDFGMKSSLRKGGYDSLNVYFVPMLREGLLGFCHFPTKNPGKRQLIMD GCVINSNTVPGGSAQNYDEGRTTTHEVGHFMGLYHVFNDNGGGCQQDGDMVDDTPVQS KPSSGCPKGKDSCPQQGVDSIHNYMDYSYDSCLNEFSPGQIQRMQMLWKQFRAGNSNR SPKAMKPIIPSYVSDPVM TRV_07078 ALKALKEAGVRSVLINPNIATIQTDHKLADEVYYLPVTPEYVSY VIEREQPDGIFLAFGGQTALNLGVQMNRMGIFDRYGVRVLGTSIKTLETSEDRDLFAR ALNEINIPIAESIAVSSVDDALAAADKIGYPIIVRSAYALGGLGSGFASTPDELRDLS SRSLTLAPQILVEKSLKGWKEVEYEVVRDASNNCITVCNMENFDPLGIHTGDSIVVAP SQTLSDEEYHMLRTAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSRSSALA SKATGYPLAYTAAKIGLGHTLPELPNAVTKTTSANFEPSLDYIVTKIPRWDLSKFQHV KRDIGSSMKSVGEVMAIGRTFEESFQKAIRQVDPRFVGFQGDKFENLDDVLANPTDRR WLAVGQAMLHEGYSVDRVHELSKIDKWFLYKLQNIVDMHKSLQHIASLSDLQKDVLLE AKKMGFSDRQIALCLNTTEDAVRARRKSFDIHPWVKKIDTLAAEFPADTNYLYTTYNA SSHDVTFEDKGTIILGSGVYRIGSSVEFDWCAVNATLSLRNMGKKTVMINYNPETYST DFDTADKLYFEELSYERVMDIYELESASGVVVSVGGQLPQNIALRLQQAGGANVLGTN PEDIDKAEDRHKFSQILDSIGVDQPAWKELTSFEDAEAFAESVQYPVLVRPSYVLSGA AMSVIYNRDELKEKLLSASSVSPDHPVVITKFIEGAEEIDVDAVASQGSLILHAVSEH IEPAGVHSGDATLVLPPASLDASIMARVKTIAEKVAHAFSITGPFNMQIIKADNPQNP SKPLLKVIECNLRASRSFPFVSKVLGTNFIDAATRALVGTNVPQPTDLMAQSRPYLAT KVPQFSWTRLAGADPFLGVEMASTGEIACFGQDLVEAYWASLQSTMNFRTPQPGEGLL FGGDTDMSELPQIVNYVHPLGFKLFVASEPVKRFLEQNCAAKPEDLNIQIIDFPKQDK RKLREVFERNDIRGVFNIARERSKHLLDENYVMRRNAVDFGVPLFMEPKTALLFARCM SEKLPKKEGIPSEVRSWSDFVGRKLV TRV_07079 MHRLCYDLLKASNRPNEPTLEDLQRFGKAVRPLYKPADSEDIDS ASSREGLFSSHARPIVERSLRIDLFERLPVEIQAIILSYIGPCWYLILLGESRRLVEE LRNGRKSRQSEQVSLEKEVYISRIPYQGNSYISTISNEPPEPGLDGLKLECLKVPDSL SKIVLSTDHIGVRGIQFVVEGGTPPPPDGSPWYEFVDVPDCSQALHVATEGLFVRKMR RVEGEEIHNNFPLWSSPSPPAFQPWNVYDDRGGCRLDYVNLDEEGVQGLVVCCYGVAN GGLFAFSGVSKPFKRFVTSMQQNIRTGPIFWMYFPINDGEQIEAAWVRKIEGLYGQMS NPVLVIKTTFGRTATFGPYHREDYRRKDELIPLVKDSDGTISGFIHDGLDTDKHEISL FGLTCRDRDGNGNGNDPNDDHDHEHEPNDNNNGTKLAPPPVENYISPPPYAADGLQAL NWYMTEARLDGVCRVRVCRDLEQAHNPCIGMLLYYDNGRAEALGQIRWDQEVSRDINV PVRLRREKLDGSPYVADIQGMDAAGCGATADEPGDGWLELPRTGTMAWWFCISRDIIS VYGHCTHCR TRV_07080 MQQLSEAVACLEALGYVHGDLNPRNIMFTEDDHLRLVDFDHSIK LGEDLEVGDYPYVRPISLAEDTAQSGARTCPDMDLEDPVNRIIRDCWTGRFDSVAALA ACIRQLEYSRDFEQKKSLCEQHYKLICG TRV_07081 MASFGEVNASVVPRPAGDAGRGLFATSTIKMGKDVFSMPATFST VLSTERLKDACSNCFANLPFGVNVMASVDMKLWACSGCKVVKYCDRKCQSANWKLIHK HECAIYKKLYPKILPVNSRAVLRIVKLRSSNEDHVKSDLNMFLTLRSHLDEITSSNQE QYERIMLCAKAEKEYSGSELDVETIAEYLARIEVNSFTFTTAFGDPLGLCIQPFACYM NHSCEPNAVVGFDGGLIIVKALREIKPDEQVFISYIDNTYPLEVRQKQLAERYFFTCK CSKCAQGTTAREDQFIPSNPSSEEVETLKEAEKQARELLTAARSSKAESAVKQLRSAM KVLHDTKMWPIARQPYPEIRSELIVSLLEIEDFWSAFRHGLVRYLFVDPVLYPHEWHP IRTNHEFVFSQLVVTITGEQSSKIPNDSKYKFDPVSTVYPILAKLNKNLKYETPEVAR SIKVEYDALMAPVRKLGYNPSTPSAAKAMSPAWKILNNIAEETLETDG TRV_07082 MADVEYNAEEAAEIKRKRAFRKFSYRGIDLDQLLDLSSEQLRDV VHARARRRFNRGLKRKPMGLIKKLRKAKQEAKPNEKPDLVKTHLRDMIVVPEMIGSVI GIYSGKEFNQVEIKPEMVGHYLAEFSIS TRV_07083 MKHLAAFLLLGLAGNSSPSASDISDVLSSVGIDADSERVEKLLA ELEGKDIQELIAEGSTKLASVPSGGAGGAAAAPAAGGAAGGDAAAPAEEAKKEEPEED SDEDMGFGLFD TRV_07084 MSFLNSVLSSIETGEVSITPPPPPKSTTPDSTSSNQKPSLNSKL AATSISGNSNGYTGTAQKRKAGEQLTRPAPRNDRFSKPASSSSPQPATPASRLPRDSD AARKPASKPTSSATAAPKPALSKPSATPVSTKPPPKGSYAAIMAEAKALQNKAPAAVG LIKHQAVPKDKKSKVQQKKMTEEAKQRDREPANRKLSTEKSAVTAPSRSTKEAILKAR QAEMGKQEAYKGTARPRPGSLPPARSSELSYSGTAGLPSRRAQGRDASYGRSKSRGAA RNEYLGTDEEDEGDYGYGDEDDYSDESDMEAGFLDVEEEEQTALRIAKQEDAEELRLE MAAKKEKMERKMKLNALAKSRR TRV_07085 MVDPACIELYLRGLVATSQLIILLTLMEKANLAVYTGAPYFSAM ASAKLGCDMVRQPTLLRGNILLTNPMKSHVICEPSAAPVIKTYSPNLMVHPILQSSSS VQNDTPNKSVSDHVKPIVDFLPRLHVLVVGPGLGRDPLTQKITASVIKEAIKQNVALV MDADALILVQNDPKLVHGYKECILTPNVVEFARLSKSVGLDPPSSEGKSDSDKEKSRS EACEKLSRALGGVLIIQKGPHDVISNGDISIISDVEGGKKRSGGQGDTLTGSLGTFMA WRKAYHDGLWDDGSTSSADEGSGNDAQMIKNEIYGDNNDGKKMSRKATLLLAAWAGSA ITRECSRRAFLAKGRSMQAGDLTEEVHESFMELIGERQEDGSKL TRV_07086 MNPNDQFFLSPLLQPAEFASPTQTSQETNRNRNVSQTDFLLGIR QDEGSTLQPQVSYPSSVTIPGLEPNTQPTTPLQHPAGDVQQPFYGQGQTLAGSPIFPP RSNITNISPISVPDTRPLNYFSFQRNPPLGTNITFTDIAQQSQAYGQQYHLPAPQTAT PCAGPTGGTELRTRSLLDSGYMSRISGISGNASFQGTLANIPQSPQTVSFNQLLQPGY TPGSQYTPEPQPEASSFLNEPTSQPKPRRKRKERRVFCPEKDCPWTGRCPSERKNTNT TGVLRKHIHQKHTKPHACDFYGCTMTFGSGSDLKRHKESRHPSERTPQYKCFATKKKS CLESTHIFTRKDNFRTHLVKTHGLTESEVAEHIVLSNKWLFDIKRGSIETREKTLQSP VQFDSHVLSTHPQPQYPASVDMNLLRQLNPADYNPVEDALGEEEYLDMARRLEDDLES IISDFRKSSGDLNVPGIQEPVDYNGPSTTPGNPYVNLADNLIARNSNQQTSYIPRSEN SDEREWSLREVERNFQQPTTTQTVVVEKEKHPCAEPGCNASFKIPSLLKKHRKRHRKP YGCTFKDCYKTFGSKADWKRHESSRHSHLERWRCGDPDITDSSRSCAKMFERRSSYEF HLKVHGIDDEDEILQRLNANRIGGDCQFRFWCGFCNALVPIATEGFAALIERFDHIYN EHFEKGQDISTWILPDSHLTKGEAKPQSLGRALQYAASATETTISESVDSKSLFEDVP ETEETGAAPSQRNIRQIRTFRASSRGRRSRVSMSPPKSLKRRREDSRPTPEAPSAPTT EAAPELQLPTDQFSRELATLEEIGTFDFDDLFNDDTMSTWFLGSPTEPS TRV_07087 MFFFKGVVAVLSFFSAVNAAPFMKPNNGTGKYIPDSYIVLLKRD ISHDDFELHKRWASDVHKRDVAKRGISFSGIGHSWATGSFRGYSGVFSRDTIEEIMKH EHVAHVERDQIGTSQGWVTQPKAPNWGLGRLSNSNPGNTDYTYDEGAGGNAVVYVIDS GIDTMHPEFQGRATWGANFIDKNNVDCWGHGTHCAGIIGSVTFGVAKRAAMIAVKVLD CNGQGPYSAFIAGLHWATEHAQKNGHIGRAIINFSLGGDNSPAVNQALEEAQKAGIFV SAAAGNFGSDAGSITPGGARLVCVIGNSDERDYRWTGQGPSNFGARVDIFAPGTDIMS TLPGGGSGVMTGTSMAAPHVAGQAAIQVSISGGGFDLSVACAFFKNSASASVKNPGPN TTNKLLVNGANGTKGPKQDENKPNKPPGQDEQPGQNKPPSQNPPPGQNPPPGQNPPPE QPAPSPPANPGDEPNPDGQPYPGDQPNPGDSGPSWWMPSGGLQPPAWWNRRPSFGGWN RPMWWNRPLSVWKL TRV_07088 MSTGTSKQVLSIDRGIPVLGEENFDDPFLNQLSDAELSGLHQTT PDYFSDSSNHYQQPEVSTNPSDLTSPSSQLDFLSSTEHTEHGLSDFTRLTSPDFDASF FASADPHLKAEVADDTRVLWVNPHDIDKNSPDGNNYTPQKRYVGAGTGASQLLSPVPT NTPSPIINPQVDQRGQYSTNEQTAVSPQSMTGDKPSRMTATSSHNPPTLITPNNTSKL HPSNIQPGMDHQASPIVKVSSFTRGDSPSRAGDQLNHASKQSTMDSGRLSPARETRET FFDSDDDEDEEENDNHNNQKKPFSIPPPARRLDDGSWSKNPTTGHGGLDPTAREDVYV PSLKELAAQRERDERNAEVAQWITHSEAHGDVDDNKLWNFRSRRKNKSDKNKRRAKSA GDPFLGAQDGFSSRFAYQDFDDSAIPGPGVLIDEDSGMEDDEDDSSAASSSVPESPPA SVHPELVDDDEYNAPTTIPDAELEPLPNQFLRARPWQDSIRNTRDGTTKFQPTTSNAA IMRFLKRADSTDTASRAATWGTRGPSECDVESIIGPNGRFSTIRISEKKKDKPMRRNS LLEHARGFLPKRSNSSSKRKHQLDSSQRSLTPEQPPPSSSDGAAQSTSSLVPQRKSSF NKGNKHNTGGAILAMTGQMATVGRGGGVGVPSPAVTASNTPWSHIKRRSRSKSDLTKG EGPGGLLDLIGTHGGPPIPTLASPKREKGASASPLQSRSPHDKPEENDLEGDDDDDDM GNENGVTMEFPVRSDPIVPTLEGFKTHVRQLNPRLQPALIERIGQEQVRRYKRLVELR LKHTQNVSKNSCSAGKHCFAQGGEAVMLPPRTSPKDPEATYAQFQVPGAAVTPEDLAS FGEGAITPALFPPGVPLPPVRRLPAEFECSLCFKVRKFLKPSDWTKHVHEDVQPFTCT FPDCTEPKSFKRKADWVRHESERHRHLEWWTCNMPDCSHTCFRKDNFVQHLVREHKMP EPKVKATKIKSKNQQQDGNGNSHEATSHAQAVEQVWRLVEICRQVTTKQPTDEACRFC GNVCNSWKKLTVHMAKHMEQIAMPVLELVNDKTSSGGAIGSLGHAATTPQSQRNMWTP TNHANHSVESTQTTRAPKPITYGTDALLTEAFGLPREPVPREAPQQQTPEYAVLSRAN LKQQQYSVGRSYTMSPYLQAQQHYHQAQSHTHKQYSNHLNSASYPPAFSTLPQDQQSI NGLPMLQPLAEQGEPAISGNDMYGDMGGISSNAPILDTKFEGEQIFASPVDNGSYMYA PTGQEGLEYSMGDNGMTYHPMMNTVNGGFIQNPQYNHGS TRV_07089 MADFSSMSIESFAELSRLIVEVSKTYFTYLPIEPPPDILAKIPS DIPQFLDNTPASRELRAAYVQHVISKTLTYRIFQPFLFTLGRRYDKADTFFQMLSIDI RRKSVRREAFWRQQTLKAAYTTSDAKQAINVVAAVIVDEIVDHIRHFTDPTHLDALLT NVRKIVKLAAETWRLARVERELITATMPSAEDEQTANEEWEEFDYESNAPLPGGEGAA EPPLMPKKFRRPLLRMLPRIFRGAVHEDFLTEDDHEKASPCTYLRGVILYSDSPSVLA RRAEMFKKKPEAVGVIDDAEDARLRAASATPPNASGRSPSPKSPLGNRSPRSRRSRER AFGHDD TRV_07090 MAKKGAKNKDKEAKAKGGKGKASKKQQKQKQEEEEEKVQTEQQA QQPEDDQSTSVESEQAQAQTEDPPQKTEEDSKSDEAAQPVEDSKPEEESKPVTEVIPE EPKAEEEPKVEEEPKAEEPKVEIELTSEEPKPEEEAKVEEVATEEPKVEDELVVEAPA VEESPLTEEAPLAAEAPTAEETAQVEDAPVAGEVPLVEETSPVEDVAMTEEVPIVEEP PKLDEEPKAEDDLKVDEEPKAKELPDEEETKADDQLGTTEEPTPDEPQPAEEAVPTEE ASPEEAALEETKPQDAAPEVEEKFEEAAPESETQIEEDKFEEAIPEQEAKLEDNVVSD AIPLPEEVQQEGDKQPEQATESVKEGERAWDEQEQEEQETVIEVPIAQKSPSPSPAPS QTQSSPSKNPSKPPSIIQSLPPLPRSITSTPSNLSLSQAFPSPKLSSSGSQGKPGGKG TSTVAGDEFQEAEEIAPPVATNTSHKGRSASPRSQYDVTDSDYQTAYGSAKTPTTRAV SNGAYSPSDVPLPSPSVSEARTKSASPPGPSYEYTHQPQPIPAAAPHFAYPYPYAHLY QQNSNYPSSPVMEQTQANVVTPQYSPVMHPSPQPNYATSFSQQQGRRASRSSRSQYDY YFGNTSQYRSARESISQPTENGKPYLNGERDLADTVNLLHRIQNVIPDLNKLAVSYRE AQSQLSAREAENKQIEAQHEQALLHKEYYIEALQNQMRKVANEHAEECSKLKGKIGAL GVELSDLQERNRNTEDTLVETQKARDELLRARDELESEVDHIQKEIEAAREAHERDIV RLRMEAEKSEEKALAEQKERLEDLFQEIKNEDDRLAAEHLKAREDELLGQLAAKQEEL DANDAALKAKVEELRVTQEELDEKKGELAEKFSELEALKEELANTKNDLEAKQQECES RQNELELTRKDLEKTKRHHEEELSALRDALDIQTNNVKEIKERLANMVLEHRNQEETW QKAREGYEAQLSEKAEELKLSNEEKEVLEREGLAKEERLQSIVEEMRQTHNNLNKDRE RLKKTLHSLGEATDMKMKGDSFL TRV_07091 MASTFAFGFGGDDIEDLEGVSDTDQKAPSVQENKNDLIFDRAEV LSLEDMLASLPSQLEFNTQLIGSESEDPFLVARRELMDIRVQLMAERDMLNEGEDLLV GLQKDDIKPTVYEGGFKTWECALDLAAVASHSQEGFTYLDNGDEITIIELGAGTAIPS LSLFRCFLKRGEARRRKVRFVLADYNATVLKLATLPNLLLTWYITRRSSTEGNNSMQT DLVVDRLLELDRNLLESFVQELSDHNITFSFISGGWSPEFVDLCLGKQENMKDETMSD HQQTTMGRSTLILASETIYSPPSLLPFTETLVSLMRKALSGEGSSIAQGHPPVRALIS AKKVYFGVGGGVSEFLSTLRDVGGSDEFKALTKLTISDAGVMRTVLEILPG TRV_07092 MHGLLLAAAGLLSLPLHVLAHPQPSTNLAGRGVDLDAYRMADRS SYMSSDDMKLKQPAIASLSGGNYVDTATEVVKRMMPGMTFRMVDDHYVGESGISHVYF RQTMHGMDIDNADFNVNIGKDGKVLSVGHSFYTGPAPDKAPVEKRDFSDPMQAFHGAC KALNLSINSDKATIQTMNEHEVMFMGTSGAMSDPQGKLCYMAKEDGTLALTWRVETDM GDNWLLSYVDAKETDKVHNVVDYVSHATYQVYKWPIPDPTEGKREIVENPWNLKTSPF TWISDGKTNYTTTRGNNAIAQANFDGGEDYLNNYRPDSKNLKFEYPYAPNMSPPKSYI DASVTQLFYSANMVHDLYYMLGFTEKAGNFQVNNHGQGGKGNDFVILNAQDGSGTNNA NFATPPDGKPGRMRVYIWTKAKPSRGSSFEAGTVIHEYTHGLSNRLCGGPANAGCLNG MESGGMGEGWGDFFATAIRLKPNDNRNSNYVHGEWVNNSPKGNRLYPYSTNLQTNPLV YTSCNKYNEVHAIGTVWCSILYEVLWNLIDKHGKNDGPTPVFENGVPNDGKYLALKLV LDGMAIQPCKPTFVQARDAIIDADMNLTKGSNKCELWKAFAKRGLGVGAKYDPKNRTG SKAVPKECQ TRV_07069 SSSNTGSYGSSGNDSYGSKKDNDSYGSSGRSGNNDSYGSNKSSS NTGSYGSSGNDSYGSKKDSDSYGSSGRGNDSYGSKSRDDDSYGSSGRSGNTYGSGSGN DNDSYGSKSRTSGNTYGSNDNDNDTYSSSKKSGNTYGWSNDDSYGSKSRTDNTSSSYS RTDNDDDNENKSATSKVLGKVGEMIGNKLSGSGHGDSSSNY TRV_07070 MATQQPTSRALHARINADITQLLQRFENIMAAATVDNPSRTSSA IESYQLDVESTALIRAAEDILSLTRTLKETWLFGKLETLGEDERDIQRREQLEKDVEA VRDMIQQRTQAESEKQ TRV_07071 MPAKLPPLTLVVATTPITTPTNPGILKLGIGKGGTLPWPRIKKD MSFFARVTTRPPATATASGTASPAINAVIMGRKTYDSIPAKFRPLSKRLNVIITRDES GSVKERAIADWNASRKRELEKQADQDTGEAAATSTSTEEPEVIVSSSLEDALSTLQKN FVISSSSDVQEGKRRLGNVYIMGGSEIYASSLRLTTDALGENNPLRIVMTDIRKRVEG NPQCDVEDLVDGFECDTCFPLDGKDLNEGWNKVPSEKLTEWVGEAVSSDWTWEGDVAM KISGYEKL TRV_07072 MTDEGGSAGGGDGDNPGSRTVPVTSPPSPTVSRHDSTPRLPEIR FSIQRRPTAIAAAGGDMDERTSLLDAGSQYQRSYSTNPASPRPDAFFRHNSTAGSLRM SKSHSRANSQVVRFTPSNRAAEFEPAEADDEPTKPLNAAPYSDDRVWYDQFTSTDWVH DTIADGIRLRELRSRRDIRGKLLAWVDGAQGWVLVAIIGLLTACVAYFVDVTEIVIYD LKEGFCSNNWFMSKKHCCSSDEEICLAWRSWSEILESSTIDRKWIDFGAFVFWAVLLA AASCTLTLLTKTVVPSSISLTTLDEDFGAVGSASPDETSRPTKTNLDVRSVQDVVPPP MVYYSAAGSGVAEVKVILSGFILHGYLGVQTLVVKTLALVLSVASGLSVGKEGPYVHI ATCIGNICCRLFAKYHYNDGKRREVLSASAASGVAVAFGAPIGGVLFSLEEVRTFFCC IAAALSLKFLNPYQTGKIVLFQVRYVSDWEIFELAIFMLLGVLGGAFGALFIKASKLW AQTFRRIPVIKKWPMLEVVLVALITGLMSFWNRYTKLAVSELLFELASPCDYEGQTET GTGLCPKREDIPDVIKYLLVAFIIKSFLTTITFGIKVPAGIYVPSMVVGGLMGRIVGH VAQYFVVHYPNFFLFGQCPSTKLAESCVNPGVYALIAAGSTMCGVTRLSLTLVVILFE LTGSLDHVLPFSLAILCAKWVSNAVEPLSIYDLLTDMNSYPFLDNKLHPIANIELGDI VPRVRKNRVIDISSSPLVSATELREKLDKLLMAGELDGGLPILNKNILVGLIPAPELE YALDKLPSEEQDTMCLMSMQSSYLGEGENDTNVADFTPFIDPSPVSLDIHSPINLVYQ CFVKLGLRYMCVLRDGQYAGLVHKKSFVKFMKETEKASAAIH TRV_07073 MTSEMSLAQGYVQAKSYIPYDQILLFGDSITQFSAYQGRGFAFS PQMQDDYVRKLDVLNRGFSGYTSSQGLNVLPQFFPPPHVAKTVFFGANDAVLPPGDQY VPLEKYVQNLKAIIQHPVVRYGDTKIVLLTPPPVNEYQLTAFDLSKGVTTLFRSANNT KLYADACREVGKSLHVAIADIWSAFMREAGWVQGQPIAGSKEIPENPKLASLLVDGLH FSGDGYKVMYDEVLRAIRETYPEEAPERQPVHFPPYQFAEDA TRV_07074 MDAQYPFATREDIWRVHEEVKDLCATQAEHSERLAKLERRKEDD AKMKSLWSPFSPIPSSTGHGSHDAVFNATAEPFKGFDQAHHGLGTHIHMDHEDEPRRG ASRANSVRFDESAIHAYNSHGNRSAAELAPARTGSGMGAHPLTERSYSHRSDGRQSSS GHSHHSARTYSLETSRIESSSTTTPASQHPPLGPPPGLFILGPVPCIIRCWLTTSFSN DSLLYAAICTGAYSSAVASPLIQKLGLEGDIIEEDGARTIKLPVYFPEASVCQSSLRS SSPEPHLPALTVRFFVRDIDQEDQKAISIFIGSDVLRTHNADILFSQDKMFVVDDARN RISIPLVRPEDHESFRSLTTMPRKSLSQNPQQKPIEKDHSTPDIDDTHPEQFAGVIGR LGPSHDQPQPSKSALSLKTSSEALANLDKDRSSQAISDSEDNSLDMVNPKSATSEKSH GRPSTKSDEGSGHWGSWRRDAAPTTSSSTKPDQPASYVAVSQRPATRRNMKVLKPTKT LTRSNTANAPTGMAQLSIADRTSSDAPSASRQKRSASGENGDISSHGASHSSTPWPGK SRPSNNPIGGASAFGWLNTQQKQNTTTHAD TRV_07076 MVSLKLAAILLIPSAIAGVIKRQDDAEVLAFTGPQCDGTPDHPV SLDTCEERFIEDRSWVLTVYCVSPSSGEIESISVPSNIVCQTYDKLVHIVILNPMYIT LRPLVVAHPQLRASPQPRAKTPAANMAIVSNTAALPGSCLRRNYSQPLSSLGLYTLPP WQTPEPPASVSIVLLLPLRLIRTDRLPGNPALFICDIQERFRTITYEFPKLVSTSLKL LRASKPLNIPIYVTTQNTARLGPTVAEFDEYLQQSNPNLRINCDKTLFSMITPEVKEK LPNATSEKPLDAIIVGLESHVCVTQTALDLLSLGHRVYIIADGVSSANAEERHVALAR LRDAGAIVTTSESILFEIMGDSKIGVFREISGLVKETGKETKGALEAFCSASKI TRV_07077 SIRISGYIFFSQIFPPPPPFHAYIHTLDPSFRYLTGFTLLPGNI LKMPVNLSLYLVTDSTPKILGDRDLCSVVEQAVQGGVTIVQYRDKHSDTKELIKTAAK LHDITLNHGIPLIINDRVDVALAVGAEGVHLGQDDMSRIFLYSPSFNCVFMLMNLVDI AVARKMLPKGTIIGVTVSSVEEAQAAVESGADYLGIGTVYATPTEAKDSDSKTNTKSI IGTAGVKRILNCVASLNPRVGTVAIGGINLDNVQRIIYQSQDTKKGLEGVAIVSAIMA AENPRATAALFLDKVSKNPAFATIPISPRENEEELLLGKVDGLVRKVATVHPLCHNMI NYVVANFAANVALAIGASPIMSGYGLEAPDLAKNKGSLLINMGTLNSESLDNYMQAIR AYNEAGNPVVLDPVGAAATQLRRQSVKTLMQGGYFDLIKGNESEIGYIYGHTGNQIGV DSGPSTLDIKEKAMLVRDLALREILLCQQANNLFTGCIVLLTGPTDYLSDGARTIAIH NGHPLLGQVTGTGCVIGTVTSAFLAVERQDKLLAVLSALLMFEVAAERAVVSGVKGPG SFVPALLDELYSLKTQATESKSASIDTLKKAAKVNFIHF TRV_07041 TETMASSKHLFLSDEELGKKYDDHNRRDSIYGLSLGSFWRRFPR RRRIALVFFVAFVVFIFCKHIPTRVEDVGQRSQFLDDPWLRPSRETNFGSEPAEIDST PDENGIKYYRGPIEFESLFESLYSTRSLSYDAGQESVIFATGQLKSLGDMLPVACDMA ARKRSSVHLAIMGRDEIPLADIQRANGFREEDCPVTWHELSVKAALVHMYRILAPRVF ITRDIEFKEAFFIDAIELRAFQLGITHISLPSPLSNFKWIADLDAFSLEAWNDVHTEI LINVQPNSSGGPRKLLRSLQEADYFGPAPGLTIELPVDADPTLLYFLKNFRWPPKTAH RQFTLRRRISPSVLTSQEAAVRTVDSFYPKNPNLSHVVLVSPDTELAPSFFHFLKYSL LKYKYGDSENSPGHLFGVSLELPSSRPTDGKPFSVPMAISSPNLSKGRSAEIPTLLWQ IPDNNAVLYFGDMWAEFQSFLSHRLSTSIQKKGKDVHKVIMKSYPSWMDYMLEFMRAR GYYLVYPAFAVQDDLAIATVHNELHRPPEEYDDPTQRQVKPNFEEKDLAHSPSTLSTL LDVYPGMLPDIRELPVLPYTGELTHDDKSTNEQVSFLQTFRKEIGGCTNSDEKPESVP LKADDLFCIEEEPISIEGVEISA TRV_07042 MAKDDLSSSSAGKGKAEDTKEINGGENEGKDGKPVVNGKKEEEP QEEELNEEDQQLKNELEMLVERLQESDTSLYKPALEAIKTFIKTSTSSMTAVPKPLKF LRPHYDDLTKVYDEWSSGDNKDSLADILSVLGMTYGVEDKLETLKYRLLAKSDDLGSW GHEYIRHLALEIGQEYQNRLTDDKDVQDLVDLSLSLVPYFLSHNAEADAVDLLSELEM IEELPRFVDENTYPRVCLYMVSMVNLLTYPEDHQFLRTVHDIYLQYKKLTQAIVIAIR LNDLELIQSDFQETTDKAVKYQMAFLVARQRIWLELEDDDMQLADCLNNIALPTHFKS LAKELNILEPKMPEDIYKTHLENNRGSLTNVDSARHNLASAFVNAFTNAGFGNDKMML VDGDKGPWVWKTKDDGMLSTAASLGMLLQWDVEEGLNQIDKFTYAEEDQIRAGALLGI GIMNAGVRVDSDPALALLGDYENLENKSIPMRVASIMGLGLAYAGSCKEELLELLLPI VEDVTVDMQISAMAAVSLGMIFVGSSNHQVSEAIATTLMDEERQKHLKDKWTRFMALG LALLYFGKQEEVEVVLDILKVIEHPMAKPTSVLASVCAWAGTGTVLKLQELLHICNEV FEDKEENKGEELVQSYAVLGLSLIAMGEEIGQDMVLRQFGHLMHYGAANIRKAVPLAM GLISPSNPQMKVYDTLSRYSHDNDNDVAINAIFAMGLLGAGTNNARLAQLLRQLASYY QRDQNSLFMVRIAQGLLHMGKGSMSVNPFHTDRQVLSRVAAAGLLTVLVAMIDAKDFI LADSHYLLYYLVTAMNPRFLVTLDEDLKPLTVNVRVGQAVDVVGQAGRPKTITGWQTQ STPVLLSYGERAELEDEEYICLSSTLEGLVILRKVSLRDPTTAC TRV_07043 MAPVAEELVRRVPEFGEPSIGVAIHWADPKDILSVLFILGGDFV EHAFAQLVGTPVPPAAFSFGCVSYGLNAVVSAIGKNRLMPDTDHPCKIINGETGTSFD NTSWVLGRLLRDHDYWKHKDVHNHLKDNITRKWDQLKLDSITTHGTCANVRQPKKAGL CVSIYKAKEEGLGRPKRDKFDYSGMLTTLLQLLLPAVPLMREGDWSPFLTTLTGSLLS IISGCLRQWRREKWPCCANSEKTVVLTKGAGCQHAIVIIGDGRGFDLEEMAVGSEERD LPCDIFTRVAVFILAVFWVLLVIFAAGLKTNAWYVITAGGMGTIQNAYVVGGKRTPES FGLPLEFLDVIGEIGVMDTLLAVEEKYPNLGSSMISTFFPDGQMTEEYHLKWEALRAR AALQGGKPRRSQTI TRV_07044 MRPTAVLRLRRAVIEVERKFSCGPKSGEIFRSNRGSPSFDHVEN IGQSVFEDQYFDYHQQLCKNGVWIRRREGIWQAKVRTDLTNSTFTNSRFEELSEHGEV LRLVQRLVSGVKFLPTERDGSDVRHSGLEVMARYTTYRDTWRFNREFEVVLDRTDFGH WVGEVEIQRDIEVDEDETKAFNQRQAVSAAMDRDIRAFMERYQWAFPVDKPVGKLSAY FAKR TRV_07045 MPLFRSFPDIPAQSSQQIALYLTILLSSVISILLSWSLRPVRKR PVSVNYHFTCQCNKSCGFCFHTATTSFKLSIPEAKRGLFSLKQAGMRKINFAGGEPFM YPKFLGQMIQFCKEELRLESVSIVTNGSLVKRDFLERYGRFIDILAVSCDSFNEQTNI EIGRGKGDQVPQLFRIAEWCREYGIKFKLNTVVCNLNYQEDMNPLVEKLQPFRWKCFQ VLMVQGENDSDKTLRDVRKFQITDQQYEEFCRRHEHQPSFVAEPNNLMAKSYLILDEY MRFLDRDGREPSASILDVDVFTALGQVYWDETSFNRRGGIYDWSRETNQSSCGSGDSK ALEW TRV_07046 MSTLQRSLNVLLRTGKYAAQRPSAVNPMQYVFSHNRMSARGMVA AFERNKPHVNIGTIGHVDHGKTTLTAAITKRQAEKGFANFLDYGSIDKAPEERKRGIT ISSAHIEYQTDKRHYAHVDCPGHADYIKNMITGAASMDGAVVVVAASDGQMPQTREHL LLARQVGVQKLVVFVNKVDAVEDPEMLELVELEMRELLSHYGFEGEETPIIFGSALCA LESRRPELGVEKIDELLNAVDTWIPTPERATDKPFLMSIEEVFSISGRGTVVSGRVER GILKKDSDVEIVGGSTTPIKTKVTDIETFKKSCDESRAGDNSGLLLRGIKREDLKRGM VVAAPGSTKAHTDFMVSLYVLTEAEGGRSNGFTHKYRPQMFIRTADEAASFSWPGEDQ DKKAMPGDNVEMICKTLHPIAAEAGQRFNIREGGRTVATGLITRVL TRV_07047 MACLRPLFSAAQALRCTFISSIESQTAAQASRQLHALPTRQLRY YRATPVSQFSQRPHYPPPPATSAASSASRSSLPKDEEIRSAIVRVVQENGQLGEPMSL KSALASFDRSRNFLVQVRAGSEDQAPVCKIVDKSEYSASAKAKAKGKESKPKSTAVQI KQIELNWAIDPHDLQHRLDQLETFLSKGKRVSLILTKKERKRRATPDEAQRVLAAIES KLFEIGVTEVKPRRGKIMEHMEYVLDKKKAAA TRV_07048 MSGASGGRSHRATSSTVDSDPSLHRRASSVSKPTDHGLDIENIP PEPMKGSPTIAPMTQSQRSRYLKTGGILAFILFIIYVLAPSSRTPNLPPPGPDSATKC TKPYDSSKPLIQYVLMIDAGSTGSRIHVYRFNNCGPTPALENEEFKMTETKEGGSGLS SYREDAEGAAKSLDPLMEVAMKTVPEEYRSCSPIAVKATAGLRMLGKDMSDNILKAVR TRLETVYPFPVVSEAQGGVEIMEGKDEGVYAWITTNYLLGNIGSPEEIPTAAIFDLGG GSTQIVFQPTYNGKGPDGLPEKMPEGDHKYALKFGGREFILYQHSHLGYGLMAARSAI HRYLIETKHELSPNDKGWLKQKVVNPCIVPGMSRNVSIDLGDNHALGKEVEVLMVGPK ESSAAQCRNLAEKILKKDATCNLAPCSFNGIHQPSLRKTFAKEDVFIFSYFYDRTSPL GMPDSFTLHDLHELTSIVCGGEDSWGVFQSNSSAMKELSDRPEYCLDLNFMLALLHTG YEMPLQREVKTAKKIDGNELGWCLGASLPLLSKNSGWECRIKQIS TRV_07049 MSSSSKEAKKASESLTLCDKGQLDGINRGSGDSVANLTRKEASK FSSPESTDSEPTSSSGSSDDSDSDSKAGESSDEEKSDDDEQMDDQPGPSIPSRQFSSL PDNSALRARLASFLPSLKAANEDLEREIAAGKSMTLEVDNEDEGQGQLIEMVRYQSDR STNRDDTYS TRV_07050 MTIQPPKFTALEPVTEDEVQALNPTRKTLHQNIIGKLRPLPFQY RWAVWHEKHSESTNYGDRLYPLHDDVADIATFYRIYNNYPWGKIKVRDTVHIFRRETK PVWEDPQNLKGGCWTFRVPKSKSQAFFHEVAILCMANEFQAAVQSERDHVLGVSTSAR FNSNLISVWNKQGYNLKAIKALEEVILQRLSPELRPTAEKSYFYKRHDEHDGYQAAIE AALGSATHRSNTLE TRV_07051 MAEAETEGAGVKRVGSSMESLRRKKFKLSELPISTAQRTTIENL LYSFKKKGGFDAVRKEVWAKFNNSDAKNSFTASLIEMAESEIERDPSLLSRERGKAAT LISGAVDRSDLHSGIEETVDAIISNHIDEILASLRKIRAQDIGQEAANAEIAKGLTTD AEYEKQVQAKRDERERIRQKELEIERKAEEERKKAEAEEKRRQRELRKQREEEDRKRR EEREQKRRAEREKQREEDRLREERREREREERYERRRREEKERYRERERERERDYDRD KSRRSDLDRGRDGSGTPRDTSRAHKTPAPPVDEKALEDAALELLLKEGKELAAKSRQK PEFDFEQAEALENGQALQPANTTTRFADVAAAGPEAELEQGDPQGTMTNIEMPTQIGE SQKGGLVILMYTGLENETDETETTTLFALGLGDGAGLGAGAEF TRV_07052 MPVHKVLFWSGFGVAVRLWQLGIEMRPFFNKESLWVYPLFATVG GSFGYWLEGVEGRQLKMLAERKRILLEKRQRRAERDADDTVGLGQAEQGGILASTS TRV_07053 MVSSLKPLVAASINTTSDMSVVDEGSIYAGQENTTENTIYAVAP GKVFVRPFVSFHYINDQSRLHAHKPPNMAILLVCKKVYEEASLVFYRENTFSILHPDV LLDISNEYPRVRDHLRHMHSIEVIFHHRDFQYLSGPFCDDLLAGSIALRRQDKQGGKK TDKMAKNLLKYRASILGARTYTAGCGLIDRNITPILPHAQDIKSMQDYLFGRTLTFLR QRLLISNLELKFMECICPEGCCRLAGEILNWGTRKTWTFDLPRSISVTGATEEERKQI LETIAHQRPSPEVVDLLLKYQSRRKSFTNRSIRGILKAIDLGKK TRV_07054 MSRDQKARFWWSLCHMAVAGYTLWSAHGSMAMTGLSHLMLFDSL GAMLCVVVDILGNFEVWKRSSIRHPFGLERAEVVAGFALSVLLFFMGGDLISHTVQHV LGGSHHAHPHDEHERVTPGSIDMTALLSIAATLISAIGLKNHGRIGKAMRVTYIQALP SLLSNPAHFLTLSCSTLLLLLPLISISFYSMLDPVISFTLAFSMCSLGIRLVVNLGSM LLMSYAGPGVSAVMKDIAAHPSVTTVEEARFWQVHYGLCMANLKLRVSGSEEAITLLR ERIVNMIKQSLGGEYGTGVQKWEVSLQFNVDST TRV_07055 MDGRRGAFIFILLFFLFTAPNPHPPSSVSVRDYKRRLADEESAL TSLNSSNYGDLDPQAGRWLSLAGIRATDGYAWNLLPSVQEKARKRLRVALSASGTSDS VSSKTNITGLSLPVYRNVSGNVRGEWVRSMETDKLSRPELNLTTIITEHEYFTREFGH NVTAPNGRVVLNLHEGQGHSLVGEDGKGVEEIKAEMLLRTDKSLGESWVVPLYGVHFP TSGGIILTTASEKYAGLALIPHLALSNDTFELSRELLNNSLTEALSERSSHLNTFLPW SSLSQGTNSASLPAPNCEYVVYLQQHVLLSGGKPIPESIIEDIERELRFPTGAPIPKP SPLVLSGVIFSPDCGFVLETKASPEYPPTDELYLVGLKQEEYSKYTGRFITSVAGILA SQILLLMKQMKESSTPSTRSRVSFYTIAMMSMGDALFMSFMLIELYSETSFLLLTATS FLAFFGVSFLGMKFQIEIWLVQAPERREQQQQRHQTVVHPTPSTLPLPVTARRPTDTG ATPVILPPDQDENPGTAVNPTTPDQNDDTANAGAMYSRFYFLLFSLLFFSSWVLFWPS RLRTIYGNVLSFIYLSFWTPQTYRNIMRNCRKALRWEFVVGQSLLRLFPFLYFYFTPQ NVLFIRADGITTLLLTFWVWIQIWSLVSQDILGPRFFVPKSWVPPAYDYHPILRDTSA SGSAEDLEAGDALPISSLRAEQSEPPSGLRDGGKEIDSRQNRRDFSCAICMQDINVPV VTGNDPSAGTSVTTGATHLFSRRAYMVTPCRHIFHSQCLETWMRLRLQCPICRESIPP I TRV_07056 MAATAIRFIAPLRSALSVPRFRASSALRYAAQPNIRRPFSQSSL LQAKKYTEQHEWIDLLEDGKTAKIGITEYAAKALGDVVYVELPNVDDEFACGDGIGAV ESVKSASDIMSPASGKVIEVNTVLEEKPKTVNDSPEGDGWFAKIEVSDASELDGLMDI EAYKASLEEAD TRV_07057 MRFDAAAPVQKEMRRTLGLDPRMIRYSVVKLGSTLKEVKDVPGR IEWNNTKIQEETFGNEYRPSPLTR TRV_07058 MSGRLLSRSATLLSGRTVARQFQYLGVTRAGGLINPQGNAYLRV RSWPLGTNAIHNVPAVRTISFARVLPKLALKLARIPAMFGGAMIAGLAYIQYQAAQAG NYAIDVIKSAGQTAGGVALDALQGLRDIAEQTQQGIQNTKDNIQVPEWVQKILRMNEF APSGHGGSSGGGGSGDGGGSPKKSSTGIAMAGAAAGSSLGFDSDQENRSAATLALDEQ MMTLTRKMIEIRNMLQRVGQSNTLTLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNM VTRRPIELTLVNTPDSSAEYGEFPALGLGKITDFSQIQRTLTDLNLAVPEKECVIDEP IQLSIYSPHVPDLSLIDLPGYIQVAGRGQPQELKQKISDLCDKYIQAPNIILAISAAD VDLANSTALRASRRVDPRGERTIGVITKMDLVDPERGASILSDRKYPLRLGYVGIISR IPQSAGLFSRGSGNISNAIVKNENAYFNAHPREFGPDSELFVGTSVLRKKLMQTLEQT MASNLAGTRDAVSQELEEATYEFKVQYNDRPLSAESYLAESLDSFKRSFKEFSEGFGR PQVRELLKAQLDQRVLDILAQRYWNKPIEDISLALPEVDPLSSLPTSSPDSLYWRRKL DASSSALTKLGIGRLATTIVANSLQNHIDSLVASSTFNAHPYARKIIEEASTTILNDR FFSTSDQVENCIKPYKFEIEVDDMEWSKGRENVTRSLKEELKACESALKNVENAVGKR KLRDVMSFVDKSRKGDINIEGDNTGGAGGFSASLIEQARNAAFLRDRADILKMRLLAV RSKQCSSKKNRHYCPEVFLDVVADKLTSTAVLFLNVELLSEFYYHFPRELDLRLGRHL SEAEVERFAREDPRIRRHLDVIQKKEMLELVLEKIESLRQLEGRSKSNPNQAAATKER VKGSWRLF TRV_07059 MHNGNLGGWYHIKRPLGDSLANKWYLGVKGGTDSEWAFALFLDL MEKEGVDPSSAPEGGFGPELLRGVMTKTIRKINEFIAAIPKEYAMTDLEVRSLLNFAV TDGHSVICTRYVSSKTDEAASLYFSSGTKWKEGKVKGDFKMERHDKGADIVLVASEPL TFERRKSMPLFHEMALSILTMLDYR TRV_07060 MNSANVTKNTHGKAEGEEEADGDEIGGGLDIMRTTGQQAGGQRL KVPDRAIASALNQQRKKTRRRKGSLRKTALLGTGMLRMEGKERFAGGGTTLKRATGIF LDQYDGPAEIEEYKSREKGELEKDGERSREAASVVTNDSKKLSRLQRQLSASLDDGES TPRRFSHSSTLAADHESPSSASPSSWNQNQWKQQQHGQQLQHTPTNSGSFSNAAQVGQ SSAANSVQDDGTTDDEDGLSLPRLNTSNLSRLSSKTSNSSISASPVLPPRRMPLSALQ TAASSSSDSFFKHSSTMAQISRSSSQRIRSPLATSNTAEITSPSEVWDYSETEWWGWI ILIVTWLVFVVGMGSCLGVWSWAWDVGETPYAPPELEDDATLPIVGYYPALIVLTAVM AWVWVVVAWVGMKYFRHANISGDDT TRV_07061 MADNAPPATSTSLPPPPHQSSAGAPGQQQQQQQGQQQQFDNSQG NGQTNPSHMPPPPLPPVVIPQNTNPIPTAITSPMSGNMMSPTAAGGYVRRSAPEPNKR ALYVGGLDPRVTEDILKQIFETTGHVQSVKIIPDKNVSQHLLLLYLSDLYLFFTYVLY VYLYLITSALLLVIFQSKGLNYGFIEYDDPGAAERAMQTLNGRRVHQSEIRVNWAYQS NTASKEDTSSHFHIFVGDLSNEVNDEVLLQAFSACGSVSEARVMWDMKTGRSRGYGFV AFRERADAEKALSSMDGEWLGSRAIRCNWANQKGQPSISQQQAMAAMGMTPTTPFGHH HFPTHGVQSYDMVVQQTPQWQTTCYVGNLTPYTTQNDLIPLFQNFGYVVETRFQADRG FAFVKMDTHENAAMAICQLNGYNVNGRPLKCSWGKDRPPTGQFDAYSPQQSGNPAFNS GTSPYFPQYGGPGGPMTPQGGMPPAQGYPQVQVPVQGGPGGPGGPGGPGAASAGYGRG QSNPPSAGWAQQPNNGVGVGGAGGNFGNGFGGYQT TRV_07062 MPQSGILELLFIEQTLQRENGDRRRQLLLSLGRRREPAKSVSHD HLGCLSKHVTVSPHSFRPWHVVRSASEKAAHRGHGEQKQKKAEAGGVKEAAAAGEGRK AAERERERDGEELLTSIGSVKAAFYFFSVNFNFNFNFSYSPPPPLSPAPRSALCLFIS FFSLPLSSPCFFFTRPVSLFLRVSVFPSCVLSFCSFVSYFFLSVLLSLLTLSSLLFSL LSLGSLYISLSPRPVF TRV_07063 MVATGRWFRNPERYPNELKRHIPAAHEQFQRALDRLQQEIVPLP NPLSLQFGPLCHKSILIVRFDYQDIREKKAELNRAQQGEADAPAAIPATSDITDAAPI PSTAPSVAQPTNAPVIDGPLQPTTQSPTLTSTSAPAPVTRPADTQAQAQPAASSPAIP VMNPTTTSPSVTTAPVQVALTTTTQGAQPPAVATEGSPDPTIPDTSTNVQSITAEPMA HTQSSISHASGSIGSLLPGLETYANAGDEAILDLLPSTGQPNGQANGQSGTQQNNQNT AENRNNATTENPRRDVVMDDVPESNFDDLFVGSGDFGGDADDLMMNTAETTELDDSWF Q TRV_07064 MKESSRDTSPSRCSSTSSRSLGDHWSPTRIVSNTIAGPPEVERT FEEHAKQLTDTPRRETFSRSSSLKSSESNSVPVPNSVLPSFPHERIVASGNGIVVLIG LAEPVLYLERVDKRVSSDKKRVLTGSLHLKITKPTKIKRISLGFKGIARTLWPEEVCL TKLNAGIPGNRKRTRDTETVISLNWAFFDAHSRAVRKGYGANHIKFTSPREKGSENSP VVDISGLLESSSSLPKELKRLSLRHSRSNSFGKGDTNLISDIMGQKGYKTFPPGEYMY NFEIPMEHRYPETVKWDHASVKYELEAVVERAGAFRPNLIGIKEIPLIRTPGDDCLEH VEPIAISRTWDNKLHYDVVITGKSFPLGSKIPVAIKFTPFAKVGCHRISVFITEKVQL WAHNRKAHRVASDKMLLLFEKRAGMPSKSIYSGSTMRLSGGGEQIASNGEDLASGAHN FLGNISHDGFSPGTTEIECEVQLPSCPAMKKMTDPSMKLHCDVQYSSIEISHSVKIVF RLSKWDNGSPQRRRQFDISIDSPLCITSCKANASNIYVPSYNSPSSYYTDEYECGCPD AVALSHDVNLNPTLSLPPSFTDQGVPSPTTTSESNDTSQSDEHQDENNHYNDPPTRPV HFLRAPSFNPPPFESVPPPPPPILSPPPEYSTICSGESGNNKIVDYFALAHAREGQYD DNVRGSGRVDIPLTPGGRVHRSMDIPRECLANVPPLPGRNA TRV_07065 MVPPKSGNRPLRRWIYLASPFLSFLVAGYLFLSNYPLYYKDNGA LNIPSSPSEEIPRIIAGLEDVHVVLKTGATEALAKVPVHFNTTLRYVPRFTLFSDYEE DLAGCHIYDVLRNVDAAIKDKHPEFELYRRLRAAGRETLSNTDPSNDVSTPAGKPNNP GWILDKWKFLPMMHETLRIRDDAKWYIFMEADTYIVWKNLVIWLENFDSSKPYYLGNQ MQIGDTIFAHGGSGFVLSQAALKRVVEYHSSLVKEWDTLTGEHWAGDEILGKALNDAG VGLLWSWPMLQGSTPWNFDPFSSSYNKLPWCYPPITYHHMGSEDIRELWEFEERWFEE KLTQPRFNATGEDRDNDSRDIAAGVETAAECERHCTKDLECLQYSFGDKLTFKISYLY VHLLRLCFELKKNNIIN TRV_07066 MLVEIRRMTKEDIPGAIECVQTGFADDPYFNWVFDVSKKERARY SKSYMQEKKNVSRRNDQADHSTLFRGPERGVSERARFGCSLSSSSARASEWLFDKYLL QFSKDRNDVSLTNRCLWGIKNGLFYVAREVPSASDVPDDQKGPQEPHERTSLLRDKSS SSSPARVVGVAMWLLPQPRSKPDSWFTYFQTWLLSFRQLLTNIRFMGRGGLRVQRYRI WKAAQANVQDELWTDERGYYFCNVVAVRPEVHGKGIGRQLVNIVTQQADEEGMKCYLE SSKQVPNIQIYERFGFKLIRDMVCDDNGETCKVRTLSLDQTVLLRLSVNC TRV_07067 MALERVDEEPGDSIAPFESSQDDDSSGGQPPPHVAILITTVIAV IIVGISLFWFVRWRSRRSRYRSTFTRRQASTRTGKSEISQAGKGSKSTANAKGGLEWN PESTFAKPSIPEPYVGKPYTHPQGMLSSSSIRKFWHNSIGGSRPPKLHIRGNPSTDAE NELEGLSTHHRDGSGGNFLLRETITEIDKPPRIFPRATSTHSSSIFHLDRPSVGDRPV STASTLDRNSRNTPTTQPEPMVSKYPWSVATQVTPIEPSYNSMTFFNHRESYGDSVRD IEAAR TRV_07068 MTRAAQSSKLVVGGGSRRSYADAVVSPASTTAAPAPAPAAPPPP PPPPPPAPKRKRFRFFRWTYRLIVLSVLGTTGWTAYNVYRHRNPAEQVSPDPDKKTLV ILGTGWGSVSLLKKLDTENYNVVVISPRNFFLFTPLLPSCTTGLIEHRSIMEPVRNIL RHKKATVKYYEAKATKIDYENRVVHISDESEIKGDTSSTQVPFDLLVVGVGAQNATFG IPGVQEHSCFLKEVGDAQKIRTRIMDCVETAIFKDQTQDEIKRLLHMVVVGGGPTGVE FAGELQDFFNEDLKKWIPDIKDNFQVTLVEALPNVLPTFSKQLIDYTESTFKEEAIKI RTGTMVKNVTDKYIEAQVTKPDGSKEIEKIPYGLLVWATGNAVRDVVRDLMNQIPAQK KSRRGLAVNEYLVVNGTENIWAVGDCAITNYAPTAQVASQEGAFLARLFNTMAKTDQI EKELSHLSVAQSEAKDDSDRNKILDEIRALQQQMRRIKQVGPFQYSHQGSLAYIGKER AVADISWLSGNIASGGTLTYLFWRSAYLSMCFSTRNRVLVVVDWLKAKLFGRDVSRE TRV_06948 MEIEGLLQFARNHVLLFAGGCIILILFSTSMNFEQLFDRMHMYE ISNEIRLKKIAIQPKDEHEKDCDWLVERLYLVQRLHKWRQRQIFLRETIVEYITQGRK LDTISNLDNWGPRDWQHKLLNEANEGWVLTNEWHDLHQRKPKGPRVRLRDNFISQAYK TLLDNPTEHLSRRSLVEGCEARGGCCARACQCCMRPRGQYPNKRLYYAHCTLFCGCCV RSRGFVLHKEEQCKDCEGLSDISDTPFPIEGSEWFKVL TRV_06949 MRRILASLTLLPAVLAADIKVEMLYQRIMAVTMTTTKSAPPTEC TYTPPPRNCERACGVGNVPCVNETRCYNPYIGEVCCMDGKYTLKQCGGHEVRNYDPPP GEDSSTTRRLSSTSTSEPITSSSTNSAVTSSGSAQPSNPSGSNDKDGNGASKKVSAII GGVVGALAIIGAVVVGVVWLILRSRAKEKAACAAAAEEGADNGMEFKAQVPYGSGEPR QTASRSVMGYYAPSTLGPTEATSSIPFYNMSTPRRVTELDAEGIYHINPTSSSVTDDV ISPSSEGQLSKDTK TRV_06950 MTSVEAQLRGVAILGPLNDQTRKILTKEATAFLALLHRTFNGTR KALLKRRIDRQAEIDRGALLDFLPETKHIRDNDTWKAAPPAPGLVDRRVEITGPTDRK MVVNALNADVWTYMADFEDSSAPTWENMVNGQVNLYDAIRRQVDFTLGGKEYKLRTDR KLPTLIARARGWHLDEKHFTVDGEPISGSLFDFGLYFFHNAQELVKRGAGPYFYLPKM ESHLEARLWNDVFNTAQDYIGMPRGTIRGTVLIETITAAFEMDEIIYELRDHSSGLNC GRWDYIFSFIKKFRNNPNFVLPDRASVTMTVPFMDAYVRLLIKTCHKRGVHAMGGMAA QIPIKNDTKANDIAMESVRADKLREVRAGHDGTWVAHPALASIASNVFNEYMPTPNQL FVRREDVHVTANDLLNTNVPGNITESGIRKNLNIGLSYMEGWLRGVGCIPINFLMEDA ATAEVSRSQLWQWAKHNVPTAEGKRVDKAYALKLLKEQADELSAKGPKGNKYQLAARY FSGQVTGEDYADFLTT TRV_06951 MGAAYAFIYDERYMDRLYNLPPCLRSCRKKISVPRKPDARYILS SHTFWPKETKGVHNPDKMKASLITAFVLPLLALASPYLPTDPPANIEVTARHPGRENV DKLPMQAAGRAFWLGGSPATYCPEIVGDNCPPGNATVILGLNSMSVLVPGGQQMYVEP SGKLGFTQAHSAAIPPGSYVGGFAYKPLNKKSGSFYFGGWGATALMACPVPDSKYYQV FANIKNAMVPGGDVKKCVEFVGVAKEYKGTTPAAWQYT TRV_06952 MEAGSYAVKFIRKIQNEDIHSISPRQDVTDAFNEHVQEWTKHTI WADRCRSWYKDYKTGRVNAIWPGSSEHFIELMKQPRFEDYTITYRKKNMWSFLGLGNV PANMTEGVDRSPYLSVDAIDPRWLAAINRERQD TRV_06953 MAPRVTPEFDKTSRPSSAYEIDKEAMFNGYNRKIKVLTIGAGLS GIMMAYNIQKHCQNVEHVVYDKNHDIGGTWLENRYPNCACDAPSHSYIFNFAPNVGIT LSCPPWEGGCIDVKMDTDIFGSPSGTTSIHMPQTVCEVFDLRKYMTFNTRVTGAYWEE ACGQWRVELSQTAPDGSQIVIEEKCHVLLNASGFLNKYKWPDIPGLDEFTGRLIHTAA WPKDYQEEQWKGENVVVIGSGASSIQTVPGMQPHVKHMDVFVRTGTWFAAIAGNQGGN SAYSDEEKEKFRSDPQALVEHCKSWEDQINALWGMFYKGSPAQAAVRQIHLFAFIMKT NKIIGFLPSFGVGCRRMTPADPFMAAIQQDNVDVHFTAVTEFTADGLIGEDGTERRAD TIICATGFDTSYRPKFPLVGQGGVDLREKWKTHPESYLGLAVPG TRV_06954 MDKLKETRNHNPAWIKQRRFLSLILFLIAALLTIIIIFLFWGSQ ESLDRPRLQRKYHIPDRTFPMKQRSPQVKTVPRWQDSYFKAQSLVNNMTLVEKVNVTT GVGWQMGLCVGIRFADNITAFPAGITVGATWNRELMYKRGEALGREARRKGVNVLLGP SMGPLGVLPAGGRNWEGFGTDPVLQGIASAETIRGTQNEGVIATAKHFVLNEQEHFRQ PHEWNNSEAMSTNIDDRTLHEVYVWPFSESVRAGVGSVMCAYQMVNNTYSCNNNRLLN GILKDELAFQGFVQSDWYGQQVGVESALAGMDVSMPGEIHYSDSGESFWGPNLTTAVL NGSIEVGKLNYMVTRIVAAWYQLKQDEWEKPPPDGRGGPNFSSWTKNKTGHLHEGSDD DQNVTVNRYVRAPNVWNNSHIDLAREIAAEGTVLLKNEDGILPLSAKGTTSDRKTKVG VFGEDAGPGDGPNVCPDRSCNQGTLGSGWGSGAVDFPYLITPWDEIKKTYDSNNVSLN EYLYNGIQDNDLEDKDLCIVFANADSGEGFASWEGIYGDRNDLSIQKDGNNLIRQASD KCGKGKGSTIVVLHSVGPVMMEDWIEEPGVKAVVLANLPGQESGRALGDVLFGRVDAT GRLPYTIAKDPEDYGPESKVLYESNDVVPQKNFTQGLYFDYRYFDKQNITPRYEFGYG LSYTSFQLSGINIKALSQKSATANSRSEVNVTLPSYNESIPDPNSALFPEGFNGLERY VYPYISSVDDVQETERLHFLRRRTDEYNLTAGGGEGGNPSLFESMVNVSLTVNNTGER AGKTVVQLYVSFPSGVNETVKEEGEDEDERVISIDFPNRVLRNFEKVELQPGQSKEVT LSLTRKDLSYWSIYQQNWIIPTAGKFKIWAGQSSRDLPLTGEF TRV_06955 MAVHPAYSCISGAARAILQDLCGQETPESGFAISPASIGIAMAM LIGAATPSDAERMCTSLGVATPEQFDVVHRLLKHCKDTTAVANAIFADKGTVLDDEYA RFLEGFEVCANMEFPRLLDGLTTINGWISNNTMGMINNMICNDNLAHSHLVLINALAF KGTWKEKFDPKNTATDYPFKVTDSDIRRVDMMFRFGEEIFVCDTPKYNAVKLPYEAES FSASTSFKAYLPRDGVSVQEVLSSLSSEQAPFRQKKVSRLGFPKVDMSYGTNLLEVFQ RIGTYLPSTFPKMGAGENVLSAILHNSAITIDEQGTRAAAATAVMMARSVAEPSTVLV FNKPFVFSIESETTQCLLFMGVFFPKR TRV_06956 MDHESIGPKAERYPSPPSSGDEKGSIDSDAAKLAAMGYTQDMTR KFSVLSLLAVGFSLTNSWFGISASLVTGINSGGAVLTIYGIPWIAFISTCVAITLSEL ASAMPNAGGQYFWANELAPKKYANFASYLTGWFAWAGSIFTSASVALGLGAAAVGMWQ MGHPDLEADYHTNSVPQPWHTVVAYQVINGFAFLFNCVGRLLPKIATVTLYTSLISFI TILITVPAKAPTHQSAKFVFATFINSTGWKQDGIAYLVGLINTNWVFACLDAATHMAE EVAAPERSIPIAIMGTVAIGFVTAWFYVISMFFSLNDFNTVVKSPTGVPILELYFQAL GSKAGAIVLESLVLATGIGCQIASHTWQSRLCWSFARDRGLPFHTTLGLNKINPKLDV PLAAHAFSCTIVGLLGLLFLGSSTAFNSMVTACIVLLYVSYVIPVVCLLIKGRNNIQH GPFWLGNFGLAANIILLCWTLFTLIMYSFPSVYPVTAGTMNYVSVVYFVVIMIIVADW FLRGKREYRGQTARHEDAEVLHRRSSVVHK TRV_06957 MVLSAPESVITFYDIALASPVIENAASPNPWKSRYALNFKKIPY KTNWIPLPDISTVRTSLNIPASRTFADGSPYHTLPMLFDPATGKVIGDSFEIAVYLQR QHPSSGGDLFPEQDLSFTYGEGPVLFAPIAESRVEKAIEAYVKFNTSVDAAFTAHVPL MVHGLPFDPATAEQSKAEFSRRAGIPWEDLSVKGEQRGEMLKSYEQALSGLAELYKKG ITGPFLLGNIPSYADFIVGGWLRMSQVTLPREEWEALKSWHDGIFGQLHQALEAYAQM D TRV_06958 MPLLETAPMQSERIVPVASKAADGAIGDDVAHLLKTVFEAQTSQ QALDASYGLTNLLISTGSVRCLQTFNVVPEIKKAATDKKNGARRESSMLILGALFEQY PLKNPLSEVVFLLENGGLLYLALDLLADKDAVVREAAQYAIDALFACLKEESLVHALV EPLAQYLSKPTGKWQGTVGAYKLLEKVAKRAEIGTESKEKEELKDLLRLNLGQKLKDL IPIVEGGMHDLKAEVAKQAVKTMTALSTFLDNDDVAPRIPLLLKTMEKPSTETLQKAI HALSQTTFVAIVTSPVLALLTPLLERSLNTPTTTQEVLRQTVVVVENLTRLVHDPVEA RTFLPKLKPGVQRVKDGASLPEVRELATRAMNVINKAMGDDEAGTIDSRATTEQVEAV LEEHMKPYMKFNHTDEKRFWETSRNYIANMVRDDANSRLLERIQLCVGPYLQSFVPDG KHDEIAAAVKAHFVEEDERRFGVPVKEDDGEIEIVNANFSLGYGGRLLLSHANLRLLK GHRYGLCGRNGVGKSTLMRSIANGKLEGFPPQDVLKTCFVEHNQGEDAELSVLEFVTK DPEMAAAGEEKISAALNEVGFTPGPEGRQQQPVGSLSGGWKMKLALARAMVMGADVLL LDEPTNHLDVHNVKWLQEYLKKHTEVTSLIVSHDSGFLDEVCTDIYHYEQKKLVHYKG NLADFVKVKPEAKSYYTLSSANAHFKFPPPGILSGVKSATRIILRMTNCSYTYPGSSK PSLVDASCALTLSSRVAIIGGNGAGKSTLMKLLTGETIPQTGKVEKHPNLRIGYIKQH ALEHVEMHMEKTPNQYLQWRYANGDDREVLLKQTRILTEEDKIQMAKPVDIGDGKGPR NIEALMGRQKYKKTFQYEVKWKNMLPKHNTQISRETLLELGFQKLVQEFDDHEASREG LGYRVLEPKVISKHFEDIGLDPEIANHNEIGGLSGGQKVKVVLAGAMWNNPHLLVLDE PTNFLDRDFLGGLAVAIREFKGGVVMISHNDEFVGALCPERWYVENGRMTHAGTTAVA LDRFEDQSRNPSTVASSVVSSAAPSAVNSGAEDGGELKFKAKKKKKMTRAQLKEREVR RRLRHIEWLNSPAGTPHPPDTDDEQ TRV_06959 MVSAAWLRYPSLLTLGVLVCRVTAQYEGDVLRYVDQLVGTANGG HAFPGASLPYGMAKAVADVDGSENYGGFTTEGSNVTGFSHMHDSGTGGKPSMGNFPLV PQICQDDDINKCKFSKEDRAVHYIADSVKARPGYFAIKLNNGIAAEMTVTEHAALYHF DFPHNNAESNGTLPVILVDLTDIQDSRQNAAISLDEDTLRVKANGTFLPSFGIGTYNS FVCVDFSGAEAKDTGIYISNRAGTLPKAISVGRGFNLFYIQAGTYLQFRASSNGPTRV SARVGLSFINEDQACKNAEKEIPGSNWDFEKVRTDAESAWKEKLGLISLKSGGVSDIF QRTFWSAIYRSMISPQDYTGENPLWQSKEPYFDSFYCLWDSFRSQIPLLAIIDPTTLA KMIRSLLDTYKHQGWLPDCRMSLCKGFTQSGSNADVVIADAYVKSISDNIDWDLAYEA VVKDAEVEPSDWSVEGRGGLMSWKSVGYIPAQDYDYLGTGITTRSISRTVEYSYNDYC VGVLGKGLGKEHEKYFQRSGNWQNLFKADQTSFIDGKDTGFVGFFQPRYYNGTWGYQD PILCSNIAAFCSLTSNSQETYESGIWENQFFVPHDMSTLINLLGGRSKFVARLDYLHD SNILYIGNEPSFLATFLYHYAGRPALSAKRAHTYIPSRFNDTTTGVPGNDDSGAMGSF TVFAMMGLFPNPGQNVYFIMPPFFEAVSIKNPVTGKIATVRNVNFDSKYENVYIQRAT LNGKEYTRNWIGHEFFLNGGTLELTLGKEESSWGTGQNDVPPSLGAGIKRDGLRFT TRV_06960 MRLFANCQQKSSRQLGTRSYATAEPDLKTVFKDAIPAKRELLKQ VKARADENIGQVTIGNTIGGMRGLKAMVWEGSVLDANEGIRFHGKTIKDCQKELPKGT TGTEMLPEAMFWLLLTGQVPSTAQVRAFSRELAEKSDLPKHILDLVKSFPKDMHPMTQ LSVAVAALNTESKFAKAYADGISKADYWEPTFDDSIALLAKIPRIAALVFRPNEIDAV GAQKLDAGMDWAYNFADLLGKGGKEHESFHDVLRLYLALHGDHEGGNVSAHATHLVGS ALSDPFLSYSAGLLGLAGPLHGLAAQEVLRWILNMKAKIGDNFTDQDVKDYLWSTLKS GQVVPGYGHGVLRKPDPRFEALMDFASTRPDIMENPVFRLVKKNSEIAPGVLTEHGKT KNPHPNVDAASGVLFHHYGFQQPLYYTVTFGVSRALGPLAQLIWSRALGLPIERPKSI NLQGLLDSTNKK TRV_06961 MLRSVSRQLPRALRKPTPAFPTIRCISSTSLRMAPQLPAVRPPV SSALPADSYQLLSTAEKAGAAEDALFEEQIKAVNEWWASDRYEGIKRPYSAEAVVSKR GALQQTYPSSLMARKLFNLLNERAAEGKPVHTLGAIDPVQMTQQAPNQEVLYISGWAC SSLLTSTNEVSPDFGDYPYNTVPNQVQRLFKAQNMHDRKHWDARRKLSPEERKNTPYI DYMRPIIADGDTGHGGLTAVMKLAKLFAENGAAAVHFEDQLHGGKKCGHLAGKVLVPI AEHINRLVAARFQWDIMGTENLLIARTDSESGKLLSSTIDVRDHEFILGVTEDGDPLA ETLQKMELEGASGAEIDVYEAQWVKKHKLVTFDEAVDQHLIAEGASESVRNEYKSHVE QNRDLSISARRELAAKYTKNPVFWSWDIPRTREGFYHYRAGNEAATKRAIAFAPYADL LWVETGDPNVSKAADFAGKIRKQFPGKKLVYNLSPSFNWMGQGFTEQTLKSFIWDLAE HGFILQLISLAGLHYNATMATELSRGFKEDGMLSYVNLVQKREKEMGVDVLTHQKWSG APYVDGIVGSILSGSSSNKSMGEGNTEDRK TRV_06962 MALAAAIAGGTALAYLNGKYQLASDISSIISMKRSERNVAARVA NGTICPWFLFEDTVKKYPSVRAVWTRETCYTFRELHDVACQYAHYFRSQGVQRGQLVA TYLQNCADFPAIWLGLWSIGAAPAFINYNLAGAALLHCVKVSGASILIVDNDPMCKSR IEEERSKIENDLHITPIMLDEDFKKHISSLPRTPLDVSLRQNMSPSFPGCLFYTSGTT GLPKACAFTLERISQLFGTRALRDSPGGPDRWYNCMPLYHGTGGINMIVCLVGGVCVA LGKRFSVSSFWHDIIDSESTHFVYVGEIARYLLAAPPSPLDKAHSVRCAYGNGLRPDV WEKFRTRFNISTIAEFFASTEGMFALFNFDRGPYQAACVGHHGLILRKLLHNVYVPVA NDPVTGDILRDPKTGFATRNPYEIGGEILVAIPDEKAFQGYWDNPSATSKKFARDVFK KGDLYYRCGDSLRRTKDGHWHFLDRLGDTFRWKSENVSTAEVAVVLGQFPGVAEANVY GVTVPNHEGRAGCAALLIEPQALSSFKWDAFLRHTRERLPKYAVPVFIRLVNSSAHIH NHKQNKVGLREEGVDPSKRGTKTGGGKEDKILWLKPKGDTYEEFKDQEWESLTAGGVR L TRV_06963 MASYIHKHEIKSDLAFDTARWVFLDTLGCGLEGLKFAECTKLLG PIVPGTTVPNGTRVPGTPYQLDPVNGAFNIGAMIRWLDYNDCWLAAEWGHPSDNLGGI LAVADWISRTNRAGGSLANGKVLKIRDVLEAMIKAHEIQGVLALENSYNKVGLDHVVL VKVATTAVVSKLMGLTERQTADAITQAWVDGQSLRTYRHSPNTMSRKSWAAGDACQRA VNLVLKVQKGEPGVPTVLSAPVWGFYDVLFKGNKFAFQREYDSYVMENVLFKVSYPAE FHSQTAIEAATKVNATLKSMGKSAEDIKEVTIRTHEACVRIIDKQFKPMDNFADRDHC IQYMVATMLTFNRLVASDYTDGSEAATSPLVESLRTRIRCVEDPQMTKDYHDPALRTI PNALTVTLNDGTVLDEVVVDAPLGHRLRREEAKPEILAKYKRHLEGHFDAQRVKQLID LGLEQKTLEEMDVDKYVDLYVKE TRV_06964 MVEKGAEVRRGEAEAGRRRERRGRGSAGRALRASERGAQVRRRS GAAADICSYIISQGSKSRDAAAAGKRPQQPTARDIVYRSSGKKGSIDIGPRSDKEFKV AGGQLTSMGRNQQLLSGRRRGEEGKRRETKEEGRRKEEEVAKLQLKATRKEGWEVSGR G TRV_06965 MAVNILPVIELDSDYESISSSNSTIDANGYSSPASSISPSPGFR GDDFMSDTDFEAYVHSLSGNDESPSPTPRRAKERTIPAQRVLPPRTHLSEIRYQGRTY RPGKFIELQDGTFIRVNRILQSSDKTVVSGPKFERLENMGSRMPDRPNELCWVIDQGD YSSSSSRHSNASQYRPYSGEVEVPLSAIRRLRIIRLTNKRYSPTLDENRDDGFLFCRM KYTRVWKIRPSVSGRGTADIVEEKITFLLPEDCQSSLSVSREELRNNWRGTVLHKRRY TFGDGFCGAGGVSRGAQQAGLKLSWAFDKSESAINSYRANFPSCLAEHSEVAQFLTSL PRELLVDVMHVSPPCQPFSPAKTIAAAHDEANEACLFSIYRLIELCKPRVATMEQTSG LKQRHPIWLDAIIHSLIELGYSVRWRLVNCKDYGVPQSRCRLILIAAGPGEELPPFPQ PTHGDEPGKLPLVTILDAISGIPSTAPDHDLERAERPFERRPYDPRSLARTLTCSGGD NFHPSGTRTFTLREAASLQTFPLNHTFCAPGVMKQIGNAVPPVLARAVFDEVVKSLRN TDCPATGPANTPITID TRV_06966 MCGILALILANPTEAASVDLHEALYLLQHRGQDACGIATCASAG RIFQCKGEYLGLLVPVEYRTKIKTVRQWNVWKSLPRRLGHLRYPTAGSSANAEAQPFY VNSPYGITLAHNGNLINAPELKRYLDYEAHRHINTDSDSELMLNIFANELNETKKARV NVEDIFAALSRMYERCEGGWACTAMLAGFGVLGFRDAYGIRPLIIGSRPSRSGPGMDY MMASESVALDQLGFTNHRNIVPGEAVIIQKGHEPVFRQVHPKLNYTPDIFEYVYFARP DSVIDGISVYRARQRMGEKLAKRIITALGPDAIKDIDVVIPVPETSNTSAAALARYLD KPYSQGFVKNRYVFRTFIMPEQKARQKGVRRKLNAMASEFKDRNVLLVDDSIVRGTTS REIVMMARDAGAKTVLLASCAPEIAHPHIYGIDLASPHELVAHDRDINAITAYIGADA VIYQTLDDLTASCAEICIESGNAEPVKFEVGVFNGVYTTPVSANYFEHLEKLRGEGRK IKRVESARAAVLNGVASHENILIASSKVDLDANGKPLVVDTVRPVTDAPVANSTDSSL QAQAQANSQSQHQKPTEVPPHVKDRMDISIHNLGDY TRV_06967 MASNGSKITPLPPEEPVIPKNDNLAVPQDHHDRQIDGPSTYTEP RYITRQVKRQAMTESPSASSAKRSKVKRKQGNPVPAIDELPHNLGKIEILDAVVEGSA QDFALPTVPQLHSTDSSSSPAPYEAATSTPRRSRGPKSPYGLTPGVSPFPGWKRPTVA ECEEINRLLSSVHGEVIALKAIPPPSLTVSGCGEVPSILDALIRTLLSGATTGNNSAM AFQGLVRKFGILKKGIGKGSVDWNKVREAPVEDIYEAMKCGGLGVAKSKYIKQILEMV YEENKARRDALVESKTGREIDLTRAPGSINETEEQKDNEIAMANENVLSLNHLHSLSK DEAMLEFVKYPGIGVKTAACVVLFCLQRPCFAVDTHIFRLSKWLGWIPSDKVNEITAF SHLEVKIPDHLKYSLHQLFIRHGKACPRCRAITTENSEGWEAGCIIDHLVQRTGKRKG GPLKQTKLGFPANKSMYKSVYSG TRV_06968 MDHLKLAYETVLNCTFTQLLTSAVLILPTLLVLKLLTASLFSQD ERPVTFDVPLPKELSPEWKGVVWEDISKESREILTSQGTTGTFSEKKILSYCPADGRL LGDENGIIPATAEDIDRAFKRASAAYLQWRETSFAERRRVLRTLLKYIIYVLIVLLLR YILEHQNEIATACCLDSGKTKVDACFGDIMVTADKLKWTIDHGEKSLQTDRRPTNLLM MYKKNTVRYEPLGVVGACVSWNYPFHNLISPVISAIFAGNAIIVKPSEHTAWSSIFLC DIIQRAVVSCGHPKDLVQTVICLPQHADAMTSHPLLRHLIFIGSKPVAHEVCKSAAKA LIPVTVELGGKDPAIILDDPKTKGDLPSIASIMMRAVFQSAGQNCIGTERIIALPNVY DELLEIVTPRIKALRLGSALLDGRGDLKAQKLPHTPDVGAQISSKSFDRLESLISEAV RDGARLIHGGKRYNHPDHPHGHYFMPTLLADVTTEMKIAQTELFAPVFVMMRAKTVDE AISIANSIKYALGSSVFGHNRADVEKCVSRLDAGMVAVNDFATFYAVGLPFGGVKDSG YGRFGGAEGLRNLSNLKAICEDRTSIQTKIPPRLDYPIQKGQGKGEDGEVAWNMCQGV VETGYHPSLSGKISGVRKILGNM TRV_06969 MTVADIMLAQRPLTPPYTAPRDPEPTQYNLPQKNDTLLAELSGR CNTSLQNTLSKPTFQRLAEEMVSKSISSGSTKDTRDLEPRIHFAVSQTAQKLSQQATT TVNGIYFELTKLLPARLQPFLLNFIGKEASEEDRYTFIINVLPWILKKLRKSPSQNGT RKRGLPRRSRRIAKKQRQKKVGAKRVEKAPATAANRIKKRQQRGK TRV_06970 MAYPSPEPEDTRPEEHHTRVILLPISTSAVESLRLPGNQDFVGP IPLTGLPIDPSVTRGKDKVLAFRLNENLDRPYFVIGSDKSCQIQLQTTTDECWVNIRH CLFITIPDDKDDAILLRNSSTSRFVLRDIRHRKEDGEEILPGDRLRIRPGLMHVTFGA GLEFLLKVLPSSTSNTRSPVSSGICELLSGPAFTAKSTTLRRKKTAHRDLTDALHSNK RIKSENFLIKTVQCEARPTKLDLVAETGLTRVFKLQRCGRVVAAKVCRKPDIEDAVYM WENERKVLQSLKHRNIAQLLDFQATNLTLFLEYIEGLDLSQYADGDRFSIIKEEMQLR VWIDISSALKYIHEKGIIHHDIKPNNIILGDHKRGAVLCDFGLSTLEHRYSDGGSTSY IPPELLLKQRGKPSDIWAFGITMLFVFRHIQLPSNGWPIRKIMEDSKVRKKFMDWWDR IDTLRKKLPRRMTALQQMLTPDPQRRISASALYDALNKQRIAAVAAAATATETGTTTT KKIKMKKPYVLKR TRV_06971 MNIPSRLEIKSRRRSPIESLPAELVHKIFFQCLEFNFPRASIRI AHTLSSSILYTWLIRLAFSSCNRSSRFDNIYGSHHFLPLDFFYFNHLERAKIQTEILK CRWLTASLLRKCQREYVEHVIREKRSHFVISPDEDQDILSNLDQYWQSINRFDPTPVG KRGKGDLVIRAHSLENDQPCKIAIWFGFGAFQIRDLNPVFQEIDIFRLPCAPPYPAEP CRMPDRLLTPPWTEDKLELLTLLSSDAYIDGGWPPDRSKAVLRKVIEDRELDVFRCLL SLHICGKQYRYPYPWPVSHNHFRVAARHAEPNKDPFLQLLFDKRKDSIPRDDASIMTL MEKYSCTGS TRV_06972 MAATRSAALKLDWAKVAQSLGLRGQTAASLQAFKKRNDDARRKV QVLSEAPQTVDFAHYRKVLKNQAIVDEIEQHFKNFKPVTYDVNRQLKAIEAFEAQAVK NAEETKGKVEMELESLSQTLDNIESARPFEDLTVVCSSYIPILLHLYQDDVAAAAPEI DRKTAQLVSKGIWMPPGYKEKYGDLAVM TRV_06973 MANPRVEELPEEDVKATVEESSDSEAGDEGAEELNIPGGSGVTV LSRNEKKARKAIGKLGLKHVPGITRVTLRRPKNILFVINQPDVYRSPTSNTWIIFGEA KIEDLNSQAQASAAQQLAASESANAAAGEAAGQEAIDLGKGKAAETEKKEEEDEDDGE EVDDTGLEAKDIELVMAQANVSRKKAVKALKENDNDIVNSIMALSI TRV_06974 MSQEIDIKPSTWKLVEVGRVVLIRRGPYADKLAAISEIIDHKRV LVDGPSGDEEKAVPRQSIPLAHVTLTSLCLDKLPRRARTGAMRKAWEKSGIDAKWAET GYAKKKEQQDRRRNLTDFERFKVMRLRKQVRLLLFQARHDYACRTYGDASYFMKA TRV_06975 MSNFSSPKARRASSTPHYQTFSTPPPKSAGRPLSAESGSTNPSS SHIAGDRADAPTSTPIPIQQMSVLAFIALCEQTALNSISPYLPAMAASFPEVESHEVG IYVGTIASAFALAQFSTNFFWGWLSDRIGRKPVMLLGTVLTAVCFLAFGFCKTLWQAI VAQLFMGLVNGNQGVVSTCLGEITDRSNQSRAFTYLPVIYGIGGITGPILGGLLASKD PKGQTYPFLAPNLVSAAILIGDFIITSIFLEESVDGAEMLPRIYRKFRDMFTWLWQFH SSSRPTYLRNDIDADYPRRSVRHGVHHYRDSVDSDDDNDDTDIAITPLFPHRSHNEAL NRDEIFNRDMILLLLTYLIFSLSNVSFNSLYPIFAQAPPPTGREFNPKEIGMSLAFAG IVTIVFQICVFGRLRDKMGNRWSYRAGLLGFVASFILMPFIGYKDKTSADIAVSKQNV FVAVEVCVVLLIKTVATVGGLTSALLLITNSAPDHSVLGSLNGLAQTLSAAGRAVGPF LSGSLFTLATKVKPKGEALAFGVFGGVAFVGFLLSLGIRSRNLEAEGWGEEDDDCGKS DEEDDV TRV_06976 MLDAFEVITTSGVVLWSKTYAPVGTHIINALIKDVFIEERTGID RAARKYNKEQYTLRWTEAKGFGLIFVAVYQSLLHLTWIDELLENIKTIFLGLYKEQLK GSRFRVANYPFDKYFEQQLRELDQTTELPAEDKRQLGAESKRDVLTQADTGGPPPPPV PGLLAVQRQAAPATEVLSDSTPFQTPETSRPVTPSSQVITAKAGPGGRISRRARKAAN ANSNNTSGAEDKAKKSTNGKSGAKKMRKWGPDGIADEEGDEILDYSANDTGAGTMEDL PAPSVDAIDSESFGTKTQKGQFVLKDLGDEIHSILQNADEEKAKANGSSAGVVNSSIG AISSLFRNVVGGKVLTEADLVKPLKAMEDHLLKKNVAREAAVRLCEGVQKELVGKKTA SFQSIDSALRAGMESSLRKILTPTTSLDLLREIEVVTSPTNQQQPRRPYVISVVGVNG VGKSTNLSKLCFFLLQNKYKVLIAACDTFRSGAVEQLRVHARNLKELSARENAGHVEL YEKGYGKDAANVAKDAVAYAATNDFDVVLIDTAGRRHNDQRLMSSLEKFAKFANPDKI LMVGEALVGTDSVMQARNFNQAFGPGRGLDGFIISKCDTVGDMVGTLVSMVHATGIPI VFLGVGQHYGDLRGLSVPWAVGLLMK TRV_06977 MQRNTFAPPPAQSPPLHHPIPQHVSTVPMMRSPPPPVSQQPQPQ HQQHGYNNPYQPNPAQGGGQFGPGFGGFMSDPTAQMGFQVGKSAVMAGQEYMEQNLNR YVSIPALKHYFNVSNSYVIKKLALVLFPWRHKPWSRQQGRMAGAASANGQISQAQYTS MYLPPRDDVNSPDMYIPAMALVTYILLSAVMAGLRGNFHPEILRSITFIALAVVVFEI VCLKVAMYILNINNDSQLLDLVAYSGYKFVGIILTLGVSEILTPGQGTGGWVGWTVFI YTFLANAFFLLRSLKYVLLPDSSSDAAMRGGAMPTVARSQRNRRTQFLFIYSYMIQFV FMWILSRQGPVPSAATTPKVPTPGTLS TRV_06979 MSGVGEGIRKVQETLQGLYPHDKKLADLAKDTTDIHTAGSITTD HGTKVENTDEWLKASDSSNSGPSMLEDQIAREKIHRFDHERIPERVVHARGTGAFGHF KLFESAADVTSAGVLTDTSRTTPVFARFSTVQGSRGSADTVRDVRGFAVKFYTDEGNW DLVGNNIPVFFIQDAIKFPDFGMHSFLHLPSIHILRSCLVHAVKPQPHNEVPQGQTAH NNFWDFVYLHPEATHMFMWAMSDRGIPRSYRMMQGFGVNTFVLINKEGKRHFVKFHWT PELGVHSLVWDEALKIGGQDPDFHRKDLMEAIDNKAYPKWKLGIQVIPDDKEHDFDFD ILDATKIWPEDLVPVRYIGEMELNRNIDEFFPQTEQVAFCTGHVVPGIDFSNDPLLQG RNFSYFDTQLSRLGTNWEELPINRPVCPVMNHNRDGQMRHKITQGTVNYWPNRFEACP PAKVGEGFHSFPEKIMSIKQRTLSKKFREHINQAQLFYNSLTPYEKKHVQNTLAFELD HCDDPTVYTRVVKRLAEIDLPLAQAVAPLVGAETPQSSPRPNPGLKTINLSQAEINET HPQIGSGTPTIKSRRVAILIGDGYDPVAFISAKTAIKAAGALPFVIGTKRQPIFAAHQ HKETDKGIVPDHQYDGARSTLFDATFIPGGEHIRILSKIGQIRYWIAESFGHLKAIGA TGDAVNLVAQVLGTDVPQLEFADSSSAGVVESYGVVTSCKLHEPESLTEGIKIFKEAN DFLGKFFYHISRHRNYERELDGLASSLAW TRV_06980 MNSRGGKTAAMTIIVRSEKLNNLVPSAKKMKKLQARQSESSMHW AKDISTKLETKVQSKIHGSKDKSSDMATRGRMTTTSARPRVPPLPPYSPLGGLQELFK EVGGAFGSGKELEREEEGDGEPELVSPVTLACRSCAATMGDSVDDRLCDDSSICCLSP ATVTTIETGFGSCMHISHRAAHESPSNAAANESAILPLSFDNDLTRKQTVYFTLDNKN RASSDPKSPTSPTCCSATTCSSIYPWSSVSCSGEQIPRNLPPKITVNEPFSLNSVDAV ISNDEYRSGHPTPAANLKRRLTIRESKMKALPPYPPLPETVLTQPQAPGQSLKRSRNA SHSAHSPKALCLPQIPQESDFDMLDETFQQSNRSSLLPSLEKAAEDLEAQLLAIPSTK ESQPNSRSRSPSPAYLQRAITNTESEQEAVAAPEIQNDNSPRMDLARGSIERLRESIA SKQMVQLTRTKNQKGGQSGFKERWSRFSAFSKKGEPGKSKSQLNLPSPKLLPMHTINP IEKLDFGLNVVLDIPSGFAELDADPSPREEAKPTQAAPRPLRPLVDSVILRIFENVGY FDDLFNLALSNRAFYQIFKQNELALVRNTLSLMSLPAWELREMTPPWDDDGVTRLAVS ARDEPMPEYTPQLYLQHYSRDLYAMAALKSMILVHCESFLRLETSRALAGLDEQRSAE MDDAFWRVWTFCSLFGCGKGRESDIEAQVDWLRGGILAESDTRPSASIFSPMPFISNL LLDPPQGFAKGNNGGLSTEQLCDMSEIWTCLANLLSVFHGKCKLARKYGVFDNKDVTP GDVTHEEALLEEWTQYLLTLGPYAVLTLISFAPSTPVEQKFARAKSLGWTRWQAPVKS ENEPARLFFKEAVSRVCRDLPSRPSSLSSSNPSSYSSRASPQSSRSKRSSQSSITSQN SARRQRQAGFAAELRNRKVSLDTGLGIANAIPNCDERPTPVSEVVVNKFISSESGTPF LHPTPRMLAFSPRNQSPSSSIVSSPRPTPPLVEEKISQGRQPMPSTSRLALYYSNHQE PVVPDPADLALQKMVHELGFDEEEAKWALKRTDTGESVDINAAVHLLLVKSSKANSSS VEMGLRQRESNIPQTKADEVYRPTWRWA TRV_06981 MAANTTPPASPPSPSASFYDVSDDEEGDYNTVTHTSTGKGVRLL YSKSKVYVHPTASSKDNIPGFIALVQQKPAPSAQRESTSSSSPSLDKTVDPSSLLLSW VPEASLGDERDVYVKVDLSDDNSPPRTSYLVPPLPTTLSSAGPIGSYAFAIPLSRIYS LLVRPPSLGWWFGSVVINTKAGDSFPALFFHDSECESTILQKKKRTKENFDPFADDGS MFWGGDEVLRWLRRYVDVHRSGEDPSAYLINPTEEDKTAFGQAKGKLENSSGQPGSSK PPKDATMDPFTKALKETRWMVLEQFSKITTFTRRTAQDIADNPRLPPQVRRLMRNPEV QTLQDEFDSARLYLARWAMGIAEQSERERNQRIWTANDVLAMENSSVGEFEILDMEAA QMSISDKRKIVTLEEWNGWFHKTTGKLQITVEEAKERIFHGGLEPNDGVRKEAWLFLL GFYDWDSSEDERKAIMNSRRDEYIRLKGAWWERMIDGASTPKEQEWFREQKNRIG TRV_06982 MANYKPSLGVLNSEKDVHRTDRHIPLFAGEDTPHPDPDSPFAET GTNVHLEQMKDMLLTYNEYNTELGYVQGMSDLLSPIYAVMQDDAIAFWGFVGFMNRME RNFLRDQSGMRQQLLTLDQLLQLMDPKLYLHLQKAESTNFFFFFRMLLVWFKREFEWV DCLRLWEALWTDHLSSNFHIFVALAILEKHRDVIMAHLHHFDEVLKYVNELSNTIDLI PTLSRAEALFHRFEKKVEAIDKKYNFPQAPVRQRTTRPTPQANTTTSPARDSSATATG ASPSGGTGAKPSSTPNTKPDSEATSVQIITPDLRKLLSREVEVMDAKEVRQHTLLGAK TRV_06983 MAKSPFDSLDPAVRLPPRHSRSPRSPSRSPERRAQFLSHQLDPL LSDLSPETTLQVLSATDAIPIHKNTPHSLLAKSISDVSTVDRAFGIRAAVAAQKLNEW HTEVLSWLWPDPARDPNARNGFLPPKELEKSSDRSYDEAQTGNSAIPETEREIYFGSL PASILKLYQTRIKEIKDGMEALDVEELKEHVLTAHIPSRSRPPSAASTMSTSSGLASY LKMSDFTAVITATILRALPVLSRLNNLLSNWHARLLVLNHVPGLVLDLQETKRAIANA LNRLQNGLLPKLDDPLFSRECFRSSWRELQAMVSSLGRRIDRMLDILEGREDSLPETW IDDMEAIESRIASWAFEAERRAVQNELKCLYQRELDEYLAQKSSEESVPDVSHPSQKS SDDVAEPLVQHSEAVVKHHTASPSTGPDSHFTPLTPQPLLPAIRENHTGESRAITSSV ADSSDGYTPENSPCKSQDPVKKLGITSCSTPNNGRATAVTKNIQDYDTPNFESPRVPN SAIYDGTQGEDFTTRPVSRLDFNAIDSPGSDNYSDMSPDNSPAPLTPLANMRKRSSKR ESNVPSRLQLIPSGSDATIKQNDMAGPVDSPAASSFIPDREDLEFNVAQPLSSPATPA QFSSSPGLSSSGSVIHHTPEVTKNSTSRPTNSASPSPDLQTPPSLRSFGRTMSLPLAR YINDEAASLYGQHGAYHPNGKSQISTASIGSVDISPRGQVGDSTFDRRNSLTPGTSTK SELRRSISSASISSSPRLQEKLNLGRLSNFTRLSHLRRSASSEPLYLEKSSLSTGSAD TNFSSTPLKPLDLPSRLSPFLHSSSPEADNTFPDADSLELIPPPLKTRSHSGTRTPVK VPHDEFDDRIHSILDTIPKIRMKSTVSEDSNETPAPDNLRVPPNSGRVHPPSPTLSRS STPTPSLTLTPAPRPRRRNNPGPDEVRLYHLHRGGKAAPVKLFVRLVGETGERVMVRV GGGWADLGEYLREYAMHHGRRGIIDGRFEVQGIPVVSKYPRAPTPTTNGRTTPIPPSR PGSAMDIRPGSSLAVRRARRATGSGADLLPNLTAANIQRLSEEGVSPGNSSVLSSQRR PSLSSATSVSTSAVGDHSFNTTPSHRSFSTITGAAHSTPLGLAGPKPRSKQHTISPES EAWVEDVMGQARRSSSTLRAQRSMTAMRSSSGVLRRMPSATLNEQSPTNSIAMNKVRG ENKLRSASDMGGVSLNKRVFLRRLGKEKE TRV_06984 MPEVRQALPLLRVHLSRWFSGRKPAENECAVNYLLLVAATRKRK KTTSSHSFNQRTTSWLDDFYTPYDLIYGFVVGFPENSSYLRQASGLLVFFFFFFCNQL CPGTSWASWTLLVSHRRQAAKLHREGGIRSETTRGLQGRKGQKRKEKRESSRASSCLW LLLAPDDEAYRMRFKSQLTNVATFSKFTASLSSLGKVCWVRLEDEVVRFSIIPDQGTQ VWAQLPIHTVFDSYSFSSVAKVINLEVPIGALHRALKSATGATSAQLRLTKKGNQPQL ALTVVTSSWTSGKGASNSDEPARASGSRPANPQSLENGGALSGIPAAELLQDGPRERE TIVTQEIPIRVLHPSAVEGVHEPRCRDPDVHIILPSLIQLKSISERFTKLATDAASSK AGNVSAGGNGNGPALAGGSHSSGPKLELSANMHGSLKLAIATDALRISSVWAGLVNPP LDQADMTQSEIAQLPSERMRARAADGEEGWAKVRIDGKDWGRVLSVGRLSPKVVACKY FYSSFILVVPSSSADPVGFIHETALVLYVYLPGGIGGEDSCLTYYINSYAS TRV_06985 MSDDSSSKPSAEPDVAAALPDSQECSAPTETVPSRPPTGSDPSD DHQPSESQEVSQGTETSTSLDQPTGVLGLGDTAVNTENSELLTLLESNTQTPQQDKLE APSVHVDDTEYVPQPTNLQVSDPNVPEVTLTEDTTSSGPNDSIQPITQSSDEQTTLLS RSNTAELLARDDIVSRTNSFPTVNSTNEPDHTTTSAPQVIESAESQHDPNNDTPNIPP TESNVNSGSTNAAPLWNDEVDNEAEGEDFFNQVSTQTKPIYIPPEFAESRFEEGLPLV DSGEQSDDQQAAQPKQQSALDSVFSEDISTDEAGFFSSHMSQAAEQSEAPPTLHRKQT SQVLDSLRMDDAFRGDESPVEPPRIPCEQMSTSEAVDGHQTSKDDETTPENLDENELA ERWKAALDDDLLDDELLDDDLLDNDNAAQQEDEKPPAPPPSQRYAPNTSAYLPQSRPA PPPINPYAPHQPSSSSMMEGIAPALYGEHAQPISPVSASAESFSNQPKAGYKSPYDLP DDLQPKRRIAPRSASNISQPYQPTPDSRPSSSYSAAPLQTASPVAPTNPYAPQPQPQS QKPAGPGNFFEELPIAPRSRPSTRGRYAPPPAEAGRQAPAGSQMMTPTPQQHNEPQPQ GQYGLQAPPRMDPYANVIAPVGPVATKPATQYSSRPTGKPAASGRYSPAPPLSSSAPS HSYMPNHAGITLPFQPRTSSPLAQHDSSHVRPQVHPETPREGSFGSQESAQHLSPPAA HNDFGQRNYAPMANAISSPPSSMPPPSAPVRMSPPRRSQTQSPGKRIYSQGHPSIIQD NAQRPASAHAPSGPPPASHFPLARAMTVDPHPAPAIDFIAPADSHSLDPLERWKGAPI IKFGFGGSLITSFPKRVPLYSTGQLAPRMKPVPGEVKLRSFNDAIPESVMPAKFPGPL KSKSKKKEVITWLSNMISSFEHEDPQGLVSLTQGEYQAKVEKIMLWKVLRTLVEHDGI LRGAPDAEKSLRSILSPITPQNDQGAPTMGSTNTLYGKEEPANVSLDAIQHNLLLGER EKAIWDAVDHRLWGHAMLLSSTLNPSIWKQVVQEFIRREVRSVGENTQSLAALYEVLA GNFEESADELVPLSARAGLQMVNTRTGQGPTGNALDGLNKWQETLSLILSNSSAQDDQ AILALGRLLSSYGRVEAAHICFLIARSSTNPIFGSLQDPNAYIVLIGADHKRYPFTFM ADSTACLLTEVYEFATSVLTATPLHVLPHLQAFKLQHAMTLAETGHRSEAQQYCEAIG ALLKTATKLSPHYNQRFFIELEELSNRLRQSPGDSTSSWMSKPSMEKVSGSMWAKFNS FVAGDDSSPDSSDPSKPHEDQGPFANIVGTPPVTHSPGGPESFGSYFPTHQQQPVAIP ASNSRYAPNNQYAPFSSPDQLRGRRSLDSQRSPSRGAAARSYSQRRHSQDPSTGYENS YAPLAAGNPYSPSPVAPQPTTHSTPLPAHSPLAPVEEASSSLAPSTTSEQAPGMGVSA PRPSSFQPAYQSVNATYSPPTQESQGGYEPPSEGGYQPPSYEPPSYEPPMNEPESPES EEAEEKKPKPRKSFMDDDDDDSYTGKGAAAAAPTNGVETDRGRREREAAELVRKAAEE DEQLICVLAKRPPPDAKKGWFTGWFGKRDPSASGGPIRAKLGEQSSFYFDEDLKRWVN KKDPNSATSSTSALPPPPKGSAAGSRSASAEQRPPTSASVASAPDAVPIPLARQLSAQ GGPNSPYGSAPPPSSLGVPGGTPPLTPSSRPGTALSHASSIDDLLSAPTSKKGGTLKG RKKGRYVDVMAKS TRV_06987 MPTNSYTTHPDRQSINSIDDDDDLELEELGVHSSPRFGDSDGHR RPSDGSRWYSPAISLKKLRQKTPKRPWERDIRQSGEDDDLDGLLYDQEHEEAHLSHAT ISSADDDSPLLTTGQRRPLSRQSSGQARSGLRSRLGLPIFGNRSLAREGMLDRFKRPG STQTANTVPPREILVGQVQKSRYPPNVVSNAKYTPWSFLPRTLYNEFSFFFNIYFLLV ALSQTIPILRIGYISSYIAPLSFVVFISLSKEALDDISRRRRDAEANSEEYSVLSFDR SSLRTPGLTSSKGQAPRWPEPVKITKKSRDLKVGDILKIGKDRRLPADVIILKSLPTD SLSNSQHDAEYFVHDNSEEEHGAPETNEDGELSEAAGSTETFIRTDQLDGETDWKLRL ASPLTQNLALPELNRIRITASSPAKNVNEFVGKIELAQLLSESYDPAAEQSGKQDEHS PQLPSAPLSIDNAAWANTVLASNTVTYAAVIYTGSQTRSALSTSASRSKVGLLEHEIN SLTKILCVLTLTLSVTLVALEGFEPTNDKEWYIAIMIYLILFSTIIPMSLRVNLDMAK TVYGRFIERDKGIPGTIVRTSTIPEDLGRIEYLLSDKTGTLTQNEMELKKIHVGTVSY ANEAMEEVASFVRQGFSASASAGGNELLPFTNASAQPSSGPATRTRREISLRVRDLIL ALALCHNVTPTKEEENGEVVTTYQASSPDEIAIVKYTEEVGLKLSHRDRQSIILTVGD SGISIKAKILEIFPFTSESKRMGIIVQFSADHYKGCPTQLQNEIWFFQKGADTVMSSI VSANDWLDEETANMAREGLRTLVIGRKKLTSEHYGEFSKSYKEASMSLNDRDSLMARV ARDYLEQDLELLGVTGVEDKLQKDVKPSLELLRNAGIKIWMLTGDKVETARCVAVSSK LVSRGQVINTVTKLKDMDAANEALDFLRNKTESCLLIDGESLGLMLSQFRIPFITLAV RLPAVIACRCSPIQKAEIATLIRQHTKKRICCIGDGGNDVSMIQAADVGIGIVGKEGR QASLAADFSITHFHHLTKLLFWHGRNSYKRSAKLAQFVMHRGLIISACQTMFSIASHF DPKGLFINWLLVGYATIYTNAPVFSLVLDRDVDEGLANLYPELYKELKSGKSLSYKSF FTWVLVSVYQGAVIEGLAQILVGEITGPRLVSVSFTALVLNELIMVAVSITTWHPVMI MCIVGTAIVYGASVPFLGDYYDLAYVVTWGWAWKVSAICAVSLIPVWAVKLISRTWSP PSYRKVRG TRV_06988 MASYFSSITSISNLSTRFTSLRRAISADETDDPENEDLSHISNV LRAYYNEKGRRLPPWLPPDKKSAYNAPAIATSQTSFQGYGGTPSQPGGRGGLGDLWDD SGRSNTQQQQATGSLRSGRSQNSLAGHKNALPSSLKPAPNHLPHHPGASLRSQSNGYD RDPSPQAGGRSQSTGGARPLPSQRAGSYQTSGAQLSRPEGMDRTLSSASAQDRLRARL HGGGSKPSLGTSKSFDSSSALGDGGGYSGGRSGGYR TRV_06989 MYLYMKTVERSHMPNKWWEKVRLSSNYAKALGQLDERLIYWPKF LIHKCKQRLTRLTQVNIRMRKLAKEEERLGEKLVPKLAPKIRRREETRERKAEAAAKL ERAIERELIERLRSGAYGEQPLNVQENIWKKVLKGLERQGDGERDEDLDEGIEEELEE EEEGVGEVEYVSDIDEDEDMEDLEDWLGDESDETDGDGADDDDDEGESDDGEASSDET NEEEEPKKKHAAAPKRKRPAAPSRPRKKGAHVEIEYETEGPAREPLFA TRV_06990 MFNHLESGNCPSGIEHDDILELAANFVRSYKPEKDYIFFCYGCQ KPFRRMCDLLQHSETSSCNEGYWRGSGYLGSMVTYIATCIPDYIPDPDDNDDNNEGTQ GKGNDTTPYPEISIPKIVITGPT TRV_06991 MSYPLDEVTVTYQDRVAIITLNRPSKLNAMTGVHYYLLGKKMRE VAERDDIYITVLTGTGRFFSAGADFLGSAPQTDDNIDGRRDYVQGFVANNIDLTHTFY THPKILVVALNGPAVGFSAALTAFGDFIYATPHAYILTPFSSIGLVTEGGSSHAFVKR MGLAKAKEALIMSKRISSEDLLQTGFVNKIFTPASGKEEDSDAFLKMVLHEIDMTLGT HLNQESMLKIKALINAPDQDILDRQNVREVFGGLDRFVSGIPQVEFMKLASGQKKHKL TRV_06992 MAGPTEEHSPPKENSVVFPPITRSHILNCSYHAWYSLYRSVSPK ARLIPLTESFINYLRADGIVLPPDETSLEVSDDDSWTLSTSNGDSNSEKDGSHDDDEP YDPSREWPEVHAEVIAKIRELGGQVAPKLNWSAPRDAKWILPTNDMQCRTANDVYLLL KSSNFMNHDLDHAFDDCVVEPNEEQDNAPTTSNQPPIPYHLVLRKYFNVNTSLEFRCF VRHRQLICLCQRDLTYYDFLSGMRNELLRVIQSFFDEKLRDTFPDPDFVFDVYVPPPH NRVWLIDINPFAPKTDPLLFSWQQILKIGQEVERNFNDQINGVDEQVVRLKISAQNES SAAEIPSIPGEDDDEESDIEEVVSELPLFLLLSGGNPAGTNMNAAPFSAHKLPKDVVD ASRSGPGGMSEFLTQWRDILEGRIQPDTGDSDDDKK TRV_06993 MAADTPDAITLNSWEDAFKYPVPTVRRLEQELRRDAESNRDKLR SLVGVKYRDLLETAQTIVDMNTDIQQVESTLSSIGRRCNPRSIARKNDHIHELNNDGF KSGKRSAHGADPRDTCNRANVTIDDGRQLKAHLCLLRSCTNSIVKIIRERGSVVLAAK LLVVSRLLLKALSHNENAPPFVNSLKKNLASLRATLLNRIKLRLSAASITTDKLVQAL CAFCLATSSSSNDAIRHFCDVRKNAIGEQITENIHSDGVLIALQLYLQTLRQTDHLLN GPLSAALEKLTTQPLLADPEILCFGELNMDLLKPWILEDIRHFTPWIKYNDIPKSERS TQLQTWSKNTFKLFSSQAKLKLEAFQNFEDILDLRKKLLESWLIVQSSTPTHSNLEVL EGLRDIINHQLILILQGQSEGIANLGREITSAISCLSTKENGNIRNSLWDPKLASVDF SEGAKSFKSEVVNRSLGRGTESLRLLDSYQTWLRAVEARASLIHGMKADNWEDIVEDD SDEDVIDHIYGCLTEDDPALLQEEHQNSLKKGFKGLQAALTDVINLFPESHKALKAVF LLRMIREIRNKIPSKLLDGEQLHFARNMTPELHTIIATDIVTQLSTHITKLKPLKNIL RCSGRTLWEGKPELPVQPSSAAFKLLRKLEVSMEDLGPDLWNPTALSVLKAEFKRAIN KLLEDSHAKELADSKTTSSENGVAQQEQQTFSGDDSSTQQDCKIQLLFDLMYLEMAMK SNTATQENSMTIHTEALQAEDETMETLRKRSIAYWERTRLLFGLLGC TRV_06994 MAHQRLPFLYPNLLRSVRACEPTTYRSIRCPVQRTHSAGLHSSR ACEQNTRFQKRYGPAVEPRVPPSEGSSTRPESSQNKVSKGEPDQRTAKDDKAVDDTKP PSKRSASEGGTQWPDKAQTKDAASGSASENTVDGNVEQTEPPGLIEIGSASGSPSQGD LGSVYKMSKSESQKDTDQSQSNAMEPYIHPPSHKPPHSSPFVHHFDTYTMVKDLNKSG FTEQQSIAIAKSIRGLLAGNLETTRSRLMSKSDFENEVYLFRAACSELQTSIQASRNT EIQSQRTRRALIQHELDILTQRMTQDLAGLKDDLKEMFNNQKISTRELQRSIDTAIQE LNYQITVSLNSDGKSEVEGLRWVLTRRAVIAVATSASMIILALRYQSYRVQQHEAAKK AAAESEEVAIGDIVQVTKQ TRV_06995 MSSSEIAARPSSSDRAGQPRAELDVSKLHALPSEQQDLYLLTYT SDLVQYTVTLDKETLCAQQNFIKQELFKILRLVSPVPTRVIRNGIGRCFSAVFSKGNR ATLFDTVTELVGILNSGKSEVDLKTKFAAVVALGDIFVAAGDGAVGQSSAVCSAILKL LKQAQNNAGLRYCVFVSLRKVIAGIGSPIDEATARDIWKHARNAAVNDKAYNVQVGSC SCLQELVKSTPYFNNTNDYEHLKTTLWKVMDSSVASVRHGAAGCLAIFLVKAHSSTPI LNMKPTALRTKKPLKRQPTDPVDGDIIERTQSPSGRKADSSLSFKMEDLLVQLSSHYC KSSTGNRARAAIAVCYELLLKEFGGKVVEDYYTEIADHLLVTLLNHQTVAYSRYRLLL TRKFVKHILEDVLGREMLRESSQLTAVKWISNSILKDYPQVVPERREPSKYTLISALS ALSSLIESLGSAAATVAETCREALLQLLQHPNFSVQVHIAHCMRNFVVACPQQLLSCV TVCMNSLARELGQLSSIRMSAPRCLGYAHALSAMLSTSRLQPLYGSVDVYAKVLSQAT DLLKISGDSELRVAGTQIQVAWILIGGLMPLGPNFTKIHLSQLLLLWKNALSPIAKEN LAKRGPLEMSFLAHVKECALSSILVFLEYNSKLITLDGLKRIAMMLQGSVSFLEHLPK LKAVEDISQRLSPSLQLADYATLVRRRVLQCFTKLVNLSHLNPMDNLPLSGILGLAIS CFADPDVTSANPLETSIAASTANFDTLWDLDDNFGFGVTGFVRHFVPGQSRSGPFINT SVTEATGHPIDSSLITPMCHAREHDSVLLYYAKKINSDYFPDPPQTEVVNSAIELFSV TLPLQNPKVQESSVEQIATMLSSHSLNRNPGRKAAMTANIAIALLYTLRVSVNETPYL PGNLKHVAVERLFQELIQTFITNPDYIIRIIGFEGLGRLCNSSGNAYTNSEINRLVDV IVENRDPHARAGCAAALGSIHAQVGGMAAGFHLKTIVRVLMSLCNDPHPVVHFWALEG LARVIDSAGLTFSAYVSGAFGMLARLYVADTHNHEAPTAGTSNFEAEFSTPLAIGRCV DALVNVVGPDLRDVTKTRDLVFTIVKEFQLERDAPLINVSSQCLDHLSLYAPQYMDFS GYVQWLQKELASIDKQVKQAAVYGLSNLMKRDVNRVIQTASSTFEDELWIAIDNDPGN KLLRDIIVNWLQQTGLSNTKTWIERCQKVLTKTRSKRDETASPPPVTATGPANSDLPD EEVAGFATAVTGESREATDTSAGGKELLKWQTRNFVIGCLSELLTMVQGEILADQTIP AEAALQERVGDIVRMAFSASTANVIDLRIWGLKILDQVLKLFGRTPDPDFSEASLLEQ YQAQIASALTPAFAADSSPELVSEAINVCATFVGTGIVTSVERMGRIFKLLVVGLENF ANKPDMTEIGDLKGLNSNARVMVKLALYSAWARLQISSSEQAYLVDIVSPYTAMLTPL WLSSLQEFARLRFEPEISGTMGPMSLSDNPDDIYSALNREILLKFYQDSWLNFVDAIA SLVEKDSDFVFDALNGKQELQKTESNRDDRINTGETKEKGNHINYRDEPVAFFFVLFG LAFDALVSQSCTPAQTLEILQALQKILHPSVAGNAVYQDAIFSETIDTLNRMVMTENL AIQSVIVQIVRSLSLHHPSATRESTSTEHLSDDIEQLFELTRNIILVIAGVLPNLGES SPKARSEASEESISLIQHSLSALVDITSVFPSIIRDDLHTCIVHIFTTVFATGSCQAE IVPKILPIFKRFLQKLCQPDESQNQEAWSVSRQIRGCLARFLGILTVAQRRESDTSLP CAKNTLLGITIILTTASSVIPPQDPLISRAMQELLDCLQDLGLGSVAASCVRSLLLNP GARSSTDEVIARTLFPRLLAFVTKTPIGINNEPPIDAENMKSNVTQTLVSVVGSPAFT KAAIPAAMALLIPAFLTRAKHDGSSVYQETATRLLELAKIDQTTFRGFAANMEQGSKG LLEEILKTVGQKEDNSKRHEHGQERNAPTIALRMDF TRV_06996 MPKPTIEAAIARGQGITAAGAALESLTIEGMLPHSVAVVVECLT DQKARALQDIRAIIRHSGGSNTPTSYLFEKRGKIVFEQKPDLDVDSYLELAIDAGATD VEGDENNRLIVYTEHTATKAVADKMSTATDLVTESIDIIWDPNQDTLVKLESEEARGT VENIVNELRDDPSVQDIYINCPDIPTQ TRV_06997 MTWTLEEAVEQLESAVAELVKVIVSSAFDSGLKTNILDHILNVV VSSRHLDQSIVTSIIKNLYPAERVPAKVVSRVICSLGATKSKPSPATQNLLLRWLLLV YDCLVNQIHLEKFYSILFDNLDMISLRRPICHLLSLITRRKHVKPFRVWALLELIRNT GGDERELWGLLRVYKSYYPDIIVDDTAIPRRRATYFFKHPDQEWTAHMKLLQERAANT APQIAPEAFQAIRRDGVKRSRIEVVIPVPKTSRVRRGFTSLEELSNVRDFVKKLDKIE LPNQIASALMEPLSQKFLLLVQNKEALQRMESWLTSFFEDELDQLREENASSEELGFV LDSLVRYVRYTKAFPGSLDKFLQHYLPVWDGTSHRATVLRLLEYAPLRDDTLRQYFLP LENAILDNTMESKSLVLQYYTALIRRYGSILRSKTSVDQALDLVSVVQRAELMSLTLM ECPATCGANPAKDSRPSSSPVMQFYTQLAELYVHAPTNPLIRLNTPPPETVYLLVFTP VLSHMSLMSNVIAIYKSSFEQSLATTASAGDAPRQLYADAVVASLNGYVLDICNLLWR NRGLNGDEPNALGCLVRSDVVELLTEYIQESLLLEKTGKRYWPD TRV_06998 MKSAAILALLAQALAVTAQPVEGDRTPGTRTLDLPNFPGGSVPT RGVEKRADLPPDNGSGNAPDPDKVHIVGVTYGGTGCPDGTVSHVLSDDRQIMTLIFDQ YVAQIGPGVNTKENRKNCQLNINLRYPGGFQFSVFSADYRGYANLEKGVSGTQKSIYY FSGQTEQTSTSTTWKGPIDKDYILHDEANQTSTVWSPCGANGALNINSQVRLTATDRN ARGILTNDSVDTSFKQIVHVRWQQCTN TRV_06999 MLKGFVMLVVSQFVLTKAMNYWNAPNGAGGAAAVKNSFPKFSER PDQDTITNATYFPVKAVPMWEPDSKLDIAIHVTPSTTFPLPKDSKVLDEKGFDTADTK ELREISTTLFIPKAVQNNETLWAHFFVALSGHPQYSNEEGYSSDTAYNFSHPVNQYLP KKKAKKLRNLLDAANETEVVEEEPKVVKIGSFYHPNLTVSLVPDQGAISFQSTHPSIR RFLQLERTGARDGSGEVGWYYPTFFFNRFWQLRNHMTELNSTVNEVPLRITLNTVKQW QFAIMSSIEDDSKQKQHQAAFGGPIPPTSGDGSEVEMIKEVLLDTNIYLLITTGVVGV LHTIFEFLAFKNDISHWRKKKDVVGTSVRTIIANVFMQLIIFLYLLDNNENTSWMILG GQGFGIIVEAWKITKSANVRIRPPPANSYLSFLPYIIVLEDKHKLSETEKKTQEYDEI AFKWLYIAAVPLLIGYAIYSLLYETHKSWYSYIIETLVGSVYAYGFLMMVPSLYINYR LKSVAHMPGKALTYKFLNTFIDDLFAFTIKMPTLHRLATLRDDVIFFIWLYQSWKYKV DYTRVNEFGQGGDDDEEEEKVQATRKSEENNSGGDSKTVEPSVPAVKAGESEASSSAK ASSKGSMRKRK TRV_07000 MENNLAGTGAPPAPSAAATASAAGQSQFPAHENPRVWLFSSGDS PIGISLARQILAHGDYVVCGRCPADSERENPRVTAFENFLEELENAEPGQEISGWKER FDVVNLDIRIMSDCQAAIASTINKYGRIDIVLCCTSQAVIGSVEELATSERARMLLKD QFETNYFGPVNLIKSALPQMRLQRSGHLMVLGGITGRIGTPGLGVYCAAEWALDGYCD SLAYEVAPFNIRITILQSSVEIGVLSNLITSVPPLDAYNPAQNHAPLFRRIMNSVLSQ LPGFSGYARSAHFPPSTQAPLSSPGSVKQESTKENDEPLSAPSGVSLYPPLGSEHLEM LMGETMYAVTAIGGHENPPARHIVGAESVACVKEKLKTVSEELEDFIECSCAVDINST ENPSRVTSDEVVVQPET TRV_07001 MKVQGGVGRQNKLPPKAQGVPDDATHTKKTARSSNETKRKMPPK TASNKRKSARGTKKNPWVDDEFVMTSEKSPLVDIDLAVCGDEGEKKEILSLLPESVHP DAEPSAENPDGIIPPLSEEFLRSTVWRDATRQFQLDLANGKYDPEWQKQAHKAVKERA EGKFDAKKERDFEAFWGQKQRYHTNVPAGDSATVDFKTLVTNGVFEVGDVWKYSRSQG KGAGKFLVEKEVAVCLVFTLSPTHPMIRPWLIVYYQVVSLDGETLTFAIPPGRRVFMP SLTKSQPGASPAPEPSPNHPVEREARPEPNVEPKAKTEHKTRSEEVSNTGTSQAVSTQ PQVCDQDQSIAQEYIMSNNQSVLTASLATEPNQSLTKNESCIDNKAVVEISIPQNHSG FDTAMDIGPFDDVTPSIESETAKEINSNTKTRFLGKSFIEELLDDSDDCSIMSDPPDM IDEIDDVDFHRSLQELGPLPPSNTPYVPFNLKADTSGPRKATPSTKAEVAVVGVLPTG HTDATNGTRSERSHPSVPKDDRHGSPTLMETEIATETEPQVSEISHRAHTSQSNGQAS VHPKVDTDDNAQTKTRTPDMRETSNQPSPGSPGTLSTKHAATLEVPDKTSFPSEGKEA PVPESPNSVEPVIFPGVTGPMSLIRKIHELDGRESSARVANAWMLFRCIRNNQDMGTL WEVRHSWYTRSKR TRV_07002 MLAASVDNEQAESELSDVKSQTKEIVARLNRNSEQQASISSGRY SLQYGDGFPKEIPGHEKISNDDICFLCIAEQSYPRKLAFSYLSDIATEFFNTYSPTKY LSSSLRPYAFVEFDSFIQRTKKSYQDSRASQNIDKLNDELKDVTKVMTKNIEDLLYRG DSLERMGEMSGRLREDSKKYRKAAVRINWELLIKQYGPFAGVGFIFILLIWWRFF TRV_07003 MAPNDVSHRRTHNLLLISKLLNLREGAAPLTLVQDSLEQPAAPL LKEYIRRTKLSKGHVTFVSFDTLTRPAGADTFINAAGKPYELIAEEVTSSYTASQPTG NQNITPKSKYSEKTLFVQSAKAQTLGYLVIVDSVHPLLSPRAGAKTVYLPEYLSSLLG PASPHVQVSLVLVYHRHIPSSPSSNPYTPSPLSLLTYLATTIITTHSMSQMMARKAAI DRSLAPPVFGLEEEVDGVLIGRVNQHLKGEKQDGIVLEMEHRRKSGRGIVEWYFLPHA SKYSAKQATEVVILLDDHPLYKRKDTEVNEESWEPESTFELGLTERQRRERDNVVLPY FDAQKGDGPGEGGRILYDMGEEDDFDEEEDEI TRV_07004 MEVDIPDDPDDLDQVMMKAMGFSSFKSTQNTEVPGNNVSGVRKE KKTQYRQYMNRVGGFNKPLSPTR TRV_07005 MTDIRRERDIVIIGGGIIGCCCAYFLTRHPSYDPSKHRITILEA SEIAGGASGKAGGLLALWAYPKVIVPLSYQLHAQLAKEHGGVDRWGYREVGCGQLIAD GRARNQADKSKSGKAAVSLGKRSDDDMATLKAAGFPEDLDWFDPAVLRAYEEMGGKGT TAQVHPYLFTTSMAKLAEEKGAKVVLGTVTDIDYSEGPVKSLKYQSKATGAMETITAT DVIISAGPWTSRIFPPAPIEALRAHSVVLRPTRPVSAYTLFTEITLPVGQSKSGRLSA TSPEIYARPDNTVYACGEGDTLVPLPSSTADVEVDQSRCEDIINAIGSVSDQLRDGEV LVRQACYLPNVAGTGDRGSPLIGKTRTEGLYLATGHTCWGIQNAPATGKLMSEFVFDG DAKSLNISSLDPRKVI TRV_07006 MAAISFLWVCILFVLGPVAADDTAAAALAVDTALNQTLLWGPYR PNLYFGVRPRIPKSLLMGLLWAKVDNYQTAQENFRHTCEQNEGMEGYGWEEYDIRNGG RQTIHDSRNTLDLTIDFVKIPGGTDGGSWGARIKGVPRPDAPPNQPTTLIFYAGLEGL GKLGLHNEPNELGYAGDVRLGGNAIGLGDFTIDITRGPDTNTYPERMHPSYDDKPLDR TIVSSMTVPPEALWQGKTILFTQMKKYIEAAVEKFGEGNVPPPPALLTLTNTQGGGNI HYIQKVFRGSFEDVSATFSQKFENIFKPLAPFNKSEYLRFSKEMLSNLVGGIGYFYGD SIVDRSYAPEYDEENEGFWEEAAEARSRAQLTVADPSELFTSVPSRPFFPRGFLWDEG FHLLPIMEWDLDLTELTRNRLQIVKSWLSLMDEDGWIAREQILGPEARSKVPREFQIQ YPHYANPPTLFLVLREFMNKASLGKSSGPDSSNNSAYSDNKELGITFLRSIYPLLRRH YFWFRKTQWGDLKSYERDSFSTKEGYRWRGRTVEHILTSGLDDYPRPQPPHPGELHVD LISWMGMMTQSLRDIAGALGETADVEEFTKYETAILRNIDDLHWNKDAKTYCDSTIDD YEDSIHVCHKGYISIFPFITGLLDANHPRLGDILNLIQDSDELWSDYGIRSLSKKDEF FGTGENYWKGPIWINMNYLVLKNLLSVAQVAGPHQEQASEMYTKLRKNIVENVYKEWK NTGFAWEQYNPDTGKGQRTQHFTGWTSLVVKMMTMPDLNTQSSAKDEL TRV_07007 MDLVSTIRKEGSRGGQTEFKWSDVKDSSRRENYLGHSLMAPVGR WQQGRDLSWYTKGEDSDAAKKAREEEIRKVKEAEQEAIARALGLPVSVPSKSSNANLE PLGGKEVERAVLEGADDHEDVDRVKGVGFGGFTATTVKLQRPMLTTGPAVTATRKEER NTAEETVEIMQGTIEAGEKITAQIDMKEKDIVHVLGPGPERPLGGNAQFHVHAAEIGK HEIVEAIADGADHPMTPDVIETINDMNVTTEDDNTAQI TRV_07008 MARPDAPGYIAPNNPHAINQITVGDLGSDEILLLATDSGNVAAY RVERIFWCIENRRAEDTDPVQVGNGVECFFSEWVTQSAWALAIHKFARMIAVSSNTTT ITIFAFALVDNTEVSHVTREGSSSRAKHPKVHNNDWIHITSISEFLKLRSWSRQRRRS QNIRLSLSGHSANIPNVSFLNCDLDPHGDWLVSTDINNKLLVWRIWERFRPVNYWDFY SADDDQQYDDLFDDRQRGWNVLAVDPRSFRMKKDLLEACGGPPHKYPDKDAPYDLSFL ASKVHDATQYYNVFSSSTPLTQRRNQGPPPDELFPLTHSDDSDGGVEDRINRHDTVPG IKTPPVRTETPSDIPLTVGAQGTLQNNNSPLATHNYSNILADAISNTPVLNESDEDSD ETYNEDEDEEDEEGAEDEEDEGITPAPGTNTSHATVSDADIDMDHDNSGDNPSDEDSS ETSNLDELDELSDTPMNEDIHSGSNTATKANGKAGLLRDERPTSDLFHSFQILHFSES HIRMLPGPFSTGPSVIFRAALSQVVTNPVQFIEATDRFNMALQIPELGVVVAASQKGR VAILGLTEVRNKGKVFRMDRILPLASQERKRLRPLCPLLGIAASPVVPHLIPPESPSD DYEDTSTGMNDVDVQEIRNASLHPIGPKAGLSGKFPSHLPFGGTKKEKWHGIEYSRRY RLFLTYCDHTILHYELYYDWPKELRGPEAQMAYDKNEPFILRP TRV_07009 MEPLENTEQEGLVEEPIMRADEVDNIGVDITTSTEEPVVAADTV SDMKLPEDNEAKVDEADGFGDASVLQRLSQPSTRPELRRDRVAPPPPLQPPPPAPVQN PEAQTDSVSLAQLRKIVQDLPKADLPSYAFKYDDCQPFPEEINEWFGDNEPDKLMLLG CKTTFEQTWSSFCEALPDLPESESSWLSATDEDKEAFIEKMIGLFTSTDIFSRIEALE SICYTLTGVWVSTAGKVAGDYPSDITDHDAAETPKERSMQIQWMIKNAHNFLECQGIE ALFAYLQRFFNKDQTLVQEDMKAFANESGTTAYVATRDREINLILTCLYIIVEVARRE EKLEYSSLELRDAFAALNPNLPATLVEIVARLRWDDPSIFPLTRVLLLFWKSILLLFG GIEPLKRAKTILEPMHVPTGSGQNESSNIPALTASPLDYHLFRQEIISKYPAYNPPPP LVPFELEHNSVLPPLPTSSSRNSSSVLFSGVGSTLANNNDSILHQSVHIATPAPSPPP SPGGPGKVGKKQNYQTNQHFPFMYPPLDGSSNNIGGKGTSDLQDSLVGRKWEGSDVPA SIIEAGELFSSRMRMTRALQQLWEEREAFMKYDRGWDERAPDSGYRRPSNGHESESPA DQELKPRRQTEDEDVQRRLDEVEKFYSFVIVVLKELLTSITAIASQTNGNDDMDGRAD NALNGQKQLSNKNRILEPFLSTKQLQEARSREIRFEAISGALILLLKWFKRCHILKFE YLSQILLDSNYIPLILKMFIHQEVDHAVAQPQDPLVSSFFHFCHVHSNQPPEPIPPLE PTEIADDSSEDEAAPPPILRQSRSRISMLEEASAKLAATEQSKENSRFLEVDELGFPT APIEPGPISTYSFRNFFSAINFLHIMHKITRDKAHRCLLLVQYKCSAVLRKGLKIPDP HLRLYTLKLFKSQVPYCGRKWRLSHMRVITAIYLYCRPELRDDWLAGGDVDAEVEESL PMEQALRGLTHWWHVRQYKDVLSTENGKPLVEEERDFFTRELEKIGWGWFGEELNNGV DEDGEFVPHIGGGPELEKAIQMEAW TRV_07010 MDEDTDDERSQELQSIAAIYPEIVVDPSTPFKASLTLPIIPVNP IKAIFRKSSTEVSISCLPPPPPSTEPSDVEMGENGIKETQEAEEDVDNEVYNLAHLPP LNLGIELPEGYPAEKPPVFSISTDLNWLPISNINKLIEDGMRLWEESGNNLIVFSYID HLQVAAEEGLGIGNGSKDVAVFPQNLKISLLDFENKARKEEFEQETFECGVCLEPKKG KVCHRMQRCLHVFCVQCLQDFYNSCIKDGDVDNVKCLSPGCGKEKADNSQPGPRKKHD LTLRPGELIQIPLPLETVQRYARLKRKKKLESDKTTIYCPRQWCQGAARSKKHPKPVD LINDEESSDEEEDNAAPFDPLGAQEQLPPMSERLAVCEDCAYAFCSVCKKGWHGPTSI CFPRREKELSAEEKASEDYLDIYTSRCPTCAARCQKSMGCNHMICFQCNTHFCYLCSS WLFANNPYAHFNTEKTSCYMRLWELEGGDGVGAPLHIEDPDIVNGEIEMSDDEDDTAH PLAVPPPAPVPPRAGPRNRRLPPLAEEVGPARLGLRGGDANRRRAQPRRGANDNNNNQ NGAEDNEQVPPEQLRGLQRFLYLVQHDQEDQWDSDEMDDDF TRV_07011 MNGKGYGMPSSHSQFMGYFAVFFTLFLLVRHTPSASIRSGYLSM LERVGLSSLACAGALAVALSRIYLNYHTPQQVVAGAAIGVAYGLAWFGIGSFLRESGW LGWALDLQPVRYFRIRDLLPREDLAEGGWQRWESIRQESKNPAKRHSKTSHVE TRV_07012 MADQEKKRVAAPDAEDFVQRVLEKNGVPSANASIVAKCLVEADL RGVDTHGINRIPSYMERIRQGVLDPKASPSLTQVTPVVARVDGNNAFGFISATMAMDR AIEMAKEFGIGMVSVHNSNHFGMSASFVRQAVDANMMSLVFTNSSPALPVWGGKEKLM GTSPIACGAPSGPNSPPFILDMAQSVAARGKIYKAHRRGETIPEGWALDANGSHTTDP AAALRGVMLPIGGPKGSALSIMMDVFSGVLSGSSFAGHVTGPYDPSKPAGVGHFIMAL KPDLFLSLDEFKDRMDYLYKRVVTCEKMQGVDRIYYPGEIEIITSQERAKDGIPYVQA EIDALNKEADLVGTGHIVIKK TRV_07013 MEAFDWLYGNEKRRVEESREEAESCWHGRISQSYQHLRPHCQVN TPGLHISTLILNQEPGNMFSSILRRLQGGNLEVFKFGLYIGFPIGWMYYFGTNLEERF SVPDFWPTTAHSHKIPADKGEIDKELARMNEQRAKRLLEKQRIQKEFENIAATSNSTT E TRV_07014 MFKIYPQAPFRHISKRTAQWQLIQYAGHVRFFQASPLSLAKQMP PRPTLDESEITGTYLKGSGPGGQKIVSQSLIPRSLVALHKLAVSPIGMISSAVQLIHI PTNTVVKCQATRSQSQNRKIAKRILAEKIELLEKGEQSRAAIVNNVKKKRKASKMKKS KRKYRALEEEKRKKRAEAGLEEEGEICEGEADGIEEVEEAGKANEKVSEEEK TRV_07015 MPYVFQLLAALLEVDPTGSFPDYFKDMIAPILAPVMWEQKGNVP ALVRLLQAIVRRGADILSKNNQIEPILGIFQKLVSSKINESYGFDLLETVISTFPSAM LQSYFPTILQIILTRLQNSKTENFSLRFVRFYHFLSAHLENGYGADFFIQCTENIQNG VFTPIYLSIILPESRKLARPLDRKIAIISFAKTLAHSEAFASRYKKGWGFTCEALLYL LDQPILPTTGDDIVTEHDVEDMAFGVGFTQLTTIKMPPRDPWPETGPQVGQWVATYLK EQNSKNNGKIQNFAQERLDPQILPGLAKLLA TRV_07016 MASNLAPIAQLLEASLDPRQHKQAEAALKQEEAKPGFSLQLLHI TASETFAYNTRLASALCFKNFIKRNWTDEEGQYKLPESDVVTIKQELISLMISVPTGI QSQLGEAVSVIADSDFWERWDTLVDDLVSRLSPENIKTNIGVLQVAHSIFKRWRPLFR SDDLYREINHVLGKFGLPYLALFESLDAYIEKNKDNKENLILGFTQLNLMVKLFYDLS SHDLPPMFEENLGAIATLFLKYLMYDNKLLHTDDDSESGVLEFVKAGTFEALTLYVQK YLDVFGSLVEQFIGSSWNLLTTIGQETKYDILVSKALQFLTSIAKISEHAAAFQNEGT LGQVTEKVILPNITLRESDVEMFEDEPIEFIRRDLEGSDSDTRRRAATDFLRQLLQNF EDLVTTVVLRYVEHYLADNAKSPSDNWKSKDTAVYLYSSIAAKGVATASHGVTTINSH VNITEFFQKNIASDLVAETGVQPILKVDAIKYLYSFRSIITKDQWREIMPLLVKHLAS SDYVVYTYAAIAVERVFVLTDASGAQIVPASEITPLAGQLLEHLFQLVQKESSAPKVQ ENEFIMKCIMRVLVVIKDAAVPQTESILNHLIRITEIISSNPSNPRFYYYHFEALGAL IRYDMMPPN TRV_07017 MSSDDVPQAPQNKPSTSSFADVFKTLAVGRPKSQSPVLHAQNAG GALSPEMEGRRGSRVTFGFEALHRGSVAAGASDASNTPSFDTILHNLAADQPLQSAAD EAEKAVRYLHGFSGDQVITLWEQAAYLIDHPSSSEARTSGGILLENVSARQDLSLAGR KSLFRSISQPSHPDVIARRVKALISLSDYGRKFDFTYEPVLQIIAAWIVPLYEQTAAI RSKIKRSRGQRPSGATLDEAILGELFQHIVDVVTLQRHPPGPEVIQLVLDQIFTVCRK TSAAVDIKNSLVVFDAIIATCGVPDDSFIPLLEVLCSIHASVKSLAGPTSRAVRSLAK SSKQSDMIQNLHTFLMETTERPDRNLNVTRGAVDIFRDLLVAYGQDGMPTIDFEPLIA SLHQASLRKDGRIDTDILEVCLNALQGEFSQVALQHDWSEFVNVMLACSDRAIDITAP TSPTSNFSPAPLPAASTPPSKASLADDIRSNIIANLTRISASLESLWPNLSKAQKLEA WRLLADTHKHLTHSQAELAIRLLRAERLCHPSASDGWVTYSWKLVQDYILDREKAPET RILALATFRDAYFSENAPELYLKEGLVSALTKDFTEEDSYLFLQELVAFLVDGTASSD EDTLNLIVDTLSQPMNADENTDDMSPSGTKPVPHYVLASDTLAPSLSDATTWGLIRIF LKGLETKSQVVLKVYQKLIDIALSTSRPSDSRLSALRLLFRLRCDTSGVVHIASFIDN GHLTASMLRTAESTKSTASEESSTERSKDNDTSSTPNSRSSLKDHSVTPIGGHSHRVI SRRPSRWVAPIWAFDDQSKLPDMTVLKLGSNVYAFRAQGKEGGQKAEEQKGEEQKDEE QKSEEPNNNDKHNTTIVLKVNLWAEAIIALLQREKDWDIYSYVSAHVSAQLANRDFFR AAIPQITLLRSVLCEQIKNESFPEPLGWTGVKKKDIAICLLEALTMLISFHAHFAKGE QDELVRSFMYGIGSWEGTSRGCIHALSVCCHEIPLSVTKSLNAILDKMSKVITRSHIA VHILEFLALLARLPEVYVNLRDEEIRTVFGICIRYLQTSREQRYKNSEAANSRSASMP TRISNGSKDPISNPPAEAVDIHGGENAARYVYHLTYHVMVFWFLSLKLQDRPNHVGWI TKRLLFNDEQGREVIEEQSQVFIDMMQRVAYSDLGDTIPFENFPPSPSDGPVVKRTWI VGTSIVTIETACASGLNQVTKRQASGTTYSIFQQRTAPVLPHQIPTNPRSYSLSDDPS TQTSVLPSHALLQMTASAFPTALPLQPLPLPDDDFTRRAISAFDRNSIVDGHKIGVLY IGEGQTDETEIFANDHGSPDYELFIASLGTKVSIENPKFNPQGLHHERDGKYIYAWRD RVSEIVYHIATMMPTNLEADPQCVNKKQHIGNDFVNIIFNRSGSEFDLNTISTQFNFV DIVITPASRIGSEDKAGKFTVDDFNHRLYTVKVLSKPGMPELSAAAIPKVICGKNLAA FVRIIGLNASVFSLVCSHGGEYISSWQNRLREIRRLRDRAYACYSPDITSPDASSNNL TGSGSTYGDGSYPSSRRNTKQYAEDGSLRSGLGTERNLTMDANAFQCLDFSRWTR TRV_07018 MTFSKFSMLTPEVATTAGGDAVTGSRSLLPSSEAEGWAGQPLPW NFSPTSSSHASSGNARSNTPPGHSTTVNPITRSETLSYLASAQPSASNMPNSNRVSNW SHYHTTCDSPASTTAFDNGVGRNSQHASTINGITNGSSAHNGLSAQEPQMNPFDHGNT LPFRENSRSNDQSSYFPPASSINLASMTPSSSHFGGGTPRHSISTGHGRPSLAEDELA AALSKIDVKVAGALAEHRQQALPPRPSFQQRASYDAVLSRANYNIEPEEPRTQYATYS RTISSPSVTLPSLIRRQDRTHPTLERSSIPGYYSSVDGQIQESMSQGVYSPRYRYAAG ERSPEEQAELLAQGLRATLQQQQEQAYPSVAMSQLPGGIHLPPGYRYAAFQPPQPNGI HPVQLPLYSMGPFSPVSPSLIRPSTSDQDSNQVTRSPVLQEFRANNKGNKRYELKDIY GHIVEFCGDQHGSRFIQLKLETANSDEKERVFQEIRPNAVQLMMDLFGNYVIQKLFEH GNQAQKRLLAQQMQGNICSLSVQTYGCRTVQKALEHVLVEQQATMVKELEDSVMKCVT NQNGNHVIQKAIERVPNQHIRFIIDEFRGQIPRYATHTYGCRVIQRMLEHCPLADRLS ILAEIHACTPSLISDQYGNYVIQHIIEFGEEVDKNKIISIVLGQAVHFSKHKFASNVV EKSITFGTMEQRLAITRILSAVNEKGEGPLLGLMRDQYGNYVIQKSLSVLEGDDYKML VSRILPLMPLLKKCSYGKQIAGIEGHLHKYGNPAPSSPTSPAESGKPLGLDVDNNANS LAYTRDNSPVYDLSPVTADRSSIPSANTSVLEECPNEMAKEDGSAILKPAAVI TRV_07019 MVAAHLSTVEQRCTHLFSMKYLPCTEPSHCSTDRRWLIVVLPRT WLGSEEEKKGKKQQSSSFFGRFLRAKVGFLLLSPAMSSTTDQQIKMNRYSNYEVISPA IKE TRV_07020 MKGESKEAGEEEEQQSSSKRRRRAAGDVVEGREKEEKKETKDEA RRQKKGRCQKGEKGRQHPGQTPLVLKKTSVGGIGQ TRV_07021 MDNLRAIFKRGDDKESGNNDLNPTLVNLLIALLAIILFTMALVG ILMFLNRRRRLMQERRQSELPLYDKTTSRRRLTITATSYGQCMVDEKESSRPSSPVPE IRITFPEEEDAAGKRTSGRVVVVRIGEKGGIGLEPCNEDLPPYQSDSTERFQSLDLER MGGLKEKGDLKQYS TRV_07022 MKPNTSQKASAAQTPSSSSSNVNPEYREIKAKFAKLLAEEEAKR AAGAGKPSQRAKKPPVDQSELAKRAKQQDDKRNKEKENEFKIQHIGILLLLGWFGLVH IIGIYFFTKGFLLTRLVLEDKSQCDVLPLQDLPDAIAPANLGIDAPKDGAGKGCWHPK TFDKAVVVIIDALRYDFTVPSKRMVEGGPPRLYHDNIPVFYDTAVNSPNDAFLLPFIA DPPTTTLQRLKGLTTGTLPTFIDAGSNFAGTAIDEDNIIAQLKSAGKRVVHLGDDTWH ALFPGYFEEELTHAYDSFNVWDLFTVDNGVTEHIFPLLHADNSTKWDVLIGHYLGVDH AGHRYGPDHPAMADKLAEMDALIRKMMDAIDDQTLLVVMGDHGMDPKGDHGGESDDEI EAALWMYSKKGVFGRVSDDSLLPPTTAKERPIPQIDLVPTLSLLLGLPIPFNNLGSPI EEAFAGKSGQDFRNLAAVSRLTSAQIKRYQHEYSKARGNEAAQTSNPLSKWSEAEQNW KDIHDSGHNKKVTYQAAYSTYREYQRLTLSVCKGLWAKFDIPSMAQGIAILISGVTLL LYYARAIRGNRTNITYFTLRKIGYGSLAGVGVGAILNVSLALDLHIAESIALGCVTGG VISAASMVLQSQKTIGTVIPRSLWGWAMFIFTIAQSVGFASNSYTIWEDQILLFFLTT FGVVAAFSSLRQKVTADRVLGFYHSIVFTLLGRVASMSKLCREEQMPYCKSTYYASNN SSTSSPLQLLIPFFLSLLLPAVIRSYYQGTKSYEGSAIFWIGFALRMGLLIVAVYWTL DAADDGDWFTIRKDHMKSARMILAQVVLAIAFAAGSTTFAWAKPCVSIGVTPNSTQSG EAKTSVTILGYANIYGTRYFLLLVNFTLAIILLQKPMGGGAVGLQIWQILSLMEILDT NNLTTTNSATGPIVLALLGSFHYFTTGHQATLSSIQWETAFIPLKTVQYPWSPILVTM NTFGPQILAALAVPLTVLWKRPIESRLNSKPPISAPAASSPVPAQGDSQKASKQSSPA NKLLSDVAQATATHMLYYATISLATTMWAGWLRRHLMLYRIFCPRFMMGAAVLAVVDI AAIFIAAGGVRWSTLSVGEIFGWA TRV_07023 MSSNVGLTTPRGSGTSGYVQRNLSLLKPRDPNYATPPAGYGDNG PSDAGFKQRQPDRQILEHDRRRAIEVQIIEERDRLEEENEKIAEENKKLTSKNKTNSE ETKEETRQRRVELTEEEIEERLDKLRERLTNELEDELAGRHKPGPSREGRYRDQPGYE PYPSRQRRPNSHEEKSGYKGRKQFKTYQVHEQAEAKIQESERLRKALGIRGEEQDPWD SGHREDRERGGRDRYADGDSSRRW TRV_07024 MPKLVPRQRKHKARDRQNQPKLPQNTNVAEVAPVSKKEKEEKRQ KLQEELRPQQSKISSKKQKRLDKYIDTKLRKDENLTLIKKLAKSKIDTSQLQSSRSLA RSNKPLSKKPQLPSGSKKGSSSEILESESSGSDDDLPSSRSHINARGDGDIPANTAVG SGLKRPLEIGEDGRPVIKRRKRMQKTKPIEIQEPAWEGFDSEPESSADKEPGDSEADS HRGSGESEEDSVSDLESEENLSSESEVETKKKIKPRQSAFKSWAVQQINEAAGFTPEN IATPTLLPQNAKSFKPRDRTEEEEPLPQELRVTNGDTDRKSFAIQIDRPEHIQAARLN LPVVGEEQKIMEAIHNNPCVVIWGATGSGKTTQLPQFLFEAGYGSPDSPNPGMIGITQ PRRVAAVSMAKRVSEELAQFSDRVSYQIRFDTSVTPKTAIKFMTDGVLIREVAQDFSL SKYSTIIIDEAHERSVNTDLLIGMVSRIVDLRKTMSAENPSIKPLKLVIMSATLRTSD FLRNPNLFRSGTPPLVQAEGRQFPVTIHFSRRTHRDYVEEAFRKVCRGHRKLPPGGFL VFLTGQSEIKDLSKRLKAALKSTQPSGRSQAKVQIRPSEASVEADDIDFGRGGESATA FDGDDDFDSDIDIRGTDEDEEDDEFDEEEGAVDSKTSVHVLPLYSQLPTTEQLRIFEP VPEGSRLIVLATNVAETSLTIPGIRYVFDCGRSKEKQYDLSTGVQSFPIGWISKASAS QRAGRAGRTGPGHCYRLYSSAVYEDSFAEHTEPEILRTPIEGVVLQMKHMGLHHIINF PFPTPPDRIGLAKAERLLKNLSALSLDGQVTDAGRHLTLFPLSPRFGKMLHIGHQHDC MPYTIALVSALSVSEVFVQENQLDLTKDKPVGDDEEEDKVYTNADRLEDDAREQRRKD YQRAQRIFSKHDEKSDAMKFLTAVCAYAYAPNGEKFCSEMFLRPNAMKEASQLRRQIS NLVRINNPNLLAQFEPRLREPSEKQIRALKQITAAGFIDQIAIRADAAPVRPDMPRKP RRAIDVPYLTLFPSRDHYSSELEDRAVYIHPSSVLAKLSLNELPQYVVYSHLQQSTPS HIGDSPKVRMFPLTPVSGLQLSALAQGTPLIEYGKPIGKIESLGGSPERRECWVVPSL VGESGSTGWPLPAKKVIQVRDKKHGWIIDRFVQ TRV_07025 MSPEAQTEAADVQPMIPSLENTPHPASTKQAAGVVGGQPTNVMV VSFQDRILVTITQNGRLAQWVRNTLMNDKAIFLLVLTVVAQLHVPIESPDPAADFQTL TSNQEDALLPRPEFNATPLLGGRSGDWEVVGQLYACQIATAIAAKSPEEKRLLVVGLG LETPDIDRDIYLGIVDLVLQCL TRV_07026 MAGENLVPVVVGVGDIKNESRRLEDAVEPAYLMINAIYKAISDT GLSPDSCMKLQSCIDSVDVVATWTWPYSDLPTLLSDKLNIQPRHKLYSHHAGNAPAKL FDDAARRLSQGKSQIAVVTGGEALASCMFYLYILREFFVEILRQLGGSECLRTGWEAS PIKLDRTGSKRVRSVPAKGIRERRKAYRKQSLRENMKESAKLYAEFSKVAEKNPLSWN FGKLAETEQSLSTITRKNRMICYPYPLLMNAFNTVNLAAACILTTTQTARQLGISEDK WVYPLGGAGTQDSNNCDNTYKPHHSDANNMSVRSNRCFPIVPKLAAFHLGFPSDGSKP LTLLGGLTSFGGAGNNYSMHAITEMVRQLRKYTGTPRHGLILANGGVLSYQHAICLSS CSRRDGLSYPVKNPLPEHVTDVVIPGIDVQAEGEAVIETYTVEFNRDGNPMFAYIVGL LKTTGRRFVANHADDATLEELSDPGKEPIGRSGNYSVVDYSEITIDDILYQ TRV_07027 MAYRQNGQYPPSQSPRQPYPPATPPQQSYHQSPQVYPQVVIPAY PPHLAQSPLLQPPIRPHDFTTSAVSQQVPPHYPAPPHANFQYGGVSATPGSPYAMPNR HPDSYASPHSLYPVTLPPHSQTQVPPRPSQPVPQRPVSQPVSQPVSPQVQSLPHTQGY SQFPTQPSPTVQNSHNIPKTAKAPTPRPQARPSPQMTGTKLSQQQKQGGHDNSKPHVD YQVLLLALADEYLDAAHSQATLIAVSQDEHETEQYYKLIATGLRCMEALLKNWRLAPQ TEALVTLRFAKILYEETNNDTVAETILSKGNRMFDLKYGMQQLLCRIVSKSNPRAAMK TVDGVIRDIETYRHTGWEYAFRLLRASISLSPPPHQDLVGALHNLQKISSLAASCGDK AVSTIAAVLEALIHLQQSTNADSIEHAQRAIATARSRQLDPEIRGIPQLNSVIQMIDI CCSVLEYDTNQSSQKLKEMQRSMDQDIHNPKWKDDGSFALPLSAGTMKSASPREPRHD GHIFELTMSWLPEHDLYALCYFLSSVTLSAKNSQDGHKAEKYLEEGLGMMKAGLSSPQ GISESLVASSSRIHWRRSLYCNMLLQQVFLFCSRTEWRMANKTLKEVRSTLAELGDSV GDDIRCLAEYARGIIHQAVGDIEAAMATFHQPMFSLSQATSKIPRSNPHRDTIILANL NLVLLLRDPSKADHSLASETLSILGPHCQNSPNKYIRAAHSLISATVHTESTIQTKRD LHQALQAATAIQNCQITCIALTFMSWKYFRGVIGEQSEKSAMAARAMARKADDKLWMS VTDDLLAETLDRQGKSADAQTLRAKADKKLEALPPALKMNKPDGTGNGNLSTNGGASK KYV TRV_07028 MQPAWLSDINTDSDLLFHVFFLQRYSRLLEESAGRSPAFFSWLL LYATSILLLASPFLSLPFLGSSLSASLVYIWGRKNPDTRLSFLGLLVFTAPYLPFVLM AFSLIVHGTIPKDEICGAVVGHIWYYFTDVYPQMYGGVRPLDPPAWWRRLFESTNTQD QRATNAAHINNDMAAIAARDVR TRV_07029 MDSTAQLAYLLSFLLLLLLPQATAFYVPDGQRIPVLVNKIFSDN SQLQYAYSDLPFACPATARAGSSQNIPLNLGEVLRGDRISLSDFELEMGQNVACKPLC TRQIGRRDVNWAKSLISDGFVAEWIVDNLPGATSFITVDKTQKYYTTGFKLGYQAVSS TSRRPTHYIHNHFSFVIRWRDAPRGGDGQKVIVGFEIYPKSVSRDGRKRDGCPRDVHT VHHGFELYLQPNNTRLAQQYPGSSYLPENDDEVDDGATLSIPYTYSVFFKREDKVEWA NRWDLYLYSQQDGTTTHWLSLLNSLVICGVLSVTVLVIYRRTGYGDSKSRTDGLLEDG KASKRRSRKTSGSAPVVDEKSSNGLLDGGAGVVSDDDLSSEEDFDDASSWKRLHGDVL RTPAYSGLLAPFVGSGIQLLFMATGLLSLSCLGVLNPSFRGGFISVGVGLFIFAGIFS GYFSARLYRTLAGMNWRKNAFITALLFPGLVFSLIFVLNLFVWAQASSTALPFGTLVG LLALWLLIQVPLVYAGSWYGYERSKPWSHPTRTSSIPRPIPPQPWYSGSLRGIILAGF APFAVLFVELVFLFRNMLQDKGGYYYVFGYLSIVGLLTLLSIAEMAIITTYTLLCAEV WFSTPLSAEFLRA TRV_07030 MAEEDAPFTFHVKSSAEPKFSLTLKPSSTVAEIKQILAGEEYAN VPPERQRLIYSGRVLKDSDTLASHKVKEGHTIHLVKSSAPAGSSSSGSGSANTTAAGS SSNTTASSAAGRGAQSTPSGAAGVPTNLAAGTGNSMLAGLTGARYAGFSQLPGAGMFG PDGGMGPLPDTDQILSMMENPQFQSTMNEALQNPQIIDMMIQQNPMLRDVPNARQILQ SPEFRRMLTDPNMLRYMTQMQRAMGQGGGGGQSAFPAPGVTNTTQRDAGSQDNTTTSS NHNNNNQTQPQMPPFNPFLAMPGAGGNPFSFLAGMQQPPAGAASGDAGTAGSNTTNNS GSSNNNSNNQQQRQQQQHQPNPFASLFNPALLGQLPNADGQPGQPIPNPFMQNPALFS QLMQAMGGGPGADANSNPNPNPNASNPLLHSLFGGQPPQPPDNRPPEERYAEQLRQLN DMGFFEFDRNIEALRRSGGSVQGAIEYLLNSP TRV_07031 MATTSSMFMYSLTIQPPTAITQAILGQFSGTKEQQIVTAAGSKL TLHRTDPSQGKVQTLFSQDVFGIIRSLAAFRLAGSSKDYIIIGSDSGRITIVEYVPAQ NRFNRIHLETFGKSGVRRVVPGQYLAVDPKGRACLIASVEKNKLVYVLNRNAQAELTI SSPLEAHRPQTVVFALTALDVGYENPIFAALEVEYTEVDQDPTGQAYEETEKMLVYYE LDLGLNHVVRRWADPVDRTASMLFQVPGGADGPSGVLVCAEDNIVYRHSNQDAFRVPI PRRRGPTENPERKRCITAGVMHKMRGAFFFLLQSEDGDLFKVTMEMVEDENEKATGEV KRLKLKYFDTVPLASSLCILKSGFLFVASETGNQHFYQFEKLGDDDDEIEFISDDYSA VISEPLPPVYFRPRPAENLNLVESIASLNPLMAASIANITEEDAPQIYTLCGTSARSS FRTLKHGLEVSEIVESELPSVPSAVWTTKLSRNDQFDAYIVLSFSNGTLVLSIGETVE EVTDTGFLSSAPTLAVQQLGEDSLIQVHPKGIRHIHADQRVNEWPAPQHRSIVAATTN ERQVAIALSSGEIVYFEMDTDGSLAEYDEKRQMSGTVTCLSLGEVPEGRGRSSFLAVG CDDSTVRILSLDPDSTLENKSVQALTSAPSALSIMSMIDSTSGGSTLYLHIGLYSGIY LRTVLDEVTGELSDTRTRFLGVKPVKLFSVSVKEQRAVLALSSRSWLGYSDVQTKSFT LTPLNYVGLEWSWNFSSEQCVEGMVGIQGQNLRYVSIIPSTVLCLCLLFLHDELQLLS PTPA TRV_07032 MRFDRIFSIEKLDNNLLQEPIPLAYTPRNFVRHPEYPLFYVIGS DNNILSPATKAKLLSESTTVNGDSAELPPEDFGYPRGTNHWASSIQVVDPIHTKSVLS NLELEDNEAAVSIAAVSFTSQEDETFLVVGTGKDMVVSPRTFTCGFIHIYRFQEEGKE LEFIHKTKVEQPPLALLGFQGRLLAGIGPDLRIYDLGMRQLLRKCQAQITPRVIVGLQ TQGSRIIVSDVQESVTYVVYKYQENALIPFADDIIPRWTTCTTMVDYETVAGGDKFGN IWLLRCPTKASEEADEDGSGAHLIHERQYLQGAPNRLSLVIHFYSQDIPTSIQKTQLV AGGRDILVWTGLQGTVGMFVPFITRDDVDFFQTLEMQLASQNPPLAGRDHLIYRGYYA PCKGVIDGDLCETFLLLPNDKKQAIAGELDRSVREIERKISVRTIPPSANIIDYLSNI IQDMRTKVAY TRV_07033 MSQKLNVAIAQCRTRDTLTETLNALEQVTIKAANRLANILLFPE GYLGGYPRGCNFGAAIGSRNDAGRDQYLEYFKAAVDFGDTPIPSEDDWVKRKLPVAKG KNYRGDGTREFLEQVARENGVLLVVGAIERCAGSLYCAVVYVDPKKGMIGKRRKVMPT GTERLVWAQGPASTLKAVTTEINGVKLTLAAAICWENYMPLLRQAIYQQNVNLYLAPT ADGRDTWLPLMQTIALEGRTVVLSANQCLKRSHLPSWVTNDIKQEGKDSSDDAFVTGG GSCIISPAGKVLAGPIWNVTDEDEESLQVVEVDFEDCVRGRLELDVAGSYSRNDSFKL TVEGLDLNPPPQ TRV_07034 MASSLAAQLSQVAAKATHQFDLKAQRSAHAQSLIFEKKIASTQD FDTIYQLYYEGFQELCALDPRFQPFQQNLFSEQSKVEDRSQMTAAQNKELDVVIEEFL ALVGGRLLLNPAVKAVDWLVRRFRVHEHNTRAIILTFLPYHTTPLFLNLLSILPENLT STFKVLHPYKRSLILPTRTSLVHSASTNKEFFSALNSYVMQVGKARAHYQGLTSFWAG IMTEALSTMLDSAQSGRLEVERRNKEDVLIRILPTLSTGLSLKKAPELVIACYMLCVV LANKGSLSDHAIDSLMEAVAGSWTQDTITSGITCLAVIFQHKEHLKLPKPVVKSILRL EDVADVFAGLAASNSIVHLLSGLMNVLMENDNEEVAAKCVSLVGQVLQSDIMGAEDKT QTIVALLKTIDESHRKGFMSEEVRQLSSDTLAAFVESKELDIVLQRAISESNVDIKTL EITLQLAIDNNLGSAETEDVEMEDIPENSTQDEEFAAAIASLSQRRSQQPENFLVESP SLLFSELVVCFTQATTSANKLGQFLGLPILRRNTRFKDLTYFSFFVRYFSGPHPPLAR TKAINIVTASILESNGRAIDLQALIPYAISALSDPSTPVRREAAALLTSIDNQYFIPD IEEAPWGQGIIYGDNEQSKAVQWLPGKDIHTLFDKGFMPSLEECILDPSRVFETFVQT VKGTSEDGSGLRKSVRRDFFSFICSHIINTPLFATKLRLLSIVNRISKIGSTARTQFL SPILEQCRTIDSEKIKRISTQEHVSIQELEQQVLSIIYPKDTTAISTLLSLLKDTPQS TRASFIGAVFERMKDIWPFLDEEKELFASETLLDMCLSTKPQTGDLANSSKELLRSVD LSGPVISKFLSTVTSASFNIDSQSPAPKRRRMSQSNMAVMSPASREEANIPLRRISFI LELIDASQPERFPELLGGLFQTLAIVHKLKLQVRSEMSYLLSLNLGVLLSVVNKYKGS PKKLDTSVIRADLIIDCVRTSESQQVQNTALLLVAALATVAPEIVLHSVMPIFTFMGS SVLRKDDDYSALVIDQTIDQVIPPLVRSLRVQKRDVVTGTSELLLSFTTAYEHIPSYR RLRLFESLVNKLGQEDFLFAVLAMLATKYGMDRDVLVTMTALASNAEAQLQLTPKPTI SRILLGIGSEDGREPHKVAVDLLQTLSHLLKFTSLGNKMVQYFESDDTEDAKKVHSLF SGMLERLLALGESVRSIRAINIACGDALATLLGTLSLVDFIDTIEVLLQRPKDDLRRQ VLRLLENRLDNSNDRDTASQSKALSFLSVLVGIVETSPDILLKHAAVACMEKISEKYG KKNPEKVVESAIVVAGDKCMGLADNRIRIIGALCLASMTEVIADAIIPVLPTALPRSL DLLQSSIQAKEQNPELHDAIYSLLSALFVHIPYMISSEDLDKVLCLSSSSAHASLSED CNINRQEALQLLAKRVDAKEVYNAVERNWDFSVSNGSKAAKETLEVVKLSIEKHSKSD TIKNVPALSRLLWKVLDFRRAQLSLPASDRFEGHQVDDIESSINDLTIKMIYKLNDTI FRPLFTQLTEWATAELDKSDLPGRQARLTTFYKFLETFFGTLKSIVTGYSSYIIENVV DILKNVRPNVKENQTLWTAVMRTLRNSFEHDQDEFWQSPSHLSSISSHLISQLSIANS KASFKLVTAEATPAIVALAIAADSPDNQKELNTHIMKYMRASGGNADSCKGVNPFTRL AAVKCEQSLTEELGEEWLALLPEMLPFISELMEDDDENVEKEVRKWVLMIEDILGEKL DDMLA TRV_07035 MDSDGGKQAVTDLLVTFTQGKAPIPKFGSFKPRPVAPARDRESR DEKKSSKEASSSRHGTKEAVSSSKHDRRREDRRETRDHRTSRPPREQPAGRLKLQDET DLYVLDPTGDPYTLIYGSLHKYDIPRYYRFGAGRVLGLSTAYTIDQDLSSDSKIVIKL RGAEDTSQRNHKVLWKSASKLTKLRRLLPRPAETPELERDFLPLSEGGSRKRRRIADG HYDTGYAVTVTEEEKLPDYRSIEGKAKPQRESDDETDMGSDWSLESDGEGARLRNARL FSSANDNPDDVEGWLQLIDHQEKMVGFTDREGYRKHTLAEKRGIADMKVSLYEKALKS ISPKVPRDRLLLGMMEEGSLLWDQKTLLDKWKSILQFNSRYISLWIKYLDVQQTTFNN FTYEKCRSVFLECLRVNENQTDSTEKQIISLYILLRLSLFMREAGFIEHSIGLWQALL EYNFCRPQDLNPTTNRAVTVSAFSGFWETEAPRIGEVGSKGWDRSTEDSPDPKTDLGI PDIDIKDVFGSWGDIEQTLISSSFLPARTLDEVQEDDPYRVVLFSDISEFLVQFSDPP MLHLLVDAFLIFCRLPTILNEGSERTSTWHIDPFLSNRNLDDMRRSPSEWFAYIAAKD EEIEGHSPFTFPCSSFRNGFDTLFGDGKHWFSTFQSWKATYLARNSPIDVEWVRRSLK MLVSKIQDNDHFAAYTIAFEYSVDPKEAKKYAKSLLKQRPSSIKLYNSYALLEARNGQ LAAAEKVWTTTLSMSQSFSKEAALDCIILWHSWVWEALNSQNRERATRLLVAVPTSTF NPDSSMETGASDVVVSSTELLKARRYLSDIQAHGLTFRNPDIFVCATDCLALLLYLTQ DQALPEALDVYDNAEQRLESQKLDKATFLEPVHQAKARLLYHHTIENRVYKPAQVREE LYKSILLFPRNTIFLSLFAFNEARFRIENRVRSLLTRQILEPAGNGRSIEKQATLIPH LFLVYSELHRGVSAGSTAHSVRAAFESAVSSQPGQHNAGLWKLFVQFELTLGESEKGR QVFFRSIRACPWSKQLVLLAFTESRLRKSMGFEELRKVFNVFVEKELRVHVDLEEWLE ENEAQIRPHDTGVELKPPITMPDDRSSDED TRV_07036 MDDEEAPRSFNGSLDKFIHSPGATPSASPVKSLKRKIGIKEEDE DAEDEKKVLSAPVSPRKTRSSKSLTQSTLVAMSRPSRQSTRSAPPRTSRNASSGTPIK AEDDASTASESSRGPVSRLRDSIPENLVLLLIGVNPGIMTGQTGYAYAHPSNLYWRLL HSSGITTFRHPPSDTYRMPELYCIGNTNIVVRPTRDASQLSKEEMNAGVPVLEEKIAR FRPEAVCLVGKGIWEAVWRVKHGRNIKKEEFKYGWQDEEENMGRVRPVVGGNNRDAWP GARVFVATTTSGLAASMSLAEKEAIWAELGSWVKERREARGFVLPTMSQALGTLDN TRV_07037 MGKLIKNHWARLIILTAAIFQLAAGIHGFFWPKIFWDFLTKNLD RAVKPVPILQIINVLLGLLGLAWEWPLKPLAGTLFHRSIEIRLFILPLSALASALLYQ GTNPAIYYLIGMAVYFWGYSEGEVRYSVHRSSVYRTYNNETNDFDIDCMSRTVDLATA KTNTDRVKGIAC TRV_07038 MTKKSSSRWKSHFNPSKLFFYFIFYGIQIGFFAYGWWKQVTDQR LATLNTLTFSVWMSRGAGFVLSIDTALILFPMCRTLLRYVRPKVKWLPLDEAQWFHRQ IAYSLLIFSVIHVVAHYVNFFNIERYQTRPEAAVQIHYTQAAGITGHVMLLCMLLMYT TSHHRIRQQSYETFWYTHHLFIPFLLALYTHATGCFVRDTPDPISPFAGKRFWDHCIG YEGWRWELWGGGLYLIERLYREIRAARDTEIVKVVRHPYDAMEIQFRKPSLRYKPGQW LFLQVPDVSRTQWHPFTITSCPHDPYISIHIRQVGDFTRELGNRLGCGPEQAKDIDGL DPLGMYEIAMQNGQTMPQIRIDGPYGAPAEDVFSNDVAILIGTGIGVTPWASILKDIW HYRAGPNPPTRLRRVEFIWICKDTSSFEWFQALLSSLEAQSAEEARSGQEFLRIHTYL TQRFDQDTAANIYLNSVGQELDPLTELRTGTKFGRPDFARFFRALRASIMDRTYMSNL EATRRADVGVYFCGPNQAAKQVKKAAKECTSKEVKFKFWKEHF TRV_07039 MARGNVGVYKVFYKGETDDFVVYVDDVASVKQWRKDKTVPLAQV VSGWKIFITHRHGAQGIHDGASKATLSNEFGTSDEVECITKILEKGDLQESENTERNG STNDSKGGLLGR TRV_07040 MESTESLDAVVDFPLDIDCALSELFRNDPENEPQGEPPAEADGR RRSTESNDSVAPVSQDGYSFKKWMRSVRNNGTDVQIPRNFVLNWPNGNTEEQSYIKYP DNEPDCPPDEGSDCSSGLINNIETASFSQATLSVANGARTNTVTSTMYSTSVFSSSEA ARASLESNRLTRSVSCDEAAWNRAVQRRQILQELLGTEAVYVSGLRALGDTGLGRCVG TLLRLHSTFLDALRAAIPRVPTAAFAASMKWMAKKKTSKKSSTDVHRKSVTSRRMRES VEHRIKSCKNIAAEPNEVQKVAEILMSKLPKFKVYEEYGIKYPLVLQEVEKLRKTVDF EVWDNGTEALSRSLAPIECKELSPNQALTIGDLLAKPIQRVCKYQLFLSDLLKCTPSS DCPAAHEALEKVYQCMIDTVQEINRATGDPVAKDRLAKTLLLRNQLDFTGFGRERDIL RDFGPLKVCGVLHITYETTESIVGEYMACALFASHIILAVPRAETRKFGVLAIIATTR AKVENVNEKPGLQCTRTPHSWKLLYVASGDTFELVMTACSPKEADQWKDQLLLNIAPE ITVDTPRIGTRVMFPIKRRPVITINETGNMVQPICRLVRIKGTEAAPRRDPTPSVSPS RPQTLLMTPMETLTPNRQARVRMERWLSDIWTCDIIPYPGMPGRGGQFIRAQAGSFFR SLSSRRPFSRRGSSIASATTQSIASNSKSGAAEETNTTAPTSPISKHSTREFDETIDA NVQSRSSSPGCTALPQIKSDADEMVQHEALEKRAKYKERTKMDKRTRWGVSIFTAPGR SRRNRLVEV TRV_06929 MGSRSELQHLSPCASVATALNDDSDDDDIHNDDHDSEDGKRTAS HTQSTRLSSKRESPKVVLGRSRTKAVQHFLLFHVIPIAGAVGLIVLNITTKFYTGDPA WIPLLQFIAKVHESLIVLSIVVAMLTYLQYLLIHRQAVPFGSIFFAHQMTHMGYLFSP EFRATLTAPEFPLSMKVVFAIAVIFSILLASVVGPSSAIAMQPRMANYSLPDWSGFGV NASSDYLFPKVLKNDNPPL TRV_06930 MYLQYAPNATIATVPQIFATSSLLRAYNYFPGQPTGRFFPSIIS ANLPQSYVSTVCELNTIMGEDDNRPIHFPDSYFADFFYNETSYLEQYPVLNIPNTTAR TTISRRDIWEQNIQSRRGQIIWVDGGVEVASPIPGTTPAAIVVHPEPCRSVNGSAFLS VSACVLGARWTNTTVKYTRTKQYDEKAKLENELTPDSLVFTPDWRDTSQLINMPEEWT NTTVGVTRMFNLTRATDRLYHSPSFESVRIENTADKLLKSLPMTDIICPVNGTYSGNH RPVMHEAVLSTLVANAISYHHCIVEPSFLWSSGWRPAINDRDSSIPIEPPKTTFTFRY VTSGYAWTMHGTSIKLSIAILGLYCIFGLTYGAYSLISKRSSLAWASISGLVTLAMNS TPTKSLKNTGAGVGTTDIFRRLINVKEQGSSRKIELVFEKDVKEDQSRDNIEYRNIIA GRAYS TRV_06931 MGFIGDHDRFMAQAYENLNPGGWLEMQTTDPTLFSVDGGMERAK ILPSYIANLHRASAMFGKSMTEVDTWPSRMLPIGTWPKDPKLKEIGKFQQVQVTQAVG AYTPALYTRVLKWTREEVNDLCAKVRAELQDRSLHLYLKVHIVIGRKP TRV_06932 MRDLRRRALESNKTVSRKAQSRGVSTPNSRTPSAQSSRQSSRNV SRHPSDDEDDEDDDTRSVGTAWSNVSAEESGAGEDAESSRAPLQDVINELIDRKRSSV QGREDYLNAFVRMLTAHYQEEELGSKMDELLKVFAKSIRSETSEKETILALRATSLMA VTMLDDTIYPSMNSVVKRAAMDSSSFAVKAAAIRTLSACTIFGGAGDDSILEQMNFLM EIAMSDGAYVDAADDSDTVTAAIEEWGFLASHVEDLEVESEEAVEAFADQLESSETSV QVAAGENIALLYEKSYTPREEDESDDEDNYNATDDDRSSTPSDDEDDNGAKLIKRYDA YHNTHRIIKQVEALAHISGRHINKKDKRSLHTNFTSILNTVINPRRGPQYSNAIDFET NQSYGSRKTVKFHRNSYMRVDRWWKWIRLAGMRRILGGGFIDHYFEGNRAILETLPVS LDVGSSGPAYSGGKSKSSKRGAGRVKGADVLGTSH TRV_06933 MVFGLFQVQSLSLAHKGRQTLRQTPADPGLFSPGDRVIPADSLS LPLAVSISARSRAFRRGLLSLSNSLYIAVFGCTSPTLVGFLPPLICPWSKSSHTHSAT MADAFKARSLKRKNVKGLALNAAASKPGLKPSDGDAQIPGAIGNVDSNRTDTLEIGLE FKLDLRSEDLIVLKELGAGNGGTVSKVMHASTKVVMARKIIRVDAKEKVRKQILRELQ VGHDCNSAQIVTFYGAFQNEARDIVLCMEYMDCGSLDHISKNFGPVRVDVLGKITESI FAGLVYLYEAHRIMHRDIKPSNVLVNSRGSIKLCDFGVATETVNSIADTFVGTSTYMA PERIQGGAYSVRSDVWSAGLTVMELAVGRFPFDTSDTSAGDRASAGPMGILDLLQQIV HEPAPKLPKSDAFPKILDDFVAKCLLKKPEERPTPRQLYDHDAFILAAKRTPVNLREW AISMMEQHNRKSYLAPPAPKAITRDGGSGESPSSSGPHTPDETPRQATSGEIPLNIAG DITPRSRPQATYGIEHSPSMGFEKLSLNTNQGQNYSSGSVPRSSRSPYPHSATSTSQG PFAAPRPAPSPVYHTTRNGSQSAGLPHLAGPPPKGPLPIPPTSDSWRSQSQNRI TRV_06934 MSTHDLLQTEAVLDEEEDESFGEEGGQSKDVEENGHYDDSSEEE LDDEDEDAVRAVREGFIVDEEEEDEERTARRREKKKRRRQERIEEEELLDEDDLYLIR ENNPDFELPMHNESKFKRLKRGHKVGRDQPQGINDIFNSDEEDEVETYGRGRDRRNMH DDLDDFIEKDVFSDEDERQQEDEEIARPARKGLSGFAVTEATGLDEAALEDMRMAFGD GNDYLFALEMEDEEEEQEEDEEKQLDLKDVFEPSQLAEKMMTDEDNIIRSTDEPERYQ LARKPYQHVTLTEEQFREEAVWISNLMLLKKRLDSDLHEPFQRAVAKVLEFMVTDDWE VPFIFQHRKDYLIHAVKIPIPDGGTNPDGGKYIVEAEKLLSMIDLWDIFEYDLKFRAL IDKRNTLQTTYDNLQTISNVKDEMFEEMLPAAITMEELQDVQDYLYFQYSIELKDMAL ATANHEGENGTAAQRRKASTKTFFERVRNSRAYGLVRAFGITPDAFAQNVMETGRRQY IDDASEKPTDMADNLLDSSFSNGAHAMKAARTMFAEELTMSPRVRKVMRQAFYMSGVI ECYRTEKGLKKIDEHHPYYEFKYLRDQQISDIARRPELFLRMLKAEEEGLVDIKVHFK DFDNFKKRLYPEIQSDNSSEVADAWNKERKDVLDLALSKLEKIMSKGVKENIRSECEN HVARECREVFSTRLDQAPYKPKGMILGTIPRVLALTNGSGIVGKDPIYWTWVEEDGRV LEHGKFVDLTLGDPDRMIADGKDVEAFVELVERRKPDVIGVSGQSPETRKLYKQLSEL VQAKDLRGAFYTDERDEEVSDILEVVIVNDEVARLYQTSRRAEVDHPGFSLQSRYCVS LAKYLQNPMKEPGQQLIPQDKLLKQLETALVDMVNLVGVDINEAVSDVSTANLLTYVS GLGPRKASQLLKVINMNGGVVNSRMELLGVNAQYPAMGVKVWNNCASFLYIDYDNADP DTDYLDNTRVHPEDYDIGRKIAADALELDEEDIKAETDENGQGAIVRKLIKEDAQDKV NDLLLEEYAEQLENNLNQRKRATLETIRAELQQPYEELRKQFVFLGTDAIFTMFTGET AESLAQGMVVPITIKRVSDDHIDGKLDCGIDALVPESEMTDRYDIPVRSLYAPHQTTL AKITYLNRKSFVANVSLREDQVNKPYRRQLDHMRGEWDEDQEREDQEAMKEKTQTDQR ALRVIKHPLFRPFNGRQAEEFLAPQSRGDVVIRPSSKGHDHLAVTWKVADGVYQHIDV LELDKDNEFTVGRILKIGGKYSYTDLDELIVNHVKAMARKVDDMTVHEKYQSGTKEAT EGWLTTYTTANPKRSAYAFCLDTKHPGYFHLCFKAGLKAPLNSWPVKVIPQGYELQRT PYPDMRALCNGFKLLHANMLAAQQRRGR TRV_06935 MASVQPQRATEEEEKVKYPSAGAPPQPCRLILPYEAKEIVTPRM VLVPMTYDHAAPLVAMNAKLLDAWKNIPALHPMLKYLVHGIPDVSAQKGWMDSKRLQI PDSGELFFYFAVTLREETEEGAVKPGRIIGGIGMNQVLPIPNLGYSIDADLWGKGYGT EALKAFLEVWWAIPRRPAGEGEEEKPEKVYANVNKANIPSIRLLEKCGFNIYSERLMA DDSVICFLEKARS TRV_06936 MGLGGNLNLGGRVHPPPYHAQDSALANSLLSQQQINGQNPRFSY PYASQDDLHLLPPHAQQQLQQQQQLQQQQQQQQQFGQQYDGSIPLIDAGLVSDTGSKY GSPPEEIRLPMSPIGRTALDAPLPASFDSQGISHMARYGPVAASMPSKFGLDFAHSPS LSHRPNATPDVLRSLHDTAFGTDKKPLGLGSSPPVVSAEDNSGFRVMHSQRVPRQRIL SASVPRPTVLDDWEDNFTMEEDYLPTNLHDDVLTPQERMRRLSRTEHELSSSSKDPSG LGIGIGIGIGGSGKVGSPLASSPSRFGALFAKQRQRKEEEAQAALAQNGHVGSPLRES VIGSRSSANAIRPIGSLPGSGDISPFVASPRQSSMSMISEQLSSTSLHPSSARAPTSN PRLDRNISSPVSTSRIDEEQSDLVFSMEEEESNKRTSAIWGANKGTPPPTEEEKSANA KASTAGQDKKDGNGKSYLKMESLYGNRS TRV_06937 MVYHAVQETSSEATPEELAAMDDRITSLKEQLESVKVQEKTLKA ELAVLNSRVSSTELLSQIGQLELRKDTLNDQLAHLCKETATDRIVTEEESNRVQKDWA TWKKHASLRKLACRELWLKCTEVLPDNVKSREELWESFGMEGEL TRV_06938 MKTPTIFTGGALLAFLRLFLLGSHRIYLLSTSSSVVVDDNVDAD EVVEVVEVEVEVEKDVEEKTLRISSLGQQAAEFADRIRLRPGLL TRV_06939 MPEVPENMQDNLAHFELDASSSSSNLRPHHQQQQQHYPSRGSSM HNQGAPGFSAPGSPSYPPQHQAPPPQQQQQYGGGSGGGYDAPNFSPFPPLVNPPPNVP PTDEEREANLEQGRMAVLGSNDAEMQLAWAQDALSYVEVAMQNELRNQVAYPPRPQTP QIEHQLKTDAINIVSFLAEQLHPRAMFIQGMWLEFGKFGFRVDKKEAFRCYSRAAEGG YARAEYRMGMQFESSNEPLKAIKHYENGVRLGDSASYYRLGMMILLGQHGQRQDYVVG LDHIRYAAQTCDENAPQGAYVYGMLLARELPQVTIPDEYLAHDLVNARINIEKAAYHG FAKAQVKMGSAYELCQLDCDFNPALSIHYNALAARQGEPDAEMAISKWFLCGHEGVFE KNDELAFTYAKRAAQSGLSTAEFALGYFYEIGIYVPVDIKEARSWYAKAAANGNKDAS GRIESISRSKTLSRKDHEKVAIQRIKTQRTQQRFSQQSNHLQQGQPVQDTLEMPDPSR MSLNDPSRPMSAAPYPDGPPSGRYGPGRPGPGPNSHSYGPGPGDHRSSSAFGINPNLR AHSVGPPMGPHGYPPHGRPPMGPGPGPGGPGGPGMGPGPPKLDIGFSAPPDPSGADRR RKPQRPPGAPGPGPGPGPGPGPGGNRMPSAPPSGRPHGGPPSGRPGTAAGPGPGPGPG PGPAPQPPKQSATAPPAPGKGKGPKTFEEMGVPQGKSESDCVSRPYYLHYMLIVNHNT NKLARSLCDVIPYHYRSRANGEQKKGVGRMVFSISYAACRCKSRFATLFLLPLSSILV KLID TRV_06940 MERDFRHPYRPYDIQAQFMEALYGCIEDGGVGIFESPTGTGKSL SLICGSLAWLRDHKRSVFLEKADDDGDDGEPDWMVQHARRERTQEMLARRKELEERLA RVREAEERHRKKLELASRLTKKMRVVNEKVRPETKDEDFELDDYESGDEKAGRPQDDG NPLSSDTLALLEKLKGPAQRIELEEGDESVKIIYCSRTHSQLIQFAQEMRRVMPISSI PPDLDDNLKEEKQVNQQDEGEWIKHTPLASRKTLCINPSVRSLSSATAINERCLEIQR PNVAAEQKCPYLPTKDNELKTSQFQDHLLARVNDIEDLPPLGTKMGICPYYASRTAMR ASATEVITLPYPLLLQQSAREALNLSAKNSVIVIDEAHNLVDAIVNIHSVTVSLSQLR TALAQITTYARRFKSRLKGRNRVYIAQLIRLVGCMVSFLDGVGQNDRPDAELTVQDVL GGGKGKGGVDMINPHKLGVYLRESKLARKVDGYIEHTATQQANGGDGGGKKADGGMPV LFHVQSFLLPLMDPSDEGRLFYEKVGGDVQLKYLLLDPTSRFRELVEDARAVILAGGT MEPMDDYVNHLLSYVPREKIKTFTYGHVIPKDNLMAIPVDRGMDGTEFNFTFDQRRSE KMIISLGRTIARYCSVIPDGVVVFFPSYDYLATVLKVWSSNSGLLNSLSRLKPIFHEP QSTGSTNGSANANTNTDSLLSQYSASVDAGKGGLLLSVMGGKLSEGINFSDALGRGVI VVGLPFPNTRNAIWQAKLQHVEKKAYELADSSQPEDARRACGKAASRAYYENTCMRTV NQCIGRAIRHKGDYAAILMIDRRYQTERIQGKLPGWIRGSLLKNHQGDVPGQLQHFFQ SKP TRV_06941 MNFYSRGHVSAGYGRIGKHRKHPGGRGMAGGQHHHRTNLDKYHP GYFGKVGMRYFHKTQNQFWRPVINLDKLWSLVPSETRDAYISNKKPDTAPVLDLLPLG YSKVLGKGRLPEIPMVVRARYFSKEAERKIKEAGGVVELVA TRV_06942 MANPMVPSDSTPPPQISKKDIPVSGLICSVYGLEEIPSQATEVS CLYLLHPRSNTRESMEWAAIEAVAEWNRRLANKQVAPGEQNKGLVAVSFDQRNHGSRE VDKLCNESWRGGNPNHAQDMFAIFNGTTRDVSTLIDYMGCYLFPKSERKITNNLVLGA SLGGHAAWGCILHEPRITSATIIVGCPDYINLMTDRAERSKLATWTSSSPPGSHFLGS ESFPQPLVDTLKNWDPASFFLSHISEYPPKEPKRSGPIPDFTEEEKNILRPVVKRCLA GKRILVLSGGADKLVPYARGEPFLTWLKKAIGPEGWCAEGNISLEDIIFEGVGHQVTP PMRDEAVKFIGDCLAAGQDSSRSAVVRTSKM TRV_06943 MDDDGRKGVREGQTLNPKDITDGKGSRGTEPLATAEHAHTFTRG DVDNEASGQGHSYSRPPSESAADEDTAPKRGHFQFMSKLRALAPSTSRSAGPASPVAP THDRTMSDGAGPLSDLTEFRFPRPEDAGGGSDADADVESSSEAGFGVQVKKKKRKTKR PPLDLSHTTPSTPKSALSVANSINPYDDPQSANAPLTPPIHRSREGVSEDEGRDRLNR DNMWRRRSNWLQGSRGRSITGQRADGHASQDERRPTNLRRFTGFGGTSEHADGISGAW RRHKAERGSSLSAQKWKQIKAGFKLIGQRKKQENTVDHVKSAELLAELTSGVPAALLL ASMFQRDEHGSRRIPILLEQLKVHVTDSEFDSHSSGDRHLVFRIELEYGSGMTRMKWV IHRTLKDFANLHLKYKLQIGTQRYIQLRSHDSGNQLPRFPKSAFPYMRGVRGLESDME DEEDEAAEDTAADATSGNERSRKKKRRSSIALTRRRSSLASRLDAPANSDRAVDNGTA SKRETYPEKQRKKLESYLQKMIRFLIFRPDSNRLCKFLELSALGVRLAAEGSYHGKEG YLVIQSSKGLDFRKALNPSMVKSRHSPKWFLVRHSYIVCVDSPEEMHIYDVFLIDPYF QIQAQKVRLRDQNPKELKESAKHPQHHTLKIQNSERRMRLLARNDRQLRQFEDSIRLV LETTPWAKPNRFDSFAPVRPNCFAQWLVDGRDYMWVVSRAIAQAKDVIYIHDWWLSPE LYMRRPAAISQKWRLDRLLQRKAQEGVKILVIMYRNINSAIPIDSEYSKFSLLDLHPN VFVQRSPNQFRQNTFFWAHHEKICIVDHTLAFVGGIDLCFGRWDTPQHLITDDKLTGF EMTDAPKDADHCQLWPGKDYSNPRVLDFYDLDKPYEEMYDREVVPRMAWHDISMHVVG QPARDLTRHFVQRWNYILRQRKPTRPTPFLLPPPDFNSADLEALGLDGTCEIQIVRSS SMWSTGTPDVVECSIMNAYVKMIEKSDHFVYIENQFFISSCEIEGKKIENHIGDALVE RIIRAADNEEAWRAVILIPLMPGFQNTVDTEGGTSVRLIMQCQYRSICRGESSIFGRL RARGIEPEDYIQFFSLRSWGRIGPKKNLVTEQLYIHAKCMIVDDRVAIIGSANINERS MLGSRDSECAAVVRDTDLLESRMNGKPYLVGRFPHTLRMRLMREHLGFDVDEIVEEYT SAFETTNIDKTPGHLPDVASQHEHGPTDGENQAEKDDLERKQKVQEEFLARFEEMHSF NHDVDWEQAGNPNLKSNRKLTADARVTRNEDHRKDLEGHGVDQMKRNGEIVGTTGRDT VLKNSVEVLVTGTVKNNTAPSTMPCDNASDVAGSIANTKQPTAPGTPETHPRSVSTSS PRMTVQNRSAAANATDDHIQPFSLDATQPKNTSHFLLDEPRRPILDKDCMKDPLLDSF YLDTWQAIAENNTKLFRSVFRCMPDSEVKTWKDYKEYTAYAERFADMQNHCNASARSQ SFPRNGPSGANNGSPNGGKLGTELGSALEEVKEKFGTHHSSEKDKELHANLHQWAVEA NQAQLERQQQEQLHVDKSVPHADIHSYSVNNADARSSNSSRNAESSHTPVAPEKNVEV PRSSQSEAPAPSIGMSQRRRRRANTRSSRKEFHAVDDIMDMHEAAELLGSVQGHLVLW PYEWLEREEQGGNWLYTLDQISPLEI TRV_06944 MVSRLCQTRLIYRHLALTLVTGLFLGSGRSLAGAGPGGAVLCYL LMATVISSVISCLGEMTALMPVNAPVMEFPRRFVDRGVGFAVGWVYWFAYAVLAADQL VAVTNSVRFRYDDGKTFLHWETGENVHPAVWTSLFLFLVTMINMLPVKVWTTMIFYFT IVIPLLTARSNAYYKDPVGTKYWDQPFSFICRDYKVRGEDNTVQMEIKGTMVRTTIIN VIFSYVGMDIVAATAAESKSLADSESMKMAARKINLRVITLYTLAMLTASFLVPRNHP FLNGKAQSVGSSSVFLIAVVEAGLPAVAHFFNAMYVFSAFTCAINSMYVASRVLHTLA LREQTGPRWLTRRLQECHLGVPLRTVFATAGLMMIAYMGPTGGPERKYFCCNNLGYPH WSKLYTNSCISRLDEAKTLGNTSQAQSGCYERDHPRYPYKSHGQWLKGAYGLFSCLIL IIFNGVGAFLETPFNVRHFLAAYIGVPVFFLLVIGYKFKKHGFHFSRWGPERSNDLQN TVQVTSKTRKGRLEFPDDGFTAENGKTFVKWIWTWMK TRV_06945 MALATASTQFLHLANVFRLLSLRSDADDIILELLGRFSLDRIYA DSNREKFQQLVSTLLSQLNAVLYIQRLSLPSDKEQVEEYVGFLANWETISRSIEFVLQ VVLEGHENLFNVHQQLDVQLADLVSTALRVLSFHPKSLPDIKDQKHRFARIHRLLDKL HDRYPGPRPCLLLICRDMANALRMDPTSLPLPTKLMKEMPNLALDLVADRITKYPLHD CLSERYVSTIAEQEGPFNNWLTQFLALRDITQFVVGASIQYAVSEETEDFDLPASCAK TRDAILSSLDKIYMPNNYPKLELLSMFSEAFRTILPDTPPINGFRNLSGLLGDVNGVE AIKSFCSSLSNRQIIHRSSDGPLMHAIAEVNRRITLLDDPNETSEDMVPRVPRVYALN CKSCHLAGNTQLRVLEQLEFPVRFFRATLSVTLAREIPLIRETWELLNDVEYNADPPS ELRHFSPPYQLFPPKVRDVNKYTLRFDSGKTDRRSPTTATSISPVSPDTRHAFEDIHN QEHSLGSITEVVSPDAEISRQHLTNTSDHSAHNGSETHRKQPVKIADIHRTASQHSVS PPRLGTSTSGDASSHSSGSMENQRPEEICLKNLTSAAKSQGKGKVSKLMNVSLSQNST NALFWMPSTIQVWDVGVTPAAVTRIIPTEGSCLLAAVTKRYLAYIVGSKDQKLTLRIT NLSFPTAAPLEYQMPSSQWCKSIAICPRESHVAVGFENATVRFFKTTMFEPQREFRLH NRYHTPSECEKCPPVDTLSFSPDGETLIASTRNAKGVIQTFLRRASTFTFQELSNCHY PIPLHESEDGGISSVLYRPGVGGEDDLVCITTWTQSGTPLLLSPKTGHRVEIKADGSG HGRRLGTRVQCGAFSLTGKQLGMVNDKGHLYLVTNLNSSVMEARRLATTKELTARCSF FAMSFMVLQGDESIVLAWADVNKSVGYIRRIPIPFTVRIQPNPACFPW TRV_06946 MENQRVYNDVQKHYGLAARTSDDNAYSRKVAAAFGYSEEELANT PANANLGLSCGNPLALANLKEGECVIDLGSGAGFDVFLAAKAVGSTGKVIGVDMNKDM LERANKNKENAKAENVSFVDSQITAINLPDSVANCIISNCVVNLVPESEKQLVFNEMF RLLKPGGRVAISDILAKKPLPAEILNSVALYVGCIAGASQVQNYEKYLRKAGFDEVLI IDTKSDLNVYTTAKDDGQATSGCGTKSKPGCCSGGVVSAESAAEVANVDFNEWAGSFK IYAIKA TRV_06947 MAANPAAARVRENQRRSRARRKEYIQDLEARLQRYERHGVDVTI EVQTAARKVARQNVMLRSLLNSFGVTDAKIDEYLAYAEEHNNTPSSAPEKRVLAAAAA PATGPATAPETSPVTSPATLPATTVPAVVPAAVPAATVGQSSPAPVHASPAPSRCCRP KQEPRECPAPVVAERVPSAAPQACSAGPADSTKPQVDAANNNNPSSGCVSAPSSSKQW SEDTTPCEEAARIIASMRGDCDEQSVRAELGCGPNTSCMVNNMTIFQVMDQG TRV_06925 MDSQHHQQPLGGSRQPPQGQHPQHHQHHQHHQQQYHHQQGYYYP PLYQHHQQQPLEEEEEEEEEEQQQQQQQQEQPQEQQQEQQAPSGDDGRPASSDAPSPQ AADSSSDDGLDEAYADSTGEGEMMGDDTKTLSTYITRYRYENGRRYHSYRDGAYWGPN DEIANDQQDLAHHMYLLTLDGKLHLAPISNPQMYVPREWCVLTARRDIADEYPSAKVT GVDLSPIQPSFLPPNCTFEVDDVTLCPWTYPQNHFDFIHVREMFGCIPDWDEFFQQCY QCLKPGGYIEMVEHSVEPTSDDGSVGPSHFYTLWGKTVVEAGATFGKSFTIWKESKER LKRAGFVDVVEVEYKWPMNGLVLPLHPVLNVIIYILTTTNRWSKDPKLRELGRWNQVR LHGEAHHCFLAPPYPSSRSLSLSLSLTTPFPYPPADYSCRHGILISHSYFNFKKHIFI SHLLEAMGNSPLVDANSPPFPPPYIQYIYNYSFTLPPFRERPSYFLCFPHPPFLTPFS SMFASLFIIAYTLSRRTAISLDSNPGTGTEGVGSGAVIILECVHCTYLQDIFDIPFSF FSRFVALRFPPWSLPIDVIYITAAFSPLMSAIITLLLSNDNGNQLLFRPTPLLTLPWY ACNNPVCMAVEMQVLRPIIPSQQQPAMHYQ TRV_06926 MEDRNLPVVSGAFATVLIIGAAPLDLGTTGLALAQGFKKAGIAC TIFEKHKSLNALPRDWNMGLHWGAVALQGVLSCELWDQIETVHVDPSKPVPEKDVLKF INSSNGELMSEVHVSKFYRLRRSKLRSLLDDDLDIQYNKALKDITMSRDGRTATVHFE DGTSSTGQFVIAADGARSTARQLLLGKKRGAIRRLPYAATFVHARFTAEQALYLRSFH PLYIAGIHPSGYFSFFGMHDAEDPDRPETWTFFFYISWKYSLEEQDRTSSWSNKQRLD QVKSFAKDFCDPWKSAFEWIPDDHQVWYMGLTDFDPGSDGHYWNNHGGRVTLAGDAAH AMTYQRGQGLNHSVTDAAKLVNAVTDAVSGLSSQATSISAYEDEMIARAGTEVRLSTV NTEMLHDWERVLASPVLTSGMKHKH TRV_06927 MFDVQKSRLILRKPEGERKIAELLSCKKDDEEDEEDDDDDEEER RRGLGLGPGLWGLAFSFFPFGCVLYLVSLGSKGRLSLASLRLVDTLQTTANMSSKIGM RLFQNSRAAFQNATARFRAQALRARRFQSTDAGAAQQSFLQRSWNSPIGIKTVHFCLD ANQWIPLQWILVLAGLSDMARPAEKLSLTQNAALMATGAIWTRWCLIIKPRNVLLATV NFFVGCVGFTQVTRIFLYRRSQDGSAKEAVKDLAHEATDSAKAAVHKAEEAVKKH TRV_06928 MAYHQARPPPRRGPPPGPGGPQYYGGNRPPPPQQQQQQYYNYHQ QPDRWPPYGGPPGPGPERRQMSYDDGYSGGQQDPYARGSGGGGGRPMYDDGHHHMAHS GSRPPPPPPPAAAAAAAGPSQGYRGPPPPNGHHQPPPGPARPQNKPPPRHELKSCFDN PFPAFPPKKRGNSMDGLQKKMSAVELNNNKSQNHAEPQIARPHTSNSNRPPPSTYHSE PLPTMNPRQPPSGHGSQPVQRKAGAPVPLTVETNQPAPPRQDPRSAPPTRSATMPVTM SNPMSAAPTSAPTPPPPPPAAAAAAASGTQQKPIYPGKRTWQDPGISLSPPPLSPALQ HPHRLPDTHPVSSRPGTAAGVKPEVVHPKHAAADLEPHVADKVEGGVEDELNYLNEDL LNDYYDNAGPDTEPDMPNFGAMSDDNVSSPHAETLIPFEKPNPPMPSSSSSSSAGPKP AYAPYKPSGSMHSSPERHGPPNGIPGHLMHERSQSPAYGHQGYGGHPGNGYYGRPDAR AMTPVQRGGYGGGGRGGYPPQPPHQKYRQYGPPPHENGGGRGPYDGRQAYPPQDMGYG DDQYGGYGGPGPDNHPHHAPPAPFRPGLDQGPKPAPVRQYTSTPQPAPTSQPGQGAAA SYGGDTRRQSQPITLGELHAIQQAARSSPGDHAKQLVLVKKLVEASVHLLDDNGRADP KTKAKNRERYVMDAYKTAKKLVSAGYPPAMFYMADCYGSGQLGLEINPKEAFNLYQSA AKMGHAESAYRVAVCCEMGQEGGGGTRRDPMKAVQWYRRAAALGDPPAMYKMGMILLK GLLGQPKNPREALSWLKRAAERADEDNPHALHELALLYENPQGIDSIIRDENYARELL HQAGELGYKFSQHRLGAAYEYGLVGCPVDPRQSIYWYTQAAAQGEHQSELSLSGWYLT GAEGILQQSDTEAYLWARKAAMAGLAKAEYAMGYFTEVGIGVPANLDDAKRWYWKASS QNFMKARERLEDLRRGGARMQKTRVSRSAVNKNEGDCIVM TRV_06922 MFGPSTGPQTGINTPRSSQSLRPLNLSHGSLEFSFLVATSLHFH ASQLKDSFAASLPEPTDELAQDDEPSSVPDLVARYLGFIAHEVEEGEDDSLGSYIEVL KLVLNEFERAFMRGNDVHAVAGSIPGIPAKKLLMVENYYAARAAVNRPTKPHDSALLR AAMEGNAKLYSIYGGQGNIEEYFDELREIYNTYPSFVEDLISSSAELLQSLARDLDAI KLYSKGMDILRWLHDRDTQPDTDYLVSAPVSLPLIGLVQLAHFMATCKAMGKEPGDVL DTFSGTTGHSQGIITAAAIATVTTWESFAKAARAALTMLFWIGLRSQQAYPRTSLAPS LLQDSIENGEGIPTPMLSIRDLHRSAVQEHIDATNQHLPEDRHIAISLVNSPRNFVVT GPPISLYGLNLRLRKVKAATGLDQNRVPFTQRKIRFVNRFLPITAPFHSQYLASAFEA IAEDVEDIKIPARSLRIPVYDTNTGKDISKLGDEDIVPALIRMITSDPVDWEKATVFS KATHIVDFGPGGISGLGVLTNRNKDGTGVRVILGGLIDGTNAEVGYKPELFDRDEDHA VKYAVDWVEVYGPRLVKTSVGQTFVDTKMSRLLGVPPIMVAGMTPTTVPWDFVAATMN AGYQIELGGGGYYNAKSMAAALTKIEKAIPPGRGITVNLIYVNPRAMAWQIPLLAKLR ADGVPIEGLVIGAGVPSIEVANEYIETLGIKHIGFKPGSLDAIQQVINIAKANPSFPV ILQWTGGRGGGHHSFEDFHQPVLQMYSRIRKCDNIVLVAGSGFGGSTDTYPYLTGTWS RQFGYPPMPFDGCLFGSRMMVAKEAHTSKAAKEAIVAAPGVDDAKWEDTYKGAAGGVI TVRSEMGEPIHKLATRGVLFWAEMDQKIFSLDKAKRLPALKKHREYIIKKLNDDFQKV WFGRNSKGVTVDLEDMTYAEVVHRMVELMYVKHEARWIDDSLKRFTGDFLRRVEERFA TEKNRESRLQSYSQLDTPYPTVQEILDAYPASADQLINAQDVQHFLQLCQRRGQKPVP FVPSLDENFEFWFKKDSLWQSEDIEAVVGQDVGRVCILQGPMAAKYSTVIDEPIKSIL DGIHQDHIKGLIQDVYNGDESKVPTVEYFGGRLALVDDEDRDIDGLTISEDATRVSYR LSSSPSANLPELDRWLHLLAGNIYSWRYALFTTEVFIQGQRFQTNPIKRILAPTRGMF VEITNPNDSLKTVISMREPCQSGKLVKTVEIRLVEKNRIALTLFEGRTAESGVVPLPF YFTYHPETGYAPIREVMEGRNDRIKEFYYRVWFGERTVPFDTPAAAIFDGGRTTVTAQ AIADFVHAVGNTGEAFVERQGKETFAPMDFAIVAGWKAITKPIFPRTIDGDLLKLVHL SNGFRMVPGAEPLKVGDILDTTAQINAIVNQDSGKMVEVCGTIKRDGEPIMHVTSQFL YRGNYTDYETTFLRKDEVPMQVHLATSKDVAVLQSKEWFRLDEGNVELLGQTLTFRLQ SLVRYKDKTVFQNMQTTGQVLVELPTKEIIQVGSVDYDAGVSHGNPVIDYLQRHGSAI EQPVNFENPIPLSKSPLVLKAPASNETYARVSGDYNPIHVSRVFSSYANLPGTITHGM YTSAAVRSLVETWAAENNIGRVRSFHASLVGMVLPNDDLVVKLQHVGMIAGRKIIQVE ASNQNTEEKVLIGEAEVEQPVSSYVFTGQGSQEQGMGMDLYATSPVAKEVWDRADRHF MENYGFSIIDIVKNNPQELTVHFGGPRGKNIRQNYMSMTFETVNADGSIKSEKIFKEV DEKTSSYTYKSPTGLLSATQFTQPALTLMEKASFEDMRSKGLVQRDSSFAGHSLGEYS ALAALAEVMPIESLVSVVFYRGLTMQVAVERDEQGRSNYSMCAVNPSRISQTFNEQAL QYVVENVSETTGWLLEIVNYNVANMQYVCAGDVSLPKKGYNHFKTDILISLQLRALDS LTNVLNVLKAQKIDIPALMQTMSLEDVKSHLVQIIDECVKQTNAKPQPIVLERGFATI PLKGIDVPFHSTFLRSGVKPFRSFLLKKINKTTIDPSKLIGKYIPNVTARPFELTREY FEDVYRLTNSPRIGNILANWDKYEEPQDTPAESTDSP TRV_06923 MKPEVEQELAHTLLVELLAYQFASPVRWIETQDVILAEKRTERI VEIGPADTLGGMARRTLASKYEAYDAATSVQRQILCYNKDAKEIYYDVDPVEDEPAPA PEAAATGAASAPAAPAPGPAAAAPAPAAAPAPSAGPAAAVEDAPITAVEIVRSLIAQK LKKGLADVPPSKAIKDLVGGKSTLQNEILGDLGKEFGSTPERPEDTPLDELSSSLQAT FNGQLGKQSSSLIARLVSSKMPGGFNITAVRKYLESRWGLGPGRQDGVLLFALTMEPA SRIGSETDAKAYFDDITNKYATNAGITLSTAKAGGDSGGGSGGMMMDPAAIDALTKDQ RALFKQQLEAIARYLKMDLRAGDKAFVASQESQATLQAQLDLWQIEHGEVYASGIEPS FDPLKARVYDSSWNWARQDALSMYYDIIFGRLRVVDREIVSRCIHIMNRSNPLLLDFM QYHIDNCPTERGETYKLAKELGCMLIENCKDVLNADPVYKDVAVPTGPQTTVDARGNI KYQEATRPSARKLEDYVRAMAQGGPISQYSGRTKVQNDLKNVYKMIQKQHKLSKASQL QFNSLYKEVLRTLAMNERQIMPRQNGHTRKGTPANGSLSPPATSGKVETIPFLHLKRK TTHGWEYSKKLTGIYLDGLESAARSGLTFSGKNILMTGAGAGSIGAAVLQGMISGGAK VVVTTSRFSREVTEYYQTMYTRYGARGSQLVVVPFNQGSKQDVEALIQYIYDPKNGLG WDLDHIVPFAAISENGREIDSIDSKSELAHRLMLTNILRMIGAVKSQKHERGFATRPA QVVLPMSPNHGTFGNDGLYSESKLGLETLFNRWYSENWSDYLTICGAVIGWTRSTGLM NANDTIAEGVEKLGVRTFSQQEMAFNLLGLMAPPIVDLCQSNPVFADLNGGLQFIPDL NGLMKKLRSEIVETSAVRQAVMKETALENKIVNGEDSEALYKKVITEPRANLKFEFPS LPDWKTEVEPLNGDLKDMVNLDKVVVITGFAEIGPWGNSRTRWEMEAYGKFSLEGCIE MAWMMGLIKNHNGPLKGKPYSGWVDTKTGEPVSDKDVKAKYEKYILEHSGIRIVEPEL FGGYDPNNKHLLQEVVLQEDLDTFEASKETAEEFKREHGDKVEIFEIKDSGEYTVRLL KGATLLIPKALKFDRFVAGQIPTGWDPKHYGIPDDIISQVDPVTLYVLVCTVETLLAS GVTDPYEFYKYVHISEVGNCIGSGIGGAQALRGMYKDRYLDKPLQKDILQESFINTMS AWVNMLLLSSTGPIKTPVGACATAVESVDIGYETIVEGKARVCFVGGFDDFQEEGSYE FANMGATSNAESELAHGRTPKEMSRPTTTTRSGFMESQGCGMQLIMSAQLALDMGVPI YGIVALTTTATDKIGRSVPAPGKGVLTTARENPGKFPSPLLDIKYRRRQLDMRRRQIR AWRESEILGLQDEIDTLKAQSDETFNAAEYRQERFEHIEREAIRQEKDAAFSLGNNFW KQDSRIAPLRGALATWGLTIDDVDVASFHGTSTVANDKNECDVLCQQMKHLGRKKGNA LLGIFQKYLTGHPKGAAGGFMINGCLQVLNTGLVPGNRNADNIDKVMEKFDYIVYPSR SLQTDGIKAFSVTSFGFGQKGTQAIGIHPKYLYATLDEARYVSYKAKVEARQKRAYRY FHNGMINNTLFVAKDKSPYEDNQESSVYLNPDVRVEYNDKESKLQYPVHSKPKAPTPV SKTESTKKMVETLAKATGTGNTKIGVDVEDIESFNISNETFIDRNFTQREKEYCSQAG SARASFAGRWSAKEAVFKSLGVRGKGSGAPLKEIEIIHDDNGAPVVVLHGDAAAAAKE AGVKEVHVSITHSDAQAIAIAQSHF TRV_06924 MASAEEMPKLGTILSPPKQGQLIKHGSTVYKTIQEGLAYILVDQ KDAEPAKPPPLTQNQKTTEGDRPSVFYNPIQQFNRDLSVLAIRAYGEHATAIKQKKRK LKLKGRKTPTKKAKADEGNETEKPIETDAPSVGDQVKAESVGAGVNKRRREDEETPAE NTPLKKTCTETRSNGEDMAGPVGEEIAMQAKDVIVTEHTEKVAENAAPKPWTPSFTIL DALSASGLRALRYAKEIPFATRVVGNDLSAAAIESMKLNVQHNGLEDRVHPNKGDACA YMYTVGRAQGSPNEPGGIPKFDVVDLDPYGTAAPFLDAAVQSVADGGLLCVTCTDAGV FAGAGYPEKTFALYGGIPIRGSHSHEGGLRLILHAIGTAAGKYGLAIEPMLSLSIDFY ARVFVRIHKAPIEVKFAASKTMISFNCDHGCGSWKTQRLAERKPQTGKDGKTFYSYGL AQGPTAAPNCEHCGFKTHVAGPMWAGPLHNPVFIQRILDLTAGADRDTYQTCPRIEGM LTTALEEDLDLGGSAKEPTPEAEPVQPNTNSQETSLLIPRVDPALIEPYPFFFIVSNL ARVLRTSTMPEIQFHGALRSLGYKSTRSHAKPNSIRTNAPWEVVWEIMREWVRLKSPI KPGALSDSTAGAGILRRNRHTLNPIPGEVPGLKALKRDLLTAVDSGRSIADITMMVQA ALYRSGVHQSIEIVDRHTLEGMEKNESNNEVQSNDSSTRAGSPSTCQDPSTLNVVFDG ELGKKALNSHRRKRLKRYQANPRPNWGPIARAHVTNTTKDTK TRV_06919 PAGRERKSNERDRKSSLQRTVEGVQKSPLVLLFPQLFILRLGEG FFLIYNHLLVPSLWTLFPPLSAFSYNTHTFTQKRKKP TRV_06920 MGYRRSSMPASPTFAQPPDHQHPPFMAPLPGACHPPYVQFPDSV GYHVPLVFPGENAFFVPHPAFYPPSFDSYQFGQPRYMTNNCIQAYPGFFPHRHSLSGP SVGVASGMNHEYCTPISRNIFIHNLSHETTPQLLKEYLRTSGIIERCDVFDRKIGGST RTCAIVVFRNKEEAKRAIALFDNSMFRGSRIRVRFDRERGGSLGTAHGNGNGYGHGYN NSPSRNGVVKHAMSTAAASERPGAVPTASAPGETARQGANGMPQSTMATTPGTPTKEA QQSATESPSPSLVAVTPTSTADEAETKSEKSSSSSSRKNSTEPLVVNGSCVGTKSPVT GVEKGESMPTSPHTIHDETGLICVI TRV_06921 LTIPLLSAAPWSQHPRLNNIRISSSSSSPSSSFLSFFTFSLQFF DLAD TRV_06914 MDGRDAGSDRNGARQPSSLLSTPSAPHSPSPSPSLPSKSLSSKS YDGQVDGSSKQLMSSPAHTPSRNPSLCTAMQLLSLNHKPSLDTFKPNAQPQLSTPSKI PTPARPLISSHTASSIPPSPFMLKKTRKPRSPRKVKRFLTIDTEVQAWDQDEKEQRIS DNMTHVRKMVEEMVKQTAAMTETTDLYKSRTQMLEENQTKLLHQNADLRVELETTKNS LTNAELKLKDLCREQEIALSELATQHRNQSESIRQDAQAEIAALMQQHRAELAETRRR FEAELEVERQLRAQELEQVAAQSSLDKQRDQLDLNNKDREIQDLLTQQQRLQDDLARE HALNKELQQSSIVNANNTITLESSIRALKARIEFLESGSKEQSDAFAKLDEELRVALE ETNATKAQLRKEETLRRRLHNQIQELKGNIRVFCRVRPVLSSDSSENTAKISFPDQDM DCREIAVQGPEEKSSLGLISAKKHSFTYDHVFGPRSQNAEVFEEISQLVQSALDGYNV CIFCYGQTGSGKTHTMSSEDGMIPRAVRQIYDTAHGLEEKGWQYTMEGSFVEVYNENI NDLLGKAEEFDKKKHEIRHDLQKCQTTVTNVTTVNLDSPEKVESILQRAWANRSVAAT KANERSSRSHSVFILRLVGDNSITGEHSEGNLNLVDLAGSERLSHSGSTGERLKETQN INKSLSCLGDVISALGQGKEGAHIPYRNSK TRV_06915 MVSQVNPEYLSVNWETAGGGAFAVIPLREKGRLPERIPLFRGHT SVVLDTDWSAILTMAVFLWRVPEGFTLYTDAEEIQDIAPVGRLPGHPKKVGHVLFNPA AENVLASSSGDFTVKIWDIEAGASKLTLNVNEVIQSMSWSANGSLLVTTSRDKKLRIW DVRQEKPVHEGAGHPGAKNSRAVWMGEHDRIATTGFSKMSDRQMALWDVRAAREPING FKVLDSISGVCMPFWDQGTQCLYLAGKGDGNIRYFEYQNDKFEFLAEYKSGDPQRGVA FMPKRGVNMHENEVVRAYKTVNDSYIEPISFIVPRRAEVFQDDIYPPTTGISPAMSSS EWFAGKEALPPKIDMAKLYEGGVMKELPADAVASTNQPEVKAPEPAKQAEPAPRPTPE PAPAPAATVITPRAGMKEQGASMAAAASKYMDNDEDEEEETGGSSSFDAAKSQPQKVP SISVSPAQAEASQPSKEEPKESKPTPKPTATATSAPASKGTDSISSESSLVKEIEAIK EVLTEQTRTITSQAEELKALKSEVASLKSKLG TRV_06916 MRRLPLDAELCKPNKALRTTLKAFLRTEEKKREKNKPVKEPTPP APAVSGAPETDPVPIPAEPEQAQTQATDGLAADSADPKPTSLTTAAPNGQDVVNTSQQ LTSGGENTESQAENHTKNDNDAYPNAANETLTTEMATTEPETAPTTSSAGTESGTVAL PDNTTLPEGGYTNGFAQMGWNGTGDFNQGMPFMANGMMIAPNPMGAPGMFGMGMDPMT AAQGMFTGYGMNMNGMNSNMGMMMNSGAGQGMYGSWDGQSNMWNGGPDNFNGIAFPNR MGADFGPAPGVGGYNMIQSQSQPHQKFPHLHQQQKFPSNDFQNSCGPYGRGGPVAGRG GRGFGPVGRGRGYQPANGYQGNPLPPNMGGFQRYNQPQFQQPQQPQQQQLPNSASGQV DDGFNPSGEEDMKEHQPKEESAETPAQKQPAGGDAVDGAASSTADQTANQSTEQSTNT TTTATANGTSDTPSSTAAAHTNPIPSYSSTPSFGGGPGGGRYHGNGPGFQSTPYVNGM PNGVPSGPMASNTPMAMGGLRASGLGPGPGVAGAPAAPRAMREGLPNTSTRNMRNFAQ SHSRTVSMSQPSVKESRRSRQRRYRSPSAGPTQSEDERRRERRSKRARRDDNDREADV NDDKRPTSRSRSLSPGDTKSSSYRRRDRERDRDRDDSSSKRSRRRRSRSPSRRDGRAV DASDKSDPGLSIKGSSSNRRDKHRSREDVDGVGEDRHRQRDKGRDRHRDRERERERDR DRDRERDRERDRDRDRDRRDRKRSRRDYSESRDDEEYNDRRSRRPRHEDNNEQAGKAQ ETKATDKSRPSGHASKNGATVEKDPHTLEREARNRERLLKEQQRREAVNADRDGKGGG RRRDGNSKLDRNLLGRKLSYKYEDELGDHEREREAVRWS TRV_06917 MTDQDNSYRPRSPDFSGFAGSVPPFHDYGHHSSYAAAFTTPISP QASYDASPFFNPQAHHQHQAPHQQYHQQYSHLQQQQQQQQQPHHQPHPHSHQQPYIPQ LYSPTDEMAPTTRSRAQAMQQPQHMLEQLQQQQQYQSQILQQQQQQQQQQQQPLPPPP QQQNMVEQSPMEHPEAEQAKEKSLGPSCGIEVKTKFPVARIKRIMQADEDVGKVAQVT PIAVSKALELFMISLVTKGAQVARDRSSKRITANHLKEAISKDEVLDFLADIISKVPD APSGKKHDEDGSDGNDKPKKKGSRRKREDMDDD TRV_06918 MDQLVYLIDPDGEVDTVLTNPDAPVAIFDENQFEQEVPQPAVEN TREDSRRPREKHRRKRKRSSSPSEPTPAVQQTTKAVRFRVSSKHLALASPVFKSILST SWPESATITEKGSVVVSADGWDVKAFKLFLRILHCQHNLIPRKVSIEMLAKIALITDY YECKFVLAFFSEAWIRALADKKPSRYSRDMILWIWICWYFRLAKEFQRTTLITIVQSM GPIPSLGLPIPARIIDKLNESREWLIGNILKMLYERREILLNDFESRFGDVGNDVMGL NLDMFV TRV_06887 MAAAIGQTISVIDKSGKVVSTSKHLFSVFKEARSAYKERKAVIR SAEEAKRAERDTRRALAAYTLEDDRSTTSKRHLGRAKSVVRHHDDDRQSRHHSHHSSR SQKRRSFEFEVRSEYPDGYHPNSQLARRHTEHNLSMAKPVRPSYGEARAHTLPKVDMD LAYGEFHQSALDRLDPEPSDMPGLVGRVKDLLVEADCAHHSVTATIAHLQSNPDAMAA VALTLAEISKLVSDLGPTALATLRASAPAVFALLASPHFMIAAGVGIGVTVVMFGGYK IIKQISSKPEGMEERQLEAPVMVDELLEINSGMSRIEGWRRGVAESEAESCGTSVDGE FITPTAAAMSRVMLNEQHAYDNDRRSEVRSSRILPAPTRVSSYVGGSVYETGSQYSRR SHSSRHSKSSKTSRSHSESKHKKDELKEKERKKKKKPSPLRKLFS TRV_06888 MDDIDLNGIDTGFTKLSTAETTGMNAQDSDSSPTTESRTASGVA HRLQASRSVLSLAIGKDCVFAGLQGGDIVVSTTAMAGTLPLMYEPTNLFLARSSANKS QWCDLQQSDIPQAVGNKANKPHKFFDSMGPGATEPISTACKNGIESQGQKLYFRKDQH RLFAHNGYVYCMRLVRGLVETSGGEVLLTGSGDGSVKIWELGHGPGVAPTELFTLQNR DESVLSISVDGTFLYCGLSGGAINVWNLESRQIIKTISSHTGDVWAIDIIKGFILSGD SDGVVKKFNSRFEELGTWTAHDGKMLTSTSGNVKNRWIFATGGNDNTVALWDLTDEKA DSQEIPAISNDEMVNTLAKFIAFKTISGCSTFAGECAQGAIFLRRHCKYLGAQTKLLG TGQKKNPIVFAKFPANAPVKKDKSILFYGHYDVVGAGASHPKWNTDPFHLTSLNGYLY GRGVSDNKGPSLSALYAAAELYQRKELSHNVVFLIEGEEESGSQGFGQAIRENKTLIG PIDWILLANSYWLDDHIPCLTYGLRGVVHANIVVSSNQPDLHSGIDGSSLLDEPLKDL TLLLGTIVGPKGAINLPGFHDPVLSLTPTEKARYDAIAEALLPHHPEIKNFESFTESL MHRWREPSLTIHCIDIPSCKKSTTTISRKAKASLSIRIVPNQESSKVAEDLINYAQAQ FSELNSQNTLTVEITGTADPWLGDPDNELFEALSHAVTLTWTKQGNGSQEYNYPPLNK PQLRSRPAPIHTDSIDAAHVVTSSSSPKSPAATQKQYIPTSSTLVDQSTTSGSNGIKP TSTCESQGSAQEKRSHSISGQADNNARNLIKPIYIREGGSIPTIRLLEKEFGAPAAHL PCGQASDNAHLDNERLRIQNLYNSREIFKSMFSGN TRV_06889 MAVFTLGAYAFLGFIAAIFLNVVYQLLFRLLNKSRPPLVFHWIP FLGNTISYGMDPYKFFFSCQKKHGDIFTFVLLGRHTTVYLGIKGNEFILNGKLKDVNA EEVYSPLTTPVFGSDVVYDCPNSKLMEQKKFIKYGLSSAALEAHVPLIEKEVLDYLNT SPNFHGKSGDVDISSAMAEITIFTAGITLQGQEVRSKLTTEFAALYHDLDKGFTPINF MLPWAPLPHNKKRDAAHSRMRAIYTDIIEKRRREGAKSNNTQTDMIHNLMQCTYKNGQ PLPDKEIAHMMITLLMAGQHSSSSISSWIMLRLASQPDIVEELYREQLANLPHTGPNG CLAPLQYKDLDNLPLHQNVIRETLRLNSSIHSLMRKVKNPMPVPGTPYVVPTSHVLLA SPGVTAQSDEHFPNAMKWDPYRWDAQKPKTDEKENDMVDYGYGVMSKGSNSPYLPFGA GRHRCIGEKFAYVNLTVIVATVLGGEEWCTCYRLLITVFWTNETRADSLGTTS TRV_06890 MTSDGFHTMNGLGIDDGEGKGEISPDTMVGSSLLNGGKQRSEEA DELEYEEQSAASPEPVLAALVQGKQEDKARDKYVGISSSEVNTVAAVEVGDNVDGRQL PSPAPTPEPESQITIWAENATDEDPTALKRPHGQIPRPAEADVTLKDRSYTSSHPSKS NKQSAGTDATKERDKVQKLSPAKLQDLTSSPEFACLRVTDDADTDIEVDAEKSQVQQQ IPPSKPVLDLASVEVETGPELEPSTAPLISLKEKDEVVGVYDVDMGTVSGSSGALKDR NRSRARTRRSSTGKKQVHLQNPLEFHGRRVNSDPSQPLPTSFRPRDKASSVSGPVPVA GRERLYDNGSGNRSRRMPSPLKLDAGSRSTRSSGVNRSVSPVPASIPIPPFSIPTYLQ LELSSHRPSSLYIHRSGAHDFPYESSRVMLERLQNFFLLPPLLEQALCFGTLACLDSW LHTFTLLPLQFLKAVYILLQSWMINLGLEIRFVTSYIVSGLGRMWKRRRRRDSVRETT HEKPINIKDSSPKLIPIDLSPIDGSPALDPASGSEARRRRGSTTRRHRRTKSIPSTLL ENDKADILKGLLMIFTCTILMYFDASRMYHWIRGQAAIKLYVIYNVLEVGDRLLSAIG QDVLECLFSQEALERRPDGRSKVVRPFWMFIFALIYTVIHATALFYQVMTLNVAVNSY SNALITLLLSNQFVEIKSTVFKRFEKENLFQLTCADVVERFQLWLMLIIIASRNFVET GGFKLGNALTSQSSATTTTNSTTAFRPSTSILPQSFTLLIPSSVFSSLSSVNSILPAI GHLLAPFLVVLGSEMVVDWLKHAYISKFNNLKPAMYGRFLDILAKDYYGSAFSDPNLN RRLGLPVIPLACLFFRVSVQTYQMFLTAWLPQLSSSPFYPPPSNATSLTEIHSHYAPI SGSSASLSSILPDFSNASASTILSSISLSTIAQAASSIFQTLVSYATPSPESFVPIFT VILVLLLYITLLLGKLVLGMILLGYSRTRYKNMKYREREYAAQQKREQRRQQQQQYMK STSPLPHVPSLPAQTIESSSFNSASNSNSDMIEGGRRGGGWGAVEVGEDKRRWIYVDD PEGLRRLRDREAKSRSDNPGGSGSGAHGLENVKRYEMAAKRIW TRV_06891 MILYFNVIISLAVYALDTFTAVNLLAFSRWSSQIKPAIPLDISK WIFAGCIIFSLVLLVYRWLRAIRVIKSGGIAQCYLDPIAVKVQSIRTSKRASGWRRFL VFAELTKSRKGADYVAIFTYFNFEAWLRVIFAQGPRQVINGITLYSVAQLNLIPIGEH AAPEGTSAISQFFINIEALADKDRLQALILFSMLFTLVIWAFEFLSFCVSVLLYLLFL WHHIPSEDGTLSVYCRRKINRRLERIVKKKVDKALTNAVVLQDRKRTNTDIETGVASI KRNPTLPTLPSVMDLKQPQQPKLPEMPPLSRQTTVTTLPPYRPHTSNTDRPPPLPEAD WDAHSHEHDQYDNDYNDHDHDQHGDHVMASSNSMHRTQYSDDASASLVNNAGGIGYSP PSSQYNYRPPHPQQQRDPMQREFTKTPMLPPVERYGTPLSVSTAPVFNAQGLGQGRRT PGPMTPGPRTPGPMMPGPGPRTPGPLTPGPGSMGRQTPSSHHHQQHQQQTWDAPEVPG LPVQSPQPTLPSISRPGTAFAPPPPVSTPAPSFSRDRERERYGPYDGPQMSSVPQIPS APTPTPSAPPTHGNGAPYRNFARPSLAPYSAPGGQDIPPRSETAPLPSQGQYSHSQVG YSQTGSQGHGQQPDLRQEPQLPQIQPPNGTQNGSYPSFNP TRV_06892 MLCPLANWIAEEIPAMVWRLIYPSPVMVRSQGAKASCETPDQTR PSQKVIEIYLYPHKKSHPFNMRFSVLLTGLAAAGSIATAERTCGAVPPRAYEKEFTEA LNSLSPEAASADLTAGITIDTYLHVLTSGQTGNIPDSQLQAQINAMNQHYSQAGVQFR LVKATRTDNANWASGRDEAGMKKALHMGTYSSLNIYFIPNLSSGLLGICYFPRANPSQ TTIIMDGCMVRSGTVPGGETTNYNQGKTATHEVGHFLGLYHVFSENGSCVDADMVADT PAQSKKTSGCPSSQDSCPGGGVDSIHNYMDYSYDVCMNQFTPGQANRIAQSWRAFRAG H TRV_06893 MGASQRRGPRGVEQPAASGSTSTNFTDGASDEFEFGGSLGAMGV MLGSPLIMWYMWIGATYYDGAFPWPAAGQSWTDFTLHLAHLVYEGGYPTAKAWAIYWV FFVAEALMYCYMPGVSSHGRPLRHEGGRRLPYYCSAYCSFYATLAIAAVLHVTGLFPL YTLIDEFGSIMTVAILSGFINSVIVYVQALVRGRTHRLSGYPVYDFFMGAELNPRIGI LDFKMFYEVRIPWFILFLITCSVATRQYETYGYVAPEVIFLAVAHYLYANACAKAEQM IITSWDMYFEKLGWMLTFWNMAGVPFTYCHCALYMAKNHPSEYRWNPIALSALGVVYL FMYWMWDSANGQKNAFRHKERGQLIKRNTFPQVPWQAIENPKTIKTDVGDHLLIDGWF GIIRKPNYVPDMFFSFTWGLLTGFKTMANEYFRSPFPWFYFVFFMIMIIHRTQRDIDR CRRKYGEAWKQYEKAVPYIFIPVSI TRV_06894 MQGLLKGIIIALAAWANSSAARSIQQQQPSTSDVTPVNICPRAM DNWIDDIKTELVPKLSPEAKVYLPGSGDFDTATARWSALGAPAVNAAISAAVAQDVVE TVKFANRKGVKFLAYNSAHGALTSLGKVDSGIEIFMKQLNSVEIAQDGKSVKVGGGIN SKYLVDTLWDAKKQAALGGGHGWLQGHHGLIADQFLSMDVVLADGTLKTIDSNSDLFW AMNGAGHNFGIVTSVTLKIYDIEHTDWAIETLTFSGDKVVPIYTAANNLLKNQPEGVI HWSYWLNNPSADANNPVIIVYLIQEGVKQVDPAITGPFHDIGALSVQPDSGTYKDLGR WTGIALDSPPCQKTGASNPRFPIYLESFNVPAMQQAYKLYASQANVSGPFHNSISMFE GYSTQGVKAVDPKSAAYAFRSDNFLAAPLISYESTGSDLDQKAIDLGQQLRQILLKGS GRSELHTYVNYAFGTETPQQWYGYEQWRQDKLKALKQKYDPEGKFSFYAPIV TRV_06895 MLPTSPAEVAAAQEAGRKATIEIWTLFAIGFTATMLRIYARIHA VGVRNLRPDDFLVLVALVLYAAQSTLGYHVGTKAHGLANNFKLDSERAALPVNSIEYE FRVTGSKIQLAGWTTYTWLISMLKFSMLAFYIRLTEGVGGTYRMQIFIGFGLVAGTCM ASLLTIFLSCRPLHKYWQIYPNPGNACQPAVSKPVVWVSFAANIITDIYLILIPLPML WRSTLRTIKKIAASIVLGAGIFVLVCACLKSIFVLVNPVHGAQLAGAWGVREAFVAVM VTNLPMIFPLIRAMLSPWFSKLFSTQKKSSYKTPGLQTIGGTPHGGNSSYNNNNNNRS KGRRQPQSDITTSFTLNGSDERIMHDDVKMQDLKTFNSIDNEPQEPLPARGIMISNSV EIMHEDRGSLHDEEQQRVENVREVW TRV_06896 MKWCWARLPGGVVTWEAYELFKVGENGNANGGLSEDAQFARDAF STFIPLSVDSDARTKIIFAFFDLMAAIAAHGKSNGLGGRKLSRYAGWWAFEHADTGKG FEASYKTWNSAADATSHLFFAYLRSQSPDSLRGTNGISTLPLSLQNLVRATEYPPETP SLLQTSTTKVVMLVDVVSPTPFALLRRAKNFEYRASDHALQQFASYEDPQDALTEECK RVLCCIATTNQSEVSTVKASTSLQDASWSRFEDIGFGGAIEDDLDDSSKKASSTPMGL RSAPESRTADFGRPTTPSWADFLSSGFSEENGVKSPTTLLLPPDKILPPLQAVRGQSS QSHKRGFDDGSMLDPGELASISTLDLDDSFWWVWVSSLSGEESAVRKAVFGRCALLET VIPGGKWLILEEQIKGAAPEPEAGAYIAEKKTFFGFKTRKGRLGRSKTSIKKGGLIGE QYNNNSGTGPSSKASIGPDQHARIQAAAAALQRKRREQELEEANKERAKTELNQTSKT NSVHTLQPAMISEMSQAMRWASNYDKNNIRNAYLENSLAGTGKPASSLDMGSRSRSST DQNKPEVPPKHESTTSSRDIPSIRERLPRSTTTSPTPDTPPKTESPIPGPASNINFDK PTPPTPPAAAAAAAAAAAATAPAASSTPATASPAPTPARVESPAPTKEEPTADDKKVK KKAGNTGLKGMFGGSKADHTEKESAVAAARAALESRTAQSQSQQRSANSSPASSSRIP HTRRLSGFGMKKHQEDGQKSKPVTVIERKPVKAAPAPAPAPAPAAQAPAPVKEPRVSP PPPEAERPIAGEESAPVSPISPDDKKSDAVAFSSFSHQSPIVDQPACVPVETPSPVES EPKAAPVPAPAPVQTESKTFNVQPEKEVPAPATPEPQPQKQPQTEPEPEPEVPALDRW AQIRKNAAQRAAVPDAAVSASPAAVPAPAAAPASPASAPKQASQQESKSLFLDTPVYM YYAPTNKY TRV_06897 MPFFSRVFRSKDPSKKSGRLNGLPLEPEKPTWTDAWLRTEVEPE EVVDLLLGCTSELKDRGISPRSLYLDNASA TRV_06898 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELSVLCSVDVT VIIFGHNKKLYEFSSADIQETLGRYQYYGQPHEHKGPADFLGKGALDDDEDEESPAPD DQQQMGPVYHPPPPPQQQQHQHQQPHPHPQHIKQPPSVSPPIDGPHAPPGQAPSRPSS RNHVQRMAMHPQHHPQQQHQHGTPPPAPTAGAQNGFYMQNYPQAPPQQQQQQHPQYYS HQPLPPPQHHHQQPHHQHPAMAQQYIPDNRRQSMPPAPAFQEQHHPPQHPQQPPQQQI KVDRSPPPQPKPMPTKSRSIFTPIDDRGSVLAQHFGFGPSAESPKKEPRQPPKQQQQQ QPPPQVQAQPPQQIPHRTSVSSIPPPSRTNSIQSNIKRPILKVQIPSETSDAGSITAG SSPATGTNPAPTVGGGGAAGAASTSTGPGGPPTTSKPAEASHSAVVLPPPSPSASALL SAGAHGPPNPFARPPPPASNSQPSNNGGSTNNNNSSNNNIDTPISALPSRFVSDTLLP SPSSFYPEWGFGRSGRDSNMLPSPLTFSTPVLQNPSQPFASSSGGSNANVHGNAGAHG NVRDDESDRKRKEPESSSETAVDKRLKVE TRV_06899 METNWAAEDTRSAMDGNADSADGAGHGDKLTEYSLIKLEGVEDS KAATFYSGKKVAFVYRAKREVRGSKIRVIWGKVTRPHGMLKHDFQHGEQKNGCVLDTD GLIGNSGVVRAQFRHNLPPKSFGASVRVMLYPSSI TRV_06900 MKRQREDSEQRLKRKKKKQKQKQKQNQQPVKRWRVVPRTLCKQA DLTASETARQPDGSGEEAKKGYEDVSEWLGSLLACLLVRSLT TRV_06901 MPDQKAGKLGRVLSFASSKSRKSASNQQQPLSPQKTSSSSQQQP RSPGQRQYGHARNNTDLSDKSADRPGSNKMRTHADPTLAMSEAQPAAIALEESSLGQL RGIQHKDRFGNPISECPYIPLSIGTAG TRV_06902 MATAGRQASLEVIYNTHTNHVSDRSNGQYYQRGGYDSYSVSRGY QSRPESYVDAYGNGYGSAVDMSQYNYSNQRPARAGPPRQAPPPRTNSDPNYAYSSYPT HYPSNASSYYPQPQYQGQQDNPNSNSPSGSGGRSVDHWVNSTDPSSVNSSMDRLAQQQ QQQQQNHPQGQKYDDQPAESYGFAGFGTDPQLEQSQQLMNSGQENIYSAPPQQQIRQQ YQAPPPVPRKEVPVAVPPPAVTGATLQEAGLDPAMYDYKTQQTKKPTHLLFSFLSS TRV_06903 MSSLHSLLWTLVVSALLAAANTIDYHEQLVLHPLPPSNLLASFN FRSNESSAAFEQQNYRYFPRSLGQILQHTHTKELHLRFTTGRWDAENWGERPWHGFKE GGTGVELWAWIEAQDADAAFKKWISLTQSLSGLFCASMNFIDSTRTTTPSLTFTPTGT IPHDGLHLLHGTLPGEVVCTENLTPFLKLLPCKGKSGISGLFDGHKLFDASWQTMSVD VRPACAEENSEICHVEIEQTVDMVLDLDRSKRARDNPIPRPVPAAELICDESKPYHSG DFCYPIGASRSQTWSIEDVFGRTIPSSCPLGRDDAQTVCIKVPDSMEVLPSSDAVETR APDGNSRCYSLHPNQPFNLVVPAQHSSSSYLEAQVVHLERTIIGHGQERGGLRSILTN PSQTDTANFVYFETLPWFMRPFLHTMKTKISYGSEPAVEVPLSDIIKDIFYRPAIDRH RGTQLELVLSVPPASTVTLVYEFEKAILRYTEYPPDANRGFNVAPAIVRVLNRNESIM APPVYLRTTNLLLPLPTPDFSMPYNVIILTSTVIALAFGNIFNVIIRRFVTLEEAPRR NIKALLLGRVAALKSKLGIKPPEKVA TRV_06904 MAAEQRKLLEQLMGVCRSYLAGTCPHDLFTNTKQDLGPCPKLHS EGLKAEYDAASSHEKAKWGFEYDYLRDMQKYIDECNRRIDSAQRRLEKTPDEIRQTNH LLSQISDLNKTINAGLEETSVLGELGAVATAIDEFYKVRTAKHQKESLERDLKALADT SGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFFGKMHLGYAKMRETYSILQKEMKGQP PSRHDDGPSGRGDAGFDDAGWGRDGGGGYGGRSYRGSGGGHRRKGGGGGYNRW TRV_06905 MADEPRRSGRATKGQHKNLDIDPPQNKRKSKGQGKGQKQSSNEP TPPVLNEEDEIIRCICGEYEEEEDVERDMICCDKCAAWQHNDCMGLIFPKGEEPAEYF CERCKPENHRELLDKISRGEKPWEEAAAKRAQEAEERKSRKKKGGKRGKKARQSDVKP EHSDTPTMAKSDKQSPPMQHNIEVAVPLHHEDVGAHKRKHEEREESITQESGSRQKLQ KLSTNLPASSSPVQSGMQSARQSATSPMTPSQGPNLKSRSGSTAGTDHLIVARRSAAT ALSKLVTEIAGTAVAAGTFTIPSDSTKEAVGERLGTEIEDCMYRNLCGSAGEPNDAYK NQLRTILFNVRKNPSLRDSLLVGRTTPDAISTMSTQNMASQELREKDEEIKREAERQH TIIQEQGPRIRRTHKGEELVESDPQTIGTESVFSTAPARRDTATESELPSAKSPRHTS PTAAHGQPHADRTTRETSDTNRRNSSNFNIENVWSSVHSPGASHSEQQFGPASSFNEP SHPMNKVQEDAEIDNLLRDEADSPPYSPKDIQDDGDIWHGSVSMSSVAEFRTAGRHVG GADLSAKIPWSQLVPPRITVDGRIDVQLASNYLCGLRYSHTTDISVVALEQPHSADDA VQFNRLFNYFMDRKRYGVVGKHPLPAVKDAYLVPVEAGHSKKPDFIELLENNQVQDPT PTRLLLFVLVVKTNFNQSTPSHTTPQAPSRSAASPLQADNEYNPVDPAGLGHAQGNTP AQGPNPQQGQFNNGSTNAPSPGQALTGQQAAIHVLGPLAAAPAIQELLQRAPNASVEQ LNVIAGILRQNPQAANNLQLLMGAILQTTNGTQLS TRV_06906 MQPDLVCDASNIDHHNHKDSPAITSVDNQIQNSASYSSDTSSKL GDSSSYDSNSTDCIKNPGLGRDDPINENDVEELPPYLDTFGLNYSADGSGYAAPTNGY QELKDGSKQLMNKQNHEIKKFHEAERTRCLAQGLPADKLESRRLRKKTRTQNLAKKQA YRDELSDTDSSLSEDESRSAPWVFEDEAATSFTNCTKGEKQASNEDDLGITEDDVEDL PIHSDILHLRYPVDESGYAAPAKGYQEWQDRLGEKRDRNRRMGKEQDAEMRQQRLDQG LLAEDSEDRQDRKYARARRRAEKQMLSEDASDTDTSLSDEVFGPVPWVFKKPSGPRLP GDRRMKNYSRTPPNPAPKTMGAPEDTSPEDQEIQEKVARLGRPKYCVFSEPYPRNFIP LSEFERLTREKR TRV_06907 MDVSTDDELLMYDKLHGTNICVEPMNFDYLDVVLVSEFDFMERR ERRRMGGPTSPSCVLSPSENASTETSSDSFYERVKRPRYPCVGQTWYVKPWRHPGFFF SSLSDTYRNTRRPHFPIPKPESRKRHRATFGRYYSSEEETETEIPRSDTSHRTRTGYR KHRRAVPEQNTSEDVNIIIDLYLNIDPELQAKVSYGKQKSGDCVLGNFIKSPVDVSAP EPEAQRVGLAVGLAAGMVFRRFR TRV_06908 MVDVRELLRNELASRQLPSSKAAKKRRHGPDLEPARKKPRADTE DEAEDESALTVNSTAEIPDGPSPPRSLSADKTEAAPEIITEEISPAPENQAVDEDEWA AFEREVAQPSREQPPTTINTSATISAAPVSAAELESREREDREAMAKSREAALLGDRE DATRFLEEEFDEMEELDQRVKRLKQMRDEIRRKREEERSRLNDVQESGLSDNKHTAGD GDKDENDDDDDDDEGESWDDWGFR TRV_06909 MLKSTYTPANALPPGWTEHKAPSETKQSTYKRPVEETPVPVAVP AALPSYPLQFQPPAHVTRGFDPNFQGLSRTREPYRKHRHPEDRPKTKHAIPGCAPWVL VKTKLGRRFVHNPETNESFWKYPAEVMKGVVEYDRIEREAKERRERGEEEIPKAEAGI EPSEKRSEQTPIPAEAVPKRRDSDSEYEEVEVTDDEEEEPSKRLKTDEEVNQQVEFNE DDIEYQLAAMADDYGGGPGEYDEYGEAGETEWQENEEEPPLSEEDTIALFRDLLDDFR ISPYTPWETIIEEGKIVFDPRYTVLPNMKSRREVWSNWSRDRIHEHKERKKKEQQANP RIGYFALLHEHATPKLYWAEFKRKYRKEPEMKDSRIPEKEKEKHYRDHIARLKLPEST RKSDLSSLLKSIPLSSLNMSSSIHSLPQQILSDIRYISLPSQTRDELIESYILTLPAA PEQADMLDDAEKTEQLKKEQERRKREKALHDREMRVQEEKRRQQRAVMHSKDALRQED AEVQRAMKVGREGLKSYMDDT TRV_06910 MARRYDSRTTIFSPEGRLYQVEYALEAISHAGTALGILAKDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMICAVAGMTADANILINYARQAAQRYLLTYN EDIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGYDPLRQFQLYQSNPSGNYGGWK ATSVGANNASAQSLLKQDYKEDCDLKEACGMAVKVLSKTMDSTKLSSKKIEFATVGKT KDGKIYHHLWGADEIDALLKEHDLAKPDDQETG TRV_06911 MRPDSNELHNCWALANMREATAACSRNDTITIPTEAGVHSQADT ISTVISTQKTWRFWAIFPALCMTTFLSALDTSILSTALPTISKDLGAGPLYIWITNAY VLSSTVTQPLFGQSANIFGRRWLLILSVVWFAVGSGLAGGANGTTVIILGRTIQGIGG GGINTLIDIVIGDLVPMRERGKYVALMGVIWAIGTVIGPVLGGGLAEHASWRWIFYIN LPLSGLSLILLYFFLRVASPPGNGFYKQLLRVDFIGNVILVMAVISILLSLTWAGTLY PWSSWKIILPLAIGFLGLILFYAHQTSRFCREPSIPLRLFPTPTSLFSLILGFTGSIL IYWVGYFLPVYFQAVLGTSATKSGLFVLPITGAVAPFGILAGILISVWGKYRAFHFIG FGLMSIAVGLFSVLDQYSSAGYWAGFQIIFGAGSGLIYSSTLPPIQASLHESDMATAT ATWAFMRSFGSIWGVAIPTAIFNEKTESLLGGISDPSLRRQLSNGGAYALASSGLSSS LMHDPKLLSEVIGLYTQSLRFVWLLAIPFGICGFVASIPIRQLELKTHLETEFGLQEQ RQEG TRV_06912 MANTVDEGIAIVGMESFWDFLLSQRCARGPVPASRFNAAAFYNP QKDRTGCIRYTESYCIDNDLEDFDNGFFEMTSADVQTLDPQQRQLLECCYEALECGGI TLNQVANTMTGVYIGNFASDYESLAFKEPEKIEGMQVLGMGRTTVSNRVSYLYNLNGP SVTMDTACSSTMYALHFAVQALRNGEIPAAFVGGVNLILTLEYHFAIGQIGALSPTAM CHAFDASADGYARGESINVLYLKRVSDALRDGDPIRGVIRGVSLTANGKNNGITLPSA MAQELSIRKAYEHSGPIDYNAVGFVECHGTGTPIGDPIETTAIANVFEDSRDWHDPIL IGSTKPQVGHGEAGSALTSIIKVVLAMEKGIIPGTIGINRLNPNLLETIEEYLKGSNM LLGAQAQLCIDPYTSILQTQFLLPISAHDEYSLSRSLENVSDVLHSGKYDIHGLLYTL TERRTRFTYRGIIPITISNHEDGFGKPSTGKANLAVPTVAFVFTGQGAQWAGMGRELL DSFPEVKRVFWKLNKALAQLQPAPAWKLQDILLEPEETSRIGDVAFSQPVCTAIQIAL VDLLREWGVNPVATIGHSSGELAAAYAAGLISAENAIIAAYLRGVHAALTQEPGAMMA VAMGVLDAENLLAEQDIPPEDVDAIREAVEIPNGINGTKQQPNGHGSVAAVNSTPCST NKSTVQSHSAATRRHKVDGSSRPVMISSVILEPLQLSDISGAYWQRNLESPVLFDPAL KYLLELTFGPEDRYVDCLIEIGPHNALKGPINQIISSPEVTEIWKSNLGSAPKISYES TLERQHNAEDDMMRLAANLFMKGCSIDMRQVNGQASYQPEKPIADLPIYPWSHTNPHP LIVTRATKDYKFATHPRHDLIGSRLPGSNRLEPIWRNNLRLKDVPWIKDHVIGNAVLV PGVAYIAMAIEAAAQFAEDETLGGPDFEFEDAKFHLHTMAVKAALVIGASGTADIMIN LRPTDASAARNRFDFKICSVTDDRWTEHANGSISYEIMHGKRTMKSSFADETADPIFE EKIGKDAWYDRLKYRGFDFGPFFRVIDEIEILSYESRARAKTPILKTMAKDTPHESRY AVHPLTIDAVLQGRVVAVYNSRIDKEEATQIPVFVEDMVIYPASWAKNQPGIIDSVAW TEGARSGGSHSRLVTEDGYEIISTKHVRWRQFENQGSQEKLAKSTAREPYYRLHWKPD VDFLNSEKANDLYHSDFKSPLGIKYLDEIYNIRIRLETVTVFYICKTLELISKENLPS DSSLRWIHAYYDWMRHVRTEAAAGNMVLCDDNATQLTPDLRERRIEALLSELPSNFPQ LEYNRVVMENMSGILNGTTSGVNLAVEAEVLAGVYADGSIHDAARKNLRSLVDNLAHK NPTMKILEVGAGTGSCTSVALDILNTYDKNNGHAKRYADYTFTDISPSFFEKAEEMFS EYSAITFKVFDVERDPEIQGFNSHDYDLILASNVLHAPGNTDKLLANCCKLLKPGGKL VMLEITQTESLTPVQFAFGTLPSFWGCLDDADGDRPLGPFRTLPSWDEQLRLSGFSGL DLILRDFPEPLALESVMMSTAIHASTRTTVVSEIGPVIIVSGISYFMWTTANIVKVHS ANQADLALAIAQLIEKSGGSVRYSSMANLPELVDSSQPQGYIVLEELDKPTLIDMQPM QFEGFKKLVRTASSILWVTAGDLMAGKNPSAAMAHGINTVLMNENSTKNLRFATLDLD DAAVSETTTAVKHIADIFLLVAKAQSREDCETDFILKNGVIYISRVVPDAQLNEEFRL DNGDGRVDQDFPTSGNVQLALETPGLLDTIYFREQPTCDIDLGSDELEINVKAVGLNM KDYVIAMGNFESVNSSIESTGVVSRVGTNVKNIKPGDKVICFERGHYDTFLRSPVAKC LKLNDGDDLIEMATIGIALGTALYSLRYLAQLEAGETVLIQAATGGLGLAAIQYSKLV GAEIYATVGTQAKKEYLINELGILENRIFWSRSLDFKQNLLKETKGRGVDVVLSTISG PGFYEGFGCLAPCGRLIDVGRGNVLDKGKLGLHVFDESISVFSFDLNFVLEKKPQLAS RLLADMTELLREKKIKPVKIDRTFHITDMEKALRYFGQGQHIGKVVLTYGQTENKIKL HGVLKPRGIHANNSYVLAGCHGGLGHSIANWLIEHGARNLVFLSRSGENKQENASFIS RAKAKGVNVASLRGDISRMVDVEEAVTKAISMGPLKGVVHAAMVLQDAFFDTMTLETF NAAIRPKVLGALNLHKATLDAQAELDFFFMTSSTVTYVGHISQSNYAAANAVLDNLAR HRRELGLPATTISLGPIKGVGTLNRKPEYAENLLRSGLIEAPESEFIYHFERLIKEQE KSPHFDRNTQAHILTGVEYSKHDLSMVQVTRIEKDRRSALLVTILESRKAAIGEGTAS AEMMSDEALDIPEDRNAAMIILADAVAQRLAKLLFVASDDIDIMRPLSHYGIDSMYGS EMIHWLSQKFSVGMSFLELLDPMCTSKHLAGVIYDTARKHKESTVAKDAEAADIVIDT IEKSHAEDNGTNGAGLLQEIEENHQGSGSHLERFSTYLKQAVSGPKPVAHSYVCSVIK KDGDQLYSHAEGSISQHSNERAGFDSVYWMASMTKLMTAIAVMLCVERGQISLDEDVT SVLPDLCSLPVLDRVDAKGKYSTKTRSKPITLRLLLTHQSGCGYHSSPYLARWAKQNG RTESIFDNDFKVMETFPLMFEPGSNFMYGSGFDWAGELVARLNGVSLEEFLQAEVCKP LGMTSTTFHPDKRIDMLKRRVEFYERNDDGYLMPGAEYTKLPAAHECGGHGLWSTPRD WNKFVHMILCDGRPILQKRSVDEMFKPQAIAGDELRELLSGPLRASLRSTVDMEAENI EIAIAGPVYVDGIPERRSAGTVQWSGKPNMFWWIDRQEGIAATTFTQVISASDARFEE LTTTFERAVYADLTKL TRV_06913 MDVVSKYPKVLSASRHKYRQLSPENAEEISSTKQTTPKQNLKHR ENNKEEKELKTDEECFKVTMCFYSQKRFLCGDWAWGSFAAKCNHEYRMGETCGMKLVH NTENIQAICKLCEKIQTKHRRRNTEVERINRWRREGSVMKASMEKSQAMVKDFEQEIS QLEHERQLKQRSIGK TRV_06868 KKEKDEKDEKDGGVKVKKKKKRRRREERSRRAGWESSPRGKEEE EKATIKEKEEKKKKEQEKTPERDAIIPESILRASIPESIPSHPVQSRVHPPVWHRLRL ASSVRRPRLRFPGACLSLLSPSAGSAGSSYYVVDCASPYSAFTSARPRPRPLRNLLYS ASSTLPLLLYSSAALLPLYGCCFFYSAPPTPACPACPSCSLCLYYGDSHDGSPNWPDK PATTLTASSSSSPGYSPAGERLVAGVVPLSPDKSKVLLIQSARPGAWVLPKGGWELDE PSAQVAAVREAWEEAGVVCTVTSDLGKIPDMRSATQISAKAPRVLYQFFEVRVDREES QWPEMHKRKRQWVTYSQAAAALVARPELLDALNRSSIKR TRV_06869 MDYDAMDIEPQGPQVTVREAEPYRVDFRLSSVDLAFANSFRRTI LAEIPTVAIDVVEIEVNTSVLPDEFISHRLGLIPLCSKNCDTDMLYSRDCDCEAGCAR CVINLSLHAKCTTEEIMKVYARDLVVVGDRANEWVGNPVVTDPEGKGPIICKLRRGQE VKFNCIVKKGIAKEHAKWAPTAAVGFEYDPHNNLRHVDYWFEEDPVKEWPLSANAGWE TAQPKDLPFNYDATPNTFYIDIESIGNLEPDAVVQQGIIMMQRKLAEIISGLTGSDGQ GGMVNGGVDQDGAGARSPDAYEPPEGIDGGFTAYGGANGAGPGAGPSAGGSGSVWGGA GGATPYGATPYGQGYGF TRV_06870 MMATDPIHDLDNVFDDHPSLDASLEDFEEQYAHRSPFFGLPSQH SGFRSGISEASPSEQDGNISRSSSPWSPPGLRATFTRPEYQTPKQHSALGYHPAMMAG AAWYRQQPYMRNQPDFKPEHLQPHSPGTRSREVSPQYEDAPEKPTSTTTATVTLKEET SELILPATIPLPQGTDSPVKGRSPSPSPESRTEAAPKAAATSTIEADERNKGDKHEFK AVSDCKPEKEPESITNYIRFAVRAEVQHREPFVAFFNYMGAKFDSMTQTRSSTLLSVA VALISLTFMRALFLPPPLPPVPDIVKLSSFTRSFEPLIYYSENGVQQIGTLQETGVAV WDLAESVRGTNMTSAPIIVRELDELSQSLNSLSLELTRFFANVDADIDSILIVMEWAR RELEALSSQPPNTLSSIFFDNFHNMLSRLGVLETLPTSLSANASHGLSNETMADMPVA PIPTRLGSLITALFGRTRSQRTQLTLTHAFTELLSVLEESINNELTHSTSLFTLFESI DRQFLNLQRTVVRESNAQERAEGELLSSLWTRVLGSNAMALRKYEKNRRLLSSVRQRT VANKHLLMDHRGRLLALKANLEALRRKLVSPLLRGGNSSMGMTLGPLVGISTTSAHGS GVGGSGEDAVGGENAMEMIIHGQIKGLDGAHDYLKEVRDKQKAKLMEMVYGAGRRVGG NALVDGDADGEGEGEKADIEDMEEK TRV_06871 MCATCSIWALSVIDSLVEDEKRREQQKQEDLLKEMDKANKPARL PGDASKGAGLFKTRCASCHTLEDGGANKVGPNLYGLFGRKSGQVEGFSYTDANKQKGV TWDQDTLFEYLENPKKYIPGTKMAFGGLKKAKDRNDLITTASSKRTPNKHFNPTTLHD TPTHLYHLTLPYTISA TRV_06872 MAASSSIPSFLLPRGGLSQSSLQYLRTTPRRTLLRHANFSSSSA SHAAPTKPRVLEKPDKFRPPSHPARRVVNPNKSPRNYPGPPPTAKEIEDRKTKRYPNM FPPEGTVLFKFLTNKGIHVWISMVSIGVNLSSHTKILTVFEQSVLFSLAYFTWSTNFK RTSPYAHLLPGWSQLFSSPISTISQFFTVMKMHAEHTTVISKEKRKRISDDIDKRKEY RIAHGLEEDDRVKADDAQAAEGAENAVVEGANNVQGVSSEGTAPMRKPKRWLGIW TRV_06873 MAPAPPSSTLQVSNFSPAELSYLHTSLSYPKNPIRPDGRSATQF RPLTAETNIVPNANGSARIGFADGTQAIVGVKAEVEKTVFVSTLEQSAAQEHEHESED EDKESSGGKIKVSGSGSWVEMSIEIPGLRDDDPLPVFLAEMMREGLIQSGSGSLAESG GLKERLVINQGWHWRVYVDILLLSPPLSYPLPLLSLTTHLALLSTKLPRLISKDEEDP MFDDDWDAAEYLYPRKTSKTSPTQHIFRPPISLLVISVGQNVIFDPSREEIAVADSVF CVSVARDENKQTSGPGGLKLLAIRTIDPPARMTHPGVPDAINAATIGLTTADTSNFSA TTENEGVWTPRRGGVKREVVSRIAKLVLQPGGVGDEVMEGLEMVEVD TRV_06874 MAGIFNPNIVATSYNRYSSHSNTRLKSPFTPSGARRLGQVRLPE LPYATPEDLLALKINSSGLRATIEKRRVDAADADALAEMLLSRGPIVLTPEQRRAAQG GLEDLLKYSTKDEAWWRRALQL TRV_06875 MDIQILSDLHLESPSAYDLFSAPPKAPYLALLGDIGNVRDKGFF SFIETQLRWFQTVFFLMGNHEPYNSSWEESRKQITNFSLKVSQRKDEGLGTFVFLDRT RYDVTADITVLGCTLYSHIPAQQEAAVGMGLNDFFRIDNWSVPDHNIAHAGDLAWLNE QVTSITSSEPGRKIVIFTHHGPLSADGRATSPIHIDSPISGGFATDLTDEECWKSPNV CFWAFGHTHFNCDFTLEVEGSKRRILTNQRGYYFKQATGFDVGMVARVV TRV_06876 MSSPRTVPLSTHLHYLSQCLELASQSPPKPTNFRVGAILLLRLY PSSDTAELKETILSTGYTLELRGNTHAEQCCFAKLASHYGVAEEDLGEILEKKRGSEA QIELYVTMEPCAKRLSGNKPCVDRIIETRKDGKNGVDRVYFGVKEPGTFVGQSQGCKK LDEAGVQWNLIEGMEDQILEIAMAGHEKQEKHSSRVDEADQTVLVDRAEEEARRGEPI PRNPKKRMMEGG TRV_06877 MAHGVQNTHKEELIPPDGLTFYPAYCYKASPTHFTWVKLSAVNV HRLTRRSGYEGQNIYFYKNHPIQFICLAGVIVSREEHVRRTILTLDDSSGSNIEIVCS KRQVELPDSQPVKAKTGAAVAAADPQRVSEYITSTTKEALDIKSLVPGVIAKFKGTVI KFRNIKQLHLERFVLLPDMDGEMRFWEERTRFLVDVLSVPWYLTPEQVEQLRIEGAGL EEKKKKRSKAKEHEKERRERRRAEREEKDYERIVRRYQREEEVRRKYAESSREVSARF RKSGKR TRV_06878 MAYDGYRASFDPPGFNPNASTSNSYTSSSSNINNINTNNGGGST SSSANISSTKPSNAPYPGYLQPSYGEYPVEQDPSSHPPPPPPPPPSATPAAAAAATTA SAIPCGISSTRPGSRAADAVKQPFSRTSSQPEPPTPRVPDPNYPSTDLIAQVTAAVIQ QLRAPGPGNAPPPPSHPSQQQAQQQTVYQPPTPALSQSSYNRQSPNPNSLSPPIATSP YGPPQPSIPGQPDLSNAPAQSSYPPISSRVDGSAYNGPQVQNPADNGQQQTTSPGIDR ARGSSIGSFNGGRNGTNPSKGPSRHSTVTNEAISEKPWGTLFDKDDMPTSRAGQLLRG IALHMIETYPPGNTLVITPEKLQKFYRDHRVSSDSYPWQDIFDNRTSSISRLFRSLSI EHHLVQDQLDERPDIPGLTPRGFERWMSMMIQAHPEREFERVKNIVQNIPITNPDDRT ERFPKELRRKLFPQLPDYDIKETLDRWITTHCHVELTQGMLGGPPMRQPRAKSTVDNT STGIPSRPASTDVRPRQFPPAATVEDAIDEDDHVIVASADVADVDAAAQPVQPIERER KPYSMPGGTKGYREDTSPHPTTSTSRHPDSNSGVSFGKKHVVVYSGDDDDDFSSPRDD VNSKYSSSHHGNTHPQSHAHTHPHQHSHPHTHDDSKRHHGRDKDRDRDRDRDRDLDWD GDKSRRRTSWGSDEDFYRNPVSDRDRGRKRTESRTRFSDYDKFWEYR TRV_06879 MPDDTQPDDGQDRPEGFLHHGLILQSTQSDPDHNTTDASEAPSC RFPLDDDSSDALTLPDGRKLGYAQYGLLTGKPIFYLHGLPGARTEAACFEDLARELGA RIIATDRPGIGWSSPHAGRSLLDHPKDLEELANHLKLDKYGVLGISGGGPYALACAAS LPPEKLKAVSIICGLGPPDIGMKGACWANWLGFTLGYRYFPMATGWYLKRQLAANLDL SDEKRYQKLRKEVLKSKSMPEKDREIMKDESTLRLFLRTSRQSFSQGSDAAVQDGRLM CMDFGFRVEDIRPDLPVQLWYGKQDVAVPLNHGVQIAARLGGQAALRVVDETHLSIWA NYGEEALRELVRSI TRV_06880 MSIPNPKKTEGGLLCRAVNPEANTVRRDPEIGLPVEYSSEIAGA ILSPEKVSLGTVVDLKDWAFDLVEAFAVLRFATFLEGIRVIIGDSPCQRGRRHR TRV_06881 MDYYLWLFFTICLLRIEAAIAMYDPCLVDETFQKCLYPKARGSV HFEFKPLFPKGVDFVYAFDSETQEDADQQYVPEGSVAAPDSKVAFWLEYPEVNLNPAA HTETYMVVLANENFTGNPSGGNNGCDGLWGAKCSRSLAAWFKAEVAGGAYSPGEAISQ LRSYYGGESRTEEGKRILEDTGCPVGFFNETFGYGAFAIENGEGSKNTLIYASGGSKA AWLIEKISATGYDEQIKKGVGMFVLRKPLQGDLYKDVKNLQLEMACVRAKRLSEEDSP NQGGDNGMSGNGGSNIPGLHSSGATRPTFSAGLVSLAAVLLNFNFKLEAPTAALLDSV TIHTPQGSNNNNNNTSCRLLFNTRHLSLFIPQSPGMATIQDLPLETLQQICDFVASGY RASLYDFALVCQRWSLASNAVRFREIRLVVENQGKLTKDLDHLNGVLRSTSGFRSVRR LEIKGELPADVNTWAPKRIRQAKNCMVDTDDELESAKYIPYTNLLELPEVTVELDKYG LQWLISWPSSAPFKTLSLTALTSSHHACWMCYTKAYPVGWSRGLDKHEYSLATSPSLH CIILHYGWNESERLLDYHDMAVARMSQGLAPSLRHVQVIASSMSPITYNRRVEHRPKR DIFPNNTLNPDVDVRGELHTMKFSGFLLGRLQGWNERIVFSSLRELILDTIIDPFSLK EASTYNFTSLKTLDLRLESDNWDEHPWMDGATSVFLRSLPPLVTLKLTGNFGDDSLKA IIDRHNSLQRIWLFPRTQSHLMVTDFTLTLDTIRDLTSRCSELRTVCLLIPRVLESQD MAVYQSIGCLLHLKDLCLYLDCICYQDTRPSPTIEDYLPQNFAKIKNSVMNAALNEDI ARNIFHEIYQAQSRRRMPVFKRLRVQATRVKLRRDIPEDLDRILNVSSQGYELTMNPT QRHGCYDIISQRSSPRIFW TRV_06882 MRDQFHWPTISAGKHHIQIAASTTQKIQQIHIGNTKRFYSNQCW NKDDTFFRFTRSRFLSDEAKELAQRYIKFDVNELAKTAARISGPGTRECIRVEKMADG LYSKALLLTMDDGVQVIGKVPNPNAGIPRFTTASEVATMDFVRNILGTPAPKVLGWSS GTDNPVGAEYIIMEKIPGVQLETLWNKLDVEMKVNIVKEIAGYQRGWTLTSFPQYGSI YYKEDIPNATSLTYTNKNGEQVTDDRFTVGPSTSRQHFDDGRASVKFDRGPWGSPLDY AKAAGYREMECITQIPKLPKSPIGLYGPQTYRPSKEKKIRALQAYMEIVDHLLPDDKS ITGSHLWHNDLHAENIFVNPDNPSEICGIIDWQTTELAPLYDHTIEPYFLEYQGPRMT GDLLQHPDIKEIQKLFEDDEELIASEKKRKAESLFWKMALVSLWRNTLHMGIEPLFRA LEFRETARFTLLLFARNLYLDGEAAYLAILADQYRKGWEDVPGIRNNLKRGFPYEFTP DELKIIDRDCEGAAHGINIMGDVKEAVGSHFFRVDGTVDHEDYDEVKELIRLTKADFM SKYPENEEERKEWEAAWPFDH TRV_06883 MGKHAFHFKKNTFNYDEYKRKILCKICGKICSASKYSKRQLDEL RKAMASNPSVNGLTRPGFAGCRTCISHQTVELTCCICDKTKSLEYFSKNQRSPPDTAY VQRCTNCVQSHLDAEPIAEVLKEMEEGEGGYDPATAPETDVKEITHFQNLSVNENTRP RIPVERQQVAKLKPRSGVPDSEDDVSFGGVWVEQQRDGNGNSTAQDGAKKGAELTASN NKGAGQSRKTARKTARKTATPAEKSAAEKSTAEKSTGEMSTPTSGVWNITTKERRAAT PRKHSNFAKVPVSKGLSLTISLHHTMTYSVFLCFLQTLTNEHHIQGVRVPKDEAPTQR LPEPTGPTIDFDDDSDDECGIEAWI TRV_06884 MRIPSMDDDGWSAVLRMECKKTPFVQPGFLMAEERLHFCSSASQ NLSAGRFLFSSPPPVISAAFEKGERGQITSWRLASAKKQSELLRTSVLIKHHQTGTLA GGGNRYLACTGPSVRTFTVACNYQNVCVRAEMADG TRV_06885 MDRLSDELLLRIFRLAADFPLGDGDDRLSTWQAITETENFSACS LSDGEIGRFAEMLRRVPARRKALRKVHFMVVDLGEPEVHVSWDDDCYDGEDEAEESSV FTVAVFQLFDALGQGDTSTYAPLSLVFDIDTETHLNGYGERCLRLVSLHGDQRALSTL HNVVLISIAHPSRKVHPAAMATILAALSSVKTVDIPFESKGPQSKTLRLSRTPRIHGM YTENYRF TRV_06886 MATLHCLHDPGGERCETGLIPLIDGESDIVRNGGLSGGGEKEDE EEAGGKKARGWVEGEGSWNRQW TRV_06863 LQDFFGGNSTKATSGINGALTRTQVDLGIQDSVKQFYEDTLKSA RDKARPELIKVLTYKSAAAVEWLQDVFNLDLTLVSRLGSGHSFPRTHRGHDAKFPGMA ITYALMQRLEELTEKEPERMKVLKKARVVAVNKEGNQVTGVTYEYNGQTHTEEGVVVL ATGGYAADFTETSLLKKWRPDTLGLSSTNGVHATGDGQKMLMAIGANGIDMDKVQVHP TGLIDPKDPGSKWKFLAAEEKTCELDANCSILALRGEGGILLNSDGERFADELGHRDY VSGQMWKEKEKKKWPIRLVLNSKASNILDFHTRHYSGRGLMKKMTGAELAKEIGCGEA ALRKTFDDYNAIGEGKKPDPWGKKFFHNLPMQVEDTFYVALMEPVLHFTMGGIEINDK AQVLNSEKKPFDGLFACGELAGGVHGANRLGGSSLLGCVVYGRVAGDSASQYLFQQLL KNPAASSAAGGAQQRLNQISLHIDPNQPGKVSVEWSAPSGKPCNTQSLAAPSTTTASA STSASPTSSSSAPAASSGAGAGATSAGPEPVAADVASFKVPEKEFTMEEVAKHNKKDD LWIVVKGVVMDVTNWLDEHPGGAQALFSHMGRDATEEFEMLHDDEVIPKYAAGVVIGR VKGQTPSLEY TRV_06864 MHLFGGQRSKRDTAEKKKKKKKKRKKKAAGVAYRERGDKKGPKR RWLDAVRKERQRQRAVKEESSCRVGEGHQEPQQSSEKASSELEGMEAEKKRLTCDCLT ELVGFIVNSEDGEEASLSLAMVSQATPQGRQTRQTDKITRQTVNPQARSDDGSGQQDR HIDKQVAHRSLPHSLAGSEKKQRAVNAQLSLPSHLASMDEKTGDKHEKQQKQPQSADR PSSGSTSMADRIQSSAAGLLKSAITPSASTPAGSYAFARDVSSSLAGVSSSEKAGGAS SSSSSAARPGAAVEGGIHHGSSSTQPGSALRSLPSQGMQSSLVDQEREFQQGGLPVDG DTADGAGTGYISTSTTSSKGKGREVDMGDEQFDSAWKSASSNATPSAVTEQERRDGQA VSDLLSSATFDPSSLPDTDPDELQPEPEPDMSFLTTNQRGEQQPDISSTSLIPDIDFV LSSLRGIPPQSQVEHLRDLPGVAEWLDLDREYQDVVWGSLKPAVEEARQEVQEKLEKG EQGAAVGDGPAVARLRMVLAHLKEKKSI TRV_06865 MVDGDPGSPTWKFTQSVLPDSRSIRAQDLADIISTVEFDHTGNY LATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQ NASHYLLSTNDKTIKLWKVFDKSLKVVAENNLSRDLTPAGVVSGGGIARPPRVSFKDS SALKLPRMTHHETVVAAVPRRIYANAHAYHINSISVNSDGETFISSDDLRINLWNLNI QDQSFNIVDIKPANMEELTEVITAAEFHPTSCNWFMYASSKGTIKLADMRQRALCDEH QKQFEQEEDITSRSFFSEIISSISDVRFSHDGRYILSRDYLTVKIWDVNMERQPVKTI PIHEHLRPRLCDTYENDSIFDKFEVVFSGDAQNVMTGSYNNNFMIYPTDPTKETEVVL QADKTAFKAKKVGVPTPINSTASTNAGKKNGSRSGSPSGAGGRMRKETDADQIDFNKK ILHMSWHPFEDSIAIAATNNVSSTSSSSSSSSTYTILLTRYLLALCVLRSMRNIAAVS IYPKRPLPHLLWPH TRV_06866 MAAFLPSTAFGSCIRWLTNDRLFQYPEEQARFQLPPKYLSAVEQ AAQQSGSRRTEGSDEQQNEGLPSPDPSSPGADSGDRSVDIEKSAQDDEFHWISPEVTP DGTILVGWYSDTDPGNPHNWPSWLKFLVYFQINFYTFVIYMSSSIFSIVQQEFMTIYG VSQSVGSLGLALVLLGYGIGPLLFSPMSEITALGRNPPYIVTLVIFMVLTVITGVVNN APGFLVLRFLQGFFGSPCLATGAASLADVTSLINLPYGLWVWGTFATSAPAVAPTIGG FSSVVKGWRWSMWEVLWGTVPCLILLFFLPETSSETILHRRAKRLRKVTGNNAFKAPS DLDKSKSSISAIVNAALVIPWKINALDPAILFTTVYTALVYAIFYSFFEVFPLVYLDI YHMSLPHMGLVFLTAIVAALTIMPFYFIVTHFAISKPILSGTFPPLERRLIPALLGSL LVPTGMFLFAWTSKKEIHWIVPTLGFFFIMAGVITLLQCMFGYMAVAYPKYGASLFAM NDFARSTLAFASILWSGPLYRNLGIAKGTSLIGALTAACVFGVYALYLYGPALRKRSR FAE TRV_06867 DQYKDVAVIGMACRVPGGIQDPESLWQALLEQMVACGDIPVHRW EPYHKRDPRNKKILDQTTSRGYFVDTIEDFDYQFFGVSPKEVEQMDPQQRISLEVAWE ALEHAGIPSKSLSGSDTAVYWGVNSNDYSRLVLEDLPNIDAWMGIGTAYCGVPNRISY HLNLTGASVAVDAACASSLVAVHHGAAAIATGESRIAIVGGVNALCGPGLTRVLNKAG AVSPDGQCCSFDEDANGYGRAEGAGAVILKNLSQARLDGDHILAVIKGTAVGHNGRTN GIMAPDSKAQQLVARNALQAANVDPDTVHYVEAHATSTALGDPTEINALAEVYGKRHS IDNPCYIGSIKPNIGHLEAGAGVMGLIKSILIVQKGLMPPQANLKTPNTKIKWNDSGL KVVQELTDWPDDTGPRRAAVCSYGYGGTVSHAVIEEFQEFSPILHNETRDSSNGHLLL LSAPHEQRLAALAQSLKTWIGQQDKAQFDLAMFCKTLAARRSHYEFRTSAVIHDVEGA IAALDYICKGANNQWITRSRVLPSDLAKDVVWVFSGHGAQWTDMGKELINNRVFHDAI QALDKIVEEEINLSPIEWLQCGDFNSSDRVQILTYIVQIGISALLKSRGIYPQAVIGH SVGEIAASVVAGALSPEEGTLIVTRRATLYRQVMGQGSMSLISKPYQEVCEELKKARD VVAAIDSSPTSCVIAGTNDSVAALTEKYQKQGVKTYTVKTDIAFHSPMLDQLREPLAA TLQGVLNPAHPHVRLFSTSLLNPRGKNLRDSTYWVNNMTNPVRLTSAVLAAVDDGYRR FLEISSHPLVSHSISETLMEAGIEDYSMIQTLRRDQPAEKSILHAIGQLHCSGVEINW NSQMKGTWMQDVPLSPWVHRPIAQKQPIHSTMGMVADIHDVDTHTLLGRRTTIAGTDL VVHSTTLDSTTKPFPGSHPVFGTEIVPAACLLNTFLKATGNSSLQNTILRVPVSTSGS RAVQIVIQGDEVKLMSRFIQNESVEESSWVTHTESRCAARTEAIVEVIDLSGIKTRIG TRLDDDFTIDYLAKVGVAAMGFPWKVMEHYGNADEMIAKVDVSPNTVNPDWDQSSWAP LLDAATSIGSTIFFSDPRLRMPAQIQQVDIWTAQDPPKIGWIYVKRDTTIDYSSHVYI LSEDSRLLAKFTSMRFSEIEGTPGASGSMSSLVHRVAWPPAYPAEDPIPIQRIIMVAM SNTMRETYARTLPANIQLDQLTTIEALREVLPSIGNQKGTAIAYIPEEVQSLQEVPSA AERFTWQLLEIIKYVAQNSLATKLFVLTTNMMEAETPTALAHSLLLGLSRVIASEHPD NFGGLIDGEQHTVPLTAMKYIQGPDVIRIMDGIPRTARLRALSRDCRKQEVSKALPRP EGTYLIAGGLGVLGLAVAEFLVSHGARRLVLISRRGLPPRRIWDRASKELQQVISKIR DLEQQGVCVHILALDLSTSQAAERLLEKLDRLNLPPVLGVVHAAGVLENELIQDATQD AFARVLSPKVAGSLALHEAFPPDSLDFFVLFSSCGQLFGFPGQGAYGSANTFLDALAT HRRNLGENAVSFQWTAWRGMGMGSDSDFVAAELESKGITDVTRHDAFQAWLYLLQFNT DHGVVLRSRSFEEGEPLPTPLLKDIAIRRARSITDPPSVTDGGSSSGACKKLPSSGPE LKAYLDEQIRECVASVLHLPSTDVDSRIALSDLGLDSVMNTALRRLLQRTFKINIPPT LVWSYPTVKHLVGWFSEKLAK TRV_06861 SRTAKRRPRLSPATPAATTNQPQPQPQPQPPPPRQPTADSDSNN DNNDDNDDDDDDDDDDDDSDSVNEYSCRPPTLATAAAAVDLPRLLLRRTAEGQPESLK AALDQIPPFLAGPCCVSLGLPLSLSRQPRSKGIWIEDEPVEQQYNKSDSN TRV_06862 MMVCTGIAIFFFLQPSLYTDCYFFSSHRLRITNRGASGSLYDHT SLSFEQGDIIQVLNQLETGWWDGVIGDVRGWFPSNYCAVVPGPEAVNDQAGDASAESG ADDEYEDDVDGLDTTLRDEDLPIESNGADGGEAEEAAFWIPQATADGRLFYYNTLTGY STMELPLETPTSVNESGPRDRTNVYVPEHTRLPPEMMARGIDRYEDDYDGSASEAEGD SLLMASQRRHSFISDGVSPATSLGSVNPSPITKHYDLKSAYPPHFVSNGGNSGMDSIP IMGTPMSSHSTTTDRSLPLGISTSIPRYFLDDSTAPHPTWNSLVSNMRDAIDAYRQAI IEGRRSEYVRRAEDVSDHLRMLLAAGSDTTDNHSGNPSIISTNKALYPHFRDMMSKFS KLVLSSHIAAADWPGPDSASKCLHEAEGVLQGVYGYVEVAKQQRGDDIRRLTPGFVAG STSGGHWQNNNLARRDPTSFLEHDSESHRTPSVSLDSQLLERIEELRKMLAVSSRRLE EQLSSFKGKIVTPKSHAEIGDAVCEAGVPIVENFRPWVALIESIDLSHFGSDLQNPQL ADFSVQKQRVYDSISDLVMSCQHISAPLGDEWAEIRGDSLETRLNNTRMMSRQLTNCV QQIGFSLTLLLEQAPQQIPNGDGYNKSTPKVRKSPPSSIGIPSSYGVGDDLDKPPRSL DKAQRFFGQPVPREPTSAREPEETPWFLKLDHEAEVFYDVKGDVQQLKCGTLAGLVEQ LTRHDKLDPSFKDTFLLTYRSFTTASELFEMVVHRFTLQPPYGLSKAELQIWTEQKQI PIRIRVVNILKSWFENFWMEPNDEANTHLLGRIHSFVTEAVASTKTPGAQQLVSLIEQ RLRGEETTAKRLVPTISSNAPTPITPKNMKKIKFLDIDPTEFARQLTIIESRLYAKIK PTECLNKTWQKKAGPGEAEPAPNVKALILHSNQLTNWVAEMILTQSDVRRRVVVIKHF VSVADKCRQLNNYSTLTSIISALGTAPIHRLARTWAQVSQKTAGTLEMIRKLMASTKN FGEYRETLHLANPPCIPFFGVYLTDLTFIEDGIPSLTQSDLINFNKRTKTAEVIRDIQ QYQNAPYQLIPVPELQEYVLNNMQAAGDVHDMYERSLEIEPREREDEKIARYGKHYYY DPSVVALTLTAGIH TRV_06854 MYSQQQLPPIAYGDGPPYSEDEDLHSPVVTTSRHRKYKSGHSSE SRPGSRRRKGSGFGDPDNNGDAEKGVLIGVNGDGSETYYVRDEDADDDGPGGEYVTYP AGDGRRSYHPGFGDQGGAGGAGLGSSAHNHGAYDRDFELASDDDISDDDAWNDPSRYS RDYQFTIVSPDEEMHGKAVALFDFTREHENELPLKEGQVILVSYRHGQGWLVAEDPRT GESGLVPEEFVRLVRDIEGGLNSLNGDLNMIDPNTAGSESEQTPVATTLPASQLNDAH ISSDTADTDAQAHAPVEIDATDSGTQEVDIPTTQSKETERDKAREKHPPVVSTFSTSS KDLNPYPSHLLSGHQHSRSMPPPIEHSEAQLARSNSGATKRTKSVSKRASESA TRV_06855 MLRQFHYIDPNGKDQGINIRNRSQELAKLLGDVDMIRAERKKAK ANRNKFGGFEGGIGVGGFSGGGGSSRFGGFGSEDANFGGFSGGVYGDGGGFNGNTSEF RDASRRSNRFEEYDEGDNSGYSAPRRSEPTSRAESKPAPPKAPTPDLVSFGDDDEVVA APSVSSPPAKTSSTTKPVGSSGLTELAAQSMDDDEFDDFQSASPTTPATNTLQSQFGS IAPPSAPTQTQFAAPKPVSAAQNASLNGLAAFRSNPQTPSATGTTSQGSSMGPLSPTT QSQPPKPTTYQASQPNYFTSIPTPQPKPLESSNKSTTKSQTTGSSKSGGDAFGSLWST ASANAGIKSTTQTQSKGPNLASMAKEKASAGIWGTPSSSSSPQTFSGTSSSQQQKKPS GGSALDDLLG TRV_06856 MPDTPERKTEQRGRLGSFDTQMSAGETYGNIRRSLRPLPQAPNG TPPKSRPSTSSSCHGRSQTMGGLVPQPEFTSPHSRSVRSQTPEFTSPFSKQLNSPSYD HMSPVIQEKDEPLEPRTQSMRRSHHTRTQSTPHATPSLQTSFTAPELQTFQKSRTGHL RTLSQIAQSDSDEFAIATASPSVVGLQGRRRLKRADSVRGGPKAATASKPAGVSAWTA TNWMDKQRRFLQAYEYLCHIGEAKEWIEDVIQKPIPPIVQLEEALRDGVTLAELVQAL YPHRTLRIFYSPKLQFRHSDNIALFFRFLDEIELPEVFRFELIDLYERKNIPKVIHCI HALSWLLYKNGVVDFRIGNLVGQLEFEHHELEQTQRGLDQAGVTMPSFSGMGAKFGAE PEPEPEPEPEPIETEEERIARELNENEDSVADLQSQARGALLRLRLGDLMQGLWDQEH LLIDLQSRIRGDWARQIADYRLGMQRFATDLQSAARGFVVRMRQKNDQYWCKAAEEHI LQFQSLVRARKAKAEVQHIHTLARREEHGIKSFQAAIRGALARKLENDRYEETKDAEG AVHLLQSAIRGMLLRRSVQIDRGYLERKAAAVTNIQSIARAIATRSRIEDQKASLAMF SQNWLNIQSAVRANASRCKIKRDKAMLAKTIPSWLNLQSMARAIAVRHRVEDEKATLA QFTPKWLNIQSIARANASRNRINGEKAQLAKYSPNWVDLQSISRAIAVRRKIEQTNKE FSTHEESVISLQGFIRGQLLRADVESCKSALLASVDSITSLQSSIRGFLLRRAQNKDM ETLLDENHTISRLQGLARAALLRIDVGGLLAELDTFTDEITHLQAVSRAMLVRADVDC LLGELEAEEDLVLELQSLARGSMVRERFAEKQRFYRENMEKVVKVQSFVRGKIQGQAY KSLTSGKNPPVGTIKGFVHLLNDSDFDFDEELEFERLRKTVVQQVRQNEMADQYITQL DIKIALLVKNKITLDEVIKHQKHFGGHVGAVLSNSDIASKDPFDLKALNKTSRRKLEH YQELFFLLQTQPQYLARVFRRLREQAVSERDCDRIKHLVMGLFGYSQKRREEYYLIKL IVRSVKEEIDHSASLQDYIRCNAFWTKLLAAYIKTPRDRKFTKDLFGPLVKEAIIDNA DLDLESDPMQIYRSAINNEELRTGKRSRRRPDVPREEAIRDPETRETFIRHLQDLRDI VDQILALLEDSLHRLPFGIRFIAQQMYEQLLDKYEEEDRGYILQLVGQWVWKSYLQPA LLDPERSGVVERALTQEQKKNLGEIGKVMGQAASGRLFGAENVYLQPLNTYISESIQR LGGIWGNIITVQDAETFFDINEYNDLYAKTKPTLYIKMSDIFSIHQLIAAEAPYICTS PEDILREVIRDLGSVKSNENELMSVSSSEISLTLTPKLHNMEDPDAEIKALLVETKRC ILYIIRVQSGANLLEILVTPPSIEDEERWADLVRDELSAGDRKRGAYSDTNTLIDIGA MSYTELKRTALQHIVELERAGRISRHNQYQDLLNEIAVDIRTKHRRRIQRQRELENVR LTLDRLNDQSSYLEGQLKTYNDYIEQAMITLQNKKGKKRFLMPFTKQWDHERELQRSG RVFKFGSYKYSARNLADKGVLVHWKGYTERQWDRVDLTISSNAVGIFTIDGSSGNMMV PGANAQIPLDDLLQAQFNNTQFMDLFEDQLRVNVNLFLHLIMRKFYNE TRV_06857 MPLSNGDGRKASTVTSLGGRTEFVDCSKALDLLKTEYTSGDGLD IKELLDSNKRGALTYNDFLVLPGYIGTAAISLSITLHMNRQSNDESSPVTKRISLNVP LLSSPMDTVTEHSMAIHMALLGGLGVIHHNCSAEEQAEMVRKVKRYENGFILDPVVIS PKTTVAEVKELKQKWGFGGFPVTGKLYIYVLFVFYQTPSNDVLVGIVTSRDIQFHPEL SDPVTAVMTTDLVTAPSGTTLAEANEVLRASKKGKLPIVDEAGNIVSLLSRSDLMKNL HYPLASKLPDSKQLICAASIGTRPADKDRLQKLVEAGLDVVVLDSSQGNSMYQIEMIK HIKATHPEIDVIAGNVVTREQAASLIAAGADGLRIGMGSGSACITQEVMAVGRPQAAA VHSVTEFASRFGVPCIADGGVQNVGHIVKALAMGASTVMMGGLLAGTTESPGQYFVSR EGQLVKAYRGMGSIDAMEEKKAGGSSTGNKSTAGTARYFSEKDSLLVAQGVSGSVLDR GSITKFVPYLIAGVQHSFQDMGVKSLRELHDGVAKGTVRFEVRTTSAQAEGNVHGLHT YDKKLYS TRV_06858 MFEESGILLAKNKSTGQLVKLSPTEREEGRHAIHRNEITFDQWL KMVDADSEADTEMLIPFSHWITPAINARRFTTQMYIYFLPPANDTTSDIVNPGLSSEE VQAPTSDGGLEITEAQFLPASEWLRRAKMDEIIMFPPQVLLLSFVAQFLEREGERTPI PAAESYKRREELIKFIHSGNPAWSHKFISPRPLGSMPDGRLIMDLNYPGQELEGTDKR GDPERVILVKFKKEGPRGVEVRWLSEVKPHLQDHKSSL TRV_06859 MENEKGEIVDLATNRIIKAKDHASVQISIAKVDENGRYTGENQV YALSGFVRAMGEGDDSLNRLAQRDGFLKNVWSASR TRV_06860 MASDLTSQVLDVLASTDEPQLSNHVFPTAPAQSVKAALDRLAAR DMVLQETIESEEVYLLPEAEGIVANGSHEARVFEAVSAAMEGLKIADLPDIVGKEIAK VGTGNAFKKGWIKKDKDTLKAATDSIEDTSREQLVTIQKTKTHPDAKVLAELRKRKLI NTQKVFVYKFWKGPKYAREFVKEETDLTVDMLADGSWKTAKLKGYNFKAKGAITPSGA LHPLNKVRHEFRQIFFEMGFQEMPTNRYVESGFWNFDALFVPQQHPARDLQDTFYISD PVQADKPRADPEGDIHLSSLNQAHRYASSKTTLKPLDYEKYWQNVHAAHETGFNGSIG YRYPWSADESLRLVLRTHTTSVSAYMLQKLAQNPRPARYFSIDKVFRNETLDATHLAE FQQIEGVIADFGLSLGGLIGFMEVFFAKMGIHGLRFKPAYNPYTEPSMEIFGYHPTLK KWVEIGNSGMFRPEMLEPMGIPSDMQVYGWGLSLERPTMIKYGVNNIRDLVGHKVNLN FVESNPAVRLEKE TRV_06845 PVKRHTHKHNKEESRERDGEENSLSKYDIRHHPTSLYKSPPRGG GQGHLPMNLAPADQSPIRYHHNHPLPPLPLPDDNSGLQAYIEQSRAALQSQRASFECE RFAFGEERKLWSQERELLKSRIASLEKHINCTHVDMSTVEPVSQAGNSSSASLRVWEG SRPTGKPTRRFLDGTTAAGPLAPAAYDPPFLQVDDHLASGRSPSLDEALSPRTQPVDR AHHVAIPVELVDSSLDGITLKSTGLPPNVAAKLTSPPLSTPNVSSPPQPGAAFTAAAE SESRARYRTHDGCDAVTLQTAPPDAGPAATSSEPTVQAPMQRPRLTTHTTDEQPKFTP DADSPEHTYAEVEADEDPELTGPLMLRNDEEHNLDFLEALDKKLLMEAKKAVSTNPAL SDSDALSDAANPQSEPEPEIRFKKSTNFGTAFGSTQQHIA TRV_06846 MSSPPDYRALYLQEREERRRAEEERSRAEEERDRERERTRNTTF LEFLGLCHHLFSIPLRVGIPHLSTKGSINRPIGKACPLQFLKWDSCDAEQQKIYNSVC TYLQPFGEPAPQLFASRHALDHFGEVFRARALSREKDLEGYERFGVEDHVHKIISELC KIPGAQEEFDLGDGVWFDNHGNDLDSTGDNTRDLDSDGYPSRPDQFCIHRVNGQSTHL LTTVEYKPPHKLSVENLRCALKNPINFWEEIVNVDSVPKEGKDKLVHNAYVLTGSAIV QEYHVMLQEGLEYSYLTNGLAVVLLRVPRDTPEVVYYHMCEPNEDIKPATAHLQKPLT TIARVLCLCLMSFRSPIRDQTWRQFARAQVPEWTTSFDHTRSQIPDEELRQNPPDSEY TSSESVGAPTISEYMPSSSSFEEVAGESQILTRASTRSRAQCRPNTAGSSDPPDADED PDAGPSGRKRGFSELTTSSSSQSAQSPRQTTGSRQTGGSPYQHNAQFCTQKCLLGLKQ GDKLDENCPNVALHRKNGDGDHHLIDAKTLVQLINEQLDKNLDIDCTPMGNCGECGAT GAPFKITCTEYGYTVVGKGTTTHLWGVVSREAEIYRFLKQAQGSAIPVFLGTIDLAMT YYLHGAGRIRHMLLMGWAGEHIDEENVDKTVRREVSKSKKEIRSLGLLHGDLRWQNIL WNAELNRALIIDFDASQMDPRLAKKQPKQLKRKPSRPKGALSEKINHGEVKSERKRLR VL TRV_06847 MNVIRSKLNDIRDRLAPISNVSTFRNTGQVTPEEFVRAGDYLVY KFPTWSWSAASSPSKRVSYLPEEKQFLVTRGVPCNRRLDENFAGKAADIIIQGELGES SGAGGDGDDDGWLNTGGDEGAGETHAKDVRTVDDSGNVAEKEEEDEEIPDMDDEEDDE EAIIREPAQKGGKNAPLRTYSLYITYTPYYKTPRLYLSGYAAVSEPLPPHLMMEDIVG DYKDKTVTLEDFPFFDGGVKMASVHPCKHASVMKVLLDRADAALKIRREKQSKRSKEG KDKVEAGLEGLVDDTEKLKVSGEDKSKGGSSSAGDEWEVLEHEGDDEADQEVAIRVDQ YLVVFLKFIASVTPGIEHDFTMGV TRV_06848 MNKAQEVMSGAESELGHLSNALASPAQLEHSSSSLDGVPEELER SVRYAGVRLTQAAGVLLQLPQDVIAQAIVVFTRFWVGPEGGSLAVYSAKDVSAVALYM TAKLSFYPVSPRSVLNVYTYLLSPTASPLPFVNPEGAPEHPEPESYYLSEGSYQIERQ NLMKTESAVLRTASFHTRVVLPHTIALTYLQTLKVSSSSVAARTFAHLNAALFSPQIL YLTHQPNALAVAAIYLAAREQGVKIVDSEWWEVFDVDREELGFLVVAMRSMDGFARAE HEYWKGKVVPLTVGCVEREASRQHLQQLKENTTEQSRQTDAFQEELPKGVLRSRLKTL VNYLDKAGLAANRPASRHPKSHQRPMYDKEMTTNLSSSKQL TRV_06849 MVRVDLDHRQRHQNHHHHHHHHHQDQNKPAAAQSLRGTSWSSFD KVEQSSSSPSPSPSPLASASAPGSVSASRTTGLEEDSLTAAAARTRSPDASALQKLNL QELTPKARSRRQSKSNLASPRDLQHHQNSQCNTTPKKRPASERDADEDGNGDGKPDGQ RGLPSSKRCSHSHPHTVERGGVFFQTRPATADDGFMDQAIDDDLDDDDDDDGIDEQAG EDEDASGHDKSLPHSIPCSPDLFLQPETRKIAEDQLIVEVQGIYSGLVVVEKKCVEID MQQLNSRTELNNDQWKALIAIHRTLLHEHHDFFLASQHPSATERLRKLATEYGMPARM WRHGVHSFLELLRHRLPGSLEHMISFIYTAYSMMTLLLESVPTFANIWIECLGDLARY QMAVEEVDLQNRENWAEVARYWYRLAADKGPNIGRIQHHSAVLARPYILAQLFYYTKS LVCVEPFASSKESILLLFNPLLEEEQQPQPQPQQQQQQQQQQQKLHKHVRPKQQTRHP LTLASFVKAHGIIFKKESVHSYFEHTLQFFSCLPQHVGRMGDNFRGQGVHISCSNFAM LFINDNALVPEMGKTLTGLYNTAIATPAECRIPSARAYWSTCTQSEPLISLVNTIPTS SSTRFSSSLSPLHYTIYQSMHTFSLLLRQIGDKNVLPAIHVSLAFLFTLSLAPEAMLV FEPLIPFRDLVTFLNTLNRHRVSESKIASDEFPLPESRDVGVKYYLPEDFDLRGLTWT RLYFPDGHFVNTELPEDEERMLELAHTAFVRAERCLWLGCRLASMDRWFTYDSSQRRF KIKDLVPQLERYSERVPFFRKAAEVLSEATA TRV_06850 MPPPPPPPPARLHPPLRRRSEEPMLNWPFPENAPVSPQALDQAD RLQWLTSSNRGSAGYSDGSGMPPNYGQPGYGSGTTRSRHQSMEAYPGSFQHGLSSPQS RQRANPQVTTGYLVPYARRQNDGAGSNSSSANINANNTTANNTNAINKIHSGGFNYDS LARLQGSSPSDLTSLAEPVPGFYSSSVPDVNDTNQNFNHFMAGYQDAIASTQAGRSDY DPDILLGQQLSQRQASQEPGSSPAFSAASPTTPQQAHQDTRPVLPLGSAGPRLSASDI REIMGSAMDFGSSPDQPQESEMQDQDQDEDQDQDQDQDQDQNQGHSQGQNQNQSQDSE TFGYSINPSSSGMPTTPAQRRRSAQDMASSEHESARHQGQVGSDGLTQRRVRMRTLRV SPASSTQQPSTASGSQPQLNPAASSAAANTATSSLQQSRSLFFPATDLVTPATTSSTR SIFPTTWPLWTEGSREP TRV_06852 MATSTAPSSFTLPGSEPPVAVQLTPDISQAQLLSFPAFKIWLST LRHSLSRQSNASHEFHVKPYALRGITVQAVDYFGAKKLGFIKFKADVSTDDGDRLPGS VFLRGGSVGMLLILQPDDLPASSNDEKHVVLTVQPRIPAGSLTFTELPAGMIDEHGSF AGAAAKEIHEETGLTIKQDELVDMSSLTLLNKDKDTDQDETLQNAVYPSPGGCDEFVP LFLCEKRLPRKDIQQMQGKLTGLRKEGEMITLKLVPLSRLWQEAARDAKALSAWALYQ GLKQDGRL TRV_06853 TRTDSAIDGVGIVAILSRPSGPELLLQKQYRPPIDMVTIEIPAG LVDAGETAEECAVRELREETGYVGVVQQKGPILYNGT TRV_06814 IDITTPYAIQAIMSTTEQRESGPGPAEETLAENFSRVSVNDQPE AEAPPKTEEEYAQSMLTLRAIVSSKEAGVIIGKAGKNVADLRDETGVKAGVSKVVQGV HDRVLTVTGPLQGTSKAYSIVAKSLLEGAPQMGMGGVVQNNGTHSVRLLISHNQMGTI IGRQGLKIKYIQDASGVRMVAQKEMLPQSTERIVEVQGTPEGIEKAIWEIGKCLIDDW QRGTGTVLYNPAVRASVGSGSGQGAVTGTNSSYGGSSRSYNRTGNGADFSDHPSSYSR RGNNDNPNRGIPLVTEDGEEVQTQNISIPSDMVGCIIGRGGTKISEIRRSSGARISIA KAPHDDTGERMFTIMGSAQANEKALYLLYENLEAEKMRRSQQQPE TRV_06815 MTRILLTGGSGFIAAHVLDTLLERGHSVVTTVRSQEKGQRILDA HPQVGKDKLDFVVVEDIAQPNAFDKAVISEPPFEAVIHTASPFHFKISDARKDLLAPA VNGTTGILHAIKKSAPSVKRVVITSSFAAIIDPAKPSNYVYSEADWNPIKEEEVDKSP IFGYRGSKTFAEKAAWDFIENEKPGFTLATCNPPLVLGPVVHYLASLDAINTSNERIS DLITGKGKNSCPPTGTSLWVDVRDVAMAHVLAAEKAEAANKRFFLVAGTYCNADIVEI VSEKFPELRDKLPSGDALAPGLVPLEQRFGFDTSRSKDVLGLTYRPLAESVVDAVKSL QGHL TRV_06816 MYKAAHPDSKDTFSISWFPYYLNPHAPEIGVDKTEYLNARFGAD RVAMMQARLAQVGQAEGINFKPGGRTGNTRESHRLIQLGKTKGEAVQTRVVEELFASY FENEGDITSRDTLIAAGVKAGLDESEVKAWLKSDQGGPEVDREVDEAKRAYISGVPNF TIQGKYQIGGAEDPTVFLETFEKVRAEEMSS TRV_06817 MASPASPARKFISSGLRELDPTQKIEEERFGDYVAERYYPVNIG EIFCSRYQIITKLGFGATSTIWLCRDLREHRYLTMKVNVRSKRPNPEVELTNYMKSIE DIHGGEVHVRRVLDSFSIDGPHGTHCCILYEPTGIDLSDFIHRLETGALPQVMLRPAV RYILIALDYIHQLGIIHTDDQSVLSQLEQDELQHPIPRKQTPSRTIYLTREMPITKGF PILSDMGEARRAETKQRGLIQPSIYRAPEVMLDMEWDNKVDIWGLAQTIWTIFEQRHL FDNINPMGELDHGRRFAEMISLMGPPPLEFLKKSKESLKYWDENGDINLQLLNLCSNW KLSHMYPIPKQSLESSEIQLKGDEKKQFLEFMRKMLQWVPEDRADAQHLLFEDPWVRG GDY TRV_06818 MATDSSILDESQDTLGFKSPQLPQTQSVLFTRLPAELRERVYFF VFGGFPRIKPDEKPLYWISGGAPRRLKNHAVDKSMTLLQACQRMYFEAFDFFYQNITF KVFTPLTGVTLLPTAAHNSIRTLWVDIRLNSELGCDCCDEKVEGYFNEATWSKTVKVL NERLPGLRHLHISIIRRKESRSGDYFRPLLEEKVYPTLMGMKKLHCFQVVVNFENVVP ANAPFEMVTSDYSAAYEIQSEREWLSDKTEEEESTDNVEEEYVTQYKRERNDSVDGWK IVFH TRV_06819 MQPHPQLHLQLASLPFAFTLLSVCWSSFIPAPEMADGFHSRRGI LLAPPSTRIAPGNRTLRAPAARDAIVPTGALSISTLRWLGLDYYHRVGGGDRAVASAS SSIVVSAGRLAVDRFCCAVFQEPVVAQFIQGRLEVVENNGIAETFADEGYLFDSDDWL AQWIETRWQSTSKHTEHAQYIDDDENDRNAHRYQHDPEPRAMTNELDEAKVVLGLDYP EDVAGRVYPPGVTVVALSAITNLSAASISTSSILPEERLPRPCLQEEHVNIVEESEVC IDLDDPIDLGQNDGADIEHRDPVGKKRFILHPGNHIMQTPRHVQPDCRD TRV_06820 MLLEKSSSASITTLVTPTKSAREKGWLRNRRQTDPLIREPVQLP QPRPNRHSIDAANANASAGRQSSQQPGSSPLSSESFSNPALASFSPRKTNIHTPSRPS HQYQYQLHHSSSNNSNGSSTVNSPTPSHHPHQLFYTPTPLRQGDQDQYQYLQTPSRLA EEPPPPSSSPNIRHITTSFINTNTSLTSPVSPESNTNSLSHHSHSHSHSHSHSFHFTP AKDSKSPHYKRAPASRSSLGIETASGPPPALSTQQRNVAQDKQQWQLVSTADPPLTRR PTSDSTSASASASLDSDPKSNYSSAGTSTCLDTLPRKEDTSSNCNSNNNHTNNNSPNN NNNNNNNNNNNNKNTTTTTTTTTTAITNNTDMSTSRDRSLRSSVSMEDSRERDAHVGG GSSKGSPRGSKAEDLFLNIAQTSSRLSSDSKARRRSKFGLSSRAKTTEDTPSPDRGHY SSFSQETSPRGNYELPSSRAPASAHPLDEAGRSRFFSSSGSASTIGRTRPSRLNDELS PEHSQRYMPERRDSVPDFAQKKPYKSSLVSSRKTRAMTSYEAGTDRNIGTDTTTKSKN DGTESTMSTTAPSTVWDELDDLKSRIRKLELTGKLPSSSAAAMSSMAGERPRTATTTV TTVSSSPKHKQKASSNSPPVAPPIPVDTDPSFTVSQVHPLLHTALAKAKPVLNQEVYQ ALESTATDAINLSTALTSSMPHTSSMSVVTSASTPDRVLRRKADGVCRGLTELCLALS EDKLRPVTISRSRPGSRDATTVKIFSAGEGEPGTPYRRSVSHEPEDFNFSRLQSSLNR DSHSRRTSALNLSPSMTTLKASPQEVPDQSTTHLTTPSQSRANRRSSLLRARRQDQEE YDTKLQPTTNSSLRPVTRSMTVADNMHLGRDRFHRSSRECTPEYANNQQENSPSNQHH HHTPPFRTTTTTTPSASTPQSGIPLRRTFLSTTSNIPTTSPVSIQPGSRRYGSGQPTI RAVVDDYSSPRTSFGGAEDDSPQHRSTARTISHFSQRPRTNSLGTRRTPAITLNTRRT FEGTPRR TRV_06821 MKSQYGVERARVEVRRDGPLGNKQGKKKKKKKKDEAEDEEEKAS SQASERDGDDDDDDDGGGSEVNEESTRTGSSNKDGWPAMTYSPSRLQIIKSQRATQRR AKSDLSKSQRVWALIQLTFHGSTGEGAGEKDEARGWDGIRGKGSGGQGQERDLRHGDE ETRRRRRRRKRRRCWTMEVVGDASPAYDRLSPLRRQASYPGDVGQDEDEGQETETGQQ GGRQRGTMMQRVHQEQGREMSPAAASSSSVLPRSAHELSTSRQAMGKPETRQA TRV_06822 MGEKQEEEKSKRENRDQGSEINYQDQDQDQGRAGRLQVSKMNQA SERAVFFLLMADSSETTSIYLYPLGPKTRLNSV TRV_06823 MKKEEEEEKKKLKLKSKFGVEVRDEAGAKEVLVTSTSLSTSLSL YIFFFLFFFFTILLLLLFLLLLFSASSLRLPLLLLTLMMSFFFASRLIKTLKVGLLSC FFSFFSFFSFFSAVPVSSFSSSRLVLALKFLCHPPFSQPTMASRRASSESMGEGDLSA ARLSLYIN TRV_06824 MGDGCLFRLLVRVSSAVHLGRTVCFTTGRSYHQEKQLARTELET MVMYREYGNVERGTSFHLGRDGERAGDVVKGKTAAKKKKSVESRREQPSSGCLSVEGG RGRREEEEEEEDEEEEEGEEDEATAMKR TRV_06825 MPHPTSSSLPTTASEAKRRSRSLSRSRLSWSTRHSSLNPPPPSS SAPFLIPETVHSNLQDAYSKSGLDSTAEHDRKPRLMSTRAATPSTGTSSSTSTSTTTT TTTTTARYPSLASPLPLSYLPRESISATSESTDSSPTTSSSTFDSPIIMETSPSSSPE SPTSITPLGPLRKPEGRVVNSPVPEPHLKPPQHQHQQHSSTVGEKESCNLPESSPSRK ARNLKNLSLRLPQPGSCRQPPLSTAPITESSARNLSAPPSPMHHHTTTTNASKRKPPN LTIQTPGFNRCSFGSNAVPPTPTLKPLLRHAESSPSLNSILSPTFPDSTNTSSRPSMS SQQRTTNPGKRPLSGSRDESNSQSTHQQHLFTQHELLERLNEEDDNPISRESRKGSER GYPHGPVLIYDSGLYLYLEPNHEEASRFDVVFNVAKEVRNPFKVAARERQDTVMSVWK ANVGRHNSEPSTATSDATFMSAFEYPPSATAEEAESDSPSTPKAEDTPCQRPEYIHVP WDHNSEILDDLYTLCEMIDERLSQGKSVLIHCQLGVSRSASLVIAYGLYKNRHLDFNS VYSMVKARSRWVGPNMSLIYQLTDFRSKLQDKSNTSKQPAPEWLDSPAAQEKAPQLPL PSSSPAFKKPSLESQSSSNTGFSFFSHNPFSLSRLTSSSSKSSNASNSNSNGSSSKRC SVLPRPLPLREKYQTFHSYRRSNSTSRPETLFPNRSFFQPPNKSHGDTDVHMADCPAP TTTLPDESTIFSPKTTDQFVIASPFSPGIFSPGAPALPPVASGLGLAGVDSVRKSLEV NQPTSPINRPTVMYDPRSPQQSTEPIIMRNIDEFL TRV_06826 MDVLKSPEFAEYVKNTIDRYHVPGVAIAVVQGEHIESAGFGKAS LDPPEDCTPDTLFDIASTSKSLTAASVALLVDDNEKHPQVQYDALMADLLPGDFVMPG DGHGDVTLEDILSHQTGMAPSEYLYCNLMYTAASYLVEQKSGLSFSDFLHANFFQPLR MQSTHLQPSAARSNGLSHLLATGYFWNKKGNKYTPIPCHDSPESQGAGRIISSANDYI RWVRALMNCNDPVTKSMYEGMIKKRISQSPPGESGDDEDENEHNHKPSQAFAGAGVEI LEYNGHVMVSHDGLDLGFGATHFFLPEQNFGAVIFGNSNNASNVARLIKYKLADWVTA GNEKLYSSLLDGVSSSESDEDEEEEEDEDDFTDIENEMIDELCADGGVRSDQTLALSA YTGEYWHDGYKGIKVTQKQGRLFVDARDRSVKFTLEFMHICDQRKYIAYMVEMNEQDV RDPLKAEFVLEGEKAVQLGLTLEDAWDGYIWFKRVDAADV TRV_06827 MPDITESHSSSSHSSTVDQDESAKKILQRQLNGLPTGSTKDSTV LAYAQPLDIALIALSTLSAIIAGALNPLLTVIYGLLVGSFKRHAYEMEDSSRLSSSVS KFTLYYVYLGIAEFILIYIATVGFYYSGERITRNLRQAYLKAIIRQNIGFFDTLGPGE VTTRICGDMNVVQEGISSKISIFLTAIATFLSAIIISFLKNWKLALILLSTSILLGGA EFVGAAFALKYSRENSASLAKGASAAEETFSSIQHVSAFGIQAAMAKRYQTHLNTAEK WGLKMRLSVSVMIGAVNALPYLTYALAFWQGSRYIVSGESTASAVVTIVLATIIGAFA VGRVAPSGEAFISSISHAGTILKAIARKSPLDPFSTEGCQLPKVQGNIELHNINLTYP SRQHVQVLNTVSMKFPANKTTALVGASGCGKSSIIGLIERFYEPTGGYITLDGHEISD LNLNWLRQQISYVVQEPVLFNRSIFENILLGFPDPGLSRPEHETQELVYSAAKMANAH DFIMALPQGYQTEVGTRGLQLSGGQRQRICIARAIITNPKILLLDEATSALDVKSERA VQQALESAAQNRTTIVVAHRLSTIRNADNIIVMSNGSVVEQGRHDELMRKSRMYSALV EAQQMDIPPQHPVEEAEEKHVLEKERTREVVTTASIEVNPCPDGSPNDRHQQDTQEGR RPTFKTYFQTVAQLNREEAPVIFTGVFLCFIAGCVIPVQSVFFAKSINVVSLPQSQYT QLRNEINFWCLMFLMIAIVNCIAWVGQGTCFSYSTERLSHKGRYQMFRSILRQDQAFF DQKEHSPGALSAFLSTAPTELAGLSGAVIGACLTFIATIVGGVILSLAVGWKLALVCA ATIPIMTGSGYIRLRVLSLFDGQMRATHQEGAMYASEIITVIRSVASLTLESHVLDEY SRILAQRAAKTMRFILITSTLYAASQSFTFFCMALAFWYGGTLLADYEYSMLQFFICF VALISGAQIAGAIFNFAPDMSKALHAGQRVKELFELKPRIDTWDNSVQRITGSTGQID IVDVTFRYPNRPERVVLDRLNLSIGRGQYVALVGPSGSGKSTVIRLLERFFEPTEGKI LVDGKDISQLNINDYRSLMSLVSQEPTLYEGSIRDNIILGTEREVREDELVQVCKKAN IYEFISSLPDGFATLVGTGGTMLSGGQRQRLSIARALLRDARILLLDEATSALDSESE KAVQDALDNVSKERTTIAIAHRLSTIQNADFICVLDHGRVVEKGTYAQLLAKKGLFHN LVQMQSLGAVGPVN TRV_06828 MLPLSVLQFQDDLPSHQELPSFVNHDLLIAAGAKFTSASADPLE SRFENQIRLLWSRVLGVTPDSIGEHDDFFQCGGSASSAIRLSQMIYEHGLLVTVRDIF QWPRLIDLVARACSLVNSSNRDFVPPFTLLKSSLDAQKARTQASHLCHVKESQVLDVL PCTPLQEGMLALTRSNFNEYVQQSIYRIDRDIDIRRLKCAWDQVVASNPILRTRIVSL PNYGIVQVVLDEEVQWVFESTLGQFRKRATPKELPMGLGDPLIRFGIISGMSGDTNYF TWEMHHALYDGWSIPLILSDVEHAYYREPGPELLDMSPYIKYIQNINEIAAHRFWTDQ FAGIQGTGFPWVGLSTYVDPNPDILTTIMTRYVSGLDWGRSDFTAATIVRAAWALVLA IRADSNEALFGVTVTGRQAAVVGIEYMAGPAIATVPIRVKVDWKGNAYQLLQAVHRQM ADMIPFEQTGLQNIRHSSEDAAIACRFRSLLVIHNNTPDDTSFSGRPFIEELPHSSIG PRPCDGRYAIDIDCRLAHDGVYARMEFDTRIFPKSEMACVVEDFEKILRFLADNVNGQ GRLADTVASMSSFTSRRLADVLQWNSQVPDPVTECVHVMVEKKASECPNSLAIHAWDG SLEYQHLLEISTKFALQLMQVGVTGTIVPLLFEKSLWMPVAALAVVKAGGVLVAMDMK QPYERLAQIVSQTNSPVLVCSEQSSSLAQRLGRQQFIIGWNRHHLDVRCQPKGSDLPV IHPESLLYIVFTSGSTGTPKGVRITHQNLCTAIAHQREALGYNDKSRVIDFASYAFDV TWSNIFFSFAAGACLCIPSSQERENHLADSLRKYGINLMDSTPSLARALGKYVLSSLT TLIIGGEMILPSDALLAGDHTRIINAYSPAECTPTALISPLDATGVRIGRGFGVVTWI VEEDNPQQLASVGTVGELWLEGPLVGAGYLDDTEKTAAAFIQDPIWLVQNTGRRGRVY RTGDLVRYEPDGNIVFVGRKDDQVKIRGQRVELGEIENVIRGAIQQPTLQIVVEAVQQ ASDTGNLTLVAFLALQGSQEMDEHSHNTEVQRITEELASRLTQLLPSYMVPTMYFPLR EIPMVTNDKTDRKRLRAMGRTLLSQAKSSAAGNKGTGNDNEEPLDETQAILQNTWMSV LNLSREEASIDATFARLGGDSISAMQIVSQCRMHNIHLTVSDILLSRTIRNLAKVCRD VSSQGNPLSPKLEEDSTVLFDLSPIQRNFFDTYPDGLNHYNQFFLLDLKNPVSRASLE AAIQAIVLRHDMLRARFEKDLDTHTWKQRIAEYSKETFALTEHTMKDHAAVGLESQRR QENMDIRNGPVFACDLFNLPTGNQMLLLSAHHLVIDLVSWRIIWADLEDHLQSGNLRS AQTLSFRAWCAHQARIGRTLSPLVVLPYSIPEPQIGFWGVSSDENTYDQCKAVEVSFS SDMSSLLFGKSNDSLGTEGIDLILSALFHAFIHTFPERSTPAIWIEGHGREQFSDQPV DVSSTVGWFTSMYPFAIPINLHHNLARDVVRLVKDTRRKVPANGQQFWACRYNSESGR LAFEAYDTPEIVLNFTGRFQQLEKEDGLFNSSSPSEPSDSLLKITEVSKSARRQSMID IEAAVSEGELKVEFVFHKLMQSDRLQKWIQNFSQDLEFLSHNFAQAPSGFTLSDLPLL PLSYKGLDILLEQELPRMGVKPEQIQAIYPCSPLQEGMLISAAKGVASYHTRTICHCD SFGEPVCPIRLESAWKVVANRHMVLSSLFTLHPEGNGFIQMVLDKPPVRVIQMETDDN NPIAVLNKKEPPSFFLNEPQHSLTIYRSKAANAVSFRLDMNHTMNDAQSMAVLLKELA ATYDGFELPAAPSFTDMISYIGQTPKEKTAAKWATILDGIKPCNFPTLSPSSRGMIPE TLGEVSSSTSHSKAHIMDYCKKTSILFSAFLQVAWAITLSHYTGMKEVCFSYLTSGRD APIERVEEMVGPLANLLISRVDMGVPVKEVLRATSKKSEQNMAIQHVFIGEVLHRLGL SGQRLFNTSLSIRSYDKEEVQKHSIKFQTLDDEDGHEYDLSLNVSLNRHGHTDLLLEY RKPYITQQVAEEVYETLNQAIDYLLTIDIDSNTGDDDLREGITIINNDQSAKSTSLFD QIFLQKYGIERSIADSFWKDQFSNTRGVHFPEVKQMETTGIERGQKIHLTLKSIDLIP GSFPTEVVVGAAWAILTAHFSNSDESLFGVCRLNGEGRKNMLPTRIVLNWNNTILQFL SEIEQQIRSMEPFQRMRLEQISCLSDEAEFACNFQTLLVIDSSRTEGIECYENPLITN GDTKASVFKRDNHAIVIKVSEGESHDVEIAMGFDSDIVSMDRAIRFTDELEHTIRQLL DTNRREDKLCSVTVASHQDLNNIWAWNAELPVPVEGVIPDLIIEMVIQQPHFPAVNAW DGDLTYGELHELSSKLAHRLLAEGVGRGSIVPLCFEKSKWMPVAALAVMKTGAAAAAI NISLPEQRLRSIIGQVFTNSKSTLILSSVSNQPFFESLGQGVVYKVGDDLHLPIGSTI ATPDWPAVHPSDAFYIVFTSGTTGDAKGVVITHQNFVNAIAYQGEFLGFGGNPRVLDF TSYAFDVFWLNLLRALATGGCLCIPSQEEFENDLGGCLEKYQATVADLTPSVARIVEP RSAFSRLSTLVLGGEAILPSDLDLAGDETQLVLAYGPAECTPTSTILYAPKCLEAGEI GRGVGVCTWVVDLKNPDALAAVGAVGELWIEGPLVGGGYLNDPKRTAEAFVEDPVWLR RGSPDGRHSGRRGRLYRTGDLVQYQEDGSLLFLSRRDTQVKIRGQRVELGDLEHHIGS MAVKFMQDSGALGENGSVQVVAELVELSGISDKTLAAFIAVDGSSNSSFEKNEEVNGQ AYNRLVQQMISSVRKQIEEVLPRYMLPSTYIPVKRIPIAATGKADRRELRRMAASLST REIEALSEASVDERAPPQTEKECVMQGLWAEVLKIETRDRISASDSFFRLGGDSIAAM KIVALGRQRGLEFTVRDVFQHPVLRELCLQCHDLSV TRV_06829 MSLEEEQGGSHPQNLEPSELGTKEYHDRGADCGICSWERYYDSS LAHFLSSKRDGRRRRDEQQADGEDEDEDDDDGQGEDDDSDIGTSWFSEHNAPQKVLDF MASEKFPLAPDYSQAGRESSILDLGTGNGSMLTLLREEGGFSGPMVGVDYSVKSIELA RQLAGQGDGGQGQDQGQGQGQGKGYEGIRFEVWDILDPRHEADIRSGMFGKEVDWFPF EQGGFDIVLDKGTFDAVSLSAEGGSRRICEKYPGVALGLVRKGGFLIITSCNWTESEL VSWFTAKDHGDGDGDGDCFTVYDRVEYPKFRFGGREGQGVCTICFQRNR TRV_06830 MFLSRFRSDDEEEAEDLLASFLPILFPDSTTTCVGQPGQQLVYS SPAFGELALTIPVHPRDQAKGKAEAAEAAEAPRGEGVDRACMLFAHFLWGSSLLVTDG IERASSSSSSTSSTSINSPFEAAADGSVWSVKGHDILELGAGAALSSIVSVLAGAESV TVTDHPLAPGLYGSIQANIDRNIPKHLAHRISVQPYQWGVLGQGGSVDEEELETAYLD QMAGFAAANRARFSRIICADCLWMPSQHENLIQTLLWFLAPSSGSPAGIAWVVAGLHT GRHIVASFFQKAVSAGLKIEKIWERDMNSTEGETTREWKPVREDEGPENRARWCIVGL LSR TRV_06831 MASFKVRTSAETACSSLGMPQCSVRGRGLRACVCWGAQRERERE RERSISFLQRGEQLFTNDSLALEQQQDSSSSSRPLPQAIPNPRQASNGRTHSHSVSLG ALNYNHRVTRRKSMTSSAANNTNNAAAAAAAAVISESGASAGAPIHTSHHRRGLSLRR AAGLESTSAGSSSPFGPSSHAARNGMAAVDRKPGPGSLNSSSAVSEELPAGTGAAGRP ISIKHRSRRASEGSHLMKGEGKRSLVELKCDRCGKGYKHSSCLTKHMWEHDPAWAVTS KLLISKHQQVQLLEAASVLVTLNEPISEEQAAARAAAAAAAASGSGDSDRSSTSPTVS GISELRDGLSSTETTPPPTGDDGALSKSSNDPYRYPSSHSSYNHNYNHSFSQSLQSIP SASFASASSVPSYSPAHSHFRHSSTDTRPSTADTNGVLDDDAAGLAAAIELCNFGTPR SGPMAILEDVPPVPPLPARFLGQSVGSTMAMANSHSNGFFGDNSTVSGPSTSMNFSHN SGSSVSGATITGNLAASTPNIFDGLPMATHAPISYRVSDERDVKMADIKPDTITQHSN LRNDSTSPANPQHSRSLDDESDRGSPSSVVHMDDDDDGVFGHMEE TRV_06832 MPESSALGELFIEGPSDPDAQATVTDFIDYTEYLPSDLVRSLTL IRGLDERYVNATASVHELTKVYGQLPNLPAESRPQPHILRKQISEQLRRAVNARESAY AESCRLYDLVDHYVDRLGSIKSKLCTILANLGSVKDEEIATEKRPGTAEGAGTAPRIT LRVDGQKASGAARRQDTAAQKKSRSQKPAALKTGAALPESPAVAGPSLNLPLDQALER TKKEKQPKKQKPAQSTNRSAADAARTAPILEPPPEDAVIGGEYRPWLRLTEWEMARLR KKMKKNIVWQPSDVMIQRELALGSRGWEGYLAAKQKAEEEGTEFVDCDDIMNTYSPSV PAFKGAGMPPQLRNEENKTVLNRGMKLNEAKKQKRESLAREQAAALAAAEAEMAAKRL GNIGSTFKNLFSSPVQPSQTPAPTLPTPSVPPVAAADSVQSTGPLSNNANSSNNVNNA SLANDSTAMPRLKIKPSTSKKRKHDELVDAVPSGQEKGGSRPTSAGASPSTKPSQKRL QLTMPASENQPPTTGAKKTAKPTISLKLPVLPPRPGSKLSSPMTSPAEPKSAVESSTR RRLSGVSKAIRSATPPAVARTSSRRRSITSGSVDSTTRDRLRRKSTTPAIKDLSTNQT ATTTAAGTAVAPAVTAAGRRSKRPAPGPVTAGEDGGSAVSIGKRKAKPAVKKKRDQKD SNISSKTEDIRIDEDGVVEEIDPNEPRYCLCGDVSFGTMICCEDDDVSDVERSVVACL LSVSFFAPVTCFLFTSAFLSPVYLFV TRV_06833 MLYSKGAPGIFLLLAKPNFTTRPSVIMRDRDPDTEARVSEAFLQ SPSNIFRLMPVSLMQMIIGNLHIIDALHFGLTCTDAWCAVWPTLKKFSLSSLGTWAGT PIFCGAEGIMELESIEPPELPTGDWYVRRVNRLLEGRSIDRIRLRRRSTFTQMNGQRD GIPDRPTTNPSQPAGLAGGFGVSHAVFNTSSRNRRNVISNRVPNDQRNGLSNGQRNGR PNGTSPEGPSQPVTGHMNADMPDRTNGHSIHHRQPNAAPRSRSSERAQQRANVSYSDL FSTFDGNNPFGNHPSHPHGVAHNHPNGIPLNQGVRADGVVSARQNYREELRRDQRTRQ ILLELRNMSNGANRTNGTNGTNEVNGTNEVNGTNEVNGTNEVNGANGVNGTNGVNGVN GVDRVNGNVPVHPSGSGAHRTLTRIESLPIFVHQAWAFFNQTRDVINDCLPTDLLLPA NAYIRTGAYYPVDLLTIINPCPASLYRTEPGDEWVLRSLTARQYVRSTAIALHPGYIK GPFIMGIGFGEVVFAMTVWTHTSRGRWAGHSLDIILLSQLEEDGGNWEDVGDYVRRFI HESFRMHYGEDWQEEAVKLAW TRV_06834 MRAQQLAAPSPEDGELPDILIFKYQNESWSFEFPAFSIDDDRLC VSDIRRLAGQTLNIADISRIKLMYKGRLMTDDAASAKSQGLKQFSEVVAIVMPGYTKG VYGGSSGLIDGWREAQLIPVSDSEEDDEQPSLRPPPPPPLQKSQQQQQQQPSSSSSSS SSSSASRPRRPPKVKIEVRDDDESEDERYYYKPSPVRRGRSPPKYVPQERRKSVRRER KPRDPSPVSPDRKPRRRPVQQQQQQQQQQQQQPPVQPVQPVRVPVSAKEPISPREKIY ARQTRPPSPPKSKYQYPAVPPYPSPPPAAYDPLPNICREPRPYATSHPSPSVSSTVSS STYTSDSASTAASTAASVSPTVPTSIPTSTKPKPKPKANKTYSVPNRPPTPCPPFLAS HSTSDKLTIFEKYVTDKLIPLCTRFIMHPPADARAQNKEQRRLSEAMERVLARADEIT VGSSRPLRDRRKAAVQMVQRFQTRIDAMIVPE TRV_06835 MTAWGREDSGEEDDGDEEEKEEEEEKKKKKKKRKKKMEGH TRV_06836 MLYSLRSNARYQLIVLCSGLLGLIYLALTHELHFSSLRGLVMAL AYAWGLVLAIYLLGHGLVALPRKMWRAAWTGHRLRRLQQRAVRVHDALVEAGGKLEEL RSLVSLLRRKRGLSLEMQERVDELVDVVAAAGQGQDVRRGGPAAVQSLQSEGRASVPH VITDRYMAGLTRDLYRARHKHARYADAWSRLVREALDCQTILDAATSKQLVFDSRSSL SPSSSGFGPLLTPYLRYLIYTHLLPAIYICLAAVFACLSVCILWSELVRTFFPFLSIV ALTTPSSEPVGFPSQLLTSVWLLYLCAAAGTGISDAQVWGNRALVPRNTYHESACWYA GQVARLTVPLAYNFLTLLPTDLQLRTTFYDFLGKGINLTLIGEGFDLFFPLFILLPVA ATAFNLYGKVKGLVGLGDMEIYDEDEEDNPSGFGTGGWREGRSLIETELNGPGYLGLS STSRTPRSSTTSSRTAAHRANLPDTLPRYRDAPPPTTPSNRGTAAAASAAAAPAHTSA STSAPRPVDGEEDEEDEEDEGFFQSFAHRVRNTIDTASTPKWLQDVDAGFKRPRWMGG TNDGDAPGPSSSSPSTDSSAFARWFGGGGGSGGVRL TRV_06837 MGEGETGLAWAGRFEASLSAPDQSTDDKKMIIKEEEEEKKKKIM MLLLLFTLSAVSVSLILTLTPNNHRFTCSLSSSCAVLLPLLPLINPDSAAASLAAASP KSTPFLLLSSFSPATLD TRV_06838 MNSFHQLSSPAAGAKPQPVQKPDNQALFKLVVQALQPQGPFTGW REAFPVRERALKAMTMCVLLPFLCCLPACLQRPTTRPVSVVSRVFDCYYSSALSWVVD DDMTGRAFAKPLCEVGKTINAGLRFEEQTFREANEQVHQSSSSSSSSSFMITADYERL VNEKHNTIHQSRLKQAMMMQNGMMQHANQQQQQQQQQQQNGGMQIPPSQMNLLAAMQQ RQQQQQQQQQQQQQQSQTQQPMQGSPLPGQVPNGRMNFQQMHPQQTQASPFIQQQLAR QQQQQQQPRQGLGMLQDLGSLAPNELQDVNALADQMARNTSAENLEKIKMSLQNMPPE QKEIFAQKRIDPLAHFFRSQALAQLRRRRLERMGLVGHGQQPGGGMDPAQQAAMMAQM AGQPMMGMQQHNIPISQPDLAFNGSVDQIQGQQEDGLRSQEAGQLVVPATANPPNATM LNPQFAAAQAQQRFQKQQQQQQQQQQQQQQQPGTRPTMNPALLAQQQQQQRQNAMSKG PQFQLQPDQTRNQAGMNNQLAMSSQPNQPSQIAQQLPPQGATPTMPMGANPTAQPPQQ QPPQPGGAARPTNHSNVPQAIRDQMSQMSPQDANVFLGNYSKQIRLQQAANENRANQL GVAGQNQVPQPGQPPQQQPVDPNQPPQNLNQGLQFGADPNMRNNAMGMQQHLMGPGGV PMHPQTSQQPQQQPQPGMPQSQQPIQHAKSSPFQPQAQTQSQADLSRQQYMQQAAREL SEDQIRDMDRVPFPPSVISAGMAGMPNPPPVPKALKSWGQLKQWASQNPQAMGQLTLP KLLAYQKMHFHGLQKEFATRFQAQAQAQAQGQAQAQAQAQAQAQAQAQAQAQAQAQAQ AQAQAQAQAQAQAQAQLAAQGHGQNFMSQQLQSPFQQPGQPQQPQQQPQQQTQPQQNA NAQQPAPGFNQQGFQPQPRTTQPGQQPGQMGQLAQQPSQPGQPGQLPPGILRPVTLND VQIARQQLGARAQKLSDEALRIILERNRQKQILKARGIPPGQPQNQAQLQQAQGFMAQ GQQQQQPMQQQQQQSQAGPMQNVGLGVLPEYPPTSQQQQQQQQSQLQNMQPPISSSPM QPGISQPNSTQPLVKPTAAPTTVPPSAKQRPVPTTKPAPVPAAVPAPPQGLKRPNPSD TEARLGAMDSNSQMNSNARPMPIPMSQFNPMNLAAMNPQQRAQVEAHLRRQAQLATQG QPQMPNLTHRRTREATAEAWSRLPEPIKRAYEDEVRNDTEFAPVPMTPVEYADASQKL RDGVDMIANLDALIIWFAKFREQDRSLRMLLQMRVKLMKQFKPNDWTVADQLTCKHDF VHHALSFIQRCYAAMFSRRQNPQNQQGMQQPQQGQQPQQQQQQQQTQQQQQQQIQMQM QQAQAQQAQAQLLQTQQAPSAANAGRGTASPQTPNVPLNAVNLQQLEQQERARRGQSQ SSIPPTAPTTRQPPYQIGATSPQGIPRAYGPHQVTKDNLVIPETKKRKMKRPANESPV KTNKSPASKNQQLKATPPATTPVAATKNATPPTQVFRCKVSDCSQRFRGFPSQAALDQ HVQETHSAEEPIDNPLDYLLESIKMGLGVSKTANQHNGADTRAAPAITGPSSVEMKPS PSQQEKSSILKGSASASKDKKEATAPTTSLEASAATKDAWSDSPISREMIRTAFTEIG DETSCGLVTDPVDDVIAERVQALTDELFETAPAKEYSSGPRHQPPDDTPASASSAMMQ TPRDGEIKDEEMGTDTDNWMPLDWNNLPDHLDDDMLTNDGWQSVNWDAMELNDNFTDN SSKLRPERPIYSI TRV_06839 MVFVYLVSLSRRANTGSLEESIVFDTPKRSFHSLVFVKALIIYY VIYLTRDNFVDVIHLNFLGASTRQAPAVHKDRNLDKHAITTASLLSFGCTNQPGEEEE EHRPLLYFTKVLLEEQRRTGHHGPQGSCSFPRHHDVGDGGLQYPPNQVSANGLPLSSR FSRRTLFPCYTYDDFVEDILFMTDYENRLQMFVGEMGCWLVLFCIDIWKGFIAPRFSS AAPLFTDGYQSIDNADEADDEPGDIHKAEEDTRTQLSGTKVLLLALPACCDITGTTLM NVGLLFVVASIYQMTRGALVLFVGLFSVVFLKRRLYFYQWFALVCVVLGVALVGLAGA IFPDHHKPPVDIVPGDADAVVALIRRAGEIITSAEKHVDPLRVVLGVFLIAFAQIFTA SQFVLEEWILEKYAMDPLKVVGWEGIFGFLVTALAMVILHFTVGVTESGRLGYFDAVE GWRSMTGNKTVAITSILIMISIGGFNFFGLSVTRSLSATSRSTIDTSRTLFIWLVSLG LGWETFKWLQVLGFAMLVYGTFLFNDIITPPLKACLPSRDEETREPLLPEEPIEHI TRV_06840 MVITTVLRNIKDTGYPLRVHVWSLLSANVIELAFVDLAMVASTA VTVPLHKAIRRSKGLLRWGMGGMIVQSLYQFAWLSFWVILPFKLYWTWTSQVFLTLHC LVFLMKMHSYAFYNGHLSETERRLSALDKPQAKAYPAAVHYPRSPSVADGANPAIGDA DSPDSEPDTKRDVGKLRADLAMELTSPLGRVTYPQNLTWKNYIEFIFFPTLCYELEYP RTKDRSWIEVLIKAAAVFGCIFLLTLTSEEFIVPVLSDSAFRLRTIPSHFERGLILAE TISMLLFPFMVTFLLVFLVIFEYVLGAFAEITRFADRRFYSDWWNSCDWLEFSRKWNL PVHHFLRRHVYFSSLTRFSAPGAMAITFLVSSIAHELVLVCITKKWRGYGFFAMMMQL PIVAVQRSKFVKGRKTFNLILHGNLEYLFLDIYDPWVIVDPVY TRV_06841 MTSLKQFIRNVRASKTIADERAVIQKESAAIRASFREDSTDSGI RRNNVAKLLYLFTLGERTHFGQIECLKLLASPRFADKRLGYLGTMLLLDENQEVLTLV TNSLKNDLNHSNQYVVGLALCTLGNIASVEMSRDLFPEIESLISTANPYIRRKAALCA MRICRKVPDLLEHFVDKAKNLLVDRNHGVLLSGLTLAIEFCEYDEIEGTGEIVENFRP MAAGLVRTLKGLTSSGYAPEHDVSGITDPFLQVKILRFLRVLGRGDAATSELINDILA QVATNTESSKNVGNSILYEAVLTILDIEADSGLRVLGVNILGKFLSNKDNNIRYVALN TLVKVVAVEPNAVQRHRNTILECLRDPDISIRRRALDLSFTLINEGNVRVLVRELLAF LEVADNEFKPAMTTQIGIAANKFAPNPRWHVDTMLRALKLAGNYVKEQIISSFVRLIA TTPDLQTYSVQKLYAALKEDISQEGLTIAASWVIGEFGDALLNGGQYEEEELVKEVKE SDIIDLFMNILNSTYATPIVTEYITTAAMKLSVRISDPAQIERIRKFLKTKTADLSEE IQQRAVEYSNLFGYEQIRQGVLERMPPPQIREEQRVLGEATNKRQSRLLKDKSKKPSK PTEQDMLLDLMGGGSEPPTESTSGKTNGSQNTADLLADILGGGTSEPAPQPQPTSTKA SNVNDIMDLFGSNGASQQQATSGQPGRPTPASAPATPSPSAHEVFNKNDLQVTLQVQR NSAGTCQILAKFRNTSALDSFSGVGLQAAVPKTQKLQLSAINKSELDGGDEGTQAMRI AAASGIYTMYALLVLDINLTTFWTLPTLTFPSTLGFCI TRV_06842 MKVFAYIALATVVAGANIRNHFGDNCKGGYLDYPNIAQRICASA LHDKIKGAVTVAFSQLPQHSYMNGYQNTRDGGICGSRQKQQNVGNTDHKCLPKLAGGA EYAGSSWTAPGFKAASEKDMECTGEMAPHALVLNDGHKYALGGMEKDMINTLYSMAIE GKGFQELPTEFGAFEIEKEGAQQRAQEIKA TRV_06843 MGLDFDIADLLAMETTSQYAAHDDAPERSPHLGSSGGVTQVPNA PISQQDINFVLNRTAQAPPAYSTPTAQVYGSSDGCNNTFAQRRDRTLNPSDGLHGSSA QGAYPIAELDLIGSCTMQLSELNARLIRDLTMNKLQSGPPLELLEGPPPSALSKFIGS ILGNCQEFLDILQHLKSAHTDHTKLSHTSMTELLEQQSSQNASRFYSEPDSDTSICLP STPNTHRQTRGFSGQRLNGPSPFSFSAHLLVLSCYTCILEGFDSIFTAVLGMLTDGSY SLDLNLASILPEFSLGGFRLDGHNDLQIKCLLHVSSMVLVKIENIIGIGATEVDTHNS RNGLLNNRDLGGLLDALYCQKQFDYTGGGGSRVARVKVTMKSIEKILDSA TRV_06844 AEFGNLDDHENPKKWPMLRKWKAMLGISSFVLMSPLSSTIVGPS LPSIARDLSITRPAEQALVLSIFVLPYAFGPLLASPLSEIYGRVPVIQSWNFLYLVFN TACGAARSKSAMFAFRFLAGFFGSATLGIGGGTLGDLFTAEERGKAVAIYSVIPLLSP IFGPILGGLISQHISWRWAFYISSLSDAVIQILGLYLLEETYAPVLLRKKQRRSVQLA SPNQQLRSSTKDILKELPIRLKANLGRAVLLFTTQPIVQAFAVYNAFLYGIIYTLYTT FPDLYTNIYHQTEGMVGLHYIAMGIGMVIAAEGFTLVNDRIFAFLKAKNNGVPLPEFR VPFMAPATLLIAGGLFWYGWSAQHKLHWVMPDIGSGLFCAGAVICGVSANAYMIDTYG KFSASALAAVGTLRSLTAFGFPLFAPYVYVFPLHAAIYLLINA TRV_06810 MQNSLPPGVTVAKADNLEQWEMDIRVLDDNPIYKDETYRLRFTF SKNYPIGITPGLTMFSFFGMAEAPEVQFISLPATSETPRPIPMHPHIYSNGIICLDLL GSAGWSPIQSVESVCMSIQSMLTGNTKNERPPGDEEFVRRDTRRPRDIRFIYDDHTV TRV_06811 MVLAARPLDEWANTRTQTFDLAVLKGSAIGIHATHYLDLHLNHY VTKEPLLIALGGFPFALQANITRELQALKAADVTPVFVFDGLDAGKPYPDFSAQAENT KALNQAWEYYDQQQADQVVDAFSGAVAPYAASAQLAYLEKEPHRFIDAVFGPAELFLF EVEKIITKMDTDLRHFNWVTKSLCQEELGRLSNQQFADLCLLLGSPFLPTFPPFETPG YGGGKRVNIRDAVGMFNSAGRNALALCAQFEEDQRVHDLDYMDRFKRAFMTVKHHVIM DADGKVGPLDPENASSDLHELIGQRLPEELYFYISKGILGSRIPNWLTSGELLLTLPL GTEDTPVYRRLFTENLPPIRTQALCLLSNSLHRFYQTKVINVRAWYDDKTDKSIHLKD LPSVKDTITSWRLGNKQLPESVQKLQPLTTKQEIISNVTWRFLQLRGYVDSKHQLTTW GKALESALSSLKPSDNLEEPTFLAVELVRLGILSSKDWFPNTSGGPMRGTADEEQRNN LLISRVACFGKIQHKPIGYSGPLSRQLLSFRSLVSTVRSALRDLIEVVLASLLLSGDA NRDRDDWTDLSLSLPFIDDNDCGLAIAVRTYLDDLPQEPEPTTEAIREEVKDKGKEWF QHSHSFSENLDMSFHLWDAVSARPAP TRV_06812 MASEAEIQLPVIDISSATAEVGKQVIDAARQYGFLYIDTASSSF SKEEIDSTFKMVSIILSIFQGNQTSMFKTNPTQAQEFFASPIEEKKEVEIRSDGQNMG WTAMHKETLDPEHQQVATTLFHRSLN TRV_06813 KGKKKMREVNFSIPSVNKAAVNITTTLYDRRALDCTSTLPLINS LNHLAYLTTSSDRIRDILTVDGGIEQLVCILKAGRSKDLMEMWKWNLAFQCVVNIGVR GSENVRTRVVEADMVPVIATILDNYIRVVDRIRASSSAAASTDPEASRSKQHKTAINT TTTTTTAAATTSIPTSSTSSRRDQPPRTSRASFYDHRSDQRNARRQAPPPSIEIPLPY AQDGPEHNTATTNTATTAATTATTAATEGRHNNLHTPIDVQPSPHIVLTSPPERTTFN HHHHHHHHQREGHRHRILQPLASATVATAATTTAATTTPTTDPFNPIRQARDVDRLPS ALPGLQAGITSQPESPTTPLGAGAPQANISPLMPRIFHRRPSVRQQRSTSGDSDDADA QPEPDDNDIIMGEGDLETANVETAEQQPIVDLDSSGMDLEDINMRDRDAMLDDASTVN APTQAAQAATSPANGHPPTHAHARQPNGHQAPGVFNNPYPFYLQNQNQNQHNQPSQSQ SHPQSNPQSHSTSQPHSSRSGNPAGPTILAAMPRDEDVLLALQLLAYVSKYCNLRSYF QQTHLVPRLKIGKELEMLDEANPLPASTSSSSSGPSTSAPSGSNTTTSPQTAEEAQEE MEEYILPDDFNIFPLVEKFTVRHHTKDMQYWACVVMRNLCRKDDSRGGIRQCAYYKCG KWEEFQRQFAKCRRCRRTKYCSKECQKSAWVYHRHWCHSSPEH TRV_06805 GKRLFIKDMAYYIMPPDAQPATRVASLQIPKRGIGTNMDTNGAS SNGVARAQDSETPHRTNGSISAPETNPEADNPTVIPQELLSKFHFAFLIRDPHYSIPS YYRCTIPPLDDVTGFYEFYENESGYDELRRFFDYLRKIQFVGPSHASTVHTGNANATL NGTVNGTTNGTTNGIHSEPEKVEICVVDADDLLDNPAAVIERFCKSVGEEYTPEMLQW SSEKDQKVAHDAFAKWHGFHDDALGSKSLRPRAHGVAIFGTCTADTYSSVANKKKAAK TEEEFDAEWKEKYGEKGAKIIRSAVDRNMADYRYLKKFAMKI TRV_06806 MARYKGLSILSLFAIFSSLASAELDCSNIKVDGVMWNFGKLGGA HSISETASSHEGYNTTYTLDICKPLTKTLCKKGAFVCAVRNATDINGIERTMDVIDIA GNFVLNSGRTLDPIFTRLKKEDPKTEGLKMELHGGKHKFGNLLKRQKAVITLLCDRER TGLEGLESSKPDGDKKKDGEKKDDDKKDNKDKEGKSKRDGEENKKSLIFKSYNEEEGT LDLEWKTKYACENVEDGGSAPSGHWGFFTWVIVLYVVLVSLPWLSERVTNV TRV_06807 MFQRRMLSKDDEATSSEETEVRREDQEKINRFSRLHQREAVLEA QLKAKLKDKEDLEEVSAELDLADEDVPVPYKIGDSFMSLPLSEAQEMLAASTEKIDNE VSDLEEKLGALKEEAQQLKIALYARFGKSINLET TRV_06808 MRSGAPKAASLMGSDSDMNEDMPAIPEIGSQPARLHTPNVNYDF SRVCSKMRVYGDGLLHFPQTPYFLRPQPVLDADVPPLPPTNIAHVLMRHYFSCVHCLY PVLHWPTILHVYERVHQTGTLQGVSRGWAAVFFALLGCGSLHSLDRDLIAKGKEYIKT SMGLIDLWQNTFSIEQARGAMLVSIYLSELNINSVSWVWLGAAVRIAQDLGFHMESGP WEPMDGEMRKRVWWSIYSWERLSALELGRPLAIIDEDCDISLPSSIEEQLIQEGTPAP DQKSNPLLVIVHIMRCVSQLTKALKSLVISSDTLELFDRHFRACLNSFPADYHMQSNQ YLDPRSLSPVIILQNTRLVLHRHNLSPGCPPEIRNIAMDHCLAVARDTTGILTRCMRS PTSGEPGAPSKVNGDDWRYLLAAAATSVICKHIWRCILLLLCRADYAAALVCIQACSA IGDARAVNIASGRYITFFLKFLLEKQQKEGSFNFVDDEELMAYVSGDLQSSMDTSWVW RSTCSNPSLDIGAKPPSSTLVTSSPPLKTESADTARADGAETDDQAQDWEGWGWVEMV TQRLLAEQQQQNNAISPNGLDNPRLDRPVPNGVNASCRSPETATSPCQKSNISKMTIA NII TRV_06809 MDKENQTEQQQQQQRREDEGEGEEEWSGAFDPFADPEERRVLFA SLDSFRRRQSFYALPSLHWKTLAAPPFNLLETFNKVDDAIDANAEVAEAILDSALEAF QLPRHPQAEDTAKEGHGRDVSWHDTATSSDVSKANSTIRQLYRDWSEGGAVERETCYG PVMRDLQDEFGEMPAQGTRVLIPGAGLGRLVFDVAMAGFDAEGNEISYHQLVTSSWVL NRTKRKEECAIYPFVLHFSNLRTRQQQFKKVLVPDVHPGSAVRGYEADAEGKEDSRKE TKKMTGSMSMTAADFLLLYNEESNREAFHAVATVFFIDTAPNLIRYIQTVHHCLRPGG IWSNVGPLLWHFEDRPAPSATAAAAAAGGDGGKVTEDAAASAHAPGDDDLEKEYSHGH SHNHSHSHSHSHHGRGHGQGEGIADPGVVELSQDEVLALIERMGFAVEKVEEDIGGCG YIQDPDSMLFNTYKPVHWVVRKK TRV_06776 MSFNDQQHRGEAAGYYDGNNLQLDQEQAIRQARYEKHGREDKED DDDSLFSKAMGFLNNKKDKVGREDIDEQKVVRSHQMLYGDKDDRDEKHGAESLGSGAA MQALKMFMNSSGNQNQSQGGGDQNKLVGMAMAQAGQMWEQKNQQGRVNTDKQTAVNMA AEYALKMYIKGQMGGGSTGAGGLGGLGGLALISSALGGSGGQQQQGGGLAALAGALTG GGQQQQQQQQPSGLAAIAGALGGGGGQQQHQQQQQQQNQQQGDGASNLLNMASKFLK TRV_06777 MTVERAERDLTGEDAATKASVDTRDAEIPDGPTTETLDEKFQTS KWEIWAYYAYYVGNNGLTLFNFAPTAFQNLLSQAAGPEGTLVFAGSRRTINSIVLLSN GISFAIQVLVFLCIGSFADFGTWRPNILIALSIIAYAVGFGWIDVHTSEKWHIASGLY IVGLIAYQTCLTFWTAAFPGLARNTAEIRQKADEYRAGLITKDDFDAVDSMKRSQLSN VAFYVQSVGEVFILAIIVGIMFALDVNASEANNNKGLSVLIAFATAVWLLLSIPWFIL EKRRPGQDLNGKNIVLAGLGQLYRAATEIWSLRQSLFYLIVIATLQNSIVAYNTLQLT YLLIVGIVSQAIGIYAFWAIQQRFSLQPKTMFNVIAIAIILLDGWGMVGIWTSRFGFH NTWEVWAYQAFYGLFVCPWYSYSQIMISEVTPRGKEFLFFSLFSIIGKTSSFIGPLVS SAIIDASPSRNNSTPFYFLFALSLASFVFLFFFVDVPTSRREQELFLAREKARLAERE GSLTG TRV_06778 MAARAPGGRTSARFAQFKLVLLGKSSLVLRFVKDQFDDYRESTI GAAFLTQTISLDETTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDITQAASLDK AKSWVKELQRQANENIIIALAGNKLDLVNESPDKRAIETADAAAYAKEAGLLFFETSA KTSTNVRELFTAIAKKLPLDQAGPRNLRANPRPGVDLRPESANTQGGACSC TRV_06779 MLRNSIAPSRGLGSLARQRLTTSGRNLITKRSYIEGKSAAWPSG RSIASVLPARKTSCATFTTSATRGNEQNIRSPPSPSSASAISPEGISRPASSSPAGQT SPGSSVNPPEPPKAQTGAPPPPPPPPPAPKAKGRFGRSLLYLVLTAGVAYAGGVWFSL RSDNFHDFFTEYVPYGEEAVLYFEELDFRRRFPNATRHINTRPAAPRDEGEKVTIPSK SGVSWKVAENEGTSDVTHKGRHMSAVDAEVFRTGGDAKSASNKPTTEDKKGSEKTGSK KDESKERVPVTDTKKSTVSLDEPRKPAVATVSSIEPLAALQDDPIIQELTKIVNGLIA VINADESASKLAAPIAKAKDDFLKLGEQISSIKKEAHIAAQEEIKNAHKEFERSATEL VRRIDEVRSEEAAEYREEFETEREKLANSYQEKIKTEVERANAVAEQRLRNELVEQAI QLNRKFLSDVDTLVEKERQGRFSKLSELSAQVAELEKLTAGWNEVIGANLTTQQLQVA VDAVHSALESESMPRPFINELLAVKSLAGQDPIVNAAISSINPTAYQRGIPSTAQIID RFRRVANEVRKASLLPEDAGVASHATSYLMSKVMFKKEASSSGDDVESILTRTEKLLE QGNLDDAAREMNALRGWSKLLSKDWLADVRRVLEVRQALEVCFLFLLPTLSLLIYYNE Y TRV_06780 MARSSQESDQDRSEYADRRASEGYGQATPNSNRGGSLDQTPSPS SDFLLQRRHRKQGSSTFRLESPTGPPSSNSPWAGVSRRGKDTIRHQSDDSELVIPKRN SQQRRQLHTPKPSIGSSPLSNVVSHEENDQRDAPYTPDGQSRVHHRQSPSVGLDTDPA QIVNLALSLSDSRNRVSSSRTVSSGIQRTRLSSSMIHSKPQGLQSPRISEIETPTRRP STSQGTVDLRYGATHYGEVQLSHATLARAEKAKQHFELFEHYLRLLPHLPPLPSPGDA SGRHQTNRGYQEDTPEGRQYNPLQYLRNRKVRYRERQAIDSEAAGWGDVEKVSEWVDS IVQAPNLHLREPDEYIDLPEFQPSASRVDPEDKSDRTLTHTKSGEVLRRRPRMDWATT PLDLLADIVWYEQNGNKAKVEDRHGKKLFPRGIITRHHTDPRAIQVESPGSTEQSNAQ VDASSEIDDIQYLSTPTPAGSRSQQNAERGRLRHRLAHSINLTPNHSRSRKQSKSPVK KRPRSSSESSRHSYGSNNSESWRRISKTKTREFGDNGKNSRRNAAVLDTGRPVTPNQA SLDTENIHKNHLRVPNTPENTLLPNPDFESNPHISPRHEYSASNSSLASDGGINGSRD MPNQDDSGPFQTPGPRGFFPNMSISLSPLRGRSTSPSRRHPFHLGHSRKPSRQKQGQI LDFTESQGDLGTLSHRRTTSNLSPPGSPSVPEGQKTPVFRRADSSPAKNTRSSGQQDW KRLLKGTRIAELVGSEVSRVGDLIRKKDNFSHSRRSSASSLSEYVDADTKIPTEDDSF FCPAQKVDSDNSKAHQGQLPDFTSSSQTKPLNDKDDVAEDEMTTETQDPDSNMGLTSQ DISTSMSLDEITRNWTPRYIPCSGRVSKRDISFARARLLSSGIKALEICRYAESSSTP FADSWLNDPVKYNNIGPYILSTGAAALRTRRTVAEFDERVTAYNKTIMDFTKVKAPPM QSRIRNLEMQISSTLTLRIIPLTTRAETLANELGTKCTLPVKRLENILNKGLRKRSRR IRSMITLLSAIFEWMLMGVFWVVWISVMIWKLIRLLAFGAFRGVRWVLWL TRV_06781 MVQYGGAQKRDTGVVEVEGRQYTYDMDSRERLKVRNMLERQKKA GKTSVEIGKYLLQTYNNRDQGLGGMVTYLFGNGNPYVSITAIVMKAVGLNPPVQNGYR AESAYATPTPSRPSPNGHKLLKYEASSRAGTPAQDTVNPKYTLEDSEEPPFLRPATLE RIQRGYFDPKLDWGRK TRV_06782 MLHSVTSTMNETGTVKIETPDRTEQLPRTFLDPEGGTPIAISPD ESLNIQRRQGEYSHRPPVWNIRPLQNDPPEPSDSEASPSPDVRTAHRDPAVADACQFC LQRLPDPALSPSAQIQRPCPALEKPPTMGRSLRKTLSFLRDRSKPSTSTIPPFNDSPI TNQDLILPRLRTRGRLPDGTVYEEGSTVATDSDGSAPSRSSWSKFTGFVAGIPSRLRA STRKRNVKKRSPYQTVATDSLGS TRV_06783 MAAPKITLYVDIVSPFAYIAYHVLRNSPVFAKCTTTYVPVLLGG IIQATGNTPPIRIKSKPLTRPPLALLNLLLQARLISYILNPADKDKWINVERLRWSKL LGVPITEAMPDGFPVFTLAVQRALCVVAADHPEKLAGCIDALYNTLWVKRDSSVIKPE GYVPVLETVIGKDAAIKVAEKSNTAEIKQKLVSNTDRAVESGAFGLPWMECTNSKGET ESFFGVDHLGQVVAFLGLDGTLGQGFKALL TRV_06784 MDKTELEQPCPAESQQNHKRPAPEELSIAPDAKRNKAIDGGPTV PDSNISTIVRRAPFPNKVFHSPLSPAPFPPSLKYKICANNIVKPAVLEEQRGEIEYRV VNNDGSRESTIILTGLKCLFQKQLPEMPKAYIARLVYDRAHVSLALVKMPLEVIGGIS YREFRARKFAEIVFCAISAKHQVKGYGAHLMAHLKDYIRATSPVMHFLTYADNYAIGY FQKQGFTKDITLEESVWMGYIKDYEGGTLMQCTMLPRMRYLEASRMLLKQKECVQAKI RTFNTSDVVHQPPEQWANSHGDIAPIDPLSIPAIRATGWSPDMDELSRMLPRRGPYFK ELRHFLTLMRNHKSAWPFAHPVNKNEVPDYYNIIKSPMDLSTMEERLEHGYYTTPKVL FDDLKLIFSNCRKYNSEYSIFVKCAQQLERYMRKIVMEIPEWQKFLEE TRV_06785 MRPGDPRIRQTINQISQNLESANESAQEGIYAFAHHYIEPCFLS VQECFTSCTAPCFPSRDDQLRRRRRERSNGRAEFTFGFYDDWDYDEDAREDGLLGWRN DELDRLLAGSSSARTSNDQPRMNRRMSYGARRRGSILPSDERQDPTVIPSSSILGFLE RFPWRIGARGIRYRPSAANLQDNPGGLKRDVPEQAPLLEASDESDHSGDNNKGGGHNY KAETSPRKRRGTQSSHETSSSLSSRGDLIHSDEEEDAVPLDDEINIMTLSRHRPSLGG GDESIFSIPHSISTSTKETASSRASRTSKGKGKRRKRDRDLKRRRTMSKSSHSTSELD TSIVIEPTVEGASLDDLKREEEEARLEEETAIEKSRLAARELARQKGLKLADSALERF SSNVHEETNSPIKLPHTEAIPTSSSQSERINSPTDQSLGHSQPLSPLNSNSQPHTPVS MSQTEQPGQSHGTAINVNNPDLEPHSSKRLDADPSGS TRV_06786 MSTSSLQKRHVGGLTSLDYISTLLFLFLVSKRQKEEAKLANNNN NNNKLASTRRRRRRLREERDYASLCSIKTLVTLTTDLGDSFLAEEVELRASVLRVDSD NDSRKNDRHTVQCIKQKNFIWPAASRQLLIAIDGLQLDLRRHAVQLHVGPADRGHQPG PDDDFAVSSAIPTVISAWSPPFGGSESPQADKLALRWLRTRCPAEVRIWEETGNNLAR HIWYDFEDSIINYQPPFFPCIHSSSFLCIIYSMALSNLYRDASLAWLMLFQETLSPVV VAADGDDGKATSPFLMFKDLIRQNDNKSHFNVIELGAGCGIVGIALAQSLTDCSVLLT DLEEVRDIVSRNINMSYPAAGSKIDFQVLDWEASVPSRISGQQYDLIVVSDCTYNSDS LPALVDTMAALVERSPKAAIIVALKRRHESEAVFFELMHRARLDVCSKTHIQLPSVCS DERVDIEIHCFRSSPFSLR TRV_06787 MVMAPSASDLPRLYFNDHEHEKLKHVECPDDETRGISHLAEARA ASRQLVFEILKQKAAAVDVKTCYPGEEDPFYVADLGEVYRQHIRWKTNLPRVKPFYAV KCNPNPQVLRLMAVLGNGFDCASKAEIDLALAAGVDPSRIIYAHPCKTKSYLRYASQV GVKQMTFDNTDELYKIKEMCPDAELYLRILTDDSASLCRLSMKFGASLSSARPLLELA HGLGLNVVGVSFHVGSGAEDPKSFIKAVQDARFVFDRAAEIGFDLKVLDVGGGFSDDT FEPFAAALGDALDTYFPPHIRVIAEPGRYYVATAFTLAANVIARRDVGVEEPLSDSES DSTTPSRASTPSSSGAYMIYLNDGVYGNFSNIVFDHQHPVAQILTKDDDYTSAPIEYS IWGPTCDGIDVISERVTLNGLLGVGNWLYFENMGAYTQCSATRFNGFTDKHEVIYIVT EPGAAALLGY TRV_06788 MVPIPITIVTGFLGSGKTTLLLNLIPQLPSSYRLALLKNEFGDV AVDSQLAAASSISGVRELLNGCICCNLVGQLSDALLQLRDTVNPDRIVIETSGSAFPA TLAMEVNRVSSERKGEFVLDGVVAVIDVENWEGYEDTSYTAKLQAKYTDLIVFNKWEL VSERRFDICLDRVGDLDVQTAWVKSDKGRVDRDVLLGIDGALLAKMAGSTEITDALGQ AENAHSHQSEVEVLSVSLAGDADADADADVVVDSAAFSAFLHSSPKDEIYRIKGTVRF APGQVPADSSGEVTAAAVAPDAPPVQTYILNWAFGRWTLTPSPSETTTAARLTLILAR YEAAKWKKKLEAGQLLKAASPATASLQVGIIGQKTAPYN TRV_06789 MTVAAQTPMQRAWPQPSHPAAVRVVSSRLLASIDPHEDADADAD ADTDSSADDEQEKQKAASFCLTAVAARDIPAASLVARNTSTTLAALKAYSTVQVSRDA HIELNSDLLYCNHSCDPNVRFVTSTLAADSLDGPPGAPVAGALEVWSTRAIPAGEELR FFYPSTEWEMSQPFRCSCGASQCLGWVDGAKKTAAEVLQRYWLSDHIRALLREREGGR EGT TRV_06790 MRPLAGLLSSCGALISPRWSLRYLLAAFVLCYLLLLQYCRSHSF RDPTSLFFDAGRGYEPRYSRFRAAEAANFVRHIDAVAAARNGSIDGASLPLPGTGPPG LQKTNASLCVGIASIAREGVSYIEATVGALLMDLSPQERQDIYLILFIPHTDPSIHPS YASNWLPALVDKVLYYDVDKETLDHLRKLEQDGGLFREKGLFDYSYLLKACAAVDTPH VLMVEDDVLAMDGWYHRTKQALADAGRQTRQLGASKYLYLRLFYTQGLLGWNNEEWLA YLAGSMLFIAAFWVILVAIRRCLPHPNHTSHPGHANSLLSNKAILLISLTCGPLFIIL FFASGRLTVLPIRPGVHQMPRFGCCTQALAFPHDRVPDVVSWYESKKIGFADSLLEEY ANANDEIRWALTPSVFQHVGGRSSKQDGPGSDEVAKVIWNSAFELNDPVALQTEHENA AEHAPF TRV_06791 MRVLKSKEKDWVYAPSQKPSVPDAILQVIGSTVISSCISSNASY PIPGEKDSPDSMVENYDTPTIHMSIIVPNPEAECMARINHKGQFVIVDARPLLTCMGF GKEVFKLFKSYYKKAVNSNILEDPFMFLSLDCPPGIYDANIEPSKDDILFEDHQAVLQ IIEGVFMDIYNLETSTIIPTPDTQQSYLSNQLTIDISSPASTKNRGRFRSNEKLTSTV NPWTLSLAAQRLRDPESQLLTPQREFQDPRNNIPPRSPNIRVKNSRISMRQATLSLHD NGRVSLFNTQSPQRTSNGSPRMVTPPRVPAPNIPRSIEASVQIAEGNSARGKEGMYVI RPTPQTPPPSRAFSAATHRQAPIAVTFSRSNDQIPPNPHSISGRLHCNHRSDHKISGY GEIRPYKLTVPSGSHLQTASTNLKSRFQPDLNQKRNHLTSYRKNNFSTEIILYQSQST MECMYETTIPNGGETTQLADSIKRLVNTDQYVKSGSLMAAFSPADLTSSISYWTNKLH TLAKNPSFQRQLRQLSFLTPSNSSK TRV_06792 MATPDLNSSTSSISPSCDDILISLRSQLNLSPFSIDYDIQFKGN MSIVALEDPAVRAIGSTSALPDSSSVVKELLDNALDAGATSIFIEISLNTLDIIQVKD NGSGILPSDRSLACKQNYTSKIQTTEDLKNVGGRSLGFRGQALASIAEMSDAMYITTR VPEEQVARTVKFGRDGEPIR TRV_06793 MQFDHVAEDRLDIVFAQWVKQLLSKSPEHLAMKLAASHLGRRAK QACQWKTGAFNVCYRVQFHDDTPEVIVRFSSLGRSIFRAEKVANEVAVLRYLRKHTSI PVPEVYGSGKTWTGPYIVLTYVHGTPLASILKDPKAEGRPILNSNISQRGLRRAYQEM AQLLLELSKPEFARIGALVERSDGEFTVSRRPFTFNMNELSTSANAPPYVLPGPNAVF DSAADYFKSLATQHMLHFLTQRNDAITNEADCRKKFVARCLFSKVVEAIQFHEGPFRL YCDDFRPSNVLVDIENIRIAAAIDWEFTYVAPAEFSYVAPWWLLLQSPEDWESDLTEF LTRYTPRFHLFLDALRAAECDMISNNSLQESQRLSPHMEKSLDNKLFWVCLAARYSSM FDEIYWTFIDEAYYGKFTSLEDRLQHLSQEEHTKLDAIYHVKVKQAEDGEIDPHYSLD DTMEL TRV_06794 MKAEMKEISLVSCMRMTTCDTQLSRRRGTIPFRAGAVSIFSYLV LLEGLSTSLPRTQVRCKFLSSGKFIWTNVNPLLKVTHTPTLQARIIDAASDLTNIKPT LEALMFSIYSISIHSLTEDQCSGFFGSPKKGILTGYQISCQQALGNCDVLQTCDYECL TALYLYLVSKWPPLEAERRRRLWWSLVIFDNRICEMSDYGTTSLNPSWDCRIPLNVND SELQPEMKASPAIADYNPSEMIFVVLRCRLANFIRYSAFHIDFTNPCFNKIMTARSSH VEEARKLADLERLIEEKYLASCNSENPFHYMTIWTIRGYFAKYRLLQHYTLNTEKQPD PQHTIGVSYALRMLECDTNLMASPHIKGYLWLVHFHFPLLAYIHLLQDLKRRPVENHD TAWKAMTHNYMLRIAGSNQEKHRDFFLVFSRIVLQAWEACENSAREKGKILITPDIVL DIKQKIIQITTNFLGNTGTAQSNESNTFDDDNNERLGFNLDSLEYSDLFQLNTIEELL NTN TRV_06795 MASSRAFSPDSTESPVADVPKVANQHCDLTPDATAKSEDSEKQP IIQVVCLIVSAFVAMFMVALDRTIISTAIPRITDEFQSLQDVGWYGSAYLLTCCAFQL LFGKLYKLFSVRTIFLWSIGLFEAASAICGAAPNSLSFIVGRAICGIGAASILAGTIM CIVHSVPLKKRPQIQGLFGALFGIASVAGPLIGGAFTSNVSWRWCFYINLPIGGVAIF FIAFCLKLPKRDTTRLPLVEKLVQLDSLGTTLLVLGVVSLVLTLQWGGQAYPWSSGRV VACLALMAVLIPAFVAVQVLLPKTATLPARIFTQRSVLSAFWQTLCIGSENYIFVYFL PVWFQSIQGISAVNSGIRLLPMMLSMVVGSVGGGTINSRIGYYTPLAIIGSCIMSVGA GLLTTLKVASGKEEWISYQVIYGLGLGLCFQVPNLAAQTVLSKPDVPLGLALMLFGQL IGAAVFVSVGENVLANQLVKRLSALPGFTPGLVTSGGITGLFNTIPTDQHDILRFSYN EALRSVFQDGLIVSCVSLLGAATLEWKSINRVQSKLDTENKTAGQETEIN TRV_06796 MLGANETTIEGWEIERHRTLCRRARALGGYLEGPDGVKVWIKRQ EFPYDIGVWNNIRDGMGGSNNSTKLMSEDESLTWPPPDPDRMSRNTPQRQDRTTLLGD GRNFDYDEVEAFHKRQEADYLRQRAVSEVRRRKPFHKRYIKKGDDGHLSNISDSEDEE LSNSGEEGWRNSEGERLKDFGVDEEVEFYDEDDIPLAELIRRKKLRS TRV_06797 MEETTSGFKLTPRIHLRRYKPSDIDLICTLNEDEDVMKYIDSEP PSREEVEEEVQQIIQAYNINPRTGKWIAETPTAEGMEFIGWLRLGDLDQFSRECFSNA NTESNEKDLRLELGYRIRRRFWGQGLATEGGRALVRYAFCSLNATQVVAGTMFVNSGS RRVMEKCGLKHVKTLYLEFRDPLPGTELGEVFYAITREEWVDSVGNCEEAISNGLE TRV_06798 MEPLSPRSTNIPPKPKVEGAKKLSVKTNPAQKQPSSHRHHATPP PPEVKEPGPCGEEYEIGSFLGKGGFAVCYEGKLARNGRIFAMKVVKSEMQQKKMEEKK NIYVVLELCPNGSVMDMVKKRKFLSLPEVRRFMIQLCGAVKYLHKRHVAHRDLKMGNL FLDQCMNIKVGDFGLAALMLSEKDEKRRKTLCGTPNYIAPEVLDKSKGGHNQKVDIWS LGVIFFAMLTGFPPFQSKTQEEIYKKVKSLSYDWPVDTESANYIPDEAKDLVTACLNL AEEKRPDPDQIVDHPFFNMYPGCIPRELEPESRSSPPHWLTKDSPRGDNPAPGYSLEY DHTYRKLISHVTNPTQRYIICKNIFYAECGVGRRSSGEIRKSVGKRCGKSAFAECLTE EERGLQPVMPLPSDRVYSYYIDNGKDWSLDEAEAENDLPEDQESSNGAIDGRSTLTKS KAAMIARTEIALAAQLNRRESAPKNHAAMLRQQAIAPRPAAKDTSSTATQATVASSDT VPEKNPAIRSTRNLLSQRPIRTRSQTAQAYHESMREKNRALTTSMSKSTSTPAALGTA SSNKPPNLGSAPVAGPQNTEQENVGEEPIKPAARQPRSNVGSGRTIPIRSDSTSTLRR HQSQSSVTGRDVASRSNKTQGLTRSATTSSAASSSGSKSRSALGSGPLLLPEDQSEIL PGSSVQEVVADLQIYQSILREKPSQSSFRNSESKPAQPQSTAKSGAHPYVVKWVDYTN RYGIAYVLDEGSVGCVFRGENGYPATGVVVRDGESHLRLKARAKGEPENANSYSEVDQ LVPRNGKPIEFYENVEINPDGYSDGTVRRALLPARTFETRRSSPDKPANVLCTVRSAE KANRVRLVDQFGKYMVGSLGRGAIEPSKSKSSGQYIKFYQRLGNVGVWGFGDGAFQFN FPDHTKLVLYLPEQRPADPSELASSCRIDFYHLSPSAARYLAAKGKMHPSGFDTRTVI SEPASAYLSSISGISTKIPGVTTERFRDILEANSFPKKLEFITTVIDCWATNKRLGGR VSLSSVSKVNGAIKCTSADLFWDGAQERSWASASGSKFVWVSVGAQGGDGDYMSVKLQ TNAAGEVECAGMEGNPQLGDQLQKLV TRV_06799 MRLRLGLLQNTAALEKQAAREAAEAHAKKIKLQTPTVKTEAQTK ADELLKAKEKAGGAAVKTPPIPRIRPLSEGKAIDMGANFISETFLFLVGGGLIIFESL RSRRKETSRREDVADRLAELEESENAARAALIVLEKEVLRLQSQLEKRPVKELKRVLP KEIWQVEEEPEPEPEPSLLTTISSYFQWPKTASNTPTTVATVPPEPQTSQQAPTQAPS QPDATSSTTPQPKKQV TRV_06800 MGYSKRPRDDKKSKGGRSKHAGDKGSGQPRVKKAVFESTKKKEV GVSDLTLLSKVSNEAINENLKKRFEHGEIYTYIGHVLVSVNPFRDLGIYTDEVLDSYR GKNRLEVKPHVFAVAESAYYNMKAYKENQCVIISGESGAGKTEAARRLMQYIANVSGG SDSSIQETKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLELQFNSVGEPVGAVITNY LLEKSRVVGQITNERNFHIFYQFTKAAPQSYREAFGIQKPDAYLYTSRSKCFDVPNID DSADFRETVEAMKIIGLSQAEQDNIFRVLAAILWLGNMQFVEDDQSNATITDRSVIDF VAYLMEVDADQVQKALTHRIVETARGARRGSIYEVPLNTVQAMAVRDALAKALYFNLF DWIVQRVNASLVAKGAVSNSIGILDIYGFEIFEKNSFEQLCINYVNEKLQQIFIQLTL KTEQEEYAREQIQWTPIKYFDNKVVCSLIEDKRPPGIFAALNDACATAHADSAAADQT FVGRLNFLGQNPNFESRQGQFIVKHYAGDVSYAIEGMTDKNKDQLLKDILNLINSSGN GFLHTLFPDQVNQDDKRRPPTAGDKIKASANDLVATLAKAQPSYIRTIKPNDNKSPSE YNVGNVMHQIKYLGLQENVRIRRAGFAYRQTFDKFVERFYLLSPKTSYAGDYTWTGDA ESGCRQILKDTSIPAEEYQMGVTKAFIKTPETLFALEHMRDRYWHNMAIRIQRAWRNY LRYRTECAIRIQRFWRRVTGGLEFIKLRDQGHRVLSGKKERRRFSLLGSRRFLGDYIG VGNKGGFGEIVRDSIRLSSKYLDAVPICLISAIDMYDFVNNQLNISAERTISLGAIKA VGTSNLKDDWFSIVVGAPQEPDPLLSCVFKTEFFTHLTNALRGQMNLKIGDVIDFNKK PGKLAQVKVVKDPAVPRDDVYKSGTIRTAPGEPANSVSKPTPRPKQVAGKPISKGKLL RPGGPGGGPSKLSQTAAKPKPRPAPQQLPGSGQQSTLESRPVPQPAAVAAAVATSNHN RVSSTASQSQSRPPPPPPPPAPQAAPPPKKPMAKVLYDFNSGNTNELAIRQGELVQII SRETNGIPSLLSYSIIHKHGSILISVQGWTPEAYIEEIKEAAPPPPPPPPPVAARAPA PAPTPTSNGAGAVRASGTAAAKAKPAPPAPPAKRPNMRKQVQNPIAPRDSAVSMNSQD SSGASGRATPNSLNNASLAGGLAEALRQRQSAMQGKHNDDDDW TRV_06801 MYIQRRASDDAGSESNLYFVRIFSSINQSINQSISRRETLSNSL QVAIIVLALVVAFITAFFVLRHLRRRDYQPRFIPGRYLKGLWQRWTPGTSSSSYNAVP NGTNRRLAHEALNGADDGQQGQHQAAVDRNTSVRSVMTLPSYTLTPKASERVIAREGE RDGMDVVIEFPETAEEEEANREEQMESLYQIRLARRREIAEREELRQQRREARERGDW ARLEELRRESRARAEAGRLVSSADGGASPNGSAANVSAAVLLAEHQSRNRGRRVSSVS YADIGHVRHDGTRLTSGSHSPDSDSRALLDSAAGMGMMGHSHQRTTSASSLLSQTSVH SDVSSLGTAGPDLGINSIPPPYDDAISETGTANGTANGWGDAPPYQPTPPNETASIVT QETDREREAAEPEGRPPEQPPRLPPLSTLPSIAIEVATPTTSAPPTPISHLQAPSPVH TPTSAPR TRV_06802 MVVCCVLCVVCCVWAVDTDNYTSAGPREISVNNVAAVRDIHGYG SVCLKGPFYDLNYPSRSLQMTRDKAFHSRRRRTWDRGFSPKALSEYEPRVYQHCLDLV KQLSRLAGTPVDIGKWFKYFGFDVMGDLSFGRPFDMLTTGNPHFLFGMMESSKPVVGT LIGVPWLFILFQKLPGISRVRSNWISWCGVQVQERMKLGTTRPDLFSYILGNDLSEAT SDGDLTYDAELAIVAGSETTALNLTTVLYLLALHPEQKKALQAEVDLLIPTLDQFSHQ KLASSHLLEGCINEGLRLYPPVPSGVQRLTPPQGAMIADRWIPGDTIVSTPTYTLHRG TYHHLPSLLACSFFFFSHLLFVFQHIVSFSIFTSDHDLLIFAFSYFLGRFPVLSSLSL FSQILDSRYFVQPNHFIPERWSSKPELIIRKDAFNPFLAGRYSCAGRPLAMMEMRMLL AMVVRLFDFSFPKESRAGSEKLYDGKGGFRDYFTAGAPTVPLVFTKRSLDTVANGT TRV_06803 MLSVKGLNSFVKLELWQGAGDRPETRQFGCDRAWELLERMEAEP VDGYTGKQRERVDGREHCQRPQRVEQRQTLDEPEGSEQAGGREGVDGGHGGRMALPLA AQEELPVREQAGSGSEAQHRRRRRQHGQIAVCLSCWSVAG TRV_06804 RVFIAVYRPLDETIDAQNMKRSETELTCRCPRPSRCRRTRPPRR PPPAAASGQAQAGGQASQARTRSDVSAAAAAAVDVDAAAQRLRRTPGAIEDESDEQEG VSGGARAGEQAAAAGGSTVAGKFEGAVDVGAAHEKEKQSSQGVVV TRV_06748 MDTVKAAIERWKPSLETRERLWAIKRKTPVLKDLHYNFICLHYA WIIGVTLVGSIILYPGGNMSYVDALFFASGSATQSGLNTIDVNKLYTYQQVWLWMGSM IANPIVIHSAVVFVRLYWFEQRFQHVVREAKALRRSRSRSRAPTREPDDNNDLHRAEA GVRGRDIVVLRNTDGHAQGRFLDAPKKEPETTSESDSSIQPAEGQGETTAANGTGQST SNTSSKTNETLLEPSHFPGDARIQWSVPPSDNERHITFLEHQRRQTGALRIPSPREYD RGGMPEALDETDGADLARNATVQSDPPAELAGTSLPTSPGPINQHITIDEPNITRTRK ATHSRGGERDRDRDGDETSTIRRVTSRRSMVPSFMRSRTLEQLQQDAPYLSWEPTIGR NSAFVDLSETQREELGGIEYRALKTLAIVLIGYFFFFHLLGIVCLIPWILNTHWGEIV RAAGQGRPWWAVFSAGSAFNDQGFTITPDSMLSFYDAVFPMLLMTFLILIGNTGFPCM LRFVIWFFSMIVPKGSGVWEELKFLLDHPRRCFTLLFPSSANWWLFWVLVLLNGVDLI FFVVLDLNDPDVTRIPGGIRFVDGLFQAAATRTAGLSVIGLTTLHPAIQVSYMIMMYI SVFPIAISVRRTNVYEERSLGIYSDPDEYSENEKEPSFVGAHLQRQLSFDIWYIFLGL FVISIIEGTRLDNPKDLGFSLFAVLFEVVSAYGTVGLSLGYPDSNTSFSAQFHTLSKL VIIAMEIRGRHRGLPYALDRAVLLPSESLHRKEEDDASKRLRRRSSNVSAFSSATGQA SGLFRDDGGLMARLQQQQQQQQQPSSSGGGGVGSSSDAPPPTASSARGAKRLSTHQEA A TRV_06749 MPQSEDCLKLNIWAQDTSQKKKPVLLWIHGGRRSIFIPSLIDIN RVNGSCNVLGFSLGSTNNPFYQGQYIGDKEGIIVVSMNYPGAPGSPWKIALLDQRMAI KWIRDNIAAFGSDPCRITLACHPAGGVTVDYYTYNYIEDPIVYAVVPMPGSAISFLPN TPEQSEKYYNLQLPPVFRPTIDEKLVFSNYEERAAKRMFAMIVSLTHALNITTISEKA WHLFNLAGFTCSNAREASYRSAMCVPTQLYIYISEWPNSILFPESGSYHGSDVAQLFG TSEDISNGTANTETEVKSSAYMMHAFMGFAADPRKGLSRFGWPIYRINGRGVQMNSIH TRV_06750 MILGLGRPSFRPRPSLLGLVSAASTSSAAAAAAAGAIDGDGDAC SALKQHQDPTPPRSFNFVFTTNNNNVNNNVDDTTSSNNNNCRYSKDDEREELDESEDS HSVDGSETESTSSSSTAPIETRSPPAMAPKDSKEEAGDEQKGVVFSISGPVIVAENMI GCAMYEMVGCSFDPLPFGGLFTGWIADYDDACSAMLESTKSLEKSSESQETRPPSRST RRQPLSVELGPGLMETIYDGIQRPLKSISEVSNSIYIPRGVALPALDRKKKWAFKPAG LKVGDHITGGDVWGTVFENSLLYDHKILLPPRARGTITRISGPGDFTVEEKLLEVEFN GKKTEYSMMHVWPVRVPRPVNEKLASDSPFIVGQRVLDSLFPGVQGGTICIPGAFGCG KTVISQSVSKFSNSDIIVYVGCGERGNEMAEVLMDFPELTITIEGRKEPIMKRTCLIA NTSNMPVAAREASIYTGITIAEYFRDQGKNVAMMADSSSRWAEALREISGRLGEMPAD QGFPAYLGAKLASFYERAGQTTALGSPERKGSVSIVGAVSPPGGDFADPVTTSTLNIV QVFWGLDKKLAQRKHFPSINTSISYSKYTSILDKYYAKEHPEFPRLRDKIKELLSNSE ELDQVVQLVGKSALGDADKITLDVATLLKEDFLQQNGYSDYDQFCPLWKTEYMMKAFV SYYEESQKAIAQGQSWAKVREATSAIQTALRNMKFEVPDDEKEVTAKYDKILQDMSEK FASDQGEPAKPFIIIIYPARRSSSLSLSSPPSSINIKSINTQAACDEGQLHVNRPKNS PKHRTCSKRPKYTRQENIPSPTTTNPCPTTTSSPRQLLWSCSSSSPVPPSARLYIISP DHGGPSWLFVSTLLRLLPKHTKPTIGHVANAGNSPGCRISVNDPSLISLVNKLQDVFT TVGAQNPIDLPQIVVVGSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLVLQLINKPRS EKQSNGVKEEDKLDTTDSEANIDEYGEFLHIPGQKFHDFNKIREEIVRETDAKTGRNA GISPAPINLRIYSPNVLTLTLVDLPGLTKVPVGDQPKDIEKQIREMVLKQISKSNAII LAVTAANQDLANSDGLKLAREVDPEGQRTIGVLTKVDLMDAGTDVVDILAGRVIPLRL GYVPVVNRGQRDIENKRTISYALEHEKNFFENNATYSSKSAYCGTPYLARKLNVILMM HIKQTLPDIKARIASSLQKYSAELNQLGDSMLGNSANIILNIITEFSNEYRTILDGNN QELSSVELSGGARVSFVFHELYSNGVKAIDPFDMVKDMDIRTMLYNSSGPSPALFVGT GAFESIVKQQIKRLEEPSLKCVSLVYDELVRILAQLLNKQPFRRYPQLKERFHGVVIS FFKKVMEPTNKLVKDLVAMEACYINTGHPDFITGHRAMAIINERQNASKPTQVDPKTG KPLPPSATMPQRSASPSLDLGDSSPGFFGSFFSSKNSKKKMAAMEAPPPILKASGTLS ERENTEVEVIKLLINSYYNIVKRTMIDMVPKAIMLTLVQFTKDEMQRELLGNLYRAEE PDDLLRESEFTVRRRKECQRMVESLTKASEIVAQVQ TRV_06751 MRPDGGPSPSNSNGFTSVSNGASSSLQKPPLPNSPAGKQSQAEY QDATMSNGSSPLSPTYRGHDREEVTRILIQSLYELGYHQAASTLTAESKYELESPGVA AFRTAILGGDWNEAERILLSSFCPDGDAGELDGVTGKQLAKRDGLVLASGANENEMLF CIRQQKFLELLDRRDLTTALVVLRQELTPLNHDITQLHALSSIPELISISLSLILTLC PGSISPSVMIPDHRLAVLLDQVKQTQINNCLYHNTAVSPSLYSDHLCDKSGFPLRTAF ELSHHTNEVWYLEFSHDGTKLATTSRDCTVLIYDSTTFEIIHRLTEHSEPVAYATWSP DDTKLITCSQDFKAKLWDVPSGTCLLTIEHHHAPITSASWAPDGESFVTGSLDSQSQL CHWSVPHKSLLYTWPGQYRVRDCAITPDGKRLIAISLQKRIYVYNFITREEEYSVLLK LDLTCLSVSADSRFMLVNMSENEVQLLDIETAEVARRFLGQKQGNFLIRSAFGGAAEN FVVSGSEDAKIYIWHKENSRLVESLEGHVSGCVNAVAWNPTDPGMFASAGDDRKVRV TRV_06752 MADGIDRQADENTTERMEFSTSKEVTVAPTFDDMHLKENLLRGI YAYGYESPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFSISILQVIDTVLRESQALV LSPTRELATQIQSVVMALGDYMNVQCHACIGGTNVGEDIRKLEHGQHVVSGTPGRVAD MIRRRHLRTRNIKMLVLDEADELLNRGFREQIYDVYRYLPPATQVVVVSATLPYDVLD MTTKFMTDPVRILVKRDELTLEGLKQYFIAVEKEEWKFDTLCDLYDTLTITQAVIFCN TRRKVDWLTDKMREANFTVSSMHGEMPQKERDSIMQDFRQGNSRVLISTDVWARGIDV QQVSLVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTSEDVRILRDIELYYSTQID EMPMNVADLLA TRV_06753 MLLEDQRFIHEDLERLEQGISDRVAEEPRNEQSQRLLDIYEDAN GLRAKEIQSLTVGDPMDEFYKHLEEIKDFHRRYPNEPVENLEKAYRQGSGENDAAIQE IENMFTGEESLGQFFDLTKLHELYLNLPGVKRLTYLQYLDLFDTFTQPELPVKRANKL TDQYFNYVGELAAYLEGFIKRSNPLEPLDELFASFDTEFAELWEAKKLPGWTEESQSK NGTGPKTEGTGEGIWCADCEKEFTNENVYKNHLKGKKHIRAAEAKKANGDSETQQSQS EKSKDALVRVLKERAVAEREHRISSLAKALKEERQATRVNVERKQGMTERERQMELDA LFADTGDKGPGKRRDSESESDNDEKVYNPLKLPLAWDGKPIPYWLYKLHGLGVEFSCE ICGNFVYMGRRAFDKHFSEARHIYGLRCLGITQQTSLFREITKIDEALRLWETLEKER KHERDIKENVVQMEDAEGNVMPERIYLDLQKQGIL TRV_06754 MSSETSGGFSGGVSGGNQAGRAGTAGAGATDPTRAGGGAAKESV REMGQGVKSAAAGAHGFGERVRGSAGAFVDRAMNDPEGAAKNERVVREGQKEMHTGDF SHSTREKEGLPHSEREVNMQKGAGTAQPPPEYY TRV_06755 MGFNFSYICDLLSSLDANRTIKATTTGKSRDPDVATVTNWFRVH EKKIHGGDTDYVAFLSCLFPELRPDRVHGFKEPALVKIIGRCLLLGATRWRELEAWKT PGRGDLAECVERVMADAENELLKDSPVTVEEIDSALTKIASRCRFSAPDVRHQRTAIQ VDEALKPIFRRLTSRDAKWFTRLLLREYTPVNIPQRLSLRKFHFMLPALLSVQSSLKG ALEAMDRGPVKKFPPCPDPEYGRTLMELAIPHLAPQVGVKVGRPEYYKARNLRHCCRM ADKRLMSIERKYDGEYCQVHIDLEMGPKCIRIFSKSGKDSTVDRKNIHTAIKDSLRIR KPGCRFSKRCILEGELLVWSDITNDILPFHHLRRHIMRAGTYIGTESDSPPDPREHLY IIFFDLLLLDDNVALSKPYGERRALLKEVVNPIHGLAGVATQFDIDFSVPSAYEHLKE EFALVSAQKWEGLVLKGVNEPYFRTFSQDTREFSCCWMKFKKESIPGLGDIADFILLG GRYDSRDAAALSHIKGLSWTSFFVGCLDDDVDLLSPKPAFRVIDILNKQNINTNLFQT LNQLGKFQACDSDSDDVPFSFRVDQVRIPEIQTVFRIPFVVEMTGFGFDKPQGVRYYS LRFPRIVKIHSDRDYEAAVSFRDLQELARIANAVPVEELSQEVAELAEKLDPVDKKPE YIVDHSENSATTVTASSAANSSQPLFAENNSIDQQRPNQVAVVKATSHKSEQELSLRT QRGSSTINCVNTQENSNETVSNLVCILPDTTETFGEPLHSKKSPGRELADITNPSEDN STLFPRNDSCHNKSQPVKRVPFYENMQPNASFPSDRFPMDPAPQRALSFQSYHSHNSN DKCSLETTLTRSSSDISGRNNRPNAMKGSPILKLPVFLGSSLSDTTISLLRRYIPSCR SSIAPSSIPRWLTSLVSSENSSVVPSLYGIILVDSNTRHSSKVAAELRQVGRALTSLQ QQNKLPNTGKIIFMHWKVVGQEFNAAKAGESLFDRWQRFGKDVIDVCIKWGHEESPEC ENRQDQRLPNSSLGSQSEKLPKNICCRRDWTEILPLLQPNTLRKST TRV_06756 MNFSADNVRELRHRLEDAAIKCSERCLYHSAKWAAEMLDSLVPI DEDEGTDTEPNSPMDVSPALPTNPFLGPQDPEEAALETKEAYKYILAKSYFDTREYDR CAAVFLPPSMSSIPLAPRAPSTSKSKTPLQSQKGKEPATIFGAKKKSSSSPQNPFPVL SQKSLFLALYAKYLAGEKRKDEETEMVLGPADGGMTVNKELPGLAQGLEGWFADRREK GLEDSGQGWLEYLYGVVLLKAKNEEEAKKWLIRSVHLYPFHWGAWQELNDLLASTDDL KRVVDELPQNIMTLVFHLYSSQELYQATEQTHQILSELEGVFPSSSFLKTQRALLYYH SKDFEEASHLFSELLINSPHRLDCLDHYSNILYVMGARPQLAFIAQLATATDKFRPET CCVVGNYYSLKSEHEKAVMYFRRALTLDRNFLSAWTLMGHEYIEMKNTHAAIESYRRA VDVNRKDYRAWYGLGQAYEVLDMSFYALFYYQRAAALRPYDPKMWQAVGSCYAKMGRL EQGIQALKRALAAGSYSDGGGMGLNSFSSAGGAGGANPKGAGNASRVLDPETLYQIAT LHEKLGDVQGARAYMELTLRQEVILLEDDSDYEPEEKDGWGRQNSSATEHYTEIGGTG VTATTSKARLWLARYFFKGNNFARADELANELRHHGVEVEEAQALLRDIKSRRAFLDN Q TRV_06757 MTSSTSREDFNKVFPALVQDISEHAKEYNIPAPALEWLQKNLNE NTPGGKLNRGLSVPDTALALLEKPLTEEQFKHLGLLGWMTELLQAFFLVSDDIMDSSI TRRGEPCWYRRPGVGMIAINDAFMLESSIYVLLKKHFRSHPAYIDLVELFHEITFQTE LGQLCDLITAPEDNVDLNNFNMDKFNFIVIYKTAYYSFYLPVALALHYLQLATPNNLR QAHDILIPLGQYFQAQDDYLDVFGKPEQIGKIGTDIQDNKCSWVVNQALKRCTPAQRK VLDECYGRKDAAMEAKVKAVFNEMNLQKVYHDFEEEQVSKLKNMIASIDTSEGLKGSV FEIFLAKIYKRDK TRV_06758 MTERGIEIVYGRWLIEGAPRVLLIDTGAGYKYLDEWKGDLWNAA GIPSPSADSETNEAIAFGYLVAWFLGELIFRQYICRDTERAVIAHFHEWLAGVALPLT KKRRMDVTTIFTTHATLLGRYLCAGSVDFYNNLKNFDVDAEAGKRGIYHRYCIERAAA HSADVFTTVSHITAYESEHLLKRKPDGVLPNGLNVKKFSAVHEFQNLHSHSKDKINDF VRGHFYGHNDFDLENTLYFFTSGRYEYRNKGVDMFIESLARLNHRLKVSGSKTTVVAF IIMPSQTSSLTVEALKGQAVVKSLRDTLESVEKSIGKRLFERCLGWKEGDNMPDEKDL MTNQDRVLIRRRLFAMKRHNLPPIVTHNMINDSEDPILNQLRRVQLFNYPTDRVKVVF HPEFLNSANPVLPLDYDDFVRGTNLGVFPSYYEPWGYTPAECTVMGIPSITTNLAGFG CYMEELIENSADYGIYVVDRRLKGVDDSVNQLTSYMFDFCQKSRRQRINQRNRTERLS DLLDWKRMGLEYVKARQLALRRAYPTSFDAADDFHEAIGHTEQKISRPLSVPGSPRDR TGTMTPGDFASIQEGREGLDTEDYISYKPPTSANGVNANTIPRAGSDDEEEDSIPVPI RPKRQSISLKLGPAPNGHGVGSETKAA TRV_06759 MVVELVVARRSGGRRGRGRAEEKANLRGRPAIILVRASGGTCQL LRPGTEITDASYSIRRYRLAGRGWSGQIKKKAYVLSRATARENPACWAAIPDAALSNS RRRKKKKRKENIEEKSSREGKKREKK TRV_06760 MGEEHQLTVLLGVLLHSLRFSCVRRAFLRINGSFIAPVDPADAG YQWSTNSFPRKMATSTSLAIGSFLQIPTYPDIVLLVVRLLLFINIAMTLYPIYRAPDQ VSDIPLTPSQRALLGLDPNSTSPATQGTEYITPPRYRISSSSRRRSSGSWGNSPFSYS GAEDSPTRSHPDSPPFTPSGSPLFQKGIRSGGRDSGRRNSFGSPSPLGRSSIGARDGS SLRAPSTPTPFGKGTSPVMTQKWLFERSRMSSSGSSVFSQ TRV_06761 MAAEDSMLARADALLEALFSDWNIYSTLLATVLVSYIGYTLFFT PDPDAHPYVLARQGVVAPVRQRGESASVRAMDVPHGYPLKAGLGVRDPDTPRWTAGRR GDLRDIWKAVVRGELNSDGTPSGKKGSIFTVFGNTAQERSVDDISREIKIIGQYISDT KAQRVAICLTDSVEMLATIFASAFYGFPTVIIPHNLPPQTLAGYLQETKADVLIAEAG AVEVTTLLKMCPALRHGIWVAQEGSRHMDWNEVPEGAGSKIEVSTWHELVNEKEGSVS PEVPEWDVKSPTPSLTTLCPSKKGLGSFIEYTSENIISGVAALGSSLPRNEQLKSSDL VLSIDSLAHSYTLCFVLAALYSNASIAFNSVAGEIVDFALATTGVSPTIIIASSHTMS DYHAQYMGPQLNPLVKLGRFFQTRALDSGRMPSSNILSALGGSGPVSEQTLKNLRLIL VSHRAEGDRNNQLTSDQLTDFRIMTGARLAYALTTGKVAGAVCQTNPYDYRGQRGFSH FGPPLNSVEVKLVDYDEKSGNEKAIEGKIHISGPAVVSGECKLEADGLFQEDNTLVLL A TRV_06762 MNFFSIFDFLDEARERKTHDQLQNTKSSIHLAFYTRQFESLLKL CQPLQSIFAAALVPKFAPTSSPELDGLLQKFRDKLFIPAWLPYEDKRAMYKKSKSQEL LSHPVVVNVGTEDAVDNYTLRPMHIADAPSNSEVARVIRLLKTEEDWKSLIPFLSGLH SSKRDLTPHTTEMLIRRAGDLGMEAHVLQAVAQAHRTGLLMRNADFTRTLLFSIRLKA EKAGFKGPDVHLALGQAKELVRLLNSPEHTHPVAEKDPKRLPSVIGMLLELKAAYAMD AFKGRDEDQGVRSYANKLISTWPLEKFTVPSEWPTANWRLRTYVPLWHGMDLALKVEE IKAQPDIRQGLEARRGELAKAIESCLQTVDKHRQEVTHTVSWTKSLLHK TRV_06763 MASVKSALRPAGCCANAFKELRQQVPRRQFLHTVHSPRKEKIAF PTTIPEQFISQIPKFCRPGKGTKELKVHPPPAFPYDTCKDPIAAFTAEQLSVLDPTGE RKALFDPKNRQGAKVGDILRVTFKNGDPFAGVCLNIRQRGVDTAFLLRNKLTRISCEM WVKAYSPNVVGVEVVQRTEKRKRRAKLTYMRYDYSPHFVIIIAENIWG TRV_06764 MASPSALVSSPKVAALLKRLHGESGAQESSFSVVSFWLRKKIMA MIFGNRRWNSADDDFMRDKYICLEADKSELVYLLARSTGALNIVEAGTSFGVSTIYLA LAAGQNAAAKASGSITPGQGAQVFATENEPTKAKKAKEHWKEAGEEVEPWITLLEGDL RETLPDQMKKVDQIDMLLLDSMLTPILRSPFHHDLLSQYTIVWTPMALPALKAVQPKL RTGALIIADNTVMARSDYKDLFDYMDDPKNGFRHMTTPFKGGLEVIVYLP TRV_06765 MSTSAGTPTSAHAPLSIAPASTPHQRSLSVKPLAAAPSPAPVTQ CSITSKEWIVPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVGELEEQIKKI EEENEREEAALKNTIQQQQQQIEEYKSQLLWWKNRCKAVEDELMTEKVAKEDAIKQLE RFNNSGRTTNNGHSVIGGCERCSSTRCQCIDDAFNIANITQMQTDDPHSKRGRSPSQG ATQKRHRSNPEIKTEPEDLETDFTHSFSLRRHSRTGNDATTPILLDPCGFCQDGSPCI CAEMAEDQPSDRSNQPSQLTKLPPIQNISQFTPPPSEGDVLSKSATLVSSNKSNPCAN GPGTCAQCLADPRSSVFCKSLAASRASTRQEGGCCGGGGGKDGCCKNRSSGSDSSKQA TSPITLSCADTFTTLSLHPKFASASNELSNWIPQLHTLPNPQNLNPDRRRDQNLTNRP ALEVEAASVMGVLRYFDRRFADSK TRV_06766 MNSTPEGPNPLRPYYVPPPIGLSGSHAASKVVSSAAAENITSFG TSARDILSDFDYSDYLGDSSPSVTESIKQLFENAARRYSRVLMSQPFEVAKTILQVYV AEDAAAEVAEERRKLDRQQMEQDEENVFGSDDENNYFTSAAPNASTYATSSSRVRAKA PQRVTDRAGYIPQSAQPKYMLKVRDSSSMLDVLSQLWTTSGPTSIWKASNTTFIYSIL LPTLNTFVRSLLSAILGYPEDSFSSFPASDILTSTSPGTDLILSCLSSALSAIILSPI DTARTYLILTPHGHGPRSLLHSIRLLPSPSYMISPHLLPITILTSTLPNLISTSAPLF LKSYLSLDPTLNPSTWSLFSLMAQGLELTVRVPLETVLRRAQMATFTSSALRRPGVTY STPSIPSPTSSGPSTTLAESSSNLNTPLPTIVPSPQSYRGIIGTMWNIIYEEGTNPTP TDLDTIEQVLGRPPQVGRQGSRQIQKRRKGQGIQGLYRSWRLEMWGIVGLWGSSFLGT LLSGEEDDIAPDSMGKMSLGSTGGHVGTEKGAF TRV_06767 MAAARGLCRHGLQPLTQSTGQRLSTARYAPLKSISRQSRLLSSV PGGSYQLFRSVLRTSRYQQLAHGSSIPSRSFSSTSKLLHGHITKPKPGEEIKVTFIDK DGERHDFEVAEGDNLLDIAQANDLEMEDMYDKMPEPDDDENDMLDLAFGLTETSRLGC QVKMTPELDGLVVTLPSMTRNLQASDFAEKK TRV_06768 MAQPSMKKRKVDEGMRGKTSRPKKKFRKQEYYSSSSEDEENGGA PTDFAAVNLNDSDSDAEKSIQSDVSLENDEPDLQVEDQEELAATGESDGDDDDDEDSD ASSVTSSQAGSKSTTSRKHVSKRNDPTAFSTSISKILSTKLPTSARADPLLSRSKAAA QTSADIANERLEHRARAKLRAEKKEELDRGRVRDVLGVDRGEAGETAEFEKKLRKVAQ RGVVKLFNAVRAAQVRGEEVAREERRKRLTVGMNEREKAVNEVSKQGFLDLINGIGSK PIEEA TRV_06769 MIKEICAALLSADVNVRLVQQLRKSIKAAVQLPSIPPAVNKKRL IQKAVFDQLVALVDPHAEPFKPKKGKSNVIMMVGLQGAGKTTTCTKLARHYQTKGFKA ALVCADTLRAGAFDQLKQNATKAKIPYYGSLTQTDPVVVSSEGVAKFKKERFDIIIVD TSGRHRQEEDLFAEMTQIQSAIKPDQTIMVLDSTIGQAAETQSAAFKSAADFGAIIIT KTDGHAAGGGAISAVAATRTPIIFLGTGEHMLDLERFAPRPFVQKLLGMGDMASLVEH VQAVTKDSAGAKETYKHISEGIFTLRDFRENITSIMKMGPLSKISSMIPGLSNITSGL DDEDGSLKLRRMIYIFDSMTASELDGDGKVFVDQPTRVVRLACGSGTTVREVEDLLSQ HKMMAGMAKKVGGQKKQMQRAQNMLKGGNKEQQMAAMQKRMAAMGGAGMPNMPGMGDM QQMMQMLQGGGAGGGGMPGLGGMDLQSMMSQMSGLMGGMGGMGGGRGGSSRRGR TRV_06770 MVYEYLLSNNISGEFTTAPGPTSLRSMHLNFWTTSCIKANFPYN PFSHPFRIYGIEMLSQVYSKLDDLAIPSFMLFLGDFIYIDVPLQFGSAIKHYRDEYQK VYASPSWHTGKNPAINLPWIHMLDDHEIQNDWHDGNVTEPYPAAIEPYHHYHVSVNPP KAKSPHASQENTTYFSFTRGPASFFILDSRTYRSPPGLDNSTMLGYAQLQSLLDYISC PEPAPVKWKIISSSVPFTKNWHIGTSDTWGGYLKERQILLEAMWRAERTLGTRIVLLS GDRHEFAATKFPNPATKQTDSSSSSLSKGEGQGIYEFCAGPLNQFYLPSSSYYQTDDE DVTIKYIPNGNFKFGAVGIDIHPDGGSDLTYTLYVNSEAVWQYKLSMPSDIKAGEAEF PDGEEVFDLVSRPKGKLDMGVLMFWRGLYWLNSQVSNLVEGVNGLILKKERLDE TRV_06771 MPPIPQALGRPRVISYVQTYFPDNGPYVSLLPLYTSSSGITHII LAAFHINEDAESITLNDDSPDHTRYGPLWEEVRVLQGAGIKVMGMLGGAAKGSFRRLD GDTFMEYYAPLRELIRVRQLDGLDLDVEEEMSLEGIIRLIDALKSDFGNRFIITLAPV ASATVRGLRHLSGFSYFSLEEQRGSKISWYNTQFYNGWGGIQDVTAYETIMSNGWPSE KIVAGVLTNPRNGTQGYVPVELLNLVFATLCQKYPSFGGVSGWEYFNALPGGEEKPWQ WAAIMSFIFGMKYLYDTAVVGAFLMAVNNGPHRN TRV_06772 MSEQFSTSAVSYAGPLLTAESGVETTPRATKRIKKREGSSEDNG VAILGDNGFKNEDYRCGGPGLVRLPTLNEILKPNEPLHILYHEELVGPLRHILEKWNV DSKFIHLVRRYHARDSPDTSAETVVVSAKKHELDSSWLYACQEIRHLFLQHGQVRLKI EISDERALEPVFSRSITATDPFIHKWQGLKGQILNILKRSEWTLLSAHLRGPRNGKFA HTVVICVREDSRQNWNDVRDSIVHHLDTNDLTHIAVEIIRDSIFYCPEQAAILDEQDW DKKAKLGGSLGMRGEPTSSFTFGGFLELQFHRHHQWKRFGVTNFHPIANDRCLDDWKR HGIIPEDSRNNISIDHPSLGDHNMSMKYYEDKAADIQTQKYYEIQRRLEDENPSLSRT QKANFKYDTDLLNRYTKTIDLANEFHQKGNQYLGRVYAASGLRITATNRMLDWALIEV EHRRLSHNEIPSAEEIPRSRRERYRPDGTALQAATHLEADMHLCKISRRTGFTEGRLG ALHVADLQSWSKNQDGSWTKVRGSLHEIFPVAPRGIFGDPGDSGAIIMDRNGSFVGLY VGGCIETGTSYFMEASDLFHDIKDITGAMDVRIPQDDSY TRV_06773 MPSDSTPKYHQKVYPNFSLKDKVYIVTGGGRGLGLVIAEAMTEA GADGLSFCLSVFPVLTGVIVHCFDVLPKPVSEFYVSQEIANNDHIGSLHYHHVDVRDL KHLEEAVDRVAGLSGRIDGLVAAAGIQQLKDAVDFTLEDVTRMLDVNYTGLFMTVQAV ARHMIESGTRGSIVLVASMSGFVANKGLHSATYNSSKAAVVQLGRNLAMEWGPKGIRV NSLCPGHIITPMVEKNFEEVPELKEIWIKESMLGRLARPEEFTGAVVFMLSEASSYMT GSSLIIDGGHTAW TRV_06774 MANRFSSPCPTSHDTPGQSRLFASPSGSAIPRRKSLPYLRTKRK SISSTNPQSPHNPTSPYISRKSRIPVRESPKKMTNNDNSDSEVDLSEYTFDLNKLPGE LVSIGKDDSEKKHKIQEDGNNPSSDIEGPEDFTLNMVELLKNADLEDTQRTQVQVKGE GSKVKADASREDQKEAVDEQNDGNDPEGQTRATGLPDYTHLEHTLETSTPAHFLWRDV NETLQNTSISKHQATPSRNINETGDVKETSVIYTPARKSAPATPTGDLSRMDDTINSQ QGDQTLCAAQQICQLELDLEAKTRRIREMEAKLTEETSLRKEIDELKQQMQRRDEMNK LTDAELNELRKTKSELEQARSDVASLTSKESTLRGNLKDKTKQLKDAEKKLEEARSAH KRHSEEISAELKQTKQDCEKKLGGVYSLKYQLTSVTRERDSIQKTSEDLEKTVQNLKA QLESTESQKTEEAAESLARLREIQKFAGELALPALGKPFFEIVALLREHVKHLSEKSL EEQKQRSKESEDAQKELQKLLKKANGAARLEARKAELYRDRLTETSSKIESVKNENTE LLERIAVLTCDNAKLKKRATETTQEHDQALGALQKLRAEAEESHKSQIAKLKSSHSEQ LAKYRNNTQGPSSELQARLAASKNRESVLTAELKAEQAAKEKLEKDMARMEAEIAHHH MTQKRLEAIIKANTSAAKKIDGKIVEIMREREDVWKARVDELTRDNDKLGRVLMTMWG KQEVGDNIISKRNENGDKQGYKYKYARKVQDIEIGEIWNTLLGEPAS TRV_06775 MLSDYGASVVRIDRPHPNAHSQSKPVPPPTFDALTRHKTSISLD LKNPASKAVLLSLLSKADVLIDPYRPGVLEKLGLCPTTVLLKHNPRLIIARMTGFRRD GKYKDMAGHDINYIAVSGALSLLGREGQKPYAPGNILGDFAGGGAVCFQGVLLALLAR QTTGRGQVVNANMVDGSAYLATFPRLARQTPMWDGPRGSNVLDGGCPYYDTYETKDKG RYFAVGALEPQFYTALLDGLGLREEEVFPLGSSMDRQDKENWPYMRAVFARRFREKTR SEWEAIFDGTDACATPLLTMDELEEAGYDQRPVVGLSDTPGLDIRPEDGGWSGGGIHP GSGGEKTLKDWLGWQAGRDYQVHNGALVKVKVVARTKL TRV_06739 METAVRWSPSSTLDDQRFLYVDIPGKSFNLCKVTSRDDVLGALK YDVLSSRHSVPPFRAFDWSSADENLAVVGQSSGEAAVLRLDDDTQSPVVFPIRNQRSC NAVAFNTQGLLAAGLDKVRNDFSLNIWNVNQRLSLGSSARPFGSSRYPTEPLRKLASS EPITSIKFFKDHPETLVVGVRGQSVRIYDLRESSGSPSLQFITRCVHNIAIDWLDENY IAACFPSNDVAISIWDRRSGFRYPTAAGAASASADSGPLSTALDLKPDIESNSTISSL RFCRSKRGCLGMLTTTGTFRCYDIAKEYLSEENKSSLEHALGQDSSKHYPEQIYTRKV QDFRPSILPSGGAAKKDWQRVTSFDFLNKGVVSQPVAITLLADKTVSLFTPPPPPSST DLSSKCSLSIGGPVCSDSSPMVLRPSSDLGLTASQINFGKTPNVLSSEEEHSHQSTSD HQIGETAETNHEKRDSAFPTDDTPSFDDALSWLTIPRLRCKEGYLLDSEKNKAIVSDN HSLQELWDWIGRVYSVWNGKLGRSFESRRDSSELAEERSISQLFQELVHKLNFAQSKT CESEFPEKRQLCLHICGAPESNDDLVNTVNKLVAERQHSKAAALAIFQDEVKLAYKAL RENKPTQAHKLLAMAIIGGSKGDAGPDWEETCAEIAAELTDPYARAILAMVGNSDWMS VLQEATLPLKYRVEVALRWLPDAELTSYLRDASVQAIHQGDVEGVLLTGLDHAALDLF QSYINKFNDVQTPVLAMCHTVPRFIRDAPSKARFEAWRETYRHQMNSWKLHLNRVKFD IESRKLAITWESRRLVEPPLQQISLVCNYCTRPLTQQDTSNSEVATTPTEYSHATPGN PLGHPSMNGTACPKCNRHMPRCGICSLWLGTPDPMSKASIAEDSKKAASTTQSHDDIM RRFVAFCVNCNHGFHADHARDWFNKHKICPVAECGCICDR TRV_06740 MSSTSSKRSRSEEPHEKYKKARYTYQQNAHGSKHHKRNTRNNAA GSSGPSVNDLKSKIRATKRLLEHSKTLPADVRIEKERALKGYQRDLEKVEENRARNAM ISKYHFARFLAFANYPLIIERKTATQNLKKLRRMKEKLENSEENDDNEKNSTTKSRAD QLAELEKQIYSTEVDINYAKYSPLTEKYISLFPSDKSKDKEGRKKNKKDGDDGEEQEG EGEDGEEENQEKSALGQKLAPIRYASSERPPLWYAVEQSMKDGTLELLREGKLGITVT GERKGANGGIKEGDMASRQSKAKGSYSTMSTKEIQGGVSLRGENQRMDKARDDEDDES DGGFFEK TRV_06741 MASIFSDEFQTPPDGEASGQSSPPLTPGIDENQQDGQQKLKGRK RILKGIQRIASSPSLVRLGRGRSASEGSRRFRTGASMSCVSLTSNCWDDPSVYGDLSN RRFSPAVSSGCSGEDDHHQPSRVVGTDNTAHNPTSIPLPADLRPSSRAVMLDSTAEED IFEDALPVRKMSVVRQRRDTFVHLPMEVRINILQYLSPKELFRCSVVSKSWNKMCFDG QLWACLDTSTYYQEIPRYALLKVILAAGPFLRNLSLRGCAQLLDIWRTEGDRVTNLCR NLVQLNIEDCLMDPATTNCFFTRNPRLRHINMCGVSTATNSAMEAIAENCPMLESLNI SWCAGIDTRGLSSVVKSCTQLKDLRVTRIVGWDDERIMSDLFKSNSLERLVLADCASM TDASLKALIQGINPEIDILTGRPMVPPRKLKHLNLSNCRLLTENGVKILAHNVPELEG LHLSFLSTLTDDCIASIINTTPKLRFIELEELGELTNFVITELARAACSQTLEHLNIS FCENIGDTGILPLLRKCPSLRSLDLDNTRISDLTLMEICSQMRKRGVGPELSKIGFRL AVFDCGNVTWAGVREVLSNNCSVPYMSYPSSGAPKPTRSSPSPHYSDDGEEEDSGEGV VEEDDDSSSTISSGSSITTTTSDSDSGSSTPYLPALSSPVPQPTPRPNLYPKEIISLK CYYGWQKTVDEHTKRVLRGNLASAMRLERKWGDFMMANEETGGTTRRSRRRARDLEAL YDMDEDQEYGYGPAGLAPLGGRRRRARSGGCLVM TRV_06742 MHSPHDTRTSNREGEMSEDPKSLSIDTSSVDYDYLARSPLLEHD HGLSSSGLPRIRQSSRAPSLPFALTSSSSIQPPSQSQQNHNNNHNNSKSTIPSTHPSD GTISPGVEDLYRFPSESLHSYSFAKQSDDLLNSRQNLLKRSIDFLRDRIGLAANDSGQ IDGPAQMSDENEIQWMMDILSRSNLLGSYDAANYSVGPATGPPVPEGGGNIFDRAFGA YQTYSPADMRSPQDRFSGREQQHLSPVTSERATPRARGRKSAPSSRRVSLKRTYTDIG SLSQHGKSMGAITEPYSAVEFHSNRSTSSFGFGCMTPTMHVHSGKSSLPTQAVFTTET KPKWTILAANDLACLIFGVTQSEFRKISILDLIQRERREWLASKLQGTQIPTPESEYR HEESPRKAAPGKLFGLGSGVTAQLLSKPPSRVTRKANALNSSPSSSATSDSGNGNGNG NNGTKQPKNPNHSSKKSRGVLLCGEVVPIQKRNGTTGSASLWVMEKRGGLIWVVEEIT EHAVTMEFDDRGRVTSVSGDTEQIWDRMVIQRGSSIFKLLPNVPERFPTGCGKPDFSK INEQRHFTAFGARDDNSIPLTLTPVSGSHAFRISCFPHIAGMMVLCAKTLKIISANSA FTATLFGDPKTEGSQVTDLLPHFDEFLSILTEQDDTPLVDGVVISEHGFRRARALFMM RHSESKLSMTDLRPVGLPACHKDGSKLTVDVQMRVVKSKCALPENSKPTAGSDQSSSS EGENGSNAVRSTEDVFALWVSYSRQLHSPTEDDMLCLPNKARKVSEVPPISPGQVSPV LSAQRIPQVESPSGEPLSQSSLLTQQLTEAASEPLVPQPLQRAAEARVAVPANDDVPT KKSISDYVILEDMGEGAYGQVKLTRSRRDPSKKVVLKYVTKNRILVDTWTRDRVLGTV PLEIHVLNFLRREGNRHPNIVEMEGFFEDDVNYYIEMVPHGLPGMDLFDYVELRANME QDECRNIFYQVASAIDHLHNKASVVHRDIKDENVILDGEGRIKLIDFGSAAYIRSGPF DVFVGTIDYAAPEVLQGKPYLGKEQDVWALGILLYTIIYKENPFYNINEIMDHPLRVP FLPFTDDCLNLIRRMLDRNVQTRISITEVMNHPWMKPAANGNGNSNGSSSNKSS TRV_06743 MDCGQCAGREAYTSVKQHFLKYIDNPDELSSTVDPLAEDAEVCA PQRKAIQLYKHHTNSYPKSPWESLRRDEQIRAEISQDLERCLQENSFFHDPIVKLRLL NILFVFVKLNPDLGYRQGMHELLAPILWVVTQDAIDLQTLNEDVAFAAAGEQALMLQS LDPTYIEHDSFILFCAIMQTAKEFYEHNDSKSGGGGSSEVSSIIARSQHIHLGILRKI DPELADHLVAIEVLPQIFLTRWIRLLFGREFPFDDVLAVWDLVIAENVRASLIDMICV SMLLRIRWQLMEADYSTALSLLLRYPSPKPIKPRTFVLDGLYLEHNTTCEGASYLVHK YSGRNIPQTVQNCASPPPRFGMSLPSRQKSRSITRDIFNSPSSSPNRLTAKRLDSIFQ DVSEGLYRRAEGWGVTKAVRGAVTEARRNMQGLQAGGTNSALFSHDGPVWDSPSPPRL SLATVRELQLRVATMEQRNKDLAMMLEDALNVLHTQQPAPSGAAEEKGAETEASLSAI NDAVAAIRKVKTCLEDASIPLDSTGTGGEVHPPSNARSSDDSPTRGRAQTAIARNPAR VESSEKGEDKATIAAENKTSMKEPQSPQSPPSSVTTATTNSNNTLPAKMGNNIKYNNT TASMTMHPSRPTRTSVRDSSFAWILGDDQARPSFATSASAPPEQRRVPLKSIFDDPEA QSDSRAAAGDLLLSDLQHAPQSMQQRRRQQSPGQ TRV_06744 MSADLFAAFGQPDSSSQPTTASNDANLSTTGPVKGQPGALLDTD VDPRDATAPQTSIFESWQPPALERASSDAEVLFDATTEPPPGSNDGDADDDEWGEFES AEQLPIAQQEGSLGLQHQVIDISNSSNFGNSATSRKPSFHQTANSQPQPENVIDLLSI DDDPARTTTGYSTGDRPVRYQIGQTSGEEMLVAAPPGKNKNKEIIEIKEEEDDDDWGE FIDGAEDAGTKAQQQQQQQPIPTSSSGRAQVTAASPGTSLNMGKTVTRKAETSTSSVR PTNIPPPSILLPLFPPLLEESRRKAAGYAKRKGDGSSPLPDGEFANQLVSEIRTMAHV VSGRHLRWKRDQILSQSTKIGPARSGRSGGMKLSSVNKLENVKEEKEAVEVVEAWKRC SGLLNSVVTSRGKNPMPTLSLSTQVRTATADEGALKSAHACALCGLKREERVARVDDG VSDSFGEWWLDHWGHADCEAFWKSNAAKLDQR TRV_06745 MGLSYNIYINSNKIFGCKHCKTHLADYHDIISRNFRGQHGKAYL FENVVNINASESEERNMTTGKHVVRDIHCTQCNETVGWKYDKAYETSEKYKEGKFILE AELLSVVY TRV_06746 MKAPEGINEHCLVLMADIFPTGFFAAQNGFKHFKKEQIPELTVV VMGCGPVGLCALINAEEYKPKHLLAVDSVPSRLELAKSLGAEPWNFQTDREGLEKRVK ELTDGRGADIVIEVVGLSPALKMAFDLLRPWGVISSVGVHNAEIPWNGNQAYGKNLTI QMGRCPVRSIFPEALAMLEKKQHLLGFMADKIMPLTQAVEGYDIFDKMKVQKVIFKAD E TRV_06747 YPSPSLGLSLRMLESAIPLHLTQERTQPAKTPDNYEPPTIAYTS RFTENVKDIVMAIIGAQYASAAGNDGAAISKILEFVKSPTDTEVRPSFWELASVTDAN GSYNIAILAYWPSQETYESWNKVSNFRSWWEGLDTENQQHGWFLEVFSPTTDGFETVA SDEKVLEGAACMREKASGPILEHVYWGSMRDRMPICQTDAVPGDTTSSAAQQISSTLR RRVQVPGRQNLVVIRSGQDWSNTPPEEHKLYIDTMQPPLIEGMTFLRDQGREIGCHSC RLMDIVDNDTFEVAKDRTFGLAYWDSLGSLEKWSREHPTHLNIFGTFLKYAKRLDNNV SLRLFHEVLVLKPEQQFFEYVGCHEKTGMLASLIS TRV_06725 GMVKNLVEKGPLSKPLIVYNRTQSKAIDLAKTLSQQIIPVSTVK EAVEKATIIFICLGDDPAVESTIDTALKSTDVKGKLFVDCSTVAPDTTRRLAQLLESS GASFAACPVFGAPSAAEAGTLVCVLAGRKESVERVKPFCTGVMGHAIMDLSTKSEDPG KASMLKVLGNSVIFRMVSAVSESLVVAEKSELGVEAIHSFLELFFPGAAVAYSNRMVS GMYHTLEEPLFAVDLARKDTRHVMDMAKAVGVRMKGVEVLDEALQAAKSHSGAKGDLS AIYGVARQDAGLKFENNQLGQGSYKE TRV_06726 MESIISGGSTPIYTLPYELLFTVLEVFPSRELLPWTKVSSRFYN IICHILSRRLSAIRQLKGNYTLRLDCHLPSRVALQGRTIGTYLDTRTLPHTPSEHPEE LEGLLSLDHVFSIGDLGVLREQYTRFKVMQHFESRISPDWKVFTVDDQADDAGRIGGI LNENRISKGGFKAQRKNSIGSQGRVTPFRLLVDSAEPFSQVCVSAQLFGDRLPSGVKV SKGVVRLRRDWLAQQPILNAPRHFTDPYEGDSDSDYHPLDDEDDHCLTEQDVRWVDPN MNSGLSLHIGSTREVASCGFLRGVANLAESIVYYTIEVDVRSSTLLFALEEAEKIASQ GSQRQETLLLRTFHPL TRV_06727 MAASSMISVGTHRLFISISGAPRSARDPIAVIIAGAGDVSSSHV AVERLVAPFCGIALYDRSGLGRSEDGPQKHTAAVAATELHTLLDRAGVHPPLILVGHS YGGIVAREYLHLYPNDVAGMVLSDAATERATQYFTIPDPNIAAVLGSLKYSQVTGLRD NSVLTRDEWRTRAADIARGGVAAQAEAAASIEICETLGQKEQYRRCAMGAKPLSVIRC NSARDYERIYEKGVEAGNGTEEQQRKFRELLDRWDDIDRLLKEEQLQLSSRSRLRHVP DCGHNIHLIRPDIIAQEIRWVKEVIIEESQP TRV_06728 MPKLRTLPFWLAVKVFIRRIIYKLKTPLNLRGSIAILRHNHKHP YLTLLRLFIPWPTWRFPLPEPVPAKEMLGNEALMNRRRRSFNQYMSVPIWRIRDTPLR SLHRLYESMASGEYTPIGRETEYFWYRGWPLETIEDPQDPDPIRYAIIASLVEELVSA FNWRLSLGMRRNHQHVLRSSDDDPYPPYIPLSGPRWTEHVPPIMPEHLERLPLGFTNE EHQLVLEEKGCNKIFLKRNIVTNVGWLYTI TRV_06729 MASPEKKSYLDKRDFEQGLKALDEEMGKNEMIAAFAPIRMIAAG GFLAVMYLQSRNSTGDLDYLLEPEWAKDPDIQRPLKEAIFKIGERLFFDTEWANEDVA LFVTSSTREELFRKAEEQNIVLFKGENLVLLAAPMEWAVERKIRRIYAVDRSRKAEFD MNDCLAMLKCLRERQDGPLDREYIRTLNVNSFDVLPDHQVMELIATAYRDKYHEEIFR TRV_06730 MARLSYLLLASLSVFNGVLASKSAVLDLTPQNFDDVVMKSGKPG LVEFFAPWCGHCKNLAPVYEELGHAFGANSEKVYIAKVDADAHRPLGKRSGIQGFPTL KWFDGKSDKPEDYSGGRDLESLSEFVASKTGLKPRLKKAQPTEVMMLTDSTFDKTIGG DKDVFVAFTAPWCGHCKTLAPTWETLATDFILESNVIIAKVDAEAENSKATARANGVS SYPTIKFFPRGSKEAVAYTGGRTEKDFVDFLNEKCGTHREVGGGLNDKAGTIETLDAI VAKYISGTSFEPMVKEIKEAAGTLSAKYADYYVKAGNKLQENAEYAQKELARLQRILN KGNLTPEKIDDLVSRSNVLRRFLGEEKAKDEL TRV_06731 MAKKSKLLSALDAHKGRNFELEHQRKLQKAAHKRKEKQLKKTGD EEEEKEDTEQLKDVTLLNGKEKSDTKTSTKSQRDMKKSNKRDEDNENVEEEEEEEEEE EEEDDDEEVEAGAENEDGDEEEEDEEEDEEDREDEEDIPLSDLSGDEATDVIPHQRLT INNSTAILASLKRVTLINDQMPFSEHQTLTSTETMDVPDPNDDLNRELAFYKVCCAAA KTGRALLKKEGIPFSRPTDYFAEMVKDDEHMDKIKKKLYEEAASKKASADAKKQRDLK KFGKQVQIAKMQERHKEKRETLEKINELKRKRRGAGNGEAEESELFDVALEESSKSDS RRGGRGKDGEGSRSKRQKKDAKFGFGGKKRFAKSGDAISSGDLSSFSTSKMKGKKTGA AKRLGKGRRQARR TRV_06732 MSDATLSISNNRQLPGHRELCVDTIRHHGGFGDMTARIEKVLAS KDPGLTDENDWEEFSLKDVKVYIPGKTRYANILSASEDNPLMVTGQLEEVDEGQESLV LDGDYLQKRVVIQNVTHYAYGQDGDGGVGIWAAGDAGWFSISPAKGYKPMFQEVVEAV DLLYFLVDQHQPKKRKGKNRKKLTWEFLLDEYTRHTHGACEDAEESLEVFNKHHEFLI RQMVQGKEDIDWPSTLVYSHLREEFPVPNPCRDFHSIMDLFNDPHSEEDSKSDVVMEN SPPRDNAEENSQANAIFEIILEMKDAGLLAQRKLHLKSVAEELLEKYEMSSLEDAINL VNSRAGSVINLMDEAQGTASSDWHRRAIYRQLKEATELEDIPPVGATPLRRRQNVDAS ESGPESEEDEEDEGRVKKNRKRRNRKSILRPKTSEKAGKRNRDYASVDSEEEMEDILV AEDTPTKGGAQLLHESSPTENQGHPYSDGEASKDDKNGTAVVNGNIPPDTWICPVTGC GKQIPRATLKRSKGAIDDHNLVHADDTQSKLDLVFAEQRLNVNVSVNNLLSRIRGLGG PALPDIMGDPIDPDPTFTNMDI TRV_06733 MSEAARTMDGVFAVHKPADITSAQVLRDLQRQFNRSDFFKPWLA AERQRLQDEPSWKNRRRGKKSKWIDVKLGHGGTLDPIATGVLITAVGKGTKQLNNFLA CTKTYEAVVLFGVATDSYDRVGKVVSRAPYEHVTREKVEEALKKFRGKIMQRPSIFSA LKVNGKKLYEYAREGKEPPAEILERPVEVSNLEIVEWYDGGSHKYVWPTEEAEGPEKD IAKKMLAKGSTLETEPASNSTNDPVASETLDQKEGTSQKRKTPPPSDTADNEPKEDRK EGETSLAAKRQKLDTEGQGEQVTTIEEKKLPPTAPAEEEEPSPKPPAVKLTMTVSSGF YVRSLSHDLGLAVGSNAIMSELVRTRQGDFELSPDKTLEYSDMEAGESVWAPKLQRFL DEWKNKGSEQEEQPEDKSVATDEKQEAED TRV_06734 MGFDEVIYPASSCSTNRILWGPRLHDNADSASIARITVQFQSIL DRFSPHDTVFSLTTPSELTENALSSTCMLHTASGSMTSSTSSLRQRRLGEITEDAEEN GPDEEERIKRVPTSSSHSRAGSVFSGTSEATAVPSHSMLRDGPSKGLPDGVMLAPEAL SHKPLPSAPGLSSPTRANGIPQQHLLDQLSQPLPESRTSSQSVRPSLHELDQITIRRP KVRLGPRPSLDASGRPKTAGSMTRSYEPRPVASLPAGMRSRKQSTSRPKSQPDYEQPG AQTQGTVPPVPPLLVPPPVLIGSFSRNAPSSPRSIRSCATTIGMTPEKQRLMRALELR KQQMARQPKRAESKAESKTPEQLLEAKGQRKDDGEISSRISPKGGSAFVGPTIDKDAA NTPQAETAVVESRKITTSPELHETVQTPSSEASTPDSAVELSPSHHSVAVGQKKAYVS SVAAPLIEKEVDLEPTPPQTPPEELELLKPVAYAAPKMTAVHPAPSPQTDQMSDQMVE PVVEESSSSLSSPPTLPQGSLDASLSSSVTSHSIEKSSVVTSSSSTLRNVSDTESDAL SKHTVFANSSQSSIADEIPDPVDDNAPKPEAETEEDAPALANGTAAAASTAPAPGTEP ESDLGSDTPTPRSTTDEHSELKVEEAPVTVPSPIIQVDDREVSDNTPEATEESNTNKD ADTAVASQKEKRIAMLDPIQIPSNASNAEEDILLSDDSFMDELGSATLQEARPITIPR TPTSSSGKGASSADRWNGSRMVSNSSVRSDIEALPVGVASSRAFFESEKSAPVLVAKK VNVSSGISKRIKALEMFSSREAGAIPDKASNRPEPQKSASPSAFEKFRKRTSISQSPG SLSPSPSNLALPQAADAASGKNGDSKSSNRPKSKSVSVTARIVRGASASASDNPSDSE PNSLNLHRSEITVEQNGEKSAQASNLSIRSPEKRHSICSSGAGSKRNSFTGPKSPSID TTNKTPITRPMFDAVHSPSSSDTAHGNAESIDETKEDKKGSRKSRIIRRMSSITTNPL RKSTAKDQKGPAPPQTPMIQESPASNSTPIHVVDVGEVNVQFPDTLLWKRRFMRVDES GYLILTPGTIDGNPRNTVKRYHLSEFRRPYVPDHDRQELPNSILLDFCDGNTLQCACE SKQGQSLTLQSKSIK TRV_06735 MFAAPEGREGVTQSVGCCKQQQNRPRVKSGGKTPSRRASEASRE YTRPAAARTGTSKAQRQTESRCKKKKKRQTATGKKKKNEEVVFLVVEPQPALFFSLQH RSSTSLSLSLSFASLLLCFFFFDFDFDFFLRSRAFSLMGGRQTGLVASAPGGSG TRV_06736 MDVHHHRSSALDKIDKIFWLHKLWRCGNPSLPLFRCGTGEEKRP KGESRDDVYIPSTLVPEQYSEQYTMASQDTLKSAQPLPLEAASIASSSPAEQIAVLDI LFEPSQNLHNLALPLLQTPFNSYRELIDRVRAELHQLAERAASDDTDKKILYDILGSH PRLGAPKSSHLSEFSRREQVNLATVTSPGQGVEDEADRLRKLNEEYERTFPGLRYVVF VCGRGRDVIMEDMRRRIDTADVSSEIDTTITEHTSALYGVYKYTITLSLTLTFHSLLS SLPPRVGFSRFEI TRV_06737 MSVVSAPQHILKRTNTERSFPSKARPRLSFETEPLASESHLPPL SEDGPSTNRPEAGTAQASAKDKKKGVSFLSRIIGGKKKVQVSEENDDVSELGEPRTTG LDSELFAHPVGYIPRYPPPPKYIKVRAKHTKTRAFDRLFLAQDLKGSPAEAELDSAEF EASAKGNKAVWAAEFSNNGKYLAVAGQDKRVRVWAIISKTEDRHAHETEEEARNGQTA VRLSAPVFKTHPIRLYEGHTASIVDLSWSKNDFLLTTSMDKTVRLWHVTRDECLCCFK HGDFVTSIEFHPRDGRFFLAGSLDCKLRLWSIPDKAIAYSVTIPDMITAVAFTPDGKY SLAGCLNGLCTIYETDGLKPLSQLHVRSARGKNAKGSKITGIDTIVQPPTNENGSVKL LITSNDSRIRLYNFKDRTLEAKFRGNENASSQIRASFSSDGKYAICGSEDGRVYIWPL DSTEKYPDKRPIEFFDAHNSIATIAIMAPTVSKMLLGSTGDLLYDLCNPPPVTLVSAT ASVISRDQARNDEEPVPPRTPQSLQPLSQQKAEESPAYVARSAHPGGNIIVTADYLGK IKIFRQDCGYHKRRHELWETNSTFSRKLLGRTNSVSTRHSVASSIGRESKTPSERILS WRNSVIGGPDNRSVDNLRGTPEPAGRSGSPSKARKDSPFVDTNKPATPNSTSSQRFGA TTFVHHNNQPTPPPRFLSTVNSEESTPFGPNDETPRPFGHNSDKEDPSYISWNKAQAD THHDSAQDTYGGKSALVSNGTTGDDSVEYEEELGCSQCQGKNFRATRLKNGEQRLICT QCGCAAL TRV_06738 MVYLATSQDYLKQSSLLLEAYPDTTRITTKYSYPKRPSAKERAK AKSKTAAPAPTETPEPTTVPATLTLKTFNPATGICLKYQTNKAAEVGRLIAGLGKLAS GSPIEDFVPAPVPVKPAAGEVEDVDMPDATPSSAVDEISGSNTPVAGGGGGGKPAKGK KKGKGKK TRV_06713 MDEMSLCLIPIKNNNCYSAVYAFSTSSSPWASVRLALQRFFFSP PKAKTSPFAVLIDKYPNRKKLLAPPRQRNSSNELFWSRIRVPRGMKGRRFTLLAGLAS LIVLLFVFYAPNSDERPIIPAPNPGNSPAAPQQPPPVESFGDENISYNNPAGSTGDDL AQHPIERLIQTSRAQFKTTHSRQSKTLQQAATEYRRRYGLHPPPHFDVWFKFAQSRGV QMIDEYDTIYHTLLPFWGVKPKTIRERAREAIGFENALIGLMIRDGNVTLVDGGGEGE QWKRDAIKGMMATFVKYLPDMDLAFNIHDEPRVVMAHSDLSRLVAEGKRMTASNGQQQ GLHNQWSARPEGLNKGDRIDEVRRTRFNRFAHQPTWTNSRMSCPPDSPARQLEDEPVD NTAQYSTSPLGFISNTTAFSDVCLTPSLRQTFGFFERPNALDIVHDLFPIFSQSKVSS FQDILYPSPWYWNGNVAYDANQDMDWSTKEDQMYWRGSTTGGFSRAGGWRRQHRQLFV RNINSLSPTNILEKSLETGRWAVKQIQRNALRSLFNVSFSHVGQCDPEDCEAQKEYFT IVKPAKQQDAWKFKYLVDIDGNAFSGRYYAFLLSKSLVYKLALFREWHDEWLRPWVHF IPLSFRGTEHYESVRYFAKEEPGKDEAIAIAQDSQKWARKVLRNEDLE TRV_06714 MARTEKKKTPSVSIFTFSSSSFFLSFYHQSCSVEKGQQAEEHQG GISPKMGKGTGKKAQ TRV_06715 MPFIENQATTAEPIVPVDVKGKDAFHKLVDDLSAVLGPSSGLDS DDVDPMDIQKLMEGYVSNHEEWQRYALADESRAYTRNLVDEGNGKSNLESVVRREQED HLANMAKLNAAHPRLEPGVLTLSFSLLSRWESKVERAKPKKKRDEEMEKRERAKEPTI LHGSLKEHRYDWPEQDKINNGEACPLTVTKETTLRENEVAYMSDKVSLPLSCMCVCIR KRMLAWFAWLTLNIQLGLHKISNPDPNDFAISLHYEAYLFLLVLSVFADIRHPVYTPP NAAHFGCSLFDEKTGKSHHIKQCTFFSNRGLKL TRV_06716 MAKTQKNKATSFHLGQLKAKLAKLKRELLTPSGGGGGGGANVLS GFIGFPSVAAAYEFTTLTTVPGQVLYNGAKIQMLDLPGIIQGAKDGKGRGRQVIAVAK TCHLIFIVLDVNKPLTDKKIIENELEGFGIRINKEPPNIIFKKKDKGGIAITNTVPLT HIDHSEIKAVMNEYKISSADIAIRCDATIDDLIDVLEARSRSYIPVIYALNKIDAISI EELDLLYRIPNACPISSERGWNIDELLELMWEKLNLRRVYTKPKGKNPDYNEPVVLRS TACTVEDFVRPVFLLLLPGYFRY TRV_06717 MHRFFFPLVLSSLRLLSARPLFASSSSSSSSPWAKLDYRPSSLT MLRGKQIGDDIKMLVASSGEKKGGCGLDNEKKGQQGADKSQHLLHLLFYFPHCRDWLE SRQESDKSCIQCGSFFTGGGGGEKEKKDIDVLSDQEKDDDQGVEVSSKTNERLEVAAA VETHFRGLYKGRGAFFFLLLSSNYLIVERPFPPPSSLLFFSLSTTRDDWDGALYGSTT FIRRWRRVSENGTIQKAETTMIGKTKGRKAEAVERAGRLP TRV_06718 MDLEASWPARLIADPGVGGWLRRKKLQEIRIYHHPLPSTKQRPL DTSKTACSYKLFRLVVTCTVYLSSLKGKGAGEQKFSPLSFLFSSLAQVPEFRTMSGQQ TGGLVYRETMYGQTSRDGVSLLFRLFFLSSWFAYADISRLRARRLVRVRSSSGGSSSS SKATSKSLADDDRDEHGMRRGLEDIASTNLFCAEAEGQEKRCPRDTDELIERREDCFL PFFSSSSSSTQGEGRPPRGLLVFLLEPGKNKEISEGRTSQKEERPKEGGGRDGG TRV_06719 MDTETPPLQDHDFVSSQWVDMGGYAATQHHQLTDFTGFQFGSSP IMPIEPAYSMSMPQPYTTQHLIPLTMSSQWPSMLSTQPGFAPMPVAPMPMTPIPPQIQ HVQAPQLSTPPTPRRTLTDAERRRMCLYHQENPHVKQTEIGAMFGVERRYAGGSTVSK VLRQKEKYLFPDDGRRSPVKKTKGKFPDIERALSNWVKNHQRQGGEINDALIREKAMF FASTVGSPEGHEKILTSSWLEKFKQKNYIVNSPGRKSSLDITSPRKRSTIRTPTQLSP ISPSSTTTPSPTSPTQSGSVQEGDLVNECSDDVFGVSLPQEGTPIATPTLARATSEST IIFSSQNPFAPSENTGLGLESKRRRSQTLPVMTSGSSVVKDESPESLSPTNIFTQPGV IEEEDPSESIDAPAMKRNRSNPEIISNVTPMQPPPLPKSKSISSPAISPTTTPTQDEA RQALELVLNYFKNQSMELAVSDYQIIGKLMEKLKLAHGQPYIQPMKSTMSRSKIHTDR PRVSKKRSIHTL TRV_06720 MQQPSTPGSDAEIGTFTSSGSNTDGQNRIQTSSSSNALQETQAS LNTASPRASVVDASSSGSEQPSAAEVKTIKRACAASILALIPRRIALTLFATHQTDGN SSPAAASITAQDKPDPFVQSSVPESSVNSRIDSPLSGAGSEPGLANNSNPSRQDGRHG GPGTHRQTLPAQSSHDRNARDGKATDDGPDLPKSPSGKESKDYKDDEDDALLSAIEHD LLDLFSDSYCNKHLIYSIVELVLVKLIPEMGEHSVTELMNERGVLWSTASTTTTNG TRV_06721 MNEVDLQLYALMAVVMKEFVHSWYSKITPDTLFTEEVVQLVAHC TRALEQRLRRVDVETLLFDEIPSLLEAHIIGKPPYLLLFFVLLQEFIYTFIDEDGAKQ REAEKIYRQLLAHGAMAVLLPTEDLQNVCLRTLVGDILADLLLGGVVSGKVSEGWFIW DAISKILASLNQKPQDDGVEHDHSGNLEKGDPEPDQERSSNFGSYSTQKGQSILLSTL FNLMQYCYIAYVASRFVALGLFRVSSSPSSTATTSSPAYASNSINSKEATPSRSKGNI VRLPVLEYRILSVISQLLDISSRMPWLGGILALVHHLLMTGPGKIGTADGVIDRMDEG LSSFTRAPSKHRS TRV_06722 MQYYIANRYCLLGTSGIDGTDLLPAPTKPAVTNVSAYIAMRDAL LSQPKDTAWVVATGTLTNLAILFATFPEVAEHVRGVSIMGGAIGGGFSSVPISQKRGD ESRVGNITPWAEFNIYCDPESAESIFSSPVLAPKTTLVTVDLTHQVLATKTVQSRILG VKGEAGEKQDPTVLRQILHALLMFFAGTYDTVFGISAGPPLHDPLAVAILLSNLNDQA KTATNLKEQLIFDDTNGTRYDVSIHTDGLHHCVEGADLQGEIGRTFVKPCPAGAKGVT IPQSVDVDKFWKTIYDCLDRADQWNLERTRTT TRV_06723 MAKIKTIEHFRVLPRWLFVKITDEQGGYGWGESTLEGHSESVEG ALNALSRQIQGYEADDIEHIWQTAWRQGFYRGGPVFMSALSGIDIALWDLKARRLGIP IYQLLGGKVRNKISVYAWIGGDRPADVEAAGQSRLAQGFKAIKMNATEDINWLDSPRT LEASVERLKTVKDLGLDAALDFHGRLHKPMAKQLAKMVEPYQPLFIEEPLLSEHPEGI KQLSSQTATPIALGERLYSRWDVKRFLEDASVDILQPDISHCGGISELRRIAAMAETY DVAIAPHCPLGPIALAASMQVDLSTPNFVIQEMSLGIHYNTTESGEEYDITNYIKDTS VFDVKDGYVKALDGPGLGIEVDEEEVRRVSKGTKPWVTTGFFGPDGSIREW TRV_06724 MTAMKQLIPSAACVAARQRASSPPGLTACKSAILTAARGSFSGS AVLVLSNLSAGEAGSSLSSPSSSSSSSSSPPLLCLCPVPSDSSLSSSPQSIAVVCVSS VSTDSADCRWPSEAVEEEKQTRTSFDPARAEFLAQPVVLLPPQRRQRQRQQHPTQRLH VFSWRSHLEASASPCRRLAVTAAPAAAVMAMTTPHLPIFFFFFFFFIIFPPRQLPPAY YLQQLESFASPVPDLAATLMSNPEDEIHSENVHFLRSRRRSSTELSPSRARTRRRQLG GGGGGGGGGVGVGAGAGMADSPHDSVDFGGDQYMPRSHQQYTSGHGQRIPIIRRRGAM NISHGPAYEGPPQQSNRIYSWAPTQDEEGGLYVRTDPLHPRDEIWFDRSASSSSRDED GSLWSHLPRELRGTAQLASESPPEEQEGGRAVGAPNMPLARDNPALVTAAILQSVRRN HRYSPRVRTPQNQMQDDDGRDRTINASTNGYSSYNNNSSTSNNNNNNNDFATPFSFSP QGRRSSPRLSPPARIDTSRNSDIRRMYLKDPSIDRLREAIQYLDRVRFSNSYEESLST AAAGGFVQFEYFLRNEDDFILDTGSIAGPGYCSWLSPGTVFSGQQQATASTAMLPHRL LGTTRPSTESNAASGAGSRISVYTSSGRSYWASNIMGNSNSNGSNNNSSSSSQDPEPA KTESWPVK TRV_06705 TSFISSLGIVCSVCRCSLQAIKPPPLPPRLFFLFFLFILNIHLQ HLQLTAFSGLLDQFPSAAITPTLLLPVRVVFSVHLRGRVVGKSVEDPSSPLLLLRRDI GQEKLLVLSRHVHCSNSSSFLPSRIAELKAIIDNPETSDEQKINVQVAIDLYGGKMQP IPRVCIQGGQVINLQRLDFSRPFWMEVLSLYHSPKLVYPLFSAYPFSIQGYLQQLSAQ TAIPATEPASSSTPAEAVPETVSSASAAVQH TRV_06706 MLARMRAIPAFSLSLPLFLDITMLNDTGSDALTVFDTDLIALGI APTYLGFGPQTQAMTANGIVLRQVVYVEIQLLDSQRNPISDWILEESVVVPSAEGNTR LSGRGMRDCLYFATAPGNQQLYVAEKKNGIVQQLPVV TRV_06708 MVVLKTHIDIITDFSPQTVEGLKAAAQKHNFLIFEDRKFVDIGH TVQMQYHGGSLRISEWAHIVNCAVLAGSGIVDALAQISSSGVFPYPAGERGLLILAEM TSKGSLATGEYTKLSVEMARRHAGFVMGFVATRSLGDIETETERKADEDFVLFTTGVN LASKGDQLGQQYQTPESAVGRGADFIISGRGIYAAPDPVEAIKQYQSAGWSAYLKRIS R TRV_06709 MQGRRESSLNASVHKLYNSIQLLTNWPPPQPKADAFNCAQRAHT NYLENAPQTMLLTLVAGLKYPAATTLIGATWVVMRVLFLYGYVYSGQAAGAGRKYGGG FWFAQMALWGMTVFGVALPMMQAPASPF TRV_06710 MHPWSQGAAPVRQNGAIFSLSLASSEGSSPPVSQLYRDLPVTEM KPRTKEASPPASSGPEQQDPFVDGQVGLTRCLPVEYSVVDIRILEEDDGLGSFDCLVT SPCFLLLFVSSPFIIYILSVPTLSLSAVGDIKLTNARSHPDETQSLELPGLRPSRDIC RPVLGGITSYIYTSNIGSEEKQGKKNMAIVTETEVSHSTAAALQEKTNTGLNGRGNSL HEKEKQNQHHHQQQHQQHQQHQHRSAGQKLRSKYRHVAAYHSEVKPSCLSRETEKTPS FLGFRNLMVIVLIVMNLRLVVENFMKYGVLICIRCHDYRKQDLVLGAVLYALVPCHLF VAYLIELAAAQLVKGAIGRVKRDEASSCTSKELSALQTTWAYVAFAHTVNASLCLLVT TYVVYYYIHHPGIGTVCQLHAIIVWLKNCSYAFTNRDLRHAVLNPSPDNRLPEIYMSC PYPHNVTISNLSYFWLAPTLVYQPVYPRTERVRWSFVAKRVLELVGLGVFIWIISAQY AAPVLRNSLDKVAMLDWVSILERMMKLSTISLVIWLAGFFALFQSFLNALAEVMRFGD REFYSEWWNSPSVGSYWRSWNRPVYHFMKRHIFSPLVGRGWSPFAASLVVFTFSAVLH EVLVGIPTHNIIGVAFAGMMLQLPLIAATVPLEKMNGQAGKIIGNCVFWLSFCLVGQP LGALLYFFAWQAKYGSVSKQVLSQSP TRV_06711 MSVDKQEDEDRQQASSSSSMAPPPPKNKDTSPLTKWDLQKSPTP DISSGPFFHWRLGVAGERAKEWHVSTASHLIASMCFIYLTFETQKSDMRTIRTLSHRE IDYIRNMLLRLIRKLYRKEDADISVSRLIDLAETTSFDELNDYPVPVRYWQSIRGIRD YYRYDGQNLPEPSDTACHFAWHMAQENKTHLRRRWTEAFELAYGFAIDEVASQGNPSE GFPNAPGVSD TRV_06712 EKRRRGRRRSEQRAGRRGDTSHQFTMAESKKDEKAAGEPGEKKK RAELTPFTRGLILGRRMAGASLRQISAALDIPVSTVQNTIMKESSRVDGVSKPRSGRP KKLSDRDVRMLISRVRSNPSISYSELASGMPDNISKSTIYRTLKKHGITNRPVKKSAK N TRV_06673 MDEPPVDLTLDLRKPLVSNPPHITSVVDDIMYIVNKVIQQTLAT AQRSAITSVVPTIARVLGADFIGMVHRKMRDETHPRPVVPGGQPPPAMVVSFLVLVNN LDVAVDYLERIVKRHMESTDTGADVTNDASAQPRISMLFPLADDAQVVLSTLRSLSAT FTSKAQDLIGDGIQVIFNNVLKSRLRPILADAFRDAEYQPPDGEGEDGAYGAGPGAED DALMHHETGHHHGLVRQRFAAGWRDLMLPIARILTANTFDRLLGVTLTSLSRLLEKRL WSYHGRVNALGTTKLERDVTGIIAAAVDVAEGHHHDAATAAAAGERGGSIGGRAGRYR HRETFARCTQIVMVMGMEEEEWEEISQADGDVVDKLTVEERARARSMVV TRV_06674 MAPSTSFGAHPTNRPSHYRTPSPPRHAIEPLSPRLSFAAAASPA ALPRRDRLGKPGASGSGRGGDGSVPSVDEAWRHSSNSHRGVEPLEHHHQHPAPPPPPP PQQQQQQQQPHPEYQHHNHQQNQQQSPGRPGGHARSRSTIDTLATIALATSPTFSPLA YDGPDEPIISPLGHQGAAPDIDERPSKRAKSEKAHSPGWQRLGDRPATSHVSTLDSMK TDAELLLNFARPTNSPHYSPHITPLSRVTTENSTHSWNTDLWRGPASAVAAYDHGDVT RDRGVPAWPGMAGSANGVPPVRLRSQSDGAAALSRPAMNGLLPDSPLYPSAMGVALED DPEEEEKPARKKKKYKNIPTPSAPPTPSWGQETPVIPFSKPSQDKEPTKSKPRTKQKA AEDGSSAGQTNCAACNRDRAPAGVGGDDAEVTWVNCDGCDQWFHIVCCGFKSDHEIRT VDKFICTKCRPVHGPTTFVRKSTRPRTAIDYAGLNQGFVKPPSEEHENIHLAAIKQGT ITFLPDHFARMPPDLVTASYFERGAGMIEPIVIPAHMNSRAGFDFHEATTEEEFDATI PEDNGYEEAIDCGQDLLDMVIPKGLTVKAVGEIYGPEEKIEVIDVKSQQGEEKKWTMQ RWMDYYYDTSSTKMVKNVISLEVSQSPVGRLLRRPRVVRELDLQDSVWPPEQQALGDY PKVQFYCLMSVADCYTDFHIDFGGSSVYYHILKGKKTFFFIPPKEKHLKKYDEWCNSP AQDTTFLGTESKECYRVDLSEGDTMLIPSGWIHAVWTPEDSLVIGGNFLTRMNYAMQL KITKMEKDAKVPRKFRYPFFQKIMWLTVLKYLADDPIPPRLRDAFAQDENYQFHRENP VYHPEAEEFAASQEPGSDYYDAKFYSQSEIDGLPELAKYILRTALIAGGYKVDGVTKE TRNAVSRSIPKGQGDPVDIAQKFGVWVAWKRGNELAPQWTRPGAIVMDVKVDMSDKRR STRPRKQSERAMTGSQKSRPSLNSTQKPSDQGSQPAVSTPLRDVSASSSSGTPAGEIR RPSVAENKGLEANPRQTPKAASLGPKRIACDPCRKRRIKCRHKEGGGLDASSDQETAK DPSLGANGGDTTLTTSRPDDSIDRANGDSLPYRNGIPHQASISGPNPPDAGGNGLRRP QETSPGSSSSSKKGRIKACEECRKSKRRCIHDEAGRVDPVKIQEQPKTKRSRPEDEKS SPPKKQKRGENKSPRSTEDTSSSTKNDTDSAQDSKIDSSKDKAHVLKSNFPRDSPLYS SPPAGNSEAEAPSEHPIAPESMLPIGSLVSPPTSLVDDIDGVPGSLDGEKGKAVEGDR NTDKPLSIHTPTSISYHQSRYPSLNTKVADGQKQRSSKDRSSASGLSPSSPVRSKTSS RPGSSHRANDGHGPSSRRAHDRASFAEADVDPDSIKLIRQLQEEDFGLRRRPAKPRH TRV_06675 MFIGGLNWETTDQSLKEYFSQFGEVHECTVMRDGASGRSRGFGF LTFTDPKTVNTVMVKEHYLDARSFADQLYKIDPKRAIPRDEQERTSKIFVGGVSQEAT EQEFKQFFMQFGRVVDATLMIDKDTGRPRGFGFVTFDSEAAVEATLSVPLEIHGKAIE VKKAQPRGNLRDDEESRRLGKRGFRDDRFKDDRGSGSDSGQQSNTQNQTNMAGGMTPQ MMAQYWQRMQQYFALMQQQMASAQAQGMNPAMGGMNPAVLQQMQQMQQMQQMNQMKQQ PGTQQGGMPPASPTPPNAQGMQGMMNPMQQQMQMQQMQQMNQMPNQGQTGPGFTGGGM GGQMGGNVAGGPGPTGSAGTPPMPGNFANNRGGPGYNAQEQIAFEQQKYEQQQARRAA DPRTFSGYQQGNQSWEGMYDDTPQPNLPTGPQGMGRAGSAGGPMGRGMSPNVGNKPPQ PVASPQPQSAPPANAPTGPRNAGRPGANYRGGGRGAHRGFHPYSRG TRV_06676 MTEPEDLEEDLFADLYDADETPATSSAPPAATAQTPSQPPPTTK TEIAETPSSTQPAPTQQQQPAQEQKPEPPSAQNGAQGTPTAADTSGGGQASNEPESQG TGIKEDGPIFQGGQYFIHMILLPLSGRKVDKYPQ TRV_06677 MLKVRDANRGKPAKRTSSSQHPYTSFLSQGSGGLVPDIDDDDDG DEIGYEDDEDEFGLPSVTNMRRQRAKPSPGYSLPVKTTQSTPEPSSHVNASNLIPSMH RMRLRANSTDIAEERSNLTYPTANKSEGKILRPQYKDILKDPANSLNLINHSPPPPGA SAKETEAHSTRISRVNKFKRILQSSTVSLPELRDLAWSGIPEEVRAMTWQLLLGYLPA NSDRRVSTLERKRKEYLDGVRQAFERNSSIGSKAVPATSSTPNLGGGRGIDEAIWHQI SIDIPRTNPHIPLYAYEATQRSLERILYVWAIRHPASGYVQGINDLVTPFWQVFLGSY ITDSDIEQGMDPGQLPQPVINAVEADSFWCLTKLLDGIQDNYIYAQPGIHRQVNALHD LTRRIDLALTKHLENEGVEFMQFSFRWMNCLLMREISIKNTIRMWDTYMVAYPRKALD PKGSC TRV_06678 MPKAKQGKRNNAAQASSPYAQAVAKTKAAHNIFRMNTDIGQHVL KNPGVAQAIVDKADLKQSDTVLEVGPGSGNLTVKILEKAKKVIAVELDPRMAAELTKR VQGKPEQKRLEVLLGDVMKTEISSPLTFKLLATNPAPRVCILMFQREFAMRLFAKPGD KLYSRLSVNAQMWAKIDHIMKVGKNNFKPPPAVESSVVRIVPKVPRPDISYDEWDGLL RIAFVRKNKTLRSSFLGTTSVVSMLEANYCTWCAQNNIPVDSDLLDGDQQMITEEDDD QGEEEVDEIMDVDDEDDVPDFFKTEKAQTASSKPGPTKRGKVTNLVREKIRQVLEDDT KLADKRARMCDEGEFLKLLWAFNQKGIHFS TRV_06679 MSGRKDFLSQPAPENYVAGLGRGATGFTTRSDLGPAREGPTPEQ IQETLAKRAALLGTAPPTAYGASTRGEKGGKADKEEEDDDRYQDPENETGLFAYGQYD RDDDEADQVYQAVDEKMDKRRKARREARERQEMEEYERKNPKIQQQFADLKRSLASVS DEDWANIPEVGDLTGKNRRARQNMRQRFYAVPDSVIANARDSTEFSTTINDDGTESYV PRGENADGTITNFADIGAARDKVLQVRLDQAAEGSNGDVASGSATSIDPKGYLTSLTK SEMKAGEVEIGDIKRVRTLLESVTKTNPKHPPGWIAIARLEEIAGRIGAARNYIARGC ELCPKSEDVWLENIRLNDNHNAKIIAANAIKNNDRSTRLWIEAMKLESDPRAKKNVLR QAILHVPQSVTIWKEAVNLEENPEDARLLLAKATEIIPLSVELWLALARLETPENAQK VLNAARKAVPTSRDIWIAAARLQEQMGTANKVNVMKRAVQSLARDSAMPKREEWIVEA EKCEEEDAILTCNAIIRETLGWGLDEDDDRKDIWMEDAKGSIARGKYETARAIYAYAL RIFVNKKSVWLAAADLERNHGTKESLWQLLEKAVEACPRSEELWMQLAKEKWQAGEID NTRRVLGRAFHQNPNNEDIWLAAVKLEADTNQIEQARELLSTARREAGTDRVWIKSVA YERQLGNRDHALDLVNQGLQLYPKADKLWMLKGQIYESDGQIQQAREAYGTGTRACPK SVPLWLLASRLEEKAGVVVKARSVLDRARLAVPKNAELWTESVRVERRANNLSQAKSL MSKALQEVPNSGLLWSESIWHLEPRTHRKPRSLEAIKKVDNDPILFVTVARIFWGERR LEKAMTWFEKAIVANSDLGDVWAWYYKFLLQHGTDEKREDVLTKCIATEPKHGEIWQT VSKDPANAHKTTEEILKITLNRLE TRV_06680 MQIDPAALTGTTDFATVAPASTTTKPVPTGPPIAQKTTKALISV PRLDVEPIYTELKSAIGDGWTEYKQAITLFLLGFGVLTIFFFLLGHLNQNEFTLRAAP LLAVDPKRERLHNNFVCALLGNLTRDLPDHGVASWVSANDKPTVVSKPASGDAAEQRL KTEVMQLPPRDRRRLKAIPEPDPSTLPNPLEEYQLARQIRLPDQVPASAGGLNKTNWE LEIRKRYAQPLASETGEFPDSESIYARMTPICYEESISNGATFACATYMAIATENFVK SFLSNVFGRTKCNGPSGTINGMTTRKYRRQLEREEMAFTRGELVRNTTNGLLPVEAKE ASNRQPLGLRDLKLTLDIAPGALGHMPLIISQIMGGYLEEELEAENQCYIQEANAATD SADHIPSGDEMDIDEEPWDWDGATAADHSQLNSILDECLSMAS TRV_06681 MMEAFVLAFIAFCYAAFISITRRAPHASSRPCYHLIVFCGGGLG FIGWVKQRRGDPLVNVACSLASLAIITVMTKEGSVQRGDLSFAKIIQVFKMVVMGVVA TMMVCFIVFPISAKKKLRQNMVDMTDSLSDMLEIITESFIYGASGLESSLEQRPFLDA SCKNKAAYSKMEKLLKEAKFEHYVAGTEEEYRLEKRLVRCIQDISQSIGGLRSAAALQ FDILNQFQQPQQDINTFDTLRLLHNGSPIRLLDRPLMSIRSDGLQSPSSGATTIRSPE RPQTANTPTEFVQAPADMFERFITHLGPSMNSLAYTLKEILGELPYGPAPDYKVTVNK KFRTSLERALDLYRHARNDALNIIYSQKDLILAKGKPLGVEADLEEVAASCGHFSFSL LEFGEQLKEFLSILDQLQLEADERPNGRTWRWIMPWTSDREQDENIGSLDSVDPLEDK NKKDKAIDVPFTKTTFRYRVWKALHVFRRDDTKFAIKVGAGAAIYALPSFMPETRPIY SAWRGEWGLVSYMLVCSMTIGASNTTGYARFLGTCIGAICALASWYVAEANVIFLALF GWVMSFCTAYITIARGNGPMGRFIMLTYNLVVLYAYALAKEGADDGVDEGGEDPVITD ITLHRVVAVFVGILWGIIITRIIWPSSAKRKLKDGLSVFWLRLSVIWKRDPLSTMIQG GPTSPYFDVRERIKLQLFLNYLDSLLVSARSEFSLKRPFPDAEYKVLVSGSRRLLDAF QAMNLEIMKNLTASEGEAAMLRYTLRERMQLSARISHLLSVMASSMKLGYALNEVLPD TEHARDRLLARLHHFRNDKQASRLTTDEDYALLYAYALVTAQLSKEIVVLMQGVRKLF GVIDEDAITLQ TRV_06682 MAPSQHSRRRPGFFSWSQTAVAAAVAIITVMAVLPQAQASESVG EQQRRDIPAGKRNVILMVSDGMGPQSVDMARAFRKWQTGNEHVLYLDDHLIGQSRTRS SNSPITDSAAGATAFSCGKKTYNGAIAVLPDHTPCGTVLEGAKAAGYRTGLVATTRIT DATPAVFAAHANSRSYEDLIAEQEIGEHHPLGRQLDLLLGGGRCHFLPGSNGGCRDDN KDIIKLAKSKGFSYVGDRRGFDGLKGGAAAKLPLLGLFADDNIPFEIDRRYQNNVYPS LEEMTRTALKALSDATRDSNQGFFIMIEGSRIDHAGHGNDPAAQVHEVVAFDRAFAAV LEFLEQDSTPGILISTSDHETGGLSAGRARPNILNNASHSAEYLGEVLENHGGDHDVA RQLVEEGLGIHDASDREIDSVVRNGEEGFAELISQRAQVGWSTGGHTAVNVNIYASSR EDASALVGTHENTEIGNFLAKYLRVDLNAITKRLEGIRTTPRRRALSDSSWMGKPLSA EDLEELKHRHGNYTTKA TRV_06683 MSTLLKQITEEQIARSYSVGSQDCERRNMSPWTGSKLLVRLPKG TPRIKYINMRELQGAIKSRASECYEDGCKGTFLVVTAIPSTFITEVDEFYGDRSPGFA INIDESLAVVETMHSKPREILAQNLVIHIGSATSELAHLE TRV_06684 MDIEDHQICTAIDATTHQSIMALNPGLGVFSRLPYEIRELIWLD YFPVDQGDKLTPSQSEYMDLRILLVSSRLYQEISDVIFSKTRMIIDLSPPPDSGKRFW CALRLRRRVRKGIFCDGPVWTLKCRAKSRERRFDHFPFCKLAAIEIHISNPEDENGFF WRWRNVIRTLELLDTSLLPPIVIRLQKGKELSLQDTYVDCAGYDLDNRQVLKQLKYRK RKMLSWVDTCYRLVPYPDGGYNSRGWYIYDILVLPFYSRLRGAPSIRVEVHSDEIRRK IHWTTIRLAHDVFYRRVNSTDPFTSYLDEEWINCRIISQYYYIHDCLVWGQVLGHGRM VPFFRVGWDKRNLKIIAHRLAWLVNKFLD TRV_06685 MDSATALISKLQTLASRLSNEEDRDARKECLQISKALTAQLEEP ENVAVDMIFSPMIAVSARIAVDLNLFALIAKEEPVTSAKLAELSGAEELLIIRILRPL SAIHFVEEVAPKTWKATRITHAMAIEGIAAGHRMISRFVVISMQSAPAYLKKHGYACP TDPRDGLMQYAFNSKLSAFEQVTSDPALLKDFNTFMGSTMGARRYWVDWYPVQDRIVD GASPDKALLVDVGAGKSHDLLAFQEKFPNAGRLVLEDLAAVTETLDSLDPAIEKVPYD FYTEQPVIGARVYFYHHILHDWSDACCLKILEKVVAAMTPGYSKLLLHEMLVLDQAAP LFQAELDMTMMAFNGGMERTKDQWTALLEKAGLKVVQFWDPVDEGGDGIVEAMKA TRV_06686 MEQKEAREHAVEPLLRGDEALNCASEEEFKDDRIFAGYFCRYPP QAPETCLAGRKRRKSTTSSMSVHLPIDQLNRSFTDLSKVPDRQGLKWEYRRFPTNIVN NPFAGPPREEMEQAWHKFLRNDNIRVPIGYLKEKNLTSVYTKDHSEGIASLSVYHSLH CLKKVKRMMFKEHYYANKDEESMAREIKHADHCVEYIRESLMCQPDLSLVTFRWINNT AQHEDPTEFYPTNFDKDMHYCANWEHLDGWAGERMFDLFRVDLLDRPENSSG TRV_06687 MELFNFKPQYQPVASNNSPRPGILPFLRQYPLGNYILLLTIAVV SAGIGALIGRSSLGCGSCVQYSNRKYTSSDPTLHLLRLAHVAEAYPSLAAEIPLGTIK EIFTYNRTFGEDPRIDNGTLAAWDSLVPSE TRV_06688 MGLESTLTGCHIGINPGLVNLGWVDDGLISISDFAYQPKLAIST RQPERSMEVNTAGKRGGAGNSSQKENNLELHLQWC TRV_06689 MDDKPPSDSQEDAPPQQQQRRGEQDVERASSKQQPDEDEDWFAW LQVLGAFCLNLNTWGLMNAFGVYQTYYELDLLSSRSPSDISWIGSTQAFLMFIISMVV GPVVDAGYVKTLLALGSLLTVLGMFMTSLCTQYWQVFLAQAITMGLGFGCLYVPAPAV VSQYFNKSTALAMGASSAGSAIGGIIYPIIFSRLQPRIGFPWATRVIGFIILATQLLP VFLMKPRSVPTKRARYNVVDTTAFRDSPYMLLNLGLIFGFTGLYIIFYYIQLYSLEET HISHVLESYLLVIINGSSLAGRLIPGFYADRIGSINVQTIVAFISALLTFCLIAIKSA AGLVVFCVIYGFSAGAFMGLPAAGVVNLSADKSKIGTRLGMTLAVVGCGVLVGNPIAG AILNGRGGWVGLICWCGALLTASVISMAASRVSKVGFGLRRAI TRV_06690 MAMSGPDYLGTTVLVVAWVFAGLASIVVGTRYYVRWRIIGKFTI DDALIFVGYAFGIGNSVFLTISTHWGLGTHMAKLSEEGIMHSVKWVYLCEFFSIMSPG IGRIAYASLLLGLLPPIKWRSRMLWSLIWIQFIVDIATVIISFSQCRPISKFWNNSIP GSCWPPKVQQNTGYFQGGEYIFDILLYSTDTYSTAAVCSAVDLALAAFPASMFWSLNM EWRKKVSLSCLMGLGVFPDTSAMIASIIKTVQLRAITAKADITYAMAQLAIWWTLEAY LVIIATSIPTLRPIMTPNRGGTRPRGSSIKRSTMIHSHQSSYGHSRQFERIEDSQLLE SGSRTSIPYAGDAYIMEEGRSLDRNNLERLEGIEKTTTIGVTYADATKSDPQLRLGAD FR TRV_06691 MHGLLLAGLLALPMNVLAHPAEQHASNVLSRRGVDIESFRLPLK AKYMDSDATAQKIQAMSFSKDDDYVSTATKLVKSTFPKSTFRVVDDHYIGTNGIGHVH FKQTAHGLDIDNSDFNVNIGRDGKVFSFGNSFFTGEIPKENPMVKRAFSDPVKALKGA VKALNLPVKSDNAKAKTAAGKEAFEFMGTTGALSAPKANLVYLQKEDGSLALTWKVET DVGDNWLLTYVDAHNSETVHNVVDYVASAEYKVFAWGLNDPTEGNPTSIRDPWTDASP YTWNSDGMTKYPTTRGNNAIAQDNPTGGSTYINNYRPQSPNLIFSYPWSPTATPPSSY KDFSITQLFYTTNRYHDLLYSFGFNEAAGNFQVNNGNKGGRGNDFAIVNAQDGSGTNN ANFATPPDGSPGRMRMYNWTTARPNRDGCLEAGIVIHEYTHGLSNRLCGGPANSACLN ALESGGMGEGWGDFYATAIRLKPRDTKDTNYSMGAWAANNPKGIRAYLYSTNLQTNPY MYTSVNSLREVHQIGTVWASMLYDLMWALIEAHGGTYSADPVFRNGVPQDGRHLSMKL VMDGMALQPCNPNFVQARDAILDADRALTNSANKCTIWKAFAKRGLGYGAKYDARNRT GSNKLPPGC TRV_06692 MPPLKLSSVTFVLFLIPFTIGAGDTDLIGCNSVGCPTIDGYDRC TVEDVTHIGVGLSRIQNAPSELEGFSLVKGVNVSNKANEDPGLPFNSLYYLSVPETAD TGKLHGCTVVFNDPPFKKFKYPMKEGKIAGTSVIVNMTDSRAAAGMCSDVIEQSCIDK ILQNASDVADEGSGNTCEKLEREMKKNFDGCTSFGGPGTSLGNFTVKSLDALSTVKNS SDCWPTKQKSDRLLEIARATSYGNETDTYDEYTKEAYKITPVLTLFVGGNGSLIDHTS SQMTCLKVITTKKYDVEPGRPENKAIILRGSWVSLVIVGLTAIFMAL TRV_06693 MAFVNMLGIIAGSLGIISFGLDRFGGEGSHSGSTIKVAVALDGP QLSNSAGDLPDVRVWNEVGKFVGITVDPGRVEAGNIGEIKVQHDNQGVYTLFSANDDA VCIAWVSTTWTDDRGGNKYAVTGDFSEPCGGAWFPSNLYISDKKDHQPDCFWIDKNGD QPKTGFQVRWPAYSEDQFEGNNKDPKRLCNNIDFGLREENDPSSINYYPKKKRDEHPR ARRSTIRRPGWANSELVISDSKHHSARRLCMSGSSMGPDFAHTEEGFFCDMDTKVLYA FCADIEEKSACFDLKRQRIVAHEEATGVNATSTSHGSARYSRIRDWRTNSTVA TRV_06694 MSIKSGMIKIQAPFSLPVADKLLDSTWAACPSTTRGQPTQLSSD PKGERLAYASNRSIFLRSIDNPSVSTQYTAHTAETTVARFSPSGYYVASGDASGIVRV WDCVGEGVTKGEYSIVSGRINDLAWDGDSQRIIAVGKGNQRFGHCITADSGNTVGEIT GHSQVINSVSIRQQRPLRAATAGDDRTLVFYHGAPFKYNTGIREKHNNYIYGVAFSPN GDNLVSVGGDRRIWLYDGKTGEVKGQVGEGEHKGSIFGVSWSSDSRRFVTASADRTVK LWDVEQGKVVQNWTLGEDGPVGVADQQVGVVWPSGRTDGLTISLSLSGILNYLIEGTE KPTRRVEGHQKNITTLSSRESCPSDTFWTGSYDGRVCQWNISDGSAQTAKGQGHNSYV AGFSATSEGSSGQIYSVGWDDKLRSIDLGSNTYSELDTKLTAQPKGIATAGEFILAAS SDCVEIFSKGQLTGKFDSKSQITAVAATGSSVAIGGEDASIRIGKLDGNGTGISISIE TKLLRNRITALAFFSDESILAAGDSSGRIIVYKTADGSKVTDRWTAHTSRITSLSWNK EASHLVSGSLDTNIFVWNLARPGERLQMKNAHQEGVNVVTWIADGSKVASGGADGSVK TWNVELAK TRV_06695 MATKLTTCRPILCQLTGFTPRVSCRSNSGFGGITLNPATDARLR GIRRRQLQNQQFEAERAREQRAFDLQKQQTRTWQPGDVYSPRDLSPAEMKKWKKRRNP TTDIFDALSLNPLHMYKVFARISLRQFTA TRV_06696 MAGMFNTFLTNKPFVASRCYRHFLSSARSRSHSFRPLLSSQRRH IFSFPSIRRVTETNTGKHNDASEYGSKPMVQLVHALEQQSRPPPPFILATGFMRFIDK RLGQVTLTRYQAELLLRTFQHILSVPSKDPQGSLREFLELENFEYTLEALARADFTPD AAELVNDLARIAMGQIRRIRERTPELTPEPGLEVFKSYITVLSSTGFSADALKLTITY WKTTPDLSNGLSLWANIMKGFAKESRRLGAEQNTPSKVTMVLEKMKECGITLDTERQE QLISMLADSNYSHTIKTVAELPIEKSTATNVHLLKFALRHAMYSWAATIVSSFPSYPT PETRDALLLFSFLRGRSSDSVCKQLDEMTAKNPEIRTTMSIDMINMIIEYANLHKQAI IAQQAIQVARVWNLTPNARTFVLQIHSLVYSGQITEAAELFQSLSPDVEFDLSDVDFL NDFIKQLCLVENSSIDFDTVNTLVDILQGVGGRFDPMTLSTLCKSLLHRGDLEGVSTL LRPIIDTFDPLELQKIRLPFLDYIKDMDQTVDSAWEVYELLNLAIPTTPVSTRTMIMN IFFERQRPDLACLVFGHMRQKGDPNIRPNSYTYARCFQGIAKCADSASLQLVHNMLKL DLQVAPTTKIRNSLMLAYAACGLADQAMRIFRHILHSEEGPSERTLVIFFRVCESYGN GREEATKMMEKLKSMDILINDEVYNAYVGALGGHCELELAVQAIKQMESQTGSAPTTL TLVNLYNSLPYQFWKDQAEEWAQVAYPELWKTIGQSGRTTDEDGIQNLNIDSLSVHLQ SFLAPRAATTLTVSQLQRPAIRSKYRNIDASTIVRKSKSTKAKILNMDDPSSGIQADV LASRL TRV_06697 MMKVRARRLWTKEEDALLRKAVNESMARGGDINWHRIASNIPDR NNKDCRKRWVYILAPSLNKGAWNKTEDEKLLQGIQKHGFRWALVSQVVGSRQPDQINN DRWSLLEDEILREAVEIYGRRWTEIVDRYFPNRTPIAAKNRFVVLSHINFVARSNDVS FPNMVPVVRYTQRFGSNKTDGTVPKPSGSATKKKSPMVKPTTSPVTRVEETRAPCQTI MPKLLWHVPDHTQSQQARLPAPPKVTVPEVWPTNDTQSPLTAVPSYQQVPSLTSSPSP VPIPHTPLIDPIRPCEPTSMSPYSYYTVTSPQAEMCTPSFVDPPLNGNFGYEPWVSND MISLLHNGVVGYPDMGYSTNVPTMERRYDQIRFSDHTTLLTL TRV_06698 MGSLVKLLKRLATIPNGAEEAQPNLILLVVCSAMFLDLSNLSAV TIALPTIGDQLHTDQAKLQWVISAYALTFGSFLILGGRGGDIFGHRRVLLFGSYIFSL FTLVSALAPTFTALVIARAFQGIGAGFTIPSAQAHVAIYFPSPVERAKALGLWAAAGS VGFIVGLILGGVLTALISWRWIFWISLILSGIVVPTAHVFLPRAKTARSAAAREITNE EQEEKKFFRSIKAHMIRFDVLGICLGVPSLLLLTYALTSANTAGWGSPSIISTLAISS LLLLLFALHESRAPQALINPRLFRPSFTLTLILAVATYAVRQACSYFLTLQVQSYGSS PLHTSLLFLPVGISALVTNTIAGRLVHLLGARAMFVIGWTLCIPGVVLFSFITPQTSY WHFTFPGMILYIAGLGVVYITANFVVISSASKSDQGVVAGVFNVALQVGGSVLGLAVL TAVADGINKQYGDSKAGVLSLVGYQSVYYSCTILCAVALVLSLVIEVPDAMKGSLWNK PDQEPTTQQVSTIDGMKPQQQAKTAIEMK TRV_06699 MSDSPQNGGLPSHPKPAADSGVGTPTGFQRPLNKQLESVVRTPG RQPSPQPTHLGIPGGPHRVLAEQGPGYVAAKFEGKEAQMVAVSEQLENNGFIPYEFVA SETNWFYNLLGIDDMYFQTETVEVIASHILSLYAAKVAAYARDDKRLEIRLDKEAEDH AVYIDTSRPGVTTTDGPRYEQRIDEKYINGATATDSFRVETFRSSSPLPDNSEQQLRC YFVYKCQFANPNPDPEETNIEIVGDKLFLQKATENTKAIYQELLINAVARSGPVIKMF EIEGSREKRLVIAYRQGSAMGFFSALSDLYHYYRLTSSRKYLENFSNGITIVSLYLRP TPGYENSSRHPPIEAAVHQILKEISLLYCIPQNKFQGHFISGRLSLQETIYAHCVWVF VQQFLNRLGSEYTSLAAILDSNNSAHAELLSKLKKRLRSETFTSDYILEIIQKYPDLI HRLYLNFANTHYVQTRGEAQDDFLPTLSYLRLQVDEVLNAEQLKDLVSKTVVSENDRM VMQSFLTFNAAVLKTNFYTPTKVALSFRLSADFLPKHEYPDPLYGMFIIISSEFRGFH LRFRDIARGGIRIVKSRDKEAYAINARSLFDENYNLANTQQRKNKDIPEGGAKGVLLL DVNHQDKVAVAFHKYIDSILDLLLPPASPGIKDPIVDLHGQDEILFMGPDENSAPLVN WATEHARKRGAPWWKSFFTGKSPKLGGIPHDRFAMTTLSVRENVEGIYRKMGIDQTKV RMFQTGGPDGDLGSNGILLGKEQYVAIVDGSGVLADPNGLDREELTRLARSRKMICEY DVSKLSKDGYRVLCDDSNVTLPSGEVVNNGTAFRNTYHLRPGNYDIFVPCGGRPESIN LNNVSSLIVDGKSVVPFIVEGANLFVTQDSKIRLEKAGCVIYKDASSNKGGVTSSSLE VLASLSFDDAGFTEHMCVAKDGTPPPFYDAYVREVQETIKRNARLEFEAIWRENERTG VPRSVLSDTLSVAITQLDEELQKSELWDNIPLRKATLKDALPKLLIEKIGLETLLERI PDNYLRSIFGSYLASRFVYEYGPNPSQFAFFDFMGKRMPKEEI TRV_06700 MKSPWTEQPAVFHLFLFSSLFSFALLGFFFFFSFLDGCLTKVTP ARKGEKRLLRRTLIVGSASSVPLFLIVAIITLQLYFSFPGFISFFQLRQLLKQAIPDS YQSNLSINIFVSSFDRQEPSFARRVISINQYQLGRIPSIYQISLANTTATAKKYTSTM ASTMTRPAHSSLPHTMHPFPSTGADSTSPSTKQLSVSTLSLDIQRAMQSSSSQPKYIS AGNNDFEHPPPPPPSPVGFPSGSTSWR TRV_06701 MERPETIAMDVDGNDSDYEYEYDPFETETAYINLELSSVNGPIR PPRKRSSTSTPIHSPIDPSMTPSLDGDGGQDHNDQEQESQSRIQIMDLHSPNPIISYG NQIFSCSWADMIGTELMFTRSSERQPNSTPPLWRNEEDSSELLCANRVRIVGQKANLI SSSGGQSQSVFGEDMGDASRIAMSNQARFLARLAKAKHSRGETDAVRTVFPLKKSQIQ EEKIAGWNRVEAAVAEAERLNKLALEGDGDAMRALEQIQLRAHFGTWKKTKGKKKISH RCHGYNIPSQEDMCSGKQRRKKRSKQTTVVWLSIGLKKQIRHRKQYLVEKTVWETPEE TSSLDRDIKKRRKRKKKKKKKRSWKPIYENNSVLSRPECKLRL TRV_06702 MKFISLTTVAWAFLSLNAGLTLAGDVLRNNGFNSCLRNSDIEIQ ELDFILNRATKTVTYNFVGMNVKTQNVTATITILAYGQTFTQNLDPCSSDTFIDQLCP VPAGRFTAKGQQPISEEFGSRIPTIAFMVPDLDAEATLKLNQKDDKNELSCIKSTVGN GHSLNTPMVSYAAAGISGAALVFSGAGAVGTTAHPGAPGASPSFIQVMGVFQAIALNG LCSVDYPPVYRSFTKNFSFSLGLFPWTNLQQSIDNFRNATGGNLTENSVTYLRSLQVE KNGTNGTTEKRSLDLTSRHVHLLARDIQEEVNNRLSGIKKGVEELSIPAANTFMTVLL IFAIVIAVIAAGILLGKVVLEVWALYSTFPERLSNFRQHYWGFLARTITNLILLLYGI WTLYCIFQFTRGDSWAAKTLAAVTLVLFTGVLGYFTFRIWSLAQRLKKTEGTASALFE DKEAWRKYSLFYDHYKKDYWWIFVPVIVYLFARGCVIAGADGHGLAQSAGQFIVEGLM LILLLWTRPFETNSGQWINISIQVVRVLSVACIIVFVDEFGISKTTKTVTGVALLAVQ TSLAAILGILILINGIMTLFGKNPHEERHKEKQNFDRDLDNLTPLDPRGSILLRPKSH GYMHDEEVGKLNHISRYEPYHDSPPSKPRHGYSESTDRLVQHRGGSPERPRSAGSDIS FTNNTHQQNRSLLH TRV_06703 MDVDAPLFNPAKRRKFMRRRADDTRPEDEVEAKASTPTAPDTPA SSAPDGVETDEMGVADILRLRKNKARKGGIEFSTSRSSRSDALVPAAETTAEGRLSGI SDRFVGHSGQKVDVDKHIDPTNPADGQNQSRQAAEHTSAADLQLPQRQPATLGKLHEI DLGPDSKLQNIARTEAATRNLAKGDSAAPEDEETVNKGKQTRGGRRRRNSEDIMRDRL VEEVLRESKLDVYEEPQSHLDEDDQAADDRIADQFRRDFLDAIHSRRRGARTRNTKTS KTDAPRGPKLGGSRSARAAMREQQNKAQK TRV_06704 MLALSLGWLLLGSFVLYRLALYIQTALARRRAAKEHGCKAPPAY PDFEPIFGLGLFLEAIKSNKHDTFLEDVRNRYLKYGNTFTSKLTGLPIAVITTCEPEN VKTVLAVKFNDYQLPPRRKAGFRELFGNGVFTNDGGDWEHSRAMLRPNFSRSQIADLN MFERHVRELIELIPTDGSTVDLQSLFFGMTLDSATEFLFGESASTLSGHRSTAPKGDI FGQSFDYCTGYIGKRFRFGLPSTWGNKQYWQGVKDVHTFADHYVRKGIERYNSREKIG DVADESGRYVFLDELVKETQDPLVLRSELMNILLAGRDTTASLLSVLWNTLSKRQDVW EKLQAEVRRLNGRAPSFEEIKNMKYLRYTLDEVLRLYPVVPGNSRSAIRDTILPRGGG PDGQSPVFVPKGTSVIYSVYSMHRRTDIYGPDALEFKPERWETIRPGWGYLPFNGGPR ICLGRMSLLYSLPFLRSYTNGYVLEQFALTEASYTTIRIMQTFKNIESRDPHPWTELL TVTCSSKYGAKVALS TRV_06645 MGPFLISGWGLSLLASPFFFFFFFFFLFLFLFLSSIFCIYITSQ QLQPFAIFCLLLFYNSSFQIPNRPSVLHLSLNMDPDFVHRQFPTSYLRTKLGSEVQFP VESRTEDTALHTAVEDHITNQLAQRQQQQQQQQQQQLPTWQAVDGRQELQHWLRVPPS LTPGPLSTPSASSAERQGSPKPADDSQTLSSSAPPSTLTPNTRLAGGG TRV_06646 MKRRRCAMMDDDGWMGRRERHEKARRSQRPTISLSLSLSPQLDA SREWPGHVLRWLAAPYLPAIKEALHRRNSQQLREKVEQRADGSLAFIHRWLSTTDDDV SAAWKPGKQGLSEASAACHDADSVTTAVDN TRV_06647 MDGDLSLSQSLGGLRIANPDNASTNTSEGPSISSLKLSSMGQDA PEALAAPEHSQPGNRSVDTGPSPAPQASASNVNLHDYSRNSPSQLPSNVQLNMSHHPS RQSVCLPPNHQPSPRGEPYRLRTDSSVSATEYPSRIDSRGGSTALQAGVLPRDEGHTD RSYAPTKVFRGGAPLPPRQSSRRAPSTSIPAVSTSYGNENAPLHSSEEWQERGAAVGV QQEIDANGKPVARYVKKGVRDFVFGRTLGEGSYSQVVAATDRQTLKEYAIKILDKRHI IKEKKVKYVNIEKDTLNRLTDHPGVVRLYYTFQDERSLYFVLDLAGSGELLGVLKRMG TFDEECTRFYGAQILDTIGYMHRRGVIHRDLKPENVLLDNQMHVKITDFGTAKILSMP SPKEGGNDNGIPSMDMPEDERARSFVGTAEYVSPELLTNKSACKASDLWAFGCIIFQL LAGRPPFKAANEYLTFQKIVALEYEFPKGFPPAARDLVERLLVHDPNTRLQIEHIKNH EFFNGITWGRELWKQKPPRLKSYSPPTEPIKLNGPDDDDSFPPNINMKPSAGLPPSST TSQNRSYPPVITELPPPSQLDIEWSPVLTRTNERILKLGNLTVSTSSAPRSQNKNGNG DGDTPKKLSRFFGGSATKKRQRLVLVTSAGRVIIVPAGGEDKRPKMDIMLLNPDTQYR SITDSKGYTSWAIDTVSSQKPTKAATVCKKAFTDFAFFGSSQREKHHVFEETKPNSDA AASKLATQEWLEVLDRAKELASVYSTPNSYTGDDGFRDLSSGVSSHANTLNHADDSPT GQYPQSSGRNALSKPSPEGDSKSKKRFSRRHSRNGLSAVF TRV_06648 MKLSLALLGLAASQAVGLAIPDATPETDVPDVLTLTERHHGGSG CPSNTQTVRYNVANDRRSIVIQYENLTARINSKTTPADERTNCQVNLQVAGRNNYQFS VASATYYGSARLDAGVTGRHGSIYYFSGSPDQAFTATGISGPRRGNYRLDDDANKRIW SPCGESYPFNINTDVRMDSDNDNATGEMSREDGADGTVKQVISLDWRRC TRV_06649 MVSQPTAMEPSTATSRGASSVTSSRRSRRHPRFPSRSHAGGSSH QPLNEFPVFSTTGDVEIVIRAADQERRYLLHRLILAQNSGFFEASTSDEWSSVQAQRE ITAAISASTSVRPGGDAPDLPGHEVAPERGEKRDEEDDNETPRPLTLRNGIPPVKTRW RYELDWENREGDEVPILVQKPPSKSKSVFGSTAQQTHPPLPTRNKPVPPQQGFFQSMA NLTGIQSALHLPLATQSEESIPVDPTIRDYDNLFRIFYNYAPQLNPHNITIAYSQCKS LIALADMYDALTVTGPRVDYHLLRFSSSLYKHIAKFPASYIKLGYQARSRVIFTEALI HIVGDWPVSQPLVGREHKSPLPEAVLDLIEDKVEDLKDEIRRVESKLFRLTLTTSRGE RITPFNNYLDWLAVSLFRQWLVENTTPPPPTILKFPAPPTSTQRDGRSANPSTSTRNL SHSGGSSSGGGSGSRQLNPSNSTASRRVTNQTVPARPSTARPSTASARPSTGYSAANK VDVDTKPQAPFCIGRTFRLIGSSNSQAYLNHDEIKRFLKLHPTSYSRENLKRLESKIN ELKRLAKEIVKPLMRNFLELDLKSANGESDTSSSWPTVTYLTCTKIEEADLPWY TRV_06650 MGVGSRYFGLRGTKLNIAIGIIAGLDFLGVMGGLLTLHSFEKVF PEIATSNAAVAGLTRAEKNHRSTIQGISVASYNLGCFVGAIACIWVGDRLGRRKTIWL GSVIMVVGATLQASAFGLPHFIVGRLVTGVGNGLNTSTVPTWQSECSKSHRRGQLVMV EGALITGGICLSYWLDFGFSFLEPSSVSWRFPIAFQIVFALIIMFVVMGLPESPRWLV LKGQEDEAMNVLAALSDLDREDRFVHSEFSAIKDTVIEMQKGSFKDLFTMDKDRHLHR VILAYVNQMFQQISGINLITYYAATIYEGSIGLSPFLSRILAACNGTEYFIASWIAVF VVERIGRRMLMLFGAVGMSLSMAVLAIATSFKHQTDAGIVAAVFLFVFNTFFAIGWLA NALATSGNWIFNFMVVMITPVSFSLIEYKTYIIFAAINAFIVPVVYFFYPETAYRSLE EMDSIFRKTKSIFTVVKVARETPRRYGKNGEVLINYDETDEHRTRAGVTQEETTASFP EKSNANPDHDAETGNSNSPSNQSTA TRV_06651 MPNHTLHLTDDSGHKTMECTENRKLEQHNVPDKLPEEALEILKK ASKERDLEDFRDGLKIYSKAVPLATYVDIEKLLRQEKLSVYLIALGKLNCKYVVGLYF SDKPQRINLKERWPATPEENLERLAEAGFPLDRQIPKCSNCGIISLLTFCPQEMGHIM KSCKEELSVVERVEVKCVNCKQPGHRARDCKEARVDRFACRNCGKGGHRSNECTEPRS AEGVECKRCNEGIIMNANPTTLATKGRS TRV_06652 MYLFGVLFIKNLYVSLPATVHWGRCIYLLPIQPNHAYCLPCSIL VFIITLLLLSADFYYLKNIAGRRLVGLRWWNEVNMQTGESHWVFESSDPNTRVISATD KRFFWLGLYSTPALWIGLAVLAIIRLQSVIWLSLVAIALILTITNTLAFSRCDRFSQA SSFASNALSGGIAGNFATGMFGRIF TRV_06653 MAAPFGSPVPYAEPQWYSRDCSPYHDESHRKLRAFVRDYVEMEL MPYAHEWEEQGFVPDETVRRFAKNGFCIIDRSKPEYMGGISLPAGIAPGEWDIFHTLI VADELNSIGCPPIMNFGTEQQKLEYLPRVARGEIRFCLGVTEPDAGSDVSGIKTVAKK VGSHYIVNGAKKWITNGIWADYCTAAVRTGGPGHGGISFLIIPLTAEGVTRRRMFNSG VHASGSTFLEFDDVEVPVENLIGKENEGFKYIMSNFNPERLSLASACIRLSRVCVEDA FRYSTVRETFGKPLLANQVIRAKISKMGQLIEPCQAFMDQLAYTIKTSEQTGRKIDIG GMTALLKVMSTRCLEKVCREAQQIMGGAGYNKAGKGSRIEAISRDVRVYVVGGGSEEI LRDLSVREELKHMRKVMEAQKRAKL TRV_06654 MVSLDTQPPPLSCVTLTFPAPYVLLATINRPAQMNSLSFEAAWE MDRLWDWMDEEPQIRVGIITGAGKKAFCSGMDIKDRLEIEKLDELALAKAVNYPPTGF AGLTRRSGKKPIIAAINGHAHGGGFEIVLNSDLVIAAEHADFKLPDSARGTGALCGGL PRLARILTLQRAMLLALLTYTLSAQEAKEWGIVQKVVPADDLVKEAVKMASGIAAMSP DSIIVNRAGVRQGWETASVEHASTLIWDTYAKKLMLGENAIEGMQAFKEKRRPVWKPS KL TRV_06655 MVPAADIMAVDETKRLVGNRAGLGILQTLESMQQRLTSIEQELK QLREETRQFREEAKQYREEARQFREETRQFHKEARQDHGVTYEHHIRTWMLLRGPIYK SRGRCNAMAPGGSVLVDLDILRFLANNDAFQFAIWAGVFELIYGIPYGHGKLISRRSK VVRLVNARADLTLLDSKNTEDLRKCNAIIKFWKTAIDSEYDPEGIFRIPAVADMSVLR RALLYVPGSSQRMLDKSRMLSVDCIAYDLEDSVTPSKKAEARRLVRAALDLPSPAGVR ERAVRINSVGSGLALADLTEVLTASQLKSPNLSTLVVPKVDSASDLTFISDVISHTSS STKQISILALVESAKAITNLSQICTATPLLSGLIFAAEDFALDLSITRTPSLSEFLYA RSAIVTAARAHELPSAIDLVCTSYKQDGGIDGMSVLEEESRSGKRLGFNGKQCIHPTQ VERVQGIFGPEEKEVEWAVRVVIADEKAARQGRGAWTLDGKMIDVPVAEKARAIVRKA EACGIDVESVKGRWKHQEPE TRV_06656 MVSEETYELCLHILNNEDDNEEEKAEKLEAFLRDQSSLAGSALE NAVLDVLWRHRSSSMADASQPPVRHTVIRRSSPAPWQMARSATPLSSPSHTGSSPVAQ SGFPVPRGGFPRAPRSLTASPFTSPRPSPRLGKVLPIPHSPNLNTYSFSERGPAPEIY GDLGSDNVDWLVNEDAKSTASSTGLSAAAPEWVAPPDMSPYDILRSVLGERKTNEEIE HALEANGYDLGATIASLTEQDHHSHHSSSNHGHGQHHLETPSLSSSNEGRVLIGKSTA MDPARPVTPSTSARTPVVCKYWLSTGQCLRMDCRFSHDLTSHVCKYWLMGNCLAGDSC PFSHDPSSLIGSMSLGSDNLSVAGYSANNAAAAAAQHQHQHQQQHQQQQQTSLYPLQD NYEAFPPLQPPSTSSSAATTSNSITADQWHHRSQMQFMAGSPQMRPGSSSSIKNKNML NPSATRSHSRPGSRHQSREQPATSAPAVDDPEAFPTLQALNSRPGKKHHGKRGTQHRE VTKARDASGTSLADAVRMSPSAKKGAQKGARSSASGTTREISAEAQAIPSPKHIPWLE TGVEWTQPYLKYRVDAITHGNVRNKFLQSAAQAWNRNDARAAKALSLRGQAENDAMRR CHREAARLLFEEGRKRIADNDDEFYVDLHGLVPTEAIAYLDNILKESSQLREHFLYVI TGSGHHSKNGKDKVGKAVKGWLTEHSYVFRDFSVPGERGGFVAFVIGINPTATANSGR DSPSPTSPAISKAQSQTQSQSQSTDKPTGEISKAVAAAAAAAAAAASAATTDATPARD DTGDEDGSVTAAEASSSSPEPGPVLKMGKIQLLKRDGAATKA TRV_06657 MSSHGAPVTSWAAAALLCSVLVHRLAAGPVELCSEILCWLALPA LFRAAGRSLGSRARSSRAGAGPLSLRYSPQRSSSSLSVWTAALCIVLSCLFRAEIRLL AFFDQPALTPLLLLAQRHFGSSTSFASSSSSSSASAAASSSWLFYPLANTLWGTLLVA VLFIITLSDWDFRGHALSALPVLALFGAYLTFMPKSDRKKKASLLPDVNVEDAVLPVS LRVVPLLLVSLAVEVALFGWPSGGVLATLILGFAKSLSWYSIIKAARYSSWCTAAATG TFGILSALSPFMQSSDTKALSHVIASLFALGQTIHTLPRHISNKSALWIFSLVSLGPF MANIIAIKSAYSSQLATYSKHPVETLIHRGTKDFEELLGRQSQNYTAAHAEYQRRYGI EPPPGFEAWYNFARSHQSPIIDDFDMIHTAVSPFLRLSGKQVLETMDRAQSTADSELW RCTFHGTRAKTQCHHPSRSFDRHIQLLFDTLLKDVPGALPDVKFLVNHLDEPRVIVPP GKKEVDGTQFKLTNLSRQPAWEPITKNCHSEDSHSGNRVETYGLPFVTNRTEDMNPCT HPEYATMNGLFMSPTSLRLFEGLVPILSTGSPLTMGDILFPSPAYIESEFQYDGKNDV EWHKKRNNLYWAGSTTGAFAQTGSWKHFHRQRFVTLAQNLETRKHKYIRETNGVISSV KSYFLNTRLYDVAFTKIFQCKTTYCREQRTFFPSKPWADKDKAFRSRLVFDIDGNAIS GRYYKLLASKSTPLKQTLLREWHDDRLVPWVHYIPVSQSMEELPELVSYLTSTESGRR RAKEVADQGREWFSRAFRGVDMTIYIYRLLLELARLQDPERQAS TRV_06658 MVGIPETKSSNRPGNGEIQLYQFHWTKLPDTSRLQPLGGVPILT DKKDIKRWMTHVIRMLRTQCLGYLIDGSITTPPDNEAHLERYKLADAYVTQWMTKQIS YKLYKRVKNINLPIDTAQNLYNSIRQTMLKTSPAEIRRRWKTWVTLERANFATIPQYI DGLLKSYENLTDTGYPAPPIEAVYRLFYAIRDEMPLCANDIINKIDDIKPTEVTKEHL LEACARTRARAQERYSLPR TRV_06659 MPSTKHPGASDLEILNEPDWTRTHSHRVGTRGRDARFMGVTHRG DEYHDELEEVDEKIQELREKVARGELVTDFHLERPEVHPDYWRYVLHTTESFIKYGQP WPINRKTESEEKGKVTEAGKREPEKEKSKPTSEEEALLEFLRHEQQHRSSMQVNDGKG SCPIRDETPEKIDEADQFSPDNWIPRSDKLIRLTGKHPMNAEPDLTTLFEAGIITPSP IHYVRNHGAVPHLLWENHELEVTTDKHVIYSMDNLKLSFESVNIPVFLACDGSRRKEL NMIKRTRAFNFTGASASCSYWKGVLLRDVLLDAGVENLMKKKPNERLWVNYEGADELS EGKYATCVPLEYVMDPINDLMLAYEMNDHPIPPDHGYPLRLILPGWVGARSIKWLAKV WITENENDSHYHIYDNRQLPSFITNTESEIAQLMYHHPSTIRNEQMLNSIIVKPAQGE QIDLVDVKKGTMYRVMGFAYSGSGDEIDKVEISLNGGETWLYCSRKVSPVPSSFIDIN S TRV_06660 MMNNCWYEVKPEIFDNPENEETYLLFRHPVDAGSGTSGWRKPST EEQMEEIKRNASSPEKQFTRQEIEKHHTETDCWIVVNGNVYDATKVLSWHPGGKGAIM AHAGIVHWDTTEEFGSIHDNYAQDKLKGISPPKDRECILGKVTQKAMDHMMKDTEQKK NERLKLRDRDSKVALDNHRWVQARLVSKKALSADTRRYTFKLPSQATELGLETGQHVQ VGFHFKDSLVVRPYTPVHPILNEEYDGTFDLVVKTYFPNKDQPGGTMSNILDCLRDGE EVEVKGPSGEIRYHGNGCFSVDGKEYNFDNVSLILGGSGVTPGYQVITKILRNGNDKT KIRVIDGNKTENDILLRQDLDEFSQKHGDQFEIVHVLSNPSSDWKGLKGHVNDDIIRK HSFEPGKKNVALLCGPPAMIQKAVLPALTKWGYKEDENLFGF TRV_06661 MALVAILWSFAEVLWVEQALVYQLQSEPSSLGDEKIYITGIHWN NEKILREAWIPALVELVQAIGRDNVFVSLHESGSWDDSKGQLRYLDAVLAQNNIPRRI LLNDTTHLDEISKPPASSGWIETPTGQTELRRIPYLANLRNSVMEPLYELKEAGIVFD KVLFLNDVVFNTLDIRRLLSTRGGRFAAACSLDFSKPPKFYDTFALRDSEGHDQLMQT WPYFRSRVSRRAIKYSEPIPVKSCWNGAVAMDSSPFYQDPPLKFRGISDGLAKSHLEG SECCLIHADNPLSDNKGVWLNPNVRVGYNIPAYTAVNPEKMSWLSTSSILSGLWKNRI LRWFTTPWFTEYTVRKRLSQYQREGPGDTEAGSFCLINEMQVLVANGWAHR TRV_06662 MAEQKSAILSVYDKTGLLDLAKGLVEQNVRIVASGGTAKMIRDA GIAVDDVSSITKAPEMLGGRVKTLHPAVHGGILARDIESDKQDLANQKIAKVDFVVCN LYPFKDTVAKPDVTVEGAVEEIDIGGVTLLRAAAKNHARVTILSDPSDYTNFLKELAS GGVTEETRKLYALKAFEHTADYDEAISGFFRKKYAGEGSQYIQLRYGANPHQAPASAF MRNGKLPFKVLNGAPGYINLLDCLNAWPLVKELKQALGYPAAASFKHVSPAGAAIGVP LNEKEKQAYMVDDIQGINESGLAQAYARARGADRMSSFGDVIALSDVVDVPTAKIISR EVSDGVIAAGYSPEALEILSKKKGGKYLVLQMDENYTPPDEETRSVYGVQLSQRRNDA NISPKTTFNKIVTPKDMSSLPEGALRDLTVATIALKYTQSNSVCYAVNGQVVGLGAGQ QSRIHCTRLAGDKTDNWWMRFHERTLGIKWKAGVKRADKSNAIDMLCSGQIPRSDVEK ADYERVFEEVPAPFTSEEREAWLQKLTEVAISSDAFFPFIDNVFRAARSGVKYMAAPS GSQNDGPVFETAEKLGIVFVEQRIRLFHH TRV_06663 MRLGKAAENALPLLNQIAASAISWSSGRIDVFGLGTNNGLYHKW WNGAWGPSTTGWEYLGGVFSAEPTSVSWGENRTDIFGLGTDNQMYHKYWDGQAWGPSQ TGYEALGGVFSSEPTAISWGENRLDIFGLGTDNACYHKSWNGRAWGPSQTGWENLGGV FVSKPAAVSWGANRIDLFGIGTDNQMYHKWWDGSRWGPSASGWEALGGVFNSYPVVVS WSENRLDIFGIGTNNGLFHKWWDGQAWGPSQLGWEDLGGVLSSPPSAVAWGANRLDIF GTGTDNQMYHKWWDGSRWGGWEALGGVFSSGPSTCSWASGRLDIFGLGTNNAMYHKSW SGRWGPSQTGWENLGGVFNH TRV_06664 MAAAHNSTPETLTAPLMAGERSSPSPSPSPSPSLGSVHPPWSRP HTGLGRDRRRSSLFGMPSATSDPTGFRDRAIDRIGRSYQRVSETFGKMTILQKIGTVF AGLGVIALGLGFMAVTGQIFAWLGPVAKDWENAPLAYFILWLCTFLMSFPPMVGWSTV GTVSGFIFGVWKGYVYARFTAIFRGQFVDLTLLLLLLNRWAIYASATVIGSTCSFYVS RTVLSKFVQRLMKHDKRFAALSLTLKYDGLKLLCMIRLCPLPYSLCNGAVSTFPTVSP LMYGLATAIISPKFLVPTFIGSRLRILSEDGGEMSAGSKAVNIISILVSVAAGVFTGW YIYKRTLARAKQLEAEERANLRGPTPNNRPTTASPGGFADDPNSSSLARDEEEAVGFD DAVAIDIDDDNVALDPSYHDFTDNESDIFADGDGDDKDETYKLHRHVDPR TRV_06665 MDDALHVQDTDADGQDKDVPAEPAESMETASVAALPLASGEDMA AGADIAPRGKEGSQDSNASDSKTSSLSSSIPPSLSSSTGLSELSKETGLGGGEGDGHD APPSGSTPSTSVSSQLPSACSPAPDAQLKQAALASSSPLEREATDYAALLHRVKPRSS IPTRIPSAVYGQQCVESAYISRLNPYSLHKGEQELLQDHLCHLHVTAYLNIRNGILRL WSRNPMLSVTEDEALGCAKDSRWMPLASVAYNWLVRNGYINFGCIDIPKCPSVQKRGR RKDGPTIVIVGAGMAGLGCARQLQGLFQHYYGDSVAPKIILLEGRKRIGGRIYSHPLQ SLEANELPQGLRPTAEMGAHIIVGFDHGNPLDPIIRAQLALRYHLLRDISTIYDTNGL PVDEMRDSMTEKLYNDILDRSGTYRHKSVVTPTAEGDRELIDSGRDTSADDGVTIREY EDAAASGITGTLLPAARLRRGVGHKTTDIKPAAGPALSELQPTKEHSAATTCQAMGWK LRHDVSPADSLSLDEIANASSTQNLGAVMDDAINQYQRLLDLTPQDMRLLNWHFANLE YANAASVGKLSLSGWDQDMGNEFEGEHAQVVGGYQQLPRGLWSLPSKLDVRTKKIVSK IWYNADSTSNEKTRVECEDGESIYADRVVFTAPLGVLKRSSVAFNPPLPEWKTNAIKR LGFGLLNKGILVFKEPFWDMQRDMFGLLREPTVENSMSQDDYRANRGQFYLFWNCMAT CGLPMLIALMAGESAHEAENLSDQEIIKGVTSQLRNIFKDKTVPDPLETIVTRWGQDR FAQGSYSYVAAEALPGDYDAMAKSIGNLYFAGEATCGTHPATVHGAYLSGLRAASEVI DSFLGPIDIPSPLVPAKNRASNNASMPTTPTSASPQKKRKIVSDPNGPSDESPSAPLA HASEDVLRKAYDEAMWAAIISELGPAEPRPRKLALNPFLLYQKDYWYICKTECDNAKI KATGKENAKAPRDEIRQALGQMWRDASDEIKKPYLDQMEVHRKTNEEDFAKWKDRIVE WERRTYEIKDKWCAENPFEIFAQNMRDNFPANGIQDGRQKARRL TRV_06666 MAHSKVVIIGSGPAAHTAAIYLSRAELKPVMYEGMLAGGTAAGG QLTTTTEVENFPGFPEGITGPELMDNMRKQSARFGTEIITETISRVDLSSRPFKIWKE YADGPDAPPTRTADAIIMATGANAKRLNLAGEEKYWQNGVSACAVCDGAVPIFRNKPL FVIGGGDSAAEEAMFLTKYGSSVTVLVRKDHLRASKTMATRLLNHAKVEVKFNTVATE ITGEAADGGLMTHLKIKNVVTGAEEVVPANGLFYAVGHEPATKIVKDQVECDSEGYIA TKPGTSFTSVPGVFAAGDVQDKRYRQAITSAGSGCIAALEAEKYISELESEAEPAAAH EAAIKEDTNPQL TRV_06667 MPSRRASRPYDESQNYSPIQRRNGTMHQSGYPDQPQAQDDYDTP VNSRGQPSQSMSFAHRAKERGQDELPYESVSPNGAQSPLMNPPMSPISTYQKANSQSP SIQQNPASPDINSPPHSQASVEASPVMRQNSQMAPRQKKTAKEWAPARSPLKKLELTL NDISKEEKRARVEEAEMLLREARAGLQGKTISRDAGGKPHLAPESARNKENAFKPSNI EEAGLLRSLSTKQKDKIRESAQMELKKPDPDQVSDAQAGGFEYERTHPPPGYDSPTSL NQPAKIPDNHHGQLPGAPLPKDNQIQQTRELFEPPAGETCSGAIDSEASQAEDTKNGP SKQKHASVSFAVPPPTPPPVSEWMHAVVGRLCLTDFDLRVPDMDRDWRGRGTRGTRNA HRGVESGLPRVTLKSTTKELVDLPNGPCEREIWRGSIMIVTQDSASFYDTPPTLRIFS QPKELIPPPPSSDPSDHDSPLAPEYVDPIAGLGKIGRNGNLLYVKPVDHIEEGLDLCY DETEEGLFERSPSQIDYAASRRVSIPPDTRIQGIDGEMVGKYKVVKASRVYIDPGRDT TFWRFNLEIELGDKEEHVAYRINNGSVVGFWVPARGQAMNILYHTGNGFGMSVDTDKY SGPDPMWRDALNAHQSRPFHVMIGGGSQINNNAITVEADHFRKWIEIKGKNEKHEYPL NLDIKGELEEFYLENYAAWFSQGLFGMACSQIPMVNMWDDNDIISGYGSFTDELMKSP VFSGLGNVAFKYYLLFQHQTAIEETEEHEPSWLLGSAEGPYIRQKSRNLLMNLGERIG LLAIDCRTERSKMDIMIDESYDLIWDRCHQQIVKGEMKHLLVLVGVPVAFPRLSLIEG VLKGPAKALGRNGVFRNASTKIENTSEKMDDQWTSKQHKLERKWLIEDLQELAAEKSM RVIILGGGANLAAVGQFYSDPSLQIPKDKDYRYMPNVISSPIVDAPLSEIMTDTLNKR NKVHTLDLKTVEDMRAMFWHDVEGRPRNNKRLLPRRNWCSIREYTPGRTLSMSRGDRP ANGLMRRLSLKAQRPQISHPIPKDQFTQRMSYDEPRLRRDSVNQLPEQTYSDAQLPQN PSYADADGDSSSLSNKFVRRRTDLSIREIKQAAKGGPDQHHFINIEGGLHITFNCEVK PQDPAGITTPYHVLIPALSFDGEFEPSVEVEKKQWWKLGHRKNNSSVAQIDGAEPVAG AAKAANDEQQQQQQQQQPQHLQQVENDAYHNYGRPITRESRQGYYEDEYDDDINVRGS PRHAQYAVQGRPGELSSPRMLPQPPPQQTQGKQHHYFNAAAMNPANGGEHGYEGIEAY RPRKKKWLGIL TRV_06668 MFTAAITIVVGWLLWFLPASFIQRAPQDWLISISHRLKHSRKIN DSNWGDPVVRITRNIVAKYGPGVSPGEAATQEYAYQHLDPKIVQVPRVYRYCQHRESL DAKLNGYLFMEYIPGQNLKTRNNIGSDSEITRKLIKIIAHLGQIAGGSVPGPVGGGIP RGTLWGDGGAKREFRSLEDVNDWVNKRIEPIDETVDLTPYPLVLCHMDLCRRNMVLKK DESICLLDWGYAGFYPRFYEMAAIRCGNDHYNTPLFEATSKAIPLTEEELRCMDLVIR APDASFQWTL TRV_06669 MQTSRAKIQPSESKPDRVTVMTMLTRPALVKHVQFTARQLMYRG TSSSSLQVHHFFEHCEYMAERGYILVEVIRPYITRLRITPLEMEEIKNLFYPLNPPCR VRTRPMEVLCVGPSRSGTWSLRTALIHLGYVHTYHGYDVVMNPPDDKAWYLLHRQRLK HNASHPNSAQLSITAADFDRVVGHCAAMTDHAAAVFAAELIAAYPKAKVILNTRRDVR AWHRSVKNTIIVHSKDWMFWLKSFFHAELFWAQQGYYRGTLNWFYRGDFQKNSINVLM EHCERVRSLVPKDQLLEWDVEDGWEPLCKFLGKEIPDIPFPRTNLHIVLQEV TRV_06670 MPGDTEESVARSLQTTDFVFDRSQRVCGNQDGVGLLQELRGLRK RVEESEKALEETNSNFRKALEETKSESQRAYDASIMTMMELRAPIYQLHSGHKVGPSY RAKRNAVAHGGSILMDITILRHLLSSRGRTTVFTKWATGFEDIYGIPFRYVETLSQDS KMVSVLNIYADTLLLHMYASYDESETIQKMCKVILRQWKVAVDSERDPECIFDGEALL TMYNKIVELHSAAEP TRV_06671 MVRREKAEEEEVDSVYNEVQYPSRRREESGYRGRKAGLSSRQGQ DGECEVEVKVEVEVEEEVEEEEERDKNELDEDELVEVEVEVEVEGEEEVEEEDEEEEG IVVLARDRRVLLLKWEPATHPTKEQHRQVLLTG TRV_06672 MKLRNIFRLMALLVGAGAVDAALYRRDYDALDYFAVHLHSSVSP AQVEELLGARHEGQIGELDGHHTFSIKKDRGVDLDGILEDLKRRSLRRRSLEARGEPA GTDGLDGILWSEKLVPRKRLHKRVPPPPLELSQRIPEKAPDPAAQSRQGEIANALAIR DPLFKEQWHLFNAFTPGNDLNVTGLWLEGITGKGSISAIVDDGLDMYSNDLKDNYFAK GSYDFNEMKAEPRPMLDDDKHGTRCAGEVAAVHNNACGVGVAYDSKVAGIRILSKYIN DADEAEAVNYGFQDNQIYSCSWGPIDDGMTMDAPGLLVRRAIANGVQKGRGGKGSVFV FAAGNGAGHDDNCNFDGYTNSIFSITVGSVDWNNEHPYYSESCSAQLVVTYSSGSGGY IHTTDVGADTCSGSHGGTSAAGPLVVGVMALALQVRPELTWRDLQYILVETAVPINET SDGWQTTSIGRKFSHDFGYGKVDAYSTVHLAKTWKLVKPQAWFHSPWLKVYHNIPQGD KGVSTAFDISPEMLKAHNLERVEHVTVTMNVNHTRRGDLSVELRSPSGVISYLSTARA QDSERAGYVDWTFMSVAHWGEKGTGVWTVIVKDTVVNDHNGTFLDWRLTLWGESIDPK IQKLHPLPDAHDHDHDTAIPAPHVGTASVQPGPTKTSPPFRPTDHIDRPARPKPTSSK KPTPTTTTTATTTSTATAASAPSTGASGENFLPSPFPTFGVSKYTQIWIYGSIALIIV FCTALGVYFWIQRRKRLRNDRNEYEFEMVAEEDEGFPLSGAGARGKKSTRRGGELYDA FAGDSDDEDPLFSSEEDDSNEYADAAEDDDSYHDEGPEVTPPESTTKS TRV_06610 MSFFGFDTKLPEDRPAASAQRGIFDAPDPFAEVARATADRAGYD DDDVIDFEDTYDGLGDQLEEANDDFNDDTFGDAAGGENKPVGKDFDFFGNTAQMTNVL GEEQVLYNLQHGSSKATAKPSLPSLPKRTGYEKYQDPGYIPEIQAKSSVWGTTATQKP KTVAAETVPKEQPASEPPKKMLSLEEVEAQMRMQAMRQVPQQPQSQPPPPQQQFTAPM PPPGVPPMQFPSQPLLHHPDALGQLPPEELLSHQQYPPNNMPPPLGFPQQPHLQQQPQ QPNFPLHLLQQSQQQQQHQPQRHQQQQVQQQQHRQPHQTGRHWAGPARHQGKPQGPYT LDHPLMGQGGVPAALNPKIMELPEEQRNAILLEDAKRAKRNHKIFLLSKGNGLMTPQD KNFITRIQLQQLVTATGNTGDANPESLLTEDFYYQVYSQIRGAPRQHPRQPLGHFAQT YLSQTGGRSGGNMNRRSHFSGDNHMQRMQQQVQRAVEAAKLKPKNKQLIIEGSLGKIS FSNAKTPKPLLNIKRPESSDGQRPSTAKKTQLSSSDRKSILQNIETVYITLMNMENLV RLMPPIPNPEVEDEEAVERYTEWQRKMQSLKEVLWDSTKVLEPIDSVSATIHPFIAFL SYPKGKKAIPRIFHQIDQEQRITMLTMIIYHLDTLDVVSRAQLQPGETQPPGPVREEI ELFNQAVMPSLLGYVSDAPIHIVIGLLGLVIDKTQIHAIARTKIGLGILTMLLSQAEL VKEAGGVPEPELAQWTKVYNRLFDSMEPALAYLFPGTINSGDDMYVWQFLAAMGIGAN PEQQQRLVIAVKDRVLETVAQSKTLPADMASQRLGNVNLFMRAIGLDVELLG TRV_06611 MKLLIFGATGAAGGLTVRKAIDHGHEITLHVRDRSRVPEAISSS DRVKAIYEGSLSDESSLSAAIKGQDAILSCIGPKSIFGDYGRQFSDGYRLILELMRQH GVTRIIAMSTISAYDARDQFGFMRTAAYWTVYMLGRRSQREILGIGEVFKEKGEGLDW TLYRVGVLGDSQEDGRVAQTGWIGDGKTTIYLERRDWANWMIQEVEREQPQWVREMPV IYSPYPGGIW TRV_06612 MATGSVLVTGGTGYIGSFTALALLEAGYKVVVVDSLYNSSEEAL NRIELICGKRPEFVKLDVRDEAAFDKVFEAHPDIDSVIHFAALKVSWLLSQSTRGLLS GVAYYCLLTCFAQAVGESTERPLDYYDVNVHGSICLLRSMVRHNVTNIVFSSSATVYG DATRFLNMIPIPEECPLGPTNPYGNTKVAIESAITDMVNSERARAKRAGKPEDVEKWN GALLRYFNPAGAHPSGIMGEDPQGVPYNLLPLLAQVANGKREKLSVFGNVANQWPDYA SHDGTAIRDYIHILDLADGHLEALNYLRANHPGVRAWNLGTGKGSTVLEMVKAFSAAV GRDLPYEIAPRRDGDVLDLTSNPSRANKELGWVAKRDLHQACEDLWRWTENNPQGYRQ SPPAALLEKLKAKST TRV_06613 MPLPILAEGATRGLSAIPYGYTAMKTAAGLAVIAALKRYFGGAT NKSDRVMHSKVVLVTGGTSGIGAAVVRELAIRGAQVIILTQHLPSDPFIVDYIEDLRT LTGNELIYAEQVDLSSLYSIRLFATKWIDNSPVRRLDMVVLCANTQKPSSSRTSHGTS EGLQEEWQINYLANYHLLSILSPALRAQPPDRDVRVIFTTCSSYIGANKLDLKVLEKA TVIQHSVDSSTKQKAKKGPESLVSSSSITPGTRRWLTGGSLWGLLFYLITWPIWWLVL KSSEQGAQSILMAAMEANFSGVGNTAAVSLDEIKKMAGENSDMTGRMKVGIEGGTLLK ECKERHVLRSEIMDEKAGKELWEFSQRQIEQKEKEAALLRAMEKKAREEEEEEKKKQP KTETSTEGKKKK TRV_06614 MMASDDEEYSVPQAFGAGIKRKRVPFIPSKDATALSTTSAPVTV SSSPAPGASSIADKYLSIVLPSSSQSDTVLPDRASTDTKLCEVCHLPLKGTPKRPKQD GEKDTPDSTSESAVPVPHEASLAHQVCLPHSHPPSAIDRKGKGYRYLSSYGWDPDSRT GLGPTGSGIQIPLKPKIKNDTTGLGVGSWRDEIENAGGKRHERRLKQLREKEEANKVK NTPKLNAKQIRLQEEAKRRQGEKLRDVFYRSEDVEKYLGS TRV_06615 MDASLIEVQRQAILDTVRHAGGREWKVLVVDEGSKRLIDNAVKE DDILNENVTNIEQIEHRRPMNKETDALYILSALPHIVDCVMADLERRRYRKYFLVWTS NIRSIADAIPILDLDPSMRSRINGFSAARELIANMHVMNINFFPRESRLAIFRDPWSF LTLFHPACNNLVRSHLVELAQKIVSVCVSLNEYPLIRYFRPKDASHEASVLCAHLARF VQDELDEYAKHRRDYPVPTPRPRGVLFITDRSMDLAAPLVHEFTYQAMAHDLLPIKEG DKLTYRTVLNQGQETEETRDMEITEGDKIWVNSRHLHMKDLLGKLAEDFKKFRAQNPQ FADSDLPASVNTVKDMLAGLSDFQEGKNAYTLHLNMAQETMRLFQERNLADIAAVEQC LATGVDEDFKKPKNIAEQLVRLLDDDAVGPSERLRLILLYLCYRGGLLAGDIKKLLAH SQLPPQDGEAIYNLGLLGARVEKPLKDPKPPHQPLFSQKLPQQPQEEDVSISRFETNV KLMLQEQIKGTLDNTIFPYTRPYLEDESTPHDQVAQSSLRSAKPTWARTRPVAGDPRQ RIIVFIAGGATYSEARSCYEISQQTNKDVFLASSHVLTPGLYLRQIRDLSVDKRRLDL PSDRPKPQAPAHLFERDPSPKPKPPAPPITGMASMNLSNGPEAIKRKPTNEISSATPA ETPPPSSGKLAKKEKEKSKEKKKRGFFK TRV_06616 MLFSLVTEKGSFYRDFLDDDTAFCIGCQFQPCLFLIPLRAAQQN HCGDATMATPGSRPLEQLSFDWVSGRKGKNDEKKGKDPKPWKSQGPSTKIRKVGRISF DERFQSALLESSDIVRKELEQTKLELAHWDKFFASIVHACKSSLIDDGDEAHFGQRFT LRRTFGKMGREGIVDYLRRQYRNHKLDTAFSSTAAKSDSLADFRYPAEWYPVARSMQR KIHLHVGPTNSGKTYHALQRLEKAKSGFYGGPLRLLAHEIYSRLNKKGISCALITGDE VRVPDSGPVKVYSNTVEMVPIGQEVEVGVIDEIQMIADPHRGWAWTRAVLGCQAQELH LCGEERAVPLIQRLVSLMGDTLEIHNYKRLNPLKTMASSLKGDIRRLEKGDCIVAFSR VGIHSLKQEIEKATGRRAAIVYGSLPAEIRAQQADLFNDPNNDYDFLVASDAIGMGLN LAAQSSGSANGNEKENVGLVTCLDEADLPYIRAAMMAEAEPLDAAGILPLDSVIDNYS NMFPPDTPFGYIYQRLERVSRTDPPFFMCKIQDTEATFGLLDNIQGLNVIDKMVFMSA PLRATDPVMARVIKAFAECVGQQKSGRLLDIPELDLEILDRPVSGDDKEYLRSLEALH RSLILYLWLGYRFGGVFTDRTLATHAKEMAEVKMDRTLTEFSANSKLRKQALRKKRIK GAKDKFLASPEPTDAMGTIPDIPELETTLPDEDEIEFENPNSALATLPLLVLGIYYHT HKLWQLDEPVLIPISIPNATTPSIVD TRV_06617 MDDLESLELLSLVSRVTTELQNHLGVNEKTLAEFVIDQHLKCKS LDEFKSSLKAVGADFPQSLVESVDRLVLTMHPKYKSKRSKPDEQEKQHIGHDGVDDIE KKARVFKGLAVPDDKAPHWEEDEPEPERNTNAEAMDDTFAMLEGLAGKARAEQNGYSS SRKRSRSPEIDDYDRNRPRRGRYRSRSRSRSGSPSRDRYGSRHSHRSRKYPDEPKERG RSGRNYNYDDDFKRPPASELDDRPILFKIYDGRVTGIKDFGVFVNLQGVRGRVDGLVH VSAMQEGARVNHPSDLVSRGQQVKVKVVSIQDSRIGLSMKEVDQVSGHDLAPQRRIAS GANMERLDGTGADDRYGNLGSSVPVIERDSGAKRSKKRLNSPERWEIKQLIASGAVSA ADYPDLDEEYHATLRGEGDFEEEEDIDIEVREEEPPFLAGQTKQSLELSPIRVVRAPD GSLNRAAMAGANLAKERREIRQQEAQDKAAEKAAQVDLNAQWQDPMVAPEQRKFASEL RTATTEKSSEPLPEWKRAAQNKDVSYGKRTNLSMKEQRESLPVFKFRKQLLEAVRENQ LLIVVGDTGSGKTTQVTQYLAEGGFANNGMIGCTQPRRVAAVSVAKRVSEEVGCQLGQ EVGYTIRFEDCSSPNTKIKYMTDGILQREILLDPDLKKYSAIMLDEAHERTIATDILF GLLKKTIKRRPDLKLIVTSATLDADKFSEYFNGCPIFSIPGRTYPVEIMYSKEPESDY LDAALVTVMQIHLTEPPGDILLFLTGKEEIDTSAEILYERMKALGPNVPELVILPVYS ALPSEMQSRIFEPAPPGGRKVVIATNIAETSITIDQIYYVIDPGFVKQNAYDPKLGMD SLVITPISQAQAKQRAGRAGRTGPGKCFRLYTEAAYQSEMLPTSIPDIQRQNLTQTIL MLKAMGINDLLHFDFMDPPPTNTMLTALEELYALSALDDEGLLTRLGRKMADFPMDPG LAKVLIASVDMGCSDEVLTIVAMLSVAQGIFYRPKEKQQQADQKKAKFHDPHGDHLTL LNVYNAWKQSRFSTPWCFENFIQARQIRRAQDVRQQLVTIMDRYHHKIVSCGRDTTRV RQAFCSGFFRNAARKDPQEGYKTLIEGTPVYMHPSSALFGKAAEHVIFDTLVLTTKEY MQCATTIEPKAKMTGDYQPKDDKVVAVVEEPGDDSSMPGYSNLSPQATLLILSHFLFL HCLALP TRV_06618 MARRYSQEELLHLRESPLVVKPDGLPPIEEWVGYVFTAFLTPSL ILAWLSNANGYLPTHRPLVDASTQKKHTASKEQTNQTESTASAQDIILGPPRTSFSSS TRGFGKFSDPDRYNSLKSSDGDGTKSDRFPHRDKFLKDKDSVDRDRDLDKRDSKSHIT NGRSSGRDDKDDWGTRSRRTFGQEETVDRRVKREGDRWDSREFREHRDQQEAGNERGH RGEQGKYPNRRDVQGKSRHEHPSWFRDGDSQENVEQEDDKTPIRNREWRRGVQGQDRD RDRDRDWNRSTKHEQDPEWMDSSNKSDHKEAHTQEDFQRWKERMKSGGAPPSDETKKK APEASSSVETKPAEIKRTEGEMFSSLEPAYKADVGFDDFFGPWGGSKSTQEPGYGNVA AGSATKEPQAAKPAKSSRFAGFFNSPTEATPKEAETTVSQPRPVSTDADQEGFQRILQ LLGGNKSRNSTPQAEEMMRPKPTPPQQIQQEFSHIPAPTASPLHDVINRQPYMGYSEP HREQTAHGLEQLIAQKAPKESQPSPFDSNLLLRLMQQAKIGQSHQGQIPAQTQLQNPG AQNMPDMQPRNHEIPKQKSPLFFDDPAIANMQRPDPNDPRSQLRRRATGGPPGYYDEM QFQGPSSGSHTPANPPGLRTTQSAVQPSMNIQRPPGLEQVTSPGGWPNPQQLQQGPSA QFIGRPGMPNPPPNRNINQSYPPVQQMPMAGGIPPLNDRQAFQRGPGAAGPSNFGPPP GIVPPPGYMAMNHPPPSAFPPMPHNPEGMMGLSHGHPAHFGAGPQPGVGGHQPSSRQL LEMFTGHGGGPGDGGYYTGSNLLGLFFFFLSSLVGYTHIHLAV TRV_06619 MASFDDNAARAKRRKIDGDATIPVVAEITSYQQLRDILRFQQNI SQETKQGIRQFKEFLASIQGDISEAEKSTKLQVLQKYCASQYVKRGDETPPICFTDLV SIWNFAESNNEESTLSLIPSVLASFLKTVSSQLEFREFSIELSKYLLSNENIKLINRG LTASKSKEHLISPCLRLLTEIVSLDGGAVAKVVYAKRDITLKRLDVFLTIRKLQAGEI AADRRKPTLRRIAQRYLLANLRYQSPAAKAELLSQGKLIKVFIEDIKRDSGDIVVDII KTLDKFVVSDPVLSRSVKSRLLSRWNLERFATLYGYEKDSEEIMPEGVSVSDEIHKFL LLVCTNVDKGVLLSDNGWYSSGNSTEPLINDNETISLGLDAPSHFDKFNETIPIRNGN LSTLIQFLRPESDTRQMQLLLKIFRAAPELVYDYFSKRNMFDSDPKPTQAWLGESAFL FSIIQLPVPQKCGFREGSPAVPPPVSVAIESILPRPLTQKMLTRCMNQNTEIVTLFAI KATTAALRKLRGVLKMFDSMGSSNPELWSQASTKLMNEFTSRCPTMKDTIIAFRQSPK DDLLQRDALLELLSLYYQVAPTVAFEQKFDVSLVLVEVLDQLSNDELSTENHQLLFSQ LQHILAISQHSPAMRWWQKPAPLTLSVFTSVLKVAVEKQEHSARAKINSLLQDVLMQD SILVQPDSFEALVLSIQLEDENIPVPWSFIDNCFTRLAKRPVPYLDQVSSLPSKSSLI GPILVVILEQWPFIVKAQDEMNELSTAAWISKLLGYLKEYGEDKNALTSVRDTILSET KVKKCRSLLKKAFDHSTETHRLVLGEEDTQMGGTGTLPSPSASQEVNLSDVFGEMQMA DETHAGLHKWEREDLELAIDQGYIGDLILCVCSEHEEVRRQAMVGLSRFMAKLKESSF EERQTIYILIGELLETVKGLGLDTPAPFIVGELAVRMLAILTNPSHKLYGKINTFLNR SPQWEVGKIPSYWIDKILYHEPESDDGNHEEIGWLLDLFVCGLKSELDMDIYRRAGVF ERLFSLYSSPALTVGLRKKVLHLVYRVCEIGGSTTLITRAAALSWVQGQAALSDAHSS TLRALATELYRTSSSEWVDRWSGSALAAAVMSTGASSVQQISVSISQSSLIVFSGGLL GWLDFGGGGGAQRRKL TRV_06620 MGEKMASKKKKTRSSGQSSANVISDPRFANIQSDPRYRLPSKKH THAKLDKRFSHMLRDSDFSKNAPVDRHGRKLARDDTRKQLEKFYRVDDEDDQEEDKDS EEEYISVDDDEEVQKELRRVERAGYDPARDGGFDSSSSDESSSDEEEEEDVAGNMEME IPGPGQQDADIPTGEYTERIAIVNLDWDNIKSQDLMAVFTSFLPPGGVIRKVSIYPSE FGRERMEREEMDGPPKEIFSHNTKSQDEDFLSDEQDEEEEEEKIKKSLLVEGNGDDFD AGKLRQYQLERLRYFYAILTCSSKEVAKHIYDAVDGTEYMSSANFFDLRFVPDSTDFT DDIPRDECVKLPDDYQPSDFVTDALQHSKVKLTWDADDRARKEAQERAFKGSRKEIDE NDLKAYLGSDSSENEEDEAEAAGAAEAGAAPKLSKKEAERARVRALLGLGDKPAAGKK ESKPVGEMEVTFSAGLTAAPARDTVFENEPEKEETTREKYVRKERERKQRRKAKLKAS RSGEGVSQEEGGGKDRQETKEAEEDLGFDDPFFTAPELDAVKAAKQRKEDRKKLQEQR RAEEEASASKRAELELLMMDDEKSTPISHFDMNEIEKAQKRARKSSKHRKGKKSVVET MPVDNFEMNVKDPRFQSLFESHEYAIDPTNPRFKQTTGMNLLLEEGRKRRRHVDDTGP DEEKSGEKLKKNKKSKSSLTVADDGEAISKLVQKVKAKTKK TRV_06621 MDYLVTNGYPSAANKFAAEANIPQRHDSDTVQERVEIRNAIYSG NIQAAIEKLNELNPQVISLRSSYLLDDKPELHFSLLRLQLIELIRNCITTPNADITPA LDFATSQLAPRAPTAPQFIKELEETMSLLIFSPENLSAPLNELLDPAMRKTVAAKVNE AILQRQGSVSEARLRALVKLRVWSEKMARNSKKDIPDKLDIGFDNDATNGNETNGSAS QDDVVMQERGDLDSMVH TRV_06622 MAELGLALLHAYLMPLTKQKVTQLLNKINKEDDDQGIFYHRQHY TLGEPSGQMFQALELALYSSAATVRFLRSPPKDIPDRQLLILQRHPLSTENVFRTSRP STLRSQCRSLTTEEGPGASKVTKEVRRSRILRFGFRVLAFSGGVMLFGFGLVFAFFVY DATTYRTDPDNVDIPVSQNALNPRRGGPKNLPIAEVLVDDHDCDMKLEQKDKPKLVIL GTGWGSVALMKSLNPGDYHVTVVSPVNYFLFTPMLPSATVGTLGLSSLVEPIRLVVQR LRGHFLRAEAVDVDFDEKLVEISQVDCDGNRKNFYLPYDKLVIGVGRSFGALLPFGPT TYLFPGSTTNQHGVKGLEHCNFLKSIDDARQIKAKVLRNLEVACLPTTSDEERKRLLS FVVCGGGPTGVEFAAELFDMLNEDLFRSFPRILRNEISVHLIQSRSHILNTYDETLSL YAERRFAHDQVNVLTNSRVKEVQSDKILFTQMEDGKPVVKEIPMGFCLWSTGVAQAEL CRKLSKKLEGQNNKHALETDTHLRLLGAPRGEVYAIGDCSTVQNNVADHILSFLREIA WEKGRDPQKIHLTFGEWRDVAQRVKKRFPQASNHLRRLDKLFEQYDIDRSGTLDFHEL HELLVQIDSKLTSLPATAQRANQQGHYLGRKFNKIALAVPGMRSKEVNFESLDESVYR AFEYKHLGSLAYIGNAAVFDINGLSFGGGLLAVYLWRSIYFAQSVSIRTRVMMAMDWA KRALFGRGMIS TRV_06623 MADGSSNTSTQAGNVSSTVQTLRTTLTSETTPLARRFRALFSLK HLACLHPPTEDTIPAIDAIAAAFPSPSALLKHELAYCLGQTKNLHAVPSLRHVLEDKN EDSMCRHEAAEAIGALADTSSLDLLRKLRDDPSEPDVVRETCEIAVDRILWETSEERQ TEKVKKSDFTSIDPAPPTALTSEGHSIPALKETLLDTSLPLFQRYRAMFALRDLSSPP DLPTAREAVEALAEGLKDKSALFRHEIAFVFGQLSHPASIPSLVAALSNKEEAGMVRH EAAEALGSLGDEEGVEEVLRKFLDDPEVVVKDSVVVALDMAEYEKNGELEYSLIPEPA AAG TRV_06624 MFMKVDMRMYEPGCTFDGVFAILSLFQVSPGEIYSMCCKFSEWL KPGGYLVIGVTPSTDLPLGEYIYDSTWDCTRQMGKPWMNRYTDELFFSEERWKGILRS FGFEIESESRYSFTPKDVEFNHAQIHYLQLARKAENHQLLGPYPQPTKAELHRMPRAC YFAESLVSEQLNIILGSFTEEEVLCLGCINKGRWRSTIYLIRKHAKKQLTRLEDWVST KPNIRMFDGTIEKLPFSTEQFDIVLVSWQLEFAADMVSIVDKEELFSRLELHFCGSEH TIGHNMAMLDVSLTVN TRV_06625 MYAMPLSLSLSLSSSVFMLGCIFANVAADPSPSSPSPPKQVQRS KPAPAPDQRNRNTPDDGGKAVSKIPDPGMAVKPVVLVPAFPDPSQLSEYQHIPDTYAK PRLLSPATQHAESVKIRDQREIINTAVANLQSLLQDVFESEDEMQLESSQDPGQNINK YFYTMDTTEDSRPCLTSETHKQLQKAFRSVSGYGKLGDIPNEFITRIQKLCEAPIMAA QSVDITVESDMSETSMENWTKQLGELENALLAMGTLLHTMDGRTDAKELCSEDMIQLI PTALNHVFERCVIPAVECRPSGKDTALFSIFTSQKQAIASVTLQSRKILSQLGVFLSA VDLVEGAITAMEFMATKLIFVENAHHEKDSTIGVQRFEAVRRCAMDMLAKIFAKYAEQ RSFILDEILVSLEKLPSTRQSARQFKLTDGKSIQLLSALVVQLVQTTAVRSSSQHAAK PKLRSSGQNEEGEDDDESGSEDEDDTSPFVNLSRQVDQAYDNAVRSAQYITRFIVQRA MTSTKTGDQPYRNILDLFTEDLISILGSSEWPGVELLLRVFASQMVGIAEHDKSTANA KNMALELLGWMGSAISDLTSTAQHLNNSTDDGDSELTEYIRQLFDNHVNRSLHVQDLV VHDGPYRISLEYLNTKDVAGSHLPSARDYLLTSWTKTACTVYCDASQNEAISRGSSAR KLASSLTSLISEPQWLEQHREYETISTQQARFAYLIVILSSGFCKAFDTIVKVLLSSI SSDQAKVRSRSLKSVIHMLERDPSLLDRDDSIMDLILRCAADSSPMVRDSALTLTARC ISLKPALENECCRAILACASDPTVGVRKRCIGLMRDVYTVTSNKDLRISIIEQILRRV QDHETNVATLARQGLEELWLSPLHSTDNFDTPQAKVSLGELVELFIGAVQRGDEVVSN LESFFKAALHPESRAVVQNFKICKAAVATLFENVVHGSNTDQRSLQSHLRTLTVFAKS NPKLLTPDQLETLHPYIGHLSSTDDLLLFRSVVVIYRCVLPNLSSAHNNLLKEIQNDL FKSVSKLARTELNEVMACLWTINGVLQNTERLVKLTISVLKGIYQASNTKLDASTSGD ALGRVRSYIRIAGCVGKHCNLEKFSSFFAQAFPKSNTESVSGLMVEFIAPFASSIYPH ELRVMSLESLGSICETWPEQYGKEKARLAFSSVFKEDSGDLQDIVLKSFLTFFSIHEG KSEKFVNTAETNGDSADTSRLGGSLKASANDGAAALIAQNFLPQMLHAAMSKQDTFAL TAIELIASINRQGLIHPKECAGVLVSLETSPNSTIATTAYDIHKMLHQQHESMFDREY MRAIQEAFYYQRDVIGDSTGASVRPFTPKLALLFDIVKLSNSKYQKKFLTNFCSKANF ELKKLDTSGNPPEYLELTRFVCQNVAFFDYAQVAELLAAISCMERIVGTTGTAVAHSI DTEILSVKVPEAIEQLGPQEGEPNVIPAPIDPTVLKRLATAGAGLSMLWETRSYLRRL YNLNFHSLQKEGKVAAKELNKPASKVPGINGDRFWDTIARIMKSLDTTDSMTNMCREF STLMSVDDELKIAGDEDRGSPDPFAEVNDVSSVIGTAGRPVKRKSSVSSSTTPKKRQR GRPKLGSKRPSEDSDEDSDMD TRV_06626 MPLLRAGGPSAIGATCTRSIRSAYALNARYLSSSATHQAAGEIK TTTQDAPATPSTGSAITRKESAGESIPRHQPDYNATVDHGTSLFSPVPKRVMDGSEPS EVVAAAVLSGAPTDLQARTVSAKPATQSGTWRSHHWRMDWDVLSKGHRWENPLMGWQS SADAMQGTHINFRSKEDAIRFAEKQGYEYFVQEPNERVFRPKAYANNFLHESRKLKYI KTK TRV_06627 MAEQSSNDVVNQTRSGGDLSPSDVPASKPDNLTAGGDRGDVQAS DNNSKPKANTQSSQEISTGNPSSTEANGVGSESNDANTSLSPNVGDGSGGSDTDASRP DARSNTDGAQHSRTSSVKRPASFKPVSFAKFSVTKPPGSNGNSKASPEKVAKSGSGLR DSSPRTSTAGSKNVSGAPDANLVWNKNRPVQPPPTKHLTDEELKQQYGIHMTSRIQTD DNEKEAKWADIDDDEDDWAPETIEWNDGTKITLTHTEGSAPGSQETSTLANSSSDQKR QPSPANNMPPAREAPKLLLSKPSSSLGSNTTILKVGASAEKQQSKQGGDLSKNTNDKP TLTSKGASAVRSPWAPLPPVEKASPLAPNPPAQNQVPRFNHVEPSRLDAGPAPPTKEI AADDFNRSWRDRQPTAPRELYNSQSGRYEPVSDNRHGHLRNDRSGKNDGHFRPASLLQ RSMHEQSGPAEPSPAFQTHRSPTEGSQWGRRRASSNVSGGSGGFARRMSLTRPELPFK GGPPSTGTLERSASPNTRQMQNGPVAPSLGSPSQQSHRSLDHGPPNFNQTSPSSQTNV PSQGAADGQTSQPPVMVEDPVAMQQRIMREKREMARQRRLEQEAKEEAAKQERIRIKL QSIGVPANELPGAKDSTPSSNSESKKPTGPPSTSTTVISSPPKPPVPELTGEPKQYGM MKVHHPESVKKLVASSEKPPEKPQQTGNQAQNHQASPHKQAMSDASPTVNGSKPAQEG QTKTSQPIYGPQPEERPSNWKYSVPATSTYSWPSSKGHTAGLGALWGPPTNDKALGNG TFDRNLASFPSDLSSLGLTEQQHLYPQQAQEGAEIPEGISRPLPPPSKAGPDNAKPLS PLSSSDHMRPDRMGENPKPIAPPGPIAPPSYNQGQRWQQDRLPHRNQETAAWSNFHLV ARKSELEENERFHQDLKALREEEAKTGIASSLQTTFNETWRQVEAGERPGNRNIIGVV STTSDKEPQSSPFHQIDSVSGLPFGDKQKAVPPPSTRGSRFFPPASEPKRPNNQEVMP PRSQSPPPPEEVSSHPVFTGDSQRPLVHLPNPKPRVKLPPGSLSAPSPPPPPPAPATF AAMVASPPPARTPAQPIASTTSWQDRFNGLFGKKTSQPVQRKNSSLAVASATKEPLDV ISSSSSAAVSFPQYDEKRKFIGEPGRATTKEVEDEEAIFEDREAGSLPVVKVPDMAPR AAWHPAPKQSRFRTKYQKPVLSQSIEPYIIGSIERDSNGEVSVIVRPPGKSLKMVPLP KRGGSSSGKLRSNPKNRSRNPRSREGSGSYQNSHTAKKPVSTTANTTPPASLSSSARQ GSHRSHQSSTK TRV_06628 MGVPEGAQEAVGTPDPADRGFATLNTLSIGVKVFVVKDGEQRKA EILSIKQKKGGPTFYVHYVDFNKRLDGKSFDTSNYPNPMLTMPLEWIPASRIDLTKEV EWPQPEKPEKKKISGAAAAAAATKAQPKNLKRGQHASRDASSTPDLLSGKNQNISKAP RPSKAGGKENQEDESGTPFEISMLGSEGPSVSGTPRVRDGESEDVEMADIQSEVEAKA TLKEEQDKLAADATREVEIEKLRTGGSMTQNLTEIHRVRNLSKIQMGKFEIEPWYFSP YPTSFSDADMIYIDEFCLSYFDNERAFRRHRSKCTLLHPPGNEIYRDDHVSFFEVDGR RQRTWCRNLCLLSKLFLDHKTLYYDVDPFLFYCMTTRDANGCHLVGYFSKEKESAEGY NVACILTLPQYQRRGFGRLLIAFSYELSKREGKLGSPEKPLSDLGLLSYRQYWRETLV ELLVEPGRDAISESELATLSAMTEKDVHETLVVLGLLRYNKGNWVLVLTDSVMEQHKK RLEKEKIKGARNIDPSRLQWKPPVFNASSRTWNW TRV_06629 MPVVKGGVWTNIEDEIVKVAVSKYGLNQWARVSSLLARKTPKQC KARWSEWLDPAIRKIEWSKEEDEKLLHLAKLMPTQWRTIAPIVGRTATQCLERYQKLL DEAEARESDELGLGGPAGGEASAPSADDVRRLRPGELDPDPESKPARPDTIDLDEDEK EMLSEARARLANTQGKKAKRKARELQLEESRRLAVLQKRRELKNAGINIKVVTRKPGQ MDYNADIPFEKAPAPGFYDTLDEQSRNERQRANFDPRKLQLASKRKGDQEEDDDRKRR KNEKNGSSSAFAAAAKAGQMQRIREAEQSSKRRALVLPSPQVGETELEEIVKMGMAGE RASKIAGEDGNDGTRGLVGNYSNIVGNTPIRTPRALPEEDRIANEIRNIKALTETQSS LLGGENTPLHEGGSTGFEGIAPRQHQMVTPNPMATPFRQGMGNAANATPMRGPGATPL RTPRDSLMINPETGDPYQLVGATPREVKMRENFARQNLRSQLSSLPKPKETEWELEEM PSERPVPQSFNGVSEEDASERDMRNRLAAEKAAEIEFKRQTQVYQQGLPRPALVNIRH LMEDADNIECPARRLVALEMAKLIANDARKFPLPGSRVEGKIPKLDTLDDDLISKARE EVDAEAGKLGAQPQWNDSFRSSWSTLHESTSTLPGLSLYSEEEGDDEYRFQQEKKEMA HAFDSVQASLLQAAEQGNKLEKKIALHFGGYQARAKTLRNKIIQADEALSRATTALDS FRTLQIAEDAAIALRLESIRDEVTFITRREREAQELYRARKDELESLGGVNGWH TRV_06630 MSATVGAPQQFKQPSRKGKKAWRKNVDVTEVQEGLDQVREEEIK GGVLAEKPSEELFILDPTGDEEVQRKVQKKFKSLRADEIIAQRSAISAVDSRKRPSNS RVTDGVIEPKTKRSRSDWVTREEWLRLKKVAREAKLDETSIQPSVAHDPWAMEAKQEQ EFSFLEKKKPVVAPKTLSHAPISLAANGKAIPSVIKPKAGTSYNPSFQEWDELLTKEG EKEVEAEKLRLEEQKREAERIARIEAAEGDDGQVKSDDESAWEGFESEYEAESIKKKR PERKTKAQRNKILRRKEAERKAKAEAKMKKREQQAAQAKAISKQIEEKEKAKLAVAKA EESSEDDEPTLRKRPFGGRTPVPAKPLELVLPEELKDSLLLLKPEGNLLADRYRTLMV QGKLEARNPITQAKKAKRKATEKWTYKDFKVPSV TRV_06631 MERKDPSSNWKKLQQTLKSSNTTTGKSSATKKQTATGSSVYNGG VRRKLPLSSKTFTKTKGDTYRGHESKRRKTMSTVTAATDEDNAAKALSGQQSSDKDLV NIGLSSDVEVGKYVAIDCEMVGVGPDPDRDSALARVSIVNYNGDQVYDSYVRPKEMVT DWRSAISGILPKHMAEARSLETVQQDVAKLLDGRILIGHAVRNDLEALLLSHSKRDIR DTSRYPPYRKLAGGGSPKLKILASELLGLEIQGSAHSSVEDARATMMLFRRDKDGFEK EHAKRWPARPALVDGGSGEQKKKKKPKKKKK TRV_06632 MLALRPATTKERVQKLEDQPEPDQEKASQSQPWVNSAHTPVSVS MNILPCRIHHDGPVEVSKRYWNPVNDGGADNSMYITNIPTFILVSHMSTKSKLNLTQL NRTVSVNSIFPWPKVARSFRAPPRWLPRIGVVVTKLPKDEPVTKRVVGYENEDAEDNE EPLSQLETVGRFSAFTVWEHEKLPTDDDLYVRSMEEWVSFAHSVSAFDFGGRDEGGT TRV_06633 MKFTVLVASAFASTAFAQTFQRLGGCPDLGCIFPPDKAEFLSGQ FFDIRLEVHSPVNGSEARVGGPDEKFTFTIERKGRGHQQPEPATKFFKTEEPKLEKWQ FSWYEDLFAKDAGKPSIVNVASKAFRRKTTATWEVKELRTRRRAKNVILFIGDGMTTN MITAARLMAHQSINGKYQSKMQMDQFPVLGHQMTHSMDSFITDSANSATALYTGHKST VNALNVYRDSSKSPFDDPKFETIAEIFRRVNPGAGVGIVSTAHLSDATPAALTAHTSD RGQSAHVIDTYYHGLSNYTWTQWDGPDVLFGGGAEDFLPNNDNKKQNYYEMFKKKGYS LSWNATALAQASNDDRALGVFSTSVMAKWLDRNVYKENLKNQKNYPDGSDKDADDQPG LKEMTLKAIDILHTRNRNHRESRGKGFFLMSEAASIDKQMHTMDYDRALGELLELDDT VRATIAKLRALGELDDTLIIVTADHGHGFDVTGSVDTKYLAEQKSDREKRKAIGTYFN SGLSQYTVQKQGSLKYSEGVHFPAQWDPRYTLHSGLGAHPDKRENYQVHKDGPRLPAT TARGAKDYYVNYKDAVTGFIVNGTIAPDEGQGVHSLTDVPVFAMGPCQEDFGGVYNSV DVFFRMAQCLGLSKTRW TRV_06634 MTGMGENQVDVTGLVKRFSLEWLLNQDQNDRRISLLGSIDDQPA ILLVERAAFAVDQASLASLCSSLTHVSLISANDIYHWALACSPSSPASPDLKLTLIYP CTPQHVAKYSVQPVRMVTETPAIYREHVHPYMSGKREAGRLNWVYNIIEGRKEQEDVL YRHQDEEEGFLLLPDLNWDRKTMGTLRLLTLVQRRDIWSLRDLKKKHVPWLKHMRNRI LDSVVKVYPSMERDQIKLYVHYQPTYYHFHIHVVNVMLDAGSTQATGKAFGLENIISQ LETIAGDEEAGMADMDLTYYVGEASELWMNVFAPLKEKSVS TRV_06635 MSGNSTGRCGFYFELAIKRWRECYKSVRTSVCLNIVSTNFATFS GDSPQLISIEDLKINVPYHIRVMDPFEEALMQSLSAIQDREADFTLYSTAITTKETET YLTGEYWFHNIRRPVRYLEAVSEVLKDGYTLRVEIGPSLLAQLEVIPATHDFIISSRI ADAKPDDPWQIISREVVNINKILFELRERGLVSGTATPFAVHRRYGDLGMRYLFALVV LPKALHQEAARFRIHPALLDASLHTLYGDQQYIGSPMEIHLPPLYHRRSANVDTCGAV STATASLWAGVASFLSQSIILTSSGDSAIDPQVNLVLTIHAIMEIANHLHSRKGATTV IVISKGACMTPVDSHCDPFSSAPQTAVRVAANELPWVRFRLVDLLFGESNKHLRLLES ELCRGRLRCDQNVVAWRPEGAFVKRLILLNPEHEGCKDCKPVHIMPARCGRYFAEPDP GGSVDGIKFRQCVPWSDVLGAEDVGMDIHYAGLNFNDIINNLGLSNERAVSMSLSGLT LGISGVGEKVKGLEVGCEFVAGVASCISGNLITNQSLVHFKPKSRDLAQAAAGGELGA HVYATAGRPERREAVANMQGVRGAFDSHSVSFHDAVKKATNRRGVGVELNSLAGEPLA ALAECLASFGRPVEIGKINICHNRRLDLEQFGQDCSFFIFNMDRLVKKSRRDITNCKL QLPSGQSYLITGVAGGLSLQLAKFLADRVQNIALVSQSGPKSGDSRATTECLRRLGVE VWVELADTTTTKKVHSLFSHERGWPAVSGIVHCAAVSGNVTLKDTATDSFRRTFDPKQ KASGIFTKSQKA TRV_06636 MKLFGSPTLESLAQELERVANSDSDRPEISFQEQSQEEVQEPLH FGGLVDISALFIRRNNVVVPKGQPSLLCIHLMAKNASFFAPYLIKLPQGLDSIAVQTP GRDNRIDDPIPSIVYEVVSGTLEEMELVIGTPATI TRV_06637 MSHLIHQLGLPRTLGFADVYSISDPELLAFIPRPSQALLLVFPV SDTYEKTRVAEDANIEPYAASGPQEPVIWFKQTIRNACGLIGLLHAVSNGAAKKEIGK GSDLDRLLLEAVPLAPQERADLLYSSSALESAHADAAKKGDTSAPSAEEKVDLHFVCF VKGDDGRLYELDGRRKGPLCRGELAEDEDMLSEKALDKGVRRFLKTEEDAGQGDLRFS LVSLGPVFD TRV_06638 MNSSPERLKTGISSPLSSIIFQDTSQNLFIIDIPASISLTQQLP GNIKSFPWSRIPLIYSSEAISSPYGGPSPEPKTALRREKVLKYVFPEEREYHALICAF VEDEIRKPLENFQGQWCYIRHLLPPDKEAGFPLLSKIYLGSDGPELEFNQGQNRPDLT SKSPPVILSPELNAFSSGRDIYNALIKNPGSYQTTIKLSRVLGSTRVDEESDPGNPIL FRIPPKSTFILTHIQSSLPCLTNPLSVIPPSQKFDFILADPPWPNRSAKRSKQYITST LSFDDLELLMGNITRKFLTSSGIIAIWVTNSGKARRAVESAFQAVGVNKVEEWIWVKT TVTGEMVTALDGVWRKPYEVLIVGRAGWQEHGIRRRIIAGVPDIHSRKPVVRELVEKA FFDNEEYTALEVFARYLTAGWWAVGDEVMRFNWDGWWAD TRV_06639 MAHSSRPEGHLNLNYLPSSQPRSGTSTGSSSPSELPQTGQTKSP FGDSNGLAGSSQSIGGSRMGAGSPSHDLGNRLYPKRAREIQAQEGVASHLWGGPPTSG NSTPLRENIPESPNQDEFPGLVPSPETIAYNPGRRTRAGTVPSRFSPVGPLNGLNVQP SVISKTSRPTPSTSPFRPQNTTGVDSSMVSAPGTESNTVLLSRLRAGSMPQRPNLLNS SSPFGPSVFSTNWSSGRERATTLASIRSSEGPSSPTQSSFSKDGLADADVRTLDYLGL AETPQQSRASLAGPSVAMLMQQQQQQQQQPTSSLPPLLAELAMLNRGSNRFRSYSVNA KEKYAEEDEEFGTHYSTIPSGTLTPSAAATAAQLAATQAQIHQHNLAVQAFANHASSS RPRARTAGVLDAPLQRSSIRNYLASPSRLENSINAADIRITEGSEYDGLPEAVQRMQL GGMGGLRAQEPVDENNLEGPTRALWIGSIPVSTTVTSLDAIFNVYGKIESTRVLTHKN CGFVNFERVESATQAKSLLNGKEIFPGAGPVRIGYAKVPATPVSETPGQPGSQNSPTP DASTGAAGSVARDGTRSTTQEGEAAIFSSIPDLQEIQPEMSNIVIEFGSSESECQNIT KSIQRAINFTAFEDEIRPVPEPSQSRVFDAPRLREIRKKIDNGACSAQEIEDTANEML PEIAELASDYLGNTVVQKLFEFCSEPAKEQMLIPIAPHLAEIGVHKNGTWAAQKIIDV SKVDSQKQMIVDSLRPYTVPLFLDQYGNYVLQCCLRFGPPFNDFIFETMLSRMWEISQ GRFGARAVRACLESHHATKDQQRMLASAIALHSVQLATNANGALLLTWFLDTCTFPNR RTVLAPRLVPHLVALCTHKVAYLTVLKIVNQRNEPEAREIILKALFYSPGDETLESIL KDQSSGATLIFKVLTTPFFEESMRAEVVKTVAKVLTKLKTTPSQGYKRLMDEVGLSSR TATSRESHGRDHGTSNDREKHQRQSGQQRQEGNNFPAQQNQADRQYGTQMGAHNMNMQ QPLSSPPNMARSISSEQAMPQYDPYSFGSMNSLAGLPMTNPAISQEMPPLNPQQYQYQ AFLAAQSRGMPTAGFFPPGPPGNGMGMGMNGFPATTGSTLDNFRSVQPAMAGPSGAPL PMGGNSILQPTAYGNQQFSPVMGAGQLYNYPQQFYQPTPGAQGAPPSGRRGRVSFSSL YQSIFSPPSYDNLI TRV_06640 MQLPPVSVIASWPKPNYDNPPEVHGPAIIIMTAIFMPLMLAIIG IRIFTRIRILRSFGWDDIFIIAAALPTLGCGIITTTAAITLGWNRHIYDVQESGCTYK LLFNGILFKPNQTQSNPLLVYNAAQSNKLLSRCLQRLFRGFLSRRSAQKPTFAEAEKG EKENAEGELSRCDIQQFMFMFIRLATDSVTPEDLSWLGPMSDQRLRPAYENQPAGKAS SPAWLPSPPIPDLKLPARRRQKAQPPLHCPASGLAIEADQPHRRRA TRV_06641 MASATKDVFIDEDVGKDDDSATGTETAAYKTLVYAMLLHPATAD APVQYMTRKSETGPVAEGEEASVRLQWKPATKSALKKAANLVEQRKKKALKEKELAIR EKEEADKRRLVLEEAKKVVIKEDSSLPKPVKIRLNEKDPAVVRPRAEGDEQPGTRVRV VGRVHRLRVQKDMIFLTLTDGYGLLQCVFTGDLTKTYDAMTLTLETSMAIHGEMRAVP VKQHAPDNRELHADFFQVIGRAAGDKEAITTRVAADADPQTLLDNRHLVLRGETSSSV LKVRAAVVRAFRKTFEQKQMLEVTPPAMVQTQVEGGSTLFEFDYYGEKAYLTQSSQLY LETCLASLGDVFCICPSFRAEKSLTRRHLSEYTHIESELDFITFNDLLDHIEEIICSV IDISLADPQIAAFVKQLNPNFTPPARPFMRMRYSEAIEWLNEHGINNEEDKPHSFGDD IAEAAERKMTDIINKPILLTHFPAEIKAFYMKKDAQDPRVTESVDVLMPGVGEIVGGS MRMDDWDELMGAYKKEGMDPTPYYWYTDQRK TRV_06642 MIQVGLSSTFYTFFSLPFSLEAHVYLFCLSFLLLDSSSSCRNQD SIKPLATPSRPIFFSQATSPFSSSLFSPVIIPRSYPYRQSAKAGSFSDPGLLYSLPNT TISLSTSLPQLSILHQEPETMSSTRQSRSKPVQFTAAYQYLAQFLQAGKTPSTSQRSG PGYKKGVCLTGGKEAGDMPLLTHSPATTVVSADDSPITPSERGPDEKFTLLQNDMIES KSTALAPVMVHGDGALLEKTQ TRV_06643 MAETPVEAGDGSGPEDYYVEPLATGRARRSTAGRLMNTLLDAEA DDELARIFAEEEDDEEFESGDDEEEGGEAAADDMEIDSSSSDEGDEGGAGGAGNDELE GEKELQRQEKAERAKKRTAQQEGFRMPSQRKKKVKIDPTLPTRTSTLPAPRQRKKSER ISWLPTADDGPTRASSRRQTMQNRVQTHARLKRSEQKRVQLIATMEETAKRKEKARPK QMTQAERLAEAEKTERLNFGSLTRWEEMEEKRSEERRLKMEALHNRPLEGPVITFWSG IAKWINEKLAMVGVKSFRQAADADSGRKKKGRPSVETSREGQPAANAANRATETSNNA TDTAPTQPTDGQQAPGEPSPFLDGIQLYASMQEASTCPDAEIVQTATSTASKPVTTTT ETIALPNEEAHENDVTKSDPSPKERGNEDENGQGQAPNKPAEETVPICPEQELNVEEK PEKVKPALERSSRTCIVLDNFDASTGPERSDVGVLYNPKKPVKLPKTQTEFCPITSHP ARYRDPTTGLPYSNSLAYREIRQALAYRYAWSGTFGCFVGPIGVGARGVPERFLAPNA APPQQLFEHGDGDSKPTTASGDKPAAQR TRV_06644 MRREKKKGGGKKGETRRMKTCRHSKRAGGGRKKKQEEEEEEQRR RRRGGGGRRKGREKNQLAGGKKGERKKEKKAKKKKKKKKWNGEGD TRV_06593 HDLVTQQTPLFPKSLVTKKRRTPKLTLFFFLLLPGGRTAWSSSV SIQGSSFSARYFYAGEYINNAKEDAAEVAFTQLNSQPRLATALPGQLYAQQK TRV_06594 MQACDRCHRRKSRCDKQVPVCGPCRKANVACVYTDRSKEPTYRK EVVERLERRLRQCEATNRALAARLAAAQSESSNAGNGSEVAASRQSPSLDASGSSPGQ QQQQQQQQLQQRPKQEGDNEVTDEVSFLSLTAGGDRQFLGSGSGVLFANLVRATVDAA PVPISTPARSSFTPRASYPENPARGGSIGSAFSFGSPAAKSETYQIPPEPFARDLHHA YFEHDYLCYPFLHRPTVLAAFEQIYSDPAVLDRDVFSCFIFYMILAISSVDYHKFDWQ TRPDAENFHVIALSKLTEVLQLGGIKPLQAILLLCQYRMRSPIQDTSASMWHLVGVAC RICIEQGLHRESTYPPRTPSDRMETDSPSNMVRAEVGRRCFFCVIAMDRVVSNTLGRP FGIRLSDTDTALPDPQYDLEVNPPESSFHPTTDSFSRTALFVHIVRYRIICGKILFSM DNGQSGSQESNEASALSKRDSLAAELEQWRHDTASLSLPAVDLSSTIPGDRSSFRAHE WYELLYYNALLMLYRPSPALPAISSTVPTAIQTIFTAAKQSITLYAHLHRSRRINYTW ITLHAVFMAGLSYIYAVGRHFRAKRRLAMGGPAGVMLDEDPSIIEIVNETRACSTVLV AVSERWSAIRHSHEVFHRLSDAVLSDAIELLNNPPPTTSTSASGTQSLVSPMKMGPMA SPTSLPTSHLSPMANAGMDQWPIPDTSMSPQLAVDSVLRDCFRDLQHMNEYSYGDDPI CRLSQDWLGEIGGMALDAVHVWGE TRV_06595 MATTPSIGGSDSNLALNPPSQLEGPSPSPTPSHDPSHEEHQYLN LIRTIISTGEHRPDRTGTGTRSIFAPPQLRFSLSKPSSDPSNPDPVPVLPLLTTKRVF LRAVIAELLWFVSGCTSSLPLSEAGIKIWDGNGSREFLDSVGLSHREVGDLGPVYGFQ WRHFGAEYIDAKTDYTGKGVDQVAEVVRKLKESPYDRRIILSAWNPADLKKMALPPCH MFAQFYVSFPPQKTGDEGKKGKGTLSCVLYQRSCDMGLGVPFNIASYALLTHMFAHAA DLHPGTFIHTMGDAHVYLDHIEALQEQLTREPTDFPTLKINRTDRGSGEMDGWKDSDF EVLDYKPHKLIKMKMSV TRV_06596 MIPGVEVIRISWKLLIVDDKKATSTSCGLAGQFSLIFYLLRYSP RTYSLIIVLPSTYLPIGFAMSSSAPDQHSYTQMADRDLEDIGKHCEFEFCRQLDFLPF RCESCKGTFCLDHRTETTHKCPKAGEWARRRTGQGGSESTTLSPKPTVYNSTQCSHPS CKALIYRMANAGVHCQNCNREYCLSHRMREDHDCSNLTPLGASPAAVAFTSSADKARQ AFSRLRSWGKAKAPTTTAPPSSKSKAKPSAASRMAQLNALKKNAKGDPNLDTPKRFYL HVEASADTTTAKYPTGGFYFDAGWSVGKILDDAARRLQVQNVNNRSAGEENRLRIFHV EGGKLLDFSNKIGSCSVAQGDTIVLLRGVGPPVPDLIEM TRV_06597 MADNKGKSKEKDTSGESRNVDVVALDSSNKDHLEGQDREVNEAL LSAIMASPQQRSSQCSGQEELLKSFNKLNITTMNDHNKNNNKTPSPSLSPLQSPYHKP ETTHSILRNDPGARPPSRQQQQEQRAPRRTTSTTSLRDERRSSIQSLQKRASISSLRS VQNLTASSSSPRQSLSRRSSAQHLASSPGASGRPISPLAEMAPPPAPTASEIAAQYWS RELALHQTTELQSSTIVIIQDACYGHRFSRPRTSKDDLNLIVERPERMQASILGVAAA FIRMGLRYGSNEFAPHPNLDLQRLPAPPFQIRKSVRTMSLDSPAVTHIHGTKWMEDLR LMCDAAEGRLATNGKELVRPSSSGRETRAKAAPQLHEWDLYLCPESLNAFEGALGGVC DAVDSVFTSPSIRRAFVCIRPPGHHCSGSFPSGFCWLNNVHVGISYAAMTHGLTHAAI IDFDLHHGDGSQAIAWKQNERATAKNAAGCEKTAIGYFSLHDINSYPCEEGDETKIRN ASVCIDKAHGQSIWNVHMEVWKTSQEFWKIYETKYTILLEKARAFLRAHSLKLRSNAS PNAPQPKAAIFLSAGFDGSEWEGANMQRHKANVPTDFYARFTADVVRMAQEEDLAVDG RVISVLEGGYKDRALTSGVFSHLSGLSDCPEIKVERDPSSSRLAAEMYRRLGLNEESK HGTSADGTSNFNYDPIWWAPALLEELEVLVNTPPTTPRKPRGRGPPTFSAPTQASAAK VTTPTRPHRSPSSNHTREPSPPPLPRVEWATAAFELSKALIPTDRQIASCQHADLKVV PSQSRPASRLASAANRQQPRASERGTSHMQLRERKQKAPAATTGSLASSRSSTVSTRR TTIASSNDLPSTNMTGSGEPANSPSVRRSSRRISMTSTASTSPVSSAGKINQPKTRET PLRPSPSRASSGSESQNSATRARTAVTNRAPTPVRNIPKKPQGNGGNVDNLSASVKRL NIKLNLPSPEENAAREAKLAQDRKKGLQAASQSSQRKPASRAAPGTKTSAKETTERQS RSNPHSTATNAKKTSPPGKPNLALSYDGAYDEDPITGHEQESLASTPNEATGNTNTMP SPPLTPDTAPEPETPTITVRSPPASAHQQLPVFTATSIIPFAPSQNPPSNS TRV_06598 MAVHPTLNASPAPGSTATTSNALTPQQVYAISSWSEQAIESLSL ESSPIPYRNSAPLTIPLDDDVPQPATPTPVRLRRSVRVAEDTTGTGAATAAGPSTYRR REPLRRDSLKRRESLLKGKEGSRRRQRWENGTLYLHFSSRYSNWVGGMTDLFDLLIDR LLSNPWAQPPSANDWEIQPTYPRHNPVPYYLASLWDSHQAAKEQARRKSKREQGISEK KKKKKQTHGDAKASHPNAELDKISKELRAKLKHARAARGLVQELEEEIRLFVQEWIET QTWHQTREPESECCSDNSSSDDDEVVFAGRKVNPSSRKQRRSSRAVSGEKMVFESPAE DRAAGFGRWLVHSLASYYGLRTWSVTVGNPPRREAYVGIDEVSQGLLAAAGRGFPGSK PDGILPRPLWVSM TRV_06599 MKLLSVLALSATATSVLGASIPVDTRAEKFLIELAPGETRWVTE EEKWELKRKGQDFFDITDEEVGFTAAVTQPAIAYPTSIRHADAVNAMIATLSKENMQR DLTKLSSFHNRYYKADFGKQSATWLQEQVQAAINTAGASRYGAKVVSFRHNWAQHSIV ATIPGRSPEIVVVGAHQDSINQRSPMTGRAPGADDNGSGSVTILEALRGVLQDQTIVQ GKAANTIEFHWYAGEEAGLLGSQAIFANYKQTGKKVKGMLNQDMTGYIKGMVDKGLKV SFGIITDNVNANLTKFVRMIISKYCSIPTIDTRCGYACSDHASANRNGYPSAMVAESP IDLLDPHLHTDSDTIDYLDFDHMIEHAKLIVGFVTELAK TRV_06600 MADSTVHTYNPFVKRSSYQRSSIWAYQAASTISWLLVVGFGIYS GTHRKHHGTPEKGDGDALHALAHDDHLNAFSQTKVVTGIYWIILLISQLGYIGQLWSS DEQHVTTAANVAPYFVLNNLFVLAFTLLFTHAKFWWAEVFDIFSLINQATLYWSFPGL HPFIHLPVVAGPYAWSLLTLFWNGAVAVGSNSTPLRLVANVFIWVFFVYGQAHIVIRN DQYLGYALSLLTFSLSLKQLAIKIISLQWIFAFTIFGIFAASSLYSTVTNFLGLNFFL IPHEEPEQGDREREPLLTNE TRV_06601 MATIYSHLSEAEQNLIEVATKTIEAIPLSEDYSVGSAALAEDGR IFTGINVYHFTGGPCAELVVLGVAAMAGPPKLTHIVAVGNQGRMILSPCGRCRQVLGD LHPDIKAIVRVADGSVRVEKVQDLLPARYVIPDTTVESI TRV_06602 MDQTGGHSAPPGYSEEPPSYSLPNNYDDNDDMRTSMTAAGANAS ATMRLLPAGSGSDLNERYESYDTYAAGSDLGSAQFGMQRSSTLQRQQYVASQPEESST QQSPPDAASGSKIPKKSPATLFKTTEPVNSPSSASPDSRLLALPHDIPLMNRSKQNSH IPSSSTSPIKDITGGITSSLRLCHPAVPAPLRLASPKPSLTPRPKRQNDNLVLDDLVL PPIQTNNGGALPSIQEAIDELEADQKSTSSPVSPSRSSSQRYRPLQHQPSIAGAPTSQ PASAIGQAPVIPPPGAANVDGAQYATRPPSPTRPWSPSRSTAGWQRRPSSVSSIHYEP PDINGSPRPGTPSSRYGGSPRRPLPPAPLFSAPAPALSSETTINIGEGDQYDGSDDVF GGNGRTISPKRSSHGSLRSYGSYFSESTMVTEEKEHMKKGNLDDDDEGYGEVYAPAPT GRQDRRGVRQALMTKKEVKLVNGELILECKIPTILHSFLPRRDDREFTHMRYTAVTCD PDDFVAKGYKLRQNIGSTMRETELFICVTMYNENEIDFTRSMHGIMRNISHFCSRTKS RTWGKDGWKKIVVCIIADGRHKVHPRTLNALGAIGVYQDGIAKNIVNQKEVTAHLYEY TTQVSLDPDLKFKGAEKGIVPCQMIFCLKERNQKKLNSHRWFFNGFGRALNPNVCILL DVGTKPEPTALYHLWKAFDQDSNVAGAAGEIKAGKGKGWVGLLNPLVASQNFEYKMSN ILDKPLESLFGYITVLPGALSAYRYHALQNDSTGHGPLSQYFKGEMLHGKNADVFTAN MYLAEDRILCWELVAKRDEQWLLKFVKSAVGETDVPDSVPEFISQRRRWLNGAFFAAV YSLIHFRQVWKTDHTVARKILLHIEFIYQFVSLVFTFFSLANFYITFYFVALAVADPK IDPFGHGIANIIFIILRYACVLLICLQFILSMGNRPQGIIMVYTTFAGLYVVIRQFTA KENKSKLTLGQNVFTNVIVSTLSTIGLYFVMSFIYLDPWHMFTSSAQYFALLPSYICT LQVYAFCNTHDVTWGTKGDNVLHTDLGAARITGSSTVELEMPSEQLDIDSGYDEALRN LRDRLEVEKPGLSESQMQEDYYRAVRTYMVSVWLIANAILGMAVTESYSSKHIGSNGY LAFVLWSVAGLAVFRGIGSTAFAILNLVQMIAEGKMKFSAGKFSIGSSSGSTSAPSSI GKRIPHRSIKHKVTDFFTETGWTIQRHFNKVAYKAAFWKR TRV_06603 MKMGMSTAAAVGEKEKKVDATHGPLQEYESRVEAGLLRDDDHQR ESLNPQPPKSSFFGMLFNNAPKPAETTKIPANMPKGLYMHGDVGCGKTMLMDLFYDTL PPSITSKTRIHFHNFMQDVHKRLFAVKSQQGADIDGVPFVAADVAEKSSVLCFDEFQC TDVADAMILRRLLESLMSHGVVLVTTSNRHPDDLYKNGIQRESFIPCIHLLKTALTVI NLNSSTDYRKIPRPPSGVYHNPMDIAAEHHADKWFRYLGDFENDPPHPAVHEVWGRAV HVPQASGKAARFAFNEIIGRATSAADYIELMNHYNSFIITDVPAMGLHQRDLARRFIT FIDAVYESRAKLVLTSAVPLRNLFLSEDDIKQASSKDGDTSSNDELQADMRNLMDDLG LTMDQLKASSIFSGDEERFAFARALSRLAEMESKQWVERGLGVGMDELHGQEEKAAWD KTRSKWREDSM TRV_06604 MSSLGKTGATAMVYGIVMYDFNAERPDELEAKAGEAIIVIAQSN PEWFVAKPIGRLGGPGLIPVSFIEIRDMTTGKSAPDPLEAVRRAGVPRVEEWKKMTAE YKNSSISLGKFENGPQQAAAELERMSLNSRSNHQRSPSKHGYSQQPPQQPQHYQVPIP VQASIPRYCFDNDKYWYIVEVQMEDGRWWELSRYYHDFYDFQIALLSQFEEEAGNRGG QRTLPFMPGPVTQVTNAISNGRRQSLDEYVKQLLAMPPHISRCQLVRQLFAPRPGDFE IDPAVMSDDYRLSSGSQQSSGHNLSRTASRQSSQGQMSGPNQQAHYQPSQRPTHQRSQ GSVSQQYHQQPHQQMPPPGQAQAPSMSRQGSSFNSQMPSQGSGAIKIKVFFQDDIIVI RVPDDINLRQLTDKLRDRLKVDSMIIRYKDEPSNSFVELLGDADLETAIRRNAKLMLY VSTP TRV_06605 MQVCGRVDGVSAVPLVLADDLEEGDGDEAAGVGEERVAGLVPVL VVLSADDVEEVSLAEGELLSVLGRRLVVVQRFYHLSRGQDEQEKKKKRGYLTFLGGKM ARADLGVIETCWWCCDFSLSPLSERRMALSEAVSRGHSDWETARERDGLPSWRWLRLS MGCDFVLLRLSESYRKKKKKKKKKRTRKWRRKKKKTKTGGKRTPLAS TRV_06606 MARGDRGAVAERSGSRCLIGRDGLAGGDLILDIDEGEEGEEEDE DEDEEVDEDNEVDDGEEQRRCEEQQTRRDDRLCDCSGPLGGWEKTPAACIPESTKPPL RKKILKKALKKDEEEANKKKKKKRRQEKKASLLLFSFSCPLPVLLLGSVLRSAMQMLM LMLIRVYRDTIRVPTQRPYSRPKTKTKMEVDEDNSLR TRV_06607 MTAAPASSTETRKRVEWPPAVREYVQRCFVPEQQIPGISRAEME ARLKQVITGAAETNSLEAIDWASLPLPQQMIQAERSAALPSATHHQLSLQPSKTSPKR KSTDISTDTSTTTSAGTSYQEIPPWRTTAEDKRRRLENVPTNNINNSDGLNSKSNSNI SSHHHLESRKRRFESTSSPTKVKSPQPSYTQTYTSQSSNQHAGPIVGRSTELEKRYLR LTAAPNPDNVRPLPVLRKTLDLLKRRWKQENNYGYICDQFKSMRQDLTVQHIKNDFTV LVYEIHARIALEKGDLGEYNQCQTQLQGLYALNLGGGHPMEFKAYRILYFIYTRNQTA INSALSDLTAAEKADPAVSHALAVRAALAMGNYHRFFQLYLDTPNMGAYLMDMFVDRE RLAALACICKAYKPDVNIRFITEELGFESDEQSARFVLDHVSEQLLQETQDGVRLLTG KCGAAFEAAKQEAFRRIDIKGQI TRV_06608 MRRRREDEKVKRRWEDEEKKTMKKKKTR TRV_06609 MFFQPVDLTTALRPCLLPDETLLFVQDAIGLYDGKYKIPRCQNG HAYLTSHRICYIDLEEPRGCSVGIDLKDVDRVEFQARFLRSSPKLSLLPRRRAAPGST STTPTGPGSATASTNIKSEARTATWVCPICSFANPVPSNFDPALAASTAIPPCLACGI RPPLTTLLKAAITASTSATSTSTSTVSSSSSKICPRCTFANHPWLQGCEICGAGLGGN GNGSGGLTGAGAGAGSGVGVGAATAAASRTDSPAPFGPASRLEGREIGECVKLSFRAG GEKVFHERLRGALVQRKWILQDAPPVPKAGSGSGGPGSGSGVGTGTGTGMTGMTGTTG ATGMIPGATGTTTGTGDSNVGGGGGTASGTAAGIAGLERRGLEARRNNEAVIGGAFED LEALMASAREVVALAETFARERRNDAGVTDEVSQSAAALGMITTKQLLGQSAASASSS SSSTTASLYVTELSRNLAEYLTDEREGLLRRAGGIMSLVDVWAAFNRARNGVELVSPR DFHSAAEQWEVLRLPVRLRRFRKSGLLVVQRADWTDEKTLQQLSAWLNQLHSTSTTST PATSTTTTTSSWFGRGVTAQEAGERFGWSLGVASEELEMAEERGLLCREQGVEGLRFW LNHF TRV_06584 MQCNAASTSQAASLFPAGSGRRLAASRGVKVKGSPGRLQAERCV DAVKCYKSGRDGRGRVFVWAVFLFSGEEEAEVGGERLEASSNNNNSNSESNKSRDGAV CLSPPSSSEEKKQSRKKRNSQRRRERRKATQRRGCLIKEAGREEDEDEDQPARQDDRS HEEEESKTEKKKKTKKKKKKTTKKRSSLGLGYQCFFFVFFFASSGVAFSSSSSREKKQ KRSQRRRRIKPRLRPSRRREKAMKRGGLRDPCCH TRV_06585 MDITTILNKKASVSIVAADVHQLQQHLAQATAQSQTDDAVKSRS PSELGASEHHRSASAPPSEHHHHHHHHQPTSSFPSSTQSLPQMAHLAQYHVQAQANHA STSPGYAHSAHGSDYGRSTTSMRPTGLPALKTFHCQTCSKGFARRSDLARHERIHSGI RPHACDWPGCGKQFIQRSALTVHTRVHTGEKPHMCDRCGKPFSDSSSLARHRRIHSGK RPYKCPYANCQKTFTRRTTLTRHQNHHTGTIEEAAAETEANLRHSKDRSTTSRPSEYS EPGSAHSTPSPAQRPSLSPGNELPPLNLSSRP TRV_06586 MAQCRPYGCLRRCMSLSDALPPRTSPNGLLPAIHSQRRKHSTTP PPPPPPPHSSSSSSSSSSVSSAEMTHFASLASTWWDPLGPSRILHLMNPLRHDFIASC VGQQQRQQQQQQQQQAHDQDQDQKGGGGLRYLDVGCGGGIFAESLARTIRTPGTSTNA CSLLAIDPSPVMIELALSHARKDPTLHQHLRTGAFEYRNTSLEDLTDSASASTAGKFD VVTLFEVLEHVDPDHSSPRAFVQRCLDLVAPGGWLVGSTIARTMPAYVVNQVIAEAPW PIGVVPRGTHEWSKFVNPEELRGWVEREGEGEGGGVEWRCVGAMYAPGLGWRMVPGAE SWGNYFWGIRRGGKQD TRV_06587 MSSISINLLAGQLPSMSFNLKKQQNSLKQARKRRERERNKGKYK GKKKEPQFLTSADPANASLAATAPSATLGLSTEIPAAGIDAFHGHGAQIRSLSLR TRV_06588 MDEDVVVVSPGILCSQLRDSYCTLNRVSKPPLPYFSGQEFTVRS HTAPPPIPAPPGGLPVIELSKRFERQQKHPAERCLLHPPSPGHVGNQIIHLKISREIR FRDNRKSQVGLVDILNVQPTKNKGPQKNMTLFAKFYDPLYNDHDSYIYDRFYCCDYDY SHEVAVYKQLEPLQGKCIPKFYGSFTLELPVPGHDTNRLVRLILLEYIPGRSIKSHGA GDFSQQERQRLLKIIIDTELTMYKHGFKGGFYRHDDIIINYNSRCAQRTRPIVLVDFG VCYLLHDGPEWCEIPVARWMKREVGDVCLAISEWIDWDLDLWYNRIYAGNTEIAAIRI LFIPRDQLG TRV_06589 MPSKKDGINQEYEQHPFLLSIDRLAQELDTNIDTGLSDAKVGQL QEKYGANRLSGEGGVKWYTLLGKQISNAMILVLVLAMALSYGVSDYIEGGVITAVIVI NVLIGFYQEFKAEKKMDSLRSLSSPSANVIRNGNAITVPSGEVVPGDVVQIKTGDTVP ADLRLFEAMNLECDEKILTGEAAPVAKDTEFEASGDEVTVGVGDRLNMAYSSSTVTKG RGRGIIVFTGMYTEIGKIAQSMQGKKRKAGRSMSSKHGTFQPVKGGALRIWDAIGKFL GLTEGTPLQIKLSKLAYSLFGCAILLAIIVFGVNKFNVTDEVAIYAISTGIAIIPESL IAVLTITMVVGMTQMRKRRVVVRQLSALEALGGVTNICSDKTGTLTQGQMVTRKAWLP GVGIYSLTKSNDATNPTRGTVTLGKSPATRQEAEQERERKRSEQDALRSAAGLKFDVP AEKEERDQRRKEEIRNEKQAEEDDDDEGSEEAVLPEVVPELAAFLESAALCNLATVRY DESAGSWQTMGDPTEVALQVFAHRFGFGKKSLEAENGWKPLAEYPFDSTVKRMSVIYK RDQGDGTVIFTKGAVERILDLCTSVGFGEKREPMTPKMKEQVLDQMGFLAEQGLRVLA IAQKPGPKSLDKNTEIPRDQVECDLTLLGLAGLYDPPRLETKDAVKECTMAGIRVHML TGDHPSTATAIAKEVGIIPRNTGTLSAAESASLVKTAAEFDGMTDQEIDALPSLPLVI ARCAPDTKTRMIAALHRRGRYCAMTGDGVNDAPSLQAADVGIAMGMGGSDVAKSASDI VLTDDNFASIVNAIEEGRRMFENIQKFILHLLTSNIGEVVLLIMGLGFKDQKGFSVFP LSPLQILWINMLTSSFPAFGLGREKVSAAVMHRPPHDTKKGVFTWQIVVDMMVYGIIM GACTLLTFVIVVYGVGNGMEDLGIDCNHAASDSCNVVFRARAVVFAELTWLILISAWE FKHLRNSMFNLEPYRDHSDDTVPFFPFFHDIWENQFLFWAVVIGALSVFPAVYIPGLN TKVFKHQGITWEWGLAFGSVVIFVLGIEAWKFVKRRTGWFAEGEDYGGVAATGFRRRW PSHELGLRQGFFTFAKSLTKGSERSIPVGPESGRSSVMGRDNHRRLDDGGRAKETV TRV_06590 MPSYFYHLILEVLSHPQASTAHTPSKDAQKHPNTETSLEEYALT LKSLRESVRPFRRKKAKPSPNVATDLANHLATTSLQDTEIHTDHDPRTDTLTIQGVDM VHNEISRGIGSNVISGLATKGKYVPLDLGLTDSVYGIVHLYRDAEETPSLGQDNHDQD LFYLQGVGSSSHAPASNHPSASCPAHASGSRAAGGPAEETLSLDDCTTLCILAVPSYL SPSDFLGYVGEQTMEDVSHFRMVKTARANRYMVLMKFRSGKKAKEWQNTWNGKLFNSM EPEACHVVFVKDVEIQVSSSAAESKFPDMKNDPFPFSDAPSTMSSKPLAPPTPSLIEL PTCPVCLERMDETSGLLTILCQHVFHCTCLQRWKGSGCPVCRYTQDDLGKRNVNFAMD EGPSECSVCHSEVNLWICLICGNIGCGRYDGAHAFDHYKETSHSFAMDLTSQRVWDYL GDGYVHRIIQGKSDGKLLELPARGDSALDPPDWSDAVPREKFENISVEYTHLLTSQLE SQRVYFEEQVERAADKASKASASALSAQEAAERLARDMEKLQSQYDCLTRETIPSLER DKARAERRAEKFESMSRTMEKQWREEKTMNASLMERVEFLDAEVTKLKAANEDLAEQN RDLTFFISGTERLKNQGEDVVEGTVSVPDPPTSSSKRKGKRKAKK TRV_06591 MDQLTSHILEKKVKEFIDSIEPSLVCELASSLHPEKKSCKIFSD PKKGSYNVCFPIVFTEQIPDAADSASTQETTERWMIRIPLLPRLAFPEEKLRSEIATM KFIAEKTTIPIPRLYHYSINRDNLLNLPFMAVEYIMGNTLHGRISKLPKEQELYLYGQ LADIYLQLHRHQFDRIGALTLDSNDENWVFEHNRPLTIELNDQELSGLKSSEIIPAHQ TYSSTIDYVYTVMKLVFNDFYHSRDSVFNETDARNYLYGIFASQGIVMEWVDERDNHG PFLLMHGDLRPPNIFVDDDLNIISVIDWEWSHTVPSQMFIPPSWICGQELPAATTRPY HLVLDVCVSQFQRAARDREDQHYNPDKKLKLCLPLVKLWNRHLKSEKLFIAYALLKPR YLGNVYWNLLDNIYHGTDSGERVDSFYKLKVRKRQEKELQRVLSDLEAYKKELALAGL EPTQPAAPLVLKPAEGKDSNTLSKSGEDADSAIYRIWKKLDFKNIKNTLSPLHCWVPC SLVGVSIIVCCIIAKRRR TRV_06592 TSITMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQ QRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGETTTNTISQPVVKTLTGKTITLE VESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLR GGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTL WMGVRTLLGNDLFFLTSWDEMRFFFTTFNYTGFFLMALGERMMMLLPKLSCSTDSGTG TFVLVELALEEDGYEGWLSVLQISNTSSEHSNHSTLPPIYITLFNSLTLKINIQHPND LPAPCPSGVLCSLDMQSFGRNEYTLSQSRQQHPPWLALLVQLADTPPSQVYKEAVNSH LPSFSFSLSSLSQDISKNQESEIIYIVLKAHIEAKLCVRNPFQKMM TRV_06557 MCFCSARALEDNSHVVSTNNGTSISTVRHSLVYACNFTAVAMGK IEPPFLYDPPSLYPKSAQVADFNPKAVTLNSWAPRPQKPKKDGPLVNFNQHPDSVTNI TLPFICFSLEEI TRV_06558 MLFAAVLDAGLIPFYVFTALISRTEHESKSYGWKTLFSDDNANA KIIYATFLIAMTVGGFHLASLIVDIYLAVVFRKITKLPPDMNPLEDNLTARPHKRTRS EIAEKHLSQSTIGSSETDPYNTILPATRTVPFAHTRTDSGVTLTGTTQPQKQVDDRSS YYSAKSHRRSRSDLPSQQVRQYEESSRPKTPISRTTIKGRGNGSSRPQSAVFHTPPST TQSRPSSGDIQNRGPSVSSNWEAYPSNPPSPELNAQGASPFRDSVGNPALDDEDAIWD DNFEGDDDLIQRSGTVLRHRGVYAAVDDDDDDEDEAILNPNESDNMFGYQQSQGERYY SKGKTEQFNHNSGLEVNPLSMNPPTPRPLDPEEESKEAARSDVRREVLSNLPNPSATP SKATPGSKSRSYGELAKNTPSPGSNKKLSSRWRRKSGRVSAYESLRGETDDADDNFES HVGKGETDRKGRVVSNTGIDLGPDLGSGSPGYGNYLAGLGVGRRREVSGKVAEEGRGG DLIPDESESSPSKNKGGHQPSKSKEIKAAGWARWKGL TRV_06559 MKYSTVLVAALAAIADATIPIPKGGVPGQPIQESGKGAVFSGGT NNQLDLQNPSNIGGQPATDNGLVPNMKWSFSLSKTRMLYGGWIREQVIQDLPTSHDIA GAQVHLIKGGIRQMHWHRVAEWAYIYAGSFLISAVTEDGQFQLDKLGVGDMYYFPKGA AHSLQGLEDENEILLIFDDGDFDRVGTTFMVADWISHTPKDVLAKNFGVPPSTFDKTY NPDLALINSTISTKTVEGGNGALTGNSSYTFHISNAPEIQVPGGGGTIQVVDSKNFPV SKTIACAVVRLKPGGMRELHWHPTAEEWLYFHSGNARATVYIGGGLARTFDFTAGDAG VFPDNSGHYIENTSETEELIYLELYKADRVADVSLSQWLALTPSDIAAAAINVPIEVI EQIKKDKQYIVS TRV_06560 MEEKMACGYLLRQAKENKKASTTVRQCTGIQDGKGRSAQKDEPS QKSSNPLSEGIIGGLGERMYVYSRRHG TRV_06561 MDAQPPSTVGSRRGVRLPPIQTTSRSSTVRVKPPLPEELDNEES GNRVPLRPKQHGFAIRKWFTRSKSQPYIPGRDPLLSATIAPEEHSIASHPSGIASPLH NANVTPISVTSSTPFPITNDDISAAVEEPGALSAWDPPPFFMAYPKAVKHATLPCPIL STDEILRRKMRRDLKASHSRDKTMDGDSNMDGIGHKGVIKSKTWKKGRKSQSRSQSSF SCGLKWSQKIFILATSGHLLQYGIDGNFDRLPEEVLALGRDSVAFACDAIPGRHWVLQ ISQTQDDVEPSLEPKKTVLDRFRRTSNAEPQKPTSSFLLILDSAEELTSWLTFVRRAI QILGGKDYPPETRGVNQLQSSPLTSETVKGVVRKGSVDQALSSTPSVRDEQVSVPGLT RRESQRYVQQPAERSSPSSLASVVELDNLRRNSRLSCVSIGTRTMPSSQSSSSSVTLK TPTPKSPCRESPGTSRSPRRIASTDLGFRRKSFIRACGNSEDFITETPLPPLRAETHL VCTPNFSHPIVNKRNSIAMSPKTISFPVESGPCQSPVLHVIPASEMFHGPNIGPNIAT KINSTHGSPPRRRGSASSDLLDSEGAYSTAGTDSSETQELDDDIPSLIPISPLRRTHQ TRRYSSSDGMQCFNRPISEYRPALSTLRDEPLDIPGLSPGYNTISNPRSRPRPQSLGP RAYSEPRIKVCRNSRHPSPSSELNQDSIGRQRSNTAKSCNSRRSSLNSLSSRKSMPQI PHGPPPAPPPTCPLPEVPSLAIPEALPPYESKRLSIVGCQWLPQKSAD TRV_06562 MQQQMAAEAQRRGMTVEEFGKMQREQIAAEAARQGLTTEQFIHR LKAQALRQHQMQQQQQQQQQQQQQQQQGEGQPGQQGQHQHQHQHSQQQQQQHQVPVNS NAPPDPKGIAVAQFLRSQNLKPRVCILDGRRKELFKVKRALRALQSPAYAKASAKPKS NLPPVTDLASAENAFRLLPMSLLALKVTKIDPHEGHNHAKPPSKKGRVKGLWTVRIQQ HQDTDPMSHYVWLYEGPQWKQKAMAAGVLAAIMAVVMFPLWPIMLRQGVWYLSVGMMG LLCLFFAMAIFRLILFAVTFFVASPGLWLFPNLFEDVGFFESFVPVWGWQETKKKKRS KKTDGSSSKPSKPKSSKSTAAPPTTTEKAPAADQPVTAPDVSNEKANQTKSSEQSPGK QAFAASVEDAEEE TRV_06563 MRDFDPDKSASLYPPWNTVELSQRDASLQIPLDHVTVPAVSLSA ACFGDGRYEENPTKKCVSNLLSVGYRRLYVDVYWSPKERLWSLCPIDTTGTDSTPSTS SSMSPQDRTSSASSAAPTTTSITASIRKRDTLYHIGPYSCAKSLGISTLSDILKDYFK TTENTLNADMMFLIINVHASASPDSPEVPSRMPSLEDLPPPPLLFGKIMGEALGRSIY SPKQLVENRRNLRESWLSVRPPYQPIPEYLKTTVDGKNHLVTSTGWPCTGYAVLSQAT RLVMGWGTIDPQMSSYNFTGDDLVFPQGSLESRIDVGFTSASDLTKGCLYDADTTTLS EIERPWAVAVLPSRNTSNELSLLTRQLASCGISAIVNHTLFNVTADTDISPYQNVSFS TTWSWAKDEPRNSTRASTPKTKDSFRCAAMHAVSSGRWHAHDCNDVYRVACRVGNLPY EWVISEHATTYFNAEKACPDNTLFSVPRTALENTYLYAKLDSESNSTAIISPDVEDNN STEVLWIDFNSADVPTCWVTHGPRAQCPYELDNNEIERRAILVPTIAAIIVLIVAALT LFVKCNANRRNSRRRRVIDGWDYEGVPS TRV_06564 MGKVPEATIDMYEQLPVPFGLVRYGVAPDHPEVKNCQDKFTEVA TSPRFNFIGNIELGGGLPLNSLKPHYDAILFSYGASKDRELGLPNERSLSGIFSARAF VGWYNGLPEYRNLSPDLASGEDAVIIGQGNVALDVARVLLSDVDVLRKTDMSEHALEE LATSRVKRVRVVGRRGPMQAAFTIKEVRELMQLPSVGFEPIPSNLLPPDSIISGLPRA NKRITQLLAKGSLTSLDSSAKKWSLDFLLSPRSFHSSSEHPGRLSHITFSRNQLDPAD PYSPSASISPHLADDGKVAHIDISASLCFRSIGYKSCPLPGLDELAVPFDASRGLIPN DGQGRVLNTIPSASEADIIPTHVPGVYCAGWVKRGPTGVIASTMTDAFATADAIAADW EVHRDRGSDNMEFLNSKSGKESTGLGWDGVRPDAEKRGLRPTSWKDWEEIDRVEKERG QTRGKPREKFAQVNDMLGVLA TRV_06565 MNAAADLSRRSSSGAHRGSPQARLSERRRSGAANLHEASHSDPT GTESPQNRHNRSSFGSAFRTHSPTSIAGSPVIATGDPHHQRAPSLGELHQELEQEQEA QVNRLLLMIRNQQAQLQQLQSQQQTAAGGGTAIDDSTPTSERSFSFPPIPPLPAASQR IPIPTGSNLSARRGSNAAMSPLTSIHPAGTQGETGTSVGSNDWLQLSESNGRRGSRDE SSYYQAETAALSRENQMLKVRIRELERQVAELTANNSQSSPPATQPSESAQPTPAASA TTSAATTDAAT TRV_06566 MAPRRAASSVPAGYKEDLSKGKMLRFEDSLPKLPVPTLEETGRR YLKSVHPLLSAEEYKTTEKAVSDFIKPGGEGEVLQKRLIARSEDPKTDNWLYEWWNQS AYLAYRDPVVPYVSYFYSYRDDRQRRDPAKRAAAITSSVLEFKRQVDDGSLEPEYLRK EPMAMSSYQYMFNCCRIPADGEDYAQQYPAKGNEHIIVMRKNQMFKVPTTVNGQQLNT SEFQKQFETIIKKAERVPAVGALTSANRDFWTAARKKLLAASPANEEALKTIESSSFF VCLDDASPVTLEERAHVYWHGDGANRWYDKPLNFVINENGTAGFLGEHSMMDGTPTHR LNDYVNQLIFSNALDYSNPSIRSNLPEPQPINFELNPAILEDISTATKDFEALINKHE LRVQAFQAYGKGLIKKFKCSPDAYVQMLIQLAYFKMYGKNRPTYESASTRKYKLGRTE TTRSVSDDSVAFCKAQADPSVSREELVKLFRAAVAAHSKYTAEASVGKGVDRHLFGLK KLIRDGEEVPSLFKDPTYAYSGSWFLSTSQLSSEYFNGYGWSQVIDEGFGIAYMINEN SLQFNIVCKKIGAERMSFYLNEAACEVRDMLMPDLLKESEKAKL TRV_06567 MFSPTPGSTGPQSSFGGSFADEGRARGGTEEPPHHKNVLNINAE AKRGGRASPLPQAVQGAQAQIIDPATESGIKSELGRVFSGIGSGVGVSSSASLGGSGP STPLSSSPFKRNHGDRPMNSDLNGEGSFGAGKGTASAPGSVRRGRKVKEEETREDGEG IGAAARGGVARRGRHVHHHHHHHGGHQHRADDDPSLFTGGQGPQLAAPFRPQSRTGDG PTVSGAVGASHHHHHHHHHHHGPRATVPGSGIPHHHHPTPPAVPLKEYNTTVNLEPLL RSVAHLPRYHLGSTLYAPRIEMPSSRAPPEASKFGYTSTPVPIPRFDGKENCTFTIRV PRFRIDASHREEICARRALWGTGVYTDDSDPVAAAIHSGFIRGEWAEDVDVSMLNLEL KDNRKSSSTTVTANVNGTAANGKTTPSSNRTPSVHSNSTRSDPTSTAQSEAPQPPPTA ADSVEGKQLPPIPPPDKDLHIKLLILPTLERYESSVMYGLKSRSWGKNHDGMSFKVEE ISWVDEGASKGEERGGEARRKRLRNMMRTGRICTPAGLKGRKGVELHLSSNRDPDTTM KDADEPPTIQTSTSTAVEPAS TRV_06568 MSGEIDRFRVLGHEIHIVVIGVGEAEIETVNFGCDGGGGGGDDC VPGYHVDRVAGLEVPVDLGRDY TRV_06569 MENKNEKKRKEKKAEEEKEFNRRKKKGEGEAEGKKEKQSLQTAL KIFRPEAALVGKHAQEHIQLPGFPMDPSQSAYGLRHSTYGRPREAHSSSLPSRHYPPS SIPASHAQQPHFDPIASSRRESGSHFSRPAPPPTALAYPYSQDNNPHSHTQHQYQHQH QHQHQHQHHLQQQQHAPRPRPDAPTREQAAGKLEVEEPKGPPNGSFLPLACSRDGGYL DVHCIAGKAIAAGFLLALLALERQE TRV_06570 MLPLPCAPDSSWSRFRQQLAAVFNGVDPRVFTAFWLFGLINNVL YVIILSAALDLVGPSVPKGVVLLADVVPSFFTKLCAPYFIHIVPYPIRILIFVALSTL GMFLVALSPAYDATTANSSITAKMVGVMLASLSSGGGELSFLGLTHFFGPFSLAAWGS GTGAAGLVGAGAYALATTSFGFSVKTTLLASALLPAVMVISFFGILPRGPMLQIRNGY QTIQEVERGELRRDDVRRPLDDERSSHTNDGSDGLLADTDNVDDLKHAPPQDKGLSWQ LFKANLNRAKSLFFPFMFPLLLVYIAEYTINQGVAPTLLFPLQESPFKHFRAFYPTYN AIYQVGVFISRSSTPFFRIHDLYLPSFLQVFNLGLLTLHALFNFIPNVYIVFLIVFWE GLLGGLVYVNTFAEITDRVPKEEREFSLGATTVSDSAGICIAGMLSMVFEVWLCNWQV SHGRDYCKKT TRV_06571 MSGSFHMREHVKSFDFMTKESHFKGFCAQDGKLEAEVEPAAILE LVRRYVEVMIDHVLGRPSTQFRKIQVFAVVAFWLLYLLKGNKNGPPGVRTLSSLLHRR MTPWQATVMTMLTLYVSRNFAKLVGLESPEPLANLYSRSYFRATWIVTALDAGFWTAM NIRRKWLRDLASLVFSIYYLFAAEQADEKVRKVRATLTVEHLRVSWNKLATPYLSFLS RLLRPRFCRRDPVAIRIPRPRESSYKDPVDAWLYFDGPLSSLRDQTDIVLDIPGGGFV AMGPRVSDDRLLAWAGKTGVPILSLDYKKAPEYPYPYALNECYDVYHTIVMSRGRCLG LSGKTCPRIIVTGDSAGGSLAAGLTLMILQSGSTDSRKWRGEYCLPVPVGLVLVYPNL DLNIGSWMTDEELSLIRERGMRKTNRNILRRKSEDYYKLTPSTPRPSDDDLADDTTSH SKSSTKEGSKHISDVPVGSSTVATDMACQSGDASLASKMEGLAEKKPKKLQTRLAVTS MISYVNDRILTPEMMRAMIILYIGPYNRPDFSTDFLLSPMLAPEALLAQFPKTYFMTG ERDPLVDDTVIFAGRLRQAKLHRFRERKELGLEKPGKRFDEKEHVEVTLIPGISHGFM SIVGVFPEGWKHVFRTARWVTDIFNSTDPSSSDEAREFKASLPQQRRVMPSLNPSLPT VDVETGKQSDGSTRHRHHERHLTSESSGDEDGPLEISMLKMTKISPSPPASQSNFGAS ATSPLKLKAPAPKRNIRRPNAAKLSLTPLPAKKEEILRSSDDVSHSENDLVRMRQMIS GLRREASLTSLPSHEDLLNRRMDGLAGGLMGIGEEAKTP TRV_06572 MENKQYLDFTAGIAVTSLGHSDPGLSQVLSEQSEILIHASNLFH NKHTGKLSQALVSTTLTSGAMRTATQAFICNSGTEANEAALKFARKVGHSLDTTGAKH EIVSFQGSFHGRTFGALSATPNPKYQAPFAPMVPGFKYGKYNDIEQLPTLITDKTCGV IVEPIQGEGGVNVASAEFLTALRARCDQVGAVLIFDEIQCGLSRTGSLWAHAHPSLRP KDGSKPAHPDILTTAKALGNGFPIGATIISSDTVGKYIKVGDHGTTFGGSPLACAVGN HVLTRLANKDLQDSVNSRSQTLIKGLKRLQERYPDAVSEIRGRGLILGLQLTAPYISK VSDILGSARDKGLLIISAGEGCIRFVPPLVITDAEIEVGLGILDEAMSEVVNKA TRV_06573 MFGLRAASRASHLACRRGAVRSLATEAKLAPDFVRIVEVSPRDG LQNEKKSIPLETKLELISRLAGTGLQTIEAGSFVPAKWVPQMASTADILSHLTKTPPS APHPISYNYLVPNVKGLETLVKVLEKETAALPEQARPTELPEISLFAAATEAFSKANT NCTIEESLARIGPIVSLAKEKNIRVRGYVSVALGCPYEGPDVDPHKVAEITASLLEMG ADEVSVADTTGMGTAPRTQQLLRTLSAAGIATNDLALHFHDTYGQALVNTIVGLEHGI RTFDSSVGGLGGCPYSKGATGNVSTEDLIHTIHSLGMKTGVSLEAVAKIGAWISAELG RENDSRAGKATVSRLQG TRV_06574 MLKNRRDYIDSAADRGEIREKAEDDEEEEEEEEAEERERERVRA EMADNKDGNDAPAVPQTNGTRVPKKRFVGRKTAEAQAQRTGGEDVEQSHTTAIQTAST RRGPRALNQIPAAILEDEDIKAAISLLPHNYSFEIPKTIHRIRSSGAKRVALQFPEGL LLFATTISDILNQFCPGIETVIMGDVTYGACCIDDYTARALGCDLLVHYAHSCLIPVD VTKIKTLYIFVDIGIDTPHLIDTLKRNFTSGQRIAVVGTIQFNATLHGLKPVLEKEGF NITVPQIMPLSKGEILGCTSPRMSSEAVDLLLYLGDGRFHLESAMIHNPSIPAYRYDP YSRTLTRERYDHEEMQDLRRKAIDVAKSAKRWGIILGSLGRQGNPNTMKMIEEHLNEK GIPYVNLLLSEIFPGKLASMPDVDCWVQIACPRLSIDWGYAFPKPLLTPYEALIALGI KEDWNKANGGIYPMDFYAKEGLGRIKPGTTVASLAG TRV_06575 MPSLSSYTPFESLLFFQSLATLKSRPDSFAPVSELLRNNPFIQQ DVKYDANRLSPQALEELYTTLLVDGVRSSDDEASDHSHSAQETANLKKRKHAQAAQDG TARSHSAAMPDLVDKLYARYKERVTREIRREEQRYAEIKDEIRRLEAGEDLQKQPVTA DGSNVASSTGAAATPPPKPEAAREKVPGRIPQEAVQAPAQTPTTAGTPQGVRPVQPTV GPPAVTAPQEAPIPSTYNFKPVPLANQAQQQQQQQQQQPPQASPPVSTPGANIYPNIQ PFPPPAHPTSTSAASQPAYQNVPPPMPLNRATQQPSLQPPPPPNTTWNRTPQIPPKTV PQTVKFQPLLTPQTIPQSSYGHGSARSTPKPSNQARNKATPTPKPLAPNVDKPSIPPT QNPPPSAPPAIAPPPAGGVSIPTPLPTTTQPTVTPDAAALEKLKTQLPSFAQSIGKRP PRPSLVTPSGNTPWKIPGPISLPNEPGSPERPKSADISPISERALSPSGQEDVEQWTG QAARRKLDLGGAAPRESPKHAGDASSPSNPPSGRPRTRGQSIASRGEDTRHIKNELPS TPVGITGDDMDSGHYRAKRKRSPSGSFSLAHDQRPSDWLKDSQYVMCSRTFGRTCGPI MNDVAAHKYASIFAKPLTNRDAPGYKDLIYRPQDIKSIKSAIHQGSRAVAAALEASQT TEETPPSTTASASASAAAAGAAASGAGPSAKSNVLLATKSAELMPPKGIVNSSQLEKE LIRMFANAIMFNPTPDHTFGPAFPMRTDSSSREGTRSAEPDEGGIINDTLEMYEDVEK AISTWRSAERPMDDAGGKAFLALKRGTPVDSNDADD TRV_06576 MSQERTDVELDKEWKPNGRRPQSSVFLLPFHFIHSPISSLSKFE PFFVDLFRCRTMARSLAAALDNAFMLDSEVDNLTNSIHYKQTLVSMQSRELEALEARL RATENRLRMQKGEAPLEPVKVNDGANNDNVTSQNGSLKGRSPLEVSTTDKERGLPPLS TPNQSDDGFTTNASTSPATTDQDDEAADHAGVHGRGDAQGHSEGKQGWS TRV_06577 MLSSRVAQASLRASAQQFSRRSVVNGARTYAAAAQDPKPPVALF GVDGTYANALYTASAKTGSLEQTSKALNALAEVFKKDAKLGPILHTPTLTAQDKSQIV QELQKLTGKNELLGNFFVTLAENNRLGVLQGVCEKFETLMGAYRGEIELNITSAQKLD QKTIQRLETAIAKSEYSQGKKLKVVTKVDPEIVGGLIVEFDGRTIDLSVSSKLTKLNK ALTDAL TRV_06578 MAPPLTDLSSTNRNSRTRGPFRPRAANKGSGSYQLRQFAEATLG SGSLRKAVKLPDGEDVNEWLAVNLVDFYNQINLLYGSITEFCSPQTCPEMKATDEFEY LWQDNENFKRPTKMSAPEYVEHLMTWVQANIDNEQMFPSHIGVPFPKTFPSLLRQLFK RMYRVYAHIYCHHYPVVVHLGLEPHLNTSFKHYVLFIEEHNLASGKDFWGPLGDLVDS MLKSD TRV_06579 MLSDIQVFVRWKEQTIFAGEDVECTITFKNVTEEEAGNEVVGTT KHYRGGSRPINTVTDGTNYSPAKSLNPFSFNNPPRRPQSSGYRPRHPHHRASASVGSS PILSQSFPPTTPSRTHGASNTPGHSHKRSVSIISLETDISHDRKQLQSPKSPQPGRHS NLSLPSTKDEADPSRYPINNSPAARRSPMRRAPRKMSAFHGDFKFPQAPPSPENKTNP STQPAPEGQYTEPPTKATIPDHINVTAANGKLTADQPRCLTPATKLSAVSAMEGSTRS STEFYSLSNNSTETLDSDYKLASMNRGLPRHRRHQSNLEPTRNGRSNESQTLLMGYAQ INASFTVDGSLLDQSIFEEVKRKGVVGHHGSNERSPRQSKPRSGFWGNIGLSSLGNSL ASLTSTGELDGLREMRGASSSNSIPLLSTPQSLLFVDLRLAPGQEKTFSFSFTLPRGL PSSHKGKAIKISYNLVIGTQKAAAIKGNQRLHKINVPFRVLSGVDAQGGVLGHDLMQP YVVLRDEARVQSIDSSAPRPPAKEKSISAKAWTSAPQFLSYVDEILKQKDRQDSLVSP ISPGPPHLHHEAPFSCKDAIDLAILRSNQSTASDQSVNRFEISRSGRRVAVIVLNRPS HRIGETIVATADFTNAAIHCHSLRGSLETHETINPEIALRSAASITRATRKVHAMCFE NTLFASRVAFTPAIPVSATPTLITSGVNVEWQLRFEFVTSSLPDSTENAHTSASGIGL LEPVEHDERGTVFAAAEHISCESFEVSIPITVYGGTVQEPSREELQGIPI TRV_06580 MSSQPLTAANIFAVLRHLRSLCHPTRPVTTASFASSIASQIIPS QAYNNYTSTHYTPLSIPKNGALKQLHFVASYSTAPPAKTEKVTAEDTSLSKSTKPKPK KPLPAWAVQKNALKEKFKEGWKPRKKVSPDTMESIRKLHSMDSVKFSTKNLAEEFKIS PEAIRRILKSKWRATEAEEIDRRNRWEKRKIRIQEQMMELGLRHTDPTSKGDPSAEEV LSQRHYSSNSIEDLSGEYPSQNRRREGIPQKRIVPEDNSSRRFDPWEVTADDLLGTKR DYAGDNCAKEDSSKVRRSSTQRHPRRIEYNERSYKEKPDW TRV_06581 MSSLRNTIKPAMSEPAGTSPMEGAYKQHTHTPHLESDYSYDSED STDINGARREVDRSNLPGGNRSLAGISIRSFLLGQAAGLCSLLTLILAYHSHPLWRAP FFITCLAVFHFLEFYITAAYSTSFATVSAFLLSSNGAAYNIAHSSAMAECLLSHLLFP EGYLQWTSMLFGGVRVQVFVGLMMVVVGQIIRSFAMVQAGSNFTHTVQTQRRDEHVLV KSGLYSILRHPSYFGFFWWGLGTQLVLGNFVCFIGYALVLWKFFSSRIYREEKLLIGF FGNDYVEYKSKSWVGIPFIG TRV_06582 MLSVFNTAAVTIVNYESAYDPHSPNLQRRGIGREDWAKAVVNGA DEKSPRWRHLLLLGGLLLGFEGQNRRGLSYALRKKLEAALVTGIQLALDELRSSPAIA AYTIVLVLNYTFELLSDWERSRINYDVLLPVLADAAFMSPEGLESGYFLGSIDRGIEE IPGQGKFCWKEDSPSYYQAKDILMRPLVASFGPLSRLIAHAVENTSNCGQVIQVLDSL FELSRTLMVQWRQNKLSEIDQSEESEFLDTASLQTTVPTLWKLLNIPLFSFIIVLRAI LGRVLNDPILAADRSSPFIASKSLKSLRHLAFITARAGYSSSSQYVFVNLTAIDILAQ YPDLSEDFLEEIRPSDSSKIPAHPLDRCLDLFFLNTAEHFSLIVSPMLNERLLLSAAQ PYLAAGGNNHLLEIFESAHSVVLAVLAAPQSANMAAKHLPSYVDTLFTVFPQNLSARQ FRLAFKTILKITAPPSPLANSQPYLPSVLLQLLYDRAISAPTTPLLPPATDSNPNPAP EDLSEQGVLTITIIDGLPYLRVELLEDWLDLTVDLINRIHDQEIRRKCQEKFWDTSSN GDMDVERANFCVTWWSTRSGRDMLLRKSEEEDTQLYSMSGGVAMQLIQSKL TRV_06583 MNVIQRASSLSADIATFLPLSAQYLPEKLEDDYRPGAKKPREDL HTISGFSLLIHISKSGQGGAADAQPETRDLRAELLKAEAAHFAKLKGGPGPSNADAEP SIPKRQLESAPEQDDIADEDPEAKRRRILEETRDIDADSDGGDSDSSEEDSDDEEDET AELMRELEKIKRERAEQREREEQEQAAKEQEKREIEIARGNPLLNPQDFNIKRRWDDD VIFKNQARGTENKGDKEFVN TRV_06548 MLPVNETYGPWPSSGEIDLVETRGNDVDYPAGGRDIMSSSLHWG PTPETDSFWRSTRGRALRRTDFSKGFHTFGIEWSKDYLFTYIDSPLQQVLFWSFDKDQ TMWQKGHYEGVAVNSSLVKDPWSQTGNPNTPFDQPFFLILNVAVGSTNGWFPDGKGGK PWTDVGQAASDFYSST TRV_06549 MPGREMEKAALQDPFSSSVATSVRTASYHSQPSDRQPGRRQQFK SYRLNGEYERPWLGDSRLKRSRVGSYIIWGFIGLGLALSAYINFTVTQKVSKHQAGYI HTYMFITCLIAV TRV_06550 MFWKRSKTDKQQQQSAAPSPPRQSGLSSVPESYSRPISHSGTQT PVGIDDDAKYKAIIKYLHARLATSKWCPPPSDPNSEYHGLLLRKSRGVYISEPDLVHP LLLGAVQKINVAVAFTMATEITRIIFSILQPDQTELILPSGFQVQVVESLAEIACSPS SAVKKFQYVALVREERLLLIWHDELEKILIHAEDVEEKLLAFSPSYSLPHSSIGSPAA STRNFVPLWNKEASGLANSPLGIAVEEAAKPIESLDRPLALTSSIFVGLGMCLIVVLL VGFGVSNLMLQPLVDGSWLRFALVASLPIFMLFSVFFVIVIFSDIFQAIGPIKTLKTN SRFYSPVRPDLTQAYALGFKPPRITIQLPVYTESLTGVIIPTVTSLKAAISYYESHGG TATIFVNDDGLAYLTEEQREERISFYHDNNIGWVSRPKNNQDGYIRKGRFKKASNMNF ALNVSNKVEDKLLEMLAETLETTEMIDASQEEAYYKLALQEVLASDSRIKAAGDIRIG EAILIVDADTRVNSQPVDCLLYGAAEMFLSPEVAIIQHSTGVMQVVGDYFENGITFFT NLIYSSIRFAVGSGETAPFVGHNAFLRWKGKPDDGYVAYWSESHVSEDFDIALRLQIA GDIVRLASYHGDEFKEGVSLTIYDELARWEKYAYGCNELVFNPIYTWIYKGPFTKLFM TFLWCNMQLSSKITILGYISSYYALASGFPLTILNYFLVGWFNGYLDKFYIESWKVLL SLIVVFSLLGNVTLAIIRSRLSEKSLWSALVENFKWMPMMAIFFGGVSFHLSLALLSH MFSIKMEWGATAKEKVDSNFFKEIPKIFKSFKWMYAVLIPLIGGMIYLGNFAPRGWEI KEVAAVVPMAVTLSLHALLPLLLNPSLMIFNY TRV_06551 MLINLSAVWAAFALSGVLALPTWPSSVDELEDLMFLNTGYHARG FSAGVTPCSFSQQGPSRVASAEWVRTAFHDMATGSSFTGVGGLDASIVFELGGKGGEN IGAGFNTTLETYTPLFSTRSSMADLIALGVYTAVRSCGGPVVQVRTGRIDATARGPIG VPQPENSQGTFINQFTRMGFNVSDMIAVTACGHTMGGVHASNFPQIVVPGSTPNDFQL FDSTVAFDEKVAADFVGGVGGNPLTSTTAKRNQRDADTKVFTADRNVTIKALADQATF RSTCARVLQKMIEVVPSGVNLTAPIAPYEVKPGRLQLSLAGNGSVIAFTGEIRVRTTS RPITSISKVELVYKDRTGGSSRGSCVITAEYKGTAEGFDDSFAFYGFDAIFPVETAIS KFNVRVVLNNGETVLYDNNGFGYPVQDTIMLQSKQSCVVGGNVPGNLVVVAATTVGPY TLYAGNLTLAAAYRSNAKFDVSFTSGGAVISDSFKNTADLGSTCAPFGSTDPTMPDYT FDGCYTDTPESRALTSAAFVNQNMTVAACSSLCKGYQFFGLEYGTECYCGDTRSNSSM QAPKSECNQPCGGDSSETCGAGYRIAIYKDDKWVPITSPQIPGYNYTGCYSDSPSNRT LSGSFTYNEKMTVELCASFCNGTKYFGVEYFSECYCGANTFPGSTIQPESDCGFFCSG NKTQHCGGSNRINIYTKLDM TRV_06552 MPVDEDTKDRGTITVFTGAQRPDLLALLQKEDCPLIFMWPEFLD GAITTQRYFNRLFDFPQLAKCQLVAVHTLDGTETIVGNGNCIPFFWRELAGIRGDSKG SDFARVLRTLPDGGFDTILARGVHQVIAREDTNKKLEPVALTHDQVKDMDSWTLTEPP NALSALAIAVLPAWRSYNVAEMLIKAMEEVALAQNLAILVVPLRPTRKADFPHVDLAE YLGWSKQTGGQNGGQNGKPSTAATELTTSRETGGCNGSSETASAAGNEDSAAFIPFDP WLRKHVRLGARMIKIARQSMYIRGSAAEWKNWCDLDVRQQAEKAMKQRKSVWVEPGDT EAPVIFTPRGCLAPMQYYPSRDVGEYCEPNVWLFHSLD TRV_06554 MAVKAKAKKYKKEEEEKGEDRKEEEKEAEEKAPFSNLWRIFSYG TKADLALMGLAFFCSAGAGIALPLMNIVFGALITDFNNFFLPDSTVSPQQFKAAVNKN VYVSVSNLHTILYEYADMLSLFIVYLFIGNGIRISANLRLAYLTALFRQPVSYLDAMP IGRPTDTITASSNLIQAGISDRLAVLVQSAALIVAAYAVAFSRSWSLTLVSSSCLLFI LLIYTVVIPFYLRLFKAIEAANEGATAVAGEALSSIRTVVACGAQASLVNRHAVHIAE ARRKGLQVSPIVGLQLGPTNFAMYCNFALTFWFGVKQFTAGNVSDAGPVATVIFSVII VVSAVSFIANPIIALSKAIAASARYFSVIDAPPVKTEGIKDVDLASCGELAFKDVTFS YPTRPGVTILDNLSLVFPTGKVTALVGPSGCGKSTIIALLERWYQLSDQLDRSKVKET KEKEKEKEKDSEIKDEKYQADKEERKENAGFIMIGSHCIDELDLKWWRSQIGLVQQEP FSFNTSIFRNVAFGLVGSQWENEPEDVQRQLVIEACREAFADEFIEKLPEKYDTMIGE NGIKLSGGQRQRLAIARSIIKRPSILILDEATSAIDVRGERIVQEALDRVSKGRTTIT IAHRLSTIKKADKIIVLRKGTAVESGTHEELLAQEGLYHSLVHNQQLDMEDDSNNETV QAEVETGKDGPQLTMSKTKSTVEEGDLEQGLTLPEEPPKELSLFDSVGVLLWEQRKYW ILYVGVLIGAAGCGAGYSIQSYLFSQLITVFQLTGSRLVERTNFWSLMFFVLALAVAF FYFVLGWNSMSISTYVSTTYRQEYFDSMIRKPIAFFDKDQNSAGSLTSRISSDSTQLQ ELLGPTMAFPIISVFNVMGCIAISFAFGWKLILVAIFSAFPLIIIAMFVRVRYEVQFD KMNAAVFEESSQFASEAFGAFRTVTSLTLESSIADRYSDLLKNHVQSAFVKARVAALV FAASDSMELPCMALCFWYGGQLLSTHEYSVLQFFVIYIAVVLGGQAAGHFGSISPNLA QAKAAANRIMSIRPAPDDNKSSAVLDQCEGGIEIEFKSVNFRYPSRDVPIFKSLSFTV GKGQFAALVGPSG TRV_06555 MINRLLPRVRALPRLSSASSTRLFSSSASRHATWGFIGLGQMGY PMARNLRAKIPASDTLFVCDANPETTARFTAETENVKVASGPRELAEQSDTVVTSLPE PQHVKSVFHVILKDGLPKLAQDRLFIDCSTIDPSSSREVAAAVQSTGSGRFVDAPMSG GVVGATAGTLTFMLGAPSDVPGLVERAEAALLLMGKKVWHLGDQGAGLSGKLANNYLL AVANIATAEAMNLGIRWGLQPAVLGQMINSSTGRCWSSEVNNPAPGVIEGSPASRGYT GGFGVSLMKKDLRLAVEAARESGTALELADIVQQVYEATEKEHRGKDFSVVYQYLTSK SK TRV_06556 MAYVVPIHGASSIRHALRAKFISPDEDCLVVAKANRLELYTQSA DGLVLQHSKAIYGRVTLLKKLPRSTAGGLALTDALFVGTDQYAYFSITWDPVHEQLRT ERKYIDLADGSLREAHSDDRCQIDPSGSFLTLEVYEGVVSIFPLVTADSHKRAKSAVS ASASSASTSVEQLGEPLQVRIEELMVRSSAFLDQEASNTPRFALLYEDTQGKVKLKLR DLKYTHAIITGDPGSAAELKDVTTLSDEVDLGASILIPVPRPLGGLLIIGESSIKYVD VSRNETISRPLAESTVFVAWEQVDGQRWLLADDYGRLFFLMLVLDAENAVDTWKVDFL GVTSRASVLVYLDGGIVFVGSHQGDSQVIQIKEGGFDLVQTISNIAPILDFTVMDMGD RSGATREFSSGQTRIVTGSGAFGDGSLRSVRSGVGIEELGVLASMEHITDLWTLRSAC PEPFLDTLLVSFVNETRVFHFSADGDVEEKEDGFLGLVFSQSTLLATNIPGNRIIQVT ESTSRAIDVDSGMIIWRSSYEEFTITSASANDDYLVLVLGGIRLVCMSLSTFELVGSR DFEADNQVSGMTIPASPTQACIVCLPQSAEIIILDLPELEVKNKQALGEPGEAIPRSV IVAEILPNKPPTLFVSMADGTVFSFSFDVHAFSLFNSSKITLGSEQPSFKELPRGNGQ YNVFATCDHPSLIHASEGRIVYSAVDSASASRICSLNTQAYPGSIALSSQHELKIAIV DEERTTQIHTLPMHASVRRLAYSPMEKAFGLGTVKRKISNGVEEVSSSFVLADEMLFR PLSTYDLRPDELVECVIRSQLNYGKDEVGNSISKDLFFVGTAFLDDVGDDHIRGRILI FEVNRSRELSLIVEKSLMGACRTLAVMDHTLLVAGLVKSVSVFKLARDRFGNILLEKH TAYRTSTAPIDISVVGDTVAVADVMKSMSLVQYTQPEEGEQEPKFEEVARHYQTLWST AVAPIEENVYLLADAEGNLVVLQQNITGVTESDRKRLQPTSEIRLGEMLFLHEHY TRV_06539 MDANNIPPYSLGKQTTPHFPPMLNTRSGNEPYERPLTPPQTQAG FISPINTPQGSPSKNKVPPGATGLVNVFDNAMRLTPSSPSKSGFGKSPLSPGRGQVDD LTAYNEGREESVRPGSPTRLSNKENAPIPGLRSGKEANTLSHAAMSRREQYQTGDRME AGLRKPQAQIRGLTAEELEKLQQPKVKRLANVTQLYFLDHYFNLLSYVQNRQIRSAQF AAAYPPPPETDEAEYEVARHKYLGRERANLRKRRTRLRHGDFQILTQVGQGGYGQVYL AQKKDTREVCALKVMSKKLLFKMDEIRHILTERDILTTAKSEWLVKLLYAFQDDTQIY LAMEYVPGGDFRTLLNNTGVLHNRHARFYIAEMVACVDALHVLGYIHRDLKPENFLID STGHVKLTDFGLAAGMLNPTKIESMRLKLEEVGKTAVPFGRPMEQRSAAERREGYRSL RKLDVNYAKSIVGSPDYMAPEVLSGEEYDFTVDYWSLGCMLFEALTGYPPFAGAIVDE TWQNLKNWKDVLRKPQYEDPNYYLSRRTWDFITRLVASKEHRFKNVTEIHNHDYFAEV NFNTLREEQKAPFVPDLDSETDAGYFDDFGNEADMAKYKEVHDKQKALEDMADRDEKM NKSVFVGFTFRYGIAL TRV_06540 MEEFFAQRDEEGILKSRDYFNTLIKEEIDKGIKPSRIVFGGFSQ GGAMALVTGFASPVKLGGIFGLSCYLPLSSEQLKKHIPEGWPNQKTPLFMGHGDIDQV VKHQYGEKTASILKDMGVDVDFKTYHGLGHSGDPDEIQDLEKFLDRIIPAEGTAASSE L TRV_06541 MSCLPLISGLQGWKKKEQNFPRLAISLIFVTNILLIKGPHGFGH VQREGWTETRKTDDVKEEEEENTWERQRKK TRV_06542 MKLLAILPALLPLVAAHFNVEAPPTRGGSEDTQPMFPCGGPTEP SRERVKIPLDDPKLAIAMEMGHDQAAVQVLLGLGSNPGSNFNISVVKTFRQVGLGAFC LPEVSFSEDVVGFKPKDGMEATIQVLSNGDPKGGLFHCIDAVYTMSARYVKPESCKNG TGVEAMPFSGEAANRNANESTPNGQPQGGSSGGSGGSGGSGGQSPSPTGNAAPLQTAA VWGVLGAAVAGGVALL TRV_06543 MAHSHGSSDPNMAVAMVFQNIPATPLYTLSWTPRTSGAYAGTCI FLIVLGVVARVLLTAKSIMDRRFLAAARERRYVIVQGRRASISPPGSPTSPTEPESER SSEDADEKKTGRLISAHGVEENVRVVNATAGPPVMPWRFSVDLPRAAMVTLNAGVGYL LYAIPLLHFPCGGVSYTNTEIACWL TRV_06544 MAREYSSATLSPLKMGRWELQPPPLGRVSVVLANFIAVMVFCFY KLNTLDKWSWETVGYRTGFMTVAQLPLILLLAGKRNIIGFLTGSSHERLNWLHRWTAR TLWMSATVHMGFWFRSWGRFNYIATKVRTDPLTQRGIAAWSILTFILFATLSPVRRWS YELFFVSHVVTYAGFIAAAWLHAEPEVKMWVWVSIALVVFDRVARWAMMVWSNLAIFS RSSSRSNSPSSSLWANYATFAPLAGNVTRVTIRNPVISWKPGQHVFLFVPALAPFQSH PFTISSLPSDNKIEFLIRAESGATRAILNHAGKWNTTIDSDSTRLVALDGPYGAIRPL RQFDSVVFFAGSMGVTFTMPLLRDIVEGWKGECNGGSVPITKHIHFVWAIRSHSHISW FQKELESLMRDVEDCRATNSKFRPTFEISIYLTSDPHLSATSPSAHGTGLHIQRTPST ASDSSQTTSLSPLVSWEKGLSSKSPVCLRTGRPNIDNIILSTLEVAEGESAVVVCGPS GLSTDVRRNVVSLSDERAVHKGTGAQGIYLHVEEFGF TRV_06545 MGSIGYQDTGYRRHDPNVMPSLAPSLPRYAPDHVAEENRYEVVI AGAGPAGMLLKLLLSRFGLGEKSVLCIESRDTILRAGQADGIQPRTMEVLKSLGLMHE ISTDGCPVWEVAFWNPVQQKGGEGEPNTGSRGIERTAIVDDVALAARYPHEATTHQGK VERILEDDLLRYSGGVRRSTTLLDVQIDEEGDPAFPVIAEIESPTGGRRTIRSKYLVG ADGAHSTVRRCMGLKLEGEMTDHIWGVIDLVVDTDFPDVRRQTAVHSDAGSIMIIPRE RSMTGAFITRLYVQVPGDVKVDEADIAGTTKAQGFKKGYDDPRARRGQVTVESIMKQA QEAIKPYYIKQREGTKVEWWTAYQIGQRVSDHFLVRDANGKHRVFIVGDACHTHSPKR LYPNMSQAGQGMNVSMMDSFNLAWKLAYSVNGLSPVSHKSASSPDTILDTYETERRTI AQQLIEFDRSFSSMFSGKIGTASAAGSKLTHDQFLEVFRTGNGFTSGCGIEYPESILV DKSIDHNVIRGTDYLAGILRPGRRLLNVKLKRHADGCHVDLHDEIPATGAFRVLCLTS GDLLDPNGMSSQTLTGLCEMVKRVSSMYSSGNILQLITIYPRALVGLTPTSPPKAPLP TSSFVWSDVPSSLHEVAEMNVYCSAVASEDAYQLYGVSEDEGAIAVIRPDGYVGGVAC LDETGVDKIGRFLEGCIWLGQEHNK TRV_06546 MEYHSVPLEERAKDEKGNTLPWGYVYKDESRNPRRPPEETGPFG KRRNTRYGSTRSATRTGTPAKRENPNVAEFGRLFSLQQEEEAQQKLLAANNTTSNDAA TSRTPGDSVATECILYGYKDKGVEWKVIDKFERISLGYICEDYSRTDPEINPKYPQLL SGGDVVIRPKLSADANRKSKRYAGGAHWIKVTFDSFAAADRACHFSPQEIDGCLVHCE MYHGHGPQEDAPILKATLEQQSRLNSIYPSLKPSTSASLLQSNEYDRSSLPRSFSYTQ SNSFEAPASVQSTATASSATVMGQNNIDADTLRQRPGRSQPEPEAEAKPAKSEFMTHI PTVRRAVVRPASEALPPQPSMTERVLRSIPILSWFTGDIVGDGPALREDGSFDDQKSN LYWRFWHMVDMVLGTDLCGLREEI TRV_06547 MWLVREHSNLKAFTAYPNVKRASTAPFPNDMRKVALISDPSRLV PIASATLLKTRCSDPALKPLETLYMAGLGISKKSDWPRNEYVAETDESDSVRMDIGDD VIAMDSDEVDEHFESIYDLSSSSDSSDMRL TRV_06514 SIEVLDSIRSIKYHNTSPAIMAAEKALVPVNSPVKLTVREKLDL LRAGLGLLATGIAAAVTGLVRQQDGARSYRTHIRHAVVRRFLWRMSIRQLHFIYPSTA QNYETFSKKRRLKPDTVQLEHGAQGHWIGKKDAKNVVVYFHGGGFALPAYLAYFQLYA DMLKKLNAAGKDVAFFFLSYTLTPEGVYPTQLRQAVEALRYITVETGREPQNVLIGGD SAGGNLTLGVLSHLSHPHEAIKPLELSGPLGGAFTMAPWVSMDKDYPSYTSNAKKDYL SMKSGEYWGKAYIGDGKLDNYIQANQAPVEWWKDVKTKALLVFIGGDDVLVDAVGQFV DKNKSAIPNLKYVVCEGEPHVGPIFNRIMGAKKETGMGSTLRLWLMEKLP TRV_06515 MANFASSKQELLNIHNAFYQGQYQEVVDFDTSSFSSENTLAARV LKLRAQIALGQSNEVLKSLGAKRDTPELDAVAALAEHVSGNEEEALKLAEDLAAKHED NAAVQVLAGQVLHAQDKTAEALALLSKHSGNLEAVALIVQIHLQQNRSDLALKEVQAA RRWAQDSLLVNLAESWVGMRVGGEKYQAAFYVYEELASVPSTTSALSIVGQAVSELHL GRIPEAEAALQSALQKYPEDPQVLANSIVLNAISGKDKEELTERLSKVQPDHAFLTDL KEKSDLFDTAAAKYSVKA TRV_06516 MVPSVGDVAKAGVLRVKRIEERLGIPVEGVSKTEDALSSSASAP PAFQTSAKEKVLPDSHIEAEGIGFDSAVIGVDGSKLGHDVADEDDDNMTSRIITSLLQ PDRDSSQFPGVWSGTTGLGGITCPQLQAFLPPYSRAVELVDYYQEHVGWAYCLLHMPT LRRYLLETYQQLGAGYTPNLPILALICAVFALAKFFSQSTSAFSTSDTSRDKSHREYI GMASQALAEAKHLEHPTVESIQTGTLIGICLLVNTGAIRSARALAGSMYMSAQALGLH QLDSAKNKRLRQKGPYDKLDLEIKRRLWWHIASTDWVYAFISGPQLGVYIVQPDQMHV DLPSNADDHDITPTTCPNKPLTEPTEVTYLILRCKLVRLFVDFMESANREGVGIHELE YDQILAFDKKINKFLAALPYFFQVETEGEGFAELEKKREELDKQRPYMKWQRLMAQFG ACTRITRLHRPYLALGARDPRYAYSRMACIKSARVVLEIERRMRNSVGPSTPSPFKVW AIAYQLFLATTVLAMDYHFNRNEPRSEERAEEVLECCRALEAAAQSSVVAARGLKKLK EVAAKWGLLSIINLESKPAASQTRNEPMVASKESAPIEYPGYPQDGNSLQNTEVGSLG GLWGNVWEYNSATDYTQWDGIFQDLESNHGMF TRV_06517 MRVFRRHSGSASASITSIGQMEAETVGRLSFDEISNGGLLSAEP GHGLFGVVSGSGEGESQLPARRQADIAGKVGLPWG TRV_06518 MEGEDTNTSQGDKAPVLLSNLSLLSSSAKAAKKYREESSDRRTA LDEVTPVGRLDGQDSRASRSGEGIGSSYDGVVETAIPIRPEDAFQLSSSAKAAKTSRE GNISRREALGRLEGRHQGEGTDLGASTGRSSYDATAHTAITIKPTDAFQLSSSAKAAK KSRQEQAERRRDQEVDE TRV_06519 MARKRRLMRREITFSSASKKETDILHALSFYPQSVTFFTRLQTN LSLIQQAAAYHLRLSPEACFVPSDFNDWHWGSFNVCIPIIVAGSRRALIRFPLPHRVG ELFRRGNADEKIRCEAGTYAWLQENCPSVPIPKLHGFALSTGQTFTAIENLPIIRRYI EHIRRFALGLLAYPLPSTYVPRGRTGTQSLAYAVGAGYILIDYIEEAEGTMLSRTWED RRSDARLRSNLYRGLSRILLDIARIPLPRIGSFIIDDHGYIQLSNRPFTLEVADMENC NIPLNMPREVTFSSADSYLASAISLHDNRLRHQPNGAIDRQDCIDQMSALTMFRVVAP RLFRPELSHGPFVYMLNDLHRSNILVDKDWNIKYVIDLEWACAKPIEMLHPPEWLTSQ AVDEINDDAYNTQRLEFMSVLQEEEQRMCGGSDSISKTMHQGWSNGTFWYSLALQSPT GIFSIFYDRIQPQFERSHATDPNFYRISYPYFTTDAHAFIAHKLQQRADYDRQLRTEF DMPQLPCI TRV_06520 MGPLGDNLKVTLYNLEVDFTSCLLPLGCLNEDFSRPIPREQDIS GITMAFYYANALHKHNWLGGGAAAYVYHVSPTIAVKTIRLDLSDREKESLEEHQLTKD IAFYKLLNERQDRCPHIVECFLILPDHLFLSFCSSGAIGKRYYERQERENDHLFGRLL RLTSALEYVEKMGYCHNDLRPGNCLLDARLNLKLTDFGCATTIGQYLEYTGAPWAARL SGGPLQGTFGLCSARTEQFALGSMVYFLVYGHEPHEEKNLEKPELIRRFDKMELPELD SHEVFDGLIWGCWYNVYPTMALAAYDFKRKTKDIVSVVGRKEDEPEAMTINDRAKETR ICEGLVRKGILGAELAFRFQPLWWRYLHATRSRFISQWHFLMGLLGNIRRWLPL TRV_06521 MKDGQRNQDKQEKEREQNKLTPPQSHRRASFVQPGRAFDGEDLS AAASGGSYIEVGLHRLTATPHSLRQQLDLDQLRARKKPKDKDPRTKDRPKMRQGHVYI CRWMEMGPFSTHPDTPGQADRQTKQTSIEQASRDLSNQPTNRPSVSQSVHLLRRQSKR EKEGVVKRASSALAGLLHHLEYPPSSNRRSKQVLTLSRLNQRRKRPPGDAHNLPSSKF QLGRKAKKQDSQPTRRISRFISPTDAPCPWGLLAGEDGYQRVTNKQPSIESTDAESCI VIVTPHPGHPRPSSYHLHPPWPAQVARTGNILEIRSFHELAR TRV_06522 MKQGRSKEEADVHLTSTVDFLFSFFSLLLFFSSPCDRSCTSSSS SSSSSLLPRPLSLPFFVPFSCVSGISRDTTLPRPSPPLPSRRNPIHRERKTMDEKTDM APAYDNGPHKAVDVKNAAYGEAADLYGDAEAAERYGYVARGLKSRHIQFIALGGTIGT GLFLGIGRALTQGGPLSLLIGYTFVGLAIFAMMMSLGEMATWLPLPGAIPQFCARYVD ASVGFAVGWNVSLSPASLITLNAAAVIIQYWPGAQDVNVAAWIGLVIAIIVFLNVWAV SVYGEAEFIFASIKIITIVGLLLLALIIDLGGSPTGDRIGFRYWKNPGAMNQYFGTGD KGRFLGFFSTLVNAAFSFGGVEAVACAAGEAENPRKNIPKAVKRVFWRILFFYVLGAL FLGMLVPYNDKNLLTAQKNNEPGAAASPWVIAIRRASIPVLPSIINAVILTSATSSGN AFLYTGSRYLYGLAQNRQAPRFLLHCTKQGVPIYAVGVTASISLLTFMAATTGSAQVF IWFQNLTTVASLFNWVSVLVAYIRFHAALKAQGVERNTLLLKSPFQPYLAWVALIFFS IIIFFNGFDTFKPFRFQSFFTAYIGIALYFALLIFWKVFKRTRWIPSAEADIFTGKAA MDAVEWPEQIPRNIFEKIWFWIA TRV_06523 MELTKFKRLIRKFRSLTLRSSYHPRPEDEPTKKGPAESCQYSGF STLPAELLENIVSFLEPEDLLSLRLTCNTCYEQTQLYFAALLTEMKLGFSEKSLSKLE AISQDSRFNRRIQSLRMSTDRSSGVLGGGISWERDLEGYLAIPQPAVQRIRDILLSLV SLQSLELTNYTEQYSTEDRIEPCEAIRILTYIIAETGLPLNSLAIVYRLSPVMDDSYM GIEDLEKPGFLSSMSHIQSLSLECDKQAVNLDWLGEIIQAATSLKSLKFVSYSGSEQG LDNRVWDSIDRCAPLEKIILQNAGWPAPEPCIQFLRQYRSTLRTLCLQSSYLETPGWS AVLAELRDNFPVLTNFKVFMLRQPPGDYRYSVDFYNIPEVPGSACVELTSFTDIIVDQ PAPRKYGTELLYCYKRPRHGPIVVGVKYSGPDMKLVLQEMINYVRVTPLFTPRVTRP TRV_06524 MVRALNRAFPFDMSGDSHASGEGKSTNKNGGNKGKQNSPPPTRP TPTSTSRPTLSKTTSTSPPTSTSTSALVRLWSSIPDADTVRINSVMGRKTDPGVTARL RATSLYGCTAIVDVDELGMVLGHIAQEGKGNNIYTLESIPQVGEYLTTFVEHGGLVFD FGRETHAIIYYSRGKASFTGGRIDIGLNCIKNYLVTLGVQEANVHFSQYTSGGPTVGP RSKLVVESVYNNNARRTNVYIAKEDPIWQRDFTKPLRPARFNFQPGPPLPRLSHNDQV AFERHCDFAAG TRV_06525 MSSTRTISEAKLGFLRDQIRVLSAPLSPSPDWREYGPAAEDDIG EKAISEALQKFNTLLKQHNRAVFSTQAIHHVSQQIERLYWNSISPEFGQEGSRQPDID KGADLTNARYKFLRERLVKLDAERKKREDKLLQYKHLKSLLEPFEDPLNTIQPNLATR DGELGAELDRMRMLLAKVASKVGTIQNDTQNSKKKEEDAAIMDTDDKLARLLDMT TRV_06526 MSRNLRSHFDEDAVTNEDLISFREKILGIPRSEQPAIPRPEPKE EEEDFSAINAAIAAFSMSVNQGSPSIANNTNANNTSSAAASSSSASGASTSGLFTNLF DSSNTANASGNSPGMSAPRHSNSAPPSPAMMNGTPAMPAMNASFPMNAGHQMDLNHLY AMVNELSEVLKNNRDLTSGIIKSAEDIARRAAAEGTTPNLQEVNGEISATRIAELERA LAREKRRVETLRHEQVENMKLIAEYENAVGTMVEQIRNYCCNNEGHFLSQKRRYNDLL QAERDAHLASRLDRDYWHAQTMKCAEMIRTAYRLRCEEEELPLRIVAGLQNEVRAYRN ALGMDPEKPEEEWGWEILKDAPPGVE TRV_06527 MEKRPRALLFDVGGVCVISPFQAILDYEKANKIPIGWINYSIQH TSPDGAWHRLERGEVPLDAAWFKSFNSDFRHLHLWKKFLEQSSTRPAGENDKKIPDMP SVDAEYLYWEMMRVAQSPDPYMFPALQKFKDSGLFIMGALSNTTIIPEETSKLDKTSD HAGEQVKRFFDFFISSAHTGLRKPDPRIYELALREINDARKAKGIGGGDIRAEEVVFL DDIGVNLKWARKAGMGTIKVDLGRTREAVKELERRTGLTLLESKPMI TRV_06528 MPFTKLVKNRPYFSRFQTKFKRRRQGKTDYYARKRLITQAKNKY NSPKYRLVVRFTNRDIITQIVTAEISGDKVLACAYSHELKRYGIKNGLTNWSAAYATG LLLARRTLKKLGLDEDFTGVEEPDGEFTLTEAAETDEGTRRPFKAVLDVGLHRTSTGA RVFAAMKGASDGGIFVPHSESRFPGYDIESKELDTETLRKYIFGGHVAEYMEGLADDD EERYQGQFCKYLENDLDAGELEEMYANAHAAIREDPFKKDEDAGPKKSKEEWKAESLK YKTPKLSREEKRARVEAKIRELA TRV_06529 MEGGAEAVDVSWLHHSQRADTAGRSKNTPSRSSQQKLNEQQPGT DSTATQNDATASTTNGQNGQASASAPKPVPTSSLSKPLPLPSESAENETSKQQSLSPP NQNQNQIQAQAQGQGTGPEYTPAPNITTSAIALTGTTQTTVTSTNASTRTPPKPVPRR NSWISTLSSKFSSGSTPPSQSHMRESPSTGRQQQPSDSINPFGAAYSPKDADKSGEGS SSFTSGSPRSGHPSFFHNAFRKLSSSGGASLGKLSSNYAPNGGVWPRRVMNVDADRDR CKIPELNQAKLRRVAFCVDVEIAGTQRRSEGDEERSTKKKPDPKAVEAEEGTVLKHAA GHAPLPTSSPPSDAPVSNGAVQPPDSHPIDDEKSKPPPTKKQEKKKRSEEERKERKEK KRRQAEENGSIPLQVNLEGGSNSTSKTNSIRRSSRGQDQPTTDPLRIYRRCCQLRETG VLKKLVEQISSPSSILAESPGTVAVLDLTGFPMTLTDIITFSDWLSIVPVRKLILQDC GLSDEAVRVILGGLLATKTIEAARQARQLKHRNVGSELAKEIRYGAIEKLSLKGNSKI GPEGWRHISLFIHMSRSLRGIDLSGIPLPRPTPPTNGPASPISRPSKPVPDIGTIFAN ALAQRFGGERLEELVLSECSPSTEDVQKISDAARSMGLRRLGLANNDLTKEGLEYVIN YFQGGKCEGLDLGGNKLEAHIGLFSSALDKTFPLSALSLADCSLTPKTLCSLMQGLTL LPNFRFIDLSHNKDLFITQPDSLGILRRYLPQMKELRRIHLADVCLSSEHAIALAEIL PDCPKLCHINILENPAIQDLASASTPEAQEEACALYASFMSAARISRNIIAVDIDVPT PENNEVVKALASQIVAYSLRNLQYGELKEELSSSADTQAAVKDVPVPDILEHLVGHAD DANGEIDDSKVTSDYDYVIGGTGVVKALGICLGNSDYTAVEDVLGDQSPTASGTSTPY RRLSHVRVTKKPRDMSRDLLNSARKIRLRLRPALVREDRAGNDLNYRRLHFLDMTLQR MIQRFEDEFPDTRIPDETSTTRSSDGSPNLSNVNDSTILEPVGSSANGNTTAEADGED EDVDRYAVRLSRTSSNTSLHSRALTSEEGKVHRLSHHFSHSLLGRSDKDKSKDADQES SEKPISQSPPDAVQVQALREKLERLRALQGEDTDTNVHSEDPSSSSRPILHDGASNLE ELLTLQKQDPEAFAELKESHIVALINAGLRNPDDA TRV_06530 MAVLSHPAGHGLEWSIKDLVSLLTKKYVKHRTGISRAVYLTLFL ALAKRIHNAIAEQRSASEIQAGNRAGTRKLNGEDSTGAGGDGGGPPRKRVGLNREFLR NLLRLLKIVIPGWKSKELRLLISHSIFLVLRTLLSLYVAELDGKLVSSLVRGKGREFL LSLAWWMTVAVPATFTNSMLSYHQCQLALQYRKRLTDYIHNQYLSNMNFYALSALDDR IKNPDQLITVDISRFSNSLAELYSNLAKPILDMAIYNYSLSKNVGGEGLFIMALLVQL SANAMRALTPPFGKYVAEEAKLEGEFRFQHTRLIDYSEEVALYHGHESERDTLDKGYF TLIKHVNRILRRRLYHGFMEDFVIKYFWGALGLILCSVPVFFKIPSQITSTMGDRTES FVTNRRILLSSSDAFGRVMFSYKEISQLAGHTSRVASLLEVMDDITAGRFQKKLVSSV STDANAAVLGNRGTIVESDSIEFTDVPIISPNGDVLVEKLTFTVHPGEHLLIVGPNGC GKSSLFRILGGLWPVYGGTVKKPSFEDIFYIPQRPYLSRGTLRQQVIYPDGLKEMHEK GVTDSDLFDILSIVEISSIVDRPGGWDAEEEWRDVLSGGLQQRIAMARLFYHKPKYAI LDECTSSVTLEIEKVMYETAKELGVTLMTVSHRRSLWKYHKKILQFDGQGNCIFTGLD WERRLKLEDEKEDIDLQLRAVPELERRVKELTTE TRV_06531 MLLMEPVSMLTTALVALLGYFIVQTIYRLYFHPLSKFPGPKIAA AGRFYEFYFDIVKGGMYIWEIQRMHEQYGPIVRVNHRELHIKDPDYYAEVYSSRKQEK DFHAVSAYGMTHSMITTLDHDHHRFRRGLLNSFFSKRAVTSLEPMVLEKVNRAAERIE EAFNQNELVPFDKVFAAVTADIISKYSYGQSVDYLENKDYQNDFRDFGNVASNLSHIF TFLPGMMGVVKVIPEAWLQAVQPQAVGFFSMRNLVRDQSLAMLKETHRPGYKSPDGAD RNIFHALCDPAVPDKEKEIERLTEEGLGVLAAGTETTARTLTVGTYYLYHDESVLHKL RQELKEVMPKPDSPITWAQLEKLPYLNGVINESLRLSHTLVMRLPRIAKDQSLAYGEY VIPPGTPVSMISYFIHLDPKIFPDPQRFDPERWIRATEKGERLSRYNVSFTKGNRICL GMNLAYIELYHMFATMVRRFDMDLHNTTFDNIRVDREFGPGLPEGKNVIEGYAKVTTV LTE TRV_06532 MDLEFDENGFYILLSDRGDSWRFHWGLYLAKTKTSGIVYHLVND TPSTDWRLEVKESSNVLQSNKLLGALKIGIIEPMLHEMLGQCLMEIPIEYSTRFKENI TCRVWLKEAVHELNERGLLNIHESVDSIEFEANSIALSSKATKKKSVQLSMGTCP TRV_06533 MESAEDVVATALDTVKSAALTPTEHLLLKRFLDKAQDSSCAAIY LLRKVEENPSRSVEANLREFKKDWRRLVTKCKAPVDNTIQIRS TRV_06534 MYTRNPSLYPLPSEELLQIHSKIATALHLFSVEDDISLGWLRSP YTQISKRFYPYRGSLVQQLPFGLYMKNCHRARYNEVKALQIVEQHTSIPAPLFIDTFG HQGKVMLVMTRVRGQQLQEVFYRLSYPERAQLSADLHSAIKQLRAIPNTTPHRFANVL GGPLLDVRLPDSHDRARGPFDNELDFHDALIHKFIFAETKQAVAHVHSRSYRSFFSHA DLNFYNIIIERGKLSGIVDWECAGYYPEYWEFTKGIYIIEGAADREKIIRDAFPDEDY QDELEAEKVLWFAAPPWV TRV_06535 MNADTSGDRLVVEWNKTQQRQTFKDQKRVYYGDLSLEFLMGRAL DNAMLNVGLKDLAKDGLGDLGFRVEDIIKQENDAALGNGGLGRLAACFLDSLASLNYP AWGYGLRYRYGIFKQEIVNGYQIEVPDYWLDFNPWEFPRHDVTVDIQFYGWVRKYQDE NGKTVHSWQDGEIVQAVAYDMPIPGYQTPTTNNLRLWSSKAASGEFDFQRFNAGDYES AVADEQRAETISAVLYPNDNLDRGKELRLKQQYFWCAASLFDIVRRYKKTKRPWSEFS DQVAIQLNDTHPTLAIVELQRILVDEEGLDWDEAWRLVSNTFGYTNHTVLPEALEKWS VPLMQNLLPRHLQIIYEINMAFLQHVERKFPKDHDLLSRVSVIEETQPKMVRMAHIAI IGSHKVNGVAELHSDLIKSTIFKDFVTIYGPDKFGNVTNGITPRRWLHQANRRLSDLI ASKLGGHEFLKNLTLLDKLEGFIDDKEFKTEWAAIKTANKERLAKHILETTGVKVNPK ALFDIQVKRFHEYKRQQLNILGVIHRYLRIKAMSPEERSKLAPRVSIFGGKAAPGYWM AKTIIHLINSVGAVVNNDPDVGDLLKVIFIEDYNVSKAEIICPASDISEHISTAGTEA SGTSNMKFVLNGGLIIGTCDGANIEITREIGENNIFLFGNLAEDVEDLRHAHVYNPSS ITLDPSLSAVFDAIRANTFGDANSFSAIIDSITQHGDYYLVSDDFNSYVKTHDIIDEA FKDKDGWVEKSILSVARMGFFSSDRSIAEYAEGIWNIEPLDVTK TRV_06536 MLAGQLLVGCCEIYLADKEDGRRPVEGCPASSTAIWNPETANPP SISLSISVHPVYPVYLMVICACQPARLFPACDFKRASHSSSSSFSFPSSSSPSSSSSR LCDFCVFSSSLPLCPHASNYITNQLLHQTPQLHPTSPQTELEEKRLKSREQRKMAPGI DTSHQPRERRPSVGAPHSDLQGPVGPGFSRPKHKRTFTGFGPKDIKSVEASIPEPQRA A TRV_06537 MFQPLHLPCFFLASAKKKKRTHPLFLLLLLLLLLLLNFLLSPLF FYRDDVREPRLSLSLITYLSLSVCLDFDILSSICDVLLNYRFSLRPDFSLQLI TRV_06538 MFDVGGQRSERKKWIHCFENVTSIIFCVALSEYDQVLLEESNQN RMMESLVLFDSVVNSRWFMRTSIVLFLNKVDLFRQKLGRSPLNKYFPDYSGGNDVNRA AKYLLWRFNQVNRAHLNLYPQTPEYYNLVPLPLFYPTTTTTTTITTSRFYFMRYHLHT HDFLTSTGVHCCSVCSSLLVCFTAVFYLFFFPSLFFFTLSSTKRDDTVPARRPLFFYS IPFPFSISFISSVIFISSASFFAFLFRFHIFSSHSPYDAARPPKHCTISIRFLSLSLS PPLKKDASPFLGSSLLLLTFLTTFLLFG TRV_06503 MDDHHSTYIPFEGLDTYKPVKEPDTLPPQFTSRPPLSDPSDPVD RDASSVIILNPLLSSKPTSLRKIKSIGGDLDEMLINLDVSLSAGMFKRASLLLRRLAA AYPQESPELLDLHNKYLRSMITYMIVNRQPDLVWYAQRWYEVDMGLLSVKPDAITLAW MLRMSLRMLAGPKRERTVRRYWQSAVSRGIHEDILQSSILPESELGLLSEICFHVSES SAGNVEPDLAGPDMELDLKPKVTDESVLPPNEILNTDQKGLGLESLRESLSLFNPSTE FTPKKLWGRTPEEREQNRKRLRQEQLERDSLTSAIERWRKESEGVIGQRRQVEAKLNA FMYEWYQGVLGKIKEELELAKRSESKKKLTPEESERCEYIPFLLAIGPSKLAAVTILS VLGSIGSSGMDKGIKLSSLFTNIGRAVKDEYIIETTRRSAVEEAQSENEVYYLNKTFR QMKVYNSTGKFRSYMQKHTKDQPPVHWPASTEIKIGALLSSMLFSTAEIPTSHKDPVT EKVTYAKEPAFQHVYQLQKGHNVGYVQLHEKVVERLRKEPSGNLLAKHLPMVSTPRPW VSNNQGGFLTQPTQVVRTRHDDAQSQYLQAASDRGDLDELYKGLTILGKTGWKINRPV FDVMLEAWNSGEEVANIVAANLNLAELEKPDADDHEAVKAYYRAKTRADNKKMGNHSQ RCYLNFQMEIARAYLNESFYLPHNMDFRGRAYPLPPYFNQMGADNCRGLLLFSEGRVL GESGLRWLRIHLSNVFGFDKASFQEREQFAIDHVDDILDSANNGLKGKRWWLQAADPF QCLAACVELKNALSLPDPTQYVSHLPVHQDGSCNGLQHYAALGGDAIGARQVNLEPGD RPSDIYTAVAEHVKASIASDAKAGDPIAKLMDGKITRKVVKQTVMTNVYGVTFIGATR QVRRQIEDHYPELAESDEISKCAVHVSRAIFSALNSMFSGAHDIQFWLGDCANRVTRS LNPSQIEEIRKELDSPSKTDLSKKLKFNNSVIWTTPLKLPVVQPYREAKARQIPTSLQ LCTIKDIRGANEVNRRKQLQAFPPNFIHSLDATHMMLSAIKCDELGLTFSAVHDSFWT HAGDIDKMNRVLRDAFVRMHSDDIVGRLAAEFNARYSNNLYLAQVRPNTPLAKKIKAL RAAKGRSGKQDKVYELLEEYKRIELLKSEDPAEQEQGRNMTTPGSLFAATEGADDTLV SVQSLGVAGMGHVPPPEEQKALEDAMTAESSSSPVDAVPEIPEVKGPQECEADAELST KPKKKSKAQAASVWVWLPLTFREVPKKGEFDVRRLKESQYFFS TRV_06504 MPPNNHLTEAADTQEDDDPITASYDIFITDSQIRRLLLQYPDRP ADQPYNDSIGQKPLEFRLKPKTGLVELDIPIDTQVNYDEAKGLRYGNALAKSRITQEN GSHGMAGGFNVNGGGIGKFKTEGTGADDVNVYMDMDDEDRKAGVKMTTQVLGGRIKKP VDGDPVYMLGAFRDNELHLAPLEAVVQLRPQLHHIDAYDEVSVKSKAMAKAKKDMDED STSRNAAMEARAIDMKVKSAETEGARAVGNNELLLKLFQDEKWEKYRWIDENDQESWD KYDQYMFNEGLEEPVQLQSAITADDYLDSMSAPRVDPTRPEMTGWAMKRRQQIRRASS SHKKGGKPQAENDGDDDGDIAMT TRV_06505 MRLSGGKVSRSTLLLCFLFICLALFAGHTMAQDNKNPAPGNNPA QQPNNAPPPPPAKTDNPPNTPASNPAPAPTENKPNDDNKPDNTDNAPKPSPPANTDDK KPGETVNLPTLGSSTESEPSTTKESQSSTSISLPSNSDSDSGPGFSLPTLTGIPQIPT ATIPPKKNAPYLQHSNLPEGTVFIGVGAGLGLIFVAVFAWRALVAWSINRSVRRAATA HQTDAAAALLNPAKRKSKAYRQSRGGSMSLEKLHSGNTSSNRHSAIHRKSQPPNSSLF FSPTAGASNLHAAGNRASGYLPAGYYAASGATPGSGAGLQHSPSSIGLAPLGPQSQGY SRTRSTGPTPPDSPALSPNARRYEQTHPSTSSVNLSSPPQGRAPSAYLEDLFENHPTG PQR TRV_06506 MHSETHLRSSSSRPTGKHSKQASHKVAAANIYTSSSFKTQSSQL QSGRSLLSSVSTELSSCPSDFYILVSQPGVHILDFSNRRSAPRLRERVLQQDDLIKSS FSVSEVVGGFDAASLQKQLEKECKAETIVVNGDVLPSGYKHRPQVIMVEFPALPTDIS RSSQLVENGLSQQHYGFLATLIDLLPSSNYTVLYTTTPRGTEPIADADIGHFEMGGST LQNPLTFKREFQGRSSHDDKPKNKKKGSLFTDYQFLSPGLFMGLITTVILGTILYVGL SALNSLQVSYASFDKENGPAGAAGKKQQ TRV_06507 MRPPTKKRKIAAPAQPEEISFNPDSRAEFLTGFHKRKVQRAKKA QEAAERRAKEERRETRKKIRQERKAEFEEALKRHRAEMEALNGFPATGEESGSGSEQG GDDDEEEEWGGIVEPPPVDYEAEYIDEDKYTTVTVEEIEATREGLVPRRELNEKKDDE DEGSGDEEEEEEEDGAKEKDDGMSKKKKIWTKDNPNKDVKKKRKRKRDFKYESPLERK LNRAKERGRKKKQAEARKAKKG TRV_06508 MFTACLPLPRGTSLAWGEKEHPERPPSSTNRAPSSLHHDTHANH DLPWQKIENMRQEIDDGLSKIEEQKARIKELEQENLAKEQEVTSLTHRNQLLEQRVET LEVTLKEAKTKASEAAQDSSQNEALQRRIQLMEEEAEQTDKTLRETNEKLRQTDVKAG HFERKVQALEATREQWETKYEEMSKQYAAVKEELKELERSISDV TRV_06509 MLSIRGQGQENLVNAHQTAAASKPLNQGMRHLLPKTPGKLPPKT PFRLPLHDENRPLTFGKGLGAGIGKSVVLKGQDENAALQGKGKGAEKKALATPAGTIK QRSAKRGSTRKVKKAAPLPEQAAQEKTVTVEEEEEPEREIEYMPPKPKRESIWSALPD DDGYITYDTTFPHFKGNNFARGWEKLYEDTSVGEDGLTAKQREEKELDDAYNKHIEEL IQAQIDSIGTLELEGEGDDAKAEAEESRPVSRATTKSQRSERTVSTLRSKSAAQALAL DPKESVRTRSSARLAAKAAAKAATATTTTTTKQKKTTEPTNPSSMRHTAAVASSRSTL GYSKGREALAALREVTDQPKSSSKTNRSTNNAGGQENETDHLSPELYMQLYGPPAFGT EMWSRCKIAGYFDDEVKTTEELLGIDSTNVDDAFVEDEESANFQLLI TRV_06510 MAKKSVNQEPAPSTTAVTAEGFEFVETPAASCVSPNAEPCGVRT TEYPAIKNAPLPADAAGSDSFSNTLLISLLVLIPAYLARQVSGGLWTTLFLAIFTTIP ILMAYWTVASTISPRKNEKAKYPGRPVEYYLNFLSEHDRAKYHGKSKIPMETFHEMYF DGQVDFKGDALEMLEYRHDWAQFKFTWSLYKYFLTGMMPEVIMHTRSQDEEQVRGHYD RGDDFYGWFLGPRMIYTSGIISNINEEETLEQLQDNKLAVVCEKIGLKPGDTMLDLGC GWGTLAKYASVHYGAHVTGITLGRNQTAWGNNGLRKAGIEESQSRILCMDYRDAPSVP GGYKKITCLEMAEHVGVRHFSTFLRQVYDMLDDDGVFFLQIAGLRKSWQYEDLIWGLF MNKYIFPGADASTPLGFVTERLEGAGFEIKGIDTVGVHYSATLWRWYRNWMANREKVE AKYGKRWFRRIANVKQIWEYFLAYSTITSRQGGATCWQITMVKNINSTHRIEGVPTQF GLAGAREASIQNVGGGKLLTAVVEKE TRV_06511 MPYPSPYPPIDIPVVDLWKFLFENEKREFPDDKVIYQDADTLRS YTYAEIKSTAITFGTGLRASFDWKKGDVLALFAPNDIDIPPVLWGTHWAGGVVTPANP AYTADELAFQLKKTRARFLVTHMSCLDVAVKAAAKVGLPDDCIVLLGTERHPTLKYKH FSSVRNLSGATRYRRARIDPKKDLAFLVFSSGTTGVPKGVMLSHYNIVSNILQLKAGE EGNLTCNGGPDGKGDRMLAFLPFFHVYGNELPLIRATVICINLADLPQD TRV_06512 MNSGAAPLTSELLEAMHSRIKIGAKQGYGLSECSPTTHTLSWKD WHRKVGSVGKLLPNMEVKYMTNSEDGSEPVEVPAGQTGEVYLRGPNVFSGYLDNPTAT AGCLSADGWFCTGDVGHQDEEGNLYITDRVKELIKYKGFQVAPAELEGYLASHPDVDD AAVIGIESEQHGSEVPRAYIVLKPGVQRGDKTAENIASWLAGKVAPYKRLRGGVIFID SIPKSQSGKILRRVLKDMIKKENSKAKAKL TRV_06513 SSHSIFRKIEGARIQKSKEKIATRKRYVVCMNDPVENYDFISLS IPMISYAPKLTLFPVSSLASGQELEARGPSAWTVFPSPGASFRASFRIPVLDSFLIIS NFAAALLQFLCGRRGVLLDAANSIKVTSHDVFVSSLARPDGGESLDGWSIDLVPPCNP FRRPLLPPDRRKTELQKHLYSSALVRSVMENERQIILAPSRRWMKYIRLTEALFAQDT PRGRRDSARTKS TRV_06499 MKFQAVLVFFAGALALAAPIEERDLPVVGTLGLSSLLTWWHFCL YQKSAKGAVSKHRTNLQIVTFADRLFKAGKVAPSAVDNLPAGGLVKGLPLAGGLLGGG AVLKSYLASVISYRTLVGVKQNKHLLTAKTVTYSKSVNGIMSDFAGKVMDSRASLLVI PFFSVYGELLKHSAM TRV_06500 MSASAAEPEMGECQGGAPGQMEDIEKLPQDSPSAGREEPTSIFR NLGILDRYLALWIFLAMAIGIILGNFVDNIGRSLQKGALVGVSVPILRFESLHQVFRT REIWIQMAFSIFINWIIAPFLMCVCLLTALAQVLIWTGLAGGDNEYCAILVAINSLLQ MVLFAPLALLFIKIISHSEGTIPLSYPVVAKSVAVFLGIPLGAAIVTRFTLRKTAGPV WYDNTFIKWLSPWSLIGLLFIILALFASQGKQVVDQIVSVVRVAAPLLVYFVIVFFST LYITYRLGFGYRLSTTQSFTAASNNFELAIAVAIATFGADSSQALASTVGPLIEVPVL LGLVYVARFVAKRVNWKP TRV_06501 MPPTLCFNCKAARAVIIRPKNRHKLCKDCFLTIFETETHQTITN GSLFVPGERVAIGASGGKDSTVLASVLKTLNERYNYGLDLVLLSIDEGIKGYRDDSLE TVKRNAVQYEMPLEIVSYGELYGWTMDQVVAEIGKKGNCTYCGVFRRQALDRGAAKLN IKHVVTGHNADDVAETVMMNLLRGDLPRLARSTSIITGSAASDIKRSKPLKYAYEKEI VLYAHHKKLDYFSTECIYSPEAFRGSARTLIKDLERIRPSAILDIVRSGEDMAKLVPT EVCGGTGCSSIKSNGVDELSTGGCGSQNGRSSGGEMADMEKKLTEDEDAKSREVEISL SSLPKKPGKSISTYPSVAIQSRDKKQKPLKTQTIGQCEKCGYMSSQKICKACMLLEGL NKSRPKTSVEFVGVEEEEGSSTLMRQMEGLQLSGS TRV_06502 MPTPTTILLPDGYTLNVTPVFGGFSFKAAKLNSSEGSLLPSGWS IVINSLREPKASKTEANSDDYFDETPKNEDKNPFEWESNRYTHPTLDSDYFFISSIHF ASSKAVVPKSIATCQLALILWSTLYWYFHQAAPSSRLTTKESAAVPERARPRGEWVVH IRHEGLLKGRNSLLKLERMGLVTTENSIVGLEDPNGPPEKLDMFVSRRSFWQIDPHIF LFSLTPDMTPKSESPSRSGTSSPADSYNTGGRGNRMSASPAPLGSFYSSGHVPSYFPP PPAQWVMLDGWLRHPIRQKPPRQGETFYIRHIPSLQKTLSFRVPSLFTTSPPDTEETA LGRYHRALCINHNNMLESIKPLVLKPRGSDLEFLHTILNTPKAKEIWGSGGPVNVQEE FIKARLTSKHLFPALGCWNGKPFGYFEIFWVKEGSVQRPLPVLVDDFDRGIRCTVLDE EDRRPHQTQVWLNALIHYCLLADNRTQAIAVGPRVDDEDLIEAGFYKEGEFALPQKQC AIMRLKRANWEAPTL TRV_06489 MVCWLDSSGLAPPLLQDETRYSDGATSCHVIDIICDHSSSAGVS RHRTSVSIRLDIVSSRTASNGYLSSGWVNVLQTFVKDCASSSTLLSAADNDDDDDDDG ASLSTKGWRCLVDGLFPASACQPYCTQSPGRKAREKQKEQFSLTNPSSHQPKPTPFCL SLA TRV_06490 MSRYGLLDALPMVDDDAAAAAQVSRFQKVTKKKQAKLHLA TRV_06491 MACDQKAASRAVARIIPAFLGGLIVYGCYSITKALCITFYVLLI FLVTTYLRLLITVIFFPGYLPRGPQWSGSSRQKKNKGGKGRHRPGSIDQEKPEAIPYA TLGEHNANDEKNVYPFDATGLEAFYMKDIFVCQLDGKPPWCSTCCQFKTDRSHHCSEV NRCVRKMDHFCPWVGGVVSETSFKFLIQFLFYAMLFVTFNGVVMAVFVAEHRKMVNIY TFDLYHVVYGD TRV_06492 MASQDIRSGARRSSRASGGRELKLPPSYTVDGRTYTAGPEMRPR SHTISAEASRIPLNPLSLPSSPSRQPVVTTIKPRSQTIYPTPSRDGGERYITPSSSHS RHRQASGPLGGEPDTIVPSGERELKYRNGYLTPAGNSGFPSPNRDSSYKDIDVHDSFS YTNAREQFYRDSDAIRESRRQVPAVRKARPLSLTGLEAYLPQVTRDPKAAGPPPSSRR FLAPEREDAPRNYREIKPRDLDADTARNNPTVPRASDNARQNSSLRNPVSLHQDRTTS PSPAPPAPRRSGYDNSVEKGYQIRRDANNNVIVDDKPETARRDRRLSVSGQTPNRDRG YSTGRAPDGMTGGGLATAGLASGYSNEPMDAHPRSEARSGRDRSDYSSRPEDKYKSSR NWPQDTNGGYYSDGARGNHQYKPSSSSRETERRPRAPSPVDSDSKRSINSSRPENYER AAQRESNSSSAKRSPPPASGTSPDTQAPRGILKQPTEKFPEEPHAVREGVAPLKDAPK KGVPAGARWTKIDRRLVSPSALEGRERFEERPDYVIVLRVLSREEIEEYALSSAKIRA TRTRRISDRKSRDEDDTRARGRDSDSDDEDDHSSSQLRLEPPPRDPRR TRV_06493 MQPYRPAYRNPSPDPDQDLAMLPPQNNYYSPVNPQPHPPQHRQQ QHHPHDEPYYSEDYVPQHPGRSDVTAGADNYGHAAAGGGMTGLASGVAAYPGHSRRHL HDSRAYEEMGYQETMGGSYDPAGYAHSRGYQDHHTNYTNNNNNNNTDYSNNNYSSNHS NNYSNNNYSNDRYNAYQDNTARYHYEDPYRRDESGLGAVHPESINPHDIVDDGDDGFI PEPKRRSLLSMGHNSSHNNLYPGVGAGAAASGSLPGSGARSPAGASGSGSGNGNGNGA GAGPDTPLYNLATGEKKVFVDEERSRKKRLWIIGIIVALVLAGAIAGGVTAGILANQK ASADPNRPGGSGSGTDDDKGDLGKDSKEIKALQSKDLHKVFPGMDYTPWGTQYPLCLK YPPSQNNVTRDIAVLSQLTNTVRLYGTDCNQTEMVLHAFERLELKQMKLWLGVWIDTN TTTNDRQIKQLYKILENTRDKSVFKGVIVGNEVLYRGKNDPSTLTQLMKYITGVKDEL ASLKLDLPIATSDLGDAWTQPLTKIVDVVMSNVHPFFAGVTADKGTGWAWSFWTEHDV SLTKGTNKKQIISEIGWPSGGGNNCGDSKCPDSKSGSVASVKDMNIFMENWVCQALSN GTDYFWFEAFDEPWKVTFNEPGKEWEDKWGLMDPARVLKPGIKIPDCGGKTVD TRV_06494 MAVCMYAKKLVFWAVALPFFFFYFYFFDFFMNMMTFRRFISSHL ISSRRLISSHLLVSSHRGDHDLRPPGV TRV_06495 MYAGAGRTRSAGTLGAREKVFFFFIFIFIFFSSSEFPFYIPIQP SIHIHILVSPLSAILVPVHDHVHVLKTQYRQHQQQQQPPTYTDRPAHVFNGSTYPAYP PASSATTTTTADKRAAEDDTPNHSSHHQQQFKDLPEGKRRKFILVEDPQRSCRVRVKV MLDQVDMKEIPDSYRKANSVFPRTYVPVHSPFAHHRRKGDRFMNDDDESQPAGRLVAG SSSADADEDVTAGKTSVPMAMLEGEEVLVPRLTRAKKEREELLNDMGYRMSWGQSRVF AGRMLFLQRSMDAYRNKMRNSMLTAGHETSEIAPYFETRVGKRRWLDRGRKADAKDKD STAATTAVSAVSAASPPAVLTTASSRSAEEVE TRV_06496 MEYTIRCDVENINIETLPQDFKTENCVYPRACCTKDQYRGNRLV YETECNAVGWALAELNPCLRGKRGLIQRAVDSWRNSHQDPRLRSRRVKRMAKANNRKA VAQHASHLSQHQQQQHPQQQQAQQQPLPGLPPHQPHESHAEDVNVSGMFQQM TRV_06497 MGPGEAAAEDVVVVAEDVAGGVVVGGVAAGEASGAWTRRRGSSS CPGSAEDAAVDVAGGGAAAAAEEDAAGVVVDVAGEAAAAAAGDAGSGGGGVHAEGWRL GVERAAMLVLLGDGGGRVVDGRVGPLHVRRRHDREMIERVERKWKKKWKKKQSGRRIR SGRLKEGETKEEKKGREQAGQGKGETVAAEEEEEAAGEGEVAFFFSWLLFIYFFCFFI FFFTFLLFYFLLLLFCFCLFYFYLLRTYENESTLDYSLLLLLSLYSFFGFYSTSTTLF SILYSLLPSIFSYLLNSFLLEKSESSSSLSPSSSSFSTTSTLLPNPTSSTLGNMSWQT RRCFLFRERVEEKKREDSKRESRVREDSRERKKKRRPLTTYFYFLYSLLYFLYLLYFY FSTVIS TRV_06498 CAAGGREWPAGERETRRDEMRLTARREKESEPMEEGEEEEGCDE GEKSPVEWEPTEESINEKKRERERNYPDPDPDPAGLAGPPLPPSPPCGRIFKPEWTAR EMRHEMKVLSKKLKVKHPGERLSDGGIRVVWAGMAREDKELSGFEEKVMFDASRCPLS FLFFSFLLFVSGRQQTSRQVSE TRV_06483 YPFGPLVGSADRGALQRINSTYRELGEIGGYHRYKARLPPLSRG TVVSLTKAKNFQPTNIYISSEKKREILNAASPVKQHKKKNSSPSKKYFFLTAAITPIE SFPCHIAPTVSFPLLNLKEDDFGI TRV_06484 MIILSENKGRYIFNDFFKAWVFNTDLQYSFKDTSSACRSVSVKC AMKVFYKHVANVQPLVNPDLGMPSVTSLEELRLPLHIYQCIKAVLEKSTRLLPPSGRK FGEWEIGLLDLESA TRV_06485 MNKDASSASSYRKWSPSSQAAQFTLLRLTPCGGHFKAFLPAVAK QLDATSAGDGIGIKTNLNLSPQAVHFLVHLADMEKSGSSLSLPVAGIQRRPVPPRAQP TPQDAPPDYTEPSTALSQEPSSPRGPVLFNSYLPPVNFAHYHIPGSSLSKDGLTITVA PSELSSNPEALTRFIVQQAALPPKPEVRIVGVRDITGVRKLDFDIRINMLNQIVRPPG PSSSWNYVKIVGNRSGGSPGGAVGDIATFADRFCRDPATNKTFILTREVINWNTQILE GRLRTLIASLNYQGRVMISFPSTHSRVVVPGPKTKNSFYTQIVSLFKDPKRYDMVRSI WPYANMAGSETSDDPRRVCAVQSENKWWDEWKMVIAHAIVDGRQGWVSLDDLIELAMS PVKELKPREQGENQEWNDQGSVF TRV_06486 MDSMLNLRSPFSWFSSAGKDDGNLPSVEIKPIQAHDIESAEEKP GRRLRQLLKLNHATNAILYNNLSFFNHIPHLLSTAYLLGGSSDHLDRLYEAESKEQEP WSASPSEIGQAEDWRLALGKREFQRAYVDFFEDELSRMGYDWNAVVLQYLCSEEKPLI NSLIAGFGHPLIHLGYAFEVSSREVAMESLAMVSCCYDDVHKLFDEPSDLQKSMAPKY TTSSPFEILNKIHRDKRFDGIFRSPGANNVEELLKTHQELILEHWMAWVPQDANLTEQ LHAMQRLATTLMATRHNGKQHDFFFDHLLTTTHALRVIIPLVPKKFHGPLLRQWFLLA VAIYIAQLRPKIDAKDIECYSIDGKDWAWVDKQALTREMAISPHYIKPLRTLKEAAKT WGDEEGFFLRAAVKFIETFDGFGGLG TRV_06487 MSVDTKKNYFGPRDDEDAEEYWTILLEAEELGDAEEWDKACEAQ ERAVKYAEEHYGDDLSAKSGLAYLYRQVSRHKDAEALDRYILSVRQQILGDDHEDTVA SLNNLAIDLKAQGEYDQSLELDKKALEIMLRIKGEDSQTTQTSMHNLANSYFNNKDYQ AALDLHQRALDLRTKTLGPDHFQTIMTMDLLGRDYGALDKLQEAVALQEKAVEAARTH LGPSNQTTIKCSLNLASTYGRLNQANAEETPGGTWESKGIKLLEGIVEMQRQGPGEEH SDTIAAMNNLSVAYFHAGRLEDAVPLMQKSVNWNMKKLGPNHPQTQAASGNLDYVCEK LGMTRASIF TRV_06488 LKRVTEDDTEDTSNDMFYSAGEEKGLEEGTDEGTDAESPSPPGE SDTEASTESRSEAEGSEDSDDSDDSLEDMISLAAPELPQQQSGAMSAFSSATPRLGGR SLGIHSPGSVFSSFTSNTARQGRHSGKTFKAECLLDHIPNELLHPKPREEDDPDAFIF VDVHTLVKIGCFSGDWVRVAPTEEPQRNMLSSLASSGLNGLMGSTEEPENWRVARVYG VTGLSPPKIRYAMNKSAERSSSISQIPPHGLTPTVLLPPLLLHNLQSPSYVKLSPLSL GPAQHGPSKLGLAASRGSSVPKAPPTAKEVTLLKISTPLSLDRALQPAIFAALKHHFE SKRRLVKAGDMIGISIDEGLGRTVFSATKSADAVGQEDELPSQLALSSGKPSHSSKVG VAWFRVSHVTAPMTSTEPDGAPDHELWGGVAAIDTLNTRMVQAGSEIGTVPGTLKNTW EYWLSVKSAPQPSIEQSATPWLQADSPAVFISPIQRRVRELIAAATSPRAIQLGLKPV VILLTSTQRNIGKSTVARRACADIGLHTFTIDSYDILSEGGANGGDMKTEAYLKARAD RAFACGSACTALLIEHIEVLTADRIVTSLKEIVSSSRVVIATTTDVEKVPEGIRSLFT HELEMTAPEEKEREGILRNAVADLALRLSPNVDLASVAVKTAALVAGDLVDVVERAVA ERTLRLEKLADSAAPPDAKSNVSVRDVQIAGGDATRSVTKADFDAAVDAARKNFADSI GAPKIPNVTWDDVGGLSNVKDAVMETIQLPLERPELFAKGMKKRSGILFYGPPGTGKT LLAKAIATEFSLNFFSVKGPELLNMYIGESEANVRRVFQRARDARPCVVFFDELDSVA PKRGNQGDSGGVMDRIVSQLLAELDGMSGGDENGGGVFVIGATNRPDLLDAALLRPGR FDKMLYLGVSDTHDKQLTILEALTRKFNLHPDLSLKRVAELLPFTYTGADLYALCSDA MLKAITRQATAVDERIKALPNGPVTTAYFFDHLATPEDTAVMVTEQDFIEAKNELVPS VSAKELEHFERVRKTFETVDSKKSSLSNEQKAIEDVSRDGVDITADGSLTIGEALNAS NKPTGDAKDTGEDDDYIVRTDHLASTESSK TRV_06469 MAPKGSKQRGGGAPRGNKKRGEGTSRRRDPMIDERQQPFQAVCL LPIANTLLIDYTLEFLSNAGIEEVLLYAGAHADMLETYLNGSKWKSDISPFTKVRLIR TAATTFGEVMRDLHEKHLMNGDFLLVNGDVIGNIPLEQALIEHRARRETNRNAIMTMI LREVGESNRVRKSADAPLFVIDPTKDRCLHYEELPYHSHDGYDLPSNLEIDPELLDAH SEIDVRNDLYDCRIDICTPEVLGLWADSFDYQSPRTHFLHGVLKDYELNGMTIHTHIL KDHYATRVQNLHAYDLVSRDVISRWTFPLCPDTNLFPGYTYTFKRNFVYQEQGVVLAR SATIHSRTVVGKDTTIGEGAVITNSVIGRRCKIGNNVVLDGAYIWDDVVVGEATEIRH AIVANGSVIGAKCRIEPGALLSYNVKISSGISIPESKSITTFQRDPDRKVPNDAKLVG KDGEGFEFVYEEDEDEEEIDFIPGLMYNMAELSLSDASISTLTSDKTEDGDFGFRSRS DSVSTTTSDDEGRDQFHHDAVSSVFDGLKEGLSAEVVQLELVGLRMSANASEHQVRRA VVMAFMKYIQHVTEAGTVGLADSVRELFKKYKDTIMRIVFDHDTDDKPDQVDLLLLFQ KDLTERNKGGNILLFTAKELYDLEIVDAEAFSQWWEDERSTATPAMESVRTQTKPFID WLEAESDDDDDDDDDDEEEDDDDDESES TRV_06470 MDSDDDFMTDGSSGQEFLDTQDSDNESLGGDFEEELGGSFNYEK DIKPVRQPYETDSTALSPNDITREQNVQINEVSSILGLPAESAAILLRFSRWNREKLI ESYMDHPEKTLEDAGLGPTFSSNPKTEVMPGFMCDICCEDGPDLQTYAMRCGHRFCVD CYSHYLGQKIKEEGEAARIECPQDQCHRIIDSKSLDLLVGEDVRDRYRTLLIRTYVDD MPNLKWCPAPNCEFAVRCGVKERDLDRIVPTVHCACSFAFCFGCDVGNHQPCPCALVK KWVKKCKDDSETANWISANTKECPKCHSTIEKNGGCNHMTCRKCKHEFCWMCLGPWSE HGTSWYNCNRFEEKGSSDARDTQTRSRQSLERYLHYYNRFANHEQSAKLDRDLFLKTE KMMVNLQSQSGLSWIEVQFLDTASRTLQECRQTLKWTYAFAFYLARNNLTEIFEDNQR DLEMAVENLSEMFEKPISELAGLKVDILDKTSYCNKRRVILLSDTAENLKAGMFTSTF TILPEILTPECCSR TRV_06471 MTIPFTAAQFMAYESTSKIMNPTKRYDPFTHCIAGGLAGAVAAA VTTPLDVIKTVLQTRGTAQDPEARTAKGLFNAAKLIKNQYGWAGFLRGMRPRIIATMP STAICWTSYEMAKAYFKRITSEESH TRV_06472 MAASVSAAAAVALDSSKNTLKLENTEKRDTLIALEKKYQAVWKE KKVFEVDAPSLSEIPAQSMTSAELHEKYPKFFGTMAFPYMNGTPHAGHSFTASKIEFM TGVARLEGKRALFPLGFHCTGMPIRACADKLVDDIKKFGKYFENYKEEEEVDATPAPT QEIAKEDLSKFSGKKSKAASKAVKLKYQFQIMMALGIPLEEVHKFADPEHWLQHFPPL WIRDLDSMGARVDWRRQMVTTDVNPYFDSFVRWQMIRLHEMGKILYGSRYTIYSPKDG QPCMDHDRLDGEGVGPQEYTAMKLRVKEWSPKAKEIVQRKIEEDANVYFVPATLRPET MYGQTCCFVGPAISYGIFKVKEKEYYVVTKRAAWNMAFQGIFFDVNNLPKSQDELPPV VEAPGSALIGTLVDAPLSFHTEGVRILPMETVSANKGTGVVSCVPSDSPDDFATISDL AKKADYYGIQKEWAELEIHPLIETPTYGNLTAPALVKQLKINSPKDTVQLAQAKDLAY TEGFYKGKMLVGEFKGEPVQTAKEKVRNSLIKSGDAFPFADPMGKVTSRSGDDCVVAY LGQWFLNYGENDPEWQSTTLKYVTDDLNTYSEETRHGFEKNLDWLNRWACARTYGLGS KLPWDPQFLVESLSDSTIYQCYYTISHLLHADRYGKEPGKLGIKPEQITNDVWDYIFA RRELDDDLVQKSGISKESLLTLRREFEYWYPLDVRISGKDLIQNHLTFFLYVHIALFP REYWPRGVRANGHLLLNGEKMSKSTGNFLTLRDAVDKYGADATRIAFADAGDAIEDAN FDETVANSNILRMYTLKEWIEETVADKSLRTGPTDAFLDKVFDNEMNALAREARKHYA DTNFKLALKSALYDFTSAKDFYREVTTASGIGMHRDLILRYVELQALLISPIAPHWAE HMWLDVLKKTDSVQNARFADVPEPVPGLSAALVYIRSTTSNITSSEAAFIKRISKGKN VKFDPRNPKKITIFVSRKFPAWQDKYIELVREAFDAVNLTIDDKGLNAKVGKLGEMKK AMPYVQTLKKRLIQGNEKPEDVFSREMPFDEVQVLHDITGNLKKVTGAKEVEIIAVEE GGNAGTNLEGVRKEGLSPVAQSAVPGQPSFNFENIEAAA TRV_06473 MTVKQLDDEAGPAILSIYSAKPADGSAAATTGTLDSYAPPPTES EIVKAIDVKGKDVEQIWAAFKAATKAENVPVAEEDKKEMELQEQLNAQSEIDRQRVAM VRKAKKDQEAMLEAAKAAVAKQREE TRV_06474 MRSSRRTSEVDDEDDDDEDESGREDTTGRGDQAVHSHAVRREQA ITPATLLHRRPAPAMTTMLRINIPPVTRAILTSIAVLFILHTATRYKHYVVGESSSSF SAVPYLLAIPSKILFYPWTLICATFVEQNIVTLLINGATMFYGGKYLERAWGSREFGK FILVLALASNLSMVLLYLTTAAIRGKPEIAMKGIGGGIAVQSSFLVAFKQLVPEHTVT ILRGLVKIRVKHFPAIFLLLNFIGALFLGTDVAFHLSWLGLLISWTFLRFFKYQPDLS GTSTSGRGIKGDASDTFAFACFFPDAIQPPINFIAERIFAILVAVRICTPFSAEDVAS GNEQVLARGEAGLPSLLNNNVRGTPRSGKREEAERRRALALKALDQRLQSASSNRAAQ QAAASAAPSSQTLAPAATPTAPVVPAGETMLGETNYTPDRS TRV_06475 MANGTVGEEASTPPSPKASSRKPGQEAAANGHIQGDQTSSKAGD GADHEDGDTAMTSDAPVAADKQQPEEAHSKIPKSPSRPSSPAVEPVVDADGDIGMSAP PTPVYTLTNGQSVGVQIAPPKAIDLTTDTILLDIGAKNHVMKTAWRPGDSLLVGASAE SYCGLWKLTGQRSSTTPGHEVLTSTANVTAMEWEPTGKTLAVATYSDFEGTVVLYGPQ GKMIKTLPCMPGLISGLRWSGNRDRIIFTVSDGSQSKLLLWDKEISTTEYINSQLIDG AIYEVLWVEDEEVYACGDGAVYQFRVTNEIELSETFRWEEYPQEPWTLIKSIQWKESS VVATVSTSATTSNIWIPSHDIKVESAHHGIITSLEFRPLPKPHSSPQTLANGTGKDPA LILATSSMDETLKIWSIDCTSRTASCVHRLFLGSSLPALSSAFSPDGYAIAAASQDKL FIWNAERGGTPMASWPIPKDGTKDETNSDEGNGTVNGIQSALDRPLSWDSDGKKLALG FGNTVCTNSITLPYLISC TRV_06476 MLSKAGSVKEGPGCIEQGSELNVDEIREQLRLLLDLSSQLPSKT VLSLGDATVFRDSCSALEKVLTAPTRRYDDTKYEFSDRVDPRGDIAEDHHEATHPEDR REEALQYDRELLLVLDRLTKANENFRQRRAEQRQIHEKYQIRCKEFSARVSELEDEVK LLQEEVFNATIELECMRGTVSGLDSWLSNWKSQNDSDSHLDPVLKRPAKPRGKSSKYF EQLDKLQEQRDGLIDGLGAWMRGWNDATDGFRARSTS TRV_06477 MAPRVIAQPSLDAVKDVLAQSRGSPNPPNMVPVTASIPADLMTP TVAYLKISADSKRSFLYESAATTETIGRYSFVGADPKDVLVSGPGHGPECDPLPLLEK EISQCRVATVPGLKLPPLTGGAIGYVGYDCVRYFEPKTARPMKDILCIPESLFMLFDT IVAFDHFFQVIKVITYLRVPDGDADIEKLYREAELVLQKMIQKLLDPKVPMPPQPPIK PDQVYTSNIGQAGYEGHVHRLKEHIGKGDIFQTVPSQRLARPTTLHPFNLFRHLRSVN PSPYLFYIDCADFQIVGASPELLVKKEADRIITHPIAGTVPRGKTPEEDEKLAEELRN SLKDRAEHVMLVDLARNDVNRVCDPATTQVDRLMVVEKFSHVQHLVSQVSGVLRPEKT RFDAFRSIFPAGTVSGAPKVRAMQLIAELEGEKRGVYAGAVGYFGYNRSSLDGKEIID GAMDTCIALRTMVLKDNVAYLQAGGGIVFDSDPYDEYVETLNKLGANIQCIKGAEELY LALEKESAVITPTSLTQPLFFCVRDVNTQNFNIHRSRDFPAGIFINEYLLVFLFRFFF SPSYSVCSAEAAEKTSSPASLLSVTQRVLAWHVRSSGPTT TRV_06478 MLDKRLNDKGKNWRHVLKSLKVVDYCLHEGSEQVVTWATKNLYI IKTLREFQYIDEDGRDVGQNVRVAAKELTSLLLDEDRLRSERSDRKLWKSRFAGMDDG MRGIEGGDMSHRQRRRERPQRPADDEDAEYRLAIEASKYEAEEDRKRREKNASRDLED DELAKAIKLSKEEEELRRRELEESNAASLFDDSTPQPAQPQATGYNQGYQQQGAVDWF GNPVDPQQPMTTGFLNNQYAQPTGFQPQQTGFNNSFPNGFQQQPTGFDQQFLQPQSTL QPQQTAFTSNPYGNDLFGQQQQPQMQPQQQQDGFLQPGSHNPWAMNQQKPAEALKPAP TGSNNPFASSFTRAQAQQSQIQKTGPPSLNALAEQKTATQFNNPIMNYQAPQQQQQQQ QQLQPMNNQQQINQNPQHARLNALLATGDGQDTFGNVGDLRIPAQHTAPGVFVNSAGS GLNQIQASRTGNNPFLTQNFTGAPQQQSNPGFGSQQGFARPNQQGSNNLIDL TRV_06479 MLSGVNDLARGVAFLESPNLAYGDIRPENILLDRNRLKISDFDC TSEFGSDFDTCPCPYGRLLNSEETDQGVPGTAGFLGPRTGQFALGSLFYLNNYGFEVY DDQRLVDDPYEHGPKVMELLQDMKIPKFNGDPLIDNIIDKCWHNRYRTLAELATQTDI LRSEPTSGPRNHEAASTFNDCHNVNQDRSGESSLKKREFCQDLEKRGLLRLLPSGKPM ELGFSMEHSLGEIRYKLYEGKRGLEHSVKRKKAAVLAMFRSMLVFDPGKRASAKVVTG I TRV_06480 MSANSSGHRKRRTGTPPDSSNSNNKTTKTTSTTAYNRNFEQKLI DHGVYPPGYKYPDGQKPAKPNNWQELNERLAQPRASLSPSKFSEQQFEEFVEADVNAS KEKPIVRLAIPIIEGRVDDTRCMGGDYPFGNLATLTDRTLANAKPDHFFGARPEQLNH KIRDELSEFIIPSTQSSLPIAPNFFLETKGPDGSLAVATRQACYHGALGARGMHKLQS YKQDEPSRDNNAYTITSIYHGGQLKLYTTHPTAPRESDGRPEYIMTSLRSFAMADSLD TFRSGATAYRNARDWAKEQRDEFIKSANERHTQAPSELLSSEREPTSEPTVVLEDSDT LATSDEAGLHDAEWSFAHPNDNVEGASRQTRAEPTNSTSRKSLQN TRV_06481 MADEEDYSSLPLPERFAHKVWKVRKEGYEAAKKQFEISPDEDAP VFRPFLQDPTIWKGVVADTNVAAQQEGLAAYCSFLQYSGAQACNRTRSVTAAPIIEKG LPQTRPAAKASALEALLLFIELDKPEPVIEELVAALSHKTPKVVAAALSALTSIYHNY GIKIVETKPVLKALAKVFSHADKNVRAEAQNLTVELYRWLKEALKATFWNDLKPVQQQ DLEKQFEKVKQDPPPKQERFTRTQQAVMAEASANPGAEDGMGEAEGDNLEDDGEVDVF DLVEAVDIIAAAPKDLHQNLASSKWKDRKEALDDLYKVVNVPKIKEGNFDELCGDLGK CMKDANVLVVTVAANCICAIATGLRKGFAKYRSVVMPPMMERLKEKKATVSTALGQGL DAVFLSTSLTDCLEDILGFLKHKNPQVKQETFKFLVRCLRTTRDVPSKGEVKSIAEAG TKLLTDSSEVTRSGGAEILGTLMKIMGERAMNVYLDGLDDIRKTKIREFFETAEVKAK DRPKPIIGPPKTTATPAKKPMGKKPLGVKKPAPASAAAAAPAAAPSEPPASPAPAKKA APKIGAPRGLATPGSGLKLKSKLAGPGGAASPQRRVVSPPMEDPAALPPPPPKFGIGG GGRGLASRPIGKPSAPVQEQPIAQIATGLSAIERAELEELRAENARLSKMTEELRAER SRLSSEVHELQNQNAQLIEDHTRDVLSIKAKETQLVRARGDAETAEQSVQKQQREIER LKRELSRAMRAGATSPPMTLPDMSLNMGISDNGGIYQDDMHRINGTPSRASLHMGSRF ESARPRSFVSSSPSEEKENTGMVSPALSTGFSGRRKFSPPAGSAYSSGRGSPARTSRT PTGDAPVSIEPSENWKRAAEVTSQLKARIEQMKARQGLSRPPTSH TRV_06482 PPKSGKKVAAAPFPQGKAGSKKAPKNPLIEKRPRNYGIGQDIQP TRNLSRMVKWPAYVRLQRQRKVLNLRLKVPPAIAQFQNTLDRNTAAQTFKFLNKYRPE SKAEKKERLHKEASAIEAGQKKEDVSKKPYAVKYGLNHVVGLIENKKASLVLIPNDVD PIELVIFLPALCRKMGVPYAIIKGKARLGTVVHKKTAAVLAITEVRGEDKAEFAKLVS AIKEGYSDKYEEAKRHWGGGIMGAKAQAAMEKKRKAIENAIKI TRV_06459 MSYVRRQRQDALAHALAQQPGPSHHETAPVPPVDCAAASSSSAA SVASASPLTMNDSSGQQRQQQHPQPHKQQQQQQYHFRPQHSAQKEQQYQVKGDGRPPV RYSAAPVLSRPLSTHALHPSYSSHLHHHLPNQQQQQLPRLPQDALNIHTDSRLAHTQR AVPQTGAASHPSSRLPPAAPSPSSSSPAARLDTSVSYSPFPRQLQTTPTFHPPDNRVS PVSSLSPSPSALYFHERMAGQHQGQGASQALSLRLQRSRELMATNREPEGGEKESEQK GSSADKDDTSHSHSPSDSVSMSAASGSTPNTSPIMPTSTTNNHQNQNQPQQQQQVSTS KPASTSSTPKPTPRTSSIDSAISSLSSSSHPTTHSHKSSVDSPAITSADISNLISAAN GSPEAVIAHLVKEKQQAVSQNSQLWRLVEKQRRMVLALNKDLERAMEDKDKLKKKIKE LEKWKEDAIKAEADRNATETPNGRPEEGTNDDASLTAKLPVQTPVCESPPKSQPTPPS RRAPPAPLQLSGSQRLRPHIEVEQSNNQSSSSNSNAVASDSEYDSTAEENDLPTFERG RRKTREEDDRLREAMLAQKEKELSKSKSKSKSKGRSQSDKKAKSINPPEALQKEQAQQ EARTGPAPTTGFAGVGLPASPRASVSPPYAARQAGQSLASILGPVDGPKSLRARLTKA PPMSPGLPQSPRPGDRPIGSPMPRMPREGHMNISTPLRNAFPAPFQASQSQQPTNPHI HQLVQHEKKGSTTSTSTSTSTSTTIPTIDPAVQIDSSTPTAATTPKTIYRGLVSDEYP DLLLPPNSLPSILIQVSSSRLRPSRQSYMNLNSSSAAERGNPDEDPVFTLSVFARSSK SELWRVEKPIVALPQLDQQVKALCRFSGRIPDRSVFSGHSPAKVDERRAALNNYFEAL LDTPMDDEAALVICRFLTADAIEPRDDEMSLASGAGNVNSSAGGSQDIKATIQVGNDG KPKMEGYLTKRGKNFGGWKSRYFVLHGPELKYYEHPGGTHLGTIRIQHAQIGKQSSQS KNGSSSQAENYDDNQYRHAFLILEPKKKDSSSLVRHVLCAESDEERDAWVDSLLSHVE QIEGENDDKDKETQMDKDGKDETANKPRIPLNNSSANTNATKKGSSKDSSDGPEAEGI RALSYDDVVAAEAPVRGVPQGYESTDSLATPQSHLDQAMPLTPSFKPISGPTNGVKIQ DPSAWGNKPSTMSCVKEKKRSIWGFSRQAANDSVSSTSRQDSISGTTTSGGGNGSASS LEHKETIRAVFGLPLAEAVEFCACPEPGADTTLPAVVYRCLQYLRARKAECEEGIFRL SGSNVVIKGLKERFNTEGDLDFLEGDVYYDVHAVASLFKQYLRELPITVLTKELHLDF IRVLDLDDKQKKIAAFHTLVHRLPKPNIALLKALSEFLINVVNNSDVNKMTVRNVGIV FAPTLNIPAPVFSLFLSDFDLIFGDTPPSFPQARGSSPSSPPGTAPGSGSGPGSPGSI VEVTVESPGLNPEDIRSPRHQMFSDLPCTPAYDQNSFHGQSNDANAYRLAKENYDINA GFASLHSHQHQYDPSTTPMNVNVSRDGQFGGLDGMLAPSGSSSQPSKSKRRESSLLFM SLSSRKTSLPKIRDEE TRV_06460 MWILPLLGYLGVIIGFCFLTLAIGNITSYIPLCNLETNIPPASG LYYLSELVEEHTVLARRLLTRLIYTIITVHALLWLIDGFPFYLTVLSIFSHIVYAGNL RRFPIVKLSDPIFLSSCVLVGLNHWLWFRYFSSPEYNSGGGSAPTSWNRGGWKSSGGG YYYGAAVDTPSFGEIASYFGLCVWLIPFALFVSLSAGENVLPSMGSEYATGSVSSGVS VSGDSTSSSSKIKTKGMAKALVDGVREWVSESGEVMGFWGGQRTRRF TRV_06461 MSRPEDTLPPDLFYNDSESRKYTSSSRIKNIQSEMTHRALELLK LTSPSLILDVGCGSGLSGEILSSIDPSEGGPHTWIGMDISPSMLDVALQRDVEGDLFL ADIGQGVPFRPGTFDAAISISAIQWLCNAETSDVSPEGRLKRFFEGLYASLKRGGRAV CQFYPKNDSQRGMISGAAIKAGFGAGILEDDPGTKNGKTYLVLTVGGGGLQGDITGVV KGMDDVDILDARRKAREAAKGRRGPDQSHIKGTKAWILRKKEQMEKKGKVVKATSKYT GRKRRIAF TRV_06462 MATVQSDIFKPTKYGGKYTVTLIPGDGIGVEVAESVKTIFKADN VPIEWEQVDVSGVDSGNKHSEDLFRESIASLRRNKLGLKGILHTPIERSGHQSFNVAL RQELDIYASIVLIKNIPGYQTRHNNVDLCIIRENTEGEYSGLEHQPVNGVVESLKIIT RAKSERIAKFAFSFALANNRKKVTCIHKANIMKLADGLFRSTFKKVAENYPTLETNDM IVDNASMQAVSRPQQFDVMVMPNLYGGILSNVAAALVGGPGIVPGCNMGRDVAVFEPG CRHVGLDIQGKDQANPTALILSGSMLLRHLGLDDHANRISKAVYDVIGEGAVRTRDMG GQATTHEFTRAVLDKMEAQL TRV_06463 MKLFAYILAIAAASVAVIAAPAPAAEVAEAQLEARAPEPVAAQL PHQSWKPLGFKPWLG TRV_06464 MKMDNDLAALFASKMAVSNGPAQPPSGNVAPPQAPSHTSTDTDI DAMQQEHSNTPNPVVSAHGFSTEDTLRSYNIDPSSLYPSQIDLFRQAAPEQKFRLIEL WRISPGSAMPNILPSQGAHIVQTNFLSNLNNTQEKSQPYSGDDMMMDDDKINAEPYVL SGYERLAQQEYESQSAPASTSPLSTHTYNQATDPVYKGSEWQQEQQQRAMENQYGELQ MKKYYFGCGISRAHWLEDEQML TRV_06465 MTSIGTGYDLSNSVFSPDGLENGGTSIGIRCKDGVVLAVEKLIS SKLLKAGANKRIATVDRNIGIVSSGLIPDGRHFVSRARDEASSWRSTYKGPIPTDVLA SRLGGYVQAYTLYSSVRPFGISAIVGGWDSEAELPVDGQVGSGPECGSGGKVKGAKAG GPGLFMIEPSGAYWGYYGAATGKGRQAAKAELEKLDLPAGKLSLVDGVKEAARIIYIS HEDSKDKEFELEMTWVSSLDGPTKGRHEQVPKELLEEAERLAKKAMTEEEDDEDEDDD EDDDDEDDDKMEE TRV_06466 MSTIKGPGVGPKLDGTLSCPYLTIAHALAVPTNPRTTQRHANND DILGSNLRIAIVHARWNWTIIEALLAGAKKSILAAGVKEENLVVQCVPGSYELPFAIK NIYEASQAQSTSNTGKPFDAIVAIGVLIKGETMHFEYIADAVSHGLMRLQLDMGVPVI FGVLTVLNDEQGLARAGLCGGEKSHNHGEDWGTAAIEMGLKRKGGLNGQIL TRV_06467 MGSAGDACLPICLSIVSIGCLSRPAVCRLYVSLSIDPIAIHVLV GSPPGAPILGPVDKDVLEAEAEEAVGYTASSALERWKADKQKKKKQKKKQIKGASPSR RLHFVVSISISIFIFIIFFLYILYLLLLLFLAASLSAPPSAVTALARLANFDFSTFNF IFQLQQRQRQQHRQQTTTTAASIEIERERPFYFFYFFSAIDVARSSPCGRSRSYSFSP TLGLWDHQLTPSLDSGIFGYINYLVEKDRGYIIQTIINEYRGYDSAGIAVDGDKKNEV FAFKEVGKVAKLKELIDASKPDLSKTFESHVGIAHTRWATHGSPSKLNCHPHRSDPNW EFSIVHNGIITNYKELKALLESKGFRFETETDTECIAKLAKYLYDLHPDIDFTVLAKA VVKELEGAFGLLMKSVHYPHEVIAARKGSPLVIGVRTEKKMKVDFVDVEYSEEGTALP AEAASQNAALKKSATSLLAPPDKSLLHRSQSRAFLSDDGVPQPAEFFLSSDPSAIVEH TKKVLYLEDDDIAHIHEGQLNIHRLNKNDGTSNVRAIQTIELELQEIMKGKFDHFMQK EIFEQPESVVNTMRGRLDAENCKVTLGGLRQYISTIRRCRRIIFIACGTSYHSCMAVR GVFEELTEIPISVELASDFLDREAPVFRDDTCVFVSQSGETADSLNALRYCLERGALT VGFVNVVGSSISLLTHCGVHINAGPEIGVASTKAYTSQFVAMVMFALSLSEDRASKRQ RREEIIKGLGLVSDQFREILKLSEPIKEMCAKFFKNQKSLLLLGRGSQHATALEGALK IKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDNLFAKSLNAYQQVIARAGRP IVICNPDDEEFPASETERIEIPRTVDCLQGLLNVIPLQLIAYWLAVGEGLNVDFPRNL AKSVTVE TRV_06468 MLEMKMKMKEREKGERERMKLEMQERREGHGLAWGGQDDGDDDD EGDDKKEEEEEDITETRRGEEMMDKKRDVRDLDYGIQTWLVHPTAVRCLLATKRGETS SGILWLSYNMQTAGDYKTSIQLLSPRSISWTATGIHITGDVGRDYGVQPLSVDSTYKR DTNHGQKKGEDDLLILLSPLPEFLPEFSLSLSLLLVALLLL TRV_06451 QEVGKKQRSKKEKMGGDEEKRSSIDQDEERDWWVKAGKWKSSST YSGKEKERKEQEQGKKKKTLLPVHEVYFLRFVP TRV_06452 MSAVNSAATTFDRMNINSPSTPALSIFPNSSSLSLSQKTTGQGG VAVIKEGYVRCKEDKFLASWNQRYLVLREFRLDFLKNETGKSVLSIQLSNVMNVTRSE DTKMAFEITRVANPKDANARSPLGARDVATKTITCEVKSDDEIYDWIDKIYERCPGMG GVSNPTNFSHQIHVGFDPQTGAFVGLPPEWEKLLTASAITKEDYKKNPQAVIEVLEFY SDIKMREQNPQYFPGMSTPAPAPHNMQPLRSHGGGGSGGVAPPRPPPPTGLQRLDTSQ SMRGHDDRSPGSGSPAPSSSQRKASDPADRPYDQQQYSQDRSKEMPHPDQRRRMEEEA RRIREAQRQREEEQARREQEAYNASLPKTRVPIAQQELGGYGGPRESPSTSSSSRYNP SRPAPQAPSGDRARQPQQDSRQMTAQRPAPSPPRNGAPSSSSSPSHSRDDHSAQRSAP RPDQSRQQPSSSRYAGNDSRSPARTQPRQPNGSAASGQPPSRLPAPVQAVKPLNVANK HGATTTTNNNNNTNKANGVPDGVRQAEAALAKKPDPSRQKDVRMSTMSESEVMEKLRQ VVSKENPNESYSKQRKIGQGASGSVYVARVKENASSPVARELYRTHGPRGQVAIKQMD LRSQPRKELIVNEIIVMKDSQHPNIVNFLDSFLQESSNELWVVMEFMEGGALTDVIEQ NPVIQEDQISTICYETCKGLAHLHGQNIIHRDIKSDNVLLDRVGNVKITDFGFCAKLT ESKSKRATMVGTPYWMAPEVVKQKEYGPRVDVWSLGIMAIELIESEPPYLNEEPLKAL YLIATNGTPCLKKPEKLSKELKSFLSVCLCVDVRSRASSDELLSHEFLKNGCSLASLA ELLRFKKNSSN TRV_06453 MKRCTNIPAAIASPYSVFRRQFSASSRLRQIEDIRTLPGRVFPK YEESGGSDLLALKWPGPPRNILLMKKKGAPEVTQSVVEFANHIKSNYSPISVILERDT ATEIHDSLPFPVYTNLAESSIPPEKVDLIVTLGGDGTILRASSLFATSKIVPPILSFS MGTLGFLGEWKFSEYKGAFREVYMSGAGIGERAPSLVENGESSSTSTAAAAADAGGGW SALRGKSMGMSRSARILVRSRLRVGVFTPDGEAVHSNGVTLTSPKEADTGVYAMNEVV IHRGRQPHLAIVEVFVGGRFLTEAVADGMIVATPTGSTAYSLSCGGSIIHPLVSSLLL TPICARSLSFRSLVVPSRTPVTLRLSEKNRGREVEVSIDGVTMSEGLRVGMEVRVWGE DIRVVDGAWYGGVPCVMRKTVGEGGAADGWVGGLNGLLKFNYPFGSES TRV_06454 MASQARNSARPELKKSSRHMYEREPANYGILVQLVRSFLIVAWF CCCCTCIVVAQVVGLPLYVIKKEYFNAWIALTKESFGLAITALTEWSAPTPVRISGDR SVLGQVTLTSNGGIRTNFPERLVLIANHQVYTDWLYLWWVTYTNKMHGHIYIILKESL KYIPLVGQGMTLYGFIFMARKWMADKPRLQHRLSKLSIKHGKTSSGKPKFDPMWLLIF PEGTNLSLHTKQVSDAYGEKKDIPPLKHELHPRSTGLYFCLQQLRGSVEYVYDCTMAY EGPPKGSFPDSYFTIRSTYLKCRPPRVVNFYWRRFAFDDIPLENQEEFEAWLFERWAE KDELLDTFIETGKFPPFENSDLVEMDPNSPDIQTTSGKNYGYVEGVVRLNYWAEICRV FAVPAFIAFLIHIYRRYYG TRV_06455 MAPLQNGLANGSSVNGPDAMAKDTGRFSDIPSAVDIPASTFDSE VEISLQELPDDPTELCTLLDNEKAAKNFWVIIALAYAKQKQIDHAIEILTRGLASLAH GATKERLSLLGWICWLYLIKSRQAPRVAPEGQLHSEAKTKEFYLQAATATLNEASRLN PAFPPLFLARGVLSILRASLQPPSKPLRPGTIDTSERVESLQQAIKCFDESAKAFGGR NIMAILGRARANYMLGRYAEALEGYQEALVKMPSMRDPDPRIGIGCCLWQLDFKDQAK VAWNRALSLNPDSKAANILLAAYYLHDSSRHSTSDPEFSSLYKTAMTQYTQKAFKLDK EYPMTCATFGSYFLLRKHFPTVEALARKAIELTDVNAIASDGWYLLARKEHTEGDPER ALEYYNRSDQARGGADKGYPPAKFGAVQMLVRRKDFDGAKFRLEKIIQQTKNPEAMAL LGSLYADEVFAAANSKEDKSAEAKKAISLLESVRTSWKADKKKLTPDESVLLYLARLY EASAPDKSMQCLNQVEEMQLAQIPDDEKPENVEGEQAMTDVLRERLSPQLLNNIGCFL YQADKIEPARTMLQTALNACVQAQEREDASDTDAYVTTISYNLARTYEAAGMLDEAKK VYEGLLERHSDYVEANARLTYISLRQDPSGEGAKKMTKLYETEASNMEVRALYGWYLN KTKRRVANLAEDHEQRHYKHTLQGYDKHDRYALTGMGNLYLLTGRDMRRDGEQEKEKR HKIYEKAVEFFDKALQLDPKNAYAAQGIAIALVDDRKDYSTAVQIFSKVRDTLRDSTV YLNLGHVFAELRQFTKSIENYEISLSKDRARDAQILACLGRVWFLKGKQEQNLTAMKT ALEYAERARSAAPDQIHLEFNIAFVQNEIALLAISLPEGQKSSEDVEEAMNGLTAAIE AFDKIASSKNPPYPRSSLESRATMGRNTIRNQLQRTLQSQKEYEEKNAAKLQQARELR DAELKRREEEKRKAEEAEKERKRQIAEERQLMIEEAERLTAIRIDEERAREAAEYTTD SETGERYKRQQKKSKGGKRKKKADAEKKRQDSEPQRSGSSSDEGEERTAAPRKRRRLE RRGNAKSNKYKSTEIVVDSDSDAEAAAEVNGDKSSSPPAARNDDDEDEDGLVQRRRKK ANLRIEDDEDEDEEPNAATPAGDDDNDDLFDEKSPAAGDEADKDVDMKAAEEEEE TRV_06456 MKEEISTIVHVDKPRAVKDPEYARSLSKTPSFCVYDERFIGDVV GSDPKIQLVEERREKGEQFAHEAGVYIRETNSVYFTSNFQTCDPIDLYAINCDTHEIE KLDYPDVVQANGACNYPGKTASTHSPGAGTGGEEPDRLLYCSQGDQSTPSALVLVNPV TKESEILLNNFYGREFSSVNDVVIHHGTGDVWFTDPTYGFEQGFRPEPVLPAQVYRFR PSTKDCYVLADGFDMCNGLCFNPDYTLLYVTDTGAVKARSYSGNGRKFQANYQNAGTI YVYDVVDGGTRIANRRMFAFCDSGVPDGIKCDENGYVYSGCGDGVHVWAPDGTLVGKI IVGCTTANFCFVKGGIWMFSEKDLYFCKVKAKGALVKTECE TRV_06457 MNPPRKRPWSVAELSSNPSNPPPPLEALHSAPNGSSASDTAMYR SPDSLSKTPNTPPVSFGIAPPESPQISVAVTSRKSTACPACRKQKDIVVEQARYDIYI STPASIYTELQSLMAALSLPPVSAVDELSMKEEDSKASQREQADEQPNTLEVAMEQDT LASAPMRSLYEVTKSSEVHEKTTQFSGLVMEPDFVSRGVITEAEAGQLTKTYLTRLDH FFYDHLQKYADISEVRKTSTLLALTLCTVAALHDPLGTDAYDKLSRELRSVTSSLMFR THLGVEDIKALCMGSYWLGNLTWVLSGLVLRKAIGMQYHTAHMNQPQTDKEGFGKSQM WLLIFLANEQISILHGSPACGVEPGYINWKNHLASPFSGEIDLRLISHIDLLLLLGKV RQTYGVDAMKPIPLSLIPQLRDYLAQLDRWSQAWTGRLARNKWLGNFPSQAVKLHFRF AKFFICSHAFRGLNVEAAHVPLAPELQDIAGSAVATAISILELLIESDELRAYLVGIP HYFHTMFAFAAVFLLKVATRNRQHVHVDTQLVFRISRRVLEVFQHCPCAKQHLVHRIA QGLQEMIERCEGQIATESSGHPVNRVLNREPPIPASMPPPPTTSNPEIGSQDMLSWFN LENFDFLSMSPPTWNTEF TRV_06458 MLTVTPGQAKLVAYMMPFSFFFCFLLNEKSNPRVRDEDKRKKGT VGAFSIQPRQRDKGVEVESTRRKMPIPFLKDEEDEVG TRV_06447 PASYLHGGSHSRSSNKSEQGMKNLQSSKRLSRPKQGKEIDKQPS NSFTFAVITAMAVTVYLEERK TRV_06448 MGTTLQSLSALMQRSSINDHEELIKACNSILKKSRTDVDAQHIK TIALIKLDRFEDAIRVIEEGGDVLKKRLPLEWSYALYKIGKLDEAISFAASVGSQRGA KHVEAQASYRAEDFDRTLKIYQDLISDMRASTGELTDLQINITAAEAQSLWTGQSTLS KDLVSKRDALDVFEAAYNMACEQIARGYYERALELLKLSKELCEASDELSPEDKKAEL LPIKIQEIHVYLQQGKIEEGEALIRGIDFAEITDLSTKLIAENLAILTTERNINPYIK HKNFSRTPRPAGNDKFFSYQNDILSRNALVINLMVQKFDGVSRSTSKTLSQHSSATLS SDINSLAAFDATAHTQGADGRNAIKKISSLSAMRPRDLGLTLVLAQLQVADGNINAAI STLENFSTKLEETGDDSDMMVRFSPGFVNILVTLYRSQGRKHHINSELSKVAKYWQGK DPSSQPTSLLRAAAISLFNSHDSADISTATEIFAHLHSRDGSDRIATAGFVASHAISS PALVESSLKSLLPVQELIAGVDVSHLEAAGVQLPASTATSALKQGQKRRSSDVLKKNK RIRKPKNMDPNEKVDPERWLPLRDRSSYRPKGKKGKQRAADRTQGGVVNEKADDGHSS PTPVKSTSQVIGSMGGARKKKGKGKK TRV_06449 MTVAYSTVLSDHHSEKLPSFRELLPDHLHDEIEGGVFYTQSNQN WRRGSLQDTMPPPTQRADRLPSRLFARDSRFDDVSLRPDRFMGNSTKSSNLQQPHVVE SKSSMSASHSHSRPKLTLNEINRSLPPPIPSPSREYFVKVSPNANSNAIYAPLNSRGR NEGELSNYSISSPRSQFSFDSSNFSHSSSPVNMVSSCDCRDQLSYPSSYEQTHACDRT YSRSAFGHFRPASGYCLSECELGDMREKKRRGNLPKPVTDMLRAWLWEHLDHPYPTEE DKQIFMSRTGLTISQVSLHLYSIDTAAFNTD TRV_06450 LLAPSERAEHRIIEQASGRSKDAESGNKQQDTLAFFSSGQAISL MGSFSVRPEFAFLPYPPPSPPQLDQIRIGPFKRLAPVILLFLTILRCEGGWDFLDPQK LIAMGSRRWWPLDGVRYQTRVCLLMHIILACLCPQYQLEASFANGIPSSVTFSQFGLH QPIYHTPLLSA TRV_06400 PTGTGTVIPIPTQPTSPTGTETPIPTGTPIPTSTGTVNPTGTDT TTCEPTETPIPTQPTGTGTETPIPTQPTGTETPIPTQPTGTGTETPIPTQPTGTGTET PIPTQPTGTETPIPTQPTGTGTEIPIPTLPTPTGTEIPAPKLPPPVPTQPTGTGTETP VPTQPTGTGTGIPIPTQPTGTGTETPVPTQPTGTGTETPVPTQPTGTGTETPIPTQPT GTGTDIPVPTLPPPVPTQPTGTGTETPVPTQPTGTETGIPIPTQPTGTGTEIPAPTQP TGTGTETPVPTQPTGTGTEIPIPTLPTPIPTEPTGTGIPIPTQPTGTGTEIPIPTLPT PIPTEPTGTGIPIPTQPTGTETPAPTQPTGTGIPVPPQPTGTGTETTIPTEPTGTEIP IPTQPTGTETPIPTEPTGTEIPIPTQPTGTETPIPTEPTGTEIPIPTQPTGTETPIPT QPTPTGTGTPIPTLPTPIPTEPTGTETPVPTQPTGTETPIPTEPTGTETPVPTYPTYP TGTETPVPTSTITLTTTETYTSTSCPGKLKYLHKRSVNLVADALTYVLVTTETHTSGT ETFTSTRTETSTLTYTHTTTTETVVPIPPSPTGTGVPQPPQSPPPQSPPYPTGTGVPP SPPGSTGVPPPVPPPSSSETPVPSQPTGTVPQPSQSQPPPYPSPPPSSTETGIPSAPP SETTTGGTNPTQQPSPTSPTPVIPPVYTGMATRTKGSGVSILMVIGAAMMLA TRV_06401 MALLGRRRAPLFYLIAAAALLFLLSVTIPAAAAASVGSGVIGID LGTEYIKAVLVKPGIPLEIVLTKDSKRKEAAVVAFKPARESSPTFSERFYGGDASSLA ARFPDDVYANLKTLLGVPVDTGIQGSGSENENLVEMYRQRYPALKIEAASGDRGTVGF RSAKVGANDGREPFLVEELLSMQLKQVKANAEALGGKGTNIKKAAITVPPFYTAEEKR SVELAAELAGLDIVSMVSDGLAVGINYATSRTFPNVSDGKKPEYHVIFDMGAGSTSAN VLRLQSRTVKDVGKFNKTVQEIHVLGTAWDKTMGGDMFNQLIVDDMVEKFVATKKLGD VTISQVRAHGKTMAKLWKDSERVRHVLSANTETTVSFENLYQEDVNFKYTLTRAEFEK ITEKYANQVTVPLAEAISAAGLKMSDIESVILHGGATRTPFVKKALEESTDGKVRTNV NADEAAVFGTAFKAASLSPSFRVKEIRTYDTSGYAINMRWKSGDKDRQQNIFTPYSES GSVKYLTVKNVEDFTIKFSQLYTRNGKQVEAPILEAQTANLTASASKLRDEFGCSPVN ITTMVSVRLNPVNGLPEVMGGSVSCEVQVEKKGVVEDVKEFFGLGSKKSDQEPVKEPE DAIDLENTSSSTASTADSASASATSSTSSSKEAEKAAKEPKVRIESVSVGFTSTVLGI PPVASEEMKRIQDRLSAFDASDLSRVHREEAFNELEAFIYKGHHWLDEETFTKATTKD VLKQLEEKLSILGEWLHDDGTSAGIEELKDKLRDLKGIVDPVVDRRNEGLTRPRKIDA LKGSLDSTKMLVEIMESQIKAEEELFSSSLSAYEAASTEAPSSSTNEDSSTPSTASTP AGTPTKDSNENSDLDNDNFAESSSATQSAPLVKPTLTYSLYSPEDLSAAASLLNATTK WLESKLEEQNKLSEFEDPALTITEIDAKLKEIEKILSSILTKQRRQGSSKSKPITKKK VKNMKSQSKPKAKSKTKETPKSETTSAKDEL TRV_06402 MDTPAQSSVPASGQASIYSIERPLTNTIDNSEKAVGDDSHATIP PRVHGWKWFLVCCVLYSSAFLYGLDTTIVADVQVPIVERFGSVDKLSWLGTGFPLGSV ATILPIGALYNIFDIKYVYIISLALFEGGSALCGGAPNMNSLIVGRVMAGIGGCGMYL GVLNYIAIFTTLRRRPVYMGLTGIVWGAGAILGPVIGGSFADSPATWRWVSFTCLDII TPISNIFQAFYINLVLAAITLPALFLLVPRHNASPDGSVLSKFKELDIIGMVLNGGVY FLWVMVLTFAGVTWKWNDGRIIALFVLFGVTLIAFILQQYFAIFTTPARRAFPGTFLR RRSLIILYISTCCSNSAFFVGAYYIPLFFQFTRGDSAIAAAVRLLPFVMVTITVIMSS GALMPVFGYYMPWYSISGIFLVAGSALMYTVDAQTPTANIYGYSVLLAIGAGAIAQAA YSVAAAKVKGVEVNQAVTFINLAQLGATVLCLAISGTIFQNLALRNLETALAQYGDYS REQLISAIAGAKSDILIHGTPEVKEAAINAIVSAMKNVYVSLIAAGGVVLTTSFFLKR EKLFMKMEAVG TRV_06403 MVSTRARSTQVRFNRQMTVAPIHAEDTPKLRSVKKSGRGVILTS EKRFHTRKSATSGVKKRYHTPAASTIKTTPRTANSKKQLEKYNAITVHSGKFLNHLMD HKIYYDGYSDVQGHPPAKPRNWDELQEVIKKPHPSLSPERFSDEAFQRFKQKDLRAFN ERDVILDSLCIIDMFTGDLRSIARDYLFNNTGPITDGSLCDTKPDIVYGAIPESLRLG IRDELCDTIVPSTRTDLPILPNFFLEAKGPDGNPAIAMRQACYDGTVGARGMHSLQTY NNNEPIYDGNAYTISGIYHYGHLKLYCHHIAPPTEKDECPKHIMTSLCSYSMVNDRDD FIRGASAYRNLRDWAKEKRDIFIAAANEKYEELQDQAAPIESQNYDASFDTQSFPSDS EESDLDNSAK TRV_06404 METPTDLPARPKPAEESQPAEDQKPVAEQKPPKEKKPKEKKPKE KKPKPEKAESKRAAPAPNDPDAMFKVGFLADVYKERPLESTVNKQVVTRFPPEPNGYL HIGHSKAMTVNFEFARYYGGICYLRFDDSNPKGEEERYVESIKDIVSWLGFTPVKITH TSDYFDQLYELAEKLILKDKAYVCHCTDSEIKAQRGADETSDKKGNERFACAHRDRPT SESLAEFRAMRDGKYRAREAALRLKQDLENPNPQMWDLFAYRVVETDDGNFAKHLQTG DKWKIYPTYEYCHCVCDSFENITHSLCTTEFELSRESYDWVVNELDIYKPMQREYGRL NINGTIMSKRKLVELIEGNEPKGCNRGKYVKGWDDPRLFTLVGLRRRGIPPQAILSFV KELGVTKAKTTIDVKRLEQSVRSYLESTVPRLMLVLDPIPVVIDNLPDDYVEMIDLPF SKDPSHGSHTVPFTKTVYIDRSDFREEASDNFFRLAPGGSVGLLKVPFPITATSFEKD PNTGLVTLIHAKYDKPEGDEKFKKPKAYIQWVALSPAHNSPVNVEVHNFNPLFKSANP AGHPDGFLADINPDSEEILSNAIIETGFHEVKQRAPWPANKGESTTDKPSPASVRFQG MRVAYFCEDTTSTPEKIVLNRIVTLKEDAGKA TRV_06405 MAPRNYSELGRSLGLLGDQLPWYWKVVSVGSAWSLLTGYVMCFL FVLISAAAVEVEVEVEVDFILFPFAMEPNGAELGANKNALLGTAVILLTLAYLLSALY YVRWRRSIYLFNSLFFACFTSSILGMFNVVINILVRKLLPMSMLSTIIVAISCVSTAA YGLLAFYFSDYWLTVTCSRQRRRARTRAASEVAPDDAELQRRQLLRLYLRPDRAPSVE LSQSTFRIDLPDPQSIAGTEEEMLVTPPQNAYERSLHSVSAPSNYPFEVHSSPTSAYK LNPLRYWQPQRSHRDQMRPLAELIS TRV_06406 MTALQKIQVRSKRDDYIIFYHSASSNINIPLDNFDDIYVLSDCA NSSQEILSECLGSTKALQFILQCLLTTVTSQGHMCLAKLIFPLQEGYIVRSDIVPLRL RDYQHVETAVSFAEPLRIFEKSVALDGDKINSLSELFSAAAAGLILNLNLECEENMGL EAISLLVESELENRLSLSWILPGTTHRKTLVLVDANTDHPDRGGTGSGFYLAAMEVGV NIVVMDNAGHWLQGPDYAHWREAFIPTRLTNPVEENLADHITESLRAYGKPVDGIVTF ADTYWPYIAKVAQQFGLPTCAPEGFKIATNKYLTSKFVGHNAHLACSVDEALDIANKQ DLQYPLIVKPCDGWSSEGVSRVDSQEALALAIKSIDASRHGTEFVMEPYCSGPEFDAN FVLLDGEVLFSEICDDLPRSADINGSNGRIITNFHELDIVYPSALPSLEKDEIKSAFL DTLLKLGLKNGIMHLEGRVENSSVEYTSMDGALELTPVDGKSINRKPNPWLIEINPRP PGMTASQITESTYGIEYWAIAVLSAVGDKARVRALSQPFKHGAQYTSVMVFVPADYPS TCEGIFDSDDICADLIARRPDLAKNISRCACFIKRGQKVPHPNSGQNTFMAYFNVFSR LGRKEALDIARQVRKEIHYSFI TRV_06407 MFRGQEVHINMATDIPQRLDSKTRPSDDNDEPFSDASSTYWPEG WNWERLSDPEANLAALSEDEKIRMFNGLKEVLGEEGVSRLSVYRRRKYQRRQDQQLRD AGVPPPEYKAPEYLKLSRQRYSNGHSWGFVAFRTALYDNEEMWVEFKDRTQKTLNIAF DRVVENHRGHEYEEVALARKNFILHWIEDKDLDGANEDTLREWYRKVKGAVPAGMGYD LFLSTCSESVDSVLSGPLPNLDSFHWRDDAPFLLVVMESTEENPHGDDEEEPYDPYDP HHEKNWYQSVFKVPIEIIPDTFWPEIEMAITPPTRLTRKVKGFNHLLGGQKPREIPLI GDLNELWWGMMPSPKQLRERAKLRGFPEPVYFF TRV_06408 MKFEEEFLSLQAAEWRPNYLPYRRLKAMLKLLAKGGHPPSYKIN LKGTFDLAVGGVKICVLSDFMLDFMSAFRCALGKIKVFVDTQLTTLKGKESIVLEPYK RVRHGAASLAVDDEYLIYGELLEDYNRLHMFIRLNYEASQRINSKAEKLQRIFQTTPY CGLDGLIEEQAVCERQCLTEVERLQRMINSTRQGSNALSTYNLMSLSEECSNARILLT TINNDILANQSINLSSLLGKLQRTPGISKDIVDEVFNTSLTMSIICRSWSCTTALIED HPAETRSLVNSSWLNGLLLAIFRHSRLSPISSHEKDAQMREDFDPQNLCMKLFNLILN HAGIKAKDLLITPDAAGAISLQYSAQIGLPVICDFIIKYIEQLGISPKSAILAANTTG ITPLQWSVARGHVHVTRIFLDALYVGIDEASDNTPNDLLAIAIEYQNDELVQLLASRC TMINQFSSNGETCLHVASRVGRRDYVDTILEITSQQNSAVDVQEKTYGWTPLTIASTR GYLPIVEALLRAGANELLSDYQGWTAKDHAAFRGHFALLNRLKTNKTITPTIKNPCIT TSPPNLMGDTAYLIVNLGSVQKDREISPFDLDHASTMSSHMSPESALSLEISTSRGPA HILRLPFLRDLVDDILIFPTSDPLETNLVFKLLKNTSHSSLGNTLIGSGVALLSGKKD ANISHHKSLIRESTVPILEKETMELIGKITFTFFIATPFLDLNTRSSSFEYLEKTRST QLIGHRDRDYLQLGENTIQFDVQLTRDLIPVLYHDLSLSESGTDVAIHDLTLKQFIHA SDMQLSSKNDADNSRSRSRSLSRNHKAAENEARLRMKHTLYFSNNGYKPNTRGDFIQI PLATLEEALLNVPEEVGFDIELKYPRIHEAHAIEMAPIAIELNTFVDTILTLITRYAG SRNIILSSFTPEICILLAIKQKAYPIFFITNAGKLPVVDKEERAGSVQVAVRFATKWG LAGVVFASDVIVMCPRLVNYVKSKGLVCATYGPLNNIPDNVEVSLLYPFSLLLSSGWS IKIY TRV_06409 MDPLSVTASIIAVGTVAGKICSAFTELRSLCRSLPGRLHALNNE VADLEIVLFELASLTERRTAVVDSDRLSLQHLVKQAEVKLLELQEIVGRLRTAYRDAA VPFALGAFSKEKTRLQGLQEEIRSVKCDLNIMLGASNSQDMTDMRLLLEAISIITRES SQENIALQGQLISSLSHVDKRVARVEELLRSQAQKVKEEQFTQVGPLYNIVAARRHSP HPRKAPIPRAGQLFIGCSGIPYLSPSCDVDTCTKYRASKISMEYWFPMGLNSTILRMQ AGYQANTGLLFQLQTFRSVPDDAQCVKFALAGDIEGLKYLFANGLASPRDVSPARGYT LLRWALYGKKYATCEFLLHAGADPDYRPIATSDNSPRIKACHFLLEGGLPEDGTDALR LIARGGHYDDFIDESNFTQTHRIVLGLSLRSLEEEIKLHPEDINAQDSMGRTPLAWAA ARGDSHSVVTLLSHGADPNIIDVQISGPVSNAAARGYTACVRLLLEAGAHPDPPMPPG VKKGSPLNVAARNATDILLLKNLLDFGADPDSSGTDGDTPLIHAARTDNSSFALLFLE YGADINYISIKGATPLTTAITYNSHNVLSLILDRWHEYSDCPRLKAPDLLQAVALYAD VKTMQIISSMDHLRSRQDKDYIVGDFSSRLHQRPDLTEELTLAFGNLLDAINNVKTAK PKSRICPEKLLEAGSMPSLLTRNQISRGDCQDSPSSESGCFFSCPGSPVGFFDNSDED APSTFPDDVESQPNHP TRV_06410 MEDKSPRLLPLDEDGEPVTLQPSADASGDSGRKPASSPPDPKLS PIAKRAWSLVSEAVQRRDTLNEVARERYLSAEAAGVDKQQLCQYQDEEFRLRFMWSTL IDSFRMHCNLEPSLRPSEYELARKVAEKILLFRKRSFYNRYSLIFERIKGRGTLGYQS IPDTYDNDLADYWRGAYMAILKERQYREIPARFAQILPSTLLQMACDHLGINPKRCFD EIRDFVRQGRFSSDILSLVKRKQLDLLWRQITVDIREFPFIFYPHEIEHTKRLMIANT LSRILSRWCSYTSPIDYKETRQYHEDARMLNATDGIGGMAARKRIAESIAKSISASDP KDRRKLFADLLSKELVIVEAALNTAKFEAGWMQKSAERCTLLTVELKMMLDLIRGDER VEISQFSMYGEQSWPLVDCLGGTPAHWKQERYKL TRV_06411 MYCQKDTFTEQSLLYQHDPASAQPAASSSSTTTAAAAAPPATRP AAQPDVKGKEASGKPDGPKEKAKESEVLGRSVPVPNTDHREQRRTADVTDQAQDSQRE IHVEDVAPAISSPESSILGRRPSFRDSSRWYTSPKYIRKKGIILTSGILQVVRVRETR KSNPSLSNDSTVSVQDPTTAHGAGGSRLGPGNSNSIHFYDPDDPLPNSEIVTHLCEVF FAPLGCNFPFLQRDRFLCSLKEKQLAPILVDAVCALAARFSLHPLLSVEPVNEAPYPH HGQAFAHRAMCAVVDALACPTLAVVQACLLLAYEEFGSNHDSGLWMYLGISIRMAQDL GIQKLDGMKFRYGCVGLTPKAVIAGQMVDPEASASVSTERIDETHTKDSDTESVEAER AKEREKVDLFWSIFFLDRVISSGTGRPVTLRDDDIEIHFPLDSESVLHIGWPSPYPPL MRIIHLYGRMTDLLNSIKEVKHVTPDVLRRLAGMESDLTGIYQKLSPKLHFNVINFQT YVKAGQGTNFILLHFWFHTLIVLLHQPTLLHSFSGKIQQLFSNSRELSISSAKTIADI LAFAELIDVKSFTGNPFTSQPIYIAACAFLMESAFYSVPSSRAESPPPKPASSNQPSK PPETEADRDTAPDRSSNPKHSLLAAAAKENYQRCYKALQSLEKSWAGTKYILTALDQK SKGIWDPLLYTEEEMESTAGVDISLAMAWKKTQASSTNAQTTSDSPRTGSPHGAAPTS LESTNIDPSRAIGWSLTGATNSSQPNLSFLYQLPTTSTDIPAQYPETSQLQTAFQRSP SSNLQSTNIAATQPSLQPFQGHETSSQPRGTPQLPSPAQQNTLSPPYSHLQPDRQSPS SATLNLTRSPGFTSQSTSLPRSQQSVSPGTQLRQDSNTQYNFHTPSPFGNQPMAALDH THIPGYDNVPLNAQNLTIETQDIDMNNLQNQASFPFSFEGGFMPWLEYLPEDVLHFFG DP TRV_06412 MTTPQLTEDKIKIDAAANSNPVDNGSAKATCTQAPAGPKNKNQT LGEADISMDDIDTGSGPHENGTGGPTQANEEYRYSSSSTSQPGSRGSSGNTEYGEGEI DDFPYDDEFEDEDKSFVSDLRGGGREIRSARTSISSLPGSVVVFPNNKPAGSGQLSRG DYRQNINTNASDRNPMFESPLSGGRVRSKKKIAKDGRYSYAPHVRGLDSPFRHPSSVR AMQMGDEDYYDDGCMDDGNYFSSPLSRNGRMGGRQHGSPRMSDASFRSRPMSPSDQRS YHASPPQPIQPSKEYALILLHCTLLPPCFPLSLPPGLPPPSKELLKEILPDAYWTRWK LLEEKIGSTGLLRDRGILISHPQEAYDLLEERLLETLGLTRPRLAYGHFIGGEDDTDE DEQVTEDEDENNDTETGCVKHKCQDCGQKLIMNRDGVEKKWEVRVYAANGLMGQGAWS AAWKEMEKVDVEVGLCLPTKLRNELEQRLAQEMLRPEAKVKDFPLQESKASEIPSARL QSQIDGLDEPPQAKPTAKSPARERGRIASTPKSTQYSRDDVNLWKALFDYIRIVCRGN QLPIMTVFLVLLALLTPSPYHLFGHSSSDVTIPQLDTISLDQASIMPMPTSGAEEVSQ PPVSSEEIVESSWPVIQELPTASYSEASSQETVSAQLDSSASHPRFQEQKTSSDLDLL LDENPSAETIVSTQSGLPMSDYQQPKDTTIPSQPDEPAFSVLPASPDYPTQQDQPSPS HTPSSSSYTTSSASPSLPPVGNGEQPVDTQDFLHYSVSQGHAPISTDAAAPEVEVTRD ETYESVSVPPQSTPAPTSAVMEKGNGLQFQLSPHEKVVRADSEPSTDEEDQ TRV_06413 MKLTALFVSAAAVVLAAPTANLNNPEIEARNTQFVSPKDTFLHY INTGITNHDVYGRPLVVKNGKAAEETSAVVTFDFDGSYNGRRCRLRFDTSAGDISKGS QQLDVYSVINPPTWPIQSPFSRDQHRGRIYVLVSGTAQWVQGYNGYPEFDCPVNKRIG YEFVGVYDYDIIDWNVGSTGPRIEVL TRV_06414 MGTVEKAPAQVDGQLKEIAVVATVPEILAGSSLSEVVGNGDEEG KTMPIGGTYKSSYRCRRVASKTILSFQAKDPENPINWSTNKKLFILFSGIMNVLNSTL GSSLPSGAIPYIAREFNIRSAEQLTLPISLFLLGYVFGPIVCGPLSESYGRKPVVIVG FAAFMLFTLACALSQSWAALLAMRFFVGVSASAPIAVVGGVFADVYDEPRTRGRYMAF FMASTCAGPIVGPPVTGFISVVNWRWSFWVGLIFAGLTAPIVLLTPETYAPVLLQQRA RKLRKETGDDKIVSALDLEKKGIKETLTVTLTRPIRMIIFESIVLFTCLYLALVYAIF FMYFQTYPLIFQIGAGVAAALGLFFCWDSYLNRSKLRNAPWADVEEYRRLPLAVVGGP LFVVSLFWLGWTASPNIHWSIPMLSGIPFGAGFLLIFIAMINYLSDAYETFSASAQSA ASFARSILGTALPVAAGRMYSSLGISWGCSLLAFASLAMTAIPFAFIRYGDRIRAGSL FCQHLKQLKEQKLREELEEEASGQAAEQPVRSAEKPDIFARA TRV_06415 MFQGFEWHVPTDQQHWNRLRESLPRLKSMGVNNIWIPPGCKGAT GGNGYDIYDLYDVGEFEQKGQTATKWGSRSDLEAFVSLANELDIGIYWDAVLNHKAGA DTKEKCVAVEVDPKGDEATVTCSRLYSNSPIDRTVNITEPREIEAWLGYDFPGRGTKY SELKYHWYHFSGINYDALQQKSGIYKLVGSGNKDWAKDVSTENGNYDYLMFADVDYSN TEVTNDVKRWIEWLGTEFKLKGLRLDAVKHYSRGFLKEFIQHIRKTVGRDWFLVAEYW TGDISDMLNYHDQMEGLVSLFDAPLAGRFSLFAKEGQDLRRIFDQTLVQVRPQKAVIN KHDGTR TRV_06416 MDAFKLLTRSTKIKSSTNAKGAASSPLPSTGEARTPQLFPAKPT RPNAKGGDDDNRGKKRKRGAGNEEDSEVKDTALNFFSGPASSAPEITSKIPMVIDAED QSSDEDNGRHDVNAQLLSLSEADRKSILKSHKIKVTDLRTPKINCDDAGIAKKSKKRK REKESATASLTKKQRKALQSLYPEPLVSFELLRSRYNISRRLLENIRNQGYTVPTEVQ LGCLPMLLGGLCALTKDEKASKGLECEPDLLTVAPTGSGKTLAFMIPLISKIMKHRHQ KSGEQSKGILGVIVAPTKELASQITNEGRKLALGTGVKITSMRKGMRVVEERGGDYTK QEDDDSEDGSNSDSDEERPKERAKSLATVTKSDILVTTPLLLVNALSDNGHKELASMP LVESLILDEADVLLDPLFRDQTLKIWQSCVNPRLRVGLWSATMGSNIEELAKATIGER QKALGLEEESSLVRLVVGLKDSAVPNISHKLTYAATEQGKLLGLRQLLHPTTATASAG KHLRPPFIVFTQTIPRAVALHSELMYDIPPEAGGSSRIAVLHSELSDSQRSDVMAGFR KGEIWIIITTDLLSRGVDFRGINGVVNYDIPNSAAAYVHRVGRTGRAGREGGVAVTFY TKEDIPYVKNIANVIAASEKLRGGEGHEPGIQKWLLDALPDLTKNDKKELKRHGVQAR RRTPAMVNDKNRRATRISTKSGFERRMENKKKGAIKGSQARKLAQEADGDAIEEEEAW SGIEG TRV_06417 MPTKTAVLTTKAPAPLPVLSQAVVHNGMIYCSGSIGMYPKTNQL VSGSVAERTHQALRNLSAVLEAGGSSLKNVVKTNVFISTMDDFAEMNKVYQSYFKDEP KPLPLDTDVEIECIAYLYEPSTELPLSMVESSNWINKNRNDVKAKL TRV_06418 MEDQVDGMAVCEPTITNESTVSNNSIQSTDNTQPAYPRFMTPIS PSHQLFPPNSGLSRRPSVDRPKQSRPETPTRSLPVSSSRPRQKTWASVASKGVDAFRS TSAKSTNYSKRTPYKNGQSSGVSTPCPDRSSKECNYNAPIQNVTDKKLASEKPLPYPP GPISGVDTTCNSDIHTSVETSKDLSQHLPPCSPSDKEWATTASEQAEDSKEDTTSNDQ PNGNDEIKLTRIEEEDAHIPIPDGVRMFADALSKLTKATACMEVGKEGEGQTSEVKED YLPESSAAEASSLDSTKWGTSAPSQSSMESPFSPPRKPQNLKVVTDFYRNPVQTERAF AYHGTGVSPGYYSRVPKSNPFRDRPRVASSPGSMNHFLTRENRASSCSFQNSPSPIRT PGAMNPRQKPMGTPTPSNPYSPASLNTTPRTDKSGSKIPRMSPRNLFPESGSGAGHSS KTTVFSPSKRSSIPLPTRMLQQNREDNGPGNLPEGTTPSAIFDRAEKFTTNGAVAKES PSGMDKGNIHSSINLRHGSQGEMNGKTKEIEENADPFSDDITVKQLSKSAPCHGPQLR ISSEAERLIMGEDDIKVLEKNMKESRGPSRGPSRQSGHKREFRLSTDSLFATFSSKRS KSSSYRLSFSGKPPAEEGSPTPAGGPAGKSISKAKSAEFGIRRASSGHRSMRRKSSPE KELPHPASDKLDADPFLDTEGKDLNSKQSTSIDREPIAEPGKPNEEPCSSPVIESAKK SSIPVAVTDNSPSPSKSAGPVERTRKSQNEASKVNSPRSPRHNSKVSVTYGSPRRYPH RQSTDRAHYRPRPNNDIHIGPDQPSSQNADSQGQKDKRHKKRHHRSADPITTAPQPIK GKGSSSKGVFSNFRGLFSKNKSEAPKEIMRPLISYAKPTVTSNFKLSVPASHSVPHLG TGGSGIPSGTSNGDISYRHLEPPRSPALRDTGRISTITMEILDSARNEVDTSRKEKLI RLGKILIEAVNNSHDAEKAMLTAIQAAKEAEVACAMAKENAMKMSQVACDWARPLASA KDSSA TRV_06419 MSITVGSLFGQDQSALEDLGLLPEDVDAGTQLAPVTSSRSITPA ASSSRQVASAEPQVFVTRQSGTLGGIPWFEEMIEGSRLGRVGKHRRGVGGNDTMHVEW EVTEWHDTVPSISTETSETTGSPRISAKRKSPQVCLSYAIITIC TRV_06420 MRGRILTIDTARVEVDLGLVTGIKWDVDETFVSPTRTTASKCLA HGINQKDSGRDVIPKDSIQNEREIIASRDKALLCSCSSSSSLPLLLPGGCFSLKVQLS SNHIFTCGDIPVIGLLLRAPQLLFSTSNQCHLNSKEIARSRSHEGRDECPRETANQAE AIKGPFALCVPCYLFVARQRVTSLPPPTPSYPLLSIVMAPATSPTGAAAARKPSSTPG EDTEQQTKRTSNSPSDARPATSEREKPRLSEQEKKNNHIASEQKRRAAIREGFDRLTE LVPGLTGQGRSEGMVLRKTVDFMQLKVQERKDLIEEIEQRGGKVDDRLRNVTEAIR TRV_06421 MASQQPYREEVLDLPGRSPIPPQLHDHHQASFEGVINYDQLPPL AGVERSRAQDVLYRVVRRVGDEVGMKEGYHRNLLILHSYEFSASDISKDMFLRVFFNF MGFDIDSDADIDTDDSRLTDKLKGFADMLLDQFFIPLKASGKRTPQPTPAQISAIRSI QSPHEIIGTVERVSYLRSLCLIRDRHRCVVSRVFDMQEERRRLREHGPVVMDDDGNVI KVEDPRGFLEVAHILPHSLVKVEKDLQLNDARRTAINILNMFDCDVASLIEGPYIDSP RNAISLTHDFHNIFGNFEIYFEAVSGEENTYRIESIDTPGYAERLGLPVTRKLFLSDG KTIDPPSPRLLALHRAIAKILSLSGAGEYIDKLFRDLEETGVQEDGSTDLGRLITFRL GGGQDYIVQAY TRV_06422 MNIFRSATSYVYNIIPSFQEDEDETDNSNMSSQQTHPSGIPSDP PPSYEASQPASKPHPKPLPPSLPVLVSLRKKRVILASASPRRKQIIAFLGLPNLEIIP SNTAENLPKTLTPFEYVLETATEKGLAVYKQEIDNEVKGEPALILAADTIVVNPETGD ILEKPHSEVEHISMLQGLRDAGDHKVYTAIVAIAPLESAQAPGYALESVVEETTVRFD PQITDELLLMYVRTREGADKAGGYGMQGLGSVLVEKINGSYDNVIGLPLRATLKLIEK IMTIAEEDAEIDHGALADDG TRV_06423 MFAFASSATNDEDPSRGGYRTEPRRRIAAARTKMASSIVRPSVS ALRQSCRVAGFQHRSPLAALSANQLQRTWFHASSKKDILPPLPPNDAAPIPPTSPTHG SYHWTFERVVAASLIPLTIAPFASGSVSPVLDAVLCGTLVIHSHIGFQAMIADYFRPW RVPKTSAFLNWLLRGFTLATAVGLYEFETNDVGVTEALKRIWKA TRV_06424 MATTTKSLYFKKIPSAFPEPGVHIAVENVPFDPEAPAPDGGLTL ECLYTSFDPYMRGRMRPAHVKSYTPAYSLNEPIPSATIARVVKSKNDKYKVGDVVIGL LPIQNNIVLPEAHLKFIRPLENPEGLEDLRVFLGPLGMPGLTAYASLYEIGKPKKGET IFISSAAGAVGQVVGQIAKHEGLTVIGSVGSEEKLSYIVKTLGFDSGFNYKTEKPADA LKRLAPNGIDIYFENVGGDHLEAALEHMNDFGRIVACGMISQYNLQMRERYPIKNLFY FITKRLTMRGFIVNDPGMGDKWSKEHLERVSKWIKDGSFKPLIAETVGIENAPEGLVG IFHGRNLGKAVLKL TRV_06425 MASRRLALNFHQAVRSRSALQAVQRSRRGFASPVAGTTQSTTLS NGLTIATEYSPWAQTSTVGVWIDAGSRAETDQTNGTAHFLEHLAFKGTNRRTQHQLEL EIENMGGHLNAYTSRENTVYYAKSFNADVPKTVDILSDILQNSKLEPAAIERERSVIL REQEEVDKQLEEVVFDHLHATAFQGQPLGRTILGPKENIASIQREQLVDYIKTNYTAD RMVLVGAGGVPHEQLVKLAEEHFGNLPSQPPSSAASAIAAEQKRQPDFIGSDVRIRDD TVPTAHIALAVEGVSWKDDDYFTALVTQAIVGNWDRTMGNSPYLGSKLSTFINHHNLA NSFMSFSTSYSDTGLWGIYLVSENLTNLDDLVHFTLREWSRLSYDVSPAEVERAKAQL RASILLSLDGTTAVAEDTGRQIVTTGRRLSPQEIERVIDGITEKHVMDFAQRKLWDQD LAVSAFGSIEGMLDYQRIRNDMSRDAS TRV_06426 MATTEEGRLIPAEEITEQAPLLRREGDEGVRNSHPRLSGARSTI IILGLTGVTFLSCVSNGLLVVGLPAIAYDLELPDHLLFCGCVLLLAGSLADIVGDRSI NILGTATTALAILGTGLSRTGMEMIIYRLVQGVGVSMCLPTGVSIITRSFPPGPQRNI GFASLGLSQPLGFSVGMVAEGLFSEAPGGWRLGFYGSASVALVLTISNFLVLPFDNKQ STFKWGDLVGKVDWVGILIASSCMGIISYICAILTDDVANIQNAAHIILIVFALALMP AFGLWMHWQGKRGAPALIPNSMWKNTVFVSISLIVFFTWAVILSSELVLSLFFQKVQL LSPFDTSIRLLPNVIIGIILNLSTGLFVHLVQVNFLVIVVSIIAAIAPLLMAIIDPNW NYWVCAFWGVLLGPVAIDVVFTIAHLMITDIFPSSTQALAGAVFNTIAQLGSSVGLSA IAVISAAVEKASPYPDQSPEAIMAGYRAAFWACFGTMLFVAFIGLVGLRNVRKLGVGD DHDRN TRV_06427 MAPSTETFIELAKARRSVYSISNASTVPDSQLEQLVHDAIKHVP SSFNTQTTRIVLLPHDENQRFWDTVQGVYMSMVERGTFPESKWKAGTKPKLDSMRAGY GTILFYEDPAVIPGMCEKFPQYKEQFPIWAHHSNAMHQYFLWTTLESLGLGASLQHYN PIIDAKVTEAFSLPSDWILVAQMPFGVPTAQPSEKSFDPIEPRVRVFGKQDN TRV_06428 MPSILITGANGFVGQELATGLLNACPDTRLTLTDVTVAPPVPPS AKPEDASRITSIKADLTSQAAVDELISSPSQYDAVYILHGIMSGASEANFDLGMKINF FSVHYMFERLRKVIPGVKVVFASSLAVYGPTPPGFKINERNIPPLPTSSYATAKVATE LLLNDYSRRGFLDGRSVRLPTVTVRAGAPTGAASSFASGIIREPLKGEKSVIPVKKDT ELWICSPYVVVENMIYAKDIPKEKFGDSRAVNLPGIKVSVTEMLDVLEQVGGKEKRAL VEEKYDETVDRIVQGWSPNFDITWAKELGFKEDISFLESVKRYAKDHVH TRV_06429 MAFGAPRGRGGDRGGRGGRGGRGGARGGGRGGFGGRGGGDRGGR GGGRGAARGRGAGRGGRGGKAGARGGAKVIVEPHRHGGVFVARGGKEDLLVTKNITPG ESVYGEKRISVEGPSTGDEPATKVEYRVWNPFRSKLAAGILGGLDDIYMKPGSKVLYL GAASGTSVSHVAEIVGPTGTVYAVEFSHRSGRDLIGMATHRTNVIPIVEDARHPLRYR MLVGMVDVIFADVAQPDQARIVGLNAHLFLKTGGGVIVSVKANCIDSTAKPEVVFARE VQKMRDEKIKPKEQLTLEPFERDHCIVAGIYRAT TRV_06430 MRFSTILSACIAFAGVNAAPAGWSPGSAKWLTEIGDYISKHANQ GFPRQPNCDLSKAVLPSNPQLSEVPPDQKLLAVAVGRGTQNYTCAGLSPDQAPKATGA VATLFDASCIASNYPYLLSLLPNVALELTNPSPFTNARGPVDLMVMGHHYFNGEGVPT FDLPKLGICGVKKVDSKDAPSNAMKGVKDEQEGAVSWLMLESTPSSKGQAKRVYRVNT AGGKAPPTCAGLPEQIQIQYSAEYWFYG TRV_06431 MDPLIASESATSQATENGRSAETNKTPEQVQEENKFQRAIAVWR GIDLANLIAKHDTTASDIVSHQRDSLVQRKDLAQKTKDFRKLDDASKLSEYKGLLKYL LTNQGKVASSSFLQLYTALSEAPDPYPLLEASIDSLVLSEDTVPKLNAEKDFLQNSVN RLTYQLEETEKRLAEERATRLKLEENQDKKIQEVEASWKAALAEKTSNWEAKEKAFEE KTENHDRLLKELKASYEVSQRLDRSENTEGDTRSAATAAELEIVASDLERTSIRLAEV EARNEQLSLELAQAVSQSQSEQSSRPVEEDPQYLRLQTENSSLMRKLEAARFDKDAEK NTWEGKLRQCERTSSKITRERDELREKLTKFADYDDIKRELEVIKSIEFSTGDDEPDT SVDDSKPSNSKENNLEQLLLARNKKLSNELTILRVSHQDLEGQLGALREELSRTNSEL EKSRKLATKLENDLLHIQREATNSSAMSVAGTYVSRYPHGSRRGRVSPTSSIISGFDN SMSPTTMEAIRAGEPVGGGSGILPMVQAQRDRFKQKNSELEEELSKTYGIVKSLRAEV ASLQKDNLSLYEKTRYVSTYNRGQASTSSTATPYSNRPNATAIQVDPDTPSGLSLDRY QSAYEAQISPFAAFRGRESARAYKRMSVPERIIFSITRMVLANRTSRNLFAAYCFALH IFLIIMLYSMSTTGIEKHAGIMLDHGTGQNLNGAEDGPLG TRV_06432 MGSDGDIDPRRKRRRISPPETGPYVLRPLLEDVPVQAEDGEGQA HITCVEYWNENLYVGTSAGEILHFVSLPSESELEAGKPSFILASRQPITSSARTATSC GIQQILVLATASKACVLCNGSVFFYSLPELSPAYGTTKVSNCNWIGGLDLDELDAEGG EEASDPIIMLGMQDKIMLVKIGGGPRRVRNIEFPGCLTANRRGTIACVADSRSYALLE VEHRQKIPLFPISSNDEYDEFKVEDIPPRSESPARDKLPVASNGNSGVKTHGRSTSLN AIAASSELRQQSTQADVSGTSTPDSLAEGKLPKPTSSHDRRLSIPSRSPSAAPGDGLG QKPLPPTPSPTPKKPTSMLKPHIVSPTPSEFLLVTGTDASNPAVGMFVNLEGETAERG TIEFQTYPDAIVLDNGDEDPNSHPSGDDADGYVLAVVNAAIDTEADGEVTEEKCLEVQ RWDVNPGEGGRQRSLINISLEPPVSGPVGVARTVSSNKINFADVGELLQVVRLKVPDL RTPGSMTPQKNNDPRTEASIEQLQKEKELFENDSDSSKQVKLRRGWEAERNAEELAFA KTLGYVKSNVMLWEGNQIWRVLRNPLALQLENALMLTQEIQDAKTCRIADKGAIIDMM ARLDSILPRTAPESLGLNYVKRKASLLLFGDLIATNPEYQTPSAIKSVEDVLIAGELD PRIILLFTPLLREEILQGPQGIWICAGLTKVVDTLLEVSKDQDGTIKFNFTEPILRML VRYLTSWHRKRGYGSVTDDTYVFDSVDSALLRLLLHLDATHNEAMNGKTVASDLRQEL NKLVDNWKGNFTRAVELLELYQRLFVLSRLYQSRKMSGHVLRTWRRTIEGEKDVGGEM TIPAVELQVRKYLVKIRDPNLVEEYGLWLAARNPKLGIQVFSDDSSRVQFDPPQVIRI LKDRAPGAVQVYLEHLVFTKNITRYADDLISYYLDTVISVLETSPEARASLTESYSTY RALRPPKPSYLSFITENAPPEPWWQSRLRLLQLLGGAARSQFTSAASLPSDLPYSISA VLERIEPFKNELVSECIILGGRQGRHDDALHLLTHGLGDYDSAIRYCLFEGSGASASH SMFSRKFSPSEGQKQLFAGLLREFLHIADPSERTERTGDLLARFAPLFDVREVLDLVP DEWSVDALSEYFARALRDLTSESRNAKVQRALSAGLNLKVCADFIKESEKIGGWIEDA GEVKSLKGGRDSTAESEPQAEGAAKNNQSPNEAGTQIRPDDTLKIS TRV_06433 MAQARRSIYHNPNAKQFRLDREVAGAEKQFHQSLPSYEPSPLVS LDRLAKEIGVSKVFIKDESSRLGLPSFKILGASWATYLAVSSHLKLSSPSLDELSRAA ASARIKLLAATDGNHGRAVGRMAKILGISSEIFVGKNLDQHTRDLIAGEGATVTVVEG DYDDAISASAKKADEYPNFILVQDTAFEGYEKIPAWIVDGYSTMLTEIDDQLQDQGLK ATVIVSPAGVGSLCQAVVSHCKTNGKEMSVLTVEPDVAACLHKSLKAGKMTQVKVSKT IMSGMECGTVSSIAWPILQSGVDASVTISDYEAHQGVQYLSSKGVNVGPCGAAGLSGL RHVAKTNPSCIGLTSDSVVVILGTEGSRPYNTPRDVSSDDCVELTQTLTQINSSNPSE TNPSGVGEGEIADYITAWLEHRDLEAHRLEGTPGRPSVIGVLRGSGGGKSLMMNGHID TVTLDSYSSGLDPLSGELAVSSAGRKRVVGRGTLDMKAGIAASMAALAMAKTSSPPPR GDVILAAVADEEYSSIGTKEILKAGWRADGAIVVEPTLEMIAHAHKGMTWLEIEILGV AAHGSRPDDGVDAILLSGYFLTALKEYESSLPEDSDLGRASLHASLIKGGIEPNSYPA SCKMTIEFRTIPVQTKEGILADVNDILAKIKKRVVGFKYRPPQVVAHKAPFEIAKDHP FTRCVYNATGKVYGNPCVFQALDPWTDAALLHDAGIPSIVFGQSGAGLHSEYEWVDVE SIQRTEGVISALIQDFCG TRV_06434 MSGDDGEGAQLSTNQENTLQTYLAVTGQDREAAIPLLRRSEWNV QIAITRFFDGEAPDPVEEARAAMDSSGVPPPIPQTRENLMASDLYSSSNILSAIRQAD PAPRITSQPNDTPPFRPPFLLALLFTPFNLIYRLLSGSLRLFGTLLPFLPRLLNTFSS SAMSRINKSSQGRRALAPKDTAARFIREFEEEYGPHSLPFLENGYNMALEKSHKDLKF LLVVLLSPEHDDTDVWVRDTLLSPEVVTYINDPSNNVLLWGGNVHDSESYQVANSLKC TKFPFAVAIAHTPNVSSTAMSIIGRIPGLTSPSEFLEKLRAATNQHKASLDRVRSTRA EQQASRTLRQEQDSAYERSLAQDRERARQRREAEAERERQEREAREQQAAAEKHYKDL QQWKKWRAQSIPAEPSADNKDAIRISLRLTSGDRVIRRFSGDADIEEVYAFVECYDVL HPSEEDNANKEDENSPSEVTEPENFEHKYGFRLVSPMPRAVYELEAGGTVRERIGRGV NLLVESIEDDEEDEDEDDE TRV_06435 MASIAKPGLEDGRRTNGSPKLKGRVVENAKDTLCRNVTIYGRCR YEDKGVQLDRLRSLARQQTSPAQPDPNSQTLPLKATMDSSCLASSSSGAGVKQKRLNV ESPSFTPSTTPNNGTAPTSAVTAKKPATLSPKAAGAAPFLPKGSLSRANSGISQLRQE TGTPEWGLTDVPDFVPQRFDTVVCCLFQFQLIIGTGPFTKVLIAQDSMQATNNGVLAT GNAYDPFVSTTTPLATAGAVVTHPLQPNPYAHDHTGIGPTSYFTAQSTFQQPAQYHLY APIGPHNQNILGYQRNVHDLFIPNDFREELQKKAAATLQTLPSTFINCYILFRVCFTD CWLDSQLPTQIDHFHSLVPLDVTHQKNATIFGYPSWVYKAQSSKDGNYYVLRRLEEES KANFFKRLPVNKRKGNQMRAGMEKGHLCQRGDGARCLYQPQLPGQLPDLRDGLPPAVQ DAGRAAPGKPHAVPEPAREHACNRACALGAIHSAGLAARVIDASKILLTGKNRIRLNA CAILDVVQHDTQRSIADLQSNDMVSFGQLILTLGASSLAHNPTKALEHFSRAYSAQLY NSVLWLLGAGPSKEPQGQLQPANQSQNPNANANPNANQGSDRTIDVFITGISTQLIST FDSSLHVDDELTHDLSRELENARLVRLLTKLNFITERPEYDHDRHWSENGERYFLKLF RDYVFHQVDSQNAPVVDLGHVLTCLNKLDAGSEEKVTLISRDEQSCFVVSYKELKKGV EMSFQALMKRREEWVDGWMAVGIWQLFVLYISDPPGTDLAFDSASAYIKNESTTYQYH TRV_06436 MSERGSFRGGQSRGRGGGGRGDGRGRHQGQHRGGHGQSQRDGQR DGGQQRDGQGQQQQEKPKKENILDLTKYMDKEVNVKFNGGREGETVFFSFHCGCANAD WCF TRV_06437 MDPKRPRSPCGGSTSDHPAKQPKTTHPTNPHPPLHQHHQPHQQP HPHQHLQINYLARQYSDTLPLVSTDDTLPAILRWIGEYDGVLHRHESIAGNLGACPLG PILVKRFERLFDGPPRVIRSASASASGGGGGAGNAGGAGGAAGDTTAKETTVSWLDIV EFAKEFPDKFTLEKARSGSRVCQLDIKHARVEISEEDYVLIASGMPQKMIPPQPISED EEKELGALEILEKNLVYIIQLADQVSARARQLNHRLKNRRNAIVSRRENDASLALSQT RAISPWKDSTTPNGHGQSRTASPPGFVAVNSRQDPSSYHDEPAQFAFSQPISDNVTII NGTSIKGASPSTRAELMKKFFTTSDRRMHQQKQQQQQTDHHPDSDQQSSSSPFPGQAN SPIPPVPIPGTPSSLLPHPKPTPSHDRDETAPFKAAMVARMEDLARGERILPPCDRCR RLNMHCLKNLTACMGCTKKHAKCSWRDVKAEELQKDHRKDRAASQDAATTTTTTTTTT TREKDRDASEDVSASTSAPDDRGKNSPARPATTTATTTITTNTTNGTTNSGRNSQRHD RNASTRLDDDSSDVLAQAIMDTFDHHRQRTAERERTQQQQQQEQQQQQQREKDRDREK NRERGTSHVVEAR TRV_06438 MSDLSVDNITAHTTQTNSSCPDPRTRYIFERLITHLHDFARETR LSTPEWTAAITFLTQTGQKCTDTRQEFILLSDIIGLSSLLDSIDHPSPPGTTQGTLLG PFHTHDAQHLPAGAAISHDTSGKPLFVLCTVRATDGTPLKDVQVDIWHADSTGLYDVQ YPQRDTPEGRAVLHSDASGRFWFSAIQPVPYPVPDDGPVDAVFGVKSSLVVDYGVVDE AMGAEYGVPAGMALLRHEFVLATVAQAREERDRNSIEALRKLGRNVKIVDGLPVPDVD TRV_06439 MVRLPPENRLPLLTLPREALLAMTEIWGKKTENMDDELTGAFYL NPASSAKSASARGSYLRTSFKNTRETAQAINGLKLQRAVTFLENVIGHKEAVPMRRYA GGTGRCAQGKQSGVSRARWPVKSAQFLLSLLKNAEANADTKGLDTGNLIVKHIQVNQA PKQRRRTYRAHGRINPYMSCPCHVEMILTEGEEVVQKSTAVSATRLSSRQRGVRTRQA ITA TRV_06440 MISRFSGQITGPFDAELVKALPNSLAYICHNGAGYDNIDTTACS ERGIRVSSTPIAVNNATADITMFLMLGALRQAYVPISAIRAGQWQGKTKLGHDPQMKV LGILGMGGIGREVSRRAKVFGMRVIYHNRNRLSPALEEGAYYVSFDQLLAQSDVLSLN LSLNATTRHIISHREFAKMKDGAVIVNTARGALIDEKALVSALKSGKVSSAGLDVYEN EPCIEPELLDNPKVMLLPHIGTATYETQKEMELLVLENLRSCLQSGKLITPIPEQRDA WKSQAGTGISNCNGNNNGTNGRQNNGRNGHNGHVFHGHI TRV_06441 MHAIIIDRETAGQTQAGGDDDDDDDGEMNASAGFHLAVQDAPDI AGYYSRIFQNSVGNGPYGIKPILDSLMQTERNQLDDCPG TRV_06442 MDVTNHPAAMCHRLPSSISDVQGALLEPLAVCLHAINRSNPPTA ADVALAKSVAAANGGAAPDSETAVLIFGAGAIGLLLASALAITQHFTTIVLADINQAR LDIASSLPFGCIKTCVLDPPGSTATSAQEEDQAAKDLAATLLRRFGFGHGFSRVFECT GAPACVRAGIYASSAGGVVVQIGMGGPSLPSIPMSASALREVDLIGVFRYNGRCYPAA IQLASSPVFGQVADKVATHTVELGEDDGQKAFRLAASDRDDDGKTVVKVVVIGGGGSS FLS TRV_06443 MAALNDIEGRLALVTGASSGLASRSVHLALTYAKNSDGMNAVVR DIKELPSSSNLHISVHQVDVGQAEQINRLFVEIPAEHGGRLPDILVSNAGYGVKIPQI WDISLEEFDHTINVNLRASFILVKGVVENMKAQKWGRIVFMSSISAHGGGVNGCHYAA SKGGMTGMMKNLATRLAQFNISVNDVAPAMIGETGMIPDARAIPEFAGNIPLGRLGTP DEVASVVEMCVTTGYLTGQSILLAGGLK TRV_06444 MLHSVGRLGFMHDIHAGAIVVVVAVVAAAIAVYGVRAGGGVGVG EDGIAVIIIIIIAAAAAVIVGVVAAAVVVARTAAGVVVTAANAVSDRRNGRVGDRVL TRV_06445 MDEPEYKNSTTTTANTTNTTTTTGSPKPKRTASGQMKRVSRACY NCRRRKSKCDLNTIKASAGALGASSAVPPPARPPETSISPQSTENYNQSRYISPYNEH DRYGPSEGHRDTSMDDDDDEDSTGESAIGSGALRNPSDAWQRLTNVAKRGTDAPRPEG GSGGRANTNGAGARKGIFAYRLVENEVLTHGLVMQLITRYADNYHCYFPLVPRKYFNS ACLDQFAEEKHLLTAVLTVASKDLTSMPHVHQGCSKYMLELISGIAAGADCDVEAVEA LLILAEWEPQGLRPSIEPVGRGEEDRAAWMHVGIALRSGYFLGLDKTSFRNDAATGDT QADNRKRLAWASCYVSDRLISVRIGRAFWSRGPGPMTGLVSHDFPSLQPQSPHDEDYS KIFQAILDLTQLYGNVHDVLYSGMRTSRNMMLMGDYVKYIDDFRVAVSRWYRLWGKMP CASNLNVMLQMSYEYLKLYINAFAFQAAISQALHKPKGDDSVSQRDHLRAAFSNVASM QDSRFIYESVEAAKSYLTILTNYVDPERHLHFMPLRFYL TRV_06446 MTGQGEEDAAGWSRGREEEEKGREERKNKGKGTGNKQQQRRRKR RRMGDQASLQQQHG TRV_06369 MLCRLFTLFITAALACCVAAIPLQGQQSNSIIERTPGRWPWHPH RPRPHRPRPHWPFPKPHWPKPHWPKPEPEPTAVPTMAPEPTTVPPTEPSGTYPPDTTP TVEPTVVPTDVPTTFPSMTPTADPTVAPTGTSVPTGVPTGVPPMPGTIPFGTVINQCT VNGTIALTFDDGPYDYTGPLLDIFAKNGAKGTFFVNAMNFGNIMDYADVIKRMYKEGH MLGSHTYSHADLSKLNSTGIALEMKKLDDILAPIIDGNRPTYMRAPYFAYSDTVSKTM AELKYHMIDANIDTKDYEHATPDGVPISVENFKKGLEAGGTITLCHDVHQTTVELLIQ QLLDEIKKRGLRAVTVGECLGDPQANWYRPAQ TRV_06370 MQFTALLAALGAPLALAASIPAAAHNHSMIDVQLAATGNSMIKA TITNTGDRTLNLLKFNTIMDEHPTRKVMVYQDGAEVQFTGMLPRYKMSDLTPEYFVNL GPKASVEHSFDLAATHDLSRGGKIVVKAHGMVPTAEENATTITGHTLYESNELTMDVD GKQAAAVEQAMGGDDSTGVIDKRSNIVTSSCRGSQLRVLQTALSNSARLSRAAASAAQ RNPSKMREYFKTADSRTVQKVASRFLSVARESSSGSTGRTTYYCNDNRGGCHPGVLAY TLPSKNQVFNCPSYYQLPALNNRCHGQDQATTTLHELTHNPAVVTPFCEDLGYGYQRV SALPASKAIQNADTYSLFANGM TRV_06371 MPAKVADLTVRRCDPAEFSDCDIIFSGLDPDAAGEIEMAFLKAN FAVFSNAKNYRLDPMVPLVVPLVNAGHIDVIPAQRKHFGLDKGMLVCNSNCAVVGLVV PAKALIQKFGPIESVSMVTMQAVSGAGYPGVSSMDIFDNIVPYIPGEEGKISSEARKI LGDLNSDLAGFSDQKPLQISVACNRVPVLDGHTVCASLRFVNRPPPTASQVREALREY KPEVQTLGCPSAPKESIHVMDEVDRPQPRLDRETEGGYACTVGRIREDDSGVFDIQFV ALSHNTVLGASGSSILNVSFHFPPTVHE TRV_06372 MHYCAEPAPIYSLSLLSLSLSRLCFLLTWVFFGQGCSGVCAWIS HHHPAETDLSFENSAAERRWRRREATAGKGTSSDTASLEQLIRILHCGFKWDRFPVFC ALLAGGSSILPRALEVVRLRFASSGYLQSLPTTGIPLSSNRPLRFLAAFLSALICFPI LNRTAKHPYGRRAGLDKHAYSYQSSVAKKNKDYGNSNGNGGGNDFDDNDKHHLAGRTL DLTLFATTRAADAIVNLLWSQWKTSPRAAHGKSKTGQRVHSLAPKLADTGLFAISSAI VMWAWFYLPERLPYSYGKWISEAAQLDSRILEALRTARRGEWAYGRDNGDQVVLESMC ADYGWPIEWGTPQKTVPIPCEIVHMGCGPNCEKTAIWRFARAFKFACATYIPLQIVMR CRSRNLKTYIHAIKSALRSSTFLGLFVSLFYYSVCLARTRLGPRLFSSKTVTPMMWDS GLCIAAGCAMCGWSIFVESPGRRQEISLFVAPRALATLLPREYDRKVHP TRV_06373 MTETVSPFRRSLFSANPNSNSSHLGSGLACSMELGLSESAHHMK GSSTPPPGPFGCTYPFEVYEGEGEGRHKRINIEETRLTEADALISPQDTPFDSAPERP LGPALLDDSESNMLDNLFTSLNASQFDNNDFWLSFGEQNGAEPGSNFSWPELPPNFEG SATNLPTPQSHHPHPAKQSGTMSTPDRSTALSSDVLAAAQMLYQNGHDNLSALHNQLY AEHGLLNHNSNANYHTNSNIHFQQQQQQQHHHSHQIDAKRAQLGRYNDGSVPQKEFVV IPKGIHTTTMIFDPAAHRSPANDHQQPRMRASASKLGPSMLQWGSDSGFAHQGYRLPP GQPTVEETTENLLHNLECLEAQSSAANTRPSSPVRKLCDRKETASSLAASRNPVPPSY EDRPKKRRKSNNKIKEEDPELASALSSSKSPTTTTPTSSTTNYTSSSSTTATSFARPS SRKSKGSSPAGNGTTIKPVRENLSEEQKRTNHILSEQKRRNLIKQGFDDLCSLVPELR GGGYSKSTMLTQAGDWLADLLAGNELLKAQLADLKARGG TRV_06374 MKISFTVLLLSAVSLFTVASAATPWKFYRSISSTEYANTLRTMT DTGFRLTYISAYANSDGEARYNVIFEKPEYTPAWRTNYGYNVNEFNKTFEELKTQGFR PVLVEGYSFGGERHYASIWENNTEATEWAERRNMSGDEFTTWFKGLMKKGFRLRHLSG YEFNDQQQFAGVFEKRDSVPWKAYAGLTAAEYKIKFNAAKRDGYYPVQISPYTACGKV WFAGIFEKMDNNKDKPIALFGLSPFQYQMRAAEWAIKGYRPTMVDGYLDRGEKFTAIF NKA TRV_06375 MQLASSYDLETLYQFTSSRWLWGESQQLKARYMKFNISELLRIA AGAIGSDSCTTIVKVSEGHYNKVFHLTMNDGREVVARLPNPNAGRPHFMTASEVATME FLRKVLHFPVPKVHAWSSRSDNPVGAEYMIMEKQPGVMLRDVWTTMKGKQKASILQQV VELERILTSTKFTKIGALYYKEDLPTPKRNSPLYVDGSGNDVYSPEFEIGPTNHRSFF DFGKGGLDIDRGPWPDITAYLEAIANREIACVNAGLKYPLFPEGLFHGPRQYQPTAAK KISALNNYLKVLKYVLPENKAVHESVLWHGDLHSQNIFVDPEDPIRIVGIIDWQSASA CPLFMQVTRPAFLDYNGPTPKELGRVSLPPNFDSMSAEEQVEAKALYHSQTLHNLYLA LTVRSNPTNFQALQGHSTPRQQVSVLPGLMLTDCEPCLNSLLREIKDSWPTIVGVAAD GKPSIPCPLDFSAAEVEQQERDVELWAQGVELMNEFIRDTGAFKHWDGRVETADYDLS KRQLFEGINKFLDREAKNEEERAAWLRVLPFIDSDERL TRV_06376 MPPKRRQADSHEPASPPANTKRSKPNNAPFALKPRIRHINEETI KSKWTTLPDSTQEKVKLLFQSVELPVITRNRDEKKRVEAQSALATVRKNLGKRLPKMP FPAGTRDGSFDYEGALGESKALESQLAAATSSIRLLQAEIKREEAELAKDKLKLEELE RNAKAAEAERKRLNKNVHPVLKQLDESNVEEAVSAEFKLEVKNEESLFSEIDTDPALL PTIKQLRNHLESMQGNAAQDQLFTKIDELGKEDRRKTGLVPARARYSGAD TRV_06377 MGGEAAETATGALEEGKHSNGATEPTASAAPAASAEKDQDTAKS AKPTIGVMSTGDAQPAAEAADRAPPTGSSPKKRRKVNHAGYMREPASSLVTASPSPVC YSFRPAGDGISILLYGFHIANQTCRAQPSSLFPSPLPLLDRFIYFIQRIYSPNAAAAA LLFLFFFLISSFLFFICLLFCSMARIGSKTADHSFAL TRV_06378 MLDLAVQDKKIWLTIDHHLRRCIKRNIGHLCHDKPREPTKRVKN DTEGTAAATSVADESTTANNDFEGKGMSQTIDGRDTLDDPILPDTGLSMQPPALTSSS QSARPQDIAASGPNIDIGQQGLMGYNNEWRLGGQNSQFQDIHSFHPSYMFNAPEFTNE YNLLNDFLSTSLLDDGSMFPNDEARGLYSDMSLLNSMATNLSRNNEGYPQQQQSSTIS PSQFINPSQASQGGSIQRPNSTVGNDKAKETYYMTAADPSGTDPPEERMNKLLKAKYD AGLLKPFNYVNGYARLNKYMEEHLQPSSRQKILRQLDKFRPAFRERMHALTDIELVLV EMWFERSLMEYDRVFASMAIPACLWRRTGQIFRGNQEMAQLIDVPMDSLRDGKLAIHE IVVEDQLVSYWEKFGAIAFDSSQKAMLTSCTLKSPDPNSPKKNIPCCFSFTIRRDNHN M TRV_06379 MSGFSVGNFSDYRYSHTISNKQTVLVSRMLSPLHKVLNKPGKPA TLTSVNDLDEYLSLSFSFDSTQLASPKRRTLDDLGTETWNECVKELAKPQNIDRIETM KILGKFVNTAHLVMFLAFLLIEWGMDEESDSSGMGYSDLAKKVLERLDRHNSGISKEE SSEARELAAECSFQLSILTWKDRLKGLGIAQQPIPFAVHSLEWKTKAADILFRIGTAK KREGDPTAIEWLQCCHDFIFAQSEEQETYEQFESIRQALLHELST TRV_06380 MANYSSTGSSTSTSSDASNSLGLKSKLSSTTQASLKITPANSPV LKPASNSRNQYKSTSYLTTLSLQTVIGTTTSSSNGFSYHEPSRSFALCAGSAAVLAEL DEDLSVSQRFFRARPTATSVNPVLSFYNPPGPPTTPESRLRPPQASLRLSTSVNSPIF SNSPNAAEWAESIASKSWTSRERIKAVTSVSISPNGRFLAAGETGYNPRILLFSTAKD SSADVPLSIITEHTFGVRSLAFSPNSQFLASLGDINDGFLYIWQINLRNGSAKLHSTN KCTSFVRDMCWMGNNLITSPLPVALSGRNCLLGNLHQSTFTCVSSISDTEAVICSDSG VVCFLDDSNNQQKLQYVKRFDIGVSSVVVDSNSGFIWFGGRSRQLEKCSIDEMRSSPM ISPTSANIDTQPCPTPKKASIVSMGIIDTHMITVDSSRTLRICPLDPLSKDNIESVAD VSVSAHRDSVLGISTVETPNSKSSDFFTWSCGGTVRFWNVNGTCCDTYKVDLEQISDE DEDANELKVLRATGGIKMFVSGDRYGVVRMVQLFKSKDYSIEVIQTMDEHVGAVGQLL FTKDGKRLLSSSADRTVIIRERMTREDDSGSTVAFLLSKVITLKASPVSIVTPPGDPD TLILSTIDRLIQRYHIPSSRQVHSFRVLDPESGDTAVMSSLILEKDYPEYGPRLLIGV STTDKSIRVYDSEKDTLLTREFGHTEGVSDVVLLESNEPNSTGAIKRTLISTGLDGVI MVWNLSTQQQYLQESPHLLVHPEEETPTKELTAAKPPLRRILSKTELAGFQKSDNVLT TPTPVRDSPPRIRKKTSRYTLGGGLKNGVNGVSTVSTPPLPPRRSPTAPYSDNRNQAN RSPSPPSPRHMSKTVANKTSSGSLRANGSVRRPSMLEVRTRTSSKPNWNSSPNSNSPS EFGSLNMSTEQVCRTLRAYRKKLNVSSDKFNLQGASELERELDLTVRSLGDKMKKTQL NGTKSDSHSNGNTPTACRNKDLSPLASKQGRTARRIPSTPNLSQARKCKGHRTNSLDA DGEGQSI TRV_06381 MSSFMDDSMIGRWLSDLPMDDPTRKSTSHPQLRRFVHHEKPIRY KQFLGGGTEGDVYLVKIEGREYALKVPLKFKQWRFDAPLSIKRRLKERETHYVSPIAN EARAFARLDSLGKNGTWAVKCHGWMKLSGKQRPKYLSHHTPWAIVKDYIPNPMTLDDV PEIRRKMKIARKALLFPDDTQPRNYRGSFLVDLGRVTTYPYPRVLWSNEKRRQYFKSF DKEASEWVECVRDGEVISGSINEILKENIIRGIAIAEEYEDENRAADLRRMLKEVSFI KIWF TRV_06382 MATTLLEYLTQPNPVVDNSNSLKGLPTKCVPKEDIQIVSWDDFT YETLISCYGAVLATRFNRPFPEISPPLRRIEGEVVDEDSLDHLLTRSIASIVNESLRI TWRAFYSDYPNLAIDMTRGGRARASQNNPDIPRPPHDRQQASSASTDHTPVFPDWAGV QADLGPVSHLNLCPGDTKLSSKWKSDIDMDKEYHDWPYAQLIKYCGETWNTRYGYLIT DKELVVLRISRAMIPSGIANKRSPRNVASQPSRPMGQDSPLFVSSSPPSIRSSPARQG HLRNISTSSVASAMSIDQPSSRPRQLSIAASFSSLSMSEASEHAPGSERMSSSAHMPS SQSYRDDGRGGEYRPVEMKSIPWNNSGKGKLTVKLALWWIHMMAAAPGCDTTIGPEYP HMDSWVLRPHEGDYRHTTTGLLSKKLPKNAKEISPRRAAQGIVTPPRQRQSSGSPPAG HLSSPNQPSPQYPTKEEITGMCWIPERSRWQYRTRRGSVGLIRHGVPIRSSDGGYYYI RRTREGGSQWVRAESEEEEDEEEDEDDDSDENESSRNLPPASSKYRRY TRV_06383 MKHPSNGLTGAYRATDKNKKVVAVYIAGEAVGALTQTFIGDKLG RIRFMQFMCVVVTVGTAIQTASVNIGMFLAGRALAGYAVGGLVGTVPIYLSEISAPHQ RGLIGGISGCGIALGTMMSNWVGFACSYAPYGPVQWRLPLSIQIPWGIILFVGLATFM PNSPRQLLRIGRVDEARAAFLKIRRDYDLNESIEEFTVMKAQIEYEMRREVASIREVF NLFKHRALVSIAVQTMTSLTGVNVIQYYQTILYRSLGIGPQTILALTAVYGTVAFLSN FITTLYLTDQWGRRKMILSGLSGVILVEIYAAVMQWTFQNTNNHVGKGFAILGIYLFV VNYYGMLNSTTWLYGAEVLPVSLRSKVMGLASASHFIVNVGITEAGPSAFANIKENYY YVFVACTLLFLIVGYFYFPETKHKSLEEIATSFGDKVVGVSSSDSETEREVMAKQPAI QHVEAHSAASTTV TRV_06384 MSSDESRSSETAEARAAFTASLKSVGANLDADLRTRAGTLHDNA AVIDKQQEDLKRTTQDVSKQSKELEKLLDQGQNGLKEVGDLQNFAEVMERDLLVLEET LRLAEEEDQRVGRVEKPAQSWLKKWF TRV_06385 MTSDGRNVNIFLLKSLRRETRSINNNRQLGDNGISSTPHCCHHD LNMATIKPIEARSVHQIQSGQVIVDLCSVVKELVENSLDAGATSIDVRFKNNGLDLIE VQDNGHGISPNNYESLALKHYTSKLSTFADLTSLQTFGFRGEALSSLCAVSNLTVVTA EAQQAPRASKLDFEFSGKLKSTQVVAGQKGTTVSIENLFKPLPVRRRELEKNVKREYG KVIALLHAYACISTGVRFNVKNQMPKGKSVVVFTTKSNPTTRENISNVYGTKTLLALM PLDLDLEYEPSTAAKRFSSQASNKIFVHGHISKPVFGEGRQTPDRQMFFVNSRPCGLP QIAKAFNEVYKSFNLSQSPFIFANFEMDTSAYDVNVSPDKQTILLHDAGALIESLKAS LTELFENEDQTVPVSQLTFSKQSQLTPNRGQTPPASVGATQDKPEEPPGNAMYSQELG QDDTVEENNPEPTQTSQSSIRGVLQRFEGGDQESSPHNTDITVENDPVIPESSGAYDI PSNINDETAEGNPTQLQPTQGQPMSSSSMLGTPTHKPGVVQNAFDRMRMNRIPVDVAT ITIGNETYQSTIGRDPVKRRLDSYPGLHLHSPSSRTRAITVRSKIGRSIQSFTAPGTQ LEQGQIHDDPIEDDEGEEDNETQFIGRLNGGNGPEEEPEEDPGEDSRGEDEVDGEGHI DEAHEERRVESLQQDQPIHPEDTDSPGSGSGESYVDDDERKTREDAKVNELIKAAEEA SAVPSEHSVERAERLIRKPRKKDSTHELACTIDASIEKIETQMKSLQRRIQSLSNRGL HRVEDADDDGDQQIAPETKLSLAVSKKDFSRMRIIGQFNLGFILATRPGVTGDENSFS TPSAEQEQDELFIIDQHASDEKYNFERLQAETTVQNQRLVKPKTLDLTAVEEEVIIDN LAALEKNGFIVEIDTSGDEPIGRRCKLISLPLSKEVVFDTRDLEELIVLLSEAPQQSQ NNLGKRARDELDSDAEHTEPPGVASSPFSDYLVPRPSKVRKMFAMRACRSSIMIGKNL THRQMETVVKHMGTIDKPWNCPHGRPTMRHLVSLGQWNEWSEWDSHKERWSGAGTQPR SIKHIWEEFVEDYNS TRV_06386 MATRIAYAFILLINSILSWVMLTRWALNKLEHLTFDFLPITCDG QKCHGWVAVHRINFALGLFHIILAVLLIGVRSTKDERAKLQNGFWGPKVIVWLAFIVL SFFIPESFFIVWGNYIAFVGAMLFLLLGLVLLVDLAHNWAELCLQKIDETDSRLWKGL LIGSTLGMYLASIAMTVLMYVFFAGQNCAMNKAAITINLLVFLIVSFISIQPAVQESN PRAGLAQAAMVTIYCTYLTMSAVSMEPDDNQCNPLLRANGTRTASVVLGAIVTMLTIA YTTTRAATQAFAMGSSAAQNNYASLSQDEPEHGLVVQQPGLTRREMRAEALRAAVNSG SLPASALDDDDDESDDGNSKDDERNSTQYTYSLFHFIFLLATMWVATLLTQNLDIEAQ DDLAPVGRTYWASWVKIISAWVCYAIYLWTLVAPVLLPDRFNV TRV_06387 MASPIPYITPTAFRFMTVVAGTLVALASGTNYVYSAWAPQFADR LKLTSTDGNMIGAAGNVGVYAVGIPIGYIVDTKGTRLVALFGAIALFCGYFPIHLAYA AGAGSLSVIFLCFFSFLSGVGSCAAFAAAIKTGMGLSKCSLTSNISGTHTCTIAANNF PDHRGSATAFPLAAFGLSAFGFSAISAMVFKDDTSEFLLLLALGPSLIIVVCTYFLQL LPPPPSYSAILNGEYPDSNLLQRTKPTENIQEDSDTDSNRAIASFSSAQVTAVLPSAI QPRPTPPDADADETSSLMSRPRSLSDSGSYSQYDQAKHGAHAAGPDIRGLSLLPTPEF WQLFLLLGISTGVGLMTIK TRV_06388 MALWRHVDPDVDSHFLRERQALHVSVFSVISFTGRLLSASILFC ISQFGGAKISNPHHLLFVSSMTGLAYGVLFGVYPAIVSHAFGISGFSQNWGVMTLAAA IFGHIFNYIYGVIYDSHSKVLPDGTRQCSMGLECYSTAYLVAFYASICSGFLTLVGVF LERYRRHQRLLTGEDEIPQPAA TRV_06389 MAISIADAPGPFLYPFFLMPDLKAAPPVRHSLFHRLGLRSWLGR DGEGIESHSQFIARELSRQRLAGIPSSSAKRVHDDNESDVNYDDDIDGVTSVADSEQL AGSLPQASPSNVTVGLPRAPTFKRQNSERRDHLTPSESGLGHRRAYSADRQGDIGPCR TSSPLPAYSPRNSVPDLPTKDYDVSAQASPTLINDEPSPLQRDPELGQRQSQTELASE YGPPSEGTIDNELEAELDKKWILNLSMRFRDKSDREKFFITYAEAPNRWRKVTVSCDY RNAEPDSLESDLKKLQYHREKNAHIYESIRESIDEIQFYDTVTNLKLETRDGRLHVHV TEDVNEVIIFPPISSVEYLNPLFIPESELDFDSHLSGFVYRVKYHGKDYVKKEITGPD TVEEFLYEINALHALLDSTNVINFRAIVVDDSGTLVKGLLIDYAEQGALADLFYDFKG ELSWKRRERWAKQIIKGLGDIHEAGFVQGDFTVSNVVVDEHDDAKIIDINRRGCPIGW EPPEFAKKLESKQRISMYIGVKSDLYQLGMTLWGLAMEEDEPGRQPRPLLIPEDMKIP AYFRRIVDICLSERPQGRLSAKDLLALFDDQRDEFFPSPGADVPRYAPMQNLNGVYQG YPHSNYNYQLTSVYMDQHPKGMHYANVPIGDRLRYQHMGVEPVIMPPSRNSPDFHCAN NNLQAAHNGEHGLPDSPHGLNHNGQYLPPGSHWANGHNPVPYYNPAFVRPALASHHTS MGLAEMELLDRQDRDTESDPRFDDFGPVDLPVHSEGECPVDEDYIHEIQDHVETDPSY LVDGHYPTPDQAHLPLDSPPFSEYYGDARLEYNPEVKNTIQVDPPNDREGINYPKPVL DLGDLSSSRLPINPDFMKTTSCDLATHHSDISPSGTTFFGQPISNDTLFTSSLPINPR YEELPSKPVSLNKYADDDFLSSSLPINPAYVDPLDRDTSPRERTSKTFSGGIESTIPE LQITPQDDLFRSALPINPCLSEQSLDIHRNTPSSLNQSRSKLAAPKPELVPKQKLSGN TAVGTLPSSDASPATSNDLFTSLLPINPQFCFQDFSARLSHNNLFTSILPINPRHKGQ TIRQPGNLGSPIRPSLCSTHTPTISVPPHQIKSPQLSSTILSSDDLFLSTLPINPQHK GRQLDRLTLPLSKAVSNDLFSSNLPINPAFAHPTPSWRKLSDSQKLYKATTNTPCTEL LTSVLPINPAART TRV_06390 MSGSAVSPSSSPRLPSPPPFTEVQIAPKSPTVNDGKSDGSDEFL GIPHGQDDASARRIRPGTKAANMASGPPLVPLSELDSPFQLQEHLKASYHHFTQPAGS DTVAPITREIARDLAEPPAGVERSLWLYELCRFLTMKANNLVVAFFAEEPPCSAQTCP EMRASEWQYLCAVHDPPKSCCAIDYCCHTLDWATNVLTSPKFFPSRLTLGSDATGGPQ ASMRHLTNVFRRVYRIFAHAWFQHRGVFWQVEGHDGLYVFFKTVCDVYSLIPDDNYTI PPEAEGDASHTEPSNSQSQGVNRRLTILRKEDSVTATPPNSLEEPGTGLSAATTRRHR HSPSTGVGVTTIAEAAEDDDLNKNTLEGTQPLKEPKVGRNEKIAEDIAEDIAEEITEE PESLTQNEGQNISLEEQSTESSDSSNTGKPAAVLEESAATLPAPAEEVTDSEKEPTEE TPGSPGPSEPTVSSMDDGLEENQTEIPAQPIEEKGEEASESQITQGTESKLDEEDTSA KPEVPIDSDTPSTEQPEPAKEANTTEESEEKDPQEPESNTPQS TRV_06391 MSDDDDSPPQLVDVTATPEQPEEQPKTPTDQSLPRVPITIVTVY GHTDCSKPEFGDCKAGNFYATVDIEKSMTVNQEGQQVEEWLELANGCICCSVRDAGVL AIESLMNRRGTFDYILLETTGLADPGNIAPLFWVDDGLGSSIYLDGIVTLVDAKNINR LLDEPSCEEEKQGVHEGSILTTAHLQISHADVIILNKTDLVSSDELVKVKDRITSING LATIHVTDHSKIPSIEGTVLELHSYDKLTTVDFSTKGQSRLDATISTLAFTISNITED KVAHIDEWLQAVLWNQEFPQHKHSPGETITDFEIHRLKGILYLTNGKTRIIQGVREVF EITDAEDSQPTSKPESKIVLIGRGLGLDSSVWQRSLLSYLEQ TRV_06392 MRVSTVSSGAETWPQAPPIAVFIRNLKLLRLDLRPDWPGIVPST LSDNQNNLRRRVQAVEWTLFYLFQLWDPELTRNKLQPFFPPLEPLQSSNLRAALFRSL SELTKNGTLGRERILRKAMLEECTGEKFDEILAEFSTIVLRKVVASSREYRTGAPPLS LPIGKRGPPSDTQNLLPMIIAYRASLHSMIDQKNELNSTCRDLKQFLHLKSNELANSR RMPKPLASELRYQQQIQDSINRSWHGDINWANTILNGDPQAVSEPLLEMDFSQVWSGM KKVEVKNDIESPNHPDLLAELEDRLSKQKSRLQKWRKFKDSLELDSLRTRLQRSEDYK EPEVVPQEQDGTIDPLAEHDETQKTPTRSANIDINSMVPLEQEIYYTPDASPNPKTIN DTKPVQRETSVSHEASEATNLIKADTSGNLSTEDLLAMQLGALSLEKDNHPELPETNP KIDDHPIIGDKRGIQDDGAQPQHDEDPVSRAATLLERTRQSMLFVSAATPRPWKPISE NRRQSQLLLPGDPFETPEKRAENKEKPNAEATDEDRYSDYVDYDSVFKSRPKVAASPI PFESAQSKTSGEENQEEGCSLSELGLDSSPLGKVKTRPRAQTAIRHPIPPEDNAETTY TRV_06393 MGSNTPWTTQSVISTLPHPLAENGSSPIPFFHLLERLKTTKREG WRRFNISNGESIADHMYRMSVMTMLAPPSLASKLNILHCTKMALVHDMAESIVGDITP VDTEVTKAEKARREAEVMEYISKTLLGSVFGGTPGEGLQKIFEEYEEDKTLEARFVHD IDKMELLLQTVEYERAHGGKLDLTEFYHVFKKIRLPEIKAWGEEVIREREAFWADKPN PPKLPQ TRV_06394 MPRSLTPRKTRHGRDTNSRLALLEALDTPPGSSADEIRTSEFFN GEDSSSEDSSLSEPEDSEAGLHEKPDGSDDDDDEDEDEDVNWEDVTSNTNSNIQTPAP VLRDLSIVLERNEESFTPLSLSSKKGPSKIDRLIRTQTHCMHVQCLLFHNAVRNAWIN DPETKKILLCQLPEKINKQLEQWRSIVKSSVSVSEGQDEPTYQILTALASYWSESFNI TAPGLRKRGYRPIPILGREISKFNGPDEDGNDHGEIIRDITEFRELARRRQGSRDTGA QLFTSLLRAVGLEARMVTSLQPIGFGWSKSEEYNPKEKPSRSGKKIDKDLKHPIYWSE VVSPITNDIIPVEALVLPFYLARTPERLAMFEPPAAKAEKAKQVIAYVIAYSPDATAK DVTIRYLKKQAWPGKTKGFRLPVEKIPYNRSGTRAYYEYDWFKTTMRGYLRPASKRTA ADAKEDEALTPGQARNNKPKEGDTLQSLKASDEFVLERFLKREEALRSGATHVRTFTT GKNEKKKEEKVYKRSDVVKCLSAESWHKEGRKVKMGQTPLKLVPIRAVTLNRKREVDE LHRETGEKPMQGLYARYQTEFIIPPPIKDGVIPKNEYGNIDCFVPSMIPRGAAHVPYP GTVRVCKKLGIDYAEAVTGFEFGSKMAVPIIEGVVVAAENENLLKDAWMADEQEKRRK EKLKHDKLILATWRKFIMGLRINDRLREEYGGIGETESHNPFASRMDPDPEARQATEV DSQSHDTGGGFLVPGADDDMHEEGGFLVEDDNPHEG TRV_06395 MTELSAGEAATDEMSVVARSPIHFGPFLVTPQVFFVTPLSFALV NLKPLLPGHVLVCPIRRTPRLSDLTPAEATNLFLTVRRVSRMVERVYQASSLNVAIQD GVDAGQSVPHVHAHIIPRKKADLDHKGGSDAIYSMMDGEEGDIGRFLAERKLAMERKR ARSEFSAVDNDAREARSDEEMHKEAETLAAEMEKEIEMERESSKRVYDE TRV_06396 MIFAYHHDGYDTCLDLGCGHGVVARFLAPKFKKVYGIDPSAGMI EQAKNLTKEQNVEFVQAAAESLPFIEDKSVDMVVAGVAAHWFSYPPLFAELQRVMKPG GTLAFWGYYDHFFVDYPKGTAAMQEYCYGPDKDSLAKYWIQPGSTIMREKLRAIQPPP DQWTDIQRLEYQPGLNGPDSGEGTKFMETEITLGQATEYVRTWSAHQRWKDDHPDQVR RSEGGTGDLADQMLEAIIKSEDGLRDTPSPENKVVKIEWVSALILGRKL TRV_06397 MSADMEAAVKLFFSSPRFAVAGASTDASKFGYKSTKDTLSSAGD DDDDDADIVAFSSSRVVS TRV_06398 MPSQTSLSIVTPPKVTIQVLRDANSVGVPAVWLQPGTYDDEVLE YARENFKAAIGGPGGQGSEVDFSKAVKKRQLIIWLDRLDVHENLTFLNITVRLDEYIW DKSNGLFATKDDTARLWFTVARMDNILIDNLILVSEHLVLLVQKETKNKLGEEAEGSR LLYPFKLNEKDKHGDNEKRDMVSSGH TRV_06399 MSGDFFIDSPVPPKLDLTGARSRFYHSPQPASATSSLSLCRTLS TPLMSSCKRARYGYGGLDDRAIWDRQSPVSRISSPVPLANTEYLLAEGGLDTGLLTTA GQPILGFSSQRDGDNEESELDYRPTRYRKTSRTMGDVPVTPSNIEGTKRKRDSPVPQG DDTGVKSSPGWGEAVLNLVGGVAGKVWNFCVSAPFRGFHAGGGIGYDMDGSTATVPPS KKRERPSLSQRRRSSRLMDDIPVPGRYPVDEHYHIDKPRREDIHNNWVLVKEDEDSRE ISPSCSSKKHARRNSVARHVPARRSGARVQSKRPMTPLTPTRSTNLSPHIYSPSSASS VYKTPPKHTTVSNPNETPLSRETQRHAAKLRRKEREEDASIRRLNHQLKAMIREGRQA LGTRIEVDEMDIDEWD TRV_06363 MDLRLTQTQPLQPVRLLELPPELLDLLESDHPPQLCLKSSAPTS TASTTTTTTTTSSSTTTASSTSTTTTTATATTASSRPGADFVNLCTPAKTFSLRQVNS SNSVFLIRPQVQGPGTAATVPTTTTTTPGITAVAQCKSTLEVQAMASSETALRPLLAA LAVYDESDILMDERTEEDDHPLSPSEIVHERSKVFADIPFSRAECERAWKHICAFVHK NKESGAIGCHRPSARAKLMAWEKIIEGATLHGIDLGKQFLVEDLWSAVIEEAEHTVID EYPFPRSLFDAIVRRLVDNSPIDGDLKWANLDQHITAQWTAELLLESSNGTPKAVLNV DTFSSDWKDLLPEQFRSYASIDNLD TRV_06364 MGALRTTGYPPSAFFPLVFSVDLHPGRGMKIAGHGVFLLHIQHN TAAADTESTYIYHKPLRTTRHSLAVGTHVDIGHRLLRTTVYTSVYIGAEESEKTSKKP TDEQKKRRRRRLSVSLACLAKYWTLKRLVLAAAGTSEGCGLLTPFAIFVSFSPLWPLV LFHHLLFFFSASLLYFYFSSPAIKAAAAADAARGDMNRHSAFSNGYTAAPKRESGTFS ISPHRDEDEDGEMKKIADWQRFQPRSQPALRRRRALLQRLVVGGLLSIGLLLFLFPSW RPSVLGAASLGLFAASDDFQLETVRYYDLSNVEGTPRGWEREERVLLCTPLRDAAPHL PMFFSHLRNFTYPHHLIDLAFLVSDSKDDTSGLLTKLLEELQNDPDPRQPYGEISVIE KDFGQKVNQDVESRHGFAAQASRRKLMAQARNWLLSATLRPTHSWVYWRDADVETAPF TILEDLMRHNKDVIVPSVDVWRPLPDWLGGEQPYDLNSWQESETALALADTLDEDAVI VEGYAEYATWRPHLAYLRDPFGDPDMEMEIDGVGGVSILAKAKVFRAGVHFPAFSFEK HAETEAFGKMSKRMKFSVVGLPHYTIWHLYEPSVDDLRHMKEMERERKQREAEEKEKK EKAKKIKEQFKDSSVESEKDSAAVHDIMQKDKTQQQLKEKEKKKQQQQEKDKASKQSN DGSDKTDKTDSKKEVNNEVKNEVRKDVKDVKDEAKEEAGKPDDKTQAQRSNGQKTSDK QKQKPRDKAREASRGEQKAE TRV_06365 MATASTPTASLLSLLHRSYPQAVPLQATEVNLHTLTPLIFPTVL YSDAERAEIESWLCEVRKLSDSLKEGADAEKLGLGEQLKKLNAHLAMRTTMLGVKPSV LDVALYAALAPVVEKWTAEQRTGEDGYHHIVRHVDFVQNAELFGLEIPAEEKVAIDLD SVIVVPKDDKKAKKKAEATVVVGKGKPEDAATNKGEAGAAGGEAAGEKKAKKEKKEKQ PRQKPAPAPAAPMSPCVIDLRVGHILRAINHPNADSLYVSTIACGDAPGSDNTQLDEE TGLTVRTVCSGLNGLVPLEEMQGRKVVTVCNLKPVTMRGIKSAAMVLAASPRNDDSHA GPVELVNPPEGAEAGERVYFDGWDEAEPEKQLNPKKKMWETFQPGFTTTDGLEVVFES AAVPQVSEAAGSKPAMGRLMVKSGGVCTVKSLAGATVR TRV_06366 MHVSTLLVAVLLPLALSKPTPRKKTSSFKVHLARRGETEYYRDG PTDLQRAYAKYGIPTTHEMEGYHPQPISKLPGNSKATAGSGKEGVESQDEKGEVVNNP TDHDIQFLSPVTIGGQPFIMNFDTGSSDTWVMNTQMTDEEAKKDHHLYDPSKSKTASK LVDQNFDIKYGDKTHASGPVYSDVMDIGGATVRNQAIGLPSKVAASLAEDKTSDGLVG LAMTKLNTIRPVKQKTFFENLAEDLDEPVFTAQLRHGKMGSYEFGAIDKSKYHGDLIK VPVINENGFWEIPCSLYSVGKLDKIQTIQNGTGTAILDTGTTLLVLDEKIVKAYYAQV PGARYDPTRFAGWVYPCNSPMPSLFLAVGTDHMAIIPSSLLTFQSYGPGPDGVETCYG GLQSNNAGGIQILGDVFFKALFVVFDQRGPSISLAPHA TRV_06367 MAEAPDLVAPEPGRLIIWTLLHSYIGKEKVTNWIEHCPGPTSSQ AGKGDACAGCPNQAICASAPKGPDPDIPLITARLASIRHKILVLSGKGGVGKSTFSTL LAHAFASNPQSTVGIMDADICGPSIPKMMDVEAETIHVSADGWNPVWVSDNLAVMSIQ SMLPNRDDAVIWRGPKKNGLIKQFLKDVEWGEMDYLIVDTPPGTSDEHLSVNSLLKES GVDGAVLVTTPQEVSLLDVRKEVDFCRKAGIRILGLVENMSGFVCPSCKHQSDIFKAT TGGGRQLAADLDIEFLGSIPLDPRVGMACDFGESFIDSFPDSPATLALKSVVRQVGRL LGDQDVL TRV_06368 MARLSGVERPDDSGLRERKARAVEEPVKQPVESADGAAAAAQAK KKTIGRTPDGTAFTVPHTRDMVSQLLSPSEPKNLSDILVLSIIGLHIVLLYLLPSFLR IPIFAVLFLSWRAAYNIGIGWLLHMQSNHSTMVLWARQTKIFVNPATGDNPHPQLYSF IKRELETKIPEDYSFEDAPIEYNTWLVFRRVVDLILMCDFTSYCLFAIACGSRPAEEN FLVLILRWVVGLGLVLFNLWVKLDAHRVVKDFAWYWGDFFYLVDQELTFDGVFEMAPH PMYSVGYAGYYGISLMAASYKVLFISILAHAAQFAFLVLVENPHIEKTYNAPPPRKRT IESHAGLAGEEKSSRRPSDSSEMVPPPSPVALPSSTHNLVGVKNLDLHRITDSSIILI QVLFFALTMLTPSTPIYQFFFVLNAAIWRLWYSVGIGYILNCQSHRREWTRHFVKFGE TKEEAWNQWKGTYHLSMTLCYASFIAAAWKMYTLPENWGYGLAILKHVLGAGLIALQI WTSVSIYDSLGEFGWFFGDFFFDEAPKLTYSGIYRFLNNPERVLGLAGVWGAALITSS RAMIFLALLSHTLGIAFIQLVERPHMQKLYGRGLRQDAGLVRSIKRSLPPSFKQLHGS VDRILDESIEFIEEVLDTARPKLAAGVTTFVKDTSELFHKYPARITITRIEPDLAGYD MNDYSINVDTSDCITIRDGAEDKSEVLVFEYGSPIKVNWTAPLNHSKRDWVGLYMIGQ NPSREVTNVSSWGRWVATNHGSFDSVLSEKGLIASDVVVSKPGTSNTSKKPSVKSGSG KKSSTSTSSHEVASGQMVFSGDKLWWTQGVFEFRYHHNGKHNVMATSRPFEIRIPKFD DGQIPSHVSSNGNGFMTTAIEQALLPIVQNCFDRDPEISPQTAEEPFGCETEGDLKYA KRVVYAVHQMFGIEFATEVVRADGNVQNLAWRICNAKKVLAPYSMRKSNGASTPTGES EEMK TRV_06328 MSSFQPSQQVSRQATMDPRNEINPLMDHFIGVDVGTGSARACII NDKGDIVGLASENIGLWQPQQGYYEQSTADIWRCICMCVQRVISQHNINPLSIKGIGF DATCSLAVFAHDTGEPISVTGPNFDTERNVILWLDHRPVEETEKINATGHNLLRYVGG KMSIEMEIPKALWLKNNMPKELFDRCKFYDLADALTHLATGNEKRSFCSVVCKQGYVP VGVDGSIKGWQPDFLNAIGLEDLAADNFKRMGGVNGENGEYLSAGELVGGLCESAAAE LGLPAGIAVGSGVIDAYAGWIGTVGAKVDLDSDLLSSDAANNDRTQAFTRLAAVAGTS TCHLAMSPNPVFVPGVWGPYRDTIMPGFWMAEGGQSATGELLKHVIETHPAFNEALSV AESYNSNIYDYLNERLREMAAESKAPSISYLGRHFFLYGDLFGNRSPIADPQMSGAVI GLSSDKSVNGLCLYYYGTLESIALQTRQIVETMNKAGHNITSIFMSGSQCQNDILMGL IASACSMPVFVPRYVHAAVCHGAAMLGVKAASADKDGKTEGLWDIMDRMSKPGKAVYP TKDEHEKKLLEVKYKVFLEQCYKQQEYRSDVDKAVVGWHSS TRV_06329 MRLLSVLLAATSVASAVAMLQAQLFYQPVTASSKPVELAQISYD PATLRSKVISYSPPEPSGPDAAGDLVRIGTSPQSRSWAGVLASHALLHPSPSSNYPPP TFSLYLDPEDRVYHVGVSASSPLTSTGGAGSHLNQAGKPLQMKVQLIRSQPAAVPQLN KPIARRADDADDEQVEVPLMNSLLQK TRV_06330 MAKINSLLDLPVELLQLVLKCCSTPSFLQLIRTCSTLLAIAKTC REVLRHQLFKLPGPLETEDGIPLEGLSTAQLYQELMSRAATHLYGANFHSDTTLYTME EGVIDTKASSFFPTPHEEPQYFAHPSLALVPRGSASVYLYLVGHPSLRPEETMLSAGK LESPSDVPGEIEILRVVNSRSYPNVISVLQRYKPPANPADSPSMHPFVEDTMMPYYSA RIRLVHYSIDSPKGGWRPTVFTVFPTELEEIYTPLAIDVVDQECVVVSWQHKESPRVT KVCLHKYDESDVVVRKDGCSYGDEIARRRHFSDSTMHLPDPIVQVRFNDRHQQVIYWH SSSIIYDQFQRILSSADTSGSGSAHRGRLLDNICYARRPEYDGTSPPISSRFQVGIPF YGYHIRNFANGVCDWYYASVGFTRLPPNGRVGAYILRSTAQCRSDRCTHILNLDRGRR IERWVTVAKLWGFKPNESNLTGLIASSPRGTRLAIVNWKTLYVWPLEPYHVLMRNREG YYPPSMYYPGDPGACARASTVPRSTGEEDYEKTEDGWKDIVELKPIILDLDAVCFGLK FTNGENELTLLTDKGLMVWQLGSNRSALRLKGVIKAEEDVVADELSKRPLSYGNVVGD ESEAEDEDEDEDEDMHDDDMEAEDEDEDDDGEEMDLDA TRV_06331 MSTTPAGLPPSTPPSAGQITASFFGSGPFSPRCVEESSTGGYFD LVANTTAAPSSDAVPFFHPSASRDREFSFGIPASTGAGPGPGTGSGPSTGTAAPKLVV PSRKSTDSLLERRFSGRTTAEREPAASSPLIHQQHSFTPKLPQKGPSKSSFHPLLEEP SPFSSSASTPLVASSSTAAGGVGVVAGGARFGSHHLTSTPAAAQINRILSPAGHQKPS AISLHRTHSIPVSHDLGDAKMVTAERCLEILRSSQSETLLLDVRPLLQFTKAHIKGSL NLCIPTTLLKRPSFNLQKVEETFGTGQDKSNFNRWRSSTRIIVYDSSTSLPKDAALLV SLLQKFSREGWKGESLVLAGGFSRFSTQFPDWIESSLPAPSASSSSSSSSAAVAACTS PNSSSSTAAGRAKNNLSISLTLPTAPSIAGGCVMPTPSSNPVNPFFSNIRQNVDLIGG VGQLPVTLPPTMDEATRLSLPAWLSHIANAEDAGKTAAVRFLNIEKGEQSRMQQALSA PGTSTNNIEGQTPGKRSYRIAGIEKGSKNRYNNIYPYDHCRVKLLDTTESSCDYINAS FIRSSRSHKRYIATQAPMPATFNDFWRVVWEQDVRLIVMLTAETDGAQVKCHPYWRSA DYGNLRVQLVSEGAIPLDRRQCEPSETGYFIIRHFSMTNSKEPFEPPREITQIQYSDW PDFGTPAKPYHLLRLIEECDAVVSGSTGRSSPNHQDNPDPEGQRRVIVHCSAGCGRTG TFCTVDSVIDMLKRQRKASQSSERTEPSWVYEDTTDLVASTVEDFRSQRLSMVQSLRQ FVLCYESILEWWASHT TRV_06332 MLLFSYIYIYRREKARPAEDDVIIGSGRHQKKRNQSKPQEQERS EREEEEEKKRRSVEEEEEEQGSGGRRVEGSGTGERDKGAVRTGRMALSGQRRRGQAGQ TERDKREIRDRDTRQRASERRDDAVTDNVVQSLGRESLQSSEEADVGSKNAQGMKTRA RRERDEKNVGDRREEEEKKKQREIEFYPWLQPASQPAEGLDYICTQRSYLTARGEDIV IRLSSKTSSPRRDVFSSSTPFIIFISFRSLFVSVSVGLVRPLADVKRARDSDGEVDRR GPTGDGQRVLFKTDDSRPGGGSSDVVVVVVVVVDSWLVS TRV_06333 MRSLWSGLWKSKPAPKLPEQPRSLPASGFQTVDAAQLVEEEELP DYKADRFYPVHLGEVFQGRYQVLGKLGFGSSSTVWLARDLK TRV_06334 MLKVYVHTSAIHREIPVYHHISPHIETATAHRGRLNIRQLLDSF TVAGPDGRHLVLVHQAAQMSLRDMKLVFFPNGFDPAFVRGAIIELLQALDFLHSHAQV VHTGTEWTSLFSSVWIKIAYVLFSCFLVYIDVHSGNMLLGLWDNTLLKNLEDAELRAP VARKPVSDSRTIYLSRLSKPKEGPMLLSDFGEARLGPGPHPGDIMPLEYRAPETLLYV TWSYPVDIWSVGLTPKTQKEEPTFGMKTVDYFILNYSTEIFLSNMGAANVGLLGEWLG LADIPEGRTLESLETRLETRDKNAFLRFVRKALTWMPEQRATAKELLQDPWLLGSHKV GS TRV_06335 MTYTEKHAEYGGLNTGDERTKVIVDVGDVDDDAARWWAAVLSPG EGWQASLTWEGAIVRSPWSTSLEAVEQYTLSCYTPLYRVPTPVPSFTTAARFLAEYCN LHNIVDQAVAALSSVLFLPMLNSKKNPVSLPRPFFHEKPSPRSAMPETKEPKQCGFIL DQIMPELDRLLTLSCNTRGLLSLLSSVFYEPGIPCNIVSPWTQATFAVLDSVEGSHLL GYILMNRVPQVSFLWLGGIITGTQKNILREGRYGITSIEPHAASWCSVTQSFIQAPVS QPLITNGALSRSDECRLLYLSQAELNRGWPLVPWMPFGTTVLEDAEIEVRLHTQCTGH ELQYADWYWACRDSKRVHPICLTTTMSTFTLTEDLPINIPISYEALNLENESASQHAT RNIFIWLRNNEFSAREQGIRNHDWVAFDESDDDSLLSKESSRSDKASVLKAVEDWILN SC TRV_06336 MAGGTVLPVPGQRNILITSALPFVNNVPHLGNIIGGRGINTLYV GGTGEYGTTTEAKALAENCTPQELCDKYHAIHAEIYEWFNISFDIFGRTTTQLQTEIT LDIFLKLEKNGFLEERMTTQLYCEEHHSFLADRFVEGECPDCGYANARGDQCDLCGQL LESLQLRNPRCKIDGSTPVTRDTKHIFLELDKLQAKVENFFQDSTANGSWSNNGAVIT ASWLKEGLKPRSITRDISWGTRVPLPGYEDKVIYSWFDACIGYVSITARYTDQWERWW RSPKDVQLYQFIGKDNVVFHSVIFPASQIGTEDTWTRLHHLSTTDYLTYEGGKFSKSR GIGVFGDSAQKTGIPADIWRCFLLSHRPETGDSEFTWDAFINCNNNLLLKNLGNFVSR VLKFVNSRHYNNIVPNWTQYLEGSFATFKEEINRLLAQYIRELDAVKIRSAWSTVLQI SQQGNGFLQLNRLDNSLAENEPSKCAAVIGIAINTVHLLASLVAPYMPDTANSINTQL RANLLLIPDRWNADSIKPGHEIGRAEHLFTRIKPERADEWRKMYGSDETKRAKEEEIA IKAKRKAMTKVAKSGKPEEACNTNKEEVAASKIE TRV_06337 MALLLHGAVNGSGQDDRERYTASFFALHDGLDNARLSRKVLSLA ALSLVLTTSEEQPDIQRDAAVSHALRGYKTENDADHRVPGMSDGRPETQWAASPAASH ESVTFQCDGLRCKVHASLSRSSRGNSSTPLPIRCPGLLLTASLSRATSKRKGYLSACE GCSLSRIHLLNILLDHGCDFDCEKLPPQQHATQGASKSDPMLEQRWLSTSPSHRRLSV CCLSTTAVDFILRPPGISSFIFVSLEWRAKLFSLAEP TRV_06338 MGEAASYYNPGAGTGQPPGDANYQHQPQPQHQHQQHQQQQYVPQ DAAYGELNEKQTFEQAFKVEKPKWNDLWAGILFLLFCGGFVAVSAIALRGYATTRDIQ GGGIYSGTNNFTLNTGTLILFLFVLVVAVVLGYSYVWLARLFPKQFIWVTGILNICWA IGTAIFYLYRRYWTAGIVFLIFGIFLAFCFWTWISRIPFSALMLRTAVDVSKKYGHVY LVSLVGGLIATALGAYYSITLVAIHDRFQPASNNRACNGGSCSHAKVIGLIAFVTFAM YWISEWLKNTIHTTIAGVYGSWYFFPHSLPRGATRGASQRALTTSFGSICFGSLILAI IQFLRHLCSIARNQSMQEGGIGEAIGYAVFCILGCLIGLLEWLAQFFNRYAFCHIALY GKAYIPAAKDTWKMIKDRGFDALINDCLIGPVLSFGALFIAYACALLAYLYLLFTNPA YNTDGKYTPFIVAFSFLIGFQIANVFTTPLSSGIDTIFVAAGWNPQVMYHEHPELYNE MVQVYPRVQQMIRP TRV_06339 MLATRSVAGRFFHSSLWPRILRSDSASTTGLPRSIVFITLLSTI TTILFGLTATVTPLGLYEGVSPRKDTKLVDFHYIKDTSPIGYGTPARGNVRFSRICGG FTPQVCPHSNGNLTSTENSTGEHVSGDWYDTRIPQRVIDMFQSGLSSQQSSVSSIFDI QWRSYTYSRIEDRPDNLVVDNGTRYPVGAFRQISTFVLNNRIEAVEGLVVDTKNGGIG FRNHTAPPVEPFGSSWSEDLLFIVPETQCVDTNLTLDFSIPLKNSESISNQISNLVLT DRGGFANLIQKYPSWDRNDTQRNPDLRNRAYKAAWINNVWSMAFMNVTNFRNESDPKS KAFSYLDSFVGKKFPLQSDHSSSTTLLIEPDSIITNSLFGYYLSGTDLAINGTNLTSS HITTPNKPHLFSNPWKIDSLNFSSASLLCTGLGGLDLANITNIAARCGLQYGAPQRVG AGESLIFDPGSSWTVPMYSCASTIKAIIKTVDFRFNGTEDNLSSLTVMGIREKEYPNE ESKPLWAVENTTMRLRDVRSLWGLVTPESVIGDQNISTIRKESLYLPGGDSSSLGSID SQNLPGLNFHSDALGVAYGDGSSSFSENYNGKNQLAMYRLWQELSRNASTVGKIKDLI WTDVALNAVTGTRSISPDGYNKQVLAKRDGDQADKAKRIPVTIYERRVHYKYRYGVLA FISLALIAAVFSTLILLCVLRRTGFSKARKYLDETSVGRILAARTTSSGGPGVHRAPT NTWVKTTGKAPITLGSSQPPNNGPNSTSAILYPGGSALAASADKIEQSSYLLNN TRV_06340 MGEITSVATTGCHSFTLYQGTFIQLPREPAAPATSTTAPVYELL VKDGVVWVDNSDGRIAGFDWAVRGQDELDELAASMGWKLVYNDNEVESTQATVVRVVR SRVEKNGFFFPGFIDTHIHAPQYPNSGIFGSTTLLDWLNKYTFPMEASFGDKNAPDTP TKRAYTVYNAVVARTLSHGTTSAAYYATVHVPATNLLASVCHSRGQRAFIGRVCMDNP DTCPDFYRDESTAAMVDATKASMSYIHRLDPSGTLVKPIITPRFAISCTSEGLAQLGE LAASTSPPTHIQTHISENDDEIQTVKALFPDCSTYAEVYDRAKLITPRTVLAHGVHLH QSERALIRERCAGISHCPTSNSSLSSGMCPVRVLLDDGLNVGLGTDVSGGYSPSVLET ARQASLVSRLVACHCSKEDGNRNKLSVEEALYLATRGGAKVFNMQDEIGGFEKGMFWD AQMIELGDSIESDTDSIVTPEQLDLQHSGKVCVFGWESWEERIAKWMWTGDDRNVKAV WVGGRLVHGST TRV_06341 MAQSKKPNVLYIMADQMAAPLLSIYNSSSVIKTPNLDRLAKEGV VFDSAYCNSPLCAPSRFTMVSGQLPSRIGGYDNASDLPADTPTYAHYLRDQGYHTALS GKMHFCGPDQLHGYEERLTSDIYPGDYGWSVNWDEPDVHKDWYHDMSSVMEAGPCVRT NQLDFDDEVIYKAKQYLYDHARHRKDQPFCLTVSMTHPHDPYAMTQEFWDMYEGVDIP LPTTAALDQAKQDPHSRRILKIIGLLGKEIPDERIRAARRAYFAACTYVDTQIGALLA TLKNCALDENTIVVFSGDHGDMLGERGMWYKMSWFEMSARVPMLVYAPGRFKPKRVKE NVSTMDLLPTFVAMTGGSLYPGLPVDGVSLMPYLADDAPGEKTDTVLGEYMGEGTLAP LVMIRRGAWKFIYSPIDPPQLYNVEQDPTESNNLVANMALPALSDNSSSGGAVTGPAA LPTPPTTSPPVLKNPAASAVLSLHAVPTPPDTPMLGKKAVAPTAAEITSATATNDITS LFKAFLQEVHARWDFDRIQQQVLNSQRRRRLVYSALSKGRITSWDHTHIVEGSSVFIR NHGKGALGDVETISRLHVPVSVGREVQYRPQ TRV_06342 MSSTVAADPAPPTLKPYACVLCQQRKVRCDRQSPCSGCRKYEVN CIYRPPPAPKRRKRRSPEETLLARLRKYEELLVGLGVDVSNIDEHKSDSQHAAPEAPG LTYNSGSGSKTDPDGDQEEDLKNQFGRMIIRDGKTHLLENSLWVNLSSEIGDPDTILD VAGDNANSNGDPFLSLEDSPLSDGGEFIIAGSTGGSGSLRALHPDTVQIFKLWQIYID NMDPVTKLCHKPTVQQQILDATSDLDNIPKDVEALMFGIYTCAVASLREDDCISRLGE TREALLSRFHLGAKRALVRADFLTSSDLTVYRAFLLYLLSLREFVDAQTLWVYSGVAM RIAQRLGLHRDGARFGLPIFEVEMRRRLWWPTVYFDGLCGELCGMGASLAAGSSWDTK LPLNVNDSEITPGMRDLPIEHKGITEMSFCLVRYEVGLLLRDLASRNTFDGAWGAVTD KSITLSDRLKRIDALEALLQDKYMQYCDTSISFHLLTWVVGYSCIVMLRFRARSFSRD AQNSQEVRDALFADTLQIIANYHTFRLTPGIQRYYWHVTANFQWHAFIHLLNELQTRT EGEQVDRAWEEVGNVYTSKPELLSEAKNPLYIAIGNLTLKAWDARKVSLARASGGLSQ DTIVPPCFIEPLRARRMASAPPSSASSPQGATTSGQNIAQTYPPAGGQNQVSATPPLF PPTNMAVPTYEMTNGYGLMPNMPSSSAVPSYELPDNPVDWSQWETLLQGSAADMNFEM TRV_06343 MGEPEKRSIDEESTGTEEKVVVQDDSQYPSAKKVIPIMIALYLV VFLIALDRTIIATAIPKITDQFHSIQDIGWYGSSYLLTGCSFMLLFGKFYQLYSPKWV YLIAIVIFEVGSAVCGAAPNSTAFIIGRAIAGLGSAGAFSGTVIIIVHSVPLRKRPMY TGLMGGMFGIASVAGPLLGGLFTDKVTWRWCFYINLPIGAVTLVIVTFLLRINIPRKS TASLTLYQQFLKLDPLGTLCFMPSVICLLLALQWGGTTYPWSSGRIIALFVVFGILLI AFIAVQIWHKEEATVPPRIFVQRSVLSAFWFTICLGSSMMTIIYYLPLWFQAIKGVSA VKSGIMNLPMILSLVIGVIMSGWLVTAIGYYAPFMIGCCVLSSVGAGLLTTFQTDTGH AKWIGYQVIYGIGLGMGMQQGSVACQTVLSKVDVPIGSSLIFFAQQLGGTIFISVAQN IFTNKLTSGLQHIPGLDAANLAQVGATTIRDLVKDPNTLRLVLEVYNDSVVKTYYIAL AMSCVAILGALTIEWKSVKGQEKA TRV_06344 MSRPPREAPSEVLNPPGQLVPFVYEGLCGVRHPGVNGGDGLKFT FAEFAPRAICLPGFGHTPESAHLACRFVANLAARHHADLLAMRSWDCQFCGIKASTFN LVVVSFLSPEAGTVDPIRRSVWGYGVPICRTAGSCDQKAGRLTAELRDTYISGLATPP SPSCMMCGSTEDLMLCSGCKVVRVHKKDCRAAQREKLRDKIQEHLLRQRDSHFSKFKV RKP TRV_06345 MSSSQLEAENHERDAAFNKVLHGNTSKAQGGLAAMMGKDKKAQQ AAVDEYFKHWDKKPSADETEETREARRAEYATLTRHYYNLATDFYEYGWGSSFHFCRF AYGEPFRQAIARHEHYLAHSIGLKENQLVLDVGCGVGGPAREIAKFAGVNIVGLNNND YQIERATRYAEKEGLSKQLRFVKGDFMQMSFEPNTFDAVYAIEATVHAPSLEGVYSQI FKVLKPGGVFGVYEWVMTDQYDNDNPVHREIRLGIEQGDGISNMVKESVAVEAMKAAG FELLKVEDLANRPDPSPWYYPLAGSWKHMSSIGDLFTIMRMTWWGRSIVHRVLGSMEK FGLFPQGSQKTGDSLAYAADCLVKGGEMKLFTPMFLMVGKKPE TRV_06346 MPPQIKQDINRSGWETTDFPSVCEQCLPENPYVQMLKEDYAAEC KICTRPMTVFRWKADRTSRTKATNICLTCARLKNCCQCCMLDLSFGLPIVVRDAALKM VAPGPQSSINREYYAQEHEKELEEGRGAVEEYEKTDEKARELLRRLANSEPYYKRQRR LEASGEASSSSAAAGEGQRSSEPEKIGYGPGPGPVRTSDLRRGAGRGRGGGRGRGTRP YPPVSQRAPGPQDIEPPADPNITSLFITGVEDDLPEHALRTFFTPFGTIRSLVCSHRS HCAFINFASRESAEAAAAKCQGVAVVQGCPLRVQWGKPRPLDNMERDERIQNARQGRQ TVAAVKGGGRKAITQGSSAQEGDGESDIKTSDQSYAVAPPPGRGEVQYASMAGD TRV_06347 MSSIETVHLPLPRLPEGWAAADKDFKAVGCLSAATRRNVEPVGP HFLAHARRKRHRRTFSEDERILAQENVKKIEEDDADEISEPEDPMMLQRDAKDWKGQD HYAVLGLSKYRWRATPEQIKKAHRKKVLKHHPDKKAAAGAGDENDSFFKCIQKATEIL LDPVRRRQFDSVDSAADVEPPNPKKKGDFFKLWHPFFKAEARFSKIQPVPMIGDDNST KQEVETFYNFWYNFDSWRSFEYEDEDVPDDNENRDHKRHIERKNANARKKKKTEDTAR LRRAVDDALAADARIKKFRKEERAGKDKRRLEKEAEAKRLAEEKEKAKLEAERLEKER EEAAKAERAEGKKAKEAAKNAAKKNKRVLKGSVKEVNYFAEGDPSAAQIDSVLSDVEL IMSKINNDELATIASKLTAAGKDGAAVKGIFSAEVTRLVGSGALKEGDIKTLTV TRV_06348 MKLKRLSFLSPRWVVLLLLLQSWLASAIKLDLESDDSIKLAAKT AAEAMMKYYTGHQPGGVPGNLPDPYFWWETGAMFGGLIDYWFYTGDSQFNDIVTQGML WQVGPDSNFMPPNQTLTEGNDDQAFWAIAAMSAAERKFPNPPSDKPQWLSLVEAVFNS QIPRWDTATCGGGLKWQIFRFNRGFDYKNTISNGAFFQMGARLARYTGNETYAKWAEK TWDWSRAIGLINENYQFFDGSSDTLNCTELNRLQWTYNAGVYLLGAAAMYNYTDGSPK WAERVQGILDGLRPFFHPETYIMSEISCEEQGNCETDQRSFKAYLSRWMAASTQFAPF STDFIMRRLRACARGAAKACTGGEEGTTCGLKWTTGKFDGSTGVGEQLAAMEIFQSNL IRKVVPPVTQSSGGISLGGPGGSGKGKDNGPKILRPITGADTAGAAILTLLMFCSLSG VSYWMSV TRV_06349 MAAPTPVAAPAKQRRIAVLTSGGDAPGMNGAVRAVIRMSIHCGC EAYAVHEGYDGLVQGGDYFRQMFWEDVRGWLSRGGTLIGSARCKAFREREGRLKAAKN MVIRGIDALIVCGGDGSLTGADIFRSEWPGLLEELVKNGELSSKQIEPFKSLNIVGLV GSIDNDMSLTDATIGCYSSLHRICEAVDEVFDTASSHQRGFVIEVMGRHCGWLALMSA ISTGADWLFIPEMPPRDGWEDDMCDIITQNRRRGKRRTIVIIAEGAQDSHLEKITSNK VKDILSDRLQLDTRVTVLGHTQRGGAACAYDRWLATLQGIEAVKAVLEAKPGSPSPII TIRENKIERTSLVEAVRVTKSVSEAIAKKDFATAMALRDSEFMALHRAYINTTTPHHP KLLLPEAKRMRIAIIHVGAPAGGMNPATRGAVAYCLARGHTPIGIYNGFPGLCRHHDD KPLGSVREVKWLESDSWVNEGGSEIGTNRGLPSEDMETTAKCFELYKFDALFVIGGFE AFTAVSQLRKARKDYDAFKIPMIQLPATISNNVPGTEYSLGSDTCLNTLVNFCDVIRQ SASSSRRRVFVIETQGGRSGYIATIAGLSVGAYAVYIPEEGISIKMLANDIENLRKSF ATDRGANHAGKIILRNERASATYTTQVIADMIKEEAKGRFESRSAVPGHFQQGGKPSP MDRIRALRMSLKCIQHFEEYADKSPDEIAADDMSTVVIGIRGSEVVFSPLGGKDGLEA TDTDWEHRRPKNEFWLKFREMVDTLSGRPDGNYKTDDA TRV_06350 MSDSFSLPLRPVRKKIDDTDTLPIRIAQINAQRGSFKDVTEESL SEEITALRVSGKQELDIQGTEESGNNAEDREQQLFTSRSEMLEFATQAQIEACYALDF VSLALSKYTPRQVEASMTPYVKQKIPSGSLGVDRIRTPDRTEANKKDIELVSKGWKLE SFSSAANNLLEAATRLQKEVAAETKYWSEVLAIKEKGWKICRLPRERQTLGVQHGFLE AAPVFRDRGLAALRRADDGSLYVDRGIHATPPKAVRIRIQDDGNIVGVSQPARIKHDD NTSIQETLIHQARDSLFEEELFYELNREARSLIRHGVETTQNQILFQLSDNRQVLVDL VPVDDAAASDQDMTHNLPANDLAHAIACSIRLLLNHAHRKNHRRRTSIPAAISIKKKP TGEYSLLQPSIAYLQHSSHLQWLTSCLHNITATLFSAGLKYRYWKTKPPSKDTQETVT SQEKSIPLVESLVDSFLGVQETRMAGGFCTQNNWYKIMVRTDLSPSALGTEFVVWTVH SALPQKHITQRFGTREDLKEFLLYLFTLDIVHNIPFRGSRKPVSSASGASHEETEATV HGADGADTKSTTWEPTFAEDGEITASMPGEKYNKKLKVTLNEESLELLCMSLSSTTGM VEESKYIWHVDETKGEPHTTLQETLRRLEAGG TRV_06351 MAGLFHCILYFAGIIEFACLTVISSQSHRRDQLGLLAVPFVLHS QPTAAYKENSPNLAHLAERTHNRYVEIMRDVEHLINDHIELQKTGASGKSTLKLLVPS VGTFFTPLLLEDAFIYQDNKRFISHRRFVPPSFNDIRLTLNTAQLMGLVRRSEVQLIT FDGDVTLYDDGCSLTADNPVVKRIILLLKQNKRVGIVTAAGYSSAAKYKERLEGLLEA IKDSADLSVKQKNHLTVLGGESNFMYEFDPQSPDLLTYKPQSEWQLDEMKQWNDEDIK ELLDIAEAALRDCVSNLRLPAAILRKERAVGIYPLEGHRMHREQLEETVLVAQQTIET SEVGRRLPFCAFNGGSDVFVDIGDKSWGVLACQKYFGGIDRSKTLHIGDQFLSAGAND FKARLACTTAWISNPGETVQLLDELAVLEQGARA TRV_06352 MTTHGPNEEFVCERRRELLSSLQDLLRRIHPQGISSTTWAGLWL ADIEILEALLDPNQRTELFKLLNHQTENVALLWQQLDPWKSRSPSPSAPQSPLASPRG TPSQSSPLHRSTIERQAGLHRDVHEDEQAPQPTSQPATPPAKRQRRDSFRSSTARRQC LFRDENRCLITKSLDPVDAAHIFPHSLRNSFSIDNNKHRFWVLLQLFWTERRVNTWMA AAFPRGTTEVVENLLCFAPTIYRWHSKGLFGLQPIRRSDDGKEFTVKFYWLPLRKPAA QGVDLLTDPTIPEDLVGIAKDYRAWNTFTGEEIASGREIVLTTRDPENLPLPSWDLLD LQWTLQRLVALRGAADVFADTIDDDDSSGIMWEDEDENVRHRDSIASSSDQEIEPLSL SPQAPKVVSTTSNVIDAPADDQALA TRV_06353 MDVNFNISKYVAAWTLTSVLDFSSNYFPSPSTRHKNEQLEMFFP LIAAIVAACGIYYVYLTTLDYLALKKIRVRERTRLPPKVEQSPVKFGIDFYGRVSKAV EENRYLELEMELLEKHGDTVSVSMFGKPMILTREPENIKAMLATQFEDFSLGASRYNS FVPMLGDGIFTHMYGGGESGQPWRHSRSVLRPQFARQQIQDLVVLESFVQNMFNLIPE KKTVDLQELFFKLSMDTATDFLFGGSVNSLLPDCLAQESQFYNDFTRGSEILLHRITL QDFYWLQKDSTEFKKICKNMHAYLDKFTTKAIERQASGKPTSHDLGGKYVFLEEAAKE FQDPVRLRSELFNILLAGRDTTAGLLSICCHQLARHKDEWFRLRKEVIETLGNRKPTY EDIKSMKYLRYVLNETLRLFPVVPSNSREAVKPTTLPRGGGPNGDQKVLIPKDTTVLY SVWSLHRSAKIYGPDATTFRPSRWETLRVGWNYLPFNGGPRICLGQQYALTEASYVLI RLLQTFEDIENRDPNLHFIEDLRLTLACHGGLKQSLWGIAFSPIPLEVFMKAPFKLKP SYLRNVRDTNPPKAECKKFSCR TRV_06354 MAAMSSSDLPANILLSRSQPLSPWLQEIIRTKLSELNLSDTSVR EEKGATVPDLGLRSQPLSTPGDGGSPWALLQQPSGPTPAPSVQADKKPAHAPSCRLVE IGEFLVDMMALTSKIASALVEAEDIKTYIEAHIQQLNEMHHLSDMDMVTYMSEKERDL NTLATRLEEMANETSMVWRRSPNPPHHMGDVLQTASSAMVSCRSNNNSSTGSQINPNC AMGPDFNSGSPTPTVSWSSSTNNAAEETAFPVSMEAFQNTYPNLNRRGVGNWVCPYGE KCTKGGFRDGKVVIFGRNSSFK TRV_06355 MSLAKKRSWCDETMDPQQRQFASYNFDPPNSIFCSPETLMELVQ QDSGGWSEKSAFNTTRKIQGGVPRFKLISNAPSQEPNQGESNQSFPMDPNNMFNFSDN MEHQPFLGPAVALPSTAMASNRKVEMELINPFARNQDRRHKFSRDIIIPPVDGEISSY IKVKLRVPKSQNDDQNALHAKRGCSNYRTVIPLHSERYSYPRQVNRGISVIPSAIPPT IAMHSVFAEHQFDGQCLKFYQAAFCSGRTLLAGTNFWIKEILAMAQHDECVKHAVVAL SGSYLLDYNSQQGLRDRVNYHYDQAKQMISVALRSRQNQDIGQGDNLVAAIMLLLVDD TCGTKFNKKEADATKCVNWELRINNAEPNWILAARLAKSILDNSDPGYRYWRPDSTQY SAARHGYANWVALACILSELVTPLASRGNPNAYGWLLAGTQKESWKINGGTGLCPKLL HIISQITNLSVLVKEDSSMAPIYAAKVISKGLKTFHQWSELSDGYPSAEELLRSCDLD KNGKVQTATKVTELTGESWVAAAQIYLHCRLLRIEWRLIIDVENLAITQMSKKQPKFY GNASP TRV_06356 MCEAGTVIDIGGGRLESSLKELTEYQFLGSNGGNPRDLPTGLFY NTRGLKIWKDITHLPEYQQTQDEINLLNSFKDQIADWIVEGCTIVDMGSGDTRKVLPL LAHLEQLKVEVQYFALDLSKQALEQTMEQLVPEFHYVRCFGLWGDFSDGLNWLRPVKS PKLILSLGSMFGNDEFSLAVSRLEEWKHVMGRNDLMLLGLDACDYLPELWESYHDPQR VWDSFIRNGLKYSNEVLRCDWYKEEDWQISGRIDSSPDTVHRFSIIARRDVHCHPLGL HFSAGERVDFFEAWKYGPERMRSQFKMAGFAEVERWQAPGNRPFCR TRV_06357 MGSGPGQQPSIPPSGCNSSPPQSYLIIGAGCFGAATALQLARSD PSLASNITLLDRTPSPCPSAAAHDLNKIIRAEYTDPFYMRLALEAMDLWKSDPIFSPY FNQVGMLLPTTLEHASKIAENYTSITSQPAPIELIEPATAKERFGGIFRNACLDKVET CLFSPEAGWGDAENALQNVIKSAIALGVKYVPHAVDKLLFDETGHSCLGARTVTGLDL RAQHVILCTGANTAWLIANSAPDKPTLQVGGRMVAAAAIMGAYRVPTEQMGKFDFAPI VVNPVGVTPGESIPPGKTRLLKCTHELSFTNMLYHNDSHRRISAPPEDPTSSTWTLDV PVQLKNEVKYVKDMLYGEHIGGLVPEFYRMCWYDCQFYHMRSNRLTVGRDAVTPNQDF IICAHPRSQNLYIASGGSFHAWKFMPSIGGYVEKMIKGKLDPGMAKRWAWDRANSGGA CAVYLPSRDLEGVV TRV_06358 MADFAGTIFLFFVQVLPGLLYSVITFTTITVPTALFTLFSMSLT FTMNFTTLLLITLFLVSTISWFVRYRFLNMYAHLPPEPQRQEPQIDLFPDTQEGDSKP GLANYLDEFLSAIKVFGYLERPVFHELTRTMQTRKLIAGETLLLEEEKGFCLVVDGLV QIFVKSARERGKDGESSVEDSMEEEEEQHHRHHQGYQLLTEVKNGASMSSLFSILSLF SEDVKLRYQEGEEPASPSPVSPSPFASSPRSSFQDRHHHHRDISLPSNGGRLPTVPPM TLDDTNSAAHFSTAHTPPTSSAQNRRTPKRPRTNSVHPDIVARAVVDTTIAIIPASAF RRLTRLYPRATAHIIQVILTRLQRVTFATAHSYLGLTTDVLSIEKKMNKFTSFDLPND LRGAPLDRLKQKFQRAVQRLGPEEGSKGIALHNPGVSNRRRSSSSLRKDASLRAKASA ANGQATSPPTPPITDKEAAGVSPGDLLSTIQLSRFGPRHGIDRRLASRSILLDSTSTS GIKTPMHTSPDASSPANSIFNSPSTVHVNEDSLFRESILDCMVKALGLTATTREALPL PKGFGSADGSPHLVSYDSRKQKAVFNNAFGYIDPYEAFGDGDSESQMSISVTSAGGTP PVANLKAELSNEIEIVYFPKGSVLVEQGERNPGLYYVIDGFLDVGIPVNDKGEDLIGP SKSNIHSEPLLPLRRPSNVNAQNQRKKTSRRSLYMVKPGDLEGYIGSITSYRSFTDVT AKTDVYVGFLPRICLERIADRYPLVLLSMAKRLTNVLPRLLLHIDFALEWVQVNAGQV IYHQGDESDSIYIVLNGRLRSVLESGDNKVSVVGEHGQGESVGELEVMTETTRPATLH AIRDTELAKFPRSLFNSLAQEHPGITIQVSKLVAQRMKHLINTKLLEAGGEKAHDEAS SLTSTLNLRTVAILPVTSGIPVVEFASRLLSAFNQIGVPDGVASLHQADIFNHLGRHA FNRMGKLKLSQYLADLEERYGMLIYVADTSVNAPWTQTCISQADCILLVGVAGASPSI GEYERFLLGMKTTARKELVLLHAERYSPPGLTRQWLKNRMWINGGHHHIQMTYRATPE PALPQIKKFGTVLKQRVQVIQAEIQKYTSRRIRQEPLYSATTPFKGDFHRLARRLCGK SVGLVLGGGGARGIAHIGVITALEEAGIPIDIVGGTSIGAFIGALYARDADVVPMYGR AKKFAAKMGSIWRFALDLTYPSVSYTTGHEFNRGLFKAFTDSQIEDSWLEFYCNTTNI SQSRSDYHSSGYVWRYVRASMSLAGLLPPLCDEGSLLLDGGYVDNLTVSRMKSLGADV IFAVDVGAIDDNTPQVYGDSLSGFWTVLNRWNPFTSVPNPPTLSEIQARLAYVSSVGA LERAKNTPGCLYMRPPIDCYGTLEFGKFDEIYQVGYQYGKGFLEKLRKEGSLPMPEET EEKKNMRRTMAPRRASI TRV_06359 MVSLWPWRGPDNSPASFEKTLAGLSEKIAQSNGRLEVHRQRARR FKALWTLYTTFAYILIYTVRRIGAAFFQYRITKTQKYLEELQKQRDETIEKLKEATKY NSTLQLLEKYGAEPPRSPSPQEGADDMEGMNQHGGSRKGPRSRTSLPFQGPRTKMTPP PTANIRRPQTAGSLPSSPMGQGHDRKQIPIPSNPPSPSSLQLAQMQQQPPHHPPDEPG FHPSAFPPTQNIEPRQPQWYDRILDVLLGEDETLPKNRLALICVHCRLVNGQAAPGIR TLEEVGRWRCVGCGGWNGEEKKDTATHDMLRKEHIRRRSESMPQRPAAPVVVVEPSTP TDGDSPGNKIGRKASVSSDVYEGEGLSAGEDADWSSDAEMEPGQKGSPGIKEEPLG TRV_06360 MFQRIRGAIDARIAEEQARQRASQETLSRSNSAARKSNNASPSQ RTSRIKQDSSGIPSRGPDPAEFDGEAITGDDEPMAETSSSNQSSEKGGFGNPGQQSGQ QAKGDAKEASTAGLKDGSVNELPTDIRVRLRRLDKLEARYQEPFETALRENTPLTSIT DPRALTEYLNQISLKGDMVVEELKRVSSERDEFKAKVEATEKSAKDAWDEVSKLKSKN DSAGGSQVEDKDATQASPISPPGETSENPKKSPTPSVTSMATAIPGLSIFSPKTKAVS SPPPVEETEEFFSFDNEIPRLESEIKSRDKEITALKSQIKTLTNDLAVARESTEGMVH SLESATRELSGLREHKDLQEAKLVSQESSFKEQIAALESKLASASSELDNSTAEIGKL RSQIKETEEEMSRIKADLNAQEQSKANDKDASKRLEILQGVVTNLKSQLKEAEDTMSS LKVELSAKTAETDSLSAVVSFIDKGLDDNEMWKSARDRISHGEYVDFAEIKRSIKGTD PSKPTEDVNIPAATNATPAAATSGSKKKNKKKKKGTKQESDEIVEVSNANIPPQNSGT ISDLELKIQKLETEIAEKDAAIDRLCLKLKGEENLREEIETLQDEVVSLGQDHVEAKD KVKELLAEKSALEKRAAEFEKEISSLRLSSTQSSDAEKAHKGLSVEFEDLKSKALILE TDLNAAQQLAASRFKDLSDLRDTLQKLQPELRSLRAESAELKKAKEELKTKTSQVSDL EHKHDDLRSEIKSLQSSIEEKDSEVKTLRQKISQETNGRLKAEQALEVAQGDLRYSEG KKQEAIEANEKTLRDLTKTEEELRASQSRLRDVEEQVSQLNRDIEQLRDDIKLKTAQY SSAQSLMSSMRDQASEMAMQVKETTERCESLEEEVADAHRLLSERSREGETMRRMLSD IEMKADIKVREHKERLEAVIEERDRAEDEANSVNRRRAREIEELRTKARDAEKALRRI EEDKDEVEHAQREWKRRRGELESQLERSNQELAEVKEAMSHLRDALDESERHARDLEK EKAALRRSIEETNQRLEKLKRTNKSLASDLKASQLPNKRGIDSGNRSPRSSLESARQR GVTSPPPGTGSGRNGSITRNDTPTPIDYVYLKNVLLQFLEQKDRNHQKQLIPVLGMLL HFDP TRV_06361 MAQTPGILSAILNFFPLKRRNLVIGIKAQQSINPTAWLTSRLPD LRLKTVRTHAARFFDKEGNLIVELIRQADIPIILQFINELAEYEKAQHEVQATLSSLR ETLSFPDSPKRGSVYTFLITPPATEADSSPKPVGMALFFYNYSTWRSAPGVYLEDLYV QPAYRGKGYGFKLLQTLAQEVIRIGGKRLEWSVLKWNEPSIKFYKSIGAAPKDEWLGM CVSGDALNNLAGQGP TRV_06362 MEFPAGGVIVIVINTIFTSLAIIAVILRFYAIQVIGRNYYMSDY LIVLGLIFTVATAVLAMVDGGAGLHMNQATDRQLLMLLKTFVAGPIIWSTATTFIKLS ILSFYHKVFGSKQSMRIAVYIESTVTIALYLAGILEPFLLCRPFNYTWNKDAHGTCGN ATKAYLAIAVANLIVDLSIYLLPIPVLWNLQMNLVKRLALCGIFAIGLVLRIYAVLTL KVNDYSHSMIKDVTFGGLEVELGAINACLPFYRPLVAKYIPSLKFDRNSSRGSYGSAK SRPGHVPCSSEGGSRDSRGSQNPLNPPSCNPYVDEVVGDPYANGDRHIQLDDSSLEKG ITQEQRPTSR TRV_06316 MLQAAQGMGMSNAPQGDTPNLIDNSETVHISSLALLKMLRHGRA GVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDPVFQTKMMEMLRQTGRP ETVVGWYHSHPGFGCWLSSVDINTQQSFEQLTPRAVAVVVDPIQSVKGKVVIDAFRLI SPQTLVMGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKTGLEENMLMNLH KHVWTEALQMNDFREERKENVERLQKLVGLAEGYEKRVKEESELTPEQLKTRYVGKLD PKKRRTPFDQRSIYIYTLTNFEFLSLTDIEDVGQQLIEDNIVAVSRQMIDKEASLARD SRSKAHQQDMMETDEEI TRV_06317 MTGFAPLDAPVTNGSTDKKRIAYFYDSDVGNYAYVAGHPMKPHR IRMTHSLVMNYGLYKKMEIYRAKPASKFEMTQFHTDEYIDFLAKVTPDNMDQYAKEQS RYNVGDDCPVFDGLFEFCGISAGGSMEGAARLNRNKCDIAVNWAGGLHHAKKSEASGF CYVNDIVLGILELLRFKQRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEYFPGT GELRDIGVGAGKYYSVNFPLRDGIDDVSYKGIFEPVIKHVMEWYRPEAVVLQCGGDSL SGDRLGCFNLSMRGHANCVKYVKSFNLPTLILGGGGYTMRNVARTWAYETGVLVGNQL PTELPYNDYYEVSFSDYPTLYAGCVLTVFQYFSPDYELDVRPSNMDNANSKEYLEKIR MQVVENLRRTTFAPSVQMTDVPRDPLVDGMDDEADAILDDLDEDENKDKRYTKRRFDN YVEKDGELSESEDEESAAANGVRRQPNRERRRGNINHRHLDGDSIIDSGIVTPRDESS MPEEEPEQADKMDETENAEPPAKPSPPAVEPLAKPDSQMEDDETPVAETNGAAQEVEE KEPPVSTPASNLAVPHDNADGDTTMEDADVNMDETIELEPPAEEQEDEAAAKAPARET TPPKPPVAEETKSNASGAENTATEPTQVTDTTEAKETKLDTDESKEAPKEKE TRV_06318 MNCCDNSGARNLYIISVKATGARLNRLPAAGVGDMVMATVKKGK PELRKKVMPAVVVRQSKPWKRPDGVFLYFEDNAGVIVNAKGEMKGSAITGPVGKEAAE LWPRIASNSGVVM TRV_06320 MPQRPTNDFDARGADELTLRRGDKVELLELDEGFGDGWYLGRHV TENRTGLFPGGGIVYTVIAPKIGVRKTKDATLSAEPPWDPATPEVGSSSLGSSCDPVS PVSNDETTPQPSRHVSAIDMKPLSDAEAESGSGVATSHLSQQRPASAGSAQPSPTIQR TISETLGNMSISGEESPVLNETLSVIDEHITNFSTPRHSIATQENHSVHDSSSEYSSY PDHRMSYIRGEETDEEEEQIPSEAQVRKWDHRQMARHLREIGVDPKHCDIFESQEISG DVLLDMDQEFIYMREFDFGVMGRRLKTWHKIRAFQEEIQGPRHLRKGSSHGPEDYDRS QTKSVMSGSYLPRIPSLGERHHHNPRSSRDRSTVKYRDTSYGTQASAPPLSQPHDADA TTRPSADSIRRSNHHRYSSIENTSVFPLADSSAFSASRKAPAPPHEKKPSFDRGWTMN APLTANSSSNGQRPSSSLAATKHFYKTESNKTDDSSNGFGSPDIDRGYFSGGDVEGQK QRRVLRKRDSSKRSYSHSRHSSLAAVADDKKKRHTRFGSTGSMRDLTSMKPLYPKTHS QDITNSALELLEDESHSPTVTNLETTPESPTGFLSSIVGYGKSHEASGRSSPLPSTSS LKAITPKVRRTIGLRAISDAVTGSEKTRISSPIPSSGRDLPQSPTRTDSSTPSGTGTS RSLEMDSMESSRLESLAVMKNGNGSQRSKSKKDTSAYRRGLEKKTPKEQMKDADYYGW MKKKSFNLMTTWKPRLFILKGRRLSYYYSEDDTEERGLIDISSHRVFRADQDAITSLH ATLTRTKPLPTTTSNNDIQHEETEPEEKTGGSRRNSSAEPPFIFKLVPPKAGISRAVQ FTKPAIHFFQVDNIQQGRLWMAAIMKATIERDLNLPVKTTNKQKTITLKQARLLAQSS PTPPLDSKSRPPKPEEESSRNTSTSNSATLATTAETSTTDFQKIAIDTGSTSLFPDSV SVDQTQTSTSTNGSASFYPPYTGDAYLNTVREHVGLRDYTQQSPKSAPFRLLVLADPQ LEGDTSLPKPDDAFLPQIKKHWEDVTSAGSISECYFATVKGIRDVVLTDIPRLGGTIR KRLDLLGNDYYLAHIYRTLSWWSRPTHVTVLGDLIGSQWVSDEEFNIRSKRYWNRTFR GGVKVPDDMMATGRDGYTQQTGVSMGQEIVLDIDTDTWSNRIINIAGNHDIGYAGDIS QKRIDRFEREFGRANWDVRFNLPVTELALDLNDTHRKSGSIPSIHLVVLNTLTFDTPA LDVSIQGKSYQYLNDVIDRSRPVEDRTTFTLLLTHLPLHKQEGVCTDPPYFSFHNEDD QHPKDDQPRFKAGGLKEQNHLSDYVSHNGILQGIFGMSGDLNAPYSGKGRNGLILTGH DHTGCDVLHYVDRKTGSDGESGDAESGDAEGERISNAAWSWNAKKYPTEVPTGVLEST SSASGFDSAFTPTPAIREVTLRSMMGEFGGNAGLLSVWFDAESSQEWKYEITTCQLGI QHIWWVIHIVALATLIAALTWVTILIVPSRSPLVDKRKVSKGATEEKKNKDSGAT TRV_06321 MARGEEEDSTCAEREHAMNIVAASSSGEGRSAAAAAAGGGGGGG GGAGRASSTSRQRRKKRKRTTRWTRKQEVRRGTKQKAKGKKRASAA TRV_06322 MISSNTRKQPAASIRQQANDSGLVASNSTASRFLGSRPKAWMQN ASISSPASPTITRRPSRPLPPANKTQPGRNLGSKDAICPPGNTNTNGDIPASREPQDA APTQCLSPVSPNMLPPAIPITPATVQQDQLVQQPVHPAQTVEQTIQPLPSPSLSHGSH PAGDTPCANQVTADIEQPVVSVAPTLGHHTAPPGQGSSASQASRDSPQVDRSTIPQEH PLAEVAQPSEQDGEGQDKHQNKRPRLTTFQPPTTTSHPTAPITPVTPTTTTTTSTASI AAVTTATATASALHTPVLLQPPSLTPLITGVGTNLPGNALPPLQQPLTDLSPQALNSC ALFLESIVPKFQPAESTFIHMLRDACLSYDLQYLALHQLYCLSLKFYGSYPGIGTYAT RGIQTVLGLISNSTKLSAGFIEYFSAFPSDYPTIVSRDKQYAAAVSAIISWAASLINT WPPFFSNVINRGYPPLLDEIVTSLGVHSNVMHGIFYSGCVSRLVANRAPGLREAWKHI LKKNIDSYRNRLKRANTQNSVTEAHIQNENRQLALMYQKIGEKYPPKGTPSVPVMQPV VPQQSVYHQQPSYNTLPILQPLRSSHPLPPRPVPPRPVPPHPTPGLIPSQQYSHFPSA ATTGLQPFPQPLPPIFQQQPSTDIPSTATTLTNPSPITIPAYAHGLRQPVTDSTATLT PIIPCFLPSYQAYPSPPVPPQPVGTPLFPPRNANALPPANPSPNASVHLAHLRVVNVS LPKPGSQEPGENLFHSFESFAISPTCLDWMQSNSQHTFNLSQSQHAALPRHLKPPNTC HITQGVFDGCKTYQIKCVHVTDTHSLTEEGWMATECTWPTAIYIHVNGHEHFLQRRFH FGKDLPVSINRVLKPGANEVKITIIGPPEAYKKKFAIAVEVIDVASYKRTREAIQTLS QPQSLNLIFNRLTNNTVNTDELCFVDDFITIPIIDPFMARIFDVPVRTVSCKHTECFD LNTFLDTRLSRVAKGPHGMAEDWKCPICNEDARPKRLLIDQFLVQVREELANRKQLDD VTAIRVRADKSWEVVMRQPSTGKTARGPLTKEGEEATATTSAPSNSPRATQAPPEIIE LD TRV_06323 MAELRSRPSSVLLEPPPKGVELEDPGASDHTPDSDDEHFSDATE GQTSASRRPSRSQSPVPRTRVEKVDTSPRHGEVPGSPAYQQRLADAVPDEIDIIGGAR TDTQHNPAHIERPLTPGGTPIPLTVVEKVDINSPAYGEEPGTQAYESRKADFAPDRIF KLGDPRASPQLVVPENDLENAISDSDLPETLLSKVESLPKEGEERRFTAHRRKLSDAA PDAEEIVLDVPGQQIPDTNSSGTKIKFTDDPAQLDDSGGDLKDYTETRADFDDNDNGF GDDFDDFKEGDGGDDHDDFGDFDDGFQEPEETSDAAILKPNTDSTASPNLPVLPPLLD FSSLKSLPDLLSATSDHLDTLFPNSKNLSSLPPIDPFPDSSAIFTTERSLSLWSQLVA PPPLQPPNWTKSRIRRLFLVSLGVPVDLDEILPASKQKKLVLPSMNRDTARVSSEDPL GTGGTLLRSRSKRKNREGQPRSSTSFDSNRSSSRPVPSTPRRRGNTPPPELDLLATRR LCETTDAALDGYTDQEITEHVQKLEKLTIRASEVLEYWLKKRDGQLGEKEAFEGVIEN LVKHARQVRK TRV_06324 MSANLEASFLLKKTSKAKKQQDNEAPSAALTLALDYSPRKPNNS RAGLQTSLPTTMNFGSASTSGGSSPFGQATSGSTGGLFGNIGAGGQSTSTSSPSIFGS TPAPAATGSLFGAQKPAASGGLFSFTSPGQTSGQQSSSPIFGSGTATPNKPADSAQAQ KPSGLFGAGNTTTGSSNLFGSTTPATTKSGLFGNISTTPAGPPPQTQSNAQKLPSFGQ QTSAPSALFGNARTSSPLSATTPAVSSPAFGSNASAGQQQTKPAGGLFGAAGSASTST SAPGGMFAHLGQSKPATDASKPSTNTPLFGAGGSTPTTAQSSGSLFTPSSGASTQPAF SLTSASTTKPSTSLTTTSTTGGNTQASPFSLGTPSSTAAPTTTTTAPSGGLFNTPKPN LSTDSTTPATTTAASASNMFANIGKSATTTAPSTTTTTGTTGATTGTTSSSAPAPTAT ASAPTTTKPGTTTATTTGTNLGTSTVGPAPPAQSRLKNKTMDEIITRWATDLTKYQKE FQEQAEQVAEWDRMLVENSTKVQKLYGNTVDAERATQEVERQLASVESQQDELSSWLD RYEQEVETLLSKQVGTGDNLPGPDQERARTHKLAERLSERLNEMGQDLTSMIEEVNTA SATLSKTSKADEPISQIVRILNSHLSQLQLIDQGTAALRAKITASQKLGNSMSAFKNG TPRGAVSGSGGAAEDFYRSYMGRR TRV_06325 MGHEDAVYLAKLAEQAERYEEMVQNMKIVASSNRELSVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNEAQVALIKEYRQKIEAELADICEDILKV LDDHLIASAETGESKVFYHKMKGDYHRYLAEFALGEKRKTSADNSLTAYKNATEVAQT DLAPTHPIRLGLALNFSVFYYEILNSPDQACHLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEADPATEAQQPQGSQAPAEAKEEAPAATEEAPKE TRV_06326 MAADTGLYNSSEPVHTMPSPAPNDSNSNGTTNGTHPTANHDNEN SHPSPTSSSSSVSDVESERKSRADRPRIASRKPSASILVPRDHPEIEIEKEEFPPDDA RAMSPRRNFADVKRLGTQARLTLKEQAKTLQSSLQALADRIDEVKSDHDKLENENRFL QDYIGGLTRTMSTKSELTSTSGAGKGKKSQK TRV_06327 KKGYPAAAAAAAAESQQAHGMKTYQHYKQP TRV_06285 MAPLQPESLFCKLDTAPADEVFALMGDFAADTHPDKVSLGAGVY RDNEAKSWRLPAVKKAEQLLLDDPTLDHEYLPIPGYAPFYNMARDLIFGTGESSIPKE RIASLQTISGTGANHIGARFLADHLPKLSDPNAKRTIWISDPTWANHHLIWNLVSSGT SGSPIQVKTYPYYHEPTRSLNFEGMMKELEAHAVAGDVVLLHACAHNPTGIDPTRAQW LRIADLCESRSLFPFFDSAYQGFASGDLDNDAWPIREFAKRGMELCVAQSFSKNLGLY GQRTGAFHLVCRNAEATVCARSQVVELQRGEISTPPSYGPRLAAKILSDPALVEMWKE DLITMSSRIKSMRRALYDELQRLQTPGTWEHIINQIGMFSYTGLTKEQVRLLREYHIY LLDSGRISIAGLNTSNLKYVAEAIDSVVRKTTKTSNGSNGSNGH TRV_06286 MPMITLREHAMIYAMNDITDKPGWEDKVFDQTITAKWRRELLEN DTLDITEKMVDWIIAELQYKSEAFKTDGIISALTSGVFKSDSLIPTSLKESLKAAVAR LEQVPEAQKDYHPHSKNQVLDLVHPSLFPLVYGRTRIVKDGILNIQDGIARSGTGEVI PIPNKSETEVPSDGLGPPHISQRMRHPFSDKFQWLPCDVASGSTNRPGKDSHAQNPSS ECTITSYINNLHPDEHKELYGVLEQVIARTIPLWNETLSVGQTRRDYKRINYTECIYD PDPDNIPEKDWPQRLPDENEEDYEQRIEEWGWEIRKVAQPEPGKFVPEEKVNCSDDEK KLLDERKTVNLQRDYGKTGIQVIVKLANIHLTPENNKYDGGSWHVEGQLVCISLYITF TYFLGKYNNPTLQNEHICASALFYYDSSNITESRLGFRQMVSFYDADDVFYEQDHRSW LNEVFGFEDQESTVQEIGSVLCKEGRLVTFPNSLQHRVHPFELDDPTQPGHRKILALF LVDPNTRIISTANVPAQRADWWDRLMPLHGIAGKLPRELFDEVTSYLDDFPITMEEAK ELRLELMEERKAVYSGQEESFHQDTFSLCEH TRV_06287 MWQDANIVVEPKHYAILLFEGFQALDVFGPLDALNITSLDNGIK LSIIAPTLNPVSTKPPPLPIVNLKPGWGFSESVVPTHSYDDLPTEIDVLIVPGGLGTS HCANIEPAVKLARKLYPEVKYLFSICTGSKVLAEAGLLDGKKATTNKRRYEETTRPYP QVQWQRSARWVIDGNIWTSSGISAGTDAMLAFIGMVYGREYAQAIAKRMEYRWVEDPT DDPFAAPEPSP TRV_06288 MYHVTLGFGLRFEHDFLLRDATVWALDLSQAPTPRVRGLRTVST NVAFNRTWLTDLDDFVGEDGEEYGASGFGGFGDYFRRKKIKLQNLDSEIRSASPNNPP IFKGVVAHVNGYTQPSLNDLHRMIVSHGGGFLQYLDRKTAATHIITSALTPKKKEEFR RYRVVKPAWIVDSIKAGRMLPWETYRLLGEGTAQKVLKFDANGISTENNTQSQSYKAQ TDACWYTSQLNKEVVPVDSQRIVELPSADTTDDPPLEDEPATDVQATDQVNGVSMAST TPEEFGALGSDDLLSPVHPERPSLDQVHTSPKADGRKPLTSEEHNALLLSKAHIRQSS VINPDFIQQYYRESRLHHLSTWKAELKAQLQTLAQERGTAKLSPIKRLPGTRRYILHV DFDSFFAAVSLQKHPELADSPIAVAHGTGPGSEIASCNYPARKFGVKNGMWMKGALER CPELKVLPYDFPAYEDASRKFYQAILDIDGIVQSVSIDEALVDISSLCIQAGGSDGKA ISESSIYREQEKADTIAQKLRDSIKQKTGCHVSVGIGQNILQARLALKKAKPAGQFQL KPENMLDFIGEFSVTDLPGVAHSLGTKLEELSVKYVKDIRQLSREKLTGHLGPKTGAK LWEYARGIDNTVVGEQVIRKSVSAEINWGIRFTNQAQADEFVRSLSEELHRRLLENGV KGRQLTMRIMRRAADSPVDPPKHLGHGKCDTFNKSIILHTPTNASDIIGKEAVSVLRS FNFSPGDLRGLGVQMTKLTPIKATASGEHTSSQQQLQFKAATPGPKSIISQDPDEIMS LKKGENASPHISASLAIGSSLHDASQKLLNTRGTQFILPTQSDPSTIAELPSDVRSKL APKERLSISEQLKAAASAKRPMQTLFASTALPPESQLDQDTLNALPEDVRAEVLGYYK QNANTPTIASPSPTPLPKSPRHRKPTTPTKPKSSRGRGRPRAATRSATGPTLTQSNFI IPQVSLPTHECTNSADNSPTRASADPDRDISEEFLAALPEDIRREVLEEHRQTRIQEQ GGLNLAAVHEKNSRIKQHRTNNSSLPVEPEQKLLRFDPRPAKPTFTSKRLSSLSEIRE ALSEWYSSFENEEPYEEDVEALTKYLRRVVLEEKDISKATDLARWLGWLVNDFNSSCH GSTGDTGPSSKSSPSLSGWKNAVIKVQNCVSNAVVERGLPPVDFT TRV_06289 MSGTQPVALYAAKVPPGALVPAVPDAAAMFRVTMAAIDPDAVPE YEDEAQASKAPRATLKIIRPPPGLDYDDDDDDEEDDEDEEDDESDDETNGGPSDPARK KKAMLEAALKDMDDGMEEDDDDDEEGETDLAAAISKLVKGKGKAMEDEDDSDSDEDLE LDEVVVCTLDPERNCQQTLDFVVGEGERVFFKVTGTHTVYVTGNYVTPLENMYDSEDE DNDEDDEDDYDLSPDEDELAALVGDDDESDELDDMANPRVMEIDTDEEEEVKAQTQAK QSKKEKKGKKRPADDSDEEPNLDDMISKTLKDEPATNGETKSSKKQMKKLKKNNGEAA EAEAAKANTKSDKKVQFAKDLEQGPTPSKTTQTGTLGVKQVQGVTVDDKKLGTGKQVK KGDRIGMRYIGKLENGKVFDSNKSGKPFTCKVGTGEVIKGWDIGIPGMAVGGERRLTI PAHLAYGKKSLPGIPANSKLIFDVKLLSIK TRV_06290 MNSPEGISSLGNPSKAVVPIDVNDPPSPEFSSQTEGVGSTKGTK SVRGFLKQKGSRLLSLFKTNKSAGSITSQDIPKKICQPSREDEGTSQILTNGKTPSSL ERNNQGNNKSSDLSTLQQKRERTAYQSRYPGKKEPGVNDAPTEQTTPRTAKALVSSQQ RLHSGIGLTKRISDKFSMSFGPPTVVRRANLRLRPAIGSFSTGTRPSAPSPLQKLGDN AQDDSDSSASHLSGSGTSHKSQSTNPTSEGSPVSQPKRTSASLASKEKRALPPNSVSS ESPQPSFGDIQPPLIKPSIATVEAAAAAKIFLETYFDSLFSKEPPRLRRQRELEQAIY SLPLSEDERQEARYTWYRQESNHLRRDRVIKTHSNRRDGKKSPLVSEYEVIKVLGRGS FGVVRLVKEKNTSIEPEPPTPKVPRSFHRKDPGRTKKNVFAMKVIRKSEMLLNCQEGH LRAERDFLVASNKSRWIVPLISSFQDKHNLYLIMDYMVGGDFLSLLMRKHILSEEISK WYVAEMILCIEEAHRLRWIHRDVKPDNFLISASGHLKISDFGLAFDGHWSHNESYFNN HRQSLLKKLGIKIEGDSEDKKNPAKETKKAYKITNSPDGKNAELVDKGDRKQPCPGED ILRWRNRRERRRLAVSMVGTSQYMAPEVVNGEPYDGRCDWWSVGIILFECLFGYTPFA ADCRENTKHRITHHEEYLSFPEERPSDRLVSDAAINLIEQILQEKDRRLSSQKYLLND YKSSDRANKNYQGHYVYPDDATDIKDHAFFKNIEWEAIQYSRPPFIPKVRNWEDTKYF NLTVADRNDGTTEEILPDNAEVGNDNAAPNTKQNQQSLNAVENTSNVKKAAKKKGRRK ARDKILRDESMGKIALNIRKREAFLGYSYQRPRDVLSVFDL TRV_06291 MSASPSESPAPEPSGMDSQHSGIMGDLAEDDFEPAEEDDEFDKV VQRMNEPNANMDFLTRDLEIGEKADDAIDYEDFDDDELPDEEVVAPSARLPTAIPQDD DLGDLFGGDEGFQGGQEGEGDELDDLFGDVPSSPAQKQPGMGLSLPITDHSMEAAFGE SGLSQRSLEVHDDIFAEDIENEKEAPPSPTLDMDPATLRDYQLQQALFAMSAYGPDNP PAPPENNEELLASLWPKFDKDALPRFMELIPPKKARFIGKAPIKPPKRINPTKINIEL APDQERAFLFTGQTQKKPVESDQFITVMTPPSMSAESSDVEGGDDSDEELPGGITMED LQFICADWDVKSPTPENDTVATPEVPQNDEDDWLFEVAQPTKKRKLGRDPADYLSYSH IDLPNLDDPAEATALLARKIRIDMNDPHLLLDDYSNNEPITKIKSSKLQSTNEISSGL TKRLMQRYNISNDAAYDMLKENHQNKVRSTLGNIALEHSLPAIRLQWPYYKTKLARPE ARSFHRPSLTFHPNLPLTFKVPAYLKRKHQKGKDAKTLFDTTKSLSLADNSNALLIEH SEEYPTMLSNFGMGSRLINYYRKKNAEDPSRPKTEIGETAVLLPQDKSPFSSFGHVDP GETTPTITTGLYRAPVFRQEVKSTDFLIVRNSTGVEGSSYFIRNIDHLYVAGQQFPSI DVPGPHSRKVTTAAKNRLKMICYRRIKRNGNNRVSVAEVTEHFPDSTDMQNRQKMKEF LQFNKDHKEWEMKSGESVPSEDVMRGYVKPEDVCLLEAMQVGQQQLHDAGYDRESDNG DDYEGKEGESLEQQLAPWKTTRSFLLASQGKAMLQLHGEGDPTGRGEGFSFIKTSMKG GFRAVGESVEDKIDAQRQKELGGHSYNVARQQKSYEEAIRRIWESQKSSLSSTVHHSD EESDVDMGEADELFGKPTPRSDRPTPSFSRRDDETTSQFSRFSTSSQSGKVMVISRQI RNNKGQIETVKEVIRDARVIKQYQKQRHEADLANMTLVDIQPTGNPEVDARNMKQYVY TALSSTCISQCISNKLFSYSIEDELRRLQRNKERRHAREKQKSVMAESNREMSPNTAA GSPAASGSGGPKTGGTQRKCANCGQVGHIKTNKNHLHLRTRTPDSLSTNTTDTILLSS PTFNIPFLPIFIFIQQKKHWDIFLSLVHNRPLNQHNLLTKFFRLCPLLNGSMRPEDAS NLPAFALGVSPV TRV_06292 MAREDAQSVHYHYRTKAEVPWDIQNYWAQRYKIFSKYDEGVWLT DDAWFGVTPEPVANKIALHMAQAAPETKCILIDAFAGAGGNTIAFAMSNRWKRVYAIE KDLETLKCAKHNAELYGVADKITWFVGDCFELLQNQLKDLAPYSVIFGSPPWGVFNLS TMEPYSLEFLHAEFSKFTRDVVLFLPRTSDLRQLAATTAPGKKSLVMHYCSEGASKAL CIYNGDFKPIDE TRV_06293 MFGALNRLISSLDADTSSTQSHSRDNAYGFQVLRNKNPDLPLEP WFDFIVGINGHIIGQRTHQAVVPIPASNPSLGVTLQLAPLSLTQNIWHVLSIPSPQSP AYLAGLLPHSDYILGTPSGTLRGETALGELVEDHLNRSLTLWVYNNEFDVVREVEIVP NRNWGGEGALGAVLGYGALHRLPPALSGEVPGPGEVVFATKEDGHDTGTNISNPVMPV SEPQFLIPANIVSSGGAPKKPTAPTKHARKNRHVEAPSFDDYFKEGEERSQKEDYIPA SRTAPVAPPPKAGAVVSSPSPTTTPAPPTEDIGRPPDE TRV_06294 MGVAISPIQTAYAEAPPHSSSPSKKPMYDVDEEESTNSSIVRKI EKPAAQPATSQPDSASPQAPTLIKTRSPTPTDQLAEQIRHARLFLYRHSLVAENSFND TVARVLRAESQFTSTIASLAPPRESGERLLPGGIYVLVSAMAGSIVSRNRGILLRTAS PIAVGTVAAWSLLPITMRNVSDLVWEYEKKVPALAENHLYLQYVAEHSVQQAIRVSGD ARVWMESKIGQGRETLEKWISKGL TRV_06295 MLRVLQPRPWTCHRCLLRINVGTSRCYSVAVPERTRLDPAIAGL SGTKRHDDTNLRLVFDSNSFWREFSQRQSASSRRTGLLQNQYLTNPEGFRKFAHISLH KCQKIVGKVLAASTMEDFRRMAKDLDQLSDLLCRVIDMAEFMKTNHPDPAIQEAATEA FAFIFEYMNILNTTPGLHEQLKRAIENPEVTSHWSEEENVAATAFLRDFAKSAIHLPP EDRHRFVTLSNEISQLGPDFVKNMNPETSQLSFSKNQLQGMDPDLLRKLKRWSKVTIP MYGSTPKAALSTVEDAEVRRQIHLAYRTSSREQIGRLETLLQRRAELAKLSGYQSYAH MTLSDKMARTPEAVVNFLSSLNASNRGQLDDELSQLLALKQIESPLATNLQPWDYSYY MEKYYVKHGRARRSRDTDLLPSFFSLGTVMQGLSRLFTRLYGVRFVPSETLPGETWNP DVRRLDVLDEDDNHIAVVYCDLFSRPGKSPNPAHFTLRSSREISPAEIAECASLPDSP HPNDGMATGLKPGTNRLYQLPTIALICDFDTPASSTPSAKPSLLSEHSVRTLFHEMGH AIHSVLGRTDLQSISGTRCVTDFAELPSVLMESFAMDPQVLRLYARHWSTDDPLPEDM VQNIHRNRQNRDSIHGGMDNETQIIMALMDQAYHTTSAGCHIDSTAILHAVSSKHSSI PDPADSKTAWQGYFTHLFGYGATYYSYLFDRAIANKIWTDVFGGGDLSVDRNAGERFK NEVLRWGGGRDGWSCVAGVLGASNPANSNGRLSEGGEEAMREVGRWGLGKTGSSEP TRV_06296 MTTNPESGPDEMTDPPAATDHEVRAITPDEPRLKYSELICFHSA VPCHSLRVSPITPWKVVGDTIDTMKEVSSHRIMDVTSSSFFFFFFWLSRGSIAVKANS SSIAYLYPNDEIELDRLDMQYEIIRMVNEGRIFFAPLDNPERLLDIGTGSGIWPIEMA EIFPDAQIIGTDLSPVQPGQVPPNVQFFVDDAQEEDWLWPKDHFDYIRSSMLLGALNS YKSLINTARTYLKPGGYMECHEWDVALFCDDDTLPAPQDDFQCPHEFQNWIQYLRLST KNLDRPVFIVDKIADWMREAGFEDVKETITKIPLNPWPKDPHLKRLGAWSERNWLDGI AAFSYAPFGARGLGWTQEEIEVFLVGVRRSISDRKVHSYQRFHVVTGRKPSS TRV_06297 MDLASGIGSSAGLDAALLFGFSGTERRPRGEASIAPSPLFQTPA YNDPPTPEACNSSAVPVFSIRNSNTHLPPPSPPKPPRLRKEKAIPAVLECLFCDDKIS LHSVRRVIRDVDINLRVQPTLSKMIFNHILQTQKIKRWMVTDLHDFLLDPSLNAPGSN NYATLMEYLSLQRFSLPVIIEHLDQLAAGLTLGAIPLNEIENIIVKLPNLNSSEGSFA HSHPDLLVSFYTELWTGLRECAVLRASDLGPSTLNLWLDILLNLPPGTKSIALCNHIL HTLRKMGHLEQKAMSKVLLHTLEFSTEKPAMPRKDDWMDRKSLQYRLQEMSNTLRYFP STFALSSIFGTTEALICSPNYDTNRPQMLLVWSWMLQRLVGLRGMLSSEIWMEFQVSC PDKNQLGKALTAEEVCFVRMWLLRIIGRRTKMYFDIDSRRMDLFAKYLLYLKQASGLH ERGGMLAKVQSLILRFDKLGLPYTDHVLVTAVRSKYMQRMGLTGPLPQSMISAINSLD CGVLHKPELMWMYEKGTSLNNLGNALFEQAALETDITDPHFLHRLLLYTENNVIHSRG VLLGLIENHKPLRYALQKLRYSPPGKGVAVNPTKYLPEGIPILDPQACLNTITFLALV FSTVPVSNRVAWRLTYRCCKLLRTQNAPILPAMCRALYHAGIQRYIDSGSRVPHERRK YIMDILREVEGPQVGGLKAY TRV_06298 MPGFDFSNYNRNRALHAKGVPLPKATSTGTTIVGCIFDGGVVIA ADTRATSGPIVADKNCEKIHYIAPSIWCAGAGTAADTEFTTNLISSNIELHSLSTGRA PRVATCMTMLKQHLFRYQGHIGAYLVVAGVDPTGTHLFTVHAHGSTDKLPYVTMGSGS LAAMSVFESMWKPSLTKDEAIKLASEAIQAGIWNDLGSGSNVDVCVMEKDKPTKVMRN YLTPNERGEKERSYKFQRGTTAWLDEQVITKADLARYVTIEELGGEEAVAERMDVDV TRV_06299 MAFSFGSGSSQAAGGKVTTGAELQEIETQQIGFLSIGHNAKVKL LPTPWPEDSLPAPTASLLSVTSIKGLLAAAGPEGITIARTDSVRKAYASEPVDGSDVR TFQPELQIPLPKRVSHLAFSADGSALVVAVDGGDALAIYDVSGLLQGRTQPTATFNIN GATLRALAANPNPDTSELFAAVTTNGELLIANLKTNQLVSGSAGPVLKSGVSSVCWSN KGTQLVAGLGDGNAYQVKPDGEKQADIPRAPEIGSDHHATRKPPDQYEFRKLPEVSAP FGLARHPAYQFTARMRDFEPHLKEALIIASTASTDIGLITQSTADGTPTFATLTMADD SRRAVLPMTEDMNDTSPIGMCLDLSSRDSVISPIPGDEEIKESSSPLPALLVLTNDGM LSSWWFVHTESIKQQKPYSGLVAVNSKGQQSQPQITSAQPAVTTTTPAKSPFGQAGFG QPTFGKPATPSFGMSSALGMQSKPAFGSPSPLGSFSQTPGGSSTTPGFGSTTPQASGG ISFGSPGNLGSNSPAFGQTGALGAGRSLFGQPSIGSGGLTTGSGFANIGAGGGFGSFA SSGGGFASAAQTAAPGASPFGKQTLTESPFGQPASTESPFAKTSSNDNPFAKAGQPLF GEPNAPTTTTSPFGQQVKNEKPSTSFGLGTGGFTVGSTMKPDTSAAMDEDKPEQSSGS LSMGAAFGDMLGGMKSNIPKLAAPKSAELSISSTPTSAPPASIFSSHKQTQSQDQKAP LFSNLFNAPPQQEKPLPTAKSTTPTGSFSMIPVVKKAPSTPGEPIHSPATIRAETPKE TPRATPPTPLAKAVEAPLPPESTSKLSYAPGDTSASSSGVSRTSIEDASLPPDFVKPK KEEAPKEATDVSLPAESEEGDADFEDSGEDVGHDLSPTDEGADHRVQSLKTSPESSFG GAPDKSPMSDLFTKGSTSELKTGGGKPLFGEISKPIFPPPTFPEPRHRLSPRSPSPVR SRSGQMLARADGFRSTSAPSAPDRAITRRKATLEGSMLARQVSPSRDLAEDQAQAKRE AEKVAAETQPLCEDDEDEQLRADLARPISPSPSLDPFLPHQGYTGESMKPGIPGQIEL LFRDINTMIDTLGINSRSLSSFLLYEESAKENDYKRWLRTLRSDKCSDLLNERQLLSE VDKLRVGVDALDEALQKGRIEGVQEMFDQCQQLISKDLVTLRGQFANLQRTLDAHTDS IAIASAPLTPEQAALQQDLRKAATDTRSKVADLERDITIFRAKIADASRLAGVDGDRK MVRPTVEAVTSTISTMTNMAERKSGDVDVLEAQLRRMGIDVSTSPAPGRSREASPFLT PAKKTPAGRFPVTPGSQGSQDGYGRSVYQTPESAAAQRFHTSLLGRSSSVQGTNTPDM VVSEDLESWRTKTARRKEVVGHLRSSLSKRKVKIRTLDS TRV_06300 MDPLGYSSSHLHPNSSSAPAASHSPSPTPSIHLSPAELHQVPTV GGSSNTTEDASNGSQQYRQYLSGTSTWGGQDVGNTGGDDGSHQGSATTTASKKQAQQQ TATMKIRRRNRMITSCLECRRRKLKCDRLHPCTNCSKFKRHCLFLSPTTDALSRMKLM ELKEKMGSLELVLEQDVAARQTGQTGHDDPSSNMNANTPAPVSGFVSGVVEYTRNDRK PEGSFDLDTLEILDNLEHDRGDPVPDDEKYLRPTPLAVMDAAYDDDADDDTFDIGFKL GKMRMTDRLGGFFRPRIADEISVVLKEPRVSNGVTDTSLNTPVKVPEMKPPRLRDLMP EGQESCFHPGPTYIAPHSDFFFGGGRRTSLADFLPTRNASDRLLEQYWVSVDPVAKIL HRPTFERQYWEFWSDVSKSLEPAYSLQAVVFAVLFTAVASMQEHLVLSTFGVAQKKLI ENFQLGTEMALGKAHFLKTTKTQTLQALVMYMIPMCRNEVSRTHSALVGTAIRLAECM GIHRDPEEYGHGPVETHIRRMIWYQLCFLDLRTSESQGPRQTIRREDYSTKFPLNVND ADFLQHRGSRLTDMPKFTDMTFTRIRFECHELHRLVYVDRMKLETNSISLTRVLGKIE AFRRATYAKYGPLIYVSNPKPIQRAAQLVLSILICRSYTAVLHRYHNSVSVRIPDRLR QIIITACTQILEDAIELETAPDLQPWAWYSGAFNQYHVAFLILMEVWAYPMRKEADRI WRCLDYVFETPSTPAIPGTAGKNARHQLIMQRDRKARLILEQLRDRMEAYREMRKLRV PISMADTKVLRMTPEVGTSREPSPPQTTEQNSAGGSLQWGPQYTSQPFEESQTIPAPS SQPVNPSRVSPPRMFSHEQYQIQSQQGRRLSPASLMQQYQHGQPSYQRLQSQPQHSSA LPPTSSSYSSSPAYNPTFQHQGYTPDYSTRMPGRESLDSGTGSEDSGMGHLWFASGFD NTNTGAGLAPPLHSAPQRPSAITAKLNNTEEDLPMLDIDWNEWDKLFPPDINNGELNL PPLSPMVGPTDTTASLDNISSLAETTNSNYSTYPYSSS TRV_06301 MVLSTNVLSYTLPVSIIAAYALGIASSIWLFPWAKRIAIYLITQ LSADNTRIETNYTAQDESLYGLDHAALNIQLPPTTMWMNMGYWKDNGSVQLPEACEAL LDKVLRTAGLDIKSKEGGETAREESNNAKKRVLLDLGFGCGEQTIHLMRNPVRLSPIF DEYIGITLDKVQHGFAQKRLQQKILHENQQEISTRPSKISLFCADAAQPSSWPDEVKK TLYDAFSINDSNNNMERYVMGLDTLYHFHPSRREIFKYSHSILRANLLAFDLFLAPSD PSSLKQIFNTLFLRLLTPALGAPFGNFVSPEAYTTMLEEAGYKTENIVIEDITDHVFV GLAGFLKKRCLDMAIMGLGGYIKWQVAGWLFRWLSSGGILRAGVVIAKVD TRV_06302 MPIRLARYSDLEAISSTLAEGFHEEEVMGPLLHPLRREYPNDYL NYWRRKCWEKWWNYSYVFVVSYIEQDEEAEEADEASLDDRKDDRSAEEPSRPPRETIT GVAQWHRMGPGWESVWKPLGKWDPTPNRAASRPPQLTEESVRQALIKALGALYASPPH RLTNWSLNCLAVRPKYQRLGHGRELVAWGVDRAREEGIAASVLAAKGKDTFYRRCGFT ELAGWATDGEGNPLKGVVEGGAVMFTRVKEDDL TRV_06303 MNCLAQLWQFSPCGDECQLRLKKREENTELISLSIAQTYREGIK GSGAYPRWRNALRYASELRFPAVIQTPKKDTGMFLELLAQIKLLLDFEIIAMKRTTDS LKLLPDLAIFDCGYQHSPVRRGFNALWENN TRV_06304 MSGHVDLQLFTGGDRFTTVTPDDHGGILWVASIICAIYVVLSMG LRAYVKREFYGLDDLIALFATLIAEAQYISIFFGLSDGLGKNDESFGMVHIRRIGRSL ALSEVFFLLGLLLAKVSLILLIRRLFSPDMRSHIMTCDAVLLLSILWGVGSMLGALIN CSPTGMMAFIHGACKGIVSVA TRV_06305 MGRTSDTEVNDARSDVPGDTFISLRDGTANDVQDMQRMGKQQQL QVSEQSNGLKRSMSYPAWNALTQIVF TRV_06306 MAEMASMAPTAGGQYHWISEFAPRSSQRFLSYIIGWLCVLGWQA GTASSCFLAGTEIQGLVILNYDNYEPQQWHGTLMAMAVIALCALFNTILAKRLPVVEG VVLILHVAGFFAILIPLWILAPRSSSKDVWTKVEDAQGWGSKGLASLVGIITPVVSLL GADAATHMSEELKNASKTLPRAMLATALFNGSLGIIMVITFCYTIGDVESVLATPTGY PFIQVFYNATMSKPGATAMTAIMTSLSIFCGMTNMATASRQLFAFARDNGLPFGKFFQ TVPIGWDIPLNAIIFTVIVSSLLSLINVGSTIAFNQITSLGLCALLSSYIVSISCMAL KRIRGEKLLPSHFRMGSLGLPINLLSIAFLVLAYVFCFFPPSPKPALDGMNWSVVIYF GVLLFSLIYFAFQGRHKYVGPVEYVRKST TRV_06307 MSASPTSAGPSTKRPLEDPSSPSGPNDQPEAKRQALDKVVKAEG DESKSQEGDKPTNEKSVNGTENGAGGENGVKDGQGDLLVPDAPNNGKTAPAPALETQP IQSTASHNDRATSNPPTTSQPAQDETGWVHIRAVISSAEAATVIGKGGENVSQIRRLS GAKCTVSDYSRGAVERILTVSGMQDAVAKAFGLIIRTLNNEPLEAPSTAQSKTYPLRL LIPHILIGSIIGKSGVRIREIQEASGARLNASDSCLPLSTERSLVILGVADAVHIATY YVAVTLVEQLTERFGGPAASAYATRSGGPAGVVPGGMQVVPYVPQPAGGQYGHPDSLR RNNPQANRAAPGGYGVPYMQQPAPHPHAPQPSLHYGASPRTGYTGAGPHQPAPYGAPQ PLHGGHVPPNGPPMGAAVPGQPLTQQIYIPNDMVGAIIGKGGAKINEIRHLSGSVIKI NEPQDNSNERLVTITGTQECNQMALYMLYSRLG TRV_06308 MVAGEVVERIRAYQESCRDIEKEREKDALTAADYEEYNKQIDKT LRGLREQVQRQESALREVCHMCLADTPHERLSQTRRAIQAYKSLLSDGEPQLPAPDSP LNGLLAIREARRLVQEFKHTIPSTAEQLVRDRERLKAEEADLQDANAITTELQRRIRD IRQNRRDDNDTGRKKSRQDLNRAAKEQIREHQRKGVEIDEKTAELQNALKEFIEEHLA PMLAAEDVGGPVVGDQVEVSDSTLEAGYTTRGKERKASRVSSGQSTGNKKQQQRIDEL IHRGKRGGPPSGRDGEDGDDNEKDGARVNNPREAAAQEMQSLLDSLLSIATTSSYVEL DQDSAASRFLVKAKIAQFHPRDSQKLRLIDVAREIAD TRV_06309 MPGSTIEDKDRQLPWIVFLQGGPGGACPQPQEVGWVGPLLDRGY QILLLDQRGTGLSTPITAATLALQGNAVKQAEYLRLFRADNIVRDCEAVRKLLTAYHP PDRQKWSVLGQSFGGFCAITYLSKYPEGLKEVFTTGGLPPLVSKPDPVYERTYDKVQS RNKVYYSTFPEDEDRVRIILKHLQTHNVKLPDGSSLTPERFLQLGIHFGMKGIILKCI NELDTFGFLTRPTLSLIENDTSADNGILYAIMHESIYCQGEASNWAAERLLPKFAGFR GAHNPDGIYFTGEMVYKHWFESSTELGQLKEVADILASYNDWPQLYDKEKLARNEVPV YSATYVEDMYVHFSYANETAATIGNCKQFITNTMYHNGLRSNSAELIAQLFALRDDTI D TRV_06310 MGPDALTTSKPKQCSEAIWKDPEVVEGYRSAEKVTIAFARSLVE QSGVLSIRNEGEPLSILDNACGTGAVSAVLHEMLPDWKTGCKWTLTCADLSEAMINVV KEKIEAEGWENTDAAVVDMQETGLPANSYTHVFAAFECLRILRPGGTLAFTTWKKTGW SDDINAVIATMAPDLPQPTSDKFLMSLGSGDEWHDPTWVEDQLQKRRLEDIQVRLVQM TMATSNQSEIMPALGSIMSHIPARFWNEQQREKYGPRFASAVSDYFTSTYGVDRLIPM NWVAIVATATKPAGMTH TRV_06311 MVLTAEFRVQYLVYMGYIDNAFSIPPGLHLSWHLQKYNCKRRPF EYKRPLLSFTKQASIYSSSSPLSSISETLSLQIYSFTAISPVITTKMGYPTNAEIKSL LESQFHSKLQKSDLTDDSESLFQFGHLDELFSDDVEVHISGHEFHLNGKHRGLDAFKE HLQSDDMPSLNSIIDVNKPIKGSVLHVIGGENDEWKAAVLHSTATTQNNTPWNHEMAV LMRFNHDGKITELRAYADTQHVHQHIEAHK TRV_06312 MGSTRVRSYNCTSNENRDDSIGKFPLLTDGYSPPCSKFELETKR HNVCVEGDLTPGNLSESIVISAWALTLSIYIGTSKVSFHVLLKDESQWRTSIFHIDAS ENQTQQQFVQKSHSLLQKLKSESISNEEVGDREILEQPVNTAILIGHVSSRVDLSTLK FVKVFPFSLYCSTSIVADQRT TRV_06313 MSQLHISQLWKFNSGVSEEPWMECFHAVVERHARENPLSQAIDA WDGRFTYAELNNLSILLAKYLQSQGVGPGSVVPISFERSAWAIVAMLSVSKAGGAFVS IPPYLPSGRREAMVQMISPSILLTTSDFCHLWATGPKCIPIEGSRINCLPTSELPLIT RSKPEDTFYIIFTSGSTGAPKGCMVSHSSFLNGALRKAPEWKFGPKRRVLQMLSHTFD MSLLEICTSLGSGACVCVPRTEDIEDSLANAINKYNVSLAVMTPSLARQLEPEAVPKL QVLCLGGESFPKDLVTLWSERINLFQFYGPSECSINSSTRAITHKNTDPLNIGVPNNA ACWVVSPEDYNKLVPIGATGGAFGLWCYCRPRLNGQRLELGEVEYHLTLEEGVGHAMA MVPRTGRCKDNLMGIISLKSSPPVDSNDEISTLQGALVDQSIQSIRKRLQNSLPRYMV PTIWACILRMPMSASGKIDRVRVRRWVEEMSESTFREITGGIQQPGDRNPLSKMEQFV QNIWSSVLDLSPQDVGRHQSFIQLGGNSILAQEVVAKCRKEGIGLTMTDILTCNGIAG AASLTTALGNNESQTRLLSSTSTPVWRKLREKYDLSRLGVSHIEDIEDVYPCTPMQIG MFLGQIRKPGSYHLRFFYKPIVKGGKLPELGRIESAWHQVVSHHTSLRTVFVDDLGVG AEYHSVVLRNAPVDVCIDEVPEKFSPSEAMETFTKSIKRFAKRSTFHRISLCVCGDKV TYLMIEVSHALVDGAAMENLMRDFATAFDDGQLLYQPQPYREFVKYVASQNNEASARY WTTYLKDCPPCMIPVSKQMMFDDLPTRFLRKDFVYEKSSDFLAKCKERQITVASAVRV AWALVLRAYIGSSDVCFTYVAAGRDVPVKDVNKMVGLCLSIQPCRAQLCTGTTFVSLA ERMQQEYIESMPYQHYPLTELKARLYPKGSEAMFNTAVSMEWTARTDPFYNTSIAFEE IREQDDPTEYDIVANVEIVDNIMKLGFLYWPSFSDIDVIHIANASKKALDCLLDSADK SVEAISLDGEHVFHAMKSSDQESLHHTETCVFDAIERQAMAQLNTQAVVSWDGEYSYG QLTKYYTTYAKYLVEQGVNNGDIIVVCLDKSCWSVITILAILKAGAVFVATNPLHSQQ RLESIVNHCQAKLIIVEPRYSSLFETVDTPTVVVNKETVERALLSTTLPTIRDSDIAT IVYTSGTTGLPKGIIIDHGSLCTSVLQGHGKRYGFDEETRALQFAAFTFDACLQEIIT VLSHGGCVCVPSEDARLSDLGGCITQMRVNLALLTPTVARLIRSQDVPCLKRMILCGE PMSRQDLEAWAGTVTLYNGYGPAEATICVSISGPLDVSNDPANIGYAVDGTRLWITEA ADYNRLAPAGCIGELVIESRQVSRGYLHDVEKTNAMFINPAWLPGCRVYKTGDLAKRN PDGSLTYCGRKDTQVKLRGQRVELGEVEYHVCECWTNASGVVAEVIYPTGEDKATLAA FVCTSDAQNNALGDLDAPEEPKTGLSPVWVSKEFIEQLEDRLPCYMVPSIFFTIPSVP LTPNKKTDRQHLRDIGSNFTSEQLARVSDHQNNSKRMPLEARERKLQQLWSFVLNIDR SQISLDDSFFRLGGDSVSAMRLVTTARKSGINLTVADVFRHPHIDEQARIASSSSNNI LKTLIGKPFAHIQRKDLERVVSLVGLGPYANIASDIEDILPATDLQSFYASRIAEASR DALNYFYLRFDNTPDVTRLRHACQSIVDQFPILRTIFIPTQGRTYQVVIRRLQVPLEI HNSVKNLSKASDLFCLQDLENKIISGSLFLSFTLIRHAISGSQLIIRMSHAQYDGMSW PLILRCFQESYAGVPRSPTQSFSGFISYTIGKVSESRKYWTNLLQGSHVTQVSAKFTM ETPLQRVEKTHVEKTVELPIPPKGITVASLVSSAWSLVLRQITGKRDVVYGFVVAGRN IGMPQIQEVVGPCMNTVPVRICFNSMRTTIDLQRHTMNQYFAMGDADSLGFQDIVENC TQWPSGTKLDTLLQYHDIDETPVVTLSGGPDSEPCSAQLDWFRKPYAAPTNIEVSARP NGKTLGITVSSDGSLLSTESATAILTMFERSIRILSNGRAIPLDSINLLAVAARRNQP KIPDLCPPAYLTFQLLSLMLGS TRV_06314 MLFYQSILLLCSWVSEGCLNQQAPLDLGSRSVYHPSTAFEEKGY GVADLATSQPVSEHTLFFGGSTTKAFTAALISILVDDNENFPEIQWDATVHSIIPDDF TLSDPWFTTQVTVEDMLSHRSGMPRHDWVWFANMTLQEAVRKMRYLPLTSTIRTKFNY CNLMYMAAAHLIETKTGQLFKDVLRERILEPLGMTETYVSLSDAQAAGGEIARGYFLN STGQLQDTDLSFHESIRGAGNIITSAADYAKWVQAMIQRSPPISPVGYAAIMGGHTII SPEPMAPDTSPTLYGFGWFLRTYAGEVIIQHPGGIEGFGSLVCFLPRKRIGFVILGNN MIGTNAAVTLLGNHLIDDILGIQERHRPNWRKRAEATLENTKISPRVLDDLYPRIPSP PLPPPLKLSEYEGTYIHPAYPTLKVTTDCPSHSLFPKMSGKRKLGPYRLCAKPLHSAF GSSALVLELRHVTGDFWVVGTLMYGATSATRGKFVLSPEGAINQISIEMEPTMALEHK GIHWKKTT TRV_06315 MDACIRVVLQILMLLWPTFSRTTRPQAAQPQATKSQAAKSEAAE SEAAESEAAESQAAEFLDADSLDADSRDADSLNAESQVINKSFPRKIPAPIHALHADA IYCIVSSLPPADAAAFVLASKSFWQAAGGQRVVNRLSKPSDSCIDFLERIEAQFPEHV LCYRCQKFHTQCKKKPLLKPGQCDTETAIHFFGPRDERNIPCLLYRQAKEVMNHYRFG PTHGRCAATAMDINTFYLKPHGEYWSTKGVWNFDIKLISNNLILKEDVWIMYRASFPH DASDYLNGFKKHLLPEITLRSQASDCYYCYRCPLSHSEREFRISRLRYKPSCILIRST TWENLGPCKNSEGSLWEHGTEFSPCNFARVAIEPRDLKYKHHFDSELPSRDYRGKEID FW TRV_06277 MSLGANRGGDETWLMAIGLSKSKTLRHATPFWQQAFLLSRVSGV MFTIYGVQQLFIPCPATLKRKLWQPQKSEFLYRCTTGLVDKNAHGCIMADVQKAVIAC PATLVGNWANELVKWLGKDAINPFVIDGKASKTELTSQLRQWAIASGRSVVRPVLIVS YETLRMNSDELRDTQIGLLLCDEGHRLKNADSQTYVALNKLNVQKRVILSGTPIQNDL SEYFSLLDFANPGILGSRSEFHKTYEIPILRGRDADGTDEQQKKGNERLAELLNLVNK FIIRRSNDLLSKYLPVKYEHVVFCNLAPFQLDLYNHFIQSPEIKSLLRGKGSQPLKAI GILKKLCNHPDLLKLSEDLPGCEQYFPEDMTVSNGRRGDREVKTWYSGKMMVLDRMLA RIRQDTNDKIVLISNYTQTLDLFERLCRARAYGCIRLDGTMGVKKRSKLVDKFNDPNG EEFVFLLSSKAGGCGINLVGANRLVLFDPDWNPAADQQALARVWRDGQSKDCFVYRFI ATGTIEEKIFQRQSHKQSLSSCVVDSAEDVERHFSLDSLRELFQFKPGTTSDTHDTFK CKRCRPDGTQHIKAPAMLYGDTSSWNHFVNTGEKGPMNRIQDLLLRQETTEQAVSAVF QYISH TRV_06278 MATIYMDPSIQRLLNDKLYDKRKQGALELEKTIRESIARGDSTK IKGIIDQLCHEYAYAVHQPHARNGGLIGLAAAAIALGSDEVAPFLKDIVPPVLACFTG QDARVRYYACESMYNIAKVAKGEILLYFNDIFDALCKLASDSELSVKNGAELLDRLIK DIVAESAASYVSALEASRPDISDEEDGKDVDELEDIPTAFSLAKFIPLLQDRIYVQNP FARNFLVSWLTLLDTIPDLELVTYLPAFLGGLFKFLSDTNRDVHTATQGLLERFLNEI KRIARVKKGIAESRRDHESGQSKISASDSKSVDSELSISHAVSDNAIADSESATANDD HLNNPYGDWVPGQDVHVDHSRILEILVNFVDTNSGKHPYLSLYYVLSNYLQFFAGVTE DEIQLTALRWIDSFFEISPADMLQFVPRLLSQVLPTLSSGSDEVRQAANRVNNSLMQH IVSLTEDAPQNEPPKTSPPLAAVQASGKEGEGRRTPTPVTRQASIASSDTRKQTSRPA SRTEPAPALASQPSEQASEQTSDLDYAAAVNALTLQFLNENEATRVAALAWLIMLHRK APRKVLAFHDGTFPALLKTLSDPADAVVTRDLQLLSQISRNSEDSYFASFMVDLLQLF STDRKLLEGRGNLIIRQLCMNLSPERIYRTLADCLEKEEVRHPFSPFNDIEFASIMIQ NLNNNLITAPELADLRKRLRNLETKSSAFAALKNRLNSVSNIGLLYAGMRPPTLTGST STGPYERSGRLKSREESVIRWADLLEKFKSVQERSRRSQRRSQRDLEIDRNGISTSTM ATASLPLYEPNSSGIDRTLSDIPVGALGIGNGARRPGAQGDSLGTQKGGSTAKSKTPL GTFGRLSGIGQRKSKK TRV_06279 MTELVRAAAISQGCDISSCIKVEKLPEGDYNKVFLISLHGGHQV VAKVPNKNAGFPFYTTASEAATMSFGRNVAGLPIPKVYVWNPHTSDNHVGAEYIIMEK AKGVLLSSKWPSMKKDQKINLINNVISLEKSLLIHNFQHIGSLYHKSDLDGVQDRNRF FDTSYGDFVLGPSTERNFVYDGRRAVNTDKGPSKSKLDVLDDFGKIAAYLLPKDTSIH IPVLWHGDLHDNNIFVDPDDPSKILSIIDWQTTHIAPLFQQARTPEFLDFIGPHPSVG LTPMPPLPDNFDSLSAAEKEEAEKLQSKQSLFKIYEIQSGRNNMPVFKALQHSQTLGI QIISLISQVFNGGEPIIKGQLIQLALDWEKVVGPDGPPCPLQFTEADIAAQRVDQQKW EEGVQMKGDVLEALGGSEHGWAGWSSHEDYDVLTKKLAVVKEQFLEYMANNEAEKEAW ENVWPYRDD TRV_06280 MGLKDKIEEIMEFRRLENRYARRKNRHTLTYGAQYVDGEYIYGP GSPAVSPSPSATTVRKQSTGGKSSKWGGK TRV_06281 MFRFRRYRVYLIFSIISILAIIHFSGFGGLSTSSYAPPVPVLRP DSPKQPAQQPQQPQQPQQPQPQPPVKDENKQKPIPPPAPPVPEKGQQGQQDGGGVNPP PPPPPVKETPPPSPPSPAVPAPPANVPSNNGHDLPGGKGRQEDEDGDGSETSLPRWEK QPEHFPVPSEDVIPLPKGTPKNLPKVQFKFSPESALAKDEREQKLARIKNSLKHAWDG YRQRAWMHDEVRPQSGGYRDPLMGWGATLVDSLDTLWIAGMKEEFEEAVRAVGKIDFK TSRRKDVPLFETVIRYLGGLIGAYDISDGRYGTLLDKAIELAEILMGAFDTPNRMPVT YYIWSPKFASQRHRSGKRVVLAELGSMSIEFTRLAQITENNRYYDAIARITNALEKWQ PETSVPGLWPSFLDASGCKPLPRKQRPQQQIPDFPPSSPGAPGSSSQQQRPQQDNAGL GKRDGDTLLPGEEPANYGGTVASLPKVDTAPPLKLDIPEDKPWQRPETEFEEHCEEQG LAYPPGVVSATYTLAALADSVYEYLPKTYALLGGLNDQYKNMYKLARDATLKHIAFRP MLPDSRDILFIADTTTSTTAGDGRGFDYKYHPSHLGCFVGGMFGLGSKLFGLEEDLEI AKKMTDGCVWAYNVTTSQIMPEAFAVLPCKDMQNCKWNQTAYNHAVYPYNHMQQHSPD SLIGMRNAGDATVKKPTAINKADASTSTTPLSPKKQGLGRPQQTQYPTAGGKFAKRGD TRETPIPDRIIGDPSRPVPGGAAVPTSTSMSHAQLVDKHIRDERIPPGMTKVNSAKYI LRPEAIESVFIMYRITGDEAWREKGWEMFKAIERATRVDYGHSAIRDVTSEEPVFQDE MESFWIGETLKYFYLLFSDPGVVSLDDYVL TRV_06282 MNRFMPINTSTTPSIYETLRQYDDDGDSDGSDVENRAGMAVDEE NLIGQQYTDYELEDALAQASDSQSRQSSPFSRRSAPRNNVNVASAFHAGRARAGSRAG AGGGAGGSAGGAEDTEADDEVPASLLVEGHAVPSSPLPPPPMHPLRSSGIGEHSLHLR GPSSASPSGQARNNNRWETTARGIAEQEGPPRVWGVKQNAALRLADPREKALWRWANV ENLDNFLQEVYDYFLGNGIWSIMLSRAFNLIKTMSQILIPGCVRKMSAFSTFLLWLFT IFWIGKVFQYAVDFRRLRHMQDFYQYLLGIPDADVQTVSWQQVVSRLMALRDSNPSTA AAVSPKHRKFLGSQSKQRMDAHDIANRLMRRENYLIALFNKDILDLTLPVPFLRKRQL FSRTLEWNLNLCVLDYAFNQQGQLRPLFLKDTHRKALSDGLRRRFILAGFMNIFMAPF IVTYFLMHYFFRYFSEYQKNPSQIGSRQYTPLAEWKFREFNELWHLFERRTNMSRLAA DEYIAQFPKDKTVQFARFVAFIASALASVLALATIIDPELFLGFEITHDRTALFYLGI FGSVWAVAQGVIPAENLVFDPELAIRRVIEYTHYQPSHWQGRLHSDEIKKEFSLLYQM KLVIFLEEILSMIFTPFVLWFSLPKCSDRLIDFFREFTVHVDGLGYVCSFAVFDFKKG NNIIPQGRDASGSTIPATGAAGPSSGGGAPVGRNDYYSTQDGKMLASYYGFLDHYSTN PTAGGTHAYMMHRRQNPQSKLNSGDTGTNPYDRGPQRSIHHNLGLDMASLQSPPHDPV MARPISPLASVLLDSHHQPPPPPIAGFKNVNRFPGPSPRTRPTRQLNLMRGAIPEPIE SQTRSQSQSQVATPRLTARGSSKTASSSRLAVDDSHFEESWRMNQAAEVEGEDKEEDE EDINEVVEGAGVLGLIQQFQMTGNEGRRTVGM TRV_06283 MLKKQKKQKKRREDEKKKKMKRRWMSYSEDIPEAAAECQCRVLE STATARRQQEVTM TRV_06284 RKDAGKRKKGEEKKRERGKRKERQRRRRNLRAAARRRRGRESDL PLSLSLSLRVPPWKIFLAAASAISSLKKKRKKKKKKKRREEERKKKKKRSREEEDRWE RKSSGDRPSFWQLSVVSTGFLEAPVPAASQQTMT TRV_06275 MGPRGGGSVLKTPLHSLSSLPFSLLLLPSCSSSFSLSLSLLSVL SSTAAAARRATTADSAAMDIRDLITPNSSRQEKAVRSPAPVPSPRPRSHRGNTPQTAW AGSGGVHGNGTGPRQTQSQAQPQAQAQTQTQTQASQTPQAQIHTPNSARGPQTPSTPG IDALVEAAHIKRAEPSPSTAFPIVPPAAAQHNTPTPRPSGYDGAAEPVADAPRRDFSS TCLSPEAQQTAAQLYSNIQKNHYAYEDRVNFIRLLHQGFVDHIYPPASPDSHGDPHRF DVLKDLKAAREELDSLFAIGEDLWAEWIQDESLLARTVNERIAVMELCRRSVEEEYGS TKLWVIYGEWMLYLYKASAGDRDGSGRGHWSDEDRIIGREVFTWQSVVEVWKAGADAT KWRINDCHLVWNRYLELLMQDVDASPSQERVSQLRVLFESRLQTPHAGWDDTFQLFSN FVSKYYNANYEDLMVDARSKAAEAKAMYAARETREAALHRTIELKDTNAEWTTFQEYL DWELSKPQRRKGQQQQQQLQPLRLELITSLYQRAVLRFPTDATLWEDYVMFLIDESMD GPMGVSPIPVIERATRHCPWSGALWSQLFISAERAGLSFAEILELKHKATRSGLLDAA GINEVLKVHTTWCSYLRRWALQPESTDEDLDVAEVGIRSAIESVQELGDKTDKAVPND PLFHLERIYIRYLSARGSWDSAREEFKHLIPRHGHSFEFWLAYYTWELLSWSKFMPCD SSASASRRMPNPSYATAVLKQALQRTDLDWPEKIMDAYISHCEHYEDADESQLAIIDV RKAMKALTKRRQREALQQQQQQQQQQQQQQYHHHQQLANHQYHQVQHAQHAPVSVGPH PDSVEQQYHYPDETQLAGKRKREGEPEVNGTVSKRAKGGAIPSVETRARDREHASVII KNLPKDIPQVKIRQFFRDCGKLNSLQMLPGDSGSALLEFDTHEDALAAGTKNQKVLEG NQVTVEPVTDTTIFVTNFPPTADENYIRELFHSYGEIAEVRFPSLKFNTHRRFCYVQF TSSSSAYAATELDKKDLGDGLELVVKISDPSQRQARSGAYEEGREIYVCNIPYKTTEG DLVELFTAYGDVESVRIPTKVNGETRGFGFVTFATKLDRAVILTSDKDQSTAALAMNE KTFKGRELNVRLSTNTGAKRHQNTIVSRSESPATNAQRNGTSTASPPPGSLPDGQSKG KGDRHLRTLGLMNIPDTVNDTRIHALAEPHGVLVKINLRPDHQGAIIEYADTHDAGKA SLALEGYEITPGRFIHVGSVKEMLQQKAEHKIDRIPIGKEKGKVSGATTSGSGTTGGS KMMTQPSAPIRRPNQSSGSGRRGGLGVKRGGSGIPSRDKPPGSTTNHHDDTDGQKSNQ KQSEPTTTRNKTNDDFRAMLSQPK TRV_06276 MFGVSINRFGSSGSDLFANHAISNPIFCSMWRSVGFLVSLAVVL EGMTFVAFVVILAGGKQMRETGWKMLTVFLVLIGLVQAGGMGLV TRV_06268 LQSLLKKRKKKAPAVLHQYLANPSLAALTTLYVPRVECFVTNCI RSTLLFYIGCSRFHFRRQKETKIFPCWRE TRV_06269 MYGSHRAMVTAPPNTRLTELLDQLRQEFDNQSRSTGEFEHQRMI PRPFLRCTISSLTDICSDRPAPGDGDDPAKGLPVGAGSAQDETRVRLLSIFAGTMLSL TCCTSYEAEIRTLRMELESRGIHVPPSHVGGPPPHGGPSQAPPPALGHGPSNLFGGIM ANQGGGAPALAPPPPPQDQQQGAQHSLQNPPNAQGPPQPPQGAFGGYQQGSAVNGSAF GPPAPTASPGPGKGRNARAPAGPATPQQSQQMAFSDARPSPQMPLQSAANPQGGPPYR TVGNTLAELDPDKLPPSQKKESSDWYAVFNPDIPRVLDVDLVHNLIHDSVVCCVRFSA DGKHVATGCNRSAQIFEVSTGLLVSTLQDDSVDKDGDLYIRSVCFSPDGRYLATGAED KQIRVWDIASRTIKNIFSGHEQDIYSLDFARNGRYIASGSGDKTVRLWDIVDGKQELI LSIEDGVTTVAISPDGRYVAAGSLDKSVRVWDTTTGYLVERLESPDGHRDSVYSVAFA PNGRDLVSGSLDKTIKMWELTPPRGMVPGTGPKGGKCVRTFEGHKVGHPVAITMLSWA CFPG TRV_06270 MDIVLEVFDTFVFDYLYACALPLSAPSSDIISNVFKGVNSTTAS TIAQVNGIGNGFVYTPATKYFSLEPFEYAYQSSLPRDNGFRQVLSLFLITWVFGLVLY FTVASLSYVFVFDKTAFNHPKYLKNQISLEIGQAMSSMPVMALLTAPIFLTEVKGYSK IYDTIEEAPFPMYNILQFPLFLLFTDFCIYWIHRGLHHPLVYKNIHKPHHKWIMPTPY ASHAFHPLDGWSQGLPYHIFPFIFPLQKFAYVLLFVAINIWTVMIHDGEYVANSPIIN GAACHTMHHLYFNYNYGQFTTLWDRLGKSYRKPNDELFRRETKMGQAEWNRQAKEMEK MVKEVEGCDDRTYEGTEAKKNI TRV_06271 MIAENYSDESMKVAFEDLVLQMILEQPLKIPFIAAVILVLNTLK PEATAPIISNIGEQAALYVGQGHWRNAKLMLRFLGCLQGLFEGDGIFPVLEELFSRAV DLQTGSSEDSLGLELVSVILYTIPYVMASSATGFEPQASALLEKTDIIASTPHTLEAI VDPFPKKQGEDEGGRLSSLGLLQKQLQGEAAQSWKLTCIPRPWKEPLTQKEPKEDGNG EEKKENEETPKTITKHAFPTIAVPNPVKTGPRAIFPEIYFSVYTHQDIDTVPPTSDIA SSLVRDALVDTINVLDFNRNATAKFLIDVDCYFAPDTFVKRAVPLDQLRDSPSPQKST WKPEDVAVDAAFSQLLRLPFPEHKLVYYHSVLTESCKIAPAAVAPSLGRAIRFMYRNI DKLDLSLAYRFLDWFSHHLSNFGFTWKWTEWVADLELPDVHPKKAFIIGAIDKEIRLS FAQRIKGTLPEPYHELITEGKEKDTPDFKFSQETTPYSKEGQELMKLIRQKSSDEEIE AVITSIEEQAKTHGLTDPLIASTDVYMTSICYVGSKSLSHFLSCIERCKDRLLAIGPK SDAARRQIINSVMEYWVDQPGIGINIIDKLLNYTILTPLSVLEWALVDNLAAGSTLAK PHIFEMISATMRKVTNRMRQIVAARTQPTLYEPQLSILDETLKKEKADMLSMFQLIED TLVPVAGGYSDGMMERTEDDSLQPENVMIQQWGSRWLNVFRRKVAVERAFIDEAMASA PALGTMAPPAPPLGIDEKTEESKTLEEPAMDVEEMHDTAEID TRV_06272 MFSSLRMGEVIREKVQDGVTGETRDMQYTQCKIVGNGSFGIVFQ TKLSPGGEDAAIKRVLQDKRFKIMRIVRHPNIVELKAFYYSNGDRKDEVYLNLVLEYV PETVYRASRYFNKLKTTMPLLEVKLYTYQLFRSLAYIHSQGICHRDIKPQNLLLDPST GILKLCDFGSAKILVANEPNVSYICSRYYRAPELIFGATNYTTKIDVWSTGCVMGELM LGQPLFQGESGIDQLVEIIKILGTPTREQIRTMNPNYMEHKFPQIKPHPFNKVPSRRP YSFSFFFFFFHLCMLICSSYQVFRKASHEAIDLITALLEYTPTQRLSSIEALCHPFFD ELREPNVRLPDSRHPNAPPRDMPKLFNFTRHELSIAPNMNHRLIPPHARAELVAQGLD IDNFTPLKKEEMMARLD TRV_06273 MEGEEHKAGVLRAGKEEEGKGRRQAGGGDFFFLGGRRSKAGRQE GGREGGRQDGRREREREGGRRAAEGQRGTAAAAAESFLAGSTKLKMKQADTDGQQAEE KGETYSEGGGPVTEDDADDGTDERQPKTADEDDAVTAEKRAGRWMEYRRRRRRSWEGR SSPGLSPKKNCSTRQKAGDSRADGRRRQDEDARRRKGRRKEKGERELVKKKKKKKKKG EKSDPHPSPVSLPTNYLLFTLLLARPTFFKYACSQKQARLPDRRQAGRQSCHQASEGG GDDAAPSAINPSSIIHVYGKPYGF TRV_06274 MVGERKKVKVMTMTMRWPIFHPHPSFFFGYSFLFVLLFLLSFYL YRGARWDDDDDDGQGERGSERQGDDEAEPEAGKKKKEKETEEGEEEEEAEVTIKREEG EGEAG TRV_06262 MYVCIYPDHPARPLPRRPAERSPSSLPSPEERTCPFALEGPPSA QDDALHTDIPRVVSSGICTAGGRCARFPQRPPGLPLKGRPPPGLQSCSRSCSRLPPFS SVEQAVAMGFKSEIKHDQSSEANSPAAEEAGESLSSMRGGTLQDKRDMYRIGNSQELN VGDSSSSPSSLNIQLANCLPVEELSIRLGLGIRSCADVASTTGLVNGGLSGMIYTYIG GLFGFSFVILSMAEMASMAPTSGGQYHWVSEFAPASSQRFLSYVTGWVCVLGWHTGIA GCSYTVANMLVGLIAINNPGYQPERWHGTLLIIAIALMAIIFNTFFAQKLPFIEGVIL LVHVFGFFAVLIPLWVLAPLNSAEEVFLNVVDRGGWGNNGLACLVGLGAPIYALIGIE HIPLNWQANH TRV_06263 MLWTLILNGTTGLIMLITYAFCVYDIDAVLKDQTGFPFISVFLH ATQSVRATTAMTSLILVLQACSAISNVATTSRQLYAFARDGGIPFPSFFAKIDKRFVV PLNSLCVSFFIVCLLSLINIGSTVAFQAIISLGTASLLSSYIISISCVRLRRWRGEPL PPARWSMGRWSSTVETIAILFLLIAFSFSFFPLVSQVDVTTMNWSCAIFGGVVMFSLV YYILHARHVYKGPVTRIRPWAEAARIE TRV_06264 MDFDLLYDRPGGEPYLTIPGHPNIIITPPRIEDASELIDILNNF EVAIWLQGPPYPYPVDEARQYLRTVSIPSSLQIRKEIEAHNSSVNGGGHGNETTNRFL SGCPVNSIREIDPETGKESLIGCIGIRRDDFYEIEDDAAREAEFEANAAKKAGDGSII WAMGNFLSPSHKGRGITTMAAKTVIDWGKKHMNIKEVRASMFDGNIASQRVYEKIGFK HMTTRKDALTRAEIKGGGKITIHVLTSKEQ TRV_06265 MVLRTYTTTNTSAPETSAPFSTTTAATTTNATNAGAGQIAGADA DTEEANQVNQSVHDGLYSVSNAGDTPSSTGHHAHNIPGAAGGAPNSNTSTASGHTSMH FDPVGRAAPTTPANMSSTGSLSPVLTSSTTNNVHRREYRGSSSDRLHAGVQSSSSHGL HTSVMRTVVSSGNDALNILFQAAAQEQDNEMIDVESTDRRPSMSKEGGAGDDVNGSAA AGTGTGQERPYSQHQKTQSHAGIRTYDTPTSTTSYGGSPTSDPTQLSHVEPDVLAVWD QCRFVKMGWFSSREAVTYVDMFFKNMAPLSPILSHFYSHHRNHYWLISQEPVLCCTIL MISSRYHTLPGVGGASRGFFIHQRLWQHCQHLIMRVMLGQEKGSKAKTRTVGTIEALL VMSEWHPRSLHFPPESDGWDSDLMMDNTPEHHDSTSPDSSVSASSRWLEDVIEPARRS DRMSWMLLGSGLTLAHELGIFDTDDSRAKSLISSPEGEHQGFPVEETMNFPRHRIRQL LYVFINQLASRLGCMSLMPQSLNHSIVAPSSLNTGIDEWQTFMNSWIELTKLAKSVTD MFFPSATFTRQQLHSGRYIGLLDHFRPLLSQWRQKHLDSKRKCDALHIILTPLIIILI DLSRPFGDMIFIEYQFVRVYTNSIGMQAVVERVLNESDPDVVMDDVRQANMDEIDYEF IQEVIDGSCSLLQKVTTLAENGALRFSPVRIFLRITSSSIFLLKALSLGVRNAKLQES LDILTKSIQALRSSSLDDIHLASRYATLLDSLLSRLRRSFVLSNKNPKVSRSTTRPSS VTPLPKAQNASPATQGLQASPQQPQQQQQQQQLPHPLQQAQVQQYSPGGVCSAPMNSS MDVPNNPVFEDPISSLNDISADDWLSLPFDPSMAPFGDGGNQAWSGFEGANLNFIWNL PS TRV_06266 MKSLSLLGVSSLALTSLALPTMNQNQHRFVALADLADEDKPQAI KDAFNHAWKGYMAYAYPMDELRPVSNQGSNPLNGWGATPVDALSTAIIMGLPDVVNQI LDHISKIDFSKTDDLCSLFETTIRYLGGLISGYDLLKNSGAMNADPEKVDVLLKKAVE LADVLKFSFNSTTGIPSNTLNIPKQTTDGATSNGLATVGTLVLEWTRLSDLTNKEEYG KLAQKAEEYLLNPQPKSNEVFPGLVGGSINIQTGKFERASASWEGGDDSFYEYLIKMY VYDRSAFGKYKDRWVLAAQSTIEHLKSSPLLHPDTTFVGAWANGALVKSSQHLACFDG GNFILGGPELNRPEFTRFGLKLVDGCHKTYASTETKIGPESFGWDEKNVPSDQASFFT KYGFYINSSGYVLRPEVIESFYYAYRVTGDKKYQRWIWDAFVAISETTKTDSGFSSIS DVNAANGGSKTDSQPSFFFAETLKYTYLAFSDEADWQISRSGKDKFVFNTEAHPIRVR N TRV_06267 MALPFLLSHTPESSSTEGLSLRQISYFGRVLIKPSSVDFALSFV RDSFSLLDVCIDATAFTDVADIVDVLNTGAILAFVTLDQLQTLSREQSVPSSRIVVLV SSASEVLELKKWVAEDAERKEIGVNCASSEALDTVVSELSDDSSVKTIYRSNDGSTSQ TALLTSEQEGVVSIIPSAILSAEKEELSPAKFLVAGAVPDSNTGLYATTVTDERGISL GLVWSSDKSVVEAIRTGTGVYQSRKRGLWYKGASSGDTQELVRVGLDCDNDCLVFVVR QKGRGFCHLGTASCFGAYNGLSRLQHTLQTRKESAPPGSYTSRLFNDPKLLEAKIMEE AEELCQASEKEEVASEAADLIYFALTKCISSGVSLEDVERNLDLKSLKVKRRQGDAKP AWSEKLGLASTKGGSGEPAKEDAKPKPPAAEADGKITMKCYFTASTPPKVVREALQRP SQKSNDAVVNLVRPIIQDVQQNEDAAVLKYTHKFEKATSLTSPVLLAPFPEDLMKLSP ETKAAIDVSYENIRRFHAAQQDEKPLSVETMPGVVCSRFVRPIEKVGLYIPGGTAVLP STALMLGVPAMVAGCKNIVFASPPRSDGSISPEIVYIAKKVGADSIVLAGGAQAVAAM AYGTESITKVDKILGPGNQFVTAAKMFVSNDTSAGVGIDMPAGPSEVLVIADKHANPV FVASDLLSQAEHGVDSQVILIAVDLNEAELKAIEDELHSQAMALPRVDIVRGSIAHSV TFVVKNVEEAMTLSNEYAPEHLILQLKNAADVVPLVQNAGSVFIVLVTTLLASTTPY TRV_06252 MASSITFLARHVPKRLCLSPSSRSISAKTSRTTTFSRSISASAP CRYAEAYQATRLIPTDPAFKPDTSKTDATPSGLESETTYGRKIRHYTVNFGPQHPAAH GVLRLILELNGEEIVRADPHVGLLHRGTEKLIEYKTYMQALPYFDRLDYVSMMTNEQC FSLAVEKLLNIEIPERAKWIRTMFGEITRILNHLMSVLSHAMDVGALTPFLWGFEERE KLMEFYERVSGARLHAAYVRPGGVHQDIPLGLLDDIYQWATQFADRIDETEELLTDNR IWKARTVGVGVVTAAEALNYGFSGVMLRGSGVPWDIRKSQPYDAYGQVEFDVPVGVNG DCYDRYLCRMEEFRQSLRIIHQCLNKMPAGPVRVEDYKISPPPRIAMKENMEALIHHF LLFTKGYTVPPGETYSAIEAPKGEMAVFLVSDGSERPYRCKIRAPGFAHLGCFDQLSR GHLLADAVAIIGTHVSSKCDNEI TRV_06253 MAPRIAVPEEVQRRKIIGINPETVTNPSATDFPSHWPGENHAWS IDKFTPYSPPWKLKGLKIEFHKNDPLEASFSLIGVDAAIANAFRRILLAEIPALAIEY VYIQNNTSVIQDEVLAHRLGLIPLKGSLEGLNWLRWFKIPKEGDPDSGSTHEDSNTIV LRLVKECTKNRNAAPDEEDPTVLYNNAHIYAKDLVFEPQGRQEKYFHGDGVIQPCNPD ILLAKLRPGQKIDIEMHCIKGIGADHAKFSPVATASYRLLPDIKILRPILGNDAIKFA SCFPRGVIGIENVTAAEAAQVGSGYEGKEGEKKAVVVDPFKDTVSRECLRHDEFKDKV KLGRVRDHFIFNIESTGQFNSDLMFLESIKVLKLKCQRLKRNVATLADNTDSHA TRV_06254 MDTIDISNLNRQFLFRQTDVGKPKAEVAAAFVEKRVKGVKITPF VGKIQDKDEEYYMQFKIIVCGLDSIEARRWINSLVVGMVDPENPESLKPLIDGGTEGF KGQARVILPTLTSCIECQLDMHAPRAAVPLCTIATIPRQPQHCIEWAHQIAWGEQRKG EEFDGDDLEHVTWIYQTALERAKQFSIPGVTFSMSQGVVKNIIPAIASTNAVIAAACT SEALKIATSCNPYLENYMMYAGEEGVYTYTFAVEQKEDCPVCGNLAKTIEVNPESTLE QFIESLGERAEAQLKSPSLRTEQTTLYQRFPPQLEEQTRHNLQKKLRDLLESGEEVAV SDTAFTIDFRFKLVFQ TRV_06255 MEALLKVVKSSDSSEEPLIPHSPARETPGAQRISVIEDEQSEAH LSSPEDVLKILKSKIPASTLLEALKFLDPASLENGDFNIIIPSSLAAQILHALITTTI CDHWASLGMEHDPKPSYQLPSSQTPQAMLLRCVKSPSGIGTLLAYLRSLLPSQTPPQG KSASSDKGILIQDALSLLSRLLSPIDLIFHLLTDIRRFIDHEVRKQLLWQETISLLAS GKVLSISAESLKYTELSVGTSAHSWISNGKLYAAWLGKNISSMASQFSIDDDQAWKYL GAFISRSLSIGQTDQVVIEILHTLLFRKDRPGTENFINLLKSLRQHDQKRILESILRC LERAYLVNLLENEDKIVDASISNVSIILSLITRDVVIMKETLKNWLISGIGGSINSVY MRRSLLATFASDPELESLSQIFTKSIDVFGDKLSIKHSPIPAQEANAQVILLTAGYLH RLETTDLSSLVQASSYLSAISNRLGAASTRSRFLGMIVGTSLSKLIDKPESRMKFDLA EMESDEASWFLRLSDTQDQVRTITDLELPHTLSSTETIKKRALNVKHEKMQESSAKPT ASKILPLEDSDEYNDDDLIPYQKPDADASDSDEDPTLIQRSKPTPPVYIRDLLTYLRD IDNYDRYILGISTAPSLIRRKSGFGSELDEHIRDLSLTLTSLHDKYNVPKFQEYRLQS LTELVVARPLVLGPWIANAFFHIDLSQSQRSSLLVALGLGARELAGYREEDSKAMGLP QGSADTSFPSQRLPPRLEIQYDPTSKHTPTEAISRNIARNSLEPLALNAVDTMSGPNA LKVRTFSSRMEVEKKKHEQEQLRRAKSIPKDLHRILFEAFFRPLTSGFSLMMYSTSSY NGNNPFFSPHLLSLFIQTTNLVISTIGPSSVNHLTITSDVLTLLMSLHNSAAATEPTV LSAILSLFLAVLDINIASGSSGEERLVNEFAEQVMEMREWVGGIFERTPKGDDQVRML AAGIMVKLGEVMNRYQGRLFGMNAEFSF TRV_06256 MTKSHDHGPPKILARASIVNALQNEKRQFEEMILRLKKASPEER DALLESIPVEDGSVKLKRSSPPPFTPSPLGPKKSNRETKSHHSDDDGISSDEDLDVLP FLSVDEGGRVDTFGPSSALQGPTKPVISKESPVTEHVRNQLIANAILQRQREHDIRCW QEIYGVPVELAIHLLDLHWNRQHHSFLLTYRPAVMRDLIQNGPYCSEFLMNAIFACSC KYSQRIEVRDNPVDPETAGGRFFARCDQILADQSLLNSSSIATLVGLLLLGSTYNALG QTSKGWLYTGYALRMVYDLGLHLDYKATTANAEDIEIRRRVFWGAFICDKLQSLYLGR PMAIHIRDTHVSRNFMDTMEEKELWTPYVDPRLPSDSLPAMPGTPTPIHSVTTFQQLC LLSKIMTKIINRFYVVGATAANARASLQSIDDALISWKDNLPSDLNFEPWSDNPLTAQ ARPAPNVMILNALYHSLVILLHRPFIADGHLRSAVAPASSWKRCTSAAKNITSIVLAY KSAYTLRGAAYLLSYAVYVACTIHVRNAAATDGSQPSENSSILSASLHSLDELSLPNS GVSKTASIIRKIMADNGLKLVSEPVIDIHSPSSLDLDAILRMFPSKSPTQGQQPNQPE NFDQNYGMNPYGPEDLLYGFMDGETAAFSDFTNNGAFRI TRV_06257 MAIPQECDVLVVGSGNAGFSAAISAAENGARNVILIEKAPEEWA GGNTYFTAGAFRTVHNGLDDILPIVNNVTSEQAGIIDMDPYTTEEFIEDMSRMTDGQT NQALCKALVQDSNTTIKWLASLGVRFQLSFNRQSYKVDGRYRFWGGMSLKTEDGGKGL IQDYQAAARRMGVCVVYSTPLMRLVSTPATGTLSGAVVKNNGSEITIKTQAVILAAGG FESSPQVRAQYLGDGWDKAFVRGTPYNIGDCLEIAIRDMSAKKAGDWSGCHSVAWDAS APQSSGDRDISNQFTKSGYPLGLMINAEGKRFVDEGIDMRNFTYAKFGRAILAQPGHI AFQVWDQQAISWLREEEYRPEVVEHITAPSISELAEKCSRVGLLDKETFINTISDYNA AVYKHRKENTGAKWNPAIKDGLSTQSHAGGLSLPKSNWALSLDQGPYLAVKVTCGITF TFGGLAVTPETATVISSANNEQIPGLYCVGEMLGGLFHGNYPGGSGLTSGAVFGRRAG SAAARRAQATSLWYSSTSV TRV_06258 MQKKEKKKKKKGKKRKKQITPATHIFYQKHERRGKGEKTGRAGR QAGRQAVQTTEEGARTERK TRV_06259 MQAVHSQQRGSFFSLLIGRWQIILHLQSGNREIDRRKKRERKEK KKKKKRKKEEEKRKKKPDLGGPLTRECPITK TRV_06261 RQSQERKRAESIAEQAASQQADRQTDRQDEIHRDEKETVKEGGK AAKKKQWSMKNIFPYMQKYIDSESREELSNECSFIVICIYFLRTYGVAAAGSLSLTPL SPRPDGFTLGFLRGPNDPERRGRTGKEAIEAHAGTPASCENYTENIRTLSVRIQAYRH SPQAQYQAW TRV_06244 GSSATLGLTKVKDACEKIQNYGQQKDESGTHPEPDKSRSLANIK KALAEAKNDYHDVVNVLKSFYGEETTA TRV_06245 MVSCGKEYTPPVKVSLKPEPRSFIHIVARDISLDLLPALPSFCL FFPFYASFSSAFLKLVSGAFWSWCKSNTDRVILHILFLHLSLFGKPSGCAANGREAAS SSGRILRHPKYREIETSFLRGAFLGVFFSFFFAFLSFSSFASIIGIVVVVLLLFALRI LSPGNCVYPSRQGILCGLKGAIELDTFSSFAGHIREKPASHRSHGLATEHSSRRPRSG QRSYCVKCSLLQKSSRSFDLTWFHFPDMSTSAVAKTTGTDTTQSTATSGAESSMTSSS STSTKATAPTPSPTPSDDTDTGGSGSQEDPELTGDKPKEAQACSSEKDLSVKPFCSPH DQQEVYVDDTYYVTWLTSILGSDSVVRVGLDYVNVSMNEGLNAWLSRETPNNHSYVAI QMKPEWLKGEKRNNFTFFLDEFPKGKGKVHHNGPTISLVNKPPVHHPPPPPTPAPKPL GLLIGLPLGLGAVFLILLGLCFGMKHQRRIGLSNIMGRRNKGYGGRRARAMRSGRGAG GSIRLDDMEDSGQQYSDTPERLPSRQHIVSDTETFNEVQRREGNAFRQELARLKNWK TRV_06246 MPSTPFLFFSFLPFFSKQDNLPTQPSQTKHTRPANNIGSGSGYT CVVRVNNREYQTDEVCQTELLAREKAAMRAYLICRNFSVNDGMYPAGHDHGGVVQGIP VAIGKDRRPRYSDDTDTSTTSSGGSRSGGSSPESYERKWR TRV_06247 MIALLDLPLELPLDAPARKAGLTLFTSGLPEYLARCTSLSLSLT LSLIRYIDLPSLISWLSARQYAPEGGFSGRTNKLVDGCYSHWVGGCWPLIQQALSDPT SDSESESDCEPLSALYSREGLTRYILNCCQSQHGGLRDKPGNVQHRHVNVNSNTSIDA SSGSLDAAFGWRSSPLSINNNDAAAADTVYEARDRLAALHPLFVIPHAAVDAIHSWCR ANPISI TRV_06248 MPTRRVVFPPSITSAKRTIEKKKQKKQKQKQKKKQKMAIPSLFA TQPPLLDSLQTDTSRSQAEVVGRCIPFLRGEETGLPLNRHGVQSLARELHVEYLLDAL GEYPGRFVGLDASRPWMVYWALTGLALLGEDVSLFRESVFVDDDGRLVATAAPMQSGS GGFGGGHGQTAHCASSYAITLSLAMVGGQEAFTLIDRLAWYSPYSLYSILISVLY TRV_06249 MLEEKMEKKMFGEGEEVAGMQMQTSRDRDMHRKRQTEGKEQE TRV_06250 MRSEKKKKKKKKKSPSSRLDSLACPSSCSFSPTKRREEKKDF TRV_06251 MADVELTADGLVGLDYSPRAYLSHSWPDETTTTTTTTTSAAATT GTSATATTAFDAAADPGLMTEWHFQRLQPHLQFHHQHQHQHQHQHQQHHQSPPDYSSY TTMPLQSPIGLPMLPVTDTEVHHHHHLDTTTTTSMMDGSYIPLSTPVDMVPFTWHDFH AELGAFPLDGTAAAAAAAAVTTAATMSGTYQAETGSSPVDSYLEVRSLTSASSDNGWS AIDRAHSIDSSSFHDHHSHQQQQHHPIFINPSQTLHNRSLSESSFSDHHSLHSRNSFG SFVDVSLSPHMHSPGSESALDFDYGLPLPRRVSCDLVSHGSISPTAVSPIAMVRPMPV ITTKKQNASSSSPSRSPKASSSASGSGSGAHVSAAGSPSRKPSRKSPIAAKNAETKVR KQSTGGKDGSGNGEKKIGKRKGPLRPDQRKQASEIRKLRACLRCKFLKKTCDKGEPCT GCQPSHARLWQVPCTRIDIKEIGYFMKDWKADYERHVTLGFSVGNITGFSDQERTLFI THGYGQVLPVKAREVYVHDEECFNLDWVETMSRPANHYDLKTAKLSAGMEGISTAMLS DYLDRHIDGNGSYEGFVDEYFAGTPFLTQMLKTAYRFYFRTKLPVIRKALKLTLAYNL TMHVTMVEGLGELEEEAFSGKVEDSDSHYRGKVMAPVMINFQVKCAMANMWRELQKDV LEELSALYSSVYSGEKLKNWPIIFMLATILLAVWEEMQFDCHYRVQDPVAVSKFCNDM ESIPVGVIVGLFQAISQKLPAFTDWDTAQHQQIFNFNSDVCAAMTEVRGHVRQYESYL RSRNETSVFDREDFDCLSNKF TRV_06220 MTKDGKHTPTTDELLAQFDDLSVDAVNAKPPQPGKQGAVEDDVL DEFQNLVSQRPASRPATPQISSPSTKSPKPAAATATPTTDRSSEEKLQTRKSGDSTRA YHRSITPLSETQNTPPSPPQPQTSSSSGGGGGGGWWGGLFATATAAVKQAEAAVQEIR KNEDAQKWADQVRGNVGALRGLGGELRTLALPTVTSLLHTLAPPISTHERLQIHITHD LQGYPALDPLIYNVFSRVMAQVEGGDLLVIQRGQEAAPKRGEGAGTSQYSSAGWNDGP WWRYASPGGGRSISAIKGTMVASKLARASAESYANDFFAARGGIEEATRQASEILSDT NPVRNSDIFLAIQAISQPVSEDLFQAGAGPSGETDKPTSGVVEAEQETEEEVSFAVYL HDPIHGIAFHTISQSIPQKWIDWLDAPAPDPSSADQSSASQEMQSTVPEAIAEIIESG GVDPREWVAEWVEDAITLAVGVVAQRYVARRMGVGVGGINKGKMKAEQATVVDSGAGE AARAI TRV_06221 MVSKWTSEEVMAYFVLGPIFVTACLIICRLSIWLLEPILEIVLE YMGAQRAVDIIREANMKRVHHRAPQPQVQNKAKEDCSPSLVDVAHDIHVFRQRDILLR KMILDTVVMGKAKEIETIDVIGPEDWKLKLADSKQRLGNWEQYYSCLIRRQAPTSRMD MDRENINVKPHKDAAQGDADAARSHGV TRV_06222 MSREESPKRRKIDSDRFAPSSPKSYTGPGPRHIFERRDRPPVND DDDGQERPSRGRNGSSTPHQHAFDGPEAFVNDDDAMALDRDWYMGDESGHTFGDETHN PFGAPESAWADQIREAALSEKKNSRRFNARAVQKQKDVDAWETNRMLTSGVAQRRDYD ADFEDDDDSTRVHLLVHDLRPPFLDGRTVFTKQLEPVPAVRDPQSDMAVFSRKGSKVV QERRQRKERQKQAQDATNAAGTTLGNIMGVKEDEGDSAAAIPGEEDQKAGNNSKFASH LKKSEGSSAFSRSKTLREQREYLPAFAVREELLRVIRDNQVVIVVGQTGSGKTTQLTQ FLYEDGYGELGLIGCTQPRRVAAMSVAKRVSEEMEVKLGGLVGYAIRFEDCTSSETVI KYMTDGVLLRESLVQPDLDKYSCIIMDEAHERALNTDVLMGLIKKVLARRRDLKLIVT SATMNSDRFSKFYGGAPEFIIPGRTFPVDIQYSRSPCEDYVDSAVKQVLAIHVSQGPG DILVFMTGQEDIEATCELIHERLALLNDPPKISVLPIYSQMPADLQAKIFDKAPPGVR KVIVATNIAETSLTVDGIMYVVDAGFSKLKVYNPRMGMDTLQITPISQANASQRAGRA GRTGPGKAYHLYTELAFKNEFYIQTIPEIQRTNLANTVLLLKSLGIKDLLDFDFMDPP PQDTITTSLFDLWALGAIDNLGDLTAIGRRMSAFPMDPSLAKLLITSSELYDCSEEML TIVSMLSVPSVFYRPKERQEESDAAREKFFVPESDHLTLLHVYTQWKANGYSDGWCVR HFLHPKALRRAKEIREQLHDIMKMQKMQLTSCGTDWDIIRKCICSGYYHQAGRVKGIG EYINLRTSVTVQLHPTSSLYGLGFLPDYVVYHELILTSKEYMSTVTAVDPHWLADLGG VFYSIKEKGYSARERRVTEREFNRKMEIETQMAADRQRAAELAAREAEKESAKKRQEI QTAVRRPTATPGIRKATGGLVTSGSVVKRPPSKRVGRGF TRV_06223 MAPAPRPDPSYASTRLTTRNHAGGPPLTQVGGRPTRSAQRRVAE TGSQSPDNPAEQLSPTLAKQKAEPATDDEPLASSDEDEDDFGEVEEISTIRDPQKRTL FSPRDLDYHIQQSDAAKYLPKSRLGQSEASENENSPKRQKRTTTPRKKQSNTSKKPAT TRNIRRPTTHPYQKDKEEEGIFKAFSKQGRKQKQYSTKTSHNIHAPTPLKHRAAREFE REPSSGCDDSPKSSHKSQADKGLQFKDPASFMQAHASIPASSCRENDPDILDLSDGFE AVSPLSSVSSSFSVHIPPELQEEIDRRSAPVTVCPVCEIPVDMELFKSFKSMEKMGQQ SRFCLLHRRKSAEQQWKERRYPTIEWDSFQTRIEGHFAELERILLPDNCSVYRELLKS SALEHNKQGNFRLSITNSELEKMTTGYYGARGAKNMMEVITSRFAPRVRELALSDSLV QAVGVSGYVQAVLVPELTTILVKEDMDVDDEEARRIMQDSMEIGDLLNQQLDDTVELR NENLDT TRV_06224 MNSVKSVDGNIEGNGDKKMVEGSAGHEKAGQCGEGTDQKGPEIS STKAAAAKEELDDFGLPARPGRSTPAARSASAQSVSPSLPHRSKTPAGSDIPKPMARS VSGPLEVQKRKSAGLHVDEPGSIISHDKTPVSSSDTQENDTSTKPSATENNSASQPPH EAHSKDVSEWSHQKITTKDDSEEEKEEDTWQDMPALGEFDVYDDYGRIVARGAKDDDA MAYQGLGGAGKGYTRIQIDEDAQSATSMDENTSYLFKEPQSNALGVEDDDLRDPTSQL EATKDLLTEGQRIAYVGATRLTIFQMVKQVESYESSSKTTRKFLSTAVDSMTKWGQQI MIRLYGHMEINSDEQVMIEQLAEHGVRPEDLVSPLMRNSRVKNPLAQESESSPKGPVD QSAADVNNKMSKLSDEPPRSPTPPPPYECNDSNEIPEIQSPSELQQSKDLDIDLRWTV LCDLFLVLIADATYDARSRKLLELVGESMHISWTQICRFEKRIIDALEMQQEANKETW DEAQHLESRRKAALKQRYLIMGLATVGGGLVIGLSAGLLAPVIGAGLAAGFTTIGVSG TGAFLGGVGGTALITSGATVAGSTIGIKASDRRTGAVKTFEYRPLHNNKRLNLIVTVS GWMNGKVDDIRLPFSTVDPIMGDIYSVLWEPEMLQSTGQTINILATEALTQGLQQVLG ATILTALMASLQLPIVLTKLSYLIDNPWNVSLARANAAGLILADSLINKNLGNRPITL LGFSLGSRLIFSCLKELANKGAFGLVQNVYLFGSPVVVSKDEYTRARSVVSGRFVNGY SSNDWILGYLFRATSGGIMRVAGLAPVEGIPGIENVNVTHLVSGHMAYRTAMPRLLRE VGWDVESDEFTEIEDPDPDKHEERQRELMREIDEARRQAELKPDKKRFGFFKSGKLAQ KKRWETYDVNKSAKESSSSGKPGDADSSVLFDIDAIRAELAGEHIEIKQLESTLPPIN LDLNNDSPTTTNAQTGSTPTVSAEDTTNKDTDIKPDPAPILSPKPNTSPASLENKSQS RMSTHTWPTQEEEIEMTFDTSYSSPVASPPQKLASDSPAYSSISSPNSHHGLPDINPS HNAWADQHDDYNEPNIKMTFQ TRV_06225 MAASAFKTVQYSSEQFVESSGAILFDFSADIAKVCLIHDVKDDE WLLAKGRRNCNERRCDAALREVQEETGYHCRLYPVAMPTRAPAACSTYNIPDEARVYH CLMEPFMLSTRELGDHNVKLIWWYIAELEKGVQKTDGETGFIAQFFSCDEAIQRLTFQ DDRDVLAKAVSLVNGSRKPVLTTSIP TRV_06226 MNSHLLPYPYNQGHRSPPFSGLKTLANALYGAYLRFQPLAASPA GTKPVQLVCISDTHNSTREVSPGDLLIHAGDLTQRGTSEELHSQFRWLSTLPHTHKIV IAGNHDLLLDSDFVKRHPTRIPSQPGSSVFSLDLYDVEYLQDRSVALHFPNGRRLNIY GSPQTPEFGIWAFQYPAIRDVWTGRIPDNTDIVVVHGPPVLHRDAGKKKGDGYLLKEL RRVRPQLVVFGHAHDGYGEDYLLHDGVQSAWEDAVLQRSGITAILRMSFWMLVALLKV FLGLPQRSPTRLVNAALAPGTDSKTKKHPIILEI TRV_06227 MARDCTGEKPCKKQRRRREKEEEEEEEEVVKKKKKKKKKKKSQV LPRPKIGDPEVEDEETPQDRLSLSLSFSSLSPVIPLLASPPSLCWLAPVKSRQPFTRI RSIPTCPVGLSLLSFLQLFPPHLHIMAQNIKEQLVGRSSETPLSAHVKAHFMQHARVD SESGELYMTREDFINAIAPKNEDYHKIKREQYGILFNVADRRRTGKINLQDWSMFEDL LAKPDAEYEITFRLFDTEGTGIVKHETLQKLFSSAKGDNTIPFDWNSGWAALYTGSKK TRHDMTYPQFAQMLRGLQGERIRQAFHLFDKDGDGYIEPEDFQRIILETSQHKLSDHL LENLPTLCNISSGSKISYANVRAFQNIIREMDIIDYIIRSAVRKSDDGKITRSDFLNE AARITRFSLFTPMEADILFHFAGLDAPSGRLELGDFAKVIDASWHSASVLGREALAGA SKATEKVTGTTSQVLHNILESVHHFALGSIAGAFGAFMVYPIDLVKTRMQNQRSARVG EKMYMNSLDCAKKVVRNEGVLGLYSGVIPQLIGVAPEKAIKLTVNDLVRGFFADKDKG GKIWWPHEVIAGGSAGACQVVFTNPLEIVKIRLQIQGEIAKNVNETAAPRRSAMWIVK NLGLMGLYKGASACLLRDVPFSAIYFPTYSHLKTDFFGESPTKKLGVIQLLTAGAIAG MPAAYLTTPCDVIKTRLQVEARKGETKYTSLRHCAATIMKEEGFKAFFKGGPARILRS SPQFGFTLAAYEVLQKWLPMPGSEHEVVTPTGYVEPQASLQPASGPLPYLRSRNALKL ILDLEPNFGRLQPPAGVSLPVLSK TRV_06228 MARRVDSIEVLERAADGFKLSLFLSAVSCEVFNGGIETHSIAKG ISLLAVSLKHAGRILQEPDPIYSVQAANTIGEISHQSAAVFDELKSMLSNAQARDNGA SKNETLRDHFLRCFKKHRVTYLIAYMESLNLSLMAIGQIINLRKLLASKRYMEQGLAT ALIEQERAEIQNMLIIRCWSLNRLDRLYDLARREAEEWNIPCILNPAFDPSIPASQRN AFRKLRAVSFGDVDISLQQIKKTPKDMVQVSAEAIDPLLQLWVRRKQVSWNLSSCLHH DNCFELPCDPPTPKSADVKTSEGSEPPSQRAKVYADDLQQGYSKYWATVQTDSESETE DDEALPNNVADPSSSSYISQHEQSGSSASDSSTQRCPSLQRNASYTTAYARPNLNANE QCNSRPQTFLPQRSRTGPFDNRDTYKIPVHNFGDSSPNASSNTDTWKIPYNANQPTGQ HPSGPHYPPTATPCPYPNYSPKYPINPPHRSYTHPPGPYPRPHASSYYRTSQAPLCPS QPQSETLFHRAPSTHDARAYRSRPRVSFVDEQSSDSSSSEASRDRLRRGDKMKEQRRQ RSNVKDKKSRPSTKSSRRSTLHSVLVELADHLCILFLLWCGRRYTSTELVEKGSFFAT EQKKIGKEKNGWFRFSSANMKAQLQGFKKYHSLPNKGKRKSKVIKT TRV_06229 MSGEASSYDALIVGAGFSGIFLLYHLRKIGLKCRIYEAGTGLGG TWHWHTYPGLRVDSEVPVYEYSAPELWSGWTWTEKYPDGEEILKYFDHVDQVWDIKKD VEFGAKVVGAQFNMQNNNWDVETEDGRTTHCRFLLLATGFCAKRYVPDYKGLDSFKGV MCHSADWPREGVDVKGKRTSVIGTGATGVQLTQALAKESGSLVVFQRTPNLALPMRQG KLSREEQEDKKKNYEKFFKNRETTFSGMRYDFSGRVATTESDDEREAHFETLWENGGF EFWVGTYSDILFDPKANRYAYDFWAKKTRARINDTRKKDIVAPLDPPHAFGTKRPSLE EDYYDMIDRPNVDVVDIRKNPIAEIKPDGILLSDGTFYPLDVIALATGFDAVTGSMTN MGLRDIDGKPLKEAWKDGAMSYLGMCIKGYPNMFYLYATHGPTAFSNGPSSIEIQGRW IVEVIKRILENGWKNIQPTGQAQEEWKNKVNAVSNATLLPLTDSWYMGMNIPGKKREQ LNYAGGLKQYELEGQNALKAWDGFAVA TRV_06230 MLLFQQTKLLNKKLLLLVAAGSLVFFTALLFTLHRPGYWRNSRT AGPYTQQKDVDNLNDVFNSTLGFERIYVVNLPSRTDRRDAIVLLAAVSGIKLHWVDGI RGENVVDKALPPPATHQYFKAANIGSWRGHLDALSDEPSIVENNINTALIFEDDADWD IRIKSQLRDFALASRALVQPLSGGEHLAYADPTFLDPRNSPGALKEIHINNDTIPPVN SPYGDNWDILWPGHCGVNFPDPALRDVGKDIPKGRVIQRNDPTVPEQKHLVLFPGNEE FKTVFPPHTRVFHHAMTPVCSLVYGVTQKSARRLLYEFGVKKFNAPYDLMLRDACEGI QGRVRNICVTVQPQLFNHHRPAGNGSHLSDIAVHKGEMIEQASTQMIRWSTSLNLPKL ISGDTNYDEQYPDSN TRV_06231 MFSAARAAKPKLSLSISAATTNTASLSLKSPAALSIPRTPLSPR SPAAYNATHSSRFTQPCYSTYSNSCNAKSILKKTQSSSSGVADKRTRFNTEPEVRYLT PIENHDEYYGSSSSAMSKEDRRERRWARATAGYS TRV_06232 MQLVMTTTSLFLNVTLSSAGNKVTELPRKTSCICFFTSKTAIDL ILIFVGCSDDTSVAIVEKHGPKTEDTSSRRTSRPNATLHFLQNITADSREYRGIHPVV SLESHQANLADLVDKALSHLPSPPGPILEKAGESNPYVSRAIQLPSTSDGTRSTKLKP DFISVTRGPGMRSNLSVGLELAKGLSVAWQVPIVGVHHMQAHLLTPRLANALDILPGP ENGDIRTLKPEFPFISVLVSGGHSFLAYSKSLTSHETLASTVDVAIGDVLDKFARMAL PSSYINQSKTTMYGKQLEAYAFPNGCADYVDYKPPATRGQEARPITNAQYGWSLTLPY AGLKTMAFTFAGLLSAAQREVETMANGKYVQRRKTKEEMNNLNLDFLPEEGRIEFCRG FMRVCFEHLASRIVLALENVSSGATNSIDMEKLGPGPPVKTVVVSGGVAANQYLRHIL RSFLDVRGFSDVNIIAPPLYLCTDNAAMIGWAGIEMFEAGWRTSGKSQAIRKWNLDPA ATDGGILGPEGWEQITG TRV_06233 MPKQTPARSSKKSGPYPSPKRRRALSSQTRVGIAASPSVPEPEP EPEPVRPAAMNTSRMATGASATMASQAANPWQRTATPVYSMPPPLSYTQRLSMPERLP IHPPPSPGTPGHLPSASTPWSAQDDEILLAARAQAHGWNQIQRDNFPTKSSNACHKRY ERLIAKRRGSDWNDERVDKVASYYMQLREKTWQPLAEAVGEDWRDVEKLVSQDELVRP LSQVHFSVYLDFYSAAGPRSIPFSRKYRLELEKRLMQDIHSALSGAQGPFSQHWDSVT TRV_06234 MAVAAALTISISSTMVPLALGTAMVIPTATSPSTSSRQDNHKKK NSLPQKKGKKKNPHSRDKAKPAPPFLRFAARKENEEVEAVFMFPHREKVALVSGGQKA WHVLYLNKLARAYSNPKKEKERKSRDDLLVTAFEDSRRFDNLEDNAALLGGNFPPFLF VLHGVGYFDCIDGP TRV_06235 MASSTANMQRKTSAARAPKPRPKTAQKGARNTTVQAPGEPPRKK LKYVPGGPGGGGRYIEVDDIDPPPRASSQMRNKTSTPRTRPARDSHASSRLHPATPSS SRSSRPRTQARRYSSAAAAVLAVTQSEGYMPREERGWEEFHPDLDIDAQIAVFSSKEV DGLVNDENSSSNGANGNGFGTNGDGSSTPNGCRGPSLSPSKRRLDNPKRADDTPEQSK GVLLPTSNPREKLTLPKPSWVVKDPFLPFEQKGVGQQNYVDRTMASVGYQESDIFVRH ERRMIRLSEGVTDGDLDLEPPPPTEGDAGPPLPNADVGRVEYDMDEQDARWLEEYNTQ RKLEEFEPIKPAIFEITMTKIEKEWHALERRIPKPKPKPPQTQRPRSSSAVAVNGENP GSGEEQDSKCAVCDDGDCENANAIVFCDGCDLAVHQECYGVPYIPEGQWLCRKCQLIG RGSPSCIFCPNTEGAFKQTNTAKWSHLLCAVWIPEVSIGNPSLMEPVIEIEKVPRSRW KLTCYICRQKMGACIQCSNKNCFVAFHPTCGRRAHLYLRMKLTPGAPAIKDSNELKAY CDKHVPPDWQADHNTSSATAQALEYYRTAMQGKKWGDSQAAALAMGPETQEGTDPNDC DARPITPRLTLTVGGNNKRKRANPNPRTIWKLPSGAPVIPRVVLDNVVASLARFTVRQ RKQYAEDACKYWTLKREARRGAALLKRLQLQLETFSSMEMTRRNFSGMGRAGSVRLER RIDFAERLYHDVDKVRMLCGEVKKREKEKLKDAETLQSIIDIVYFPIPPMLWPVFEKA HALDGKGVFFVGFQTIRSKLEERYYTSVSEFSRDLAQVFTSGIGVASVGDTAELQMQI SGRAPELSHEQREKRKLAKRIIKSVQPLLEDALKKESELNGKPYENELKGLDLILENS VGSRRGSINTSSGEAVNAALLTDMATQPLPNGTEIEMQESGLNLTPESKSEITEKVSE RANNEKPAPETNGNLAGDEDQDAEMMDAPELQENSTEVVTSHSKKRESESGPNEGEPP QLPVEKAGEQSTPVLQPQIPRDEATPPTPPASFSEGQQLLPLAQGGIQWYMQPFDPIG TTIHEERWTGRELVRGMSEELSDIGDEELRDLAGDDDGVEDAPAEPTGQDIASATAPE EEPSRSKSQKTRKRWRGFR TRV_06236 MKNADDEEGAQNTGTNLFVTGIHPRLSEADVTRLFEKYGEVENC SIMLDPHTKESRGFGFVNMATPDQAEAAREGLQGEVIDGRTLSIEKARRSRPRTPTPG KYFGPPKRGIVSP TRV_06237 MADAPSQLPAGNSLPSGAGFQNAERQNNGETPQDRPAVMQENTP LESNSTPKLGASFPPPPATASTASRGVTPSVDNETAANKHHDNRDIIMSGGYETRGTY RGNGATGTAGVVNVTPQPQATGAPARIYMNEKIVPYLLEGMKMVAKDQ TRV_06238 MTGPRPSQPKRRRSSSSPDDSSSSKRSDAAIERDTPAIYGSPST DKRPGISTEELGHDALPFDQEAGLEESLPSLKTGKDAVEEYEQWKASLDDEPRLEGTQ QRLTQRRWVKGESSIYVDAFNYALDSVLQDESHLFNEAERAVFDYWKGLSYESQYLYV RLFLRKTSAWHRINKLGYYQDITDMSRAVADLRKMRKLPGSTDDHVPRFGGLDSPSVT TIGGEFRFADDMNEIQSIEEASSLLLLDELKCFARDLKVSGKNKKDLINGLCDASTSQ TGLNWLPSADTKGTENSKTASIASHFGNLETGNRAVMLREKIFDCTGDCIRLSTGPLK LFERVHLLFYRSTQWSEKSLTAIILAKISRRNYPDYIVSRSNSIFPSRSELLEFEAAI RIQFDLDKEMESNSSTTDEKIQSVKQFAETVYPRWKILLQEERSKEERLYDYGEGAYL RRFSPAWVYTRIIHKSLWSLGRLKDYKQEHKTLRELLDQRLFHAARRGTWYQRKALLE EHYMWALDATDTRPEDARKRDWRQQALRTCENGLQDPECHIIFHYGLQKRITKLEKSL KIAKREQHDFSHVMLAKPEERTVEGIRVEKELPAKQPNGKSTGNSNAKNKGRPTVWVD EREGGGECRVESMCLSWYRDHGWKGFHCESGILRTLFGYLFYDVIFTYIPNVFQTPFQ TCPLDLHTDSFYSSRISEINSRLAEISNGEAQRLIKEVYTREASKQTCAIGIDWSFEL NDLLEIAQCFRGEALATICKVMAQEYQQRGGGIPDLFLWRMDTKEVMFSEVKSESDRL SDTQRLWIHVLTGAGVKVELCNAVAREVRRI TRV_06239 MGRLHSKGKGISASAIPYSRTAPAWLKTTPDQVVDHICKMAKKG ATPSQIGVVLRDSHGIAQVKVVTGNKILRILKSNGTNLHRHPSCLAPEIPEDLYMLIR KAVAVRKHLERNRKDKDSKFRLILIESRIHRLSRYYKSVGVLPPTWRYESATASTMVA TRV_06240 MFGLALGVAKLDQNLPTIVYAFLSGLNASTVGIIALAAVQLAEK SIQDKVTRILVIFGGSAGLCYQAIWYFPILILIGGCTTAVWDLIAQPYITKLRDKLRQ RRSSSSGDAEAGIDHVGVQLNHPHQSLALQRRRVLAGRAQEPQADLPQLRRSDSSTII PDSPSTLVGSDSNQPMDTHSHSLHMKWGILIIVLFFASFVAILVVREGMEKPMLDLDL FSNLYLAGTVIFGGGPVVIPLLREYVVGPGWVSPRDFLIGLALIQAFPGPNFNFAVYL GGLAVASMHSPTILGSAMAFVAIYIPGMALAVGFQSCWSVLRKYPLTTSCLRGMNSAA VGLVFTAVYRLWEIGYLSANASQGQSLGMEPFWVVVAAITYAENAWFRVPPAIAIAIG GILGLCWYAVVQRNS TRV_06241 MEFSRSGILKTLNTYSTPYRRNLPSFMPSRTHIAQTKRKKNLDM STISQVDSSIAYLSHLGNLSIVRVIRSNFAPFSLGAPYAVRQGKEERDIFVSPSYKPS NTPITTNSLTTESGDIGLARASKERVNPLLGSHSWLDDLEGSLASQDPPRQPCPANCE LSQGIGMDYGYVSSLSGSWLGELDGWMDEERERVTNHDGYPSVHRWKAAYGWTVTNMH STYKQLVLVVSPGIGWTRPVSVDETLLQRCAAWQATPCMKSEVDEMRSYRGAANSQEQ DRRRRKSIGISRGGPGTAVGSQSRCR TRV_06242 MEGIDGGGGDWFCLVSSIKRKQKRREEEKRKRKRKIRPGREVVL YKKKEEEEGKNQASEQAKGCKYEKQNLGKKKRQRSRGQGNMDMKGKKKKKKKTKKK TRV_06243 MEYVLASISAIFIPHLANCPVSKICWNLVLGQRFFLGSLAIGWA IPPMGITIGLVLTGVSYRFGTICHINHDHSIADFWGPILSISGASMLVHLITLLYCMQ VYIRSVFEEKPPTDTSSAFPSYTGSVTTLTYRATFKRVKHVIKMQWRGIAVVLVVMTY AIFFTLVFISLDRSVEKTPENINRAHPWLICIVLTNGNVKKCLPESQMSLGGPSEGLV LTVLLLLPCCGFWSVLLLGRVSMIPGWVQFFRSKFQPKQEFPPRGITPNKDYAMLSSS SRYNNTKSPDPLLSMSRQSDLSMTTFKVSGAKESVDCTRDEIKYPNPAMSFSRPRPPS AQRAEPNVRDWDPQSTFAPGAAAARTRGYQPSESRCSDRNV TRV_08210 MGRLVFLSMPVYLTSVNVAQMDFIGRDFAYLLGLVSIQSFPSSE GVFDFTCGPTGPSRSIKCRALFGWVRPGLADLLLPGTRTSERIGGNAAESMASLRGYN IAASEAADAADEADEAGEADCSMQSAC TRV_06214 MAYLLSNVIPFLRIAWPPSRRTPQRNRATHMHPNATPGMADLEE EPSSEEDYIYDINSQLASETQENKDTSTGRIPGVATLLNDVTIGPPSSQTVNRATPNF YITRPNGAKVPLIPLDELPEGLKLGDQGWYQSCWIRYMHPVSGGRYPSSGTFVATIKG GTQLSRKWYTVRTPSGRVDILKKPKVIPPLLDCCVCENTRSSENDVDVQAQDNRPNST QSPLLPQRAGEQIGQRYGTFAPPNSLNVNLLDSSSSSSEEQTDGAGLPRDQHWLTRER SETPPGERQLNDDDDDPPNDEPEMPGDRSDSQSCDNKPDRPDDTDDRQQGGPSNPIRF TRV_06215 MVPLAREAPLAIKPPAASAAAKSLLASAPSPGSNLTPPLTPVEE DDGARIIPVLDGAHSTVPPLWAAQLDGPSECSLPLKYRYGQDGSRIEYGRGAWSNVYS AISIDHAASLAKSPPQTPTRGSLASSPMLGPRNGHTSSRSSSSSGNTHTTVYAVKVAS GRLAHDAVAAEADILTFLHRIPGSTAHVVPFHGYIASEHAIVMTAIPLSFASYIKTRA KDAAAVFNTRTMFKPVLGMATWLSFADKLVEGLRWMHEAGVVHGDIKPQNILLRPRVP SISISSSSSSSGGPTATALNSSGTIDDTAANSFDLLFIDFTSSLYSHGSGVALNSTAS SRLATSLPFTAPELLSISSMTLPPTPAPSSDIFALALTLVAAAIGYGEVYPGLERHRA LALARDGHRVIDYVRAGEQGTRIPRKGVVERVISPAVLKDAGSRVATEHWLGIIRGEI AALA TRV_06216 MTGQQAAGPFILPAVRRRRRSNGKTSAQESRNKSWQRQDSPDQQ RRNLRLLFIIQPQWGLFYLPLFASSCFFFFFFFLPFFFAAAAAAALSPARENKMTVFL SLPRSPPPSPLDQSCMAEHGNGHGPSDCLRPVSRKEKKRKEKKKNRKASQCGLSPVIS RARVHSIQAIFGYKDDIYTPYIRVNRVEGVYGAQHSSRGLLGSKLPVTASFGLPWKIA RLRAER TRV_06217 MPLDDNYILFFPPFFFLPSLCFSLFSLISFQNPAAALHEFIFTT VLCSLSLFYLDKTKSEKQREERNGRKREGVGGSRRDEGMVSWSPGMLNAVSFAPFSAV LCLVGRWFWYLLTRLEGPLQAGELDREMQRANDS TRV_06218 MRPILLQGHERSLTQIKFSRDGDLLFSVAKDKNICVWYYANGER LGTYSGHQGALWTIDPSPNTVLLASGAADNTVKLWNIKTGECVKTWDFPTAVKRVEFS ADGSKLLAVTEKRMGYLGTIVVFDIAYGDGEGNNLHEQADEPILKITCEESKATVAGW SYLAKYIIAGHEDGSISQYDAKTGDQLENVQAHELDCKITDLQFSADRTYFITACSDK SAKILSSSTLEILKTYTADTPLNTAAITAKKDFVVLGGGQAAMDVTTTSARQGKFEAR FYHKIFEDEIGRVRGHFGPLNTIAVHPAGVGYASGGEDGYVRVHHFDKSYFDFMYEVE REQLRK TRV_06219 MPRPVLPPASSLLCRRPLLRLTAAAAAASLSPAPPVLALSNYFS SSPRAASSLPLPLPLAPPPSLSSSAPPSPDQAPFTRQSTTAAAMGASKIDGTAIAKAI RERLNGEIKETQKTNPRFKPSLVIYQGTYVRMKLKAAEEASIICTHVQLPVDTPQSDI LARITSDNNDPSVHGILVQLPLPDHMSEHAVTSAVAPEKDVDGFGTLSIGELAKRGGK PTFIPCTPQGVMELLYASGVEITGKHAVVLGRSDIVGSPVSYLLKNADATVTTCHSRT KNVEEIIKTADILVAAIGKPEFVKADWLKPGVVVIDVGTNYIPDDTKKSGQRLVGDVD YAAAVEVASQITPVPGGVGPMTVAMLLQNVVKSARFYFDKERSRTINPLSITPKSPVP SDIAISRSQKPKPITQIASEVGIAPHELEPYGHTKAKVSLDALSRLGHRRNGRYILVC GITPTPLGEGKSTTTMGLTQALGAHLNRVVFANVRQPSQGPTFGIKGGAAGGGYSQVI PMDEFNLHLTGDIHAITAANNLLAAALETRMFHEATSPDAGLYKRLVPTKKGKREFQR IMFRRLKKLGITKTDPNELTPEEIKRFARLDIDPETITWRRVLDVNDRHLRTITVGQA ATEKGHTRQTGFDISVASECMAILALSNDLADMRERLGRMVVASSRSGEPVTCDDIGA GGALTALMKDAIKPNLMQSLEGTPVFVHAGPFANISIGANSVVADKLALKLAGTEPDE DHDSSTGFVVTEAGFDFTMGGERFFNIKCRSSGLVPDTVVIVATVRALKVHGGGPDIT PGAALPEAYRQEDTELLRKGCVNLRKHISNAKRYGVPVVVAINVFETDTAAEHAVIRE EAIAAGAEDAVPANHWAMGGKGAVDLAEAVIKASSQPKNFSFLYGLEGSVQERITTIG REMYGASAVEFSELAARKVETYEKQGYGNLPICIAKTQYSLSHDPALKGAPEGFVIPI RDVRLAVGAGYLYALAADIQTIPGLPTAPGYLNVDVDAETDGEPVEERKRGLLQRVFI SIEVKNFSKRRWSEKGKEKNVYLDILPEALLFWETIFTVHLGTVLRSLALVYVQLI TRV_06198 TPALLALTLALVVSKVVDDAVQLGAQVGADKALLPDDALALPAV PAQRVFGTLGPGYLQHHAHRVREPDGRVRGVGRQEIERALVDGDVLEGRRAGGGVDHL DHDRALVLVEELRRAVDVVVGPGIGPAHHHDRVSLRGLGRRVVDAVVANRRFEKMSVL LQPAHSGRGGERSANRHQTPSGSLGESFSRRTTWGCSMQEATSLYIKYSSIRLCCAVL CCDAV TRV_06199 MAATSQPPVRFTGHEGLALRLVLSTITGRAIHVSQIRSASLNPG LTPYEISFLRLLEAVTNGSHLEVSYTGTILLYKPGLITGSAAGVGASRGVVTHELPAG CTRGVSYFLLPLCLLAPFSKAPVNVLFTGPGVITSSTPSGDMSVDSVRTAILPLYAQF GISNNVELRVLRRSNPGPNGKGGGGEVQLVFGHQVRLPKTLHLLNPGRVKKIRGVAYS TGVSGSNNARMIDVARGVLNPFVSDTYIFSDVSSAPLVPAPERNNPAAKKKIGVGFGL SLVAESSTGCLYSADVASPPSGGQPPEDIGKQCAYQLLESIATGGCVSKVAAPTMLTL MSMGSEDVGRLQVGRDVVSDETVVRLARDLSKLGAAGWGVRDAPDSDDGEIILSVVGR GIGNIGRKIA TRV_06200 MTVHRAKFDPEVLLSAPRRSACVPNPAGTLLAYTQSTYSFESHS SHTELIILDLASKKTRVLTNSYSGSPQWLDDNQLIWLKEESNGNTSFIVTEVSGDSKP YVAGVTPGTVSNLKVTRLSKDHFAFAVSGKANSDGTLFNPSNVKKPVSSGKIYTSLFV RHWDEYTQDEKQTIWIGTLHKSSLSGPDSSQYSVSGLKNVFKLFNLPHRVESPILPFG GSDHFDICPKGVVFVSKDPTLNDALHTKCVCYVCPIPFASLTESVNPMEAKAVTATSL EGALTSPVISPVNNTLAFLAMREDGYESDKNRVVIAKGVFDQGSETIELFASEDNKGA WDRSPSSLVWAHDDSSLIIKAEDTGRGLVFQVPMGDAKALTTANLLNISSTGSVVDVA STPKGLFLTSSSLVESSLYSLVEAKHQGETKEISSATNNGSSFGLSPSQVSETWWKGA NGHPVHAWVIKPSNFKPGGKYPLAFLVHGGPQGAWNDQWSTRWNPLIFAEQGYVVVTP NPTGSTGYGQGFTDAIRGSWGGLPYEDLVKCFEHIENNLTFVDTDRAVALGASYGGFM MNWIQGHELGRKFKALVTHDGIFSTKFSLAAEELYFPIHDLNGIYWKNPESWIKWDPS EFINEWKTPHLVIHSERDYRLTIAEGLALFNALQLRGVESALLTFPDENHWVIKPENS LLWHRAVINWINKYAGLPLWLEKEGNNCFPEDLTPMK TRV_06201 MADPNNVAQYKYSAMSNLVLQADRRFVTRRADEVTGDPESLAGR LSIKDMGVHAMRPEVKQKKASAPKDIERGGIREGADVLKREQRKRKRGEQAQLRGVGI LSAADALIEGLKYRPRTAATRATYDLILTTTASHLGDVPHDVIRSAADAILELLKDEN MKDFDKKKEVDDLLGVTMGPKEFNELVNLGKKITDYDAQDDDETNGAGAEGEDGAELD ERQGVAVVFDESDEDEDEMRPDAEVKDEGESSEDEDMSDEGPADEGEAAQNIPEDVMG MGDEDMIIDAGAGVAAGKSDADTKIVPAREIDAYWLQRQIGNIYSDAHVQHEKAQEAF TLMSEQSEDGTPKPLRDVENDLMELFDYDHPELVGTLVLNRDRIVWTTRWRREAEDSD ARRLIENQMIESGQRLLLDELTGKVQDATDRPGKKMKVDSMDVDTPMAKKEEEDEAKP RTMVGGLPPSKVINLENLVFDQGNHLMTNPNVKLPQGSTKRTFKGYEEIHVPAPKPRR DDDIRRIPITELPEWSRPGFGNTDKLNLIQSKCFPTAFNDDGNMLICAPTGSGKTNVA MLTMLREIGKNRNPETGEIMLDDFKIIYIAPLKALVQEQVGNFGKRLQHYGIKVSELT GDRQLTKQQIADTQLIVTTPEKWDIITRKATDTSYTRLVRLVIIDEIHLLHDERGPVL ESIVSRTIRKTEQTGEPVRLVGLSATLPNYRDVGSFLRVDPIHGLFHFDGTFRPCPLK QEFIGVTDKKAIKQLKTMNDICYTKVLDQVGANKNQMLIFVHSRKETLKTARYIRDKA VEMETIGQILRSDAASRAILAEEADSVNDPGLKDLMPYGFGIHHAGMSKADRTSVEDL FADGSLQVLVCTATLAWGVNLPAHCVIIKGTQVYSPEKGSWVELSPQDVLQMLGRAGR PQYDSFGEGIIITTQAELQYYLSLLNQQLPIESQLMSKLADNLNAEIVLGNVRSREEG VEWLGYTYLFVRMLRSPGLYSIGADYEHDTSLEQRRVDLIHSAAMVLEKSNLVKYDRK TGKLQATELGRIASHYYISHSSMLTYNHHLQPMISTIDLFRIFSLSEEFKYIPVRQDE KLELAKLLSRVPIPVKESIEEPHAKINVLLQAYISRLKLDGLALMADMVYVTQSAGRI LRAIFEITLRKGWSSVAKTALDLCKMAEKRMWPTMTPLRQFPSCPRDIMQKAERIDVP WASYFDLDPPRMGELLGIPKAGRIVCDLVSKFPRLELQAQVQPMTRSMLRVELTITPN FTWDDSLHGNAEAFWIIVEDCDGEDILFYDQFILRKEYAISEMNEHLVEFTVPITEPM PPNYFITLLSDRWTHSETKVAVAFQKLILPEKFPPHTPLLDMQPVPVKALRRADYQGL YPNWEKFNKVQTQVFKALFDSDDNVFVGAPVGSGKTVCAEFAILRHWSKEESRKAVYI APFQELVDQKLADWTARLTSVAGGKSIQKLTGETTADLKILDRADLVLATPIQWDVIS RQWQRRKNVQAVELFIADDLNILGGQGGYVYEVIVSRMHYISLQTEQELRIVGLSVPL ANARDIGEWLGAKKHTIFNFSPHVRPVPLELHIQSFTIPHFPSLMLAMAKPAYLSILQ LSPDKPALIFVPSRKQARSSALDLFTACVASENEDRFLHADIDEIAPLLDRIEEKALA ESISHGIGYYHEALSTSDKRIVSHLYKIGALQVMLASRDVCWELDLTAHLVIIMGTQS FDGREHRYIDYPISDILQMFGKATRPREDRIGKGVLMVPAVKREYYKKFLNEALPMES HLQLYLHDAFVTEISTKTITSTQDAVDWMTYSYFYRRLLANPSYYGLTDVSHEGLSTF LSELVENTLKELAEAQIVDMDEDENISPLNAAMIGAYYNISFITMQTFLLSLSPRTKL KGMLEIVTSATEFESIQVRRHEDYILRRVYDRVPVKMAEPAFDSPHFKAFVLLQAHFS RLQLPIDLAKDQEIIVGKVINLLSACVDVLSSKGHLNAMNAMEMSQMVVQSMWDRDSP LMQIPHFGPTAIKAANEFKYVPVNIPQIKTYGNANNCARIRDIFEFMEAMDPSENKDY STLVKRLGLDNKQLAQAAAFTNNKYPNLDLDFTVLEPEDITAGEPAYLKVKIERELDE DEEPDTTVSAPFYPAKKMENWWLVVGEEKTNSLLSLKRVTVGRKLEMKLEYVVPSPGE HELTLYLMSDSYVGVDQDPTFKITAAEGMDEDEDEEEEDEE TRV_06202 MRLLSVRGRIARPYLYPRSLVYTQRPGLLQFKVPYPIISWRGAK TKSAARLSDLAQGPLESKKPLAPFTDDVPQYPAVVQGAKYNMQKFPNCVLLTRVGNFY ELYFHQAEEYASLLNLKLAYKKTAVGPVPMAGFPYFQLDRFLKTLVQEMKKNVAISEE FANDAAAKAKAGGLMFDRKVARIVTPGTLIDEKFIDQYQNNYLLSIHVDPSLNSLQSG TDDQVTRGQLNYLPDSDIIGLSWLDLSTGDFLTQVTSPQMLASAITRIGAREILVDQG IGEPLRSELESLVVQEHQPITYFQSPKNIQPLSEWNPSFDSPISSEVASMFTPQEVSA CQMVLEYVRKQIKETDVRLQPPRRKVAEDSMSIDRNCLRGLEILETARDGFGKGSLLH AVRRTSTSSGARLLRERLISLLLEDEDLRENIMRLLKRSYDTQRLVQKFSLGRGLPDD LICLSRAIDASGDIKSILEQKLTAVESKAAAEGDISSSKSIRPLLHRFNLHGPGELSR SIQDSIDEEMLLQKQRVEEDAAAGDSALAHDVLLSEGSDADLNAMPKKVKDSKGDGPK GPSGPDSPSDTAWIMRPDASKSLRNLHDALENLYSEKAKLTKRLQQTLDTAQLSLKWT PGLGHIVHMKGTKAMKKSLEDLGVTRTVSSSKSTRSFYLPSWTQLGAKLESMKQQIRV EEQHIFKRLRHTVILNLVKLRRNASVLDELDVACSFAALAKEQGMVRPIVNNGLSHKI FGGRHPTVKLGVEEQGRPFVSNDCFVGGKERILLITGPNMAGKSTFLRQNALITILAQ VGSYVPAEYAEIGLVDKIFSRIGAADDLFRDQSTFMVEMLETASILKHATPRSFVIMD EVGRGTTPEDGTAVGFACLHHLHNENKARTLFATHFHALADMTADFEHVGRYCTDLKE DSSGAFSFVHKLRPGVNRQSHALKVAHLAGLPQSVIDVAEDVIKKMPAMGAELGHQVE EDCRKPAHSAS TRV_06203 MTKPQPRLSRKFIDNGKKATTSAPSRSFELQRKRELASDSLYTH FHSTYSPIMVQSLRFAHTVRVRASPFTRESFRPFGTAVETPLPDTLNTPPNSITSFKT PDCAAESANQGSAVKWSPISPITESYGKSSKKGEARMSMFSCFPRELRTPLSLSVPSS SKGFSGLWNQNLSGLFDVKFLERHPYTSQSFIPISRSHNQDHAIRRDTVTEDVFYLVI VAPSLVGQVDSATSIHDPPDLNNVQAFIARPGQAVTYAAGTWHAPMVVIGRQRIDFVV VQYVNGIDRDDCELVGLEDGVVVEVDARSSKVVAKL TRV_06204 MKLSRPDRSTRTNELKYQTIIDMLKGVGKKTKLLTRFSTVGGEK GSADSARDPRGFAIKFYTAEGNLDWVFNNTPIFFLRDPAKFPIFIHTQKRNPQTNLKD PDMFWDYLSTHQESAHQLMHLFSDRGTPYSYRHMNGYSGHTYKWIKPDGGFNYVQIHL KTDQGIKNFTNDEAVRMSAENPDWHTEDLFNAIKAGQNPSWTCYVQVLSPADAEKFRW NIFDLTKVWPHGEVPLRRIGKLTLNRNPENYFAEMEQAAFSPSHLVPGVEPSADPVLQ SRLFSYPDTHRHRLGTNYTQIPVNCPLKVYTPYQRDGHMSVDGNHGANPNYPSSFRKV AFRPVRASQEHEKWAGAVLSKQIPVTDEDFVQPGRLWEVMGKEKGQQDNFVGNVAGHL AGANEKVRRATYEMFRRVNQDLGARIEKATEKEVSSKPQPRL TRV_06207 MYRWIGTGVMLLLFFLRIVLAQGWYIGIYLLNLFLAFLQPKFDP SLTQDTGLEEGDAGASLPTNQDEEFRPFIRRLPEFKFWHSATVAITLAFSCTWSQIFN IPVFWPILVVYWLILFCLTSTYILSAYLVISLRSI TRV_06208 MFARRCSRLVASQTARPLQSYISRFYSTGSPAYEYILTSTPKPG VGLITLNRPKALNALCSPLFKELNEALTKYDEDKSTGAIIITGSEKAFAAGADIKEMT PLTFAAAYNDNFIAPWSHLANTIRTPVIAAVSGYALGGGCELAMMCDMIYCTDKATFG QPEIKLGTIPGAGGSQRLTKLVGKSKAMELILTGNTFSGKEAGQWGVAAKVVEGGKDE LMEATLETASKIASYSRVAVVAAKEVVNKSQELSLKEGVEFERRLFHGLFGSKDQKIG MTAFAEKKKPEWSHE TRV_06209 MSQLERAGHGCWTRFTGIRISPNRCSIGHSLINHKTYDIIYIDP VSTSWRIWGTRTTPESHQFNKEAETSKQSTTIMSGIGDNWTTSQRRSQRTTRSAAQGG QDFTEEINEDIMATDPNSQAQRWESKKYTQRTHFDDQTEAGGMGAAGSRNLQGGQGMK GVEDTSRSGGGTSGMQEEGTTGAYPGASSQRQQTSRATDDPGEGMAEMSGKSHGYDDQ SYMTQDYGRQGYGSHTGRAEPPENQETGEFILLTLFVRAIADGVFLGGVLGTLGDKVK GAMGDVSKKASKFNE TRV_06210 MASYLITGTSRGIGLTLVKDLVAKPVSEVSIIFAAARTETPALK ELIEKSAGRIEFVSIDVTSPEKVKAAAIQVEKSLGGKGLDILINNAGVLNFIPDGIEA KTDLNATFNTNVTSVHLVTSAFLPLLRNGTMKKIFTMSTTMGSIGMAAKFKAAPAPSY KISKAALNMLTVQYALALEDEGFTVVAISPGWVKTDLGGEQADLTVSQSSPAVLKIVS TVNKADNGKFLNVLVPGWENHAGPDKYDGAQPDW TRV_06211 MSGKGSSAGSRIKAGSSSLMPSSSKPEFPFKQILEDLFRDGSYS DMEIVCNGFTFKAHRAVVCTQSEFFSAAFKSDFKEAKSRTVELPDDDFETIERVLSFL YLQEYDENGHLMALDSKAIVPSSSTDGSSAKDEKRTRTTDAGLNNVRVYVAADKFGIP LLQSLAAEKFTRWANSNWDSTEFLRDIEEIMTITPPHDRTLRDILADVISKNLQLFAA NKDFLALIENFGGLGSAILFKLVDSDLVKAPEPQKLFSPTSTSFGKTNSTTTGNFVVV HAVHGIDVKTIHSSSEWLIVIGSGSGIFLPKSFLYFLAKMYDIVTPLLLSRHLPAEEP QLYK TRV_06212 MEDKGPEAAALASHFAARDALISKELSLRSDTAFRNALSPVASQ ACAIVSHIREAEHASIWKHDPSNPDNEEGIELYPGMMFGLAKRKLESTHLWRIAKRMP KGALLHCHLGAMVEVDWLFERILAVEGMHVCSSEALDTEAARARAVVLFKYVTPKPGS SHVSIWSSAYQPDTYVPAAEAADAFPSTGRQGFVNWLYDRSVISERSSIEHHLGVDEV WRKMAAAFPTLGSLLGYEPVYRLFLRKMLQNLAADGVRWVEIRDVFGSPFAREGQDVP DTGFNYRVAVMGEEIEAFKKTEEGKNFWGGRLIWTALRFLDADSIVASKLIDVEKANK RDPGMKDCLEAKKAHPHLVAGFDLVGQEDLGQTLAALMPQILWFRQQCISQNLNIPFF FHAGECLGDGDSTDRNLYDAILLNTRRIGHAFSLFKHPHLIDIVKQRRIMVESCPISN EVLRLTATVLAHPLPALLARGVSASLSNDDPALLGQGTSGMSHDFWQAVQAWENLGLA GLGSLAENSVRYAAFEDEDDEEWVGGIDTGYEGGGVKAERMKLWRQEWEAFCQWIVDE YGAEFAK TRV_06213 MASAHEILPCTPADTLEMARLERAAYGPEPLTLLMFGEPAPSAI NARADKFAQMMDHPSSRWWKVMADDGKIAGIALWNFRTDENWIEQLDGPEKPDEYVET GEEGLPESGYAARRAFFNWLYGVRKRRMGGKPHVCTLRRPPCSKEAQEVPGLKYRRGI GGALVKHGLEEARKLGMPTWLEASPEGFPLYKAHGFEIVEPVAWTLAEYGGKEEDGKV ESWGMIKEAA TRV_06174 LAPFFCSLFPLTEDVPLACRNMHVYQQLAESNARRAMRCEMLRR HVSLPGNLHQRTGADSFAFAIGLNGGCEMLHPSSSDTRIIIIPIISCIQRMHVIRNKK QQAATCHELLTSSNS TRV_06175 MGRALYLQMRNKSRGSNFCKKEGKRNIREAIIQCNGKSNKRPGL QNNSTTSKPLEEEVEEEEEEAKELDPHPTPSSSSAKGEAHGYGE TRV_06176 MKFSQAVIALAAATVVSAQLPDVPQCSLPCFLDALTTDGCSELT DFKCHCSKPELPAKITPCVKSKCPVAEQVSVSNAVVKQCSQAGAPVSIPPVEESSSKP SEPSTSEAPTASPTESTPAPTTPAPTGTGSPSGTGAPGGPSGTGTFTNTGVPTQSTPI YTGAASGLSANIGGMGAAILAIAAYL TRV_06177 MVTVEGEVEAVEAGIIREMKLSRSIRVSSGDAEEEKKKKKKKKK SQRGCLNKRFWWENASLGRLQPRMSSSYTASSLSLSLSFAALATHYSLSHYSAALLLY LYTTTASTLLLLSTMSAKSIYEADGKAILNYHLTRAPVIKPSPLPAADTHNPPPKLAS LDFQPDTAVEAVLDQAEATYPWLLAPGARFVAKPDQLIKRRGKSGLLALNKTWAEARA WIAERAGKEQQVETVVGVLRHFLVEPFVPHPQDTEYYININSVREGDWILFTHEGGVD VGDVDAKAEKLLVPVNLKQYPSNEEIAAGLLSKIPKGLHNVLVDFITRLYAVYVDCQF TYLEINPLVVIPNEDKTSASVHFLDLAAKLDQTAEFECGTKWAIARSPAALGLAATTA STSSKVTIDAGPPMDFPAPFGREMSKEEKYISDMDAKTGASLKLTVLNANGRIWTLVA GGGASVVYADAIASAGHVSELANYGEYSGAPTETQTYNYARTVLDLMLRAPLRPEGKV LFIGGGIANFTNVATTFKGVIRALREVAPVLVEHKTQIWVRRAGPNYQEGLKNIKAVG EELHLDMHVFGPEMHVSGIVPLALSGKTTDIKEFGS TRV_06178 MLDFDFICKRSTPSVAAIIYTFGGQFVSKMYWGTSETLLPVYQD TNKAMAKHADVDTVVNFASSRSVYSSTMELMEFPQIKTIAIIAEGVPERRAREIMVRA KEKGVTIIGPATVGGIKPGAFKIGNTGGMMDNIVASKLYRKGSVAYVSKSGGMSNELN NIVCQTTDGVCEGVAIGGDRYPGTTFLDHLLRYQANPECKILLLLGEVGGVEEYRVIE AVKNGTITKPVVAWAIGTCASMFKTEVQFGHAGASANSDLETAVTKNKSMREAGIHVP DTFEDLPDVLAAVYQKLVKQGTIKPQPEPVVPKIPLDYSWAQELGLIRKPAAFISTIS DDRGQELLYAGMPISDVFKEDIGIGGVMSLLWFRRRLPAYASKFLEMVLMLTADHGPA VSGAMNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAAEEFTRAFDRGLSPRDFVD TMRKENKLSKFNFNLNVTSLHNPQLLYKNIKKNKKETPANCLVPGIGHRIKSRANPDL RVELVKEYVIKNFPSHKLLDYAIAVETVTTSKKDNLILNVDGCIAVCFVDLIRNCGAF TPEEAEDYLSMGVLNGLFVLGRSIGLIAHFLDQKRLRTGLYRHPWDDITYLLPTLSKG SSAEGRVEVSI TRV_06179 MVRLKHRYLLVNILYPPQTGAQKTQLDEKAFHLQLHRPTPDALT PQALARMVREAVAEMFGDWGMGRLGGAGAGAVSVKYLSPATSTAIIRCPRASYRLVWS ALTYTSSLPAGRQSAGANEIDQQQRCVFRVVRVSGTMKKAELEAIRRARMEVVKLTRE WENGGKAALEGMFAADGPAVPPEHGIESASEDDGDDDSE TRV_06180 MLQMDLPHLNVLTKIDNLSKYPSLPFNLDFYTEVHDLSHLIPHL NEEAPWLANSKFDALNSAIVELVQDFGLVGFETLAVEDKKSMMSLLHAIDRAGGYAFG SAEGANDTVWQVAVREGMGVMDVKDVQERWLDAKDEWDEKERQDWEAEAKAREMSSTG PAGDNDDMDMDELMSNVPPNNGIKVVRKPPK TRV_06181 MPFAQLVIGPPGSGKSTYCNGMQQFMSAIGRKCSIVNLDPANDQ TSYTPAVDVRELVTLEEIMKEDTLGPNGAVLYALEELEENFEWLEEGLHSLGGMFLLH LLCMALLTVGWFGR TRV_06182 MASNHFAVANPPSDVISAVQFSPEPESTRFVVSSWDKNVYLYDL RDENGTIGEGKLIQKFEHRAPVLDVCFGQNEDELYTAGLDWDVKNREHGLVVSASWDM TLHIHKADGNASPATIPLPSKPFSLSVTPTKLVVAMASRTLHIYDLKSLVLFLEQSSD QPPAHTLELEPWQRRESSLKFMTRAVACMPDDAGYASSSIEGRVAVEWFDPSDESQDR KYAFKCHRQHVDGVDVVYPVNALAFHPVFGTFASGGGDGVVALWDGIAKRRIRQYPKY PSSVAALDFSSNGKYLLVGISPGFEDEKDDVPEGSVKVMVRELGETEAKGKGTK TRV_06183 MDTTQGVHILEAEFFSDARVELLRELDSLFNERPVSRELWAFLW LADLDKLKELIAKVRDDDARDQYIILDALVRQGQMVKYWTQKSRQSSAASTPRSSSPA PAQSPKSTPNSPAPRRLKRKLGEITRSSDEAEKCRERDSQKCIVTGAGRPIEVAHIFP FSMRYAQSPEASNDIYSPWRVLRRFWTEDKVDEWFRAIQPTTETVTNMLCLAPHVHKY HEKAYFALKPVEVSEDRTQLKLRLYWLPRVDVPSAMRVSAKPDVPAINDRRWVGERGA QAVDKVKLYNVDTDLRIFSGDLIVIKTANADTHPLPDVALLQMQWVLQAVTALAGGAE PLDLEDNFDDESPDMAMDVYASEDETMSELSLSPHPPLGVLDTKLQPTLITS TRV_06184 MSKSLEDSEHLEVSPACHPLAVGNPGDARPSPEQISIVVSILIE AGICCCFVEEYALIYFGASRLPNAIGRTDFWLLLPASYCHIACVPENLEWSKGNLPYP KLQVYVQSLIDTKNLGDLEDLVDGMDLPEEWGEQNLSLEGHADSNWSTKCIEALRADG TEELFIFVDPRPTPQREIWQNCVRNKQRRMGWKYSPDIYATRFRRHGSKDPRVHYRYG M TRV_06185 MDGTSMAVLVNDIARAYNDDFSSTPAMPYSDYIAHIQAQPTEDA LSFWEAYLDKVMPCHFPPLLDPMEGLSAMNDIEVKTPGVSEMRQFCRRNDVTLANVVR LAWALVLSAYTGEDQVCFGYLTAGREIPLPKIESSVGPLINMLVCTIDIAEISKKTVL CELQDLQDEYFKALPFQHTSLAEIQHHMGLTGRSLFNSVVSVQRRDVGNTVLGDMQIE YISGLDPTEYDITVNVTDTNHGLQIGISYSASCLSPGYAANVSSALSAALFYIVSNPL SPAGSVNLFDEYHKQQVQKWNTVVPPPVYDCIHSLIEINTKSNPDAIAIDSWDATFTY SDLDKRGTQLSHVLISMGVRSSDMIPICFTKSSYAIVAMLGILKAGAAFIPLDPEHPK PRLATIINQSGSRLALTSPETSNIIASLTQDIVLVSSCSDWWAEEVPYDYTCPKIYPT DVAYVLFTSGTTGIPKGVVIEHSAVCTSSFYHGREIGCSSKTRMFQFSAYTFDACILE IFTTLIYGGCVCVPSEAERMNDIAGAINRLNCNTTFLTPSVIRIIRPEQVPSLETIIL GGEPLDKNSIELAPVGAVGELLVQGGTLARCYLNDEKKDRPLIFRETNRFYRTGDLAR YNVDGSITFLGRKDTQVKLRGQRVELSEVEYQVKKLIPFSSQVAVEIVMLRGEKDQAL LTAFICDSSAATRTLPLLSNMTQCFKVQVDRLKRLLSRVLPHYMQPSIYIPTNWMPTT TARKLDRKLLRESVAALSEDELDRYSLDDNRRPPSSHTEKWLQQNWSEVLNLSVRKIR ADDHFFEIGGDSISAMRLAAITSQNMRVSVADIFSYPVLSSLAAIIDSRSSEAEQREI KPEPFELLAQGEDASTILSNIANQYGIPPGLIEDAYPPTPLQDGMVTHTFLNPTAYIL RQVVRIKPSTDIARFRAAWETVSEKNPILRTRFVRPSNGETIQIVVKSSIEWRNAETL QQYLELDQGENVAYGTPLIRYAMTDDDHFILTVHHSLYDGWSLPLLLRQVRSIYENGI CPDTPGFNLFIKYLQNANLKSTKTFWEAQLAGQRPATFPMITVPGYRPAVQHILKYRF ALPKLVNSEFLKSTILRAAWAFVLSVYSDSQDIVFGMTLSGRNSPVTGIEKIIGPIIT TVPVRIVLNPTETLSEFLSHVQQQATEMIPHEHFGVQNISMLSAECSQATQFQNLLVI QPISETTSTDALLPGCNEVDLPLKGFDSYPLVVECHVSDDTVQIEARYDGCLLSCWQV ENMMSHLGQISKIFTDNSNYHLQIKETDMFGERDRQQLLEWNRAYPETVESTVPLVFA QQVQERPSNIAIDAWDGQLTYSELNDVSDVLARHLTYLGVLPELLVPLCFDKSLWAVV AQMAVMKAGGACVNLDPRHPQGRLETIVKDSQTSIILCAPHYSGILGSSTPQNEVVVT EDLIHKLAGSPDSSIEPSPPSPSNAAYVLFTSGSTGKPKGIVIEHRSLCSSSKAHGTR WGIGAETRVLQFAAYTFDVSCADIFTTLQRGGCICIPSDHDRLNALPDAIVRFRCDWA FLTPTVASLLPPDSVPSLKKLVLGGEASTWEIINRWYNILELIVCYGPAECSIYCSGA PPATATSDPANLGSAIGALYWVANPNDHNQLTPLGCVGELLLEGPTIARGYLHDIERT EQAFVSNPSWAPPFTPGASRRFYRTGDLVRYNSDGTIRFVGRKDTQVKVRGQRVELGE IEHAISTSMNSIAHVTVDSILDASTGRQTVAAFLHFNNASGHAEIMDMTEDLRHRLIE LQKALRESLPSYMIPSLYLPLARVPLTMNGKVDRRQLRGLVNSLTNADITSCSLIEDT PHVQPTTEEEFKLRELWSRALHVEVDTIGATDHFIHSGGDSIVAMRLTSLAQAEGISL TVQQIFETPILSDMASLIMVHPSSRDINHSVDTIYEQFSLLPGQPEEDMLSIVAEDVK TSLRNIADILPATDFQSSAIAHSMLKTRGLLNYIYLEGKGEIPWNQDVIQEKWFEFTS KHQILRTVFVFYQSSLYQVILKQVLEGISWHRTDDDIDSFCRDLYQRDIQSDRDLSDP LTKLMVVGNNERHRLILRLSHAQYDGISLPLIWQSLQTAFSSKRCPPEIPFANYISTI ISQQKVTNSRAYWKALLADSKMTNVVEQSRPYYQNTYDLHIRRSITIPSQLSLSNQGI TFATVLKAAWAIVLASLSETTDIIFGHVTSGRTVPGKGIERVIGPCLNIIPVRAQMDT TTTAFDLLQQLQSQHVASMAHETTGMRDIVRHCSPWKPYTRFSTIVQHQNIDETATVL LDDQEYTVGDFCPAADESDIAIKTTPRSGNEVEVLLISSSLSVGELAADRISSILCDT IHRLYTSEATDLLVTELIDHGQSLPPLSKCFTGTSRYATLQSSFQSRSGEMLLTIQTD WGSALEQPDLLIDWDSDFFDAGGDLVSIILLATTWNRQGYKVTPEQLLFNSRASEMAQ VLFQSC TRV_06186 MPHSVDTINPRRYGSSATACRFPVLLDDSEIPHSFELAETPIEL PSYQEIIGFCERGNVSLKAILQAAWAVVLKTFTGSGYVCAAAISDTSSGIFSISIDEN TKSDDLLKSMPMEAMSTALGNIDSLEADVPCNSAVWIFNETKNAQWEPKFDVWLHVDS GKPSPRAALFYRTSHLNQGYAEIVSATVGQIVMEILANPFSEVSQINLVHPRLRNQLQ AWSSNSPKSIDRCVGALFEEAVSKYPLRQAIQTSERSVSYEELNALSAGIALHLQSLG VVPESIVVLCFPKSVYAVIAMLAVVRAGGTILFLDPSHPETRHKDIVGQANSQLILTA QEYSGKWGWFGGRVLPVDPTLVDTLKVDQKTFTRQSITTVTPSNALYIIFTSGSTGKP KGCVVEHRQFLTGAIAQQKASEMNHGDKVLQLASFTFDVSVLEILTSLITGACVCIPN DEERSKGPERCIQQFGITWTFLTPSLVKLMSPKMVPSLKFLVLGGEAVQPENIQTWAP HVRLANGYGPTECSIAATANPRLSSTSSHTNIGYPLGGCCWIVDKDDHEKLLPIGAPG ELLIQGPIVARGYLNEKRKTEAVFLNSTSWAAVEPSSSSRIYKTGDLARFNFDGSISF SGRKDNQVKLRGLRIELGEIEHHLMSHELAGQVVVVLPTEGPCQGSLTAVISLKNFKQ MSTDVELIDDLELSAAKAELEGIASSLRERLPGYMHPTIWIPVKSIPLTSSGKLDGVA VRQWVSAISTDIFNRATDKYEGSEICRTLPSNNQERQLQEVCSKIIGLDQPEDVWLER SFIQNGGDSIQAMQLLSTLRDNGLVVKLEDIIQLPTILDLAQKIENSEAGCIDSAQLT PELPDCTVNEERVVQLGLEMGQVEDIYPLSPVQRGILLAQQHYPDKYQFRLTCEVVPL PASSSSSQSPEVDIDNIGRAEVERHWTDCGTCANVSLLIRAWRQVVQLHPVLRTIFID TETETEDGLFDQLVLKTGDGLADIWQFEDENEFWWNLDEYKRTDGPLQPPVAFIVSSV KAERRIFCTIDISHALIDGISSLVLLRDICQAYGGLLDSTKTVKYSPFIRYLQQLPRG ASLSYWTEHLLDVVPCYFPTLNDDFSGGENQPREIVTNIKNTDALHRFCAAHNFTPAT VFQAAWALTLRAYTRRDDVCFGYLTSGRDLPVPNIHNAVGVFVNMMIYRASLSPDKVV STVLTEVQHNFLRGLPHQHCSIAEIQHALGISQSLFNTILSLQSAQDETIATDGSDRG IALSVVSEKDPTEVYIWLFPLCFAHLANPSVQYNISVNVFVSRKRISLTLRHFDETIS SAMAENVMGTLQHIIHLIANNYHQPIGELDMMSARDNIQIGHWNQDQWPMVNDCIHEL IHRRAVIQPDEIAVEAWDGHLTYKELDGISSKLALHLASHAIGPNTLVPICFPKSCWM VVSQLAILKAGGACVAFDPEHPPNRREEMLRQCKAPVALVSQENIPLFEMFVSTVISI GPSFLDTLRDDPPTITASLAISPENPAFVVFTSGSTGKPKGIVVEHRALCSSIQAYGS VMKYAPGARVLQFAAYTFDVSIGETFGCLVRGGTLCIPSNDERLNDLAGVINRFKINA LYLTPSVASILQPSDIPGVHTLGLGGEAVRKENIDLWAEHINLVNIYGPAECSVWCTA LSPVLPSVSPLNIGYGLGARTWITEIDNPSKLCVIGTVGELLIEGPVVARGYLNDEIK TNAVFIQPPAWLMKHDPSVAEHPRKVYRTGDLARYNSDGSVHFMGRRDHQVKLNGQRI ELGEIDNALLLHADIQNAVTLVPKAGPFKGKLVALVLLENQTFCSVAKGDAGLKFLHD ENGEYFPTIVSNVRLFISSILPSYMVPSTWITVKSFPLSTNGKLDRSSIMSHINSLPS SYLNETMDSDTKGDSLPRNDTEDIIRTIISSVLNTGPANILMGRGFLAQGGDSITAMQ VSSRCRAQGILVPVKVVLKSKTIRQIAAEAVVRQDTPGVIDFQEPVSLFPFSLLPGMN ESALDDIAKKASYRGLVDIEDAYPCSPMQEGILISQAQAPETYKFYVVCEVYCSSPTS RVSIGNLQVAWTRVVARHSSLRTFFVEGLSREYLYTQVVLKKHTPRIEIVGDFDSLSR QPHNKPLDHNELIPSHRMTICEDKEKIYFNLEINHTLIDGTSMGIILRDLRAAYSHGL QPGLLYRDYVALSLNHPKETTLLFWSKYLEGTKPTFFPRLHDGDSRMKELRTVNIPVS GSTMIDLQAFSRENGITMANIFQTVWAILLRVYTGESDVVFGYLSSGREIDGLDMENA VGAFITMLACRVNADDSSTLLSLAQNINEDFVNSLPYQRTSLAEMQHALGLASERLFN TILSLQRPMVQQNSTGHIEIQCLGGSDPTEYDLAVSITADDSAIDIDISYWSTIMSEK QAELLTSTFTTILSAFLKYPTTKLHCVDALGNKQTEYLFSINGNGAVPYSVHDCIHSR FSSQVALYPKSPAVCSADMSLSYIQVEELSSKLAALLISLDVCPERVVALCFDKTPWA IVSMLAVLKAGGAYTSISPAHPARHIDNIIHQTKSRIVLTGSESYGNKIRHMVDYVIL VDPSLLFNLPVAKPGVISLASPDNVAMVNFTSGSTGKPKGIMVLHKGVCSLIDHNADL GINNESPVLQFSAFTFDTSNAEIFLTLCTGGCVCLPSDYDRLNDLAGAINRLGVTHAF LTPSVAGFLSPEAMPSLQMLALVGEAVTSDLVQLWQKPVRLINSYGPAECTIMSSFSV LHEGLHPSNIGKARGCIFWVTNPMNSQYLVPAGCVGELLVEGPIVSKGYISPELTLEV FIDPPKWRGVVANGSRFYKTGDLARQMPDGSLVYVGRKDSQIKLNGQRVEMAEIEKEI SSYSSVQHSVVLFPNYGPCSKKLVVVIGFKDISHTQPQSSEMIMLNDDESANQADAIR DRMTTLLPSYMVPTVWIVCSNLPLTISRKIDRRKISQWVESLNDAFTERVAEEKNDVM GNASSPSSTILQRLQEIISRVLNISNSRVLSNRSFLNIGGDSITAMQLVVMCRNEGLK ISFKDVMRSSTISKMVHSVEIINHPSLHEEELLEVSFGLSPIQQLYFEEISQGATEIS ANQFNQSFLLRLVRHVPVETLKGGIEVIVERHSMLRARFRKSSDGRWTQVITRPGKTV YRFREHTVSAREEALSLATAAQRQLDIQAGPVFAVEYFNIAGEDPLIFFVAHHLVIDL VSWRIIFQELEDHALGDTSIPRNEPFSFQKWQKLQADYASKHLTAKPSINYFVPRANY EYWGMDGVENIQGDTIQLAASLNAEETQLLVNSCHQAMRTEPLDVFITAILVSFIKTF DREPPAIFNEGHGRQPWTSDIDLSDSVGWFTTIFPLSIPIGPSDTLSDIVRRVKDQRK ELPANGWSYFTSKYLNPDGAKRFRDHMPVEILFNYLGLYQGLEREDGIFQLQPFNDGD VGKCVKRYSLFDINAYIVNGRANFTFSFNRKMKHTELIAEWLKNFTQSLKTIPQELVS TDFTLTRSDYPLLQISSYSTLDALLTQKLPQLGFSIADFEDIYSCSPLQEGMLLSQAR D TRV_06187 MLKFHFPSYTTLWFTVILGAAALLLCLVGRLVYLVLFHPLAKYP GPWLAKITNLYAGYHSWKGDLHIDMLNSHVKYDIYGHGKRFKKAQRYGAMVHRAPNTL TVIDKKKHGKKRRIISQGFSDFALKKHEIVILEQVQHLCTQLRMGSNGEQIPIGSWSP PKDMARLTNQLDDYFAFDVMSNIIFGVPWSTLRSPTYRFIPEVIEKSNVRVGTLTQAP EISFLRFDKIAFPEAIRARDKFVRFVDEMLSQGIKHASKTGCGVFAILSRSKDPETGE PLKMRELGGESATLIVAGTDTTSTALASCFFYLSHNQSSYDRATAEVRTVFGSSEDIT MGPMMNKCVFLRACIDESMRMSPPAASSLWRESEETGAVVDGEYIPPGVDVGTCIYSI HHNPQYYPRPFSFQPERWLGTNKHEDLADVRLARSAFNPFSIGPRSCIGKSLAYVELQ LLLAHILWRFDIRLAPGEIDSFDSQEIGDV TRV_06188 MAVRGRDLQEVFSKQDTAKYSSALDLCLAFLEYTMDHSNEDSNS HHVDMVILTKGLRNIQENILGNENINTALASMNFDIGIRASILRSYFRLCAFTKCKPS PGNSKLIMDVQTGNAKVLALFGGQGFDTYFNELLETYQIHQHHIQALVHCMATTLKSL STEDGVKDAYSQGFDVEEWLTTETSRPDWNYLTSAGVSLPLIGLSQFAAYAVACINLQ LSPGELRSSFSGAIGHSQGIIVASYIAAADSWDSLYTVAEQGVEVLFWIGCRCQQINL HPITHREQLSSYMLSVKGLSRDMLQGDIDQLNHYLQQSGALHIALMNGPQQFVVVGSP LSLKGLQKKIQLRDIRTSKPINQSRVLFSQRPPGISASFLPITAPFHSPYLEEAQKRI LKDLISHSLKGCTLAFPVFDTETGNNLQHCDNIIPQLVQMVCTKRVQWERVLNMALTG VTHVLDFGPGGSAGIGRLIHQQRDGTGLRTIIVGADCDASSFFGGMGELYGRYYPVKY SAIWGDEYAPRLIRTSSDGAELVSTKFSRFFGSPPVMVAAMTPTTTAPDFVAAIMNAG YHAELACGGFSEPDSMRNEILSLSARISPGREITCNVIYANPRAMAWQIPLLQELRRD GVPITGLTIGAGVPSEDTVRGYITDMSLTHIGLKPGSKESIDAVLGIARANPGCSILL QWTGGRGGGHHSFEDFHEPILGRYSQIREHSNIILIAGSGFGDGDESYPYLSGEWSKR YGYPPMPFDGILLGSRVMAAREAHTSPEAKRAICNAPGVPDSRWEETSYGPAGGIISV MSEMGEPIHKLATRGVMLWAELDRNVFSLPREKQKEELLVRKDYYIQRLNNDFQKVWF GSSSDGKAVDLTEMTYAEVVRRLVDLLYLRRSREWIHGDFRFLVFDWIRRLEERLHRS NTCNGYKSTHDDDGRAVLHDVKQLDQPHQLIEELLIKYPHAVTDVITAADADYVIELS KRRGRKPVPYIVQLDEDFEYWFKKDSLWQSERLEAVQGQDAGRICILHGPVAARHTRQ VDEPVSSILDGIHDTFITKARTNETIFDFTPSQSPSNLAEGIQKVPLLPRGVTVDVSE NGKLVRYSLASTESELPCHDDWIKFLAGTTHVAWCVSILTEPDIVRNGILVNNPIRRV FAPKPGRVVELHNPSSPEQCIMMIKEIGNIQNTSKECSSTTIASASMQKGLDGGIILS LSNHLMRDRSTPGLDFEFKYQPRSRSPRIIECTHDHIVESRRFYQSIWIGEQVTTATP GTVFHGEDAIINRDTIVLFAKSICNYNQYYISPSKALLHAPLDLAIAIAWKPMMRCLF STSNPGNMLNLLHLTNEFRLHEGVKPIREGDSVSSKCRLTAVKIKKGSGKIIGVEATI LRDGASVVHLKSEFIIPGVYSDYSSTFEIIEEKFLVQIASMKDIELLKARQWFRLNEN TDLTHYLNQKIEFHITSRYFFKDSETYSHLEVEGQAVHQHAPSHTTILGSVYFSSESY KKNPVTDYLHRRGSSIKDTHNSLKHSCQLAQGVEVIIPSSGIDYAQASGDCNPIHVSE LFALYSGYHSRVTHGMFTSGFVRGFVESHVAHNDVSRMHSWSCIFEGKVFEGDRLSVS IEHIGMCQGQLVISVKAENAVTGMKVLSARAKIEQPTTAYVFTGQGSQQPGMGLELYK TSLAAQAVWTTADRYFINQYGFLILDIVRENPKHLTIHFGGARGRKIRDNYMALILDS KGENGVPTQKPLFPTITSCSRSYTFRSTSGLLHETQFTQPALALMEIARFEDMRSRGV VKEESLFAGHSLGEYVALVAVGKILTIEQMVALVFYRGLTMCNAVKRDSNGATNYSMC AVNPTRVSKTFSEVDLNWCVQEISRHTQGLLEIVNYNVINMQYVCAGDLQGLATLTAV MDALASGSLNMSELQDVHAFIRKHLSILEQTQRPIALQRGLATIPLAVNVPFHSSLLQ PGVDSFRHFLQKHINDSTIDPGLLVGKYIPNLTARLFELSLDYIRDAFEITKSPVLEK VMLGVSMA TRV_06189 MSERAIPQTTMSLLGAFELVGGLSPTPFISYAILAVFTGIFLIN IKGIPGFWHGITTQLFHAKWRSFNRDNDCTTGSGITNAASITSDQPNGVPRLFSYLIT EHRNSPIDCDYNMHKSNSTFFCDLDINRTQLLLNIFGGFPRWKTADGTASPSNAEGMN AVNKQDRPIRGSHKEKSLGIALGGVSCMFKKEIKPFQKYEIWSRVISWDEKWLYVVSY FVKDGMDKSALQPSSAQHARKDGKISSLDANNYILSSSLCRYVFKDGRRTVKPQDVLV YMGLYLDGNAEASTEEADGDSTSESLAMFTEKRKRGLEAVRHFSEMDSLPLHFGDTMP SVLGRYMDL TRV_06190 MLRSVPFLLLLLSGVEALVPTPVRTAVPQGTVPLAAAETPSPTP MTPARIERREISISIPPVSIPPVSIPSLSLDLPVNTCTPTIAPDKNGWVPPSECNALY LYYPSFGAAIAFSVLFGAVMIVHFVQATIYKAGFVWVILMGATWECIGSPFPPSVLLK LATRLTSFIGVNAFDYMVLARMIHFYIPSRTIGIFKPAILAKIFIVLDFVAFVIQLVG GGMAGPGQTPEAAMRGIHIYMGGIGIQEFFIILFLILAIQFHRQMLHLEHTGRLQDSK RTWRPLLYSLYISLFFITMRIVYRLIEFSSGHTDSNPIPHHEWYMYVFDAVPMLFAIG TWNVIHPGKIIRGPDAKMPSSGIIFFNSLFKLIYDETQTLLLFLLSLLFDG TRV_06191 MGCSIRAEDAFGPAAAPECLDGFDFTLLFEESFFSIGVCSAMLL SLPVQLARLSHRQQQHNHHQQAPAGPLLYSKLTAHLVSTGIKLVLVALVLVPGRALAT RATVAAVSIAAATSASVAVLSYWQHCRSPRPSTPVSLFLGLSLLLDAVRARTLWAVQP RVFCAVFIAGIVSDLAKFVLELLERGDDGSDGPDGPGRLPAETVANVYNRSVFWWLNP LLLQGFREILQAEKLSAIDRRLRGGDDDEEDSFLGQWEAAKVKTPAALVRLLAAHHLW AALAAVIPRLCLTGLTFTQPFLLARIVRYVTEEAAGDDDGLASRYGYGLIAAALLVYI GLAVATTNTQHKTYRLITMLRSSLVPLIYTQTLHLDTSAVRDSAALTLMSVDIERISS GLRYFHEIWASPIDIGLALWLLQQQLGVAAVAPAAIFLVCTLSGLLVAATMGARQRQW LEAIQNRVHATSSMLKNIKEIRLGGLQGHAAKKLQELRSKEISESRPFKKALVMIVTL SFTTAACGPLLAFTMYTLLALRNGSQALDYEKAYTSLSLLALLQTPMALILDAIAGFV AAFGAIERIGEYVSKPTTNASKASSTEMIPPSISTRPDIYEGKGKEVLVRAQGFSAGW NADLPFVVKNLDFEILRSTVTFIIGPVGCGKSTLLHSILGEVMHTDGSLQKSVTRVGY CCQEPWITNDTIRDNIIGSNLFQPTWYDQVIDTCVLRDDLQGFPYGDQWIVGSGGMAL SGGQKARLGIARALYSRETLVLLDDVFSGLDAKTEELIFHNLFGERGILATENITIVL ATNAAMNRLNYADNAIYLDSTGNQVSKDDALEKELSEGVTLAAPSEKRTSAGQSHENH IGATPELSSARPLTASAQEKERRVGDTTVYKYYIQVVHPMSAAFFTAVCVVFVLGLTL PHFVIKWWLQRDTEYTISHIELYLGIYAALAGMAIVSLAVAAWYDSPLWGYRSCLLEI HRYLTEHMLPRASRQFHEGLLSTVVDAPLQFFLSTDMGTTINRFAQDLQLADMELPLA LFNTTVELITCLAQLIIIAVASKYIGVAIPVILTVFYLIQKFYLRTARQLRLLDIEAK APLFSKFLETLSGLVTIRAFGWQKDYEHHNNQALNDSQKPFYLLFCVQRWLNLVLDLV VAAIAVIVVSIAVRTKGKIDAGSTGIALVNIVQFSTTIKSLMANWTQLEISIGAVSRI RSFSTDTLLSRPRERSPELKDEATGPTISPSWPEHGTIEFRGVTAEYEINSTPVIKNL NLLIHKGEKIALCGKSGSGKSSIISALFRILHVSEGNIIIDGVDISAIPQEILYTRLV CVTQTPYLIQGTVRENVDPSGTSSDEQVNQVLKEVTLWDTVIARGGIEVQLSDELFSV GQKQLLCLTRAMLRPGSILLLDEVSSSVDLHTNKLMQSIIRKHFATRTVITIAHQIFT ILDADRVAVISNGEIVEEGQPTELLEKDPPGPFRILAAANMKL TRV_06192 MTPAYRDPKGLIQVFRPKEHAARLSLSCSTIAIPPIPEDIFLRG VNLAIVKNAEYVPPHDTDAALYIRPLVFGSDAFFAVSAGTGYKFCIYVQPYKAYHGVH PLPALILEDFDRAAPKGVGHVKVGGNYAPVLKWSDQARAEGFFMTLHLDSRDNSEIDE FSTSAFIGLKQSGSSFTLVSPNSRSILKSVTSTSCIELAKSFEWNVEIRPVSGGTVNI PCQPREITGIQIPYSELPYFTEVLAAGTAAMILPVKSITRRSTGDTFEFAVNGPGEGC KKLSKELLNVQKGIVKSDLGWLWQVSPVSNAEST TRV_06193 MAGHANDQRKLAHTLLVELMAYQFASPVRWIETQDVILTGRACN NIIEVGPTNTLIGMFQRTIKGSYETQDCILCPTRQLLNSERDTQKIYYESIPDTSTPM EEPKDKVASTELKARDVNATAPPASCINVANDIDDRPIEAREILIAIVSKALKKPVPD IDVSKSLKLLAGENEIVGDLSTEFGLLPDRVEDIPLTELWNTVQLNHKGTLGKISTAM INLMFTSKMPGAFTSAVARERLLVQWKLQNGRQNSCLVLATTMQPTTRISAEQEATRF MDLVAEEYALREGITLGGDTSTENKNTSSVMVDAETLRIFSKSQQSLSKKLLKVYASH LEQDCDFDRSLVDDAQEVAARKLQTEIDLWVAEHGQEYAAGIRPKFDPRKIRVYDSSW AWARQSLLELISFAQSAKQGRLFFDPEPVIQRCNFIANAAEEEILPILQRAIADFSDH PQLGHIVQRLERTCRSRLGLGPVYQNTPKNLGPCTTVTHDGSLQYAEKERKESIRFAD LATQPNTAGEPYIHIKEMLSHGWTYSRELTSHLYKALDLVESRGESFSGQTVLVTGAG IGSIGASIICCFLQGGARVVMTTSSFSPEVSRKYRAIYTEHGARGSQLIVIPFNQASK QDVISLVEYVYDTKGLGLDIDYLIPFAAISESNKEIDSIDSKSELAHRIMLTNALRLL GSVKTVKEKYKYLSNPTQVLLPLSPNHGSFGGDGLYGESKIALETLFNRWYSEDWQEY ISICGAVIGWTRGTGLMNKNDLVAEGMESLGLRTFSQEEMAHALVCLCTPSINMICQE QPIYVDLTGGMTKVQSLAEQVRSLRGELKGRSDIQSALFTELKFEEQCVEGVKLVSPA EMKTHTLEQRAHVRPDFPRVLQYHKDIYPLSEDLVDMVDLQRVVVITGFSELGPYGNS RTRWEMEAHGEFSLEGVVEMAWLMGLIRYTTESIDGNPYSGWVDSKSKQPVVESKIKE IYEDYILNHSGIRLIEPELCDGYNPNKKQFLHEVIIQENLEPLYVPESLAEEMRLEHG DYAEIRRSSKPDIYCVRILKGARIFIPRAMKFSHTVAGLIPTGWDARTYGIPEEIITQ ADRVTLFTLVCTVEALISAGITDPYELYQYIHVSDVAICIGSGIGGVSSLGKMFSGRS MDKDIQKDILQETFINTIGAWVNMLLLSSSGPIRTPVGACATAVESLELGYDTIIARK AKFCLVGGCDDFSGETSYEFANMKATSNATEELIRGREPGEMSRPTTSTRNGFIESQG CGLQVLTTAELALQMGLPIRGIVAFAGTSSDKAGRSLPAPGKGVLTNSKHIMSTVHPS LPNISGRKKKLDTRRQQIALSRDALLADLQTDIASAVTSDIKTSHQERKQLILEEYEK ETREAQYALGNDFWRNNPYIAPLAGALAVWGLTIDDLGVASFHGTSTVLNDKNESAVI QKQLEALGRRKGNPILSVFQKYLTGHSKGAAGAWMVNGALQMLDTGLVPGNRNADNID RALKEFDLIAYLQKGLQVDEIKAVSITSFGFGQKGAQAICVHPKYLFATIRQEAYEQY MDRRMTRQKKADAYFYQGMNSNTLFRAKSAPPFSLSEEEETFLNPTVRFPK TRV_06194 MADIEAAKRAAATEAVKNHYPKDAKFIGIGSGTTIVYVVEAIKA LGIDTSATSFVPTGYQSNQLIVNAGLTAIAFDALPEGTVLDAAFDGADEVDDDLNCIK GGGACLFQEKLVALQAKEFICVADWRKNQSRLLTSWPSIPIEVAPLAARRVMDELKAL GSPNPILRQDPVAKAGPLKTDQGFLIVDAPFPALLLPSDIAAGKKAEGGVWEVEALAN AINAITGVLEVGLFCGPNGPQAQANGRVGGQKPVAAYFGNTDGTVISKRKK TRV_06195 MASHAAPTAKERKYDRQLRLWAASGQQALESSRVLLINSDGPVD SDGSELTGVLGVETLKNLVLPGIGGFTIVDPATVSEVDLGVNFFLSEDSLGKSRAEET YILEDEDFIPQHQLVIVSGPIRQSILRTISQTTRRLDIPLIYTHSVGFYASFSLQLPS AFPIVETHPDASSTEDLRLTIPWPELVAAASKAENLDSMDDHQHGHVPYLILLLHFLE KWKVNHNGLYPQNYREKSEFRDMVRSHARTNNPEGGEENFDEAVAAVLKSVGPYSLSS DLRNAFDMDECSQLTTRSSNFWVIAAAVKDFYETHSVLPLSGSLPDMKAQSSDYIWLQ NIYKSKARRDAAEVLATVRNLECKLRAGPERMPISEKEIDTFCKNAAHIKVIRGNEIP ILSPVPSGGISQRTVKAIKSSLQNPDSLIPIFIALSTLDGLVSEFKEIGCAGMTEEPS HIDKSDNWTAMLSKVLAGLGQEGNGMDESESEIRSRVESAISEVRRAGIGELHNISAM AGGCIAQEALKVLTRQYVPLDNTFILDGVRSRGEMFRL TRV_06196 MGLFPSLAPLISTVSVTKKTTIMGVPSAEPPPSFQDTSSGSAAG QNIPPTASPTDDSSASRPSGKSKSDSGSPDEPHSGSQGTTSSASQGNSPEGRPENSQG RPQSGTPNGQQGGSQGSAQASRQKTLSQPPGSSMPVDPGPITSEGAGHSHSVPTRTMF NLGGIPITIQETDSITLGNGPSRTTITSNTTPTTFAVDGHTFTVNPSEVIYLDTTFHL GADPMKSPPLVSGTANPTGRGDSEGPRTDSEGHTISPRPTGTRDGSPSSQSLAVRIST GINTTFVLGISTALIFGGFVGVL TRV_06197 MRCRKERGGLFFANASSTWSHVGLYELGSNLQLGDAGYGDYGYD LIGLNNDVSVSDQVISMVTTVKWWIGSLGLGVTDTNFTDENKLSLLSSLVQNMSIVPS HSYGYTAGAFYQMKGVPTSLTFGGFDRSRFIPNEVSFFLSPDNLPAVTIKSLKVKTGT MSNSNSLAGDLAIDLSSSGTFIIDSSTPFLWFPEQICDSLAGKLGLIYNNTLNLYTYG PNISTYDAIAGSNISFTFTLSDLPDSPKSVNITLPFAAFDHKLTYPYPGLDQNQSSEG LRYFPLRRTADPKKLTIGRVFLQEAYLTVDFERRNFSISQATFNPDSGISMDLVAITS PPDSAFPGGHGVTADRKIPKAAIVGVTIGVCAAVIIVSLIVLWFYRKRRSRELSISEK EENPPRFKFLWKFWRRPVSPSMPAELVADKHHPVEADNAAIRYELPAATPVELPATEI SGHRYGHLQKARDLGMEGNEPPPPPPLIQYQHLTTNPSEPDLVSPIGPGSTLGASELN NSLGIPSPIATSTNSTSISHQRPDSSPSLLTSCQHAVEPTTTGASTSATPDSQPQSGP KPDLTRKFSWEK TRV_06152 MAFTCGLPSISVQVRLLLVIAISTCFLITELAVGFKTHSLALIA DAFHYTGDLLSFVIAYLAQKHASALGRDIIPEETGIELEAAENRTAIIRIDQKDGRHV LPLLAAFFNSVFLLALGLAIFLQGLEKFVHLDEIANPQLVLIIGCVGILTNVVCACIL GADGHHHHGHSHGHSHGHSHGHSHSHEHDPHAHPHEHHHDIRSDEEKLSHGTALSIKA VLLHVAADALNNLAVIVSAAIIWKVPTQSSPEDRPHHDSEHNHYGSPHAKYYADPACT VFIAILIMASTGPVVLQSGRALVAAATGTSVKEILSDTQSKEDKEEKHKE TRV_06153 MAPPTLAVHSRELIGTDAAWKEDYSRPSDAERLLSTNSALALNR DGADVSLCLLPSPGNSHLAVVEAVSSARIITVTIFRLCEQFPQVWTSKTSFNVTASPS ASFYASDRTKVSGAITEDGTHVFILCKPPTGGVLAWTVTSEHGWVRRKPPSNTDSWYS LNDGVQSDDGEHMFYKSHKYGGFSQNRQVEQIAVYDIRTLQESSRFAVRFGNARFSRD LQFLRPLSAGRAVHCAISTAGSRENQTSPPLVFSSDGKVHFHCDGIEGALRLRGIVTV SPDGCHMVFVHFEDGLVSHWDLTAPTLKPLGTAQLPGVEVATLRQWVINGQLTDVRDS IPKQVHHCRFSPSSKVVTIITATAGYVVVNVFLTLNLQLIYHYQAENVHWPGYVTLQI GCSNETGLTVFGASPTTEHPDTGEVLGVLGAVIPLPTIYDKIKQIEDYFDTTTNSISK VLKGPGSSEKTPFVKFAFMPDRGFVRESGLPPQMSADDRLRQDFLYEFSFRSSNSTAN MPSTPELREKHVPLLASLTPFSWDSTKSVSLFGVVMKYKYYIIAIGNWVQREITRYRS TPGIVCVLYVSETILSSDTGLEKIAVYREEPHYILSVFSSKDTSFGRSFSGAPLPLPR HTVITPHFLEEDAWYDTFIIKEAEHGTLPSGWMTTPNPLLIASTSFGAYLPPVVVSAG GDTLDYGHRNRSHYVVAKHAMWHSYGLRGLRNGDNPNEIFFGGGRYMDALGSYFSMIY DDRSYNGTNPLFPSTFAAACNMDWRSQSTRHVDAFFRRLHQSSQDKLLFNAQSVACTL PLACHVRPFGTLSFMRHVVLLPFRVVEIGPVDVSVSNTLIRDAKSGLEHVQTRIFLND IQWFMRRLFGGFLSSLSLISSTSEYLEANSSVVTLPLPGFSSFNHRLFKPPPVSNSDT DPYWEFIRATYPDPNIAAWESAILRYVEQSGNGPTSPFTRLVEEILYLKDRETQFLFL RVIWLDKLLRWKMRTFGRSVYLTRVAGPMLILFTVHLVVSILLTGRNSHIDITFHDEV DGDSYFSVTSITTPIKALASIEAILAAYIFLIKARQAYRVPRLFRRSIFNYIDLAAVI LGITTFGLVVSNRSPPRAFLAFSTLLLWIAALLMLRVYEATGTLLLLLTEMLHGVLPF LILLALIVLGFTFVPFLLLRNIDSAGIDQTPFSDFGLSMSEIIKFMASDYDALKVWER SSAAARTLRSLYIIVVTILLLNTLIALLNLKVKSADERSRLLWLRQMASLQCEIELGL LWHQERRRRDWFPEWFTYTMSEGEKRDWAAHVEQSPLRWTKENDFGEDKDHGPGARQE PQTQAPDNGKSSVAGKPDVDVDIQEEAGSQEQQKEASGEESSPPSEPLLYINGKPAKL MDTTTTTTTTTTKEEPEGKNVSDKGEASTQAAGQQQRQHTAGPSSSESDPKPNPKPEP QTGPEKKCVVCSAPGKLCLGCKKVAYCGTEHQRRDWVNHKTTCKGKQKA TRV_06154 MAMKSGEKITARRAESVDLDVDVDGDDDVDVVVVVVRCC TRV_06155 MSAFVDILGYNPVNPGLSKPVHQTCPYAASLAVSAPPSASSIFS LDAVSSQPSVASSSSTADVIWENEGTADAQHKKAAVKTCFGKGAETRHHPRRTVATRP PPTLVRQCERKTNFVDSLVDSASQIVEIIWPLSVAAAPRSVESTLGCKGVLPLRTFIQ ETLRRSRTSYSTLQVALYYLILIKPHVPSIDFTMEQPKLQQSHSRAMQCGRRMFLSAL ILASKYLQDRNYSARAWSKISGLNTAEINQNELMFLQAVDWRLHISDAVFQRWNDIVL KYTPNASGIPSTDGMCWRTVIPILTPELDTLDSRAEGPWSLSSVGSPADSSSASSASS SSSSPSSTSTAPSSPASCDSPSSGLPFAEMPRRPSAADLSGVTLPPLPRLGLLPTPQL TPQVGMTAPAPAASISACRPSICSALSQAQTAFVQRTTLDQRPTQPLYISKQPSFDCS SSGSFQGRRSSLARSSSFTSSPESMASDAPSLSFSSQSSRSSRSSSISSVTSMNCATR PTRRNTPTSQPTNCLKENRKPLAIATPIDEHEPSYISNYPSPPLRTSSAFSTASSTVP DLSNFSINTPSDPDAAAIQGLYELATGLSLPMDSSLSKASRHCRKRTRAPSTSEGTNL QQKVRHLMALDARDLEDEGTSLAVLSDSQVADSFLVNKVAGMGDSRASSKRACSGKEN VRTLWGI TRV_06156 MAANFPPPPTPAIDWTNIGFKVREVNGHVESHFSHSGDAQWSTP RFVKSPFLQLHGMAPALNYGQQAYEGMKAFRHAPSASHPNGRVVIFRPDRNAARLHHS AQFVSIPPVPKEHFVNCIVRAVSANAEFVPPNETRAAMYIRPLLLGSSAQLGLTPPDE YTFVVFVMPTGVYHGVHAVEALVLEDFDRSAPEGTGSAKLGGNYAPVLRHSDKARGQG YGITLHLDSKTRSEIDEFSTSAFIGVKKRDGQITLVFPDSKNVIDSVTAASVKEIGEH MLGYKVEKRAVKYDELGSFDEVMAAGTAAALVPIRSITMKSKGDKFEYDAGAAGEGGE VFNKLIKTLQGIQLGEIEDKFGWLVDAEKLEDGWTEQ TRV_06157 MADDKIGGAERRGSLFNTAKDSKQFTDNVTGEIKNPLTEVPKDQ LLEDVRNFAHQHGLQDIIPLLEKGALVAQNPRDFESLPELDEDDRICLREEVTHRWKL PWGLYYTIFLNSIAAAIQGWDQTGSNGANLSFPIEFGIPDNPAYCPTDAICEKNAWIV GFVNSIPYISIALFAGWLSDPINDLIGRRGTIFIAAVFSLLAPIGSALTQNWGQLVAC RILLGIGMGLKEVTVPVFSAENTPANVRGGLVMPWQVWTACGIFLGTIANLAVVNTGR IAWRLQLGSAFIPAVPLVIGIYFCAESPRWLLKKGKVAKAYRSLLRLRNTPLQAARDV YFIHAQLEHERALLVETGLIKTDNFFTRFIELFTIPRVRRATQASGVVMIAQQMCGIN IIAFYSSTIFERAGANNITALLASFGFGLVNFVFAWPAVWTIDTFGRRGLLLFTFPNM FWTLLAAGMSFYIPESSPAHLGLIATFIYLFGAFYSPGEGPVPFTYSAEVFPLSHREV GMAWAVATNNFWAAVLALTFRRLDLALTTPGAFGFYAGLNVIALVMIFLFLYETKQRT LEELDYVFGVPTRTHAKYQLTKVLPWWIKRYIFQRKHAVCPELYHLDSIREQPAEHDG VKQV TRV_06158 MTRHRPVKREEEKERKEEKKGGEEKLETTRQMLRSLSMDAQAAE LIRLREAAAVERKQLLWKEERISILEEAIAANLRREGPTNPTGDPAPAAAAAQPTLAA EVGMPEASDEDWVCDILVDISAFGKELSFFAFVAGFKDKIETKRATSSAAATSRRFGP LLEETNTREETDGGQEETSHRALFPVPTRFMMEALLAHSFDYLSSYDQGKIRKGLRQV EGLLAQICLSRSKLTAAEKRRSMIPLDSTPSPPKSTSELSDDPAYREFYKLQDGFQWN VALRLISCLEHLLGRGSNGTNDLLIVSALDLIQGILLLHPPSRALFAREIYMNILLDL LEPANCPAIQSATLLTLVTALLDTPTNTRTFEELDGLLTITSLFKLRSTSREVKLKLV EFLYFYLMPETPQLPVVNASAPNTAAGLQRSPSKHGGPTSRSVDAPGRRRSAASADTK TTEEKQNLLGRYLSNVEDLVEDLKETAPFGSAVF TRV_06159 MAQNETTTAPEREVKYINTIDAYNQWAEIYDTDGNFLQALDTLE MKTLLPAFLSLNKSPSEKTKYVDLGCGTGRNTLPLAQRAPEAMIVGLDPSEKMLELAR KRTASASNVQLELYDILGPTGPPASALAADGVISTLVVEHVPMQDFFRAVAGILKPGG ALLLTNMHSEMGGVTQAGFVDPQTKMKVRGTSYAHSAEEVVAEAAKFGLELVGEMKEV TLDEELAPVLGTRASKYIGVRVWFGGCFQRK TRV_06160 MERKKKKKGDVKKKRTKTAARDAGTDDEEDDDGNDGDDDEDEDD EEDKHELMPAKTDSAMKTAEEGPKSWLPAIPKPVKRLFDQFPLVTYPPNTAPASSSST AGPASSTGTDTNVGANERPGEHRLYIFTSADAARNGRPSVNPQCLMWQVSNPSSSGAR SLLSVAFDRLPDLHFADKVEQAYLRFRGIEFRTVPSNNHASPDGALPFLLPAGPGGDS EPSCVAPSKLQNWADTNAKHQYTEAKISGVLRTYKSLLERNIRDAWLYTVYLDSSNFE SIAKKAYVNPSTTNPLVRATLAYQLKEAAKDQLQRKETYIDPDDILAEAKQAFKSLSS LLGDNDYFTYDSTPCWFDAAVFSYTHLILDKTLGWKFNPLASYLEEHPNLVQHRQRLL DKYF TRV_06161 MKVPKAGRPLQKCPHPKGTCSCQKLYAFMVRIPKVPMAPGEAGQ QQQQQQQQQQQQQQQKTQQQPNFSGSPTSVGASTTGVTSAPVTPATPSASANRIQKRS RRQNSIQASADSVSRGLGMLTSPVQVKNETSTPGKPNADDSIAVANEKPDSGLYQKTE SPDTSTTVTHTPQNGGCCGPKRSSNASFSANVMDNIQKAPGYKLASSSIDTPLQSHPI QHNLLHKSLSTPPISESNPSPAPFLLNSLESEYPHYPPTAHSVPPTSFNPESAKNHHF NSFDQFGPADSLPPGLHTSFGPASSQVGCKGHNCGCGDGCQCLGCASHPYNDTTRHYI QEMGYMMASGYGDQGPEGNDDVHSPPYSACLPPESHTLAAVGQNHLPQGYVHSAQFQP QLLGYGDNSNNNTSIPATMPQHASDGELMMSPAAYYTVEYPISMLDPCTNLTGTCHCG INCACVGCLTHHGHNGISAESSPPPDIPQVSDSGGNTQQAASLFSMQTQTPPLSQMQM QTDAQAHLHPQVSASSGYNPHYPGHTPLESPPA TRV_06162 MTLEIGDSETMADRLVGKKLYETQVSNHSSTKYQQPIKAYPTSE RNERKSALEMHTISFFPGSTSAEAQSYPCRPCSSLEQQNRKYNTKLKTNGGSNKEGGD CAVPLKSPIQRIGQTYPSSTIQNLWFFFLSCYVGLWIFPGPEERTFSLSRVSLTGLLA RGTGTDWVDSAESAILTKTYGSFVRK TRV_06163 MGSEPELPAYQALLALEKGTSGSPIEQLTEAARVVGVDFEDYDL DLFADDGELPSITPAHSAPKEEWVLRWLLKKLKGPTDISTEYRNNGRSWILLRILFMR IPIRSLAFTLSENDFLGLLRDSLVGLDFSSGQASPYDQVEYNSKPGSLLKRKLDSDSS KQIRKRTRTDEPIANTKPEEESSHYDTFLAIIESVNALIKLTEQIPQSKDVLKAQLKL VLRGSAETSAIIIGRACNYAQSTLGRMNAVAATSFPHRLHTPLVSVLEIWTLRSDHHE NGSTASSNDIFVSHALVGALGLIRIARQFSNDLELVNGLERLVALHVVLSFREVFFSS AAADTKNESSSKSAQRLSHEFDTKSSPVLSGADAIILPTLFDISIRSMPRNNFRRQVH ETPWLESFLILLVSQAGYPLEEDREMRDVTLLQEFLDVAIHRKLKLSLITLVQIASKF SGLSGTQDGIEWSLIARILRLDVDVFLLNSGVEQSVALLNSLLAGITAFPLQNELASG DLHDLIKHEIIIPLARGFFNARDGPAFIKLWAEQIAESESSRHLTKKQDLISIWESDD VVKACGQCLASSDTQLDEQIGDLLKRICLIKLSGDNLPGAYSSLVVLDSLLMSPIKSG LDAISISRYEQILEELSNTFSSTRTEVCWKWRIWRCLQNSVSRYPACSELIQFDVRHS LVPLALRKIEFFAQNPKVAPTQDCLETFWSYNFVLSLGSRASGTDFNDYVDKSTHNTL SSFKDLLKSSFATWNGQAGDLSTPVAIVIACITALLGNIQNLASLTSDTRQLLFTNLL VSLENEPTSTASIQLSQIWKDFLCFGSGMELTAIVDDLVKVAYDRLTNQRNPSRVLIS SLLYIPTRLVSRSQRGLIIDTLHSFLLSGEIGLEMKVDILLLMTRLVESARSSAQILD DPDKIWEISRSISMDGGCDTQLLFDTFTKLYEAITVRFEAAPQDSVTKFLNTAYSRTT ENLPIRSYQTMEYPLFILSLSHLHKREHQLGEKRFQTICTLRHKVFNDLISGLHTLTK SMKKTYEGTDTASLIGILCALDNFQDLRRESKDSRKKLRKLEEYTSKYNLPMSVRKQL KLLSLASKAPSADFESRLHDCMTLFPFGQLHGKDQRSLIHRIQAQISEMEEDVVVKMI HNMCEADLSGEENGYRLLLLGISVIRMSPVQDRDSTASTEISTAFTKVSTALAHNGSI ESFCLAAEALDIILRTQSRSVTQWNIDNLLANICLIVSPSGPPIDAKFAGTIHTRLCK LLGTLFTLYRQKLSGRFHLVLPVMQALLRCLFTYNQKSSNSSGAVLARPPWIEGSHHM EPRHGTQFSRLLSSLCDPTVSSVQRPGGVGHSKQALNDNTKKVKSLAGQYLQYLVMEY TSCQLKGHIPPEMKAALMPGMYVILDVMSQSTMRAMNAGMDSSSRAVFKTLYDDYIRF GKWDHN TRV_06164 MPPGKVDYSLKTDLESIRYSTKRVLQDFQGDGVKYLELRTTPRE IQEQGISKELYVSTVLDVIDDFKNEAMSTYLILSIDRTKSAAEAEILVDLAIKFKDRG VVGVELGGNPSKGDVSIFKGAFSKAKQNGLGVTLHFAEVEFSSSPKELTTLLSFQPDR LGHVINVPDDIKEEISRRKIGLELCLSCNVHAKLITGDYPDHHFGYWRHKDCPIILCV ADMRTLQTDDVGFFCSPVSDEYLLAATNFNLDQSALLNICRKGVDSIFGGPREKERLY SLIDKFEDKLQ TRV_06165 MTVTEGCIILVLLAVLYIIGNVVYELFINPLASVPGPKLYAVSQ FPFLYQSYIGIWPFTLKELHDKYGPVVRISPMDVSLIAPDVWKELYTPRSRVGEFKRD NTFRVLDKDGSGIADEDIMEHTRHRRMLSHAFSEKALRGQEGIMQSLVDMLISGLKSH IKEHGSEPVNMTKKYNWATFDVIGDLAFGQPFGCLEADSPHYVISMVNDLFYHMIRTQ PFKRFPLLRPFQSLIASPSNAITTVQKFEKFAFETIKKRIENGDAGRKDFISYMLPHN STGEFTEAELTSNAAALMIAGSETTATTLTGGTYFLLKNPPVYQRLVQEIRSSFKEEK DITISELDNLPYLAAVLTETLRIFPPVPGIMSRVIPKGGKHLCGHWLPGKTVVSVSQL SAYHSERYFSRPEEFIPARWMGDPQFSKDSKDVFQPFSVGPRNCIGQNMARAEMRLIM AKILWNFDLELSPESDNWNEKLIIYGLWRKDPLMVKLKPVKRLTH TRV_06166 MPDIHMNYRHESFDTFTSNGVLYIRAEAVWAVSDGGACGISGKQ KIRAASLPIGVRRPTPVCFQNTAFHRAVGVGGAHEVNIIGILALGWSYIFSAELIERQ GPGSSSLDYTDSIAEMCHGKAEAVGNMIHVGAVSAQAARWWASILAPGQGWKATVLQC DSACYISPWSVVLEHDEAFKVRVSGDLPANSTMKSLSPPSSQQALEFLVNFCALHGSH SQLFVALATAMTFPAHNYYGTPSRLPCVRGSNTLKSPQVTADIKELSVQIPYYMTMSC AHNVTVSSLCSVFWEPKVCCNLVSPWLHPVLKEIPNHYSGSLSLDEIIPLLCAQRCPN LATLWLGATLSGLSSTIIELVGSGTPPLDTNGAPWTGNPQSFMDVPGSGPYVQFDSPQ DKLFRSDAWRLLYLPAAVEDDLQYNSPPFSPWQPVGMTNFEHAAIRVKAHRDCPRHEL VYHHWSWCLKNGSGLEGHGYCSETIPRHSHKIDPPMTTAMNYQTLSLADQEASMIASR EVFAWVTRNCEGHPPEEIYHDPWIRDDSESEASESCTSSKSARSTGGLTGPSDIEAWI MRTNS TRV_06167 MPEMSLIKQPPKLSKYPFLSLGATGLVYKIDEEIVLKIPRETGR DAFLREIEMFDLFEKFSPCPMIVQSFLRVPEANFLAFLSGGTLDQRFRANQVRVGHSY CGRVEKVVKQEPIELVERWSMELSCATAWLENLGYVHGDIRPPNLLLDRDDRLKLIDF DCAAKIGSPSDGSAPPWARILGVEAGEDAGSFGLYGARTEQFAIGSVIYLMTRGYEPY ENEDPGLDIVDLFRRMEFPPLGNDPLDRIIDRCWKGQFDFLKDLAEEARTLGGDTYRH PTFLLPPAAQHRLQIQEKCQTLVNQGLLEWEV TRV_06168 MSPILTNRQAEELHKSIIAYLTANNLLDTANTLRAELNLNEDAF DPATAKKYETLLEKKWTSVVRLQKKIMDLESRMSAMQAELDNATPTSLSKRNKDPASW IPTAPARHALESHRDTINSVAFHPIFSSVASASDDCTIKIWDWELGELERTIKGHTRA VVDVDFGGPRGGILLASCSSDLSIKLWDPSNEYKNIRTLVGHDHSVSAVRFIPLGASG APSSGNLLASASRDKSLKIWDANTGYCLRTLQGHTAWVRDVFPSPDGRFLLSTGDDST ARLWDISVSNPETKVTMFGHDHFNECCAIAPSTSYQYLSPLTGLKKPPPASSTAEFMA TGSRDKKIKIWDARGTCLLTLAGHDNWIRALAFHPGGKYLFSVSDDRTLRCWDLSQEG KCIKVMRDAHERFITCLRWAPSIFKDAPTGNGASDGKNGDIKKSDSPEVQIRCVIATG GVDMKLRIFAN TRV_06169 MASVPYYIPNQEYSNAGLNNISDCVHSAMEIAEKCPLAIPIKHD LPPGVYACPEFMNMFSGVQIKPPIHLLDWTYDRRRTAQDVLPYLYLGPNNAMRDAEFL AKNGITLLLAIRDRTRIQAVTVNGDRVAARLGIESDFVEIDSAQELITKLPDIIRRIN NHVCPCPTHQPEGHGRTRKVLVFCDTGNDRSACVVAAYLMAMLQTGAAVASSHIQVRR LSTNISPVFVDILKAFEPILDAQRAIARNTLDNHGTSGLLNSGNALSRKRSFQVAEES HNADGEAMAMDLCRPSLPPFRDRRKARPSGGQACVKFAELALSTLNDFMARCSLEARI LLFLSLPLNICGSTLLSFSSFFFVYFFFV TRV_06170 MRLRQRDNIRPPERYGGGDWIGNKASGRRGASRATSETPDDLPR QPHIPLIARPTAGPPPFIDYNPNSPPAAFPTLDKPRPIEMPPVNNETASSGSCENEFQ SEPWSDMESSEDDDSGEDADHSVDVEDYKYLHAPTHVEWKDLNPAIQMEIIQNLTQVY TWPRVVYMLELTPQQQEEAIQSPARRQKQVEDEAQQLHEMQVKQLRALLRIDNSVLRK SRVPGQLVFRNISKQYFREAKNRATVDHFMIKASDLLAARRFLRRLGIDTKYAGEWKN DLATINQAHEENGEDEFKWILSTEETEQSSSTPSIQLGSSSPSLQPHNAGANSANGQD RCFVNRVGNPGAGTFRVPVREELNEPMHSTPLNAAQQILSRRKSAKKPDRKTPNRPMN INPASSIVRLNIGPEGAAQIRNVMHTTSSLSPQSSQNLPSSPPIPLASTTNHPPSSSL WMSQTTDSRKRPHSETAITPGSFVDEDDLPLQRVLSGPWWYNSGQVISRAGITSSQTL HERLYAARAETEIQRQSFARPIGRLPPVPQVNLPIRNSTPLNNRQATPMPYSDDTIAQ TPPPGYSSPVPQRHVLPNKNFKSLLIDMPGDNVPTRMTSASSDNSEHGPPYSPISPVM GTFPVAQVQAAGGGQTQDNNLRKVRTLQESAIEDGQHGATSSILDDGVSSNTGPVGTP ASLPTEAKSSRPSSVEFSHTSIQTAGEDPLLEMKFPESMENTASKAETSSNISIATTF AVCCDIPPIESMKKNECNSHLPIHSPPDTNGTGKENEFPGKSKPAKKKAKLAPTDRRR SSRLNKPATTKETRARAAASVTKKRLGN TRV_06171 MATFKQEENKENIMEVDIDKKSEEEEDDDMEGLGMKAKALTKLL QTSSVFVAIMADKMKEQQKKQQEQAKRQATKEKNLAEKQQAVTASTSKRSTRASERKA PADSSKGAQNTQEKKSSSMKLPTRGTSGKATKASKGDITSYFKQAKVEVAEDKPTVQE ALAQAADEFEAKPSALGEQEDLTATQQPSLITGGKMRKYQLEGLEWMKSLWMNGLCGI LADEMGLGKTVQAISLIAFFKEHNIPGPFLIAAPLSTVSNWVNEFARWTPSINTVLYH GTKEQRSEIRRKQMKNQDQRAPDFPVVCTSYEICMNDRKFLANYQWKYIIVDEGHRLK NMNCKLIKELLTYPSANRLLITGTPLQNNVAELWSLLHFLLPEIFNDLDNFQSWFDFS SVLDDSDQNAVNERRRRNLVSTMHAILKPFLLRRVKTDVESSLPKKREYILYAPLTPE QKELYVQIVNGTSRQYLEEKAAERIEARNGSAKQSRARSLKRGASGSELSTPNKSAKS SRDSTPTRARRRGRSKNYKEESDRDFNSKLARLEKGIQEEAPEESELSEEEMDEIERA KTMKLASTYTCYFDLRTMVHSNLPTIEKEISSKKLQNPVMQARLVCNSPLNFYWPWGE DSRVDSTLITSSGKMLLLDRLVPCLISKGHKILIFSQFKGQLDILEDWATQLRSWNCC RIDGAIAQADRQEQINAFNNDPDYRIFLLSTRAGGQGINLTAADTVILFDSDWNPQQD LQAQDRAHRIGQTKPVIVYRLATRGTIEQTLLERAGSKRRLEKLVIQKGKFKSLLDST SSSNPQRQADELKKIFGDEDIEVFDIGAETTSEALLSEKDLEILTDRSDEAYSDQRMN ESGRAFAAVKANTDADEIMADITSK TRV_06172 MGEKKIEQWEVERYWEIFSSLSNGQPRLNNAQAATVLRNSRLRD DQLERVWDLADVDGDGELDFEEFCVAMRLIFDLVNGEYNDVPPALPDWLVPESKAHLV QASRALTGSQPQFERVEDEDDTPGLKDGFDWYMAPQDKAKYEEIYSANKNHRGEIAFS SLQDLYDSLSVPDTDIRSAWNLVNPSASSTISKDAALAFLHILNNRHEGYRIPRNVPA SLRASFESNKIDYQLDNVRTAKKWGVSSNDDTSTGRKAKFGDAYLSRLGVGGKSSYQP QGTDFSNTIQDEEWEKVRLRRELAELDKKLEAANAAVEARKNGTRARTDGPNWALIKK EALQMLEYKERELRELREGTGRVSEGESLERIRADVKAVEEQVEGLKAHLAKRNEVLS DLRDQVQEAKHSR TRV_06173 GRATWMAIYLSTGRAPAAAGWLAGSLALLAGAACWAALRESEGC FLVSSSSRQGAKPGKRAGNSTLVNSLIMEWRRKDEQATKAAGDDESDDSKGLLTPQLF VRSRWQSTRQAQQREKPAQADGQRDSDESHDASLSDPDLDGPHAHLLQEARRCGAGQA AQLPRPRYEQGDGDLGQVGDGREGLAEDAGHLRPPGAAADPLRGMGAQERAAAELTAG DGRAAGAQARRAAVPQECDQVEQGDGPAAAAGHGETAAAPQAHVVEHRRCALDGSVCA GTGYLIYPFSSSATAAGRTGEADTALGGSKHLEFLLKENLIRPRSLTDLENVYLRHYP RKDGVEDTTADEKTAPDCDDGNCGKEVMLLKDTDGKALAKMLDAPELVAEVERAVHQV QQQLDQKKQP TRV_06142 MATMAAAPLNPRRFPVSGFTELDPAVPIEEELLPDYIAEMYYPV RIGEVLNGRYQVVCKLGYGTTSTAWLARDLRNADDGFTYVALKIYVNRYIKRDETAIY DRIHAASNVERHPGCRFVRKLLTSFDIQGPHGKHLCVVHQALGMSMDQLLRCFPRRSI PMDSMKRCLRQFLITLDFLHTEAGIIHTG TRV_06143 MNTLGTKAFSTSAYRSITFRGSGFEAMLHQNGLDGGHWQSMVMR ITDDIEKAIIPRGGRAHKSSNDPKDPFPVITVGLLTTENSKDRIGSIHVHFDGTFKFF PSRKGDFGKYMEQIRKAGIPGFIDTTPEETEAEAAKSATGQGKGPEQ TRV_06144 MGNRFIDYLRGPEGRPGESRLLRKLDFFILSFCCLMYFANYLDR SNLANAYVSGMKEDLDFHGKQFNLINTIFTVGYVLGQVPSNLALYRLKPRYFLPGMMV IWGGLTMATAGVHNPQGIMVIRFFLGLAESSTFVGTHYILGSWYTERELGKRSGIFTA SGLAGTMFGGFIQTGINSSLHMKGGLPGWRWLFIIDGLITIPIAVYGFFLFPDTPRTT RAPYLTAADKELAVARQPSPSDDDAEHTKINFALAKRVFSTWHLYGFVILWVIAGETE SFSTNSLLALYMKSHPTIKYTVAQNNNYPSGIPAVGIVSTLIWATLTDFLGGKRYLVG YWIGITGVVTSAMILAPGSSTATIFAAYYWAGSVYACQATFFAWANDVMRYKDDAWRS VVIAGMNMGSNVINAWWSIVFYPASDAPYFRVRLNIPASLFPGYFRTNQMQSGMYAMI ACSIAMAIWTGFVMYMSWREGKQMVDTQQTVEKQDSQCKDS TRV_06145 MSSPSPGEEAGRLTDMASNGLDDIFGSSPPRTAAETTAASAADE DGDMLGSRAGENTVPSLAESSDLPSLRRQHVTAGYRDGVTAAKGEHVQRGFDKGYPVG AELGVRVGIVLGVLEGLVKALSSGGGGGEEEEKAEDKDSRAASRARVTALFETAKREL VLERIFSLEEQGTVKEVERGEEGEGEDHGDPYSRLGQAGDTAVTRWEDRVRILLAELR TRV_06146 MSAAQRRLADQPSFFAAFCVCWLRFDQPPPAPTPASRRCRRQTS FVRLLILLPLLLLLLLLLLFFPVFRRPFLFSVRRPDLGPLSAGVDLQHPLQPAAAATS TTPSTPAAPEDTRERKPRSRSSRDQLIFLPARDAARLAGFHVDSTISTSARPSRQRQR RHQRRAALLKTAGQSPSPDQKHPHTQQTQQRPTPRRQLTLDSIEQRLEDTQKEGPRQD SRQGARQGSTRQGTASSYWPAQSIRVSPSTTSAILWVLEEAIRTPYPFTADPAELNAS MSELFETAPADVANGRSSQHHHHNNGVAVAAGRNGMVPAPSTTGATHAPSGVRTPTDI MRRRRERERAQLKAEQEAKLREEQLQKQAQLQLQAQAQVHQSASIITVSQDSGQRQSG RQDTVRIVADDTQKPARQRPNTSQAAKMASGSQQQQQQQQQQQLPDSSSKPQASQSAP GGQQEPQHQQQQQQPSRGKAAFPHAFERWEMLSSHWEGLTSYWIRRLEQNNEELSKDP LSQQMSRQVTDLSAAGANLFHAVVELQRLRASSERKFQRWFFDTRAEQERAQEVRAEL ERMLSSERQARADAIAALKQAESDKAKAQELAREVRRELQISRDEARRAWEELGRREQ EERDRTISLRNGEPTIVGGVQVVPMLQSVPSRHTSTANRPPTREGPYPGGPSATSMGG QSQQRPLLETTESTGYDDDGHDADPFIEAGNPPVVPEAPQPPRQTESGRGHFYQHNRQ AIHGGAQAGVGDVLSEDGRAPTTTVGGAASLTAPIAVTNGGTQHVSDGRQLSYPRAMS DDSDDYTTEPFDNYVTYGSGPHGSPGAGTSSADDSHIGYPAPVDYSGSGWGVGGSGWD SVTPRHRHPTRLSDVLEEDERSRTSPSRASQTSRNMQ TRV_06147 MIVYFQYEKARLERERIVEMSKGVGKPRVGGPFVLKDLNGETFT EENLKGKYSFVYFGFTHCPDICPDELDKMAEIIDEVRARSNGQEVMRPVFITCDPARD SPEVLRGYLNEFHKDIIGLTGTYEQVKDVCRQYRVYFSTPQNIKPGEDYLVDHSIYFY LMDPEGDFVECIGRQDTPQTASKVILDHIGDWKRAGKPLDVTPSRK TRV_06148 MVDEEQHEQTPAHLNPANFPQRLAHPEANIRVELTYSPLAPSEY TAHVRSPHAGANILFLGTTRSSFADRPVARLAYSSYAPMALETMMAIARESTAKHGLT GVSIAHRLGEVAVGEESIVVAVSAAHRGPAWRAAEEILEKCKEKVEIWKREDFADDSS SAEWRANRDRDADGKPAQ TRV_06149 MTKHTSSLETMTAAWLLPIVAPVVAAASGGVVADSLQNDTHALI TILVCYVMWGSAVPLAMVILVIYFQRLAIHKLVPRAAIVSALLPIGPLGQGGFGLMQL GVVAKRVFPRLDFLAPIAGDIFYVMGAFIAMIMWGFGLIWLWFALASFTRGKFYFNIG WWAFTFPLGVFTTATTQMGKEFNSPFFDILGTFFSIVVTCMWVLVFALTVYKSCTKEL FR TRV_06150 MAVDKTPATSPIITAGSSSSSSSSDVLKPRSPLDDHRLSFNHTK DLHTHLSKQASHESSSGRQDRGRSRSRESERTVAPDNDELQVTAMPSGSGFQNIEEAG EKARKRDDWIAISNFHPGWFSVNMGTGITAILLQNLPYQFPGLHYIAVILFILNVIIF FLFLTISITRYCLWPDKFKAMLAHPAHSMLLGTFPMGFATIINCIVFICVPVWGEWAS RFAWGLWWIDAAVSVAICYFVPFML TRV_06151 MEGTILRGRKSGRHQRATGKDEEGREGLRAKQAGWPEQQPEKQP EMTADRRENMTQVDSPGDGGGGGAAANDDDDDDADDVTNRTGKDGGEDVGFWAGLQGE GRAG TRV_06095 MVPMGPPRNILVLGGGIAGIAAALALSRELSQKVPDLKITIFEL HNVPSTSGGAINLNPAAQRHLDHLGVLEELDKMGADGGVEVKAVELFSIHSGRRLGRV DFAGKDGKGYGGYKARRVMRISLHLAMLAAAEKTCNIEVKFGKKVIDGIESGETVTIF FEDGSSAVGDLALGCDGVHSPTRMRLVDPSTLSEYTGVCFIQTTIKADRITAPIHFET TAMNRARQGGLLTTYSHSTKEDIFVAALAEVDAAEISGDSGPRSRNPNRQHRRTTSER LRDEICGRFGDCAIPCVREIIEKSTNWALYPVYHLPPDRKWHTERTLLLGDAAHAMPP RDESAAYALDDAILFSRILSLYYHQPVKDSFDIYESMRRSSVTKAYNASNATWMGSKS NSGRWASRIEACLTPWQMYRDKKARIGAWEFDASTIPIPPPP TRV_06096 MGQLKQLAGILALASPAIAAFSVYALHDPDALKASLGVTSECLS ALNYTVECDGPSAVRATKNSDNDLAWSMDDLTTLCTDGCSKSLSTWLDAVEQKCEDEE VTINGLVVDPKAFPMKYISGYDLACLRDSWDKKQPDACSGENPPADCDKKGSEEDVDT LYVTNSYDKELYCSECFMLLWRQRIESPVFPQGNLLDHFTKQFSKLEAACSTKLPLIT PAPTVVLGAKDTLPPATGYHTDGSTVFRYASPPAPTITTEEPIAKRTAAPRAMKTFYT PVKCPKLANLS TRV_06097 MERIKRMAQEELRNFLALAKDGLRSGAYIYPFQGIAYFLSHPSL YKPMLSKLAPTLSLTLAVTGAMFFFTYIPQAAILALTSGPLIAPFSAALLVLSESSTI ISYLAKSGYVFDQDTLLNLFDGTLLSCGNTQLVSKDRKLKSASDPMARLGHFIKKGGG SLMNAMSLSNMFRSLLYLPLNFIPVVGTLMYIGAQGTRIGPMLHARYFYLKGWGAMEH DQWLERNRGAYASFGAAAFVLEMVPFASLFFTYTNTVGAALWAARLEKTFATNPGLRG ATEMKAM TRV_06098 MRPPQLILLLVCLVFIPLFFTTLVRQSASPENAATSEYRRSYSS KAGRLRDLFFHAPSSLFPPSALISLTDDNSTFFLARPAAFGPPLPSSGLDGQLWIGSG FGDDVSKKGGITTKAEGELGCSDVPGWQEGDRTAQSGSGGRLGTDKATSQAKPGIGVS NDPTINIQKGASTVLPEDDRKNSGSPTKNDGTDDHLHHSLGDSLSASLNDAKAKNKQP THADIQSLQETAEISGKVVLLSRGGCGFLEKTKWVQRRGGIALIVGDNESGGGLVTMY AHGDTSNVTIPAVFTSHTTAHLLSSLIPADKGKGPSSPGNKSKAPNSGKQNTKTSGTS GKTNIVAPSSKSTKSTQSKPKDTPKPDRGFFSSLFNFWNDKSVHEDSRRPPSSGNIDW ISQSRKPDPERPFRSGQDSNRQDKEKGTAPVQAKPDPHQNREDDFLIGVQDWRDPDLI VKPTTTPVSKPQPTSQSGNSNSKDGSGSDTKGFKGGSITPGSGEYAHPDKSTEGKGGT NGSHKQSSHLRSGPGNNGKKGHWWMRLFGWGEPSDDKNIAFANGHKDFHNGRGSHNNH GHHGDKEPEKHEGLWVTLAPTSMSTSPFFDTLLVLVVSPLITLTVVYALLLLRSRIRR RRWRAPKAIVDQLPVRTYHTITSGSNSSTPPRTAVPDSASPSSPLLARSDSRAHFSTN AGAAASSSLPNMTDFSAGAEKSSAGSTLWRRKYTGRQVECVVCLEEYVDGQSKVMSLP CGHEFHAECISLDPSPSFDQARAVEDHSGFPSSAIPIPEHENADSELERGALLGDALN ASHRSTWRGLTSLSLSALSGDSMWHQVRHTRTQRNR TRV_06099 MAAIAYGCYWHSIKTGWQSIPFNTLSCIRLDLSLYLLSFFHLQA ASLLLSWSHLLLIFIFPRHVTRMSLLSILGKEKKKMMMARALSCPLLVFSSASLSSSS PVMPFVNFTP TRV_06100 MNPHRLGQSSGNREPEGANTIPFPPFPYDMPEEVETFNSRPTQL QGPPPQYTGSYGRLAGYPGEAAKYASVIRDKLGADPLVTHTHTHHYSYMENTFRPQPP ITYDAASRLALANPATNNSYVHSQPTAQAESFAAGAATSPEGFSHYPQQERGRPFYRG APSFRLRSQGRERSQPAYQHSSRISRELRETGHLTSTRPSSLATLAALTTKPNLTTTQ GSASFGVENTTEMEYYYHNHGIPGTRSNSRVVTAINPALTAHPLQNMAAMETGGNHGH AVELPAHFNPHLHSPHRARHIGQHSFSVDPGSSHYTPPAFAPPSMPRSIPQVNFARHT KNATLSAPATSVATPTSKTRPTTPTGPFIPGPDNISPSKQEEKLHAQKTMMERLGDTS IANALDIDFSRLTINQADHYLDLIGAPSRPKPESAPVRNVTAGEGELVAPKLAPQSLA PEKSMTASLSPHDHQLPQRSLPSPSSQNYSNDLERGTALAARYNIRGLAITRLAMFRQ EREAAMAQEREASPQVAAGSRAPSPTSTKVNLSATPCPSEGRNNTATQQPGIRRSFPP PGLTRPRFTNYAGDPVGIYRPVPWTVDTPEEAERKAKKAETATTSEAGKQPPVLPPAN TLQQSPIGSKRRSILLAKERNSRVSETLKWYRADYRWNEGLRERLDTIIKENLQTRDN GQEPVAIPKELYESAEQNTVLLAQAIVNLQSYLEGNPKEQSRNFAQYGPAPDECCEPS KDGNFSLFDIQPGPFRGSETRN TRV_06101 MTQEVERTPGSSQSKTVRVSFEATADPQASNLGIQPSTSSNGGH HRSATSGAVQFPSSSRSIDDAGQDSHSSANESRPRSRPNINGNRRKPALIRAKSEHWH DLGPDVRALGGEEEDVQLRHGWQEEYTSSEYLKVLNSFLVLTMAALQNFYMYFTEKRH DTNGIPKQPDEVWRSQDWRMRDRLKTVSAALAICLNIGVDPPDVVKTNPSAKLECWVD PTSTTGAQNKVMEQIGKKLQEQYETLSLRTRYKQYLDPSVEETKKFCISLRRNAKDER VLFHYNGHGVPLPTPSGEIWVFNKNYTQYIPVSLYDLQTWLAGPSLFVFDVSHAGNIV QNFHAFLEKHEKENAEARKRDPIASLQNYGDCILLAACEKNETLPTNPDLPADLFTCC LTTPIEIALRYFVLQNPLQTSLCVDEFRVPGRLQDRRSPLGELNWIFTAITDTIAWNT LPRPLFKKLFRQDLMVAALFRNFLLSERIMRTHECHPISSPKLPITHTHPLWQSWDLA VEMVLAQLPALIEQEEGTRHYEYQHSPFFAEQLTAFEVYLSSGPTEKNPPDQLPIVLQ VLLSQAHRLRALILLSGFLDLGPWAVHLALSIGIFPYVVKLLQSAAQELKPVMVFIWA RIMAVDHTVQNDLLKDNGIHYFITILHPNSPIPVANVSDHRAMCAFIVAIFCKQYPQG QHVCLSPELIEACLTHLLDTENPLLRQWSCLCLSMLWNDFPEAKWMGIRASAPPKLCE LAADPVPEVRAAMLHALTSFIGIPDLTDQVAQIEEYLAMAVLPMGSDGSVLVRKEFLV FVSTFVKRYQNKFIVAAYEQLLEEKQVLTTQPTGSPLMSPPQPSENGVSQGTVIGSIW KLVLILSVDPHPDVAQDGGIIVDYVHKTLLESPLGGLARKAREDILELYTRAQSKKTQ QQESIDNARPKTPPSQQAPKPEGYLSLSLRRTASVAASLKNMAFGSSSNSPQPPGSPN STTFAKPQVPKGRVTVTPRGRAPAEWTRPPEVNDQRASARSYQQAPTPSSRGFKLRDV KEEPVIPLVSQFLDWSTEYFREPQMKPNEPDEPGSSDYNERLWRRGRNERIIVETQPM KTRAGSSRWDNSVSLINNSTQPMKMCFHQFEDHLAVADDRDTICIWDWQSNTYLNRFS NGNPLGSKINEVRFINEDDQALLMTGSSDGVLKLFRSYESSKNVKVLTAFRALPELIP SNKNAGLVLEWQQGQGKALVAGDVKVIRYGYTLQPIVHVITNSQIQDISARSGSCITS LTSDQVAGNIFVAGFGDGAVRVFDQRLKPTTAMVKVWREHKQWITNVHMQRGGVRELV SGSRNGEVRLWDLRMDHSIESTQATKDTLRTLGVHEHAPVFTMYVCPYLINPFGAKLT CCLLAEPIAVKSRHSMLTALIYLPSNLIAAFCTIPDPPQSSALYSILIKCSWLARL TRV_06102 MSCCENSPSSSSETKQSPSPGQKEDSQPQGVLASLSPVLFALLH SSCCWLPVVLDFLSIGTAHNLTTRDTRAAIEGKDLYTEPEYKIPTDTLANMSFCVVRP TRLPWTRQLPRDTSFSHKFRSAIPASLTMIRFAHHCAKSQKSDEPILSLGFWSSRPTW KRAGVNTFRCLIGCSLGDFSAMWFLQSCYPGIGTGLIMGIASLHMPMLTYRAVASGLT SSMLLETVLLRLGRDQLSWKAAAKTAAGMSLVSMLGMETVQNLVDYHLTSGVVAFDDP SFWLAAAASAGAGFLAPLPYNYTRLRKYGKASLTSPVSSPPSMRLEWLAI TRV_06103 MSFFFKSSKKNQHQNQSQTQSHALNHNQPAPSGAATRNIHTSEG TGSVPTSSLSSSLNGIREIEKDVEPVQQFGPSTGPIPAPGQVNSSSAGPVQLPNAPSA ANHALYPWSQRRMNFPSPQLTPFPRYGAAINAIASAEGDIYLMGGLVDGSTVKGDLWM IENSGNNSTCFPISPVTEGPGPRVGHASLLVGNALIVYGGDTKIHDNDTLDDTLYFLN TCEYNEAALNMFMDPSRQWSCAASPGPRPPGRYGHSLNLLGSKIYVFGGQVEGFFFND LLAFDLNAMNNPGNKWEFLLRNSHDDGPPVGQVPPARTNHTMVTFNDKLYLRHANENN RFGGTNGVQWFNDVWAYDPRGNSWTQIDYVGFTPTPREGHAATLVGDVMYVFGGRTEE GVDLGDLIAFRISIRRWYSFHNMGPAPSPRSGHSMTTLGKNIIVLAGEPSSAPRDPME LGLVYVLDTTKIRYPNDQPTSPTGERPPPRRVAQNERTGGQSGRTSREAQHVIPDAQR RGPPGPARDPNGSPAGGSRLPRASIAQTPAGPPPSGQAPHPRTNAIPSQAKKQQQQQH PHQQQSQQQTQQHPHQQMQQQTQQHQQQPSSGKPDRSISSSSDHSRPSEKDRQHSRDT SVHGSNRGTRESSPMTAATRQQHSSSRLSARAMEAGEAAPMVTPARQRSLRQQRQQNS IDSVDDSILASENRAYRNSRSLADEPRSPRLTAHQEALIKELETMKTKNAWYVSELAL ARKAGYTPSSTPGTFEERSVDAFNEGDRPLVEMLLAMKTELAKMQANVDRQASIASKR VAEVEHQRDIALNEAAYARARLAAHGGGGGSQGGTPMSEMSNRDLDESNSQRTTEITR RLALSLTVQNELKAKVESLSLELQEEKRAKELAEELHEMTNKRLTELELQNNPLELES MRVELHQIQSSYREEAAARSEAEAALKMLQVDYAELAEKHEDISSRMENHGLNVVSLR DAVQASVAKAELMERKLEEERQHRDTVERKLLQLRAEHEERTNELENTARRLKEAEEL ADTHAKEAESHKIALLSGFDRVVSRGSDKGNSLADQRVAVLQSQVERANELVKTSQLA ADSAAEKLRRAEERIAGLEAYQEQSSREGLQLRRQLQAALKENQTLSIENRDVKAQLE NQQRDTNALAIQHGALKDLLGERGVNMSDSRRSPLLDSPGSRYGTPEQNRLRELEQQL QSSLKAHEETKSSFEYREQEVGRAYNEKLEQLENDYQSAVHYVKGTEKMLKRMKEELA KYKSQTAKLQAELAEVSNNPEAGASREAPAPAEWEAERDTLHQSITDLQSSTAASISS LENKLLAVQAELASVQKKYDESRNEQEALQAELSSTTEKGMRDLEQLKKENLLLESRA MDAEKKVSMLLDQVESSVTNYRRQSQQVANSMNSNGANLSRNASNASSAANANHTNRS RADSNVSQDDTLLNHRGSLALDSLANELDALRSHWETANRSYRISTQFDFDQTPTKET YGEGLSDSLANWRRRLDEEEARADEPSSSQHQQSSTIPTSQAAQPIAAGNIL TRV_06104 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVIDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAVYLNYTRSLRFDDKPDYSYLRKIF RDLFVRESFQYDYVFDWTVYKYQKNAQAIAQATGNAGNGQEEDERPRRIANANVASGN PAIQNKPTVMAPRRKVMDRGAYENPDANRAIGGSDRIGPFDEDAWAGLHGEGQSLFLL VFSIENLTNVIVFGARLRSASKGGSNLMGIGGYHSSPYHRSSKRDDGNNGTQW TRV_06105 MCEETRETESQKVKDDAQDDRLDFDIGSLFSFLFPSASASSSIN ITLAFSSLLFSVSQSPGVSSTKKGLAFFFPTCTSLRTVRRKKEDGESEISCPGKPPLP LMVTTIHS TRV_06106 MEYPYFSSAPQQAYPYYGQQPPQDPSQEQFVSLVPGPDQQQQQF GPSFQQFEQYQFSHPPLQGQINGIPSAHYPRQSVEAVTSGPSIPTALGREIKQDSIDS NAFPQSIPNENGEDGQQDRDPRSSSEEKDSNMTPAQSRRKAQNRAAQRAFRERKERRV RDLEQELTEYKQNFSNLLEDNEVLKRQMAKVATENEILRATSKVAHCHSPAHEPEPTT TGPMIYSPKDYSSTASTVSGGSGPSLEREKHAKPIHPIRVCEVTGERLLDASATWDLI VSHLSDSGAKLDVQDIYDRLKGRAQCDGTGPVIGESQVIKAIQDSIAAGNDELI TRV_06107 MDMPVNVPIDDPNADTEWNDILRKHGIIPEKPPSPTPIIEEAIE KARQLEHENRLEDKTLDELDALEDEEDEEFLEQYRPLTECAGRKKRFQELSRIQQTSI HNQVYPLQKPDYAKDVTEASSKYFVLVHLTSSLGNNIESRILTDIWRQLAAKYGDIKF CEMRADLCIEGYPERNTPTILVYKDGDIKKQIITLRELNGTRTRAEDVEKVLVELGAL DEHDSRLKNASSTPKPAEKDEDDYDDWD TRV_06108 MSYMKKDEDADQMMMKLDRTSVFQDARLFNSSPISPRRCRTLLT KLAVLMFTGERFPTDEATTLFFGISKLFQNKDPSLRQMVYLILKELSNTAQDVIMSTS IIMKDTSVGSDVLYRANAIRALCRIIDGIERLIKTAIVDKTPSVSSAALVSAYHLLPI AKDIVRRWQSETQEAASSGKQSGGLLSFTSSTQRHTMSQTSYMTQYHAIGLLCQMRAH DRMAMVKMVQQYGSGVVKSPPAIVLLVRLAAKLADEDQSLRKPMMQMLESWLRGKHEM VIFEAAKAISEMKDVTDAEAAQAVNVLQLYLSSPRTTSKFAAIRLLHTFASFKPHVVN ACNQDIESLISNSNRSIATFAITTLLKTGNEASVDRLMSQISGFMSDITDEFKITIVE AIRTLCLKFPSKQAGMLSFISGILRDEGGYEFKKSVVESMFDLIKFVPGSKEEALSHL CEFIEDCEFTKLAVRILHLLGVEGPKTPNPTKYIRYIYNRVVLENSTVRAAAVTALAK FGVGQKDPELRRSVIVLLKRCLDDTDDEVRDRAALNLRLIDDSEIAERFIANDTMYSL STFEHQLVMYVTATDKETFATAFDLSKIPVVSQEQALAEERTKKLTSATPTLKAPTSG PSKAKQNGAADGAAAAQATTQKFAEELAQIPELKEYGTLLKSSSPIELTERETEYVVT AIKHIFKDHIVVQYDIKNTLPDTVLEDVSVVATPSEEEESLEEDFIVPAPKLVPNEPG LVYVAFKKAGGEHDYPITSFTNVLKFTSKEIDPTTGEPEETGYEDEYQVEDLELTGSD YVVPAFAGNFDHLWEQTGANGEEASETLQLSNMKGISDATEQLIATLSLQPLEGTDVV LNNSTHSLKLYGKTVSGGKVTGLVRLAYSAKSGVTTKITIRAEEEGVAAAIITSVA TRV_06109 MAKAPQTKGAFLPTEIVITILSFASDEDTVNENWQSTLFSCCLV CQQWYSAAIPFLYSKPVISARNYDSFAGTLDVRGKARHNIQEPLGNFVKHLDLGGLAH SGSKSVTARLLRACKEELEVFVAPASTFSLTPMSKCLNLRIVDLSVLMTIVPFLSIPH AVRNLKHLETLRFPPEMSHKGLPKVNDPHNERSPPLYQWPPKLKRLQLWRVHDSIILG NFIWPETVTAVTIIESNHYPFNTILQTLSTDGACHRLQRVRILSSRQTDEVSTLAPLC FQMEKLKFLSLQGCGCDPGFYRRIITMSPEMAPLEILEISPLPLEHKLRVELVRDLKA VCAVAFPRVRLLGIAASCIDYVSEWFELEMDKVLMENARNSGHYDKEKLENGRIQTGV YYF TRV_06110 MSEEERIAQLSDRLGIPLDPELIIQSHTPYTQLVRGKHDQEPLE NKTVLVVGGDGDKCRDVAKQYGFKSMLTPGDIFMAHPSIWPFSSAFSHYYEGITRPLT NSIDPIDPSKGLKIDAILVFNDPRDWALDIQIIIDLLLSREGIVGTISDKNNRDDLPN RGYQQDGQPALYFSNPDLLWAAGYHQPRLGQGGFCAALEGVWSAMTGGSESGVTLLKT MIGKPHKLTYDFAEQRLIELRNKRFQTDVPAPLETIYMIGDNPESDIRGAHSYISSTD VNWVPVLVKSGVYTGGTPTWTPKTIVDGVGEAVSWSLAQSNWKQHLSDK TRV_06111 MDKPTESTLPLHTKEEGDKQPDAEKPAAQAEGEAAGPSKNALKK AAKEKAKAEKAAQRAAQQQAAAQASQAEDTATHLYGKMPDSAEVEDVMNLMKLSDEHY EKEITVIARVDNARVQSAKLAFLMLRQQGKKIQAVIALKEPLSRQMIKWTGQLNVNSI VQVSGVVKKPEIPVHSASLPNMELHITKIYMISEAIPMLPMQVKDAERPPPESTEEGL VDTDGTPLVTLKTRLDNRILDLQTETSQAIMAISSGVAQLFSEYMLKSGSRWIFTSKI TGAATEGGSGVFEISYFKRKAYLSQSPQLGKQMCIAGDMMSVFEIGPVFRAEDSNTHR HLTEFIGLDFERTFQKHYHEVLDFAENLLVFILSELKVRFKDEIAVVQKSYPKAGEFK LPKDGKALRLKYMEALGFLKEVGVDVSEQERFENDFTTAMEKQLGRIIREKYDTDFYV VDKFPSAVRPFYTKSCPDDPTFSNSYDFFMRGEEIMSGAQRINDAKELEEAMVARGVE PNQEGFEDYINAFRQGCAPHAGGGLGLNRIVQFFLGLPNIRLATLFPRDPQRLRP TRV_06112 MAEKRKLSPSDGSPSTKRIRPAIVTEDDISEDESPSRPQYQQPR SDPVFGQRHAFPGLDDVSHDGELFYGPADDGIEYLRMVRSEARNLPVFFVAKEKLDPQ INKDGRHPTSADASSSGTPQETEQKWIYSDGVCAAVSNTVPESQLGAVNSAPEDPQEQ YYILLRHRFLLLRSTLKCVPPAKLIAALDNSHPISLPADNPRARTEWTTLVKTVEPQM VQLACMDLDSVLRVLRIVTRSLSEAAKSPSCSWTTRIAAWAWGLLGRCRDVGEMSSEE VGELRDLGKRAGKILSKIREKESQVMLSSGVEGKSIADRQSSDWDDGDEAEDMQEEAG EDITVQEQKDDPIRDEAKDMSADAEDESETLELAKKRLQGMLSSSYAEVSESEQAAPS TANELDTNKGHQLPKSEWKYNARAMLDMILTIVGEFYGQRDLLELRDIWDE TRV_06113 MASSPELSIPSTSISDTPKPYTIYNISIRLPLRSQTVEKRYSDF LKLHESLISQVEKPPPAPLPKKSWFSGTTSNAKFREERRKGLEEYIQAINGSEDSRWR NSTAWRSFLNLPPNNASARASNLHSAIAGPGAAGAPITDPTTWLDCHRDVKTHLHDAR LHLTRRDQSSTPQKQHECSASAKSSLVRTGTLLGALEEGLKNISGNSAWSGSKLGEGE IRRRKDLLTSARKEKDALEDLLNSMAAKNKLDSAVASIPDKQALLGIDAATGSRKSAV KGGRVLGKETNRTRELDNEGVLQLQKQIIGEQDTGVEEIRKIIARQKELGIAINNELE LQLELLNVVDEDAGKLKSKIDIAQKRTKKIS TRV_06114 MWTKSKDNSYKNISIFKEIGQYVFVKPRGLDGSNTSLRALMPDL KELRSLIKPSNTDNGDAISSIILAIDMITKYCKKLKYKRKIVLVTNGKGPMDLDGMDT IAGKIREEGIELVILGVDFDDLDFGVKEEDKDAVKAENESSLQKLCDVCDGVYGTLEQ AISELDTPRVKVVRGIPSFKGDFKLGDPDKYDSALTIQVERYFRTYAARPPPASSFVL SGAPPEVQETGDSSANLKDARTDTGKSISNELTSVRNARSYQVTDSGVVGGKRDVERD ALAKGYEYGRTAVHITDSDENITKLETKAALEIVGFIPIENVCFIIKSILIIYKLPFT DYVLIRTNNKAIIALSSIINALHEVESYAVGRLVTKDGKSPTLVLLAPSIDPDYECLL EVQLPFAEDVRCYRFPPLDRVTTMSGKVVKEHRNLPNENLLAAMEKYVENMELVQPGE EGVDQAIRWRAIHPTKPLPPIPKVLQKQSRQPDELMEQSKSALEQLIKASDVKKVPPK VKGRKRNRITDKPLSGLDVDALLQGEKRQRISPENAIPEFKQALANTDDINTVKKSVK QMCAIIENQIKHSLGDANYDRVVEYIGTMRDELISFEEPDLYNDFIRELKRKLLDDKL GEDRRELWWLIRKKRIGLIDDKLVEISKVTEQEAKEVCIYPIPTRIFAVTN TRV_06115 MPERSSMLAPAHILRTLPRPQFSTLQSSIFPVYFGLQTAVPLVL ALTYPGEKVAGRFGTSGLSGVSSESNRWNTLVPIMTTFLGGITNLLVIQPATAKVMRQ RKEQESIDGKKYTDAGPHSKEMMKLNKSFGKLHQLSAVVNLAALAATIYYGVVLAERL N TRV_06116 MEPTIIFGLFTLFTIYGLWYPIPLTMINPRFPLPARIAGTIQLT ATTNSAVSMFRNVMCPESNPRPSSNESLPNNIIPLINDQLQEFLDKWDYKLEKHITEN AERPISTVTSYSTPTPTLQAMMANIIEPQSYPAPLDYNSWVGYTFYQFAEKEVRRNPN MYTLAAIFILVNYWLVRSVRRPEVREELEAVKEVPIDKIKELRGELIAHNARLHLVEV KVEGLTLADDQRRFSRTASRQIPQVGESEQASAEAQTSPGILPASQPGKPEFDSLQEQ LTEMRQDLVSLKSNSETRSEHLSENIASVMSTIKELQKESESTSRTRIVNDAVSVKKS VFEDLKSELTPLSDRISDIEAYSSSSRGELGRFAIQVSQIMESINVLRREFEKVKRKL PADLASIKTEMSREHKLEFDAVEKQIIELRRTACLSRDELDDHLSQRVSSIMGIINEA KEDPASGAPIDSVKKEMASLKSSLKVVEQAVKEIGDETKNQDVHHLRVSLEALQAEST RSLQELSSRLDMAMQDIQVESWRVKHQQFDQWIAMVESKLQGLEHRALTESNLLPVLS QLEALNTKVDLNDSLFKRVEDECATKFEQKSLYADVKHLEGRVNQLESIPQKDPSSTM KEEHIIKLRDLGKSCVDLRSEIGEIKDTLTTLISDEIPKHRSETLDKLTESVRLSTSQ IEKDIEARYAHKEDVLKCLNSLQLDGKKPIDLQRLKKDLSEIENYAYATQNNLNLAVE RITDNEQHIETLREGNATNYKDIRRCLDKLGISGTIVPLSAPIKPKPVPGESKPPVHI DLSVGNKFNSQVSSSSLDRGDKNISRFDPRYKGDDKPVGSDIESNLSKRSKSLKKTGQ DKNISRYDPRYQGSDKFRKSFPEPGSSEASKAEGKLSPTPDSFVERPKSSGDRSRASR ASVAQSESAGKEKTEVEMTTAKEKPEEGEDAIKSLVEITSLQEQQSEREEKDVDSMSL SDDNGDDAPDPSAEPVSSKSPEVAATTESEDKMEM TRV_06117 MVSKILCVAEKPAIAKGQTNIVLYKSGIEGNQYVKNYEFDFTFG PPWGSCSVVMTSVLGHLTASDFEPRYRNWNSCNPSQLFAANVIIAVDKEKLGIARNIK RQARDSRALFIWTDCDREGEHIGSEVRDQAVLGNPSIEIKRARFSNTERTHVLEAARR PTGLDERQVDAVAARIELDLRIGAAFTRLQTLQLQTLGGALAEKIISYGSCQFPTLGF VVDRYMRVKNFKPEAFWSIKVSHTRDGITVNFNWQRGHLFDRAAVVVLFERCLAAKIA TVTKVNKKPTSKWRPLPLTTVDLQMMGSKYLRIDSQAIMKFDNEIDLKKLVEKQYPSE AWGEYARGSVFSTNTILAEFHTNPSRCGGFKQPRRGRNNDKAHPPIHPVAYVSPTVLN ANEEKVYEFVTRRFLACCSEDAEGESTDIEIQYGDEEFHTRGLVVLERNYLDVYVYDK WESSQPLPNFVLNESFEPKEANITEGKTVAPGYLTEPELIGLMDANGIGTDATMAEHI SKIKAREYVATRPRGGGRGSGGRVDEFIPTKLGVALVEGYDNVVAGLPDCPSLTKPFL RKEMELRMGDICSGTKTKAEVVRQNVGMYSEVFTHTQQRIELLKVACRKYVFDSEN TRV_06118 MALPTLQSVADCADFTQAALPFLHQLSSLPTRVVEAGLNVDTLR EVYLSTNPLVIAFGFSLFLVPAFVLISEINRNYSQVDRLWSILPSIYNGHFALWCRLK GLATTEINTIFIITLIWSGRLTFNYWRKGGYSIGSEDYRWDAVRKMVNSPASFFLFNV LFISLTQSVLLFLITVPTYIFVLIGTLRDAPSFGLPDLIFSRFLLFLILIEHFADQQQ WKFQQAKKQYQKTARVPAEYKDVFTSDDLDRGFVVSGLWAWCRHPNFVAEQAIWVTLY LWSAYRAESYFNWSGVGAFCLLLLFQSSTNLTESITASKYPDYKQYQARVGKFIPRFS VEP TRV_06119 MSQQLAKNSEQEQLAKLNRFGNHLDFISVLHQPRSLFPLCLARG SSLVVSKSCFVDCGFVLSELVSRTLTDLIDCLPPSCSALAMATLLRRPGGLAQYSRRA AGYSAFSRTPFSASLPQSRLSAFIVANRSRLYATNSTGGSEHPKDKKNGEKDIGKQAE RSSQSQSSGSQTSESSQSKYALNAEQREEFDKIVDQLKVNLPKSQAKLIDTAAEVIKR EGLPEEVKNALEEVRESKHISLSNAAKLVRVLNKHSVKLASSVADEHSKNSSEEQRKD NKAPTEEKPKEESNEGRKEESREGSKEESKSDKKRQRNDDKDQPKGPQFRIMDFKFDA GNFLIAAFISYYVYRSIFPGESSRDITWQEFRNTFFDKGLVEKLTVVNRSKVRVELDR DAVAKLYPESPATNMSFHYYFTIGSVEAFERRLDDAHRELNIPSSERIPVAYADEVPW LATLLSFGPTLLLIGSFFWLSRRAGGAGGGGQSGIFGIGKSRARKFNHETDVKTKFAD VAGMDEAKVEIMEFVSFLKRPEQFQRLGAKIPRGAILSGPPGTGKTLLAKATAGESAV PFYSVSGSEFVEMFVGVGPSRVRDLFATARKNTPCIIFIDEIDAIGKSRSKSNFGGGN DERESTLNQILTEMDGFNTSEQVVVLAGTNRPDVLDKALMRPGRFDRHIAIDKPTMDG RKQIFGVHLKKIVTKEDIEYLKGRLAALTPGFSGADIANCVNEAALVAARMHADHVVM SHFEQAIERVVGGLEKKSLVLSPEEKRTVAYHEAGHAICGWYFKYADPLLKVSIIPRG QGALGYAQYLPAQGDTYLMNVHQLMDRMAMTLGGRVSEELHFDTVTSGASDDFNKVTR LATAMVTKFGMSPKIGTVYFEEDQQQLHKPFSEETARNIDMEVRRLVDEAYKQCRDLL TEKKAEVGLVAEELLSKEVLSRDDMIRLLGKRPYPESGEFAKYFDGTGGKIQPPPPAE TLTGSPKADDAETKDNKPSSPPA TRV_06120 MDMTGGDMDATTFDNRGSNANQMPQAFGASNSDGSPLTSSHPGT AFAEDNNDAKRRRIARACDMCRKKKIKCDGKMPKCSHCINYKSECIFTQVEKKRNPPK GAKYIEGLENRLGRMESLLRLSGLLSETDARKTDLGVLEKRLADRAASPTSQQQQQQQ PQPPKASTIPPTYNAFMSSSASPSNSTPRGDLNASPRASSAAGSPDSQKESEAEVDSL SDMMCSLVTNNCGETRYIGSSSGFSIFSPKGIQWVNEKTGDSSFQHMISSACVDDNKW IYWKPEIFSDIFARRVFKPLPPKEEALSLFRDYFENFNCIFPLFHEPTFMHLVEKQYS RDPYEGSGWWASINVALAIAHRLRVMSNLAPQEEDKKAWLYLKNAMGVLTELTMRNTD LLSVQALLGMALFLQGTPNPQPSFFLVAAGIRLSHSIGLHKRCSGFGLNPVELEQRKR VFWIAYILDKEFMEVFTITNIVKSICLRSGRPPVQDDDDMNVELPSEDPPDNIGNVPL SDNKGKINLFRLMCIFATIESRVYRQLYSTKASRQSDGELLNTIGELDHELEEWKNSI PIDFRPEHEIKTTHRPLILPIVVLHFAYYNCLTTIHRMSVHHGYWTSRLSNYAIQGLN ARPLNPRVFSSAILCVSAARASINLIKYIPQGDFACVWLILYFPISSLVTLFANILQN PLDARARSDVKLMNLVVNFLSMLATDESNGSVKRMLSVCSEFERIAKVALDRAEKECQ SRRKRKTSDVQQQQQQEQQQQQHQQQQQQQKESNITPHVNDKDTQRPQTQMTENPTVS NAQHQPFTSPFPAHINNNISSASSSTFPSPQTSSQNAFDTVINEDISAAQLKNTARFN QELNDIIGGPGLNVNPGMFSVDQQLPNESMPLDVSSFQQPFVPQDLWQMPMTLEWDWA DMSNGFPFANGATE TRV_06121 MFSRNPGHRNRFSRFFTKAIWKMATQKDWNSTESFYNYTRGRFV VNEAEEMRQRHVRFDVNELARLAANTVGAKEVVNIEKCADGLFNKAFVFTFEDGKQVV GKVPNPIAIIPHLTTASEVATMEFMRTVLKTPTPRVYAWSSRVDDSKNSVGAEFIIME KISGIPLGKVWERLSGSDKMKVLINIFKYQNEWASVAFSRFGSLYYSGDVDILPADYL YIDKNGNQVNNPRFVVGPASHNEWFIHGRDSVSCDRGPWNTVIDYRKAIAHREITASR LLPFPRQTLLIYGPRPLYLPTVEKKVAALKCSLQSLETFLPEDPALLRGHLWHTDFHH ENIYVNPGKPTEITEIIDWQSTPITPLFDQPLDLPFVNYDGPDIGENLEIPVLPDDFQ SMQEDEKKKVHKNYMDKSAVVAWRLLLKHKNPAYYRAVLFKHTPIGLTLELSRQVFTI GESSLRTIYAEMGEDWQEYAPKGSDMNPVPCPFNFSQAEITEIDEDEEATASSTRIAA QIQEHLGPLYPHKGVIEHENYEEVKKILGEIKQEVIEHLFESTEDIQTFDSQWPYRD TRV_06122 MSETGAPASASTKDTALPDRTAAMDAGNPSKDAPQKADKAKGKP AAAEKPLPDFIIQRNELFDQLYKEKVEELKNKPRFDITVTLEVGDGAPSTVIAQAWHS TPGSFLKDIPKELSSSVVIAKLDGKELWDLDRPLERDCRIRYLPFDSVEGREVFWHSS AHALGEACECQYGCLLSHGPPTAQGFFYDMAIPEGGVVTQADYPSLDAKTAKIFKEKQ SFDRLEVSKENLKKMFGYSKYKLHYIDNLIEGESSTVYRCGTLVDLCRGPHIQNTGKI KAMKITQNSSAYFLGDQTNDALQRIRGVAFPDKKLLQEHLKFLEEAEKRNHLKIGKEQ ELFFFDEVSPGCPFLLPNGTKIFNALQKLLRTEYRKRGYQEVQSPNMYDVGIWRQSGH WAHYKDDMFKLDVEKRDWALKPMNCPGHCIMFGHRERSYRELPLRMADFGVLHRNEAS GALHGLTRVRKFQQDDTHIFCTDNQIMEEIEGLFDFLRAIYGLFGFSFKLKLSTRPEK YLGKLETWDFAEAQLREALTKFKGADWEIDEGDGAFYGPKIDITISDALKREHQCATI QLDYQLPLNFKLEYMTGETVPKSAAPATSKAEAKPAEGDSNPAQAQPSDSSTPGPGRA RPVVIHRAIIGSFERFFGILIEHFGGKWPFWLSPRQILIVPVMASANDYVEELQEILR GDKLNVDIDLSGHTMQKKIRNGQLAQYNFIFVVGAQEKESRSVNIRNRDDPSSQTKGS LVPLEEARAKLRALRKERRLENTL TRV_06123 MQQSFGPPATVLEMGTFMHSCEGEMVCESINPKIPYFNAPIYLE NKTPVGKVDEVLGPINQVYFTIKPQEGIVPTSFKAGDKFYIGGDKLLPLEKFLPKPKP PPGAPKPKKIGGAGRGGAARGGRGGFSRGGRGGAPRGRGGFSRGGARGGRGGSGGFSR GGARGGSRGGFRGRG TRV_06124 MSRRSPAAGGNGPESSETPKDAGQDKQKILLSADTGHFSLIRCA NSWNITDDDCFLPLLTRRFDNSRALHLADFITELNVMSIFSSLRYCLGESHQHGNIWM ALGFIPFGLFFDFMDGKVARWRKKSSLMGQELDSLADLVSFGVSPAVAAFSIGIRSPV DHILLTLFVLCGLTRLARFNVTVATLPKDKTGKSKYFEGTPIPTTLVLVGIMAYWLSQ GWILEDLPLGVMLPGTALEFHPVVGMFLVSGCLMVSRSIHIPKI TRV_06125 MKLYTPKAVMAVAFLLSSSLSCVEAKLDESLKGCYKGSGSLKDM GPTKYQSTGACRELCTQSQSAVFALHDTDRCSCGDKYPPDNLKAAATDKTCVLVNCPG FDKDKCGGKNSFAVYLTGLVDDPATEGGADDGDATKTSSTPQATITEGGHTIIITPSS KPAPSGPNKAGIAAGVVVGVVAIGAIIGGVIFFLKYRKRQKVVDEYRRNQTINNFVAG GKPSYSQSSADSRLDPSMTSQRRQSNGSIADDQDFSRRVLKVHTIIHLSPTCLRFPLG TRV_06126 MDGAPVEARSLGSIVSLASNPPAYPRNPTQKPLEPLVLYIVRVP GSKDVFLSPLKPPTKSSLSPESLNASLYFIHVAGPRDEEILESIEKERHTQQQQQQQQ QQTTNPIPQTSKLAILNRTRRKPVPGVATAIDAQQEAAPPPLPTRPQHIAQDPEQNAE IRRRREQEGLAPGSVTPVHEHSPSSVSGSPGQPLLEPQPPILPPRPLPIQPVDDDTRR WSAQVTASISAIGSPQLQSRRDQASGTTVRASWDGSRRPFFQPELTPRPQSQRGLLHI PEDEGPKPPLPLRPRKSYERPREAAPAPPFHLTLIRRDPTHSSQWNVGTIDNIGSSPS EGRQDGSIKVEITTPGYQKFAVDTSRMSFESLGIKLPAAARSLDTARPPQQSMSSNMP REAEDMSDSGHGAGPVKFTRLLKLWQPPQSSSHHRHQPSSHGEIFNLSSPKANKYPQK SNGHYQFLSIWNGYCNFVTAANGRTLKCKHTIPGAAGTSPSSATTTTVAEIRLNLPTL LPRPSSSSDQNSSPTKSSPWVGELDLSLARERAGGGLRGNSAKLGKLIIEDEGLKMLD LVVASCMGVFWKYYDNM TRV_06127 MSRWICPTVFGGSYLNLLVYWFFTHIPANWITSYLGRHVFLALS QTVPGYIQPSLPVIWRVSMLPPSSSNPITSNPSILTAWKSQNVGIKLSRDLPQAEAAE DKKTKKTKKRRTKGAGFAHLLLLLRFVLLIENVSLRSWFYPERTLEYHNLRSSLLLGG GCGRRATMTSHRYEPVNTRSEDDAVSSQATQQQPIPSSPPPSFHSRTPSPASRRVLSQ DPLNSDADRTLADAFGDDDGHTDDEEGADDRQRLMRGSPANTTPDIDPSEAPAGSNSA QPAGRPNASTSRVIRGANDGVFANLSAKPERGGEKLDDLPPTYEQAAADAAPPYWETT IVAPGMSSDEVYVEGLPVGSVFSFAWNGMISTSFQLVGFLLTYLLHTTHAAKNGSRAG LGLTMVQYGFYMRNTDGYSPPDSDAGDDGYVNPPDPNSHNFNPSAVDGSGGSGSSFHG LTTSDWLSYILMVVGWFILIRAISDYLRARRHEQLILQSPNRGLPVPIIAENERSETA V TRV_06128 MPFHAAKTCLRTAGRFTANPASSQHFLVRAFSSTVARFEINKVY PSAKEAIKDMKSNSTVLAGGFGLCGIPGTLINEIHSTPSITGLTAVSNNAGIDGAGLG LLIASGQIKKMIASYVGENKSLEQKYLSGEIELELTPQGTLAERCRSGGAGIPAFYTP AAFGTVVQTGDLAVKNNPDGSVATYGTPRDVKVFDGKSYVMEESIKGDYALVKAWKAD KLGNCQFRYSAANFNGAMGRNAKITIVEAENIVEVGEIDPAAVHLPGIYVKRVIQSKA EKQIERLTFAKENEDTAALGKGETASKRERIVRRAAKEFKNGMYANLGIGMPMLAPSF VDPSVEVQLQSENGILGLGPYPKEGQQDADLINAGKETVTLLPGASIFGSEESFGMIR AGRIDLTILGAMQVSAKGDLANWMLPGKVKGFGGAMDLVSNPTATKVVVTMEHTDKKG NPKILKQCEFPLTGRACVSRIITELCVFDVDFANGLTLIELADGVTVEEVRSKTEAPF KVADDVKPML TRV_06129 MPIIEQNTNTCHRPDQTACVKKGGDTTPPSVVDDNLEAGNNNEA KGGFKAWIYVLASFFLFMNACLLSTSSPSSISSIGSFQVFLVIVLGVFTGPLFDAGYL RQMLTLGCTLVVLGMSTLSLATAYWQVFLAQGLCVGLGSGLLYVPALAFVSTLFPDSV RPWAIGCVNAGGGMGGIVYTFMLRDLEPQIGFGWAVRAIALVTLVLSVVALAILLPYR SKAPKPQHRRAMFDLKALREPSFLLFSIAMFLNYIAFYITPFYIPMYATEALHQSRSF AFAYLVYMSITSIIGRTLPMLAAGRFGSLQVYIAATVGTTVALFCWTAVHNVAGFLGF TLMYGIVSGVQVAAPSAAISHPVLSPTMNVIGTRMGMGWMFAGVGVLVGSPIAGALVN VTPGRVDFKPAQCFAGAVAAGALLCLIFPLIAVIKHDKKTA TRV_06130 MSNELIIEAYRQQNRIIPSRNSYHFRCLRSIGHWRDPVDGKTIN DFVELETTNGKYADDDVPDSYSYFNLDYYRDFALSDDSIIGSFYARLEDTQNDMEPRK HLWRIGDYRKSEKIKAAAEESACLSFILVNFPLCIKLIHVLGVTTAEQAEVFLGVHAD TPDDFVISMYTAKISDSPDSKEVAKRAVRLIADSRKSEALQHFLKTGEAASAQMDIGD AFRLLQIPDRSVDDAAIIAAYSVCCSEAPEQIDTYRQALAVIAEESGSAVIRSMLLDD SAPANRALLDWPVGLRNIGNTCYLNSLLQFYFTIQPFRNMVLDLDRYKTELDEEVIRN KKVGSRKVSLLEIQRSQKFLVQLKALFNDMITSPDAFVTPTQELARLTLLSSSSEAAF RRKSLSTHKISELGEINGMPIMGPVGPPAPIPEEKSTPSSSSPEKEESAKEDVGVTPS TGASNELESGNASDQTVVPTVETKEVTSSSSETSITPEHPVSEAIVAPNRPPPVPPRP APRVDNEQLIREEVELGAQQDVTEVINNVLFQAQCAIKPTKIDADGNQMDLIMDLFYG QTKSYITAMNGIRSKNEFWSDIKVDVATGSRDIYSAIDGAFDRQKVHVDGADAEQYGA ISKIPPVLQIQVQRVQFDQVKKSSFKSTHHLELKETIYMDRYMDVPEHDNMGLYKRRR EKWAWNDELNGLKVRREELLSNNESLPDLFQDARDKVQDLMLMKDDPEMGNDALDIDE GVLAHLSNLEVMTRKELIRIDSRIEQLAHLIDNQFNDMKSLPYHLYAVFIHHGSVEFG HYYIYIFDFEKKIWRKYNDSEVTEVHSTAEIFSDRNVKNPPTPYFLVYLNDGLKERLA KPVCRHIEERPAQTTSAADNSKSKPGRERAGDRSDVEMTDLPPSYDEAQKTAPDEKAI LTGPAAMAKKKLSPSPDRKRLKRKGAESEEHPTVASKN TRV_06131 MALPAKLGKTAPLLIQDVLHHDPASPTRPQFNILKDVPPIFYDK STYPDYSDSNACVHRFITKPHQSVLPAIDSQRVAGARYQVSSVCQKCRLHLELAVVFK TQLACRPGTVHHFCYFPKESADRLKTVARSPGQALEVYVYSCTQTQCSATVHLKLWTP LIKPEWVSLLTDEDILKKRVDDALALEPQRLEGIGRPTPLVVLTHLKTYIDNTLHDEQ RNRSINIMNKKFTVCFGTGGEACKQLFEYLGFKLAVCHFMTRLRTSLLLLFNA TRV_06132 MAGQQEAPVYHPQDALKRAINTTMVTGGVGLFTSAVQNTLQKQN VGPWGVFTRSGSTIFLFAAMGGSYEFVKTASANLRTKKDYWNSVYGGFAAGSLVGFRA RTFPAMIGYGVALATLLGVFEYSGGSIAGKIADPNVDEYDRRTALRKNFRSPGEETIA ELGERRGMSGPHLVAILQLYLSISLQLMIANRPQESRHPDLQRDGESG TRV_06133 MASSMANVDHTQLNTEYNSMAGSRPASYIANSSIGPSDFHVRSN IPDASPLSQQQQQQQQQQASAPHQSRFQEVLSVSRRGSMMDSGSVREGPRTDSPASTA NTNPISLPSRSSTLKKKPSLSKKASLRRGGSRKSSRAGSVRSMNLGEKEKYGVAQDEA NSAFFVPIPTSGNPTEVLAARFQAWRKVLKDLVAFFRDVQRSCETRAKSLISASNAIN NITMPPMFLISGGISDATEILKDYHKQALHESNKAREMETEIIMQLNGLRADLGQKIK EIKNLSGDFKNTVDKEVEVTRKAVKHLHEALGLVDSDAAATSGKGDPFLLRMSVDRQL ERQIEEENYLHRKNFQAYLNLERSGRELESIVVGEIQKSYNVYASILKRDADSAYHAV ERLKEGPISIPKDHEWRYFIDQTDQMVDPDLDLRDLANITYPGKDHPAAAEVRAGMLE RKSKYLRSYTPGWYVLSPTHLHELKSADRIASQHPVMSLYLPEQKLGSHSTPESSSHK FMLKGRQTGSMHRGHAWVFRAESHETMLAWYDDIKALTEKTGAARDAFVRRHARSYSG ASYRTASISSDGVMDEDEADKTPYSADHLMQRQHSPTSEATTATGSQWRRQRSVGRFP SDVQINQHLNAPLSPASSENRDISNTVPISNAVNHNSINNEQRQSQHFNQGINDLPSR ASMDSESRSSRPIFDRRRSQVKYEDWAQPHHHPRPITISSQGGDASRLVQQTPSPLSE SP TRV_06134 MTSSLTTASLIGMYVGKSLKILTIVTAQQGAVVRADVHMDASGR PKGSGIVAFESPDDARNAIQQFNGYEWQGRPLEVREDRYAGSAGFPGRGGFGGFGGRG GFGGRGGGFGARGGFGGYGGAGGRGGFGGAGFSGPGSAHDGTAAASIPANPFTDYATY GGERGVSIYVRNLPWSTCNEDLVELFSTIGKVERAEIQYEPNGRSRGTGVVQFDTADN AETAISKFTGYQYGGRPLGLSFVKYVNPGQSQGPVPGQATTDLNGSGEAAPITQDQIM TRV_06135 MGLSTKTIHVHRHQQHLKWSRDIPPVLTINSGETVTFDALDGSN GMIARDSGDDAILKFDVERADPGFGPIYVNEAEPGDVLKVEILDLQLGDWAWTAIVPN FGLLADEFPEARLKIWDIDPSLPYVKFKEGVHVRKAPFLGIMAVAPGVEGEFSTIPPL ETGGNMDCRYLTAGSTLYLPIRTKGALFSCGDGHTAQGDGEVCGTAIETTLTASLRLT VIKNQPWVTSPQFQTPPLKQTLSAADIEADKGEYATMGIDCDLLEATRKATRNMIEWL TRTKDLTREEAYMLTSVAGCLKMAEVVDMPNYAIVMTMPLSIFVTPDWPNGTTT TRV_06136 MNSTSTLALVNGGKGGMVYMYIATFIGFFCSVISMAEIASISPT SGGQYHWVSEFASPRYQKFLSYITGWLSVLGWQGGFASICFLCGTLIQGFLQLNYGDS YTPERWHGTLLTFAIAFIATFVNTYAASHLPSLEGLILILHIFGFFATMITLWVMGEK SPSKEVFTEFTNFGGWSTVGVAVLVGQISPIFSFLGPDGATHIAEEIKDASKVVPWCM IFTAIINGSLGFVMLITFLFTMGPVDDSILTPPSGFSFLSAYLYATGSKSATTGLACI ILILEVCSAISILATCSRQAFAFARDNALPFSEFFSNVNPTSKIPVNAVLATTLITIL LSLINIGSTAAFNAIASITVGSLYASYVLSIVCFMYRRRCAEPLPARRFSLGSWGMGI NFFSALYLSFAFVFTFFPSTKVVTPETMNWSSLVWGAVILFAIGQYILHGRKVYEGPV AYIRKSQ TRV_06137 MVAVPLIRRQLPQAAALTSSAKRLSLSGISRSCIALPSSCVRSN STSSIRYCAQKPYPYPRNSPFRRRSPSRVTCESRSYHHSASDLSSSNAHFSPEQASIL SLALADHVPRLGFTTEAVVLAAQDAGYPEVSLQLFPRGGEIELIIYWLSTRRALLKEK VQNGEIFDSEGQKLSVDQKVKRLVMERLKMNSGIIQHWQDALAVMSLPTNISSSLHEL YELSSDILYLAGDRAVDSSWYSKRLSVSTVYATAEITMTEDKSEGFTSTEEFVERRFG DTDAITGAVSDVKTYVGFLGGSLVAAGRSLGMKI TRV_06138 MSELNSRGSRKKLQQPLDTLSNSGLEKANVNDKLHNFQFTKVDP SSPDEAKFAGIDLSVAAFAVAQTETKRICKEIDDAVVDGKGDLGIWKICENMIFPMLK QAGLDEVLSSRSTASVSGSGDSTYGNRSNLPDKNNSTPSQPICVHPDIPIVTVVRHVY PATLLHALRVLQNKFPMSPLTIRLFESIRSHGRASFVIGSSKELFHELIDFRWRVYND LPSIVSLLKEMEENGIDFDKRTLELVERIRQRGARAILKARQSDGRNKNSSIKSRSRR TGSNAGVSWWDSPAIRKAYRELTSWAKGMDAQIREFKELEEKAQELWSIKRP TRV_06139 MAPVKSEPTTEMSDFEKQRLANIAERDALLKKISLEAQSAGLLS KTPAPKAEQSHKKKAAPKRAKREKDAAPVPRRSSARLAGITPDSEIAKRKAEEAYEAE KLQMEAKRRRVAGDLRMDEIGVKGKWEALSLDSLATSGPAKYERTFGDEDIKKTTNKD LKVMREKMSGLNLWDAWEPNRDKVGNLGILDASQDGPGDDEEEDPVITTIKPHSRPIA AMHIHSSTPSKLYTASYDTSIRQLDLEKSVATEAYVADDSGLSGVDMSPEDAHTLYFS TLNGVVGRYDTRNNATKRDGSTNDDKSYSADEWQLTDNKIGGFSVCPTKPQYIATASL DRTMKVWDLRFLSKKTPRAVAEHISPLSVSHAAFNSVGQIATSSYDNTLKLYNFGTFD LKSRKSTETLTIEPDAMIDHNCQTGRWVTM TRV_06140 MSSPSTPNLPSIFSGKDLPTEESSVDKDLSGLKEAGDKKQHNEL LSVVCPPLPSPASAYEGYRPPYPISKEWNAVNTLFIQDNCAQEEYGGSRFVSFVLDDY TIYNRIGGNGRRTGMVPLNDVANTEGGSIFFFDGIIRPEGEADTEVGPFYLQQIAFSI VSLGGYEDPDQHTVGEHIWIQSKHCQKKDIWYRLGKPSVAYEPYHHFFSWLADLAKHF VDYLHADEERVVTLNHFKEDFHDWLLTCHGENAEFIAWLEKYGSRDFRHAVNAHGKFL RGQAFTLNLSSYCRHTLWDELGLSKTPIITQQPTVMENTVVTPFVYECFKEMPWSSHL QKVELAPEVKAQHKEMVRRQSAFLETKRKTSATGDISVGDVVALRKDDESIWKGKEEY WYALVQGFGPNKRRDLRLIWLYRPSDTVCASMTYPHSNELFLSDHCNCHEGKTLIQDV VKKVAVEFFSFNEDRDYSTLFVRQTYQTKDETFRTLIEGDFNCQCGIPKTPTQTFKAG DTVLAQFANLLKPAEIINIGPDEATLRVFQYRRELGEKNCRPNELVLCDDFRTVPISR IKRHCHIRVFKPVQLPNIPCPYNRDGTGDAFYITYAKNGENLEPMNSRPSFKQGFDPS ASSSLHKLNALNLFSGGGTFDRGLEEGGAIESKWAVEWGLHQMLTYRANHPDGNGLKL FCGSVNDYLFQAITGKENIYVARIGDAHFISAGSPCQGYSSANAHKDNEVSMRNSSMI ASVASYIDLYRPQYAILENVAGMASRTHEQNPLSQLLCTFVGMGYQTRVFNLDAWSFG APQSRSRLFIAITAPGLHILEHPALTHSHPPGTKSRALGDNPNGSTFGERRWDTPVFE FVSARSATQDLPPIDTARIMSIPWPDHRSSRVESEEKQALIQNIPKYPKGQGLVGAIA RGWVDPLEHSARMKAATSKAWSRIYPEKPFPAVTTGVCPFCIFTGRWLHWQEDRLVTV QEVRRAQGYPDNEVLIGSPVQQWKIVGNSVARQVALALGLVVREACIRNQYGRSAQIL AANTTTMSEEPKSQPPSTPRNSTTLLNTKRCSDIAVVISSCKSDIESTKRQKMLP TRV_06141 RDDLDVYSRPIERRPSKKSSSKDRHGMVYPDSFRDTSIRPVSPQ ESLSDTQNHSPASDAEHFVASATASPRSGLRAKPRDRRREFSPFHAGHGSSNSNCNSA NASTTNINHHSHHHNNSSSSNVNNINNNNNNNSNNNTTSSNSSSNAANRLNDDDIPLP DPRSLRARSKTTTMEDQRGDLPANTFLGRTRMRLGSLTTTASANMAAGSPQSPRPPLQ DDPIAASIGFPSIIQSPGPRPRFVKSHSTLSSGLPPSNASSPGPYVSQVLNQDSTKIL DLMKSTCGRMHGILSFRISGSSSWTSGYCAINVAAGSLIYQAKGEANQAKTLIPDLRG CRVRTLYSTELQSPYLSVSTYSSTLSVHLRPHVKETCDSWLAALLCWQPIRPKGVQNK MTKPQSVAITERRLADRRRNSESTLQKEATIIKVGKMLLWDRQSASGVCPPPTPRRIS TFKQQRALSHYWRKVNCTLQENGHMKIVTEAGTNLVSFIQLSQLSRCAIQKLDASVLQ DEFCIAIYPQYAVHAGSDHLTRPVYLSMESRVLFEVWFVLLRAFTIPELYGPEQPPTT TASTDDSGRSHPLAISQQVPTTTTDMFRIERLLNVRVIEAKIFPQSKAELPEKNKKSS KQQGSQSSGDYYAEVLLDGEVRGKTAVKSSTSAPFWREDFTFHDLPPVLSSATVLVKC LNPVQKDWTLVSRGTYTPNEGDREHDSLATLGELEVASQDTTFGRIDLPLDSLDAGNG SEKWWQILDENDQPVGEMLMRVQLDETVVLMSQEYQVMSELLHSFPNGLTVHLAELAP QELRQLSEIFLDIFQVSGQASEWVTALVEDEIDGLHRETSASRLRYTSRIHSNDSYES GQEREVLVRDLGRSATVEANLLFRGNSLLTKSLDMHMRRLGKEYLEETIGSSIRDIDE SDPDCEIDPNRVSRQEDLERNWRNLIILTSNMWQSIAGSAARCPPELRYIFRHIRACA EDRYGDFLRSVTYSSVSGFLFLRFFCPAILNPKLFGLLKVAKGLQGLANLTTFGNKEP FMEPMNKFLMSHRNEFKDFIDAICAIPAERPPQIVNPSFATPIQILGRLPPTSREGFP SLPFLIDNARSFACLARVWLQAAPRGLTEVPDMDVNILKFHQLCIDLEKRTKESLNKA EQAEQPSGDLEVKWEEIAEQVEKSATFYEESSSKANTPGRESAVTSVSSTTAGNNRNS IGYFPRTPYLKSTEASVSDDDDTPSSATSAPWEHPGRPMFTQPKYYADSRKSTESLQN PSTVSLTQSETPTGKNRQSGMSRDSGKYRLFEFVDRTRRKGKEKESSNSHGAAHQPQD VGPRNEYI TRV_06052 MDNSCDERAPLLSQAESDLHPHISHPQEDDTQNEYTVSYRVLPI SLFASLGMAATIATTIYAYANLLCADPKACKDGEQSAYAAVVAIANGIAHTVAILILG PLEHLVKTQLKAGLALWIVCRAASVLCLVIGGDSSSPYLQIEMALRSVPIAVSGRLFE GIASDNLLHFNLNTIYVSTASPSAPETSRLIAASLALYMVGTAVGPMAVTVFQNYTAS FTTAVAIFAVAMVYLMVFVPNPTAKHVSSGPEDAESIQERVSDKSRLMVLLSPLQPFY DNPLVIPYGLSLLLYTAVQGHLFPVIMVFASIRFHFASLENGLLVSVAAACAALQILV KVYIAPGIARLLGRQSATTSDNANRNTVVAAVCIQMTALVAMTRVTSAVQLYLAVAIS AAGLAVPAFFKSHFVSFMPNASQAISALTFMESIGGLASPFFLGSWQAVFPGQSVFFF AVGLLV TRV_06053 MLQSLTTIEAALRTVLREFDQPDNAALLASLRRVDQGGDIRVTS AANNIIDLANKVIQTLEPAHLALADHLFEYQNTQCLAGAVKLRIADHLSDGSLKLEQL ATASKARPDRLRQILRLLANNGIFHYDSATDTVRNNEASEMLQQSHWTQWQRWASVCG HEFYEMARGLPQSLDEEAARSPAQIHYDTDESMFSFLESNGTMVRLRECMGATAMAQT PGMVSAYPWGELAGTTLIDLGGGDGSLIAALLRAFPDIRGGVFDTPRVLPFLQEAFHS PAGKYADVGSRISSENLIAGDFLTEVVPAEAYVMRWCLHDWNDEQARKILENIRKSII KGPVSRLVVLESVLADGDINVMVTAEHGQERTESDWRRLASSSGWEIARIAPLPGAWP SAIDLRPGTICQR TRV_06054 MTSRIRLGIVGLSADPSHCTNFIHKLPLTTTSLSKDYEIVALSM SSPEKAMAAAAAHGLPREKGYHKVDDLARDPDVDLVVISVKVPRRTELAMAAINAGKD IYVEWPFASNLAEAEALAKRAREQQIKSMVGLQTRLAPQVLKMKEIIQTGALGRILAT NLVVTDDLFLKFHADKRHSHDKENGANIVTIAGGHLIDTMVFLLGEFTTLNAQTSMQF PKPVLKDAQGNPKTGQFNDAPDTFTLHGKMGDQNIPTSICMYSHPPTSPNLFQWIVTG EKGSLKMEGPSLMIHAIPPCLFETDSGEGPVHWKEITLENTGSQGAEYQAWLDNDRSR IVTLDDAVIRYRMIDAIFRSSETGESTSYSYK TRV_06055 MKATSQGTMLRRLHRAVTLSSENNEAVSVWINDDIRPLPPSRRT WSTLTFVGWWSVWQLSLTNWQLGAALVASSLSVWQTMIAVILGRIIAAIVAVMIGYIG AEWHIGFPVYSRVIWGIFGAIVPTVIRIGLTIVGFAFQSYTGGLCVSAILAAIFPSFN NLQNTLPESAHVTTQQIIGWALFNIISIPMLYRRPERSEKLMIGMNVISFASLLGIMI WSLTYAKGAGDLIHQASDLQGSSALGFGILQGTTTVVGTVSIALTSQMDFSRFARKPS DQVFGQWFTFIIIGSVMPLFGCLTSSATQKIYGEPLWNPPTILAMWLQRDYSSTSRAA AFFAGLGLVSSQLALTVVDNGYSVGMDLSGLLPRYINIRRGCYVGLILGMALCPWELL ASAKTFVSVISSFSIFMAPLCGIHISDYWIVRQRRLKLSDLYHSRPDGIYYYTSGVNW RSAIPWLVGWVPLLPGFIHSINPTIMMPVGVDRLYALGFPYGLLASMAVHTVINMVFP PPGIGDIDKDDIFGTFTPTEATKIGVSPSGGADDSDGNSAQGSGNGPEKV TRV_06056 MSLFPQLLLEQVAAVLEVGTEDLELGSGFIKNGGNSLNAVELVT RCRQHGVSLSVAQVLSCNNLNDLFEQFKPCSKPDYRQVRTPCFSDDSEDDSPASLSSI ESSPRATTPVPAVEMLAEKEKDNTINTALTMQEPTLDEHATEGLSEMQASLLHGSLKN PGTNIIYHYETFLASMIPQMKEAWKSVIEAEPIFQIPAMGGESDIPGQGQFSWMEVTV DSELEYQKHLQTMGLPSSFSSSFKVVHFPGRDSASSKSTIIWAVHHALIDGYSAMLLF CKVRRIVAGLSARPSPGPSFKQVDRQTGLWRQQHKEEGDAYWRRQAPQLEQSQGDLLL PAPPSKGDTSCSVIESEEIHVISNIPGTQLQGLVRNLGITPAAFYYAAWAVVLSCYTD SDSVVFGAVLAGRNLPLEGVDEVIGPLVNTLPLCVKVDRDLSVEQFLKAVFTQIMELA EYQWTTPDNGYTRNFATAMALQVPEPECPGGILPIEAPYTRQTTDVPLSINVQPNGGA RFVYHTSKYSRRDIGGVGRHYQRAMQLLLIPHRSIKECLQGLLGCADLETLMNFGNCH STLTTTMAVQDDLVTLFESAASKDPDAIAIQKGSLEMTYGQFDAQSSRVASILREYLS PGDVVCAHADRSSNWIVGMMGILKAGGVYCAVDGGLPQEARDIIFSASGANIFLIPSE TNKGVCPAACKTLLVTDELVTRDEVPLPHRRSPHPEANAYLCFTSGSTGKPKGVMCSH EGLVAFQRDLEVRLFAQPGHRVAQIMSVAFDGSIHEIFSTICYGATLVLQSGGDPFAH LSDVDSAILTPSMARVLNPAHYDRLSSFSNEGIYLGLSRGGAGSTGLPPGVIGEIYIA GVQVARHYIGMPKETEERFIADPIRRIGERMYKTGDRGYWSSNGDVVCLGRNDRQIKL RGFRLDLDDLETRMVRASSEVTAVAVARQGNHLVAAILPSTADVSAFAARISQVLPPY ANPR TRV_06057 MKSLISRSSITGQQLAIAAVALCLERPTKAPDAINSTDMVLGIP YINRKSRDELDVVGLFLEPLPIRIRYTADGSTDKAESYLKAVQQSVQESVGHSIHWDQ LLEHLQVRTSAPDHPLFETVVTFHGRDHSNGLEISAPGFETCYTFTDGAKFRLLCEFS AVSEDKLVLRMEYDTDCFSLDDIHLLQTRIPLAIALLVQGVPYPVIRQRIASLCDAPV VKVLQPDVVFGLPLSSI TRV_06058 MDEKIDIERLALRSGLVVGGRSRKRQITYASLVAFALLSAASVL LRSGAFTYSRNCTSHLSPLEQVLAQAPLTDGHNDFPIWTRAYYQNHIYQANFTSQGDL YGQVDFPRLRQGRLGAQFWSAYVECEDLYHEIIRDSFQQIDLVHRMIERFPAHLVPAS SAADIVHNFRTSPGRISSLLGIEGLHQIGTSASVLRMYHRLGVRYATLTHTCHNHYAD SEAPSAPQHNGLSPAGEAIVAEMNRLGMIVDISHTSFATQRAVLALSRAPVMYSHSSA YSVCPHSRNVPDDILKTLQKNDGIIMITFYPEFTNCESADKASLSDVADHIQYVGNLI GYRHVGLGSDFDGMAHGMRGLEDVSKYPDLIQELLDRGVGVDDLVGVIGGNVLRVLAA AEDVAHNSRHILPLEDDIKPFFK TRV_06059 MMGILTTDAALPILAVAAGVIFFITSPLTRNFAAGVLSVFLDKY LRWRYPILSTDGKRLLPTCPYQWPNGQGDVAKFLQGIENCDLWEKEHGEIYRIWSGMK SEVYVLAGSSGYVLPTCLLTLNSVLTQPHQLQAVFRDSNKHSKAENNNSGYLMSELLG QCVGLISRDRWKALRAVTEVPFQHDKTGSYVDLVLRHTQQHFDELSTSGDLKYGRIQP AQDLKMLPFWIVAEIFYGECDAAMRTELQELCVIRERLWKKMIQGGLVRWNWSRYLPT ATNRELTQFKQRWRAFNQNAYERACRQSNRGLPIVSMTEAAGSGSISLEQLYQTINEA LFANLDVTTGGISWNLVFLASHPEIQERVRAEILATENRDAYLASSSTLLAACVSESA RLKPLAAFTVAQSPPSDRTVGGYCIPAGTNIVVDTYALNIRNEFWGEDRKSYRPDRFL ERRATELRYQYWRFGFGPRQCMGRYVADLVIRTLLAHLAINYKLGCLESSAKDDGKWK RDLESWITLPDMQLRCVRRENLST TRV_06060 MATTNGEVVMGDMDYDGRVIIYIIKADQTSYINYMKPLILAEEL QFPHVLSVIDTRDEWFYSIHPERYVPSLKDQDPVTKEKVIVFESTACLQYLTDRFDTD GFWTGRTAAEKGAILSWTAYQTAALGYAPITTAIIISIIPTTNNGAVPRPSTGFTSRK GIPLGLIQLNSPVQLRSELHANTIRQWDILEKRLKEPGQDYIALKDRPTVADLSYFPF AMPWMFSFFGVDVKDWPHIQRWGERMLSRPAIKRVLERAPTLGH TRV_06061 MVAITNPAITAVERSSLESTLKTLISQVEATRNALLENGQRGLL QTLHTDKDLPDSAVEVLAGKAINILHETKQLLEPGHLVLADHFLGYVSTKCLCAAVEL KLVDILANAGEEGLTIAELADASEANPDRLQQIMHVLHNNHIFEYDPASHRYRNNRIS ALLHTTHWTQWHNWVDLYGNEFYDIARGIPQSIRRDEKKWGAQINFNTSDDMFTYFQA QGWLPRLHRTLGGGAIAQAPGILADYPWHEFGSRTVLDVGGGGGGFLVSLLREYPDLK GAILDLPRTIEHATTLFHNSDGAYYDLRERVPRENLIGGDFLKSVPSFEVYTMKWVLH DWKDPDVITILRCIRAALIPGPDSRLVVLESILSDGQYGRLSRYGDINMVMTANGQER TEEQWQELAAASGWEISKIYPLRRSWVCAIDLRPRADWKA TRV_06062 MDESELPADVLIIGGGPAGSNAAWELGKAHHRVTLFVGDKPVSS PGSEAGESSLPAVLKNRELFHLFQQETSKTLPVTVERKRITEVRRLPNGLFEVEDAGG NVWPGRLLILADGAEEVMPDIAGYQTCWQQQRILMHPSEGKHGRVPVAVLAVGDLAEL TMALHAVWQIRQYASAVRVYTHGDPELAQELLSRVSPDAAITVESAYIQEIQPDADSS HGVTVQLDGGKSEKAFLYHRRAAQLKGNDPFARQLRLELTESGAIRISARVPYMTSMD GVYAGGDCASLGQRTLLKALAMGEGVAAAVAARLERGRWKNLAYEEESCP TRV_06063 MAHRHAGGLDGHAAVTGSKAAAGGRLAEGLRGLELGALGRQLQV EVMFEPEHRGRLHRVDEEALRLVGPVLHLDLDGDAVRLVQLFQGPVVQAEPLVLLDGR AELLGQLVVCVRIDGDRLRQVVCQPREMLSGLGVARRPDGQDAHGVGASLFEAVAEET VVAQQPVSTERQVWDLVLDARRQHQLAASPDLPGGVGGLELARVQLPHLAQGDVRQRD GVVAALGELAAGSSAVVPGLRVVPGRDVVHMLRQAVAVGLRVEDDGGADGAAEAQSSC EAGWAAADDEKSKRVAIAVYSEADDAPKRIKKTEKKKKVGMGGPEPVKSRRSMHGS TRV_06064 MAAQYPAQMNFLAPRKPEDGPTFYRSAAAEGFETSNFHQEPYSV TVTDARPQRDSFQLDTHGFAFAVDPEGSRPEVLEAIRAGDKETVQKLYDPLVEKLIKD HTGASRVFIFDHTVRRREASLAGKNPNGREQPAGTHLPEEADELLKGRARIVNVWRPL KGPVLDWPLAVMDCTTLQKADIHPTKLYRGRFELRGETVSISHNTGQHWYYLDRQQTD EITMIKIWDSKDVAGHSEYTFCLFFWLLFCTG TRV_06065 MAAQDTPLYDCLIIGGGMAGLSAALSLVRTLHTAVVFDQGIHRN DQAPHLATIPTWDSQDPKRFREQTILNIQSKYNTVEFADVKLEKVTKLTEGPNEGKFC VWDDKQRSWLGKKMILAMGVEDQLPKIPGFDECWTKGIVHCLVHRGYEERGCAKAGVF AIDGDANLHAARHLAFQARNLSTNVTIYTNGNTELADEIQSALGPCGFQAEARSLSKL VQNQPKRSLDIHFTDGTSETVGVVVHRPLTKVRGPFAEQLGVEMTPEGHIKTNFPFNE TSVSGVFVAGDAGSQFKIGTQAVVMGAFAAGGVQMQVNAENWSKPVNPAA TRV_06066 MHLSDPLSTALLFGLFVIVAVLLRGKSTHLGFTRLGKSRLWTFF SWQKSTRFDLPRYAKIGYEKFNKALGKPFATTVFGHEYVVLPSKYLEDIKRASPKSLS FFQALSDGLNMEASVGHLYTSTTEIDVVVKHLNPQLNFHINLINHSNANDDTDWKKFN VSNIVASIVHRTTNRILVGKELCRDEEYLSITTRFSRSLFLHGIFWNFINLGPLRKLV ARLTIWFHLRDRDAAAKLLLPHIHSRRQDKEASSKYQDALQWTLDTPPSFPGDDEPLH QAYHMLHLTFAASSASGVGVTQCLINILAYREYLEPIRQEIATVIDRHGGWTDKALSQ MALMDSFIRETMRLHPAGSLTVARTVMDEQFRFHDGLTLPRGTNIIAPALAVHYDPDN YEGAHRFDGFRFARYRQKQGESHRWLASTIDQKFLQFGYGNHACPGRFYAVRKIKLVL GKLLMGYDFDWAQPRLAGDRPEDFAIEAQMMAAPETEILIRSRT TRV_06067 MAGAGLSKRGAANVDKIMPGISAALLERTKPTAPRIDLSTAENW LLREEIIELTKDGIRDGLKPHHLLYPNEFAGDADLIKALAAFFNKYFHPHIPVEPDHV ATAPGAATCLNTFLYNTCEPGEGVLVPAPFWNGFDWLFTARSSAVPVMVHVEKSEDTL TAQLIPALEKAYSESKIPIRGLLLTNPHNPFGQCFPKSVLEDCIKFCHGKGIHYISDE VYALSSFENPEIPDAAPFVSALQIDVARLGCDLSRVHTFWSTSKDFGSNGFRVANKEM HVALALASNTETSSLAAVATTALLTSPKLPDLLQLNSQRLKEAYTIITDFFKRKGIRY IPVNSAPYVFARLVPNAQSWEEESFMIGKLKLAGVVVSSGKAYHVNEEEKGWCRMTFA LERSRLEEAIKRMETVIGQQERYPLPTMGALSNKDLHPANGSIIPHLLLLAAQLLILA GPRQLPGRRIVAATVILTLAVAAQCNRFTNNPGLANLFALAWPHWLSALEKTVFASPG GPEIDLWRIDRATREAIAWPALGWRKVKWAVTIVLNLRGIRWSYQVKNVPPVAGLDRM SRGRFLIWRLTEFALVILMADLVSQMGRRLFFSEPSGVVGTLDSKYITVSDHRLGWSF LKALTFGLGPYYFINMQYLVVSIVAVALGISRPSDWPPLFGKLKEATTVRNFWASPSW LNRQLTSQKSLSTITGAFVDVVGIRRGTNASSYTQLWLAFTISGVMHALSQLLMPRPA NITPGEIVIGIFLFFPCQAAMITAEDFVIWLWKKRLGLQTPRWAPAVGYVWVVCALWF SLPFAGDAMVRLKMGEVSPLPFTLAAPLVRMIPVP TRV_06068 MFLVAGLLPDPDEPFSPSLSHAHAWILAAVTEALQIAMFCFQFG KDPINSGMESVQLGLLMLRMGFFVAMAAIYLQPMYAWSHIKLGETDPLLGEIAAKPVR DAQHGGWLDYVVGFSTLFPYLWSVIPNPPNISHFPHHANGNIYRPSDSRRLQLRAVFC FFLLILQRIVNIMVPRQLGFVVASLGSGTIPYKQLAIYLVLRGLQGQQGVIGSIRALL WISVSQSTYRRLTSSAFEHVLSLSLEFHLGKRIGEVMSALSKGSALNTFLDGLIFQLF PMVADLWIAALYFLIEFGAFYALIVISVTWLYLFVTIYMAKYRGRARREMVNREREME AANRFNGLIKSFQGAEYFVFFSLNMLNATQNLLFTAGVAIVCLLCAYQISADMQKVSM FVTLITYLAQLQAPLNFFGSFYTQVQNNLIDAERMLALVSLVMTAETVLMLTAIQFKE KPLIQDGDNAMPLNYCKGKVEFKNINFAYDGRRPALRDVSFVVEPGTSTAIVGESGSG KSTILKLLFRFYDVAGGSVQVDGMDVRDMTIASLRSHLGVVPQDAILFNDTVLYNLLY ARPEATMEQVYEACRAASIHDRIMSFPDGYETKVGERGLRLSGGEKQRITIARTFLRS PQILLLDEATASLDSQTERQIQGALEKIAKGRTSITIAHRLSTITKADQIIVLHQGRV VEKGTHTELLSANGMYSQMWEKQTKAKVKADNENDGNLLISPE TRV_06069 MEGTPSADDGQREEKPPTTRGTRFWLVFVSLCFASFVASLDITA ITTALPTITRELHGEENYVWIANSYTLASAVVQPLTGQVSNIIGRRNPMIAMVCLFAL GSGLCGGATSTAMMIAGRTIQGLGAGGILLLLDVIVSDLVPLRQRAQYVGIALSTCAL GTSLGPLIGGVLVEHASWRWVFYINLPFSALALISLVLCLRVQHQREATWKRALARVD WIGNAIFIVAICAIMYALVVGGAIYPWSSYHVLVPLILGAVGWALFHIFEASPYCLEP TMPPRLFRNRTSMGAYVLSFLGAMLMQWIVYFLAFFFQSVMSQSTTMSGVSVIPFTGF LIPSAILGGVIISKTGAYRPLHWAGFAIISICMGLFSTWSVRTSRAEWVTLQCLVGIG NGFLLTSILPAIQGALPESDNATATSAYAFLRSFGFVWGVAIPAVVFNGQVDRFLGKV GDPALRDKLARGGAYSLVGTSFFEGLGSEKGAVQSVYTDSLRTVWQVGMAFGLLGFVL VGVQKHIELRTTLETDFGLEETPQQRDTAAEDGAATTNEPTEATTSKAQ TRV_06070 MFVSPSPLPKAEAAKERLVWYLAYGSNLSAKTFREDRGMTPQAA VAVKVAGWRLAMSSAGFPYREPCYASITEYKPATEKIVENGVDDDEVLCGTAYLITWA QWIEIIGSEGGGIAYDEALVAAQPVHPAHRQRWGDQIRVLTLVSTMERWPEARPTERY LVSLIISFFPPFLSVSKSWRSTQNKLTFLPPRSRSFKGLILDGAQAANFPPSYIERIR QKYPCYQPPSTAWERMGAAIFLAFWTPVMSLLSTLTHSTARTGPGKDGHVPPAVRALV RFAMLAMWWLHDTIWAGIWGRGDGLDQ TRV_06071 MSSTATTTTERFVSPFYPELVHLLFADPALAGQIHQESPCDGGR PVTNAMQQRVGKVPGIRARANKPSRANSTEKTVQTPRSESAIVLPQDAEGDTDAQTTT QTTATEPDEPNSRPEFGDKPNAADAGQFFDTNTPKSPVGMYADWTNPSTDKSLNPFDS ADLFILPSQLMSSEHDPSRSSRCHSQAAGDSHTQSPVHTTPYIDAGMLPLDLPDLPDL DLPDLDLHIHDFHPMDLPSCSLETPAPTPATPSTKKRRSVHLDPSRSYPSRSAFQYTE NVPVTECDSEFTSGRLRQQQYNYRSWTILSCNRIVEFLEHHIQRGVIALDVVMHTNKV TLAEISRLLSQGAHKERSNCAMLLLIAIEQIVTLFERSVGQDPSDSDRASVIGSMGGL TNIGACKSLSRGNSGGNVLPNLRFGSFQISQDEQLELRSYLLQRELQRCLQVLTTLRD SITLEPNPCTKLEERVGKLSSAL TRV_06072 MSDKALSAQQEIITGYVGQLIEELRARSIGEKGGKKGMVDMVRW FNFTSFDILGDLAFGEPFGCLRSGIMHPCIELIFTAIKSVMDMQIIRRVPGLFPMMMA IAGLFQQSQHLQDQFMFCQKKARERLGRETTRPDFTLTVTYILRATEEKGMTQDEIEA NAQILIMAGSETTASALSVMQKLRKEIESTFQHESDITMRSTQGLEYLNAVLQESMRV YPPVPCTFPRTTPPGGATVCGQYVPGGYIVGINQLAAMTSAKNFTDPTKFVPERWLGD ERYKNDCKKAYQPFSYGPRNCLGKNLAYAEMRLVLTRLLWNFELELSEESKDWHSRQK VWMMWDKGDLNVKIRPLRP TRV_06073 MDYFHAQNIIPALPYMVGLVAIISVWWCVRPKPNKIPIVGDAKS QNFMAAVEEGSREQYPESCFQIPTRDIPTIVVPRKCLSTIAYAPEHRLSLGREVYERL MGRYTKMVKSDHLAEFVRGGLSKQLGGNISLLQEDAIWTISSQIGNCPEWKPLQLFPT MVKLVPLHIGRTFINSPLSREQEWIDLTLEYAISTVTIAAKMSNTHWMLRPFRALFLP EIGKMSQQFKQASKLLSPVLNARLSGDAPGTKDLMQWIIDNYPGQSSNLTLHTRLQLE AVQAATYNLAFQLIHFFFDILAHPEYIEPLRTEIQTVFDSCGGTWTPAALAELRKCDS FLKESQRLNPIGIVSVSRFALSKFDLPDGTTVPAGISVSAPAMTVNTDSSLWECPTRF DGYRFEKLRQIKGNEYKYQFSSIR TRV_06074 MVYLATSGLTSGQDTDFVAAGGPGSYAWNVIRSEADHLIFKHAG ENGAKTFDGVKVNAINFEPLSEDNSDPVSTDLGRPVSATWTRKADKSSGVINFEYLVD ATGRAGLVSTKYMKNRTYNQGLKNVATWGYWKGASSYGIGTPREGDPYFEAIADGSGW VWLIPLHDGTTSIGVVMNQAMATTKKREAGSSSQQFYLDNVKQIPGIWQLLDNAELVS DLKSASDWSYSASSYASPYLRIAGDAGCFIDPFFSSGVHLAFASGLSAALSIRAAQRG DCDEKAAAEWHSKKVAEGYTRFLLVVMSALKQISDHDEPVLTDWDEESFNRAFDLFRP IIQGTVDVDKTLTQAEIAQTINFCVNAFQNAGREEQDALMNKIKSVSETKNGEETDVV KKLEESLSADERRTLNTIQARQIIRSEDTMNIDNFTVDVIDGMVPNLKRGSLGLLRYV PKVKAGQQEDELRAKLGLPEKQESIFSY TRV_06075 MVSVHDLERASTLAREAVELVDAGHKEVRQLFDICHQPDKPVAA SRNLREAIAIAPDSEDVKSVFRKIREDEENSHPLLNLCRRFVTQRDESAAGEATKYLR SEGLQPPPEVALECVKLLLAEPASSLPAAQDELITGLVRQSIRVRSYFAGELQNSVTG LFDKIYDRGDGAVVCLDMVVLERGLWQSEQTRKHCEEELFQLFIAKLMESGHDHDGRS LKGIARLLVVDAGSLKHLVDEEGFDVIVSSLDRRLPADVKSQATLATAKYLEVSGDAG QTLCSNFIKTRVAKHRKGDYIVAFSAASVVFPILPEFAVSLFLTEGFLKSLTPLLARK IKCKNLELAILELFNAACVNSSCREAISKNFVDWLSEHLKSEAEETTALAAVVLAKLS VSPKDASSADKDKAGIVQEEHADPLELVDKFKTMLGKSGQDGNLRHVVEGLAYASVKA EVKEQLAQDSKFLQDLISLLLERVADSTVLYGGLMIIHNITAYRPNMSEEQKKMSELK AYANASKPRATANPLEDDDHTKARCSAIVNAGVMPLLVECGKSQLASPKDLVNKILLS LSKDSKSRGKLAQQGAVKLLISSVSSQDSTATHTPTTESTITNGAHALARILISVNPS HVFPSSGFPQITSAIRPLNILLTPPGTTSISSDQPRDLLPVFESLLALTNLASYPDQS VSTSIIRSAWSTIEDLLLSHHTYIQRAACELVCNLMSCEAGVGKFADGTHRASQRMHI LLALADVDDMPTRSAAGGALAMLTDFEGAASAIVERTRGVDIILNLCQEDDDGLVHRG IVCVHNMVGGTGDIGRKARKAIREKHGMEVLRDCLTKTKNPAVMRSGVEALKKLVDCQ ASD TRV_06076 MQEEYAGPTGRLRRPSSACPSSSFFASSRCPRRFLSLSSSFLRP LLEKEKPTDDRRRRRGRSARLPTREKREKRERPGEEESESGWRIQALQRTVSPPPYRR SYTLTDLISPDPSLLTVILDTNPHAWAQLQETLPLSSAVANLLVFINAHLACNYANKV AVVASHSHQAVWLYPTPPAAAPGDAATDSQKKHLRPSNNDRDGDTPMTDYGEPVVSPQ AQQLEQQPNKYRPFLLVEQQLTRNLNRLLTRTRAEEISPSSASSSSSSTMMAGALSLA LSYINRETIAYLDTHGTAAGSDPAAGGAGGLPPPPGGAPPTSDKANAAAATDAGALQS RILIISVSSAANSAQQYIPIMNSIFACQRLHIPIDICKLAGDAVFLQQACDATRGIYM SLDSPRGFLQYLMLAFLPDQRARRNLILPTRVDVDFRAACFCHRKVVDVGFVCSICLS IFCEPPEGANCLTCGTHLALGDYGAKPIVVSRKKKRKKASAKTLNGAGNGVSSGPATP SSMATTPAP TRV_06077 MNPQDKGPQGGPGVSAIFVHAGAGFHSRQSEELHLWVCSKAASL GMAMLKAGGSAVDAVEVAIKFFEDHEVTNAGYGSNLTITGAVECDATIVDHLGRSGAV GAVQNIKNPISLARVVLDASTQPLSLNRVPPNLLVCGGATDFAYEHGMPVLPSDILVA PAARDRWVRWKRDLEQVSQRLHKREKYVELDSFVPQHLPSQRVSQASTAVESIDSPPH DSCYGAHSLFHVPRSPAHVTNICPSRDAISMIGSNRCGSPLLCVSRSDISMEGDGEAD ADFIDDTFHQDPEPSSQQDAIDKQKDKHVCSVEDLAREGITGASAANNAHDLAVTRDE DEITDTVGAIAIDCYGNIAAGSSSGGIGMKHNGRTGPAALVGIGTAVIPVDPDDEMCT STAAVASGTGEHMATTMAAQTCAERIHSSTKKVPGKPGVYEPTTEDEVIKSMIQTDFM GHPAVKSSHCHAAIGVMTVKKTHDGIIFVFGHNTDSFAVASMSSDDVAACCTMSRSPG DRKIAQGGRFIRPRR TRV_06078 MKQLHLSMFLFCSPLRGFYSFLLSPLRFGQEGGGDNNPVVASRS SGYSGGIRSLAVSFPAFHWASRPLYAHSMGHKSRNDGVKSAVLMSDVEKRVEVAKRHM ISSLGAFSSSTLFNEWTL TRV_06079 MAAQTPAVVMDNGTGYSKLGFAGNDSPSFVFPTAIATKSGAGAA PGTGSGRPPVANKPSFLTGGAGPTSSLASKRGTEDLDYFIGDEALAASSGPGYGIHYP IRHGQIENWDHMERFWSNSIFKYLRVEPEDHYFLLTEPPLNPPENRENTAEIFFESFN CAGLYIAVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSI PIAGRDITYFVQNLLRDRHEADSSLKTAEKIKEEYCYVCPDIVKEFARYDREPDRFLK HTVTSPNGRTVSIDVGYERFLAPEIFFNPEIYSSDFLTPLPTVVDGVIQSSPIDVRRG LYKNIVLSGGSTLYKDFGRRLQRDIRHLVDARIRASEARSGGARSGGLEVQVITHKRQ RHGPWFGGSLLGQTPEFRSYCHTKASIFWPLLPIFSF TRV_06080 MPGQGKAQQHKGRGREPQRKKQKKEKSKAEGGADEVVELDVKTL LENNKRKSEENGEKHTDAETSVSVVHEGKKDSPFPETEVTVSELSSTGEGLALSPNGD HVYVVPFALPGETARVRIYKTIKPKSYSLTDLVEVLKPSEQRDDSLIKCQYFGKCSGC QLQMIPYQDQLQHKKRIIEKAYANFSGLLPELIPAIGDTMGSPMQYGYRTKLTPHFTQ PARNRRQEHSSDAPEIPPIGFMMKGRRKVMDIEDCPLGTDIVRSGLKNERKRVAENLQ QYPYGGTLLVRESTKRVERNKENEALPSTDKVIRTTFPEYVEEKTYITDQNGISVEYV EDYQFHNIAGTFFQNNNSILPSFTGYVRDNALHPEPKPDATPAESAAAAKSNPKLKYL LDAYCGSGLFTIVLSGTFRSSLGIDVAASSIKCARENAKLSNVPNTGFAAADAAALFK DVPYPADQTLLVIDPPRKGCDTNFLNQLLAYGPTRVLYVSCNVHTQARDVGVLVEGKN GIRYDIESIRGFDFFPQTSHVESVAVLTKAIETKAE TRV_06081 MSKTHGISANIYGRGDRSLGDRPCHVGLAVYEIGSDICEMHHIR CPQDDQYIYDPRTQPLEDSVLRGRCELTQLSNPEKQQVSQILEKFGLDSTNIPEVGVG NCQDWLAGAVKELERHGILPDGEGSYWRNMINLTSDQMKKRCEDDGKQWIDGPGQIPF SGVPDARYSDREERNVGKLAHGSIIEGKLESLFASKSGPGSSAYEDNDPQRLYVSSPF FSQTKRED TRV_06082 MSVTRPARCLFCTFSKPNLIRYPRRQFHSTPVSCTPRKPKHENI KASDVEGWLKQHTPEKSRPYTEAEKAHMKTVYSPEQIKAIEAGEKAIPDKDVDEQFNL RDDPWALKYVDDFSTIEPVVDHHIRSPITNHDPNSRLKTHDELVDDVANFIKDMPDEP SALSLQKFFENVRLTTGKAEAELDPHSAAVPDVFEPGENFSNIGRHEPPPLFEKEKSF ASTSELTDSQKKLMAVTGLSANALKQIQTKVLVSHRVVNQTRLGKIQKAYVLVVAGNK NGLLGIGEGKSEEAPAAREQAEYRAIRSMQPVPRYERRTIFGDVKGKVAGTELILMNR PPVTIFLIVLCSVSLLTTISISGFGLRCSQRIWEMCRLAGIKDLAARVSRSRNPMNTA KAAYKALMSQKDPEEVARALGKKLVDVRKVYYAGKV TRV_06083 MDYDTPESGFSNPLVRDNLLLTPLEQEVLDEYTKLLENMNKLST SLNDLAVQPSSDTLDALRSLERKTATVYTLLKASVYSIVLQQQIYNGGDEGQPGDN TRV_06084 MPAGKQSKQANVEGRSCSKALLFLARTRLAGLLGPVTRLLTHNT IWTSMKKKKKNKKKNDNNKDDTTSQGIREKVICWLVDSSRQRRRQGMITLTS TRV_06085 MTQLFNSSAPANPNSEKRNFPGISLTGKKQEKNEKHEKPANDSS HFPTKLRNFFRINSSSSTTNSNSQGGSGQNSQQNKNSNLAVPKQESKFQSRFLPHIGR NRSTTVASEGNPLDDDGVSPTAHANPYFAHQGYPALRHRNDDSVPSTPPDTPGIHIDG APGVEQPTAANKEELARKLRRVASAPNAQGLFATGKSDERPRTAELGKEPLVEQAGDT AKLGFVEQPPSQNLTVPDADTLGPIPAPGQIRSNAGYRRTYSSNSIKVRNVEVGPGSF DKIKLIGKGDVGKVYLVREKKSQRLYAMKVLSKKEMIKRNKIKRALAEQEILATSNHP FIVTLYHSFQSEEHLYLCMEYCSGGEFFRALQTRPGKCISEDDARFYAAEVTAALEYL HLMGFIYRDLKPESTKAVSSPFIEEFLTNMYPDILLHQSGHIMLSDFDLSKQSGPGGA PTMIIGRNGTSASSLPTIDTKSCIADFRTNSFVGTEEYIAPEVIKGNGHTSAVDWWTL GILIYEMLYGTTPFKGKNRNATFANILRDEVPFPEHANAQQLSNLCKGLIRKLLIKDE CRRLGARAGASDVKTHPFFRPTQWALIRHMKPPMIPHQGRAIDTVNFRNVKESASVDI GGSSNLTGVPMDSGLATPSGEVADPFEDFNSVTLHHDGDYHENHLVDDSNVHKS TRV_06086 MAISNSLPVLVVANLFAAMCVGFGINAILRPEHGVSFFELAPPT VDGPEKTLFNSLMAVYGARDVFMGVAIWAATLTGERKALGWILLGVSAVAFADGAICA VNGAGEWNHWGYAPVVMVVGLLALGVLDGSKAKTA TRV_06087 MGFVSGGRRWRFWQKPGLDVQANETQTGMGQQQQSHPHTNEETE RGHRTHSRSTSLRRISSTYTHQVPIATVEYDAEGAIDPDTDIERLGRLRPPVFKNWAS EAAFCFSIVMSQVLTEYFISGFNVIIPRLVDEFDIPDASAVWPASAFPLVVSSTLLIS GRLADMVGGYYMYVGGMGWLCIWSVIASFSNNRIMLILCRALQGLGPAAYLPSGMMLL SIVYRPGPRKNLVFSLYGTCAVFGFFIGIFCSGLAAQYLAWQWYFRIGAILAAITTVS SFFFIPSDAAEKRKQGVKMDYLGSTLIVVGLTLFVLAITDSAHASQGWKTPYVYVSFI LGCLFLAGAFYVEGWVAEWPLLPFDLFDAPYMKAMVLALLFFYGCLGVFLLYGTLYMV HIMGATSLQVVAWCTPMVVGGFAFPMMVGICLHLVSGTVLLIISGIGWIMAGLLFAIM PDGASYWAYAFPAMIGATLGIDVTFNITNIFITTSQPKHRQGLAGALINSVLFLSIAV LLGFADVAQVATLDQGRKHSYRVVFWFNTACASVALLIIVLFVRVNPAASDLTVDEKK RQHELSQRPLNDPETPPRGAGGNRSTTSESENPSPS TRV_06088 MATVLAQSPGSSSIFREAVNSGNEGKEKPRLKVYEDLEPGKGDL SLSSTVKMKPRKYDIETLLSIGKNMGKTDFPKRLNLSSFYGSGRKSLGSGSYVLREKP VNHTRTSTDMSMDSDASYHTTQSSHFQFPCRQPKNAPEGNIAQTNEGFARFLKEHASP KHHRVTAGGRIVPMTLRASPTPEFKPAVKGVEPACNQNPCQNPGASHSPMSGKGDESK EDTGTMRRHRTRTMAEHTTRSEQGRRRDSAMSQASKGNTTKTTPRYQTPVISAPVTSN PTTPGQMGGINFIPNLVNVGMDGLSMQQGQTDQGAFPFPSLQTYYSPAGIAQTVPDQA HGAAQAQFIGPACNIIPYPTPPGLGMINLAQMYQLPGAFNSFTGYMAPGLNAAQTASQ PNDSRGLSNMLDNAIQEFETISAQLSNLDRYMAVHTWDIDPSSKKILVIQRMELVTKL DAARVLKENIEAMLRSSNDSAMPVKVHQKGPNPGSHDFITAPPCTPVMTPQGGYYNPG LTNAGGSSFSMSDVHGFMSPVQATESPYMSPESYCDNGFLANMPASSATSNNWTVPGV NNMGIPERLADDDDEDDMRIITSATGQKIGEPTRNARAPPELSVIYQKIEQAAKRNEP LGPLFQELAKVTAHITRLGTNRGRNPDTFGSGRIGVPRGRPSARLEIVDPKARQAAAP QTPKPVQTPIATTGSSKEPAQFKKLSKLQQSPLSFSPCKQHCRKHDSKKVETLTMEQK VNAHGYLPPFDGGGDAPGRASQTEPNKIEAAKPTAPSTENTWMDLLRTRPNANPMDVR RFFKLLRDEDSAALDKYRKEGDRRAFG TRV_06089 MQGLYPPTPELASFRGILSSATDANGHLIDYPLNGYQYPVISTY RAEDPMSAHISGHKNCPQHTNAVRAFAASKEFHDVFDSTQAFYSNIYSRILFGVYSRD MASIYHANTVYEYLNYQYTYNSTARDIISRTDVDTARQYANQWAHATSTGADVHWSKD RVLAIAGRSLAYPIMRSLQQNERSKGSFNKLSLIFGGYEPLMAFLEIVVSKPYREGLS GLPNHGASVMLELFSMAEDGIAEYPTDNSKLMVRLLIRNGTDASDPESQFKQYPMFGT NNKETAMPYKDFVDQMVFNMKSTSEWCRSCDGQENFCYQYAQHKSTKKCDFTTLPPLD TGALIGLGAVSFGLPTLALSCLFCMWRGHRHRKKLRWNHVDTENNASIINPGSSRDIR VSAPSSIAPSNESNAGSYNEDIEMLLSPACQPVKVRDTV TRV_06090 MPEAQPVSMTDHLQAGDPPIDDEPAAAKPPSVATPIADSNAEPV TEKPKQSHPLQDDDASLAPEPKRRRTDPSISADAACDTTASTPFESQHLGPSETYFPA KSGVTNATGLNTEPGPFKEELREARISAAHAGSPESVAHAISHLLDQSRRKDASKQAV SADSNAALLKANSNLKAQSLPILDNLSTQILSFIARTSFQDLTALVSEPQSENAQSYS TLRSLFDHTKKVYTTNKPFLSASELGLTEASQVEVIRKANLASFVFSLFGTQEIGFAE LHDNFLDIFVPEGGRLLKSQAALFLELKTQTFIASMNNPARPNVEILYELFPDNLGLS LLARRPGTRHPAPSETDFVKRASSRRDILLGEINNPDSIHTLPEKYLWQDFLRDISVY VSKNIDSLTTQTKRLNKTRSPTSPQEEEAESPATALETQFSVAPPAPPEPAPVERRIG RVDLAARAARAAQIALQGQGVRRASAVTTPPLQQPQQQQQQQTYQPAPPHTQSAVSHA SPPPPSVQQHTPQSTSTSPPPPAPPQSQPNVQSSYTNYASVPATASPQPPHLPFLQGQ FQFQQYNPQTPPEPSPGRNTPASATYAYMPGVPHFSQSEPTQILYERARLATTTRSSP SSRRAGLPSQRRPWTTEEENALMAGLDRVKGPHWSQILSMFGPGGTISEALKDRNQVQ LKDKARNLKLFFLKSGIEVPYYLKFVTGELKTRAPAQAAKQEARERERQQGEEDKAHV EGIEGMMALAGAHTSASVEPSVGSIESHPNTQPGTPSVLAQQQEPHNNHNHHHHQDDH DHHAAERSAEQVLYQSLTQEKAEGLQMESQRNYVDPSL TRV_06091 MAESDSSQPPPPQPQSNQVDVAQPSSQAVAQQPASSVPKASSSA SPPSQTQPQMQTSQALPQDTAAPANQDSSEPVIKQQSPSSNPSEPAVAATGEGGSASS LAKDVDLSPQGANIKDTNATEDITMGGTEPEAGETTVQEGAGVTAGGAATTSTANVAP GIPEPAPGLTGLESIVPPSKKDTSLREFLSQMDDYAPIIPDAVTAHYLTVAGLPPPGP GPNNTPPHLARLLALATQKFIADIAADSYQYSRIRSSNSASSNNPMGSINVTAGLSSA AQGGQPGGAGAAGAAGTGEVSTKGKSGAGGLHLGVQRAGFGGGGQGGSQGKTVLTMED LGMAVGEYGVSVKRGEFYR TRV_06092 MPPSSKSQKLDEDSFVAFDHAAAGHEGVRCDESGSLIAKPCTEK EILFYESGANHPAVQRYMPTFIGSLRAGAPKEALDISNADADAPLVITSAEAPVERSS LPMELATETDSISITRPAKKPDQPWVPSGGKKLDTGLSIVLENITDGFVRPNVLDVKL GARLWDDDAISDKRKKLDQVSAETTSGSLGFRIAGMKTWVGGDTQSGADIDVTAQHLA STASETIKSKAKVVESEGYLRFDKWYGRGFDEHNVHQGFKTFLASAKAGTVDRSAFIA ERIATELRAMQSVLESEESRMYSASVLIVYEGDPETFEKALEVEREQANKPEDLSTRT TGHGGDTDEEAEEEDEDEEELPKVHDIRLIDFAHARWTPGQGPDENLLRGLRNVAKIM EDLSKVSN TRV_06093 MEAKPEPDTVADSTSVLSNIPINLPHRPKKLADEDRDQLEAENK EDIGENNNGNVEAASENQVIEGEKTIAESLDKTISVPVKKKSKKRSKGKKGKKKITGF EEYYVDPPMTPAEHEEEKSIYDPRLETAIQRYQAKRRLDPERRHIFTKYMAFGGVDVG PKMFEGNDQRDLQSMDAEAIATATASSNIPHDRDKWNVDFETVAKGFLSSVFPQMFAV DTEQLVQLGTNTIKNFLNYIIYHDVCPEYRDDIMAARKITDQAAEQLWKAYQADANAP GDFNTACSTLFGDSFFDMYTEEREWVENSDTIPFMTLTAARKVVKFGIAAIGSLEQVV KFRELAVSNKLREKLVHEYGFEVTAIIPPSTEVTEFYEQNAPDLKPIGTIRAIAWRDS SLPDEDLAPGEEPPAYRHMKFEFFVEKSLLPFFFVRMKVDANVWELSCGVHYFDKFMA TYCSFHTTLPNEGILNWKEPRDLRGDHIVWGSKRTETVEDEDEESDIED TRV_06094 MKTSLQSIAISAVVKRLFIPRVYTHPPVNPAFNLKVQTGLHGFG YTTSAPRRLPSIYRNMTSLPTSQKGVLVSKIGGPEVLEYRMDLPVPSPKEGEVLVKNN LTAINLIDTYFRKGVYDSTKPEILGKEGAGTIVALGPGPNPYNFAVGDRVAWIGTAGY AEYSTPPAYRVAKIPQGVSDEDVLAVLLTGATCLSFIREAYEVKKGDWILLHAAAGGA GIIMTQLLKLAGAKVIGTAGGPEKVELVRGLGADVVIDYKSTEGAKWLDKVMEVTGGE GVNAVYDSVGKDTWEDSLKAVRRKGSVVFFGNSSGVVPPFPISMLAGKNIKICRPVLF NYIYTREEFDLYMNELFQLLEAGKLKTSIYKIYPLEDIQQAHK TRV_06045 MTTMESPPYLRGDGIQQDDGNEQAEEKADDIVVPDLAEDAAERK RVLNVLAQRRYRQRKREQLAELEAKARQTQPRTPESSEKVTSPGSESSTGRASVNLSE TIGEQAPTPPQLDGDAPPTFGNGPLSFSSSLDGQTQILGESLLDLTSIPEFTFDPSSL SAIGSLSAMGAETPPPNLPSPPADLQLSPTARTSPSLSMLSLQFLANYPQDDTQPLSS ALQSFQTSNFTFPDDKILEVPPLTLLRAMLTIATRLKLTEYIWNLAGISPFYTGPTGL GADVAQHMDLAGIPRNFHPTPTQRLVPHHAILDLLPWPSARDKLIQVFSLPVQFRPPA AADPMGLMGLVYDIEDAGEGLRVSGPDPFSPEMWEVGQLVFQRWWWAFEGSIVERSNR LRNERGKQGLILGAGP TRV_06046 MAAPHIVFMLCKTLWTEYIYCFLFTTATRHSNSHQSATSSLSNQ SRQVYIYRSSPKTTKMPRFISPFDESHLFYRDYKPADFPAPFRPDEASLNNEWRKPAL VFLHGWPMSSIMFEKLTLPLCETYRFRCIAPDRRGFGNSDWMGSKPVKKPIDYDVFAA DLAYLLEKLKIGPFVFIGASMGPGEAVHTYMNSDYVRENCRGIIGIGAALPFPLQTPE NPTATSREAWDDILHNLRYNRPAYTKAALPAILGRDAGCEVSDELIQRYTELVLREDA QAVERCVQLISSTDFTEKLKKLESESDLRITCLHGDKDSPESQVVMLKKIVPRTVVKI YENAAHERGGSVRFRRFQDYIYHRESPSLGGVGYYLKALLVAIK TRV_06047 MTPPPPPAYDAPADSPIYANKELYSRIANCPSRTLQHSSLIRPR SAHAWTVRAGQVCRITAPQGAQVGDLNIWNAHNPRERFWASRTRQLHQSHVSTFDRLW SCLPYMRPLVTITADTLSTYGVDSSGGRVHDLLGTRCDPYIHHLMTGESDDFHCHSNL VRAVAQHGLVESDVHDVLNVFQVTGLDSKGRYFMGTSPAKVGDYFEFFAEQDVLCALS VCSGGDLSQWGWKGSEQMGDTTKPLGVEVYTLDDKAVLEGWKPLEPSAYTGLHGLRMP ARGQDGLDGV TRV_06048 MHLKKRDMSLSETYVLAHTARAKLTREAARADHHLRLLVGHANM LDNLMLDLAEAEREREAWYNQAIKSRSTSTSTTSTTAAAPAPVSGKRIQWLDTVVRHD EPEGDSDNETGEWDAVSDSDSDDSSDYETDGDFLVDIASKRRQQSSPAAAAAELYPIK FSPATHRDFDLGLNNNNNNNDNDNNDNDNEGEEEYDDYGDYDYDDGDELTLTRTSSRQ HQPPELLHDSDEESEDDMPPSPPCTTFDSFSAVAAAAAAVTAATAPEKAVGKDIHAEQ PASPRLSPEEQSSFVEQGFFLPPRNPARTAQTMIAAF TRV_06049 MSSGDSSATVRLATVSNRAAKVSKETAANKMWDVFTDLYDAATN ENGFVNVGVAENFLMHGTLLDYIRTHADLPPHYLTYNDGGDGSRRLKTAASRFLNRHL KPVVPLKPEHLMVTNGVSAAIEHLAWAFTDPGEGILLGRPHYGALLPDMTSRPEAEVV PVSFDDLDPFEVRCVTKYERALLDFEARTGKRVRALMLCHPHNPLGRCYPRETIIELM KFCQRYQIHLISDEIYALSVWENTVDTAPGTPPPVKFVSALSIPTQGLIDANLLHALW GMSKDFGANGLRVGVIISQSNPELLAAIKGPTLYSYVSGLSDHIASSILENEGFTDRY ITVNQRKLSESYAFVARAMQDHDIEYAAGCNASFFVWVNLGKAYLRNKQIPIPADLTR TVMDELISKRVFLADGTAFGSEKPGWFRIVFSHPRPFLDKALGRIMAAIGEPYKYASK PECSARAKL TRV_06050 MEEQSNRPHRKSKEKGKKKDHGGPNPKAFAVNNPGKLQRQAARS HDIKEKRLHVPLVDRLPEDPPPIIVAVVGPPGVGKTTLIKSLIKRYSKHSLTSISGPL TVVTSKKRRLTLLECPSDSLASMIDVAKIADIVLLMIDGNYGFEMETMEFLNALSASG MPGNVFGILTHLDLFKKQSTLRTAKKRLKHRFWSELYQGAKLFYLSGVINGRYPDREI HNLSRFLSVMKNPRPLIWRNSHPYCLADRFLDITPPTLKEENPKCDRTVALYGYLRGT NFPAIGARVHVPGVGDLSVSSIEALPDPCPTPAMDQAMAKAAGKNGRKRLGEKQKLLF APMADVGGVLVDKDAVYIDVKTNTFNRDDDNEQAEERGLGEQMIIGLQGERKLLGDTA AGVKLFRDSGVAATINPKETENLGRNEKRKARFIEGDDNAHDLEEDEGDGLESAEEDE DEEQYGSDIEEESDDEVSGADFADKFREKQNGTSCSKNGEDLAFADSDSDLGSISSVE HQELESAPSDYEDEEDEDGETRWKENLAANAKVMFSKKPPYRVAELTRMLYDDSVNPA DVARRWSGEDAMEEDQKDDEDEADGFFKKTNAEKGVLDDRMIPVYDYDELEKKWQDQE NLDALRRRFASVKFSKNRTGKEGSGDDEDDDEEGGFGDSDSDDEGDGAFADLETGEVF GGDSKDNEEEDEEGESEPEDLNAERERNARRKEELKLRFEEEDREGFANSKDGARAGG QSQNEEQFGEDEWYDAQKAALQKQADINRAEFDALDALSRARAEGYKAGTYARIVLEN VPCEFSEGFNPRFPIIVGGLAPTEDRFGFVQVRIKRHRWHKKILKTNDPLIFSLGWRR FQTMPVYSISDSRTRNRMLKYTPEHMHCFGTFYGPLVAPNTGFCCVQSFSNKNPGFRI AASGVILSVDENTEIVKKLKLTGQPYKIFRNTAFIKNMFNSSLEIAKFEGAAIRTVSG IRGQIKRALSKPDGHFRATFEDKILMSDIVFLRTWYPVKPARYYNPVTNLLDYKDSET GTGATWQGMRLTGEVRRDLDIPTPLEKDSAYRKIERQARHFNPLKVPRQLAADLPFKS QITKMRPRKKETYLQKRAVVLGGEEKRARDLMQKLTTLRNEKVAKRQAAQEERRKVYR AKVAENLEKKEAREKKERDEFWSREGKKRKNEAEGGSSKFKKRK TRV_06051 REKTVKMKMKTVKIKMKTKELKEKKR TRV_06044 METQVSDAKEIMETQAIGSLVPVSPAVVVSGGSFRTPEELNLAL RRWSNELPQKKYIELLNEAVAQITAAVEQHENARDKVLLDIYAVGQETLGSYFSKFKK TPEYRHIWHKVTAAIKNANKNQNAVQKARQSVVKAWGQNGSHFLQFNSAQGWTKAAGR LARQCPDYTRATEFIVHAVVERFDNMCSGKSLYLEPGLVDLQRAIGISKEAPALQPVT ANKLDSLGVYLDSYGLLRARTTDSSTNLITDHASFEWNPRPDIPQLPRAIIEELNDSG LSSSEAGDDDEAPNPQDAAEAEAEAAEAETAKKTQRAGEKAGKAGRIWKSGVPKNRLD LPKGQRSEADNESGIQNAESSIGQHATEEIELDKEDQGPDTNLTFNEIQHGIQNEEKS MGSEAEGIESNNKSQETDTDSDISLIETEPDVQDRINVVAIDLSKNEIASILDDIFRR MGCSSPGKVKEILATGYMNIDHVFNWWDDSIYKGKSLYQLADLEAKMFTFHHDGNEAS LQSHQYGLLHQLLEVDPVLWILNVCLQKGKKELAALPQPVSKSPNNLPNGLLNRSRIT QNGQNEVYITSRKNDYGLLFQPTLLPLIWKNDLNCVGNLTTEEQVKQLRDKYQAVKWD IVRNKPLTTARLPYNIATTLRGLSPLSDAILLQRPYTDPEVQIEMDILLGADRESAWE FIQKWRTAAVQSLILHFSLWVSLEKRAYRDKSYLEAYSISGKRLKTPNRKRDSKDLLP FTNPHPKRPYPSTKSSI TRV_06006 DIHSGGTRASKVFDALAEQPVQKPGMPTLAVTNFNVVCATLGGF ITLFGLVSYLFKEQFYLSEALISLLAGVTFSPHAANLIKPIDYALGSREALDDITLYF SRLVLGVQLVLAGVQLPSRYLLKEWKSLSLLLGPGMLGMWICSSLVIFALVPKLPFLH ALAIAACITPTDPVLSNSIVKGKFADKNIPRPLQRIIIAESGANDGLGYPFLFFAMYL IQYNEAGGARKAMGLWFGETWGYTILLSVVYGVAVGWVAKELLHWAEENKYIDRESFL VFAVALALFIIGTCGMIGSDDVLACFIAGNVFTIDDWFRLETLDDSLQPTIDMLLNLS VFMWFGAVCPWPIFLNNNIIPIYRLIGLGILILLFRRLPVVFAMHRYIHQIEEFRQAA FVGFFGPIGVSAIFYLYVSLEYVRRNLIVDGKVREDGERLIETMYIVVWFLTICSIVV HGLSIPIGKLGFSIPRTLSSALSTSIDIDSERGPHSGVNTPGFQHTASIATSAPAPRT PRFIPARFRKQPTTKSGRPRDDPPTPVAFRIGRTVIPERNDMDDNEPDNTLPSSDSTI AIPQRVKD TRV_06007 MALFLQGCEFARQYTSSLFHGRSQPSKRALKLGVLSTAKISAAA VVYPSLSHPDVDLYAIASMDPQMAKTATEKYGFSKSYHSFRELLDDPLINIVHISLPP NIFFEWATNALAAGKHVICEKPSTANADEARTLIDDAERRGLVLQDAFHWQFHPAAHR FKEIVDSKRYGRIIRTDAWMTSSPAVPDADPRWQYDQGGKRTSTNARPISDSFLLIMI PFVGGSLMDGSYALSFTQYVLPSKRPKRILSAAARPSRDDSRVDAAMHALLLFEDEKG NPITSRIYTDMSRSRLGGILPRVWELPSIEVETDAAIIYFYNAMMPHIYHYISITDKR TGMTEYKTQSSGGPL TRV_06008 MPRNCTFEIDDFEEEWQYPESYHFSYIHARNLVGSISYYDKFFA QAYENLLPGGYLEMQSTEANFFSDDGTRERAVTANLWQKLLVKGYRKFGKPLNVEQTW AEKMRAAGFVDVVEEVPVGRWSPDPKMKEIGHYQAMNIREWLESGSVAVFTRVLGWTM EELDVLFTAVRNDFTDPQSHLYVQMRFVYGRRPG TRV_06009 MSSPRKRATAKKAEELREEVEEHVSTANGSNGAANGAVNGAAAN GHSEKKAEAEQTTEDHKITDHIEFGGTPGVTAMMIGFPALMYYMWIGATYYDGAFPTP SEGQSMSDFLQHLLNLVYTGAFPSLKAWTIYWGFFIFEALAYMYLPGITVKGRPLDHL GGKQLTYYCSAVSSFYTTIVLAVILHVTGVFKLYTIMDEFGPIMSVAIITGFVISIIA YFSAIARGAQHRVTGYPIYDFFMGAELNPRLFGILDFKMFFEVRLPWYILLLLSMGAA ARQYELYGYVSGEVGFILMAHFLYANACSKGEELIIPTWDIYYEKWGFMLIFWNLAGV PLSYCHCTVFLANRHPSTYAWNKYALAFLYIAYLFVYWVWDTTNSQKNRFRQESRGLA VIRNTFPQLPWQTVKNPKTITSKKGDTILADGWFLLTLVSDGYARKIHYTCDLYFAIN WGLITGFTSPFPWFYPVFFAAMITHRATRDIQRCRAKYGEAWTEYEKQVPYLFIPVSP PTASFMTGLHQTNLLYSST TRV_06010 MEKTREAQIFRQILSFSFFFLLLPFSFPSPSLFFFVLFMTFYIF YFSVLFIRVEPTVQLTLLDPVLTIWLAASNKAGESLASECEEG TRV_06011 MSAGPGQSSAPSKLTGSSSQPVSYHPPSQSHSFGPDSPARRVSG PLVGGQSSSNLASARNNQSKKANHKRQRKPRLVDEDAIAEAAAMRSTSSRKGQTSITH LMNFSLPPRPHSSPFRPHRHVTYHSGSHRVDKARYVNANYRFIVNPKKNYHAQATNAD VHLDWDTVLQVLVSTSSSQPTSCPICLCVPVAPRMAKCGHIFCLPCLIRFLHTVDDQD APPVKKARWKKCPICWDPVYMSETRCVRWYSGQQIDTLMEGGDVFLRLVMRHPGSTLA LPRDGADRHPHGPEDDLPWYNAAEVTDYARFMKGGEEYMISQYDAELEALEKQEQEDE LLFGQDSTWTQKAMAAIREAKEKIKGIGNPPHFHRNDGKEDKHEQDLSLSTSPHTKKT PASHLPGTSSHSGHDTTPSNNAAANAGEVEDISSRVSKLDIHHQPHTKQSAPSSDERT SHSTANESERGHPPDVPYYFYQALPHFYLSSLDIRILKSAFGDFSLFPSTILPRVEHI STGHIMDDDLRKRAKYLSHLPHGCELTFLECDWTDLVSPSVLEKFSVDIERRRKRNRE KAAREEKERIRAEREEDEKRLAGANRRKRSSLSSPSERPFSASDFQPLGPGASSQAAA NDDHVDTSVSTASPPWSSLQSRNNQNHQSFAALATPSNSPPSRRTVWGTAVVESSSPT ASSSREPYPPNHDDGWLQDWEAELLAEQRELIMHGTNSNSGNSSGTGKHTPGATPGKK KKAKKITLMSTNARRAA TRV_06012 MPPPYGPQNFQNWYPYQQMPPHPPPMQPYPQYNTPLIVCSYPRP QPPNHAPPPPHMSPALRTQSSTPITSHPSAFSPTPPVSLSTPAITEPQEDQSAQYSLT RSGSEVGSNASTNASTNNSARPTPPPITARRPEARSGVRQVFQPPLPWLSVPEAPFPS KPPRRRRKNRVTQPSSLAVELPSKDENETEDTEPQGNDAEDQPAEPASVAQSEVDTPS LPSATVAQQTPAHARQASTTRAGVPVVPVVPLVSQSPSASKQSKSSAQPTPEKAGTTE AAAPTVIENGHGPTEEESQPPASSETTSNTTPSPAPQRAAPKSWADLVRSKAAAKQST AASPQAGALALTRGESLAEALNSFGSNVDQYSEKIAFLEPRGLVNTGNMCYMNSIGRR AAHSFKSDSPLVDAMIMFLREFRVIDAASSVEKLRLRLKQNELEEYGESFIPEYVYQV IRHLPRFRDMRQDAQEFLGFLLEELHDECLLATKNAMADKSDVSTSSDVDAHSIADDS TGDGWLEVGHKQKASVTRSSGDSSGESPITRIFSGKIRSEFRVPGNKNSVTLEPYQSL QLDIGSPQVNNIIDALKGLTKPETMHGDFQSSRGPKVNATKQVFIENLPPVLILHLKR FQYDNVTNGTQKIWKKIGYPLELEIPKEVFPPHRRNALSAQNGSLPKYRLIGVIYHHG KSASGGHYTVEVRRQDGREWVRFDDTYIRRVRSEEVAFGGSEEDPKLLSAALERHNNS SDQTSSNNIYDQFDADEQDHSDNGRGWSQVNGSGSGSHSRQKSMAAAAAAAAAASGNA SPKADSGKGTPTASSSARFGASRDNKVAYLLFYQRIHSA TRV_06013 MLVKESTHDVKTTVSGEGQMSESLTRLLWHPLASKATANTSIIG IYVFHPTIPGYPSAKFPGVVVFSEIYQVTGPVARFARMIAGQGYICAAPSSYHEFTGP EPLQYNAEDTDNGNKWKITKKLAAYDEDARLCVDYLLSLPTCNGRVGATGMCLGGHLA YRCALDSRIQAAVCYFATDIHSHSLGEGKNDDSLQRAGDIKGELVMIFGKNDNHVPAP GRDLIRSTLHEKGVCFSFYEAAWAQRMSQLTIYPPDCSSHYVPPTEN TRV_06014 MQLFLIGFIIISICEIFSVGAFPLSDSIRKGFSAAHVAAICATA WLLLLNAIVGYQLIDDGTAVSLGLLVTSALILFVGTGYIALDTAFAWTGRFQSSHRAP NQNIGLYILYLLFPLICIVGFFLLETFLVVKVLKEKRPMLYLSIAGLLFALSQIFQFV ISTHLCNATDGKINGAFFETLFNFGAVIMVWVFWSSITEDDWPMNVNGAYS TRV_06015 MGFSHVGLTVPDDKFEETVSFYLAALAPLGFKEHLRPHPKVVGM GVYYPEFWISSNAVPSSSAGVDVPAGSKDVVFGSTHIAFSTGNRELVHAFYDAALKHG GKSNGGPGVRPQYTRFYYAAFVFDPAGNNIETVCLWPAWCHWKYWFGMGVFGRQKTDT GIYLTMEPTEKAVKQARSARQRREKEIKITEKSKENNIKEIHQRRKTYLTETV TRV_06016 MARSDDSSSYPGPGCKERREREKEKRDTKLAGNRANAILTKGEE KTEERGRRIERDEGDEGEEEEVKLEVGG TRV_06017 MAGSGSPADLQALLARIKPRPSPSGPSYESQSSFYTGQFAQHQH QQRSGGSPGITSPTQFSYAAPYASSPILSPPPPSNPPHHGSDVISPNSSTPQSEANQQ PMSTDRANLLKLLKFSQPPGGQSSNPPAETGLPSGTPARVEPIGHDGANGNGLRMPAQ RNISASDILASLRGPPTCAASPPPGPSSAVPGRHSPAEKPGLAPTPSGDATQEMLLRL LNQPPAQSPKGGDLTSAPQTSGQAMNNTNTSNVPAHLSSSSAEERKDSSARNFGSADA IEVTQFDPLQPKAANKGTIFSHVNPFEHLAAASSRNRSPNSQPQSGRASPSVGTGLAG KDIPKAKSNSPEAPKSSVAQPTPEKVQGQQTATPGPNESTDQDKRGVSTPEIAEGVEG TPVAISGAKVEKSTPVPEKLTAEPSAPGSDIPGNATANSHKLMHGALEPPEEEDTKKP AKVVTISGDDETVKNTKLETKNENVADNWETEAETDHIVPVYNFPLKPFVSITWKTNL KPVGIRDDGVMDIARLKKGFDQLDRSLTSATSEYIVYALAKNGGARIIRQDDGHDRQI FRSTNDRMFNVSVAQAPPGSAIKEQAFLGIGVSGSVYWTTISRADDDYFESDALESQS LIFPPFPTSDENTSGGQLKTRAKQSSRHPEFFAIGRGKSIHIVRPRVALAEYGFTSPG KVDTEKYFKEKSIKIATGKAGKDFVFSGDDTVIASLDKTGRLRFWDLRDIDEMLMANK CDIRIPLLTLVTGSSNEKCWPTSVVFIDKQRPYLKAHALRYILVGLKQNHTLQLWDLG LGKAVQELNFPHSNESDAICSIAYHPGSGIIVVGHPTRNSIYFIHLSAPRYALPPMSQ AAFIQAVTNKDPDLPKPDSTACMSGIREISFGSRGQLRSLQLLPLTKSPGQEDNGLFE LYIMHSRGVTCLSIKKLDLGWDIDNKIVESVDALEKGYIEIRDIQPVQPADDSSRGEE GSTLSKSPRHDNKETKKEAASATNSSVPESPKKRSVAESASTTTQPQQEQATGEKPDK KKKKKEVAAKLKETTKATEESSDAPILSPVKQVIGRKQAPVEQPRSRQVEAEIPGESV MSMQALSKEVQKIEQNLTSMFTASLNGGLDTVYRRFEDDRRAQDKLATIRQDEVLRLV SKTLSENVEKTLAHIVSESIKQSVLPALKDNTASALDRQINGAVKAALPDAIAKAMQT PATVRAMTEIMLPSITSHFDRGLSNAVKNIVSVFKEEQAHTAEKVARDVERKFSTKMK HLENQQIKDSVKIDQLVSLVNNMNDSMSTIAQAQNSFGAEILKLHKQMPVQEPESPQK QAVSAQRETQNVQPSDEPSELTQIGQLMEERRYEEASIKWLQSSQQSELFSNLFVNYN PSYLGSLSPVVALSVSAAVTASMDTHIMERLNWLQHVLRTVNISDPSISEIGPRIMDI LIQRLEALFISIAQKTPNDPILHRIPPITQWAAVLRDAKVKKIKRLNPHPPSPSHFRF MFPFLIWL TRV_06018 MAVEERLRGIKPLQGELDTLPSVQELRPVDSFEEAYVAEIDIKS SNVVVKLLDTHYPRNPEVSRSHLRRLLRPENLPEPLRQELEEAQGPKPTASIHVLIPP PLPETSELQALLAPYTPRISPPSHSGSDTTTTTTEQPSPEKLPTVRIQKTLISTKPPT TPAEALEWSCTKWSTIYNPAARVAAHAPPQVQLVRAKASIYPRAGYFLALAKEVANET LQSGRGRGVGVVIVDPELTVGKPDDTNDLLNAVVAVSGDTRWWKASLGHEHENEHERK QQQHQEKEYSIDDEAGPESHAVMRGISMVSRKRIALNKPVTDEVEEEVSAPSEVESAP LSSTSLESHFFQLPNLLKSSKGGYLCTGLDLYITHEPCICCSMGMLLSRFRSITVLNS GMRRSAESNALDAVNGYGLHWREELNWRAIGFEFFESGGGEGKAEREEFNA TRV_06019 MPIASSDSSSKKKKKEKDKKQEKKHSQTRSGGDDGLLRRLPVAD HCRAATRLAELSNSNSSLQQRPSPLLASTTPRSSPRQTATSQQQQHSADSGSCSSPEI EQLRTTFKRQTVDAGTQYSRPSTPSDPAAAAGESSRMPGTAIGTKRTPPDATKVIAAP GPASTPALSKNPHSQQQQQQQQQQQQQQQQQQLPQQQQQQQQLPQQQQARQQNQRRQQ LDDDDRPPEGSASKRLRPAKPPVKLLPRRYEAADPRDLVVLISSMIMELIRFNDQIPL RDGRLTRFHSRSPPRISVQDYLQRLTTHATLSPPILLSMVYYIDRLCALYPAFTISSL TVHRFLISSATVASKGLSDSFWTNKTYARVGGISVEELALLELEFLWRVEWRIVPQPE VLVDYYLSLVERCDDYEMQPELPPPIPPAVTAAGGARSIRAPATAPPAPRPAPAASSA PADSQPKSHVKPEPSTTTTTTAADEKTEPDSAQSTPSSST TRV_06020 MTKVVSPHLLDDVRSADSTAGRIAALRTLKNDIIGHNQKKQAWT ELGVLPLLSDVLFSRRGVARKADFHSHSPKQHTTEEDEMCFQAITIIGSLALGGPAYT APILAGETIPSLLSVLSSPSCPRSLALAVLRTLNTIADQLALVYQNVDNQTQPMGALL FTRKYISTFRGILQQPGDSPGAQPCIELVADLISKTCSGEQQKSLLAECGILDVLGLR LAAFVVAGGFVLPGAENSCTNSGALGHIPDPAPADAKLTPILRAIAVIIEQSAARAEH LLTCPALVTVFPRSFYDLYLGEAKKGPWRSPYPSGYGFTKRNVLNPIDSLLPSVQPMQ NNGSSNFPPLGSQPQYDKRGQFFGPQPPCTDSPAPEEPESVLVSWLLYVARADRGYVR LMAARILATLFRHHLLKKSRVPMLGFLLVPLLVRMLDSAHHSEDYSTFNSYALRVKEE APAVLASLVMDSRELQKFAVDSGAIKKLTQMLKESFAPTSEAGTPMWSTTKRPATVLV QSTDSDTSLGPPGFTRQALSKIKYREAILKALAALSLVNDDYRKAICDTGVVQHIIDS MKPWNPETTVLGNKTCITELEGNTTPVILAACAAARSLTRSVSVLRTSLIDAGIAAPI CDLVKSSNIEIQIAATSVMCNLALDFSPMKEALLEANMVPLLCENSHSPNANLRIESL WSLKHISYNVPNKMKKDILEALGIEWIKQVLSHDPNDPVNARRRQDDIASELPSSLIE MGTSNSFGEQVDILNPVIPSGLEPESPMMDFDGHIPDSSIASKPAVDTHSAENVRKRR LALNGDLDQTKKLRQDDTLAQEELFDLLRNIMCGPGAPDMIDYLLQKFGQTDFLDILA SKLRPRPLPTANASIASFKDKLTTSQQKSILHPTEIIRAVTYVLIHIAAGVSRHRHLL VFHQDLLKLTMALLSHSNWQIRANCVWIVINLTLDDDKTDKTSRVERAMRLKSLGVME RLSSLEIDSESDVRERTKTALDWMKALLGQ TRV_06021 MSRAAGQAPRSVLKALSLPLDGAGTSFTPLHGGSGFAQTAHLKA SISSTSKDENNSNQEKDFFVKISSSASSSSSFPEEGAMFRGEYTSLNAISGAVPDMCP RAIAWGEINDDDDDNNGGGAIPNASSSWFLVTEFLQLRGTLGRRPASDPASLAARLGK LHSIPAPAPPTSEEVRQAATTNFKLNGAEGDDGNVEDARVPQFGFPVPTFCGDVRQPN QFRRSWADFYANQRLRTVLEESERRNGKDKRLRDLVERTAQEVVPRLLGDDHLGFDKA GNGKPVTPVIVHGDLWSGNTDVGKICRVSAGQASEEDAVGDVVYDPSSCYAHSEFELG IMKMFGGFGSQFFKEYHRIVPKTEPVEEYEDRIDLYEL TRV_06022 MGPPPDADIHPTATGRAKEVVDKHSKEQPLKLYSGWFCPNSNTR VIAQRVWIVLEEKNIPYQYIEVNPYKKPDSLLALNPRGLVPTIACPTIQPDGSTAIKP LYESTVINEYLEDAFPNPEQPLHPADPYQKARGRIWIDYVTSRITPSFYRFLQYQGEE EGLNKAREEFLGHLKESILAMDEIGPFFHGSNFMMPDIMLAPLAIRFWVTDHFKKGGL GIPAPGKGGNDEVVWNRWRMWLAAVETRESVASTMSDKEHSMPIYKRYADDVAQSELA KATRAGKGVP TRV_06023 MMESSHWDTRIHNVIRPTLGNLLDLQDDETNEAQAANIESKQNL TPESLETFLRNCGFDHAMLDRKPGQALNKMALPEGACNSGLPSPTRQTNLSGSERWCS LDSSPSKPASTTSSRIICFQCEAGFNTIIGLQHHQMSENHNYCGLCHAYFADGSFLDK HIDVAHNFKCPTCASVSASVDELWAHQQATNHSFCGVCCCYFRDERIQRKHNLVYHTR MPCPTCKQVFQTQKELEQHQRTIQHCYCDQCEEIMSSQSSFERHVATTHIYKCMASQC LFSAAKLHSLKDHQKQEKHNFCSPCNRSFTDGLALTNHSKTEKHLRKARIEQSGNNQV SG TRV_06024 MSPTAKESTPEAQPADVAPVAPHPSFIQVANPYIFEKTVQDCFA ATGVNPLREDSNRLQGITWIDNVRKALHLRHSWRFFLLTSLRLQEAAAAALFTACKIE DTLKKSRDILCCSYNLKLPPTEQLSADDPIFESHSRSIIGLERLMLESSGFDFRNRHP QKVLMKLTRQCGLDKDSKVGRVAYTISLDLYRTFAPLKQTSATMAFACLELAARLLEE PVDKIHSEEEYKLWETSRAEVMGKFPETILDLLELYTHNRSSTSVGPDFSLDVFLNIR IPLNEEADSYKIPRFAYWKKRKAESNGISNGTSSKKSKNSHHRHSKDRPETPPAPPIN PMMPTAAHDSVAKAHERSRDTAIRFMLDPEQAQAERETVSAYFKVEMEEYEVEDMVVT DIDSLFQCICEERHPTYGHPGYMLSQLRCGQSFFDPEAEPF TRV_06025 MGKSRRNLRAQDSLATSPETTNYPSSEDSDASDVQSPSREDSGL IPQKMEATQTTSEKAQQPQPQQPLSDFEKKRQTFITRTIWTFVMIAGFFVIIFAGHLY LIIVVTAIQIVSFKEVIAIANVPSKARNLKFTKSLNWYFLVTTMYFLYGESVLYYFKH ILLVDRILLPFATHHRFISFMLYLIGFVFFVATLQKGHYKFQFTQFAWTHMALYLIVV QAHFVLNNIFEGLIWFSIPVSLVITNDIFAYICGITFGRTQLIKLSPKKTVEGFVGAW VCTVIFGYGATILLKQYKYFTCPVNDLGSNLLTGLECTPNPCFKAQPYTMPEWTHINK TFHIAPVQFHVFVLSTFASLIAPFGGFFASGLKRTFKIKDFGESIPGHGGITDRMDCQ FIMGLFAYMYYHSFIAVHKASVGGVIENAITGLTVDEQLELIKGLSKYLYNQGVVSES TTPSMIAFFLVLEWVAACDAAAIRLLTLIMACSFTRWFFSSFYFDLYHSTYHLMNILG LLLILFYCIILFSFTLIPKKITGALHHNCIGFSFERGSPFPYHLLQQFFPARSSTATL LPLMK TRV_06026 MEDDNFWDDGVDDSAYDALLELEQDAYRTTQQGAVVPPSIDTTQ QQQQQQQQLQQQLQQHDQPVSRPVRPSLIRHSTSNTSSSTVEQRRRRRNPAQHLRPAL SFGDTDYQQWDASVLGKDDGLDLVDEQDALLETPGESGEGIGQQLGYTSASLAPGQWR RITADEPGVMVEPMQTDGLQGGNADTTSFHTHPFEGAHVEELKDQIEQLTRERERLAQ ELAAATASEEARAGEIAIIRANQVKLEKNHNRQISALKSAMEEETRKYRAEIEAALME SKRLVAENGFLKHDLQEEAYRATKLQHSFRNKPKDDKPHPATPRKSKSLPLRDGFDDD ELMVSPSKSTGRRSKRVTPMTSNKRKRAVDDSPIPLALQLSQSLGGSAGDNGNAETPG GGKEETPEESQQKQQQQQQQQPKREDRNMRYMRLILNHRTYPRNAPDMEVFSSMYFPS EPDRKFSSIVIQSITAQSSSNYAVEYTKAIASLWSRALKEHFHSPIGMFMSIVKFILH LDLHKLAPCVIESIVPVLQNSGYINGVPRFTSSPVSHENLGKVKRTPQKKLDHRVSST EALEILYMIVSGCQQNRQALELFWRCVGYDFILIMLNSYQQLNDIILTLKILACGQLT NTFGPICDSESQQLEHEKYIIDRAANLLSELPSSDEGEKPYTAWEITTLRVEVMLFLN EIAFSIPNPERNRLGKMIASHPSALARAFRSMHDELDAMYSNPPERDLHATLVNGLTR FVYGIIKLFPGQVDLPSRLRAVPGAVQKHLVVLTRLAFSEGLLLEAGISDQTVEMAHE LLEDAINPQEAEALVEAFRSSKTDE TRV_06027 MSPPRSSSEEEDDDGDDDDEQEEAQSGDENKDENEDENDDGEDE DDDEDEE TRV_06028 MDPASYVDPNLTQPDLLVLKCLLRDAEGQSETQTQTQTETKTSN GLAGNDNNGVSGRRTRTGRSNGTVVNLGRRRISSSSSSSYSASASAANQLVSGTYSRA SDDRAADDAEDERKTIEHLRNLNDPAHADFDPTVFVSWDTQKLPFPAAVQQWLIGPYI ARARRLVRVETDVAMLTHLLLYFSTSVPSAVLLFYRFSWLHGVLHLLVQAWYMGTYTL MMHQHIHMGGILNRKYWLFDMLFPYITNPLMGHTWNSYYYHHVKHHHVEGNGPEDLSS TIRYQRDELRDFLAYVGRFLFLVWIELPLYFAQKGKYGMAAKAAGWELSTYAVLAVLF VRVDARATVFVFLLPLLLMRIAMMVGNWGQHALVDEVDPSSDFRSSITLIDVPEQALV FRNIDYIMMTVKLLQKDYDYLARCLVPIGEKQISMTMDERAAMLRTKTRRFSEEEIAR LFK TRV_06029 MKLSILLLASAVAAAPSTHGHGGSRIANVDVGVRPGFLVDLMPA GPLKEKLAACASLYEKKPGRLHSSDFSISHRGAPLQFPEHTLAGLTAAARMGAGILEC DVAFTRDLQLVCRHSQCDLHTTTNILAVPELAAKCSVPFRPAAGGRPATAKCCTSDIT LAEFKSLCGKMDGFNPRATTVAEYMDGTPKFRTDLYASTCGQLLSHKEYMRVVDMYGL KFTPELKAPEVPMPFMGKYSQEQYAQQLIDEYRAARISPDRVFLQSFSINDIYFWNRH DADYARQAMFLDSRPDTPEGARQATATMAQLKQSGIRTLSPAFHALLKLDAGNNIVPS DYAVAAKKAGIKLTTWSLERSGPLNKVRTSGDFYYSSIAAAIKDDGDIYRVVDVLAQK VGVAGIFSDWPATVSFYANCFNL TRV_06031 MDGTIILTGATGGLGKELVKKLLRRPGSYTLIFPGLYQKTTQAA DLQRIISSHGKRNHVASIPEVNLARFDDVRAFVSGINRTVSAGTIPPIRALVLNAALC YDLSGIRLTDQTGEKQHPPFEMNFAVNFLANVLLSLRLLQSMDKQHGRIVYTSSCTHD SRRPENEYYKPDKLKWDVAELAHPNMHNLDKDAAMESMRRYGASKLALVTFMHALRKR LDKTPDLNNISIAGVDPGAVLHDNIVQRASWTNRNFTFPLMQLYTRWLAQHLWPNGLF RTAEKSAQDLLSAALEPKHSWAHPTEAYFNGSEQAEAAVEAKDEKRQQELWGLSLHYV GLDDKSQTALA TRV_06032 MSKENVVVDIEAGVRDGDSSDGVILDVAVARPGTAAGLKTAPDG HTILVPQPSDSTDDPLNWSSAKKWIVLLIISFAAFLPDYGSAVGAVTLLPQAEIWHMT PDEVNHSQAGNVFMLGVGGIVTVICSAYFGRLPVLFWFMVGAVATAVWCTAAQSFESF MGGLMFINDIFFFHEHARKINVWSSFIIVSPYLGPLLTAFIINTQIWQWAFGVYSIET GLCFIAIVLFMDETYYDRKLPVDQRPVRVSRWKRLIGTEQWQSRHQRNSFKDAFMRPF IVIAKPAMLISCIVYLLTFAWVVGINTTLAIFLVPVYNFGPKQIGFFYFTPVVAAILG EIVGHWLHDRVASLAASRNQGRFEPEARYLVTWISTPCICAGLILLGFALEREYHYML ASLGWGLYVFGIMITTVATSAYVLDSYPEASGEVAAWLNFSRSTAGFIVSYFMVRWAG DQGPIRQFGAMTGICGFAFVMLLIFQFFGKRIRHWAGPVNFKTL TRV_06033 MIAAIIKTIFLASLTARSDYTYDTISLTIWTNTEQYLIVIEACI PPLGPLLKIAMGKTPTAQQSSSNKYASKHYSGEQQIKHPVFIPKDSLLSTNESSCYPL REYKGRSRIWGDGSEGVVDLDFREARASQLSGIMKTTEVHIESNKDPAE TRV_06034 MPRIPYSLFLRAHRIDPLLPPLLRECRDLCSARNELRWLTEYAR SRDTGRESWRWKQRLQLLVRQRAAGKPLQYILGDQPFGDLTILCREGVLIPRPDTESY TTRIAQRLLTENRLNPTRSIRIIDICTGTGCIPLLLHSLLAPSIPTISIIGVDISATA LSLAKKNLEYNIGNGTLLSRARDEIHFVHADILDPCYLESDGSELGEMLSRSNQGGHS KGLDLLISNPPYISPRDFTNGTTRRSVRLYEPTLALVPPAIQHPGIASDSARADSFYP HLLAASAKLEARFTVLECGDLAQARRVAAMADDTRQNSSWSSQRNVKTEIWRCDWDAH LHETTEHVSFDDQEESATLDVTAKPDQGARAVVLSRC TRV_06035 MRGDSFIWSLATAIPLLSTAVESLKVVKRDNPSVLGFDIERFQA AKPVHRDIIAKRASTKTISQDLDNQKNLYFCNLTLGTPPQTIRAHIDTGSSDLWVNTA ESRFCSSRRAPCSQGGTYDSSSSSTYQLVNNDFNISYVDGSGATGDYVTDVINVGGIK LKDFQFAIGHTSSSPLGVLGIGYEAGEAQVTRSGDQSYPNLPAALVKAGHIRSNAYSL WLNDLSASRGQILFGGIDTGKFQGKLQTVPVLHTSRGDYTSLVVALTGVGIRTGSDGS IDTFPSQPVAVAMDSGSSLSYLPDALAAKVYNSVDAVFDPANNLAFVPCSMANDKRKL VFTFSSPQIAVGMDELVIDLGPDANGNEATFRDGSKACVFGIAPAGSSISILGDTVLR SAYLVYDLDNNEISIAPTRFNSTETNIMEIGTGENSVPDATGVPNAVTSAQVTQATGL PGVETGVPGSRPPSSKAAGQAKRPDFVLGVAAVGLAGAGMLFAAM TRV_06036 MIFRLAIRLWLQRRLFWDDAFAIAGYFGQVAQLVMVTIVSPLIY IHLQGFSGTRPPPEYGIKITKMIRLVYAHNVVFTASLYCIKASFMALYWRLIRDLSDY RKAWWAVAVLCAVSLTINAVLFPVGCSTLEAFHCIDKGSIDRTLVSLRFNTAMDIVTD VCSPEKAGLVVLFALGFSIIAISVVRIIKSNGYQSQPPLSWLLFWSTMETAVAVITCC LATFKSLFNLKQRPTHYSYYTKTRTSRRRRRTRTAQNMDNMNLTLPTISDLEASTDSQ RTGNERKEPSMMTISSDRKTTRSHDAELKEEIMIGASIDRATGSIPGPHRSDCDRRPQ APSMAQLR TRV_06037 MAYLDLDEISQVLFLLLRSPYFAPLALWFLPHLAAQGDKRSLYY LSHIKSKSARPLPSSDQAKDPLQIVIDGQMLQDNGDLENAAQRFKEAMDMSKPVEAPY SFDALFPTKVRQPWEAYGSLMETFGKHEEAKEAYTIGSTEYDHPRAYRLLLPELLKSG DLVKYEEYLTKVAMGNDVLACYQLGNLFLTLHLMHEGIEGGKAVQNKEEMLLVSQYEE DESRQLAAEWYEIAVAGGHARAALVMAGLLRQQNKGEEGLKYLQIAEKSSDYPELAAK LRGSWNDSPFTFDLRDLIR TRV_06038 MDVDRSRNRRDRTFAGSACAVCEEPLEHTLRGERILQFSCAHVA HEACFYEFIRDVEPQYCPICSAPLGLDASRSGNVLDLGKFPAMIGRCLNVNVDVLETE KISNLVRSVSVAESQTNRCGHAPAASWDNGSNRAGSMNQDYSNRSFSNSRDVREHSRL DSRDTNSHRERLERLTLGSRNEILRPETGTVGGQTASEYQHDAHQPSVSRRHDYDVQA MEAELGPRPGISKNLIPAPIVTVRSEFPSLNRSRQQQPLTCLVTVEVPGEKWSPDSEQ IHQTPSTVLPAEDAQSLAVKAQGLSSPDTHVQDQSLTESEDMLSTIAEDLRLRVDNWH GLEFSRFGKLNLHGTIRVGKDKNSWQELECYIFSDMLICVKEKKGRQPSQNQNMFEDP VTQRTSTRFTLKGSILIKKHLKDLETIPDDLVLTLNLSVNELPRFHLRFSTLHQLDIW KRALGDLRNGAVVTRPDPGVEVPASEEDDYRISKTPSKRESSGYSSSYGAGKSSNTAM TDYTSPGREPMPVSAFHVPLDIVVVIPVSSSMQGLKISLLRDTLRFLVQNLGPRDRMG LVTFGSSGGGVPVVGMTSKTWNGWGKIFNSIRPVGQKSLRADVVEGANVAMDLLMQRK SFNPVSTILLISDSQTTDPESGDFVVSRAEAAKVGIHTFGLGLTHKPDVMIELSSRTK ASYTYVKDWMMLRECIAGCLGALQSTSHQNVKLKLRLPEGSPARFVKISGAMHTTKRA TGRDAEAILGDLRFGDKRDVLIQLAITPDTSAPEHVPQDPWESIVSGLEALGGPIDGD DQRVASIEEVPLIQADVTYGDILREGHLTHSPRPSLLAITMFPQNQKSKNVGRPISPP IPPHPSIVQRRMELLTSDMLMRSLTLVTRGQHDRAHHLLTETRSILKGLGKGGLPPLP PGAIPTPNPRATLTPTLTPASEAHSPGNYSPHFPDALDCRASSHSPEAPTITPVAAVD SAIISALDADLEAALEWITHPAVFSRDSRKAVLQAIGVISSQRGYTFRTPSESLWAER IPGVKRLTEKSREWREVGDESLAEE TRV_06039 MSFATRCCRSAVRLSSSASAPVRTSSQITRLNTSTSYISQRRRY ESTTAEPAAPTSEKITKIVDQISQLTLLETADLVSSLKTRLNIPDLPVGGFAAAAPGG AAAPAAAAEEEEAAPAAQEKTLFNLKLESFDAASKAKIIKEVKSMLGLSLVESKKFVE SVPKVIKESVPKEEAEKIVASLKALGAVASME TRV_06040 MVNRRQHRGLVEVVHLAKQCKLSQTELRRATGEKASKKRDEGGK PASQPAADRETDRTKQHPNGLTPETPRAMSLTIPKAPNAGLFKQGYQSHDSEDGAVIR NIEACRAIAQTVQTSLGPHGRNKIVINHLQKMILTSDAATILRELDVVHPAAKLLVMA SQQQEAEMGDATNMVIILAGELLRKAEDLLRMGLKTSDIVQGYEIAQGFALSTLEELE VDRLKEMRSAPELGKALKTVIGSKQSGSEEILAPLVAEAVLGVLPKNPANFNVDNVRV VKIMGGSLEQSRVVKGMVFAREPEGSIKKAKKAKVGVFSCPIDISQTETKGTVLLHNA KEMLDFTKGEESRLEAAIKELYDSGLRVVVAGSTVGELALHYLNRFNILVIKVMSKFE LRRLCRVIGATPLARLGAPMPDEMGNVDVVETMEIGGDRVTIFRQEDAGSVSRTSTII LRGATQNYLEDVERAIDDGVNAVKAVAKDPRLVPGAGATEMQLIERLTQFADRTPGLA QHAIRKYAEAFEVIPRTLAESAGLNATEVLSRLYTAHQETAKESEEEEEDDEEEEESS EEEDPNWTTGVDVLASSPSGTIDAVEEEILDLLVSKSWAIRLGSESARTILSVDQIIV ARQAGGPKPPGQNPNWDED TRV_06041 MFSRLIRARGVAQVGRQPSTQLFRRSVTTDAASAHAEDIPVEDD KPFSVKLSDESFETYELDPPPYTLKTTKKELKQMYYDMVSIRRMEMAADRLYKEKKIR GFCHLSTGQEAVATGIEHAITPDDKLITAYRCHGFAMMRGGTVRSIIGELLGRREGIA YGKGGSMHMFAKNFFGGNGIVGAQVPVGAGLAFAQQYNGEANTTICLYGDGASNQGQV FEAFNMAKLWNLPVIFGCESTFQIVFYPFLDNKYGMGTAANRSSALTDYYKRGQYIPG IKINGMDVLAIKAAVQYGREYTVSGQGPLVFEYVTYRYGGHSMSDPGTTYRTREEIQR MRSTNDPIAGLKQKLLDWNITSEEELKAIDKDARSMVDEEVAIAEKMPVPDATSRILF EDIYVRGSEPKWMRGRTADETFYY TRV_06042 MAITLEFNRIEGHPYSWHSVRRKVEFVTQQRIKFLNGMGGKRGD DQADAAWSAAVDAWVPVWRRFQQQETERISAKQGKRGRKRKSMAVDPANLDTQNHQPP TPQPPPATQQQSQQHTPQQQQAEIQLPMGFGTMFNGTPTNNGAEHPHAHPVNAGTPDL LAPTASNPSSSSPQADPNNVSAATLKAISRLNNTPKAAISRNPNLSPSTAMLMSAAEN SSTRRPFNSPSVPVSVSITDTHQQHHPHQSGPGARGSNPANPGVPVTGVSGASTANGN LTDVYMADVSAAASAVAQSISVSTIDQIRTELRAEFREQLEKERSQLEEKITSVQRTQ EMILSLLNDQRFMK TRV_06043 MFPRCIAFKNCYFGIGAPKPAGRLKTVVTVEVVLVAVVAVAAVA AVVAAELPLPRPLLVVAEVGGRAFGYVFVAADVFVFGSGAAPAHVHVAVSCVAAELAA GLGDVFAGGWVGFAVFGVGVVVVAAAAAAAEPEPEPAAAVELVVVGVSAADGGFEDVG AGDVVAVIGEDAAETVAVDVVAGRESESTGVSVDEIEPVAVVVVDGAVAVAAAESAEE FDEVVSAAGYDAAVVAAAAVEQQPDVAHVRHAVPVRPINEPPAHATQPSRPRLGWGSR TSSRLHHYVGAAVAAAVAGGADGADSDDDAVVAAAAE TRV_05988 MYWYLSHLCSTHLVHLDRIRACVITESQKALSKTGEQSRQDIET RIKFRERTLNLLDRLSTELGVTDAFSIALNALYTILSRHGLIDQDCSSSPGYSAAKLR YELRMKPFLPIFLPEVVPFEIYEREATLDGQSDKAVLNRAVRAAAEAKKGLERYLADG PYLTPKDKSSKTTSGLESDWIKNAKNMLRACIATSIAIDTVKKAILDKQSSKPLNISV QVPEVGSQSRWHEWWAVPQ TRV_05989 MDSKMDSGYLSPGETLDHNYDVMKELLPEEVIGIMDQLLCYEVA WHMGHPLSQTLFTSIYLDHLLWPVPKSLEDARFDGNKANLKKTEENVAGGIVTIVLRA YCLALIKACACIRERVASEFYYEEEDFSTQLYNRKLLPNVKIEEIIVVLNDAIRWLNH DAGPIDETLRAALLDRLSFRHHILEYLSLDLVLAQSRSTKSLTSTLGRIDLIQKSLHL GKPVEDAFSGKIQRRLASTVPPRPIIKIEPPDAISYLKRFCQDAIDLQEILDSDSAFT LYNLLWALQSRKPQPGVYIRSLAQSIILLNGRVLDKLPAEEFCSNSMKDLVLPFSPLF DPKNKEVEAPSNPKFHIAKQMETFLQGMTQYPY TRV_05990 MTSLLGREVVELLVIGEVERKGEEGEEEEGEGGKEKEKEGGGRG RKE TRV_05991 MATKKGDVVAASPKEAKKPKKPLNRPPSRSIVRWCDELDRKLLL AIQSACNKCGVRIPWVEVALMMGSSITDGAIIQHLAKLRVRMVELGQDVPPPLRRSAG SAPKSAEAEEGDTPAPKPAATRKANSRKKGPKRSIYDTDDETYEEGKKPNNKKSKAKK GKGSSKENYDEAESVPIKIEEEAKDSGTISELQQIESHNDNADQDFVGGGEEFVNFEY QHSHGLLTPPNDEPSSHGETVITQEVIHDENVTSPGQASNGGIETSQFLLGNEAHPTQ GNEAQTMSFANVTTVGNQQGFPMGISQAVPHAYVPRHELQALPTHRNWPVTHVGGNHF GGPQQFTMNEFDFIHGATNPNGAFTHGFHFPSTQGHLQHGARLPFAPRHSAPLNPAPI SFTSSNTSLASSMTEDDGLMQAGVLDHDIDWALRSAREAEIENDFNEFIQQL TRV_05992 MQRALSASSRASVLASAASTRTQLSQFRPALAAGVSLQQQRYAH KEIKFGVEGRASLLKGVDTLARAVTATLGPKGRNVLIESPYGSPKITKDGVTVAKAVA LEDKFENLGARLLQDVASKTNEVAGDGTTTATVLARAIFSETVKNVAAGCNPMDLRRG IQAAVQSVVEYLQANKRDITTTEEIAQVATISANGDTHVGKLISNAMEKVGKEGVITV KDGKTIDDELEVTEGMRFDRGYTSPYFITDPKTQKVEFEKPLILLSEKKISAVQDILP ALEASTTLRRPLVIIAEDIDGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDIG ILTNATVFTDELDMKLDKATPDMLGSTGSITITKEDTIILNGEGSKDAIAQRCEQIRG VIADPATSDYEKEKLQERLAKLSGGVAVIKVGGASEVEVGEKKDRVVDALNATRAAVE EGILPGGGTALLKASANGLADVKPSNFDQQLGVSIVKSAITRPARTIVENAGLEGSVV VGKLTDEFASDFNRGFDSSKGEYVDMIASGIVDPLKVVRTALVDASGVASLLGTTEVA IVDAPEPKSAPAPGGMPGMGGMGGMGGMY TRV_05993 MASGVTINPECIEAFEKLRLGKGAGRTKYIIFKISDNKKEVVVD EVSTNDDYEVFREKLANCKDSMGRPAPRYAAYDVEFQLEAGEGWRQKIVFISWVPAET PVLWSMIYATTRQTLKETLHPHVSIQADDPSEIEWAHVLAEAGGKNATSK TRV_05994 MSFRATPRAVAGTVRSATCSNFAARSNQASPIACLNARVPVSSQ LASPSKQQIRSASSEHAIANPHLAGIEKRWEAMPPQEQAELWMKLRDRMKVDWKEMTL QEKRADGLFIPLKCAKGINYSYWIAFGPHGPRAETPKGEGVKIFVQLMKYVVISAGIF YATRLFAGSPPKTMTKEWQEATNEYALKEKLDPITGISSEGYSGKGFVQSAPAGK TRV_05995 MKSERYTQYTSQPQTMAAKVPDGTGVVDLDPWLEPFKDALRSRY KRATDWIRKIDETEGGLDKFSKMLSHAQGYEKFGFNVASNGDITYREWAPNATTAHLI GDFNKWDPTATPLKKNDFGVWEGILPAKNGELAIPHNSKVKKHQITMTTPSGERLDRI PAWTKRVTQDLSVSPVYDNVFWHPPKEEQYQFKHAAPPKPKSLRIYEAHVGISSPKTE VATYKNFTEVMLPRIKYLGYNAIQLMAIMEHAYYASFGYQVNNFFAASSRYGIPEDLK ELIDTAHSMGIVVLLDVVHSHASKNVLDGLNMFDGTDHLYFHSGGKGNHDLWDSRLFN YGNHEVLRFLLSNLRFWMEEYRFDGFRFDGVTSMLYIHHGIGTGFSGGYHEYFGSSVD DEGVAYLALANEMLHKLYPNCITVAEDVSGMPALCLPLVLGGVGFDYRLAMAVPDMYI KLLKEKKDEEWDMANIAFTLTNRRHGEKAIAYAESHDQALVGDKTLMMWLCDNEMYTN MSVLTELTPLIERGMSLHKMIRLVTHGLGGEGYLNFEGNEFGHPEWLDFPRQGNNNSF WYARRQLNLTEDHLLRYKFLNEFDRKMQLTEEIYGWLQSPQAYISLKNEQDKVLVFER AGLLWVFNFHPTNSFTAYRVGVEQAGTYRIVIDTDDSDFGGFDRNAKGTRFFTTDLEW NGRKNYTELYLPTRTALVSSKFSPFDPG TRV_05996 MPLTIHLLALFDIAVLATNYALARGGTGNRRTVSENSDHTRAAF SLFFPFASNLTSSTLSIHPPLFTHLTMFKSGISRTLGRSAFARPSSSVLSSVRPTFKN NALQALTARYASTDAANIGKIHQVIGAVVDVKFDTETLPSILNGLVTDNGGQKLVLEV AQHLGERVVRTIAMDGTCRYFSQRLGIKPDLGAELELRRSMLTRLCTTGTEGLVRGAK VTDTGLPIQIPVGPGTLGRIMNVTGDPIDERGPIKGVKMAPIHAEAPEFVEQSTSAEV LVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGYSVFTGVGERT REGNDLYHEMQETRVIQLDGESKVALVFGQMNEPPGARARVALTGLTIAEYFRDEEGQ DVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGGMQERITTTKKGSITSV QAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKSRMLDPRIVGE DHYNTATRVQQMLQEYKSLQDIIAILGMDELSEADKLTVERARKMQRFLSQPFTVAQV FTGIEGALVDLKDTIRSFKAIINGDCDDLPEAAFYMVGDIESARAKGEKILAELEKQ TRV_05997 MQTDSSWRSAQPGAVQSINWETWPEKNESCYIDGPRQVPCGQGR IPLYSAVVQSPLDIQKTVRFASKYNLRLVIKNTGHDFLGRSTGPQSLQILTHNMKSIN FTDNFVPEGKPDGRGIGQAVTIGAGVQLNELYEAAGKRGLTQVIGLSTTVGAAGGYIQ GGGHSPLGPWKGMSTDHVLEYKVVTAGAKFVTANEYQNSDLFWALRGGGGGTFGVVTS VTLRTFKDPPTIVSQVNVTMDGKANESYWAAVEKFQAYLPTLSDGGCSGYYYMLPNVT LGPQSAAVIIAAFYYANKTDKAHVDNLYRPLFASLSSIPGINVASVSVPVSSSTEAFR SAFDQKPPRDGGGVNILGSRLFSRKLLEAPGGAANLTAALSKLDFKNLQPAIGHLVAG GQVAKSTHIQSALNPSWRKALVHLVISRDWSIDSTFAEQEKISTKLTAEEIPLLAAVE PDMGAYTNEADVNEPRFQQTFWGTNYNTLLRVKNRWDPRGLFFVRSGVGSEAWDKQGL CRA TRV_05998 MSRVIGRPKRTSAEVAQILQTAVKNGLDRKKADRILSEAKMSDY LMNIYDHLDALKNPYLTPETNLPGIKINMGVKPRFFEQTSERELKEKYNLPTFQLDPH TKESPIPPNNSDSSYGLWLDLQEVFSKVGLLAEKSLARQRGLEILENRHGDNPMMIEF TGSPTRTHDYQWCTKMIYKYKDFPHLMVKSLHKITRDGTENCILRSELMILVRLIVLK ARKDENEPHEIFPYVIIEAYFDGKYVHVNYGKPIAMSDKLPPKEQDQRMKYVIGWATA QPCGKTASYKDSPQ TRV_05999 MPITLPSSRAEWLKHVDDHGVVFQPIHNLDSLKSGSKIGEHQFL ALRVLWKRYKAGTFNYNNWDLSLEAAKLNLGGMSIWKEYYHTLQTTGLGDKPKFGRFD MLWSFQKQVARLPGDSQNDSDKIASPVSSRTRQAMASRQTLASNLPSSSSDPFSTPGR SIGQPQSYNFPSRLDAYPLASRSRPQTPTNRGMNMSVLDDDEEGSPMVIDDLSPAAAG EGYQFPPADDEQTVNTALILALNAVCLSQQSLVDFPWTLERKAFVFKTPTRKLYEART DGHLRFRTDKMDISLAILEVKAGVRDVALPHAQESAQMAAWINAEPDMVKQTGTRYRI LKNWETQRQGVYDYA TRV_06000 MNLTKEEEERKKEREGGRKGKGQKNLATLYRICLSLRVIILELS WWPSIKTEVDDVFQYSAKYQGPVIVERNSEFQREYCNTILSPAE TRV_06001 MRFLHPYIYILLRREIMASTTSTAHSRARFSYLALHSIRRSFSV SASKSTQFHRSDFTNQPYTNSYETGIPTPGPLGSTPSFGVPRVTPKVLKQHLDNFVVG QDRAKRVLSVAVYNHYQRVQELQRRLEEHEELLAQRARRESMESHPVEADYTSFRPRR YYDDNLPSEQDPLVDSSINMLEKSNILLLGPSGVGKTLMAKTLARVLSVPFSMSDCTP FTQAGYIGEDADVCVHRLLAAANYDVEQAERGIICLDEIDKIATAKVSHGKDVSGEGV QQALLKIIEGTTIQIQAKPERNASRPSPGGSGSSGGTSYNSTSGPSGKAEVYNIRTDN ILFIFSGAFVGLQKVIMDRISRGSIGFGQPIRASSFQNDSTQTKNNAPIPILPGSHEE ALYKKYLPFFTPTQTPTAPDEEPVYFNPLDLVTPSDLQAYGFIPELIGRIPITSALSP LSHSLLLRILTEPRNSLVNQYTTLFALSGIELRFTTAALHKVAANAFAMSTGARALRT EMESILADAMFEAPGSSVKFVLVTEAVAARKEKAVYLSRGQSGKFHALIAAEEGEWEE RMKRERDASKEGRVRNQPHSFEEWRSRSTAGSVAGAS TRV_06002 MASQCALYRGEDDEDAISLTSTVPSEPQEEYNITGVLAERIADN GATEYLVSWENYPIHRSSWEPAENFGDPETTLREWNQKKEAITKGDAPEFDLIAFENL LAGIEEAKLRRKRKRRLKRMRLGLACGSESGDNNPESHEEDNGDGSSKSSSSDEPTSS RISPASRKRGAGILLPKQELMATRKSSSSAAGSSDAIKGRTFKAALREASQPPRQLKI PPSSKPKVPSTAPPPIKVSEKPGTRLPIRTSSVNVIEPGNEGQTVQSSTANPPTSMAP SRKSVFTFAPATARRGGSMTAAVGSRQPSGPPNNRLFRTLSTQRKYHKMSRTERPPDI SQLDLRPPSEWLTAAGRSALREISLGRKRIGATSMSSSIDDLFVAEDDNNGSLPNNPP PVLSKDSNTNADGKSLCTRIWREWNKGDVMVHLVFSAKEKVIGDIRITGLPHSARSWL LSLKQGDQIVIDLQELCSPKGFLQLDIFLGISNLLFLQEPNKVNHGHVIPYNDTMNQV NYLTEYLLNNQSAAIWRSLVWPSRSIIIYPSQAYEWRFLHKQSPSHLSPMLRLVIITL PDTSELRKIPLPSPIPSSSHQTQSDVQPGKGPEEVVLAQGYSEIAVGTATDTPSLVSL QEPPRTITLTLKTPLPPNESTSSPAQHSSRELATKSLPERARDLDVETYFQNHYRITF EYLTRSKYSKYRKETLPVFYLIFPSIEREEHDLIQQLLVFNRIAPYSHRNQGDWTKFI KDVTEAEVKPQGIIIVSKSITSPACLIYVSNKQDAIYSVIRSSMPIIACLNFRNFFTT K TRV_06003 MANDEYDREIADSVGTCIVVLIGDSGVGKSNLLSRFTRNEFNLD SKSTIGVEFATRSIQVDSKTIKSQIWDTAGQERYRAITSAYYRGAVGALLVYDVSKHQ TYENVTRWLKELRDHADSNIVIMLVGNKSDLRHLRAVPTEEAKQFAIPQLTLMVLGEN NLSFIETSALDASNVELAFQNILTDLLAEIFRIVSSKALESGDSSANQLGDRKVVEIT KTPDSEKKQGCC TRV_06004 MLPYLFPTGLLLQIFQSCTSIADILNLALTCRRFHQIFASSQKL PLLASAAEAEYGPLKDIIQLVTQNSSQPAHAIREAPLSINLLQQIVAVGRVARKWEEI YPVKKWKVDFQDRRLLTDHERYTLRRAIYRLWLYSRAFHCALYPRGSRAVPAVVRERA ELLHNWSTDELAEMEDTRLVIREVVQNHICPSNGTIQRKFHKRFPENTQSLSFNIHLN YPPSHGSSDFYFADPYRSHTPPLHDQETFFLNPSKNDRSGTSQFSTSTNKYATKFRSD LYHDPGAEGWGDEIPHYYVVEDMLKLDPGQVIWLRENTRLKEQVERYVKSIGDWFENN GETFGQTLEWVLNERGEDIEAFKDAIFDQELGIAKSDM TRV_06005 MASVYKSLSKRKKKDTPRVNAEDEDVDMDVMDDFEDDSSEEDEE EDISEGDSEVGSDDDDEKDGGVKTTPKKSSQSQKNPAFMPKTRVLVLTSRGVSYRHRH LVTDLTALLPHTYKESKLDTKKSQGYNFLLNSLADLHSCNVVFFLEARKQGQDLYLWL SRPPNGPTLKFSVTNLHTMGELGTGFAGNCLKGGRGIVVFDPSFDDNVVLQKGNEWRG LVREMLRSVFAVPKRGVRGMKPFVDRVIGIFAVDGKIWIRVYEIRETDVATATKKAEE ELQNAAAGEKKKMSKSAASGSKGGPEISLVEIGPRFVLTPIVILEGSFGGPVIYENRE YVSPNQVRREIRMKKASRYNASRTGQIDRNVKKTELGLNTESSKDKKVDELDSRVLFA TRV_05928 MACDTVSRSCVNTASHNPHFPPAPFSAATISSRAHDITDPSSTL ALRLLAPDSSILEDHRKHQNQNHSQRPTIGPPVGSAVMSHHYQHHDEDQEYTYGRNNQ YNFSQPSFNQSYSNHQVLAPYNHHSTETASIAPSYSSQQAIYTQAPQHSSLITHSSST GYQCHPQYMSQPRFPAPHRLFPMHEPFWEVEIEAQESCNEQTVLSEPVIPALEGFPDV REFDQLMKRFSSSSSSTPWKLIGLNSYVDDLSVKKQDKALIHSRRARNIKIVLTDPKD IAIESAQFRFWVKKMFTLVPKDNNIPM TRV_05929 MRNNLAGGDKLITSVFQARDCFLGGIPAAEKRGKSKQEGWKGKP KKKRNPINIYTHCTHIHATRKRERNTLPTYSVQTKRRKLAAGTSRLLTTELHTLYIGL TDSHSILTPRNSIRMPPLDHSGLLKTQSTREEKAFIFRLQRFIELFSGIISLAIKRGL KPRAIWTRLLGSQILFCFFLFFLPHRLSSRPPATL TRV_05930 MHKPKETEVECWDDDEDLHCGDGIQLRTVSATTSVTGSSVRPSG HRDSISSRRSWRSDRDSNLGDDESWQLLLNPSDDSPNQDAIVSAKNAGIPIPSDIPTS ALLGGSIKRLGGRKQRAIVGDDWLEDLDLSAFEAKPKVKLYHETTAPDSLLHLDSLPA PSFDKQIDTHPFVLHDLVKFRDTEEDMLFGDVSTIKITKTRSNQATGPPSRLDSREKD DDFESELVLPEDGTPLRLSQRRDMPLTSDFLADDFDTEWAEGSIGVRFGGTRRDGRSN RSSSVGALSPSMSSCLTIESEDDGIDGLILPDGPLDLEKSLQKRAKLQSPPPSIPETP TEQQVTPSNKGPKHAEPTNDDFFSGIEIGDGDAFDAGKLTLNRNAKRRIEPPSTPPRR GATSITFTNKAPATGTRIPRLSAHERPHSTYLEPVSESGAPVSQTPRRPHSRLSGHAT HSSLTNIPLSNSLASSLSPPSRRVVAARPPKEVLRSDLANTNTQLLKSKRSAPVMRPS SGSTASQTQISPSRQASYSKPAPTSRPKTPVERHATESRLLSQRRPQVPFLPAGVSPS QSHHVSVKSSRHFRRTDSESSGDMLMNPRSTSRSSKRTRYDSSGRPISYSGDTISAAA KQTITKPTRRKNFGDGTELDIFDDLPTSASLESRFMKAPIKRGAPRSLRSKLSQSHII APRTDTPVPSTGPTSPPVQDSTPRFARDTNASRNAREQRITSMGSNLHQLTSVNLNWK TQPATRSLASPSLPRSRHGHKHSLSRPHLIKPMGNGVHDAKNVKGMQYNPALYKWEGN ENATAAFETPSTPAPLKPSPALISNVGGISGSQMVGGMVFDPQRMCWLKAPNSRPSSK SGPSLSVDEEEDVFAGLDDLEDHPRKSITAVSSAPSSPSCVEADAANLDDKSGGESSD EWAITEEFDVGPEFIRRQRAEEEKWRRKVGKWINEDRANLGDEWRWAIRNLVCKGKVG TRV_05931 MSQDSILRIPRSDSSGDYALIKVSKSGASDLDLQLVGTEGETPY VGSLTSSGIKKLRAKNYRGDDDEWVGILSYSFDRIPESSANAEWISGLEVLAAVQGDG DEENKELHITLRKRIDSITDDEQAIELYEWTGMAVSKAKALEQQVSNLQSKYREAEDT INKLKAQLEDFIETKNRHDEQLIGKFVSLLNERKSKIRSQQRLLTKAKENPDTAQEAA TTEAPEKATGKSATSRRSKRKADEPPPEESESDDGFDAMDIDKKDATATLDEGSTTDE RQDTPEPLEDETASEIDDEVPPRPPAPKKGGRAESIPRTAKAAVAQDVKRTRNAPELS SNLEISEPPPPRELPFSKKRAAQKPVIESTEGGATDSEDDEL TRV_05932 MVVKTNSPLVHKAREGVMEFLLANHPLDCPICDQGGECDLQDQS MRYGADRGRFHEVGGKRAVEDKNIGPLIKTSMNRCIHCTRCVRFANDVAGAPELGTTG RGNDMQIGTYLEKNLDSELSANVIDLCPVGALTSKPYAFRARPWELKHTESIDVLDAL GSNITIDTRGMEVMRVQPRLNDDVNEEWINDKTRFACDGLKTQRLTTPLIRRDNKFVP ATWEQALTEIGNAHKALAPKGNEFKAVAGHLIETESLVAMKDLANKLGSENLALDQAG GSQPIAHGVDVRSNYLFNSKIFGIEEADTMLLVGTNPRHEAAVLNARIRKQFLRSDLE IGLVGESFESTFEFEHLGTDAAALKTTLAGAFGKKLAAAKRPMIIVGSAVAEHPDAKA IFETIGSFIDKNAATFITPEWQGYNVLQRVASRGGAYEVGFTTPSVEVANTTPKMVWL LGADEISEADIPKGAFVVYQGHHGDRGAQLADVVLPGAAYTEKSGTYVNTEGRVQMTR AATSLAGAAREDWKIIRAVSEFLGAPLPYDDVEMLRDRMEEISPALRRYDIVEPSSLG SLSKIQLVDQNRGSKVTGTPLKKPIENFYFTDVISRRCSAAKETGNPETNFMAPGESS ATAQAVQG TRV_05933 MSVTTLVDTRQQKSSGLPPSWPPQMTADTSTTEKFPTLGDDDAL IEQKGESVFLPSTINVSVNAQELAESLKAPISYKLIGLCLVWYMTSALTNTSSKSILM ALPKPITLTIVQFAFVSTWCLFLAYLASVFPMLKTAVPVLKNKIRYPSYAIISTALPL AGFQLLGHILSSMSTSQIPVSLVHTIKGLSPLFTVLAYRIFFRIRYARATYLSLIPLT LGVMLACSAGFSTNLFGIICALAAALVFVAQNIFSKKLFNEAARAEADGQSPGDTKLD KLNLLCYCSGLAFILTLPIWFLSEGYPLMIDFLSSGSISLSNKKGALDHGPLMLEFIF NGVFHFAQNIMAFVLLSMISPVSYSVASLIKRVFVVVVAIVWFGNATTPIQAFGIALT FLGLYLYDRNKQDDAADRRANADHFHKNNTILPLNTASNGPAKVWNSNGYTFPPPSVG GLGIHPMDVNGKKEDDKTNGVSRRNSIARPWLPPGTKQESTWQPGDASSTPQK TRV_05934 MHIMPSFVLWTFHLCSQWFQGLTDLLPHIPDTEPVNHLVSQTGL LHPGGMKYSKVAPWVCIPLLTCRGVGATNSADGGSDLQLNESPFSKSWQVLGPFEIGT RESSWGADPLEAYGGFQTLQYDPKASFDSSLALNGLANWSIASTHVNSTADPPETILD INLSPDNLTSLQEVYGWDAKQYQAWARGTLTVSKSNSVVALYTDRIWEYRIDNKSYFG GDFFGFRRAPLLLDLSPGTHTVDLRVVRDVRALGAVGEPFINVTLIAQELSKTLIVDE KSILVADVVENRLISPYASVTLHNTIGNPVDVVGIKEDSTQAARFSLKMLNNHVKLEG AQSRAIGFTVEANDKTNVPASVSLYFEYTTPGSSSVQRTQPMTITFTRRKLPEVQQNT FVLPGGVVSYATMRPPTSLECNKNKSAKLPVLLGLHGAGQAASDEIIRTMLDDTWGFA DLQHSVRALNDYIKYSGWTGVGVIDSDWVIAGHSNGETGQGTWYTISHYPDKIIAAAP VAGYTSIENYVPYSMWHNTDSLLASTFYRARQDFKHEILVDNFAGIPVYQQHGASDDN VVVYHSRLMHRLLQESGSPSKYHEVPGKNHWYTGIMTSDFLKDFYRTYVQRPNATDLL PQRFSVTLPPAGHMGTRGGIYVDQSHTPDRVGSIEVERSMTNDGVWKLKTRNIRRFHL ETSAIRSHVPLYIQIDGSRFTVTPSNSTTTWYTQDAAGKWTSGGDSWRTISQRYGRQA GVNAFLRTEAPFTVTAAAQETRDLALQTCRNLFQYLSADCIISYAVPTADSTTATSLA GNNVTILLGAQPNGYTAGDSAISISARSLDIKTSQGTTSYPFESGLGAIFIRPLVNER LELVIWGSDMAGLQQAARLVPVLTGVGQPDFVVVNKGTPLKGQGSLYAAGFFDSEWKV SAASYVS TRV_05935 MKADQPGSSVPQSVMDQILAKLSPKDGKSGGSAGDPATKPMDTQ PRLARQPSGENRPSHVNHTELTPTIEGHIPLAPTTQPNLTIWQNTVNTGKVMDTQEMF RLQQELEAANSRIALQEQELAQTRVIKQTLDQAMGPPSEVDFGGREITEQTISSLQNA FNASTRQFNQRQEQWAMQDDAHSDISEAVSAGGYNRARGIWNAQSQPPVNNFGNSDKI SRDFMLHESSISSDTARSWGTRPSQPGYNSNTGFSINQRMFPGHAGPPYGFDNHYSTE PAPHIQGHGGRRATQVSRGSSCFPPQTSPWGTFSPSLTSASSIPRPASQQQAANFQPQ TTYPAVNTYQPRPIGTPLSPMAAEFNAYPATPGSWAPSAASGAASTYVSSLEPLNYRR LLDKSVSCDWKYIVDKIVCNNDQQASIFLQQKLKVGTSEQKYEIIEAIANQAYPLMIN RFGNFLVQRCFEHGTPEQVISIANAIRGNTLSLSMDPFGCHVIQKAFDCVPEEHKAVM VHELLRRIPETVIHRYACHVWQKLFELRWSGEPPQIMTKVNEALRGMWHEVALGETGS LVVQNIFENCVEDEKRQAIEEVLAKIDLLAHGQFGNWCIQHICEHGAPPDKSRAIEHI LVWATDYSMDQFASKVVEKCLKIGGTEFLDRYLTRVCTGRPDRPRMPLIDSTSDLRQL FIFNFCTNQWASCRRSVRKLLDSMDPSQCLGINTVS TRV_05936 MASPAIASADPAPTSSTSDSTDIYQRLSSYSFTEDPEFKLGLAV ILGQPGTPATDEQVNRTDELVTKAKCFYFSKKYAIQPPIDHTSYQHWLQPQSRNENRP LPSTSDNNVGNEAARSGSVSVSTVPEESKSEEGQPVYPSSFARIVELITTGQPIPGIQ QIPDTVLTGQETASTAQRRRKPWEKDGGE TRV_05937 MARSDEEYSDAASVDDLDENLEEEDEDEDDIVDSRTASSRRRDR DRGGKSRKKKKRKQHGGAEWEVARTWETLVEGADGTITATVDGLLEADKRKRCVFSFS TLGKHRLLRTKEKMLIICRLLKDTTPLQRGIIRHMILVLDLSFAMAEKDLRPTRYLLT LRYAQKFVQEFFEQNPISQLGIMGMKDGIAVQISEMSGNPTEHMLALHALRAEDPKGL PSLQNALEMARGSLYHTPSHGTREVIIILGALLSSDPGDIHQTITSLVADKVRVGIIG LAADVAICREICAKTNDGNDSSYGVAIDEKHLWDLLLDVTTPPVTYSQRYSSNSLLMM GFPSRTVEPFPSLCACHSKPVRGGYMCSRCGSKVCSLPAECPTCNLTLILSTHLARSY HHLFPLINWVEVPWKRASRSVSCFACGNPFPAVPPRDKWDMRDRSIKGMSVSSRYECT VCHHHFCIDCDVFAHEVVHNCPGCQSGKPLDVDSSSNPAAKPQPHTNGHSQNSQPADN GDAMNTG TRV_05938 MVMVVALALRCGGRAGRSVAAAKHGVRRHAARPASYFSSAALPL SSASSLSPRPLLISSPSPSPSSSFSSSFSTSSSSSPARSLASLSTVRPAAARKSAGLA IPLQKRSCSAEFRTMNPSDRETLPDVAKPSHYHVSLYDLTIGGNWGYKGTVKIDTKIT RPTKEIVVNVKAIDVQLAEISAKDGSAASKATEISYDRKSERAIFKFDSELQPADMLL TISFTGTINNYMAGFCRAGYQSAATPGPATPKVGEQHYMLSTQFESCDARQAFPCFDE PNLKATFDFEIEITKGLTALSNMPVKSKREGSKPDLEFVSFERTPIMSTYLLAWAVGD FEYVETMTKRKYNGASIPVRVYTTRGLKEQAQFALECASQTLDYFSDVFEIDYPLPKS DLLAVHEFAMGAMENWGLVTYRTTAVLFEEGKSDEKYRNRVAYVVAHGMLSTPFPRTP YPHLTNQYIELAHQWFGNLVTMDWWNELWLNEGFATWVGWLAVDHFHPEWNVWSQFVT ESVQQAMKLDSLRASHAIEVPVRNALEVDQIFDHISYLKGSSVIRMLSSHLGQEVFLK GVAKYLKAHKYGNATTNDLWSALSEVSGKDVTSFMDPWIRKIGFPVVNVTEQTNQINV DQRRFLASGDVKPEEDETMWWIPLGIKSGPKAESANVRNLTKKSDSVADINCNEFYKV NKDQCGFYHTNYPQDRLVKFGDSRNLLSSEDRIGLIGDAASLAVSGEGSTVSLLALVE KFQDEADCLVWAQIMTSLGNLRSIFGTHETISKGLKAYTCKLVTPAAEKIGWEFKDCD DFLTKQLRQILITAAGRSGHEGTVAEAKRRFKAWADGDKSAIHTNLRSAVFSINMGEG GRPEYDLLVKEYETNTTIDGKEICLGALSRATDPELIKEFLEFLFSPKVSGQDVHTGG SGLAANPKGRYLMWDFIKANWNRIEEKLGANKVLLQRFLRLSLIKYADKEVEQDITKF FSDKDQEGYDRALVIAADTIKSNASYREREEKAILEWLTQRGYV TRV_05939 MDSFNNVKQWLQEIDRYATQGVNKLLVGNKSDMEDKKVVEYTVA KEFADSLGIPFLETSAKNASNVEQAFLTMARQIKERMGTATVNNKPTVQVGQGQGVQS NSGSSCC TRV_05940 MADLAMWGSLKHYLVIVTASIPALGPLGKHLTRIASSNGFGWFS YGEDSHSSSYATKTKSLTTVTVSSGPSKKFFSEDYSVSTMTGDDSYPMSKYSNASKHS AGRKKHKHKRKQHSEVASSQEAIVQPPEQNTDEITKVTEVCIQTESKENIAEYWEQRI KPWEARSPV TRV_05941 MSQSQAAATSVAQKPKPAPTIAAQQGDNIAHALAGAGGGILSMI LTYPLITLSTRAQVESKRADSSTIEAIRHIIKREGIRGLYSGLESAVFGISVTNFVYY YWYEWTRAAFEKAAARAGRASKKLTTAESMIAGALAGSATVMITNPIWVVNTRMTAQR SSTEGGDKKAKTTIETLKDLLRQEGPAALFAGVLPALILVINPILQYTFFEQLKNIVE RRRKMTATDAFYLGALGKLLATSITYPYITVKSRMHVANKEGPKESLNDKFKKIIKEE GWAGLYKGIGPKVSQSVLTAAFLFAFKDVLYDSMVALRRRTIRK TRV_05943 MSQKLVRLNSSASECGLIVLWQVEASNVCRFDKWKIPFHISDIK WHRNQPLFIAGCSTPQQLAYSNLTSVRSVVNLYDPLRTHCEVSSFQCPALDINEITFC PSNDNYVTASCTDNLTYVYDRRNPSSVLHKLGHGKPIHQLDTSVTQEEDDTGVCLATW SGSTFFTGGSDGVVKTWDIRRSADDLIFEDIATFNHGVMSGALSPDHTNLVIGDSGGS VHILSTAPFSRENDLDLAYHAAEVNTQTNNDCEESVAGILESKRLVASGKLTRHPIFG FGKGPLYEGPYATWARPPGTSPNEISYTPLEPAIQAEQLDGPPVSQRQLLDQDGQRRV HRHISLALARNRESGPARSPRKGSKARRLTEPVAPSASQIPQIAPRETIESSSEESDI VSRPKRRRYPLRSPRKTKKQSLRPKKPICIDLTGDTSEDERPSSSSMSSYATCDSRQK LYKVETDLLEEDNWWPNSEDVTGLGL TRV_05944 MNIEDRIIENEDLAEEIDAVNAIYDPSTITPTSSSPHAELAVKY TIILTIPNHETVSFLLGFDSNYPTTPPRVLGPASSGARGEGKRYADILADSVKRVWTE GSVCLYDLIVDAEEQFNEIKSAQNEKEKNDNTPPTEEPSTSTLEEKDIHSLGLATPPP WVISDPITEKKSVFVARAATVESKEQAEKYLDHLLATEKKVASATHNITAWRIRQSQQ SSNDQQQQPGRDTVVQDFDDDGETAAGGRLLHLMQLMDVWDVVVVVTRWYGGVKLGPD RFRIINAAARDALIKGGFEKAQSTEKGKKKGKK TRV_05945 MVYSKAFVAAAATFMGLANAHMVMESPIPFNPENLDNGPLLRSG ADYPCKFTASKETGGSYLPGKFDSSKNVFAIGEKIPLTFKGSAVHGGGSCQVSLTTDK EPTKNSEFKVIHSILGGCPANVDGNLPEDPNGHGASKFEFQIPSSIAPGEYTLAWTWF NRIGNREMYMNCAPIKVTGGSKKRWEPTPKRDPRSFTPLSKRADMPNIFIANVELSNQ PYCETAEGMDVMFPATGDSVEKAGMPNRLQKEGDAVCANMGGSSPKAGSGGSGNGGNG GDKGGAPAPSSTYAPTAPSSTEAPTYAPGPTGTAPANSDSGPGNGGVFLPAPTANPTA PTAPVPTGTGMPTPPGNGTAHAGPCTEEGKWSCSGTQYQRCASGQWTVLMNLPAGVTC ESLPGKRSPMHRRRGHYLPLRV TRV_05946 MDVSQLPDISGHLVTPDNPARDPAEGMDVDRCVALHNYLVHYAW LAQGRSLDLLRRNSYTYFAVYGGAAESLRPRLHWSLAAFLDAAILPLYHYHPSGVLFF YAHHFNGPAYLLDNITVDLKDMPEDSLVSLYEGGMNIESGGGLFYHQASHRAVVFMHM DAYDQALPIEEKKELWHPLETVLSSWINLIIIGKVVGSLPDEPGLFDCEKFGCWEWRP YSDIQVDTCIAAWDRLCEAIEARILRSTTGTTVNSNDNNNNRHDSKPPLVPPAVLDAA SVPDPGFARAFLTRARRPLFHCIAPGLVLPAMDKAGFVAEQPYTSLPRSSSYSIPPVC LFPAAGEHPVHLNSTTCPFTHDFSASYTHSNIPPRVNAGVYSESVMRNSSDKAEEGFR LLLPFNFMERDWEETGLGARKSDGSLVGNMGALFQHGYKPFGGGDWRPRRLECLFNCW RKLIDDDIWSVGPNGVNGTLDTFREADGERWRHYYISPSW TRV_05947 MLIECFWVAGRAVARIPRVSGGGTHRAGQAAFGNMCRSGRMFAP TKVWRKWHQKVNVGQRRFATASALAASSVPALLFARGHRVENVPEVPLVVDSKCFQGD ALTKTKAAVALLQAVGAGADLVKVKESRKVRAGKGKLRGRRYRQRRGPLVIYNPEVDG KELVRAFRNIPGVETSSVFSLNLLQLAPGGHLGRFIVWSSAAFSALDEIYGTTTTASA LKKDFLLPSNIVSNSDVTRIINSSEIQSVLREPKGEAKTKRGCVQKKNPLRNKQALLR LNPYAAAFSKQKLGQAGIETDKAPERAPESFHELLNEA TRV_05948 MDRVDGYNVYIGSLFALRNQDALKEANITHVISAVGPKERPSAI SSLQTYDGIRGHLTLDLLDQDKENIIQHFPQAVRFMEAAIADGGAVLVHWLDTIYPLL PLEDTCICNISDPEL TRV_05949 MSFNLPNALAAGAAGNSSAPVNLTQLPNNILDALLPGYSVISGF IFQITGFDVSVAVSLSVLIFTLTTAWVYCYKFAYSLLMTYMTASITVPSHDDIYDQVI AWVSELAIATSSRSMRAKSKRHMSWDSEEDIRADVSGKSSQDTLLNFSNWEAKIPPRF EPHYEFSWFRHRGNFFKLSREKEQVLSGRMGFNMVSSDESLTITVLGRSLQPIKDLIR EARDASFARERSKTTIRRPGPKEFRSRGIYAWSRAATRPSRPIETVVLDNAQKTKLLL DINEYLHPATPRWYANRGIPYRRGYLFHGPPGTGKTSLSFAIAGVFGLDIYCISLLEP SLTEEDLSLLFNSLPRRCVVLLEDIDTAGLSRTAANGDSSPETTEAANDSTENVISNL NTAVQQPSNRAKKTKKSNGDEESKGISLSGLLNAIDGVASHEGRVLVMTTNHPDKLDD ALIRPGRVDMMVEFTLANREQIKEIFIRMYSPDQPGGIKAKSASTSQIERSLSILNTK LFNTIKVEKPVIAHDHQPLSEEKKSAEPCTNANGVISATQQVTIDYINELAVSFSALL PEYVFSPAEIQGFLLTRKREPHLAVEEVGAWCENLMATRSRRHPPPALSTSSTAVSKQ SDKSAAESDNEVNPASADEHIGSDPESDGIAST TRV_05950 MAHPRDPGDIPPTFRIDLSLPPSERYVALASSIISPKWVYRVAP ILLRKLYTREETEEIKGISRVAGIDLYILVSLNVFLDLLMGCTSGAALTKQPHDIDAK MLHFRTLDWDMDELRRLIVNLEYVRGSESNTVIATSVTYVGFVGVLTGVRRGLSISLN FRPNHNQGRFLANYRFYGSHLLVLLGMRRSISSLLRKYILSPDPDHIQSQSWLDRILR RKESRIPSKTTLDWIAENLPRVPTTAAYLVMCDGNSAIVFEKDHRSAVIQRSSSFVVA TNSDIAKVSLAGQKEEAVEYDKGHDDRIIDASNEPLDLDDLIFLSGERRAHMQDAWKK KVKEKQEKPSTSHDYSTAEPILSQPGCQSGPTMDCRLDVLLDLCDNNQVTAMPSEVTE WINGYPVTNEMTHFAAIMDPTLGQVSWLRRYLEPPTHNNNS TRV_05951 MADNGAWEGSRALPGDEESIEREYDLLSSYADTTDYYSLLGLSR DPPPTGAAIRSAYRTLTLSFHPDKHPSHLQEVARKHFGRIQTAYETLIDPKKRVVYEL MGEEGVQKEWGQRGVLGASSEAENMQLGVKAMDQAQFRRWFVRKMKEKERSVLEDMVS NKIEMQLGFDATMFETTEDIIYVKNPKLMPSSLALGFRLNIPFPSIPVYKPSNSHDED EDGPAEKESAGPEDDEEDMQLVIHANVAGNLRRMRHAGPLPGTGEDRDIEDHEIKNAS LNHLLFPFLGNSSIEVGASLLPVSSLNLTVQKFLTPISGTYPFHVTVRTNMIHVPLLF PPHLHVLVQRRVGQGKVMICNWSSGFLNWPNFISNTLGPMINLIYGDYKALVFLVDSK FELGLKIFSEKSIRGTDDEHMDEDEEEAENSGTWSLLLHSSPTSILLTLNYEKDLFTT RPEQPALSQWSYEGYTPPQEVINSPPVRLEVTATTSMDLSIGWMISGSRKVGNFTRMG LGIGMQGNMGLVCSITWSRLGQKLTIPIAICPLELVNTDIASMAVMVPWLTYALMEFG FWRPRQRRKQKKAIAKQQRRVQRLMAKRRAESLEAIELMKDHVTRRQDMEEQRGGLVI LHAEYGYIPPESTFKISRPGSRANESMVDVTIPVAALVDQGQLNIPRSIVKSEILGFS DPAPFMPKVLRIQYIFGWKKHSVEIPDGEDVICPMQSHLV TRV_05952 MKNKFAEKEEEEEEEIKKGEERKG TRV_05953 MCFGCVPYRALVVEDDPKPEAKKPNRQWYMVRPGDGFYPVNPKS QLTSFSVFFSPSSPLSFATPSLLLSTANNSRLVPSDTARLSQVLVYHLQSESGIISYP YPFAGAMGQFFQYGSVDPSRSRYSTCPQVQPGNEPTVYTYVPPQLQQNIQVGTSNPTG PPPPPPPPPAAASATVLPNGCLNGGPPGTAHSVSQTIQFGPVGLTQHISNAHIVPYIS PAPLRPAYQVPAGTVPWIGPTRAEVDAQNIAVARATGAMRPQSMVPYRPAEGQQWWCR EVDGSYTLRTTNDIMENLQPGYWAYSSSGYPYFIRQAS TRV_05954 MASLSEGLFKSLPAPKYTGENEELPAHAQRRGPRVVGADAIDET QIVVKVSGFVSCFFMGFGSLCSSFVSNGVLFLQRAGPPPYGKRVGWRPRSLEDFGDGG AFPEIAVAQYPLDMGRKDAANKSNALALQVDAEGKVKYDSIAKQGHNENRIVHASFKD LIPLRQRVDMGEISLDRPSQEEVNAQMEKTKAALEKLVTGAVSAQKPKNVATGKRSEP TFVRYTPANQMGNTNRKNDRIMKIVEKQVDPLEPPKFKHKKIPRGPPSPPPPVLHSPP RKLTAEDQEAWRIPPPVSNWKNPKGYTVPLDKRLAADGRGLQDVSINDKFAQFAEALF TADRHAREEVKQRAQMQQKLAEKEKAKKEEHLRQLAQKAREARAGASSSRNESRARSR SRSGSRSPSPYSSRSPTPDEEDEAVRERERRRRERRQEDERKLRQSRMGAERRVQVMA REQNRDISEKIALGLAKPTQSKETMYDSRLFNQTSGLQSGFNEDQPYDKPLFAAQDAI NSIYRPRAQMDDDDENAGGAEMDRINRGNRFEVLGRAKEGFKGTEDAEAREGPVEFEK DTADPFGIDGMIAEVTGGQSSGGQKRYGIQEAESSQRGSKRARVDDDEDDDRHRRR TRV_05955 MKTDFKVSRLCAVTACSSLLISRQFSNLLGTVYRKGNLLFTPDG SCLLSPVGNRVSVFDLVQLRNTSYTLPFAHRTNIARIDLSPRGNLLLSIDENGRAILT NFPRRLPIHYFTFKSAVSALSFSPSGRHFAVGVGRRLQIWCTPSTPGSGTSGELEFAP FVLHRDLAGHFDTIQNIHWSSDSRFLLTASKDLTARIWSVDPEEGFEPTTLAGHRDGV INAWFSHDQESIYTISKDGALFRWGYVSRMKEDGSSTDERWRIVKKDYFLQSGSKVNC AAFHAKANILVVGFSNGIFGLWEMPSFSQLHLLSVSQSNIDCVTINSSSEWLAFGSSK LGQLLVWEWQSESYILKQQGHLDSMNALVYSPDGRKIITAADDGKIKVWDIKTGFCIV TFTEHKSGVTACEFTKRGNVLFTASLDGSVRAWDLVRYRNFKTFTAPSRLSFSSLAVD PSGEIVCAGSLDSFDIHIWSVQTGQLLDQLSGHEGPVSSLSFSADGSHVVSASWDRTV RIWSIFGRSQTSEPLQLQSDVLCVAFRPDGKQIAASTLDGQLTFWSVEDAVQQSGIDG RRDVSGGRKITDRRTAANSAGTKSFSTITYSGDGTCLLAGGNSKYICLYDVGTSSLIR KFTVSLNTSLDGTQEFLNSRNMTEAGPQGLIDETGEASDIEDRKDKTLPGARRGDDGA RTTRPEVRVTSVSFSPTGRSFCAASTEGLLIYSLDDDVVFDPFDLDISITPDSIMATV AAAKKAALSQPSDFSSETTTSDSSFLKAIIMAFRLNESELIRTVYESIPPSEIPHIVR SIPTVYVTRLLRFVANAADETPHLEFNLLWIQALLSIRGRYIKNNAASFAAELRSVQR AIDGIRNDLKRLAEKNSYTLEYFLSKPAYAPGAKNITSNESNRLLLENPKEENTSMEE QGEEEANDSDGDWIGLE TRV_05956 MASTTSETASPIGIANLTIRIVAQPTVAGESGLGKTTFINTLFS TTIKNYADHKRRHAKQVDKTVEIEITKAELEERFFKVRLTVIDTPGFGDYVNNRDSWM PIIEFLDDQHESYMLQEQQPRRIDKIDLRVHACLYFIRPTGHTLKPLDIEVMKRLSSR VNLIPVVAKADTLSPSDLARFKQRIRAVIEAQGIKIYQPPVEEDDEQAAQHARSLMAV MPFSVIGSEKDVKTSDGHIVKGRQYSWGVAEVENEDHCDFKKLRSILIRTHMLDLIHT TEEQHYEAYRALQMETRKFGEARPRKLDNPKFKEEEENLRKRFTEQVKIEEQRFRQWE QKLIAERDRLNKDLETTHAAEIRVDGFFPSRNSLSAPKLDSTLDGRCSTGNVVVSGSL YTRLPLLYFPEPAILANPFSP TRV_05957 MYPGMVRKYLKRLLSYKSGVVTFCAFCKATGGSYTNLPHLGPVN AVTFSSSPGTYLLTGSSDRSIHLSRALPQSSPSSPQITTSPIQRYEAHGYSVLDITVS ADNARFASVGGDKQVFLWDVETGSTIRRWSGHAGRVEAVAFGGEGDSVVISGSADSDV KIWDTRSLTSRPIQTLTEATDTVSSVYVHMLTSSIITASYDGRIRTYDLRVGEMKVDV MAHPVTSIHCSADGKAMLASCLDGRIRMVDRDDGAVLKSFGDGNPDPSEENRGPIYFN KDLRIRSTFAKGDSVVLSGSETPETRSSGDSTPATIFAWDVLTGNVIRTVPVGTNIKV ASCVAWNEKGSSWAAGCSDGMFGVYSCSMD TRV_05958 MTDRSRFSAEVLLMDDRLVLIHIPLDLYPFFVSPILRLLFHDAE SEVAPKNSAGFVNISITPIECSIICSRELANLYFVPMVEGFERAAISTHGKVQISSED YLVMQVEGQGLDAGQRVVELTSPLAMAGIRADVKRSIFFISTYFSDYIIVPKHSKQQV TETFVERGFNVEEGPVDPDSRRGSSPNIHLPNSRPDTPPPCSVSELQLRAFKTLQQHK VRPQADRSLRLVQCAAHYREYTGTKSYSILRPNLISALLNDNPQFFSLTIAATDPAPS LLLEERLLPRFSLPSDPDREPSSPVEEDTNLLLGSKDEILIAITLDLRELPLEAAGIV SGVASALVAGTRREGNGNNKDLETSFLSTSRAGNVIVREEELELAMECLESEF TRV_05959 MGVPKFFRWLSERYPAISQLIAENRIPEFDCLYLDMNGIIHNCT HKDSDSPTFRMTEDKMFIAIFNYIEHLFGKIKPKRLFFMAIDGVAPRAKMNQQRARRF RTALDAELAKEKAIKEGVEMPKEDAFDSNCITPGTEFMAKLTKQLKYFINKKVSEDAE WQGVEVVLSGHEVPGEGEHKIMEYIRQAKAQPEYDPNMRHCLYGLDADLIMLGLLSHD PHFCLLREEVTFGRQSQKKSKELEHQNFYLLHLCIVREYLELEFQELKLDGALQFPFD MERIIDDFILMAFFVGNDFLPNLPNLHINEGALAWMFKVYKDVLPKLGGYVNEHGTIN MERLRTLLAALSDVEFRFFEAEYSDARWLKSKTLDGDQTPTLPEKPNVLTITPAQKHI FNRIKKYVTHRPMDEAGQPVPLDLSPSLPARDRKFVEQLADSLHLKWSSVLNQHEERF LRVQLPTNDDDDDEDDDDDESQIAILRVLKKYENAKVKEVTAEDAQMAAEKKYEKKFQ DWKDKYYKGKFGWGLENTEEMRKLTENYVQGLQWVLFYYYRGVASWPWFYRYHYAPMI SDIHLGLGADVDFKLGQPFFPFQQLMGVLPDRSKKIVPVAFHSLMTSPDSPIIDFYPR DFELDMNGKKMEWEAVVKIPFIEEERLLKAMATKEHLLTPDEKARNTFGVTLKFTYSP DVEFLYPSSLPGIFPDVSRCRCIENVFELPTMEGLDPYVGLVEGVKIGAEALYGFPSL QTLQQTGQLGFHGVCVFQQESRNESMVVTISDPEIGGNTADAKTKLGKRVHVGYPFLQ EAKVVCVSDELFDYVQVDGEEHVVPIPHTPTQISQWKKKAEKIESYYSKRLGMVIGDV ETIVRVEMLKGLKKTPDGATVKEFTEIPGVETEYAAQLIVDSVMSEDLRFIEREAVPI EEEFPEGARAFFLGEYNYGAPVHVTGHEDGKLSGLLSAVKGKEPEFGREHVLNAEKLS KYTPSFAIARNLRLSPLTLAKITSSFSVNMDGTRVNLGLNLKFEAKKLKVLGYSRRGN SGWEFSERAIGLLQDYMIKFPDFIAGIQRKPQGDLFEPSDFYPADIAKEKIKEIQAWL KSIESKSFERVPLEAEQLDSSIVQAIEKSADELSQSRPTPVAQKIRGVPRNALLKPAD AEHRLNNQHFQLGDRVLYAQDSGKVPIASRGTVVGLTRTSRNLLLDVLFDVSFMSGTT LSDRCSPFRGSTVPSSSVLNLTSKQLIALSRATAQNKEQAREQQLPLRAAAPQAMSGM GQLKDEPTPPPLVNSYRGAATGQPSNGVTARRAAAVEEEAT TRV_05960 MAGLEEDHEEEIAEEKIINEEYKTWKKNAPFLYDMILSTALEWP TLTAQWLPDKQEDPDKSYSTHRLLLGTHTSSEAQNYLQIAQVQLPNPKNPEAEDYDEE RGEIGGYGGSNKTSMEVKFNIVQKIDHKGEVNKARYQPQNPNIIATMCTDGRVMIWDR SKHPSLPQGTVNPQLELLGHTSEGFGLSWNPHTAGEVATGSEDKTVRLWDLNTYTKGN KALKPVRTYTHHSSIVNDVQYHPLHSSLVGTVSDDITLQILDIRESDTTRSAASAKGQ HKDAINAVAFNPAAETVVATGSADKTIGLWDLRNLKSKLHALECHQDSVTSLSWHPFE ESVLASASYDRRIMFWDLSRAGEEQTQEDAQDGPPELLFVHGGHTNRISDFSWNLNDP WVLCSAAEDNLLQVWKVADAIVGKDMEDVPTEELES TRV_05961 MAPGDSQTLHPKSKSAVPSYLLNGTSSVRMPSSSRERESLNHSI KSSFERKHALGPEELRDAATIGDATSNTNAPASGTDENQVNGEETKPNGLKMVTDVAS QPQQQKRSVSNHSRDQISPPSTAVSRPASPYTLNPPIDFDGLSWPNPEREGLLETPER YAKALMFFTKGYEENVRDLVNGAVFHEDHDELVIVKDIEVFSLCEHHMVPFSGKMHIG YIPDRRVLGLSKLARLAEMFSRRLQVQERLTKQVALAIVEVLKPQGVAVVMESSHLCM VMRGVQKTGSTTTTSCMLGCMRSSAKTKEEFLSLLNRR TRV_05962 MAGRETRKGSSRARHPQSNGRARVQKGKQRQHSLPFRDHGEPDQ QQAGEVAHAFVPGPAYFPPLSDPGCLPGNKPVPGAFGPIRAIPKNHPMEDVNFKTNKP FPDNRQVPPPIRVLRGSELEQIQPANVQGYSWAVAAGYDGPLLPNPALTAMLPKDGHG PENQGIRFFTGEGESSRDTSPSGDELVRLAKVHAYQKRLKPNSIAVPTVKLPRLRGVA VIQLWDKKEDGYIVLPDIRKTVSSLVESLPALSRGFLANHLISTWKDREWHATGVPRP EYPDWTSRTISKCRITNRGHSNGYRSFGDLAQLDFDSVSLENFSILKAGDIPEFKDMS VLQREEFRPEFNIFQHLVRHPELVIIMAKYLRVQELLILNYMSRPFHHVVRNRITGII ISQAQRRAMESAQIFPFRCFGKLCFTDPNKQPHQVPERAEAGEIRQVPSFRWLLMICY REMVCHQIVTIMAEDGLPVPDSCTSVLKKIWLLLDIPDNKRRIALVRQASIFSDLDLF FAMMIFIKIDMRFTDPVTGNGKDGMRRLLLAQPSLTILWRALGRTALVSKLEVMKLYV RWKYHPPHAERGQTIFGIPPDEIGRMQYEAWGKTGSRRILLRPDELIIRESIRRGLNL QLHYTDMFLWGYVNPRTRDNTATEIPPRTLERLEGMEEILMSREERARSRFALVAFPP RA TRV_05963 MASPNNIKLSVTDTPVFSFNPKVETAEKASELLQKDEQEHNIYF NDMGFHSPLIAIADHIDHHVLSIYALGASPEDVERAYASGSSYQRPALPVDEDVVKRL SNEDEFRKLAGKREHYPNFLHFFKQELESKGVGSAVQKYLFAGGEFADDMLARLFGGL IHPLIHLGFGIEFNQPSIIAQGLAQTATHNNQLETFFQPAEKMARDAGKPSNKTLVQL QDELRNDSDIKRSVEWADSSKFFDGVMHRCPEKMIKYASEFNVDPNQFDQKLAELINS TVYFTGTAQNPKKAIRFDFFYIHALNSNIFLPAFFAQPWMTKENQVRLLEWKARNDLL TYVSRGCTEPYLEDITSYPAKLDWKGVFKEIVALKQDDGHAAKFVRHIAYGEKVCKPY EEKDGFRIKGDMWLKLGNMLVDSIHAPGPNWVRSAGFEEAWEEIPARQ TRV_05964 MDSRDNPAIRLKVHRRANIIKVNHPAQGIFHPAIPLRPIEEVAR HIVHPPPLPMQIPSEEQMSLDTDEEDNPFRPSKDLQVEDQGAAGDLERPEPPPKRQPA STTSQPKESGKFSFAFKTKPTPSQAPKPIPDLTHKMREPPRPLELPKTKPQSVTSKLK HETRSDRRDDRRDPRRAERRFDAWDERRRERRDDRRESRPEKRKDRTTERSKPKKKIL TRMKPRPTLSEEFSRADSVYYRKPGNESVVGAGTYGKVFKAVHVFTKNMVALKRIRME GEKDGFPITAVREIRLLQHLHHQNVVSLQEVMVEKNECFMVFEYLSHDLTGLINHPTF VLSAAHKKHLAKQMFEGLNYLHHRGVLHRDIKAANILISNQGQLKFADFGLARFFSKS RQLDYTNRVITIWYRPPELLLGETRYGPAVDIWSAACVCMEMFTKKAIFPGDGSELNQ IDKLYNSLGTPTRADWPDIIDMPWFELMRPAERKKRAFEDLYRDCLSPAALDLVASIF QYDASKRPSAEEILAHPYFVSEEPRPQQAMELADVEGDWHEFESKAHRKEKDKEARRA EQREREKRKIAGSTELSEDRESKRTRLDDSAPTSQGAETEN TRV_05965 MSKDVMPNPAHVGVDTLLSRKFGKETVNYFSSSPLNRVSFLRSD NLFLSNALKHPSARFLLFNELAPLSRNPTELHYASYQEVESIIPLDLFDKSEKEIIES YDSGKTVPLVVFLGLNESQTEVGGFVYRTYKGAPYFALDVTPRGLLEGSAKKIIESME SKGLTFIKARAITSLPSDDDWNARNAFCGACGQPTISTNAGSKRACPPTDLGLSADKS RPPCHTRNTISNLSFPRTDPTVIAAIVSHDGKKVLLGRQKRYPPCWYSTLAGFIEPGE SVEDAVRREVWEESGVIVSRVIIHSTQPWPYPANLMIGAIGQTAKPEDETICLSHDPE LEKAKWFDISEVQEALKSGVSSLGAPPPPGYTEGGLRLAPSTAIAYFLIEAAANAELL GNQYVPRI TRV_05966 MASDSGRDRSQTCPHTGGRSGAGDEEENPFISFRRYADKQLSTL LRSVVGLPSAVLPPAKDWLGLNDEALSQTLRARRDTATNNDYGQHHKCRDCNPSDSYP SSRGGYDEQFFGTNPSIAEQHRRYASQSIPASAFDALFDSAWPTDPSLLFRDLAHFHR PFIFDFMSPSTSAGWPISYLMFSPYSPLQLERQRQLCPRYQEHASSPWFGSLASSHEH KDLREPQWREAFEDLLRIENGKAMLDRHPQGERTNESGKSWLAGLIQRGSLGDGWSHV SQPDGEGEYFKFQCITSNDTSPNHEGMSQEKVPSEEGKQFSELDLYDNFLHKVSHGAA VSHTSPLLRAILEERKQQRQELEELQLQWREMFREKEMERAEDHQAIADSARFRGTAS QSQTSSQTNENLSPPIVSSVTTTQRRMQPDGSIKTKTIVSKRFADGREENTETEEITR NNRLAVDDGNQAVATQDENKRDTPNGGRDKKGGWFWQE TRV_05967 MLRFHHVYSGKKSTTRCLQELLSCKNEINWFIVFALIAEISQYY SRIATLHTHLVSYIPSKRDGSPFVRSFVLVFFERTQKLFQSGGLVVPSTLPTMGQNAM KYDTLPINLLQSDDQSLLLERLDELRRIGLHHQVFSHTLVICGDQNCGKSAVFEAITG VPLPVNNGVSTRFVVEVALRRSTSVGTQVKIRPGPNASPDHKQKLGVFSRSHDWLADI PRLFSEARLIMGLTREGSYSEDVLHLEISGPTLPNLTVVDLPGLMYLPRDNQTTADIA VAKSLTLTYLQNPRSIILAVISAERQLSEQMVLPMTKSFAARTMGIVTKLDRLDPDAS TIAKVYDRVKQQHQSLQLGWHLLRNTDREGTERPQRVSRDDIEELFFATATPWRTLPL HFLGVGALRSRIRKTLLSEVKRHLAVLKSDINIDLDTHRSLYEKLGSPTTTTVERRVY LARIGERFQSLTKDAIHGEYHDPYFRYRPTHSIRRLRATISNWTEEFSQDMRRRGHSY DIYDDTEQKELPPRTKDGPQPVTKREFMSGLEEFVKQSKGREISGLMNAQVVGELFIR YSLRWKEIAKAHVFQMWAKIKQYLSDVLHHIADASFGEALIHKIFNIEMEKKLQKLQE KIDELVAPFKRVIPTIVDPDLSIKISQIRRAANSGIKALSTCSLYSEILDCMLAYYSV SLNNFINNIVSLAVEGCLIDGLEDMITPSKFVQMSDDEIEDIAAESQDVKLARSRLEK QVRMLELAASACTRCELVALEATASVNRISTESKESEKTIGSGASSPSSTTSGSDTEK LLVRQDLASGPSSRQNANGQGSMLRAFPSQSTLSDKQLLTSAVYRPSTGTSSTNNDKT LPSCQPRELRRSDSRSKLGKIITKKQVSKFVSSISEE TRV_05968 MGLFGYVGAKGRASKRATPNPTSTPQSVSSDEYVEPEGSDGEDD NLKGMLYVVEKFTLQSYSQKSQAVKQDPHFGYKDFSSLALKPDHANRPLWIEPLKGTI TLESFSPLASQAQDFLTTIAEPLSRPTHLHEYRLTGNSLYAAVSVGLLPTDIINFLDR LSKTPLPETLKSFIINFTKSYGKIKLVLKHNRFFVESSDTATLQMLLKDEVIGPQRIE SSEITQQAAPKMGGLVIPGTKDAAMMKQAPDQRAAQVSERPAQSNEDDILVNLREEDD DEEQAQVHSFEIPNTAVESVKARCQSMGCPALEEYDFRNDEINPTLDIDLKPIAQIRS YQEKSLSKMFGNGRAKSGIIVLPCGAGKTLVGITAACTIKKGTIVLCTSSMSVVQWRN EFIRWSNIDPSDIAIFTSDNKEKFRRSTGVIVSTYSMVSQTRARSHDAEKMMEWLQSR EWGLMLLDEVHVVPAFMFRTVTSAIATQTKLGLTATLLREDDKIKDLNFLIGPKLYEA NWMELAEQGHIAKVQCAEVWCPMTTEFYTEYMREKSRKAALLYIMNPRKFQACQFLID YHEKRGDKIIVFSDNVFALERYALKLKKAYIYGGTPQNERLRILENFQHNEQVNTIFL SKIGDTSLDLPEATCLIQISSHYGSRRQEAQRLGRILRAKRRNDEGFNAFFYSLVSKD TDEMFYSSKRQAFLVDQGYAFKVITHLQGIENLEGLAYATAEERLALLADVTLQNETS AAVEENADDLFNDRSAGGKSRGNKKSVRRNAATLSGLAGGEDMAYIEHNKSRNKQLKE RSSFFKKIDRDRAKRKKMLEQ TRV_05969 MLEDDAFKREDGGPGRSLQKEFKMHGIIQNAIEQYEKATPISIP RCHQFIDPDDAWWGAGNLEKFPDGYSPCNIIRSDRIPPVGQPTRELLIEKFCPPPLIS ELKASEVNKDCLIRPYLGRCRFSSRPKSNFVAFSLRNFPLHLDQMYELEVPPTDLLNY AVLMAETLAILHWLGKTDANDIEFVLAPPRNDQKSAIISNCLGEHTIWILDFDCCNVI SLDREGVDQAVRAFIKNDPFYPRPTSSPDLWVAFRRKYIETSNEVLKGAGDMALRQLP IHFINGVESALQVSSA TRV_05970 MSDNIGDEISSRSIRQYDTSEDSNAPRLDRMFSRLQLERTSTSS TTGPDTKNTLKRLLSNQSGISTASSYAEFQSASAGQARPFREIGRGSIGKIYEQPGTT WAFKLLLIDRSDKLWNNYVMHLRLGSICGRVELPRVAWFANKTSEFWNDNLHLFPDEP TFRREPRDVLCMERILPLPEPVRNAMIGAYCPPHNIENAKRDPKNKDCLIMVFLGRNR FGTSRPSGTAFSSLRNYKLHLDQIRDLGLDVEELCESMADTMAVLHWHAKVDGNDIEF VFGSSPEDKKAIRREVKLADVERMAPGSSTYERITNIHPNFKKRLLSLWILDFDACKP ISMDENGVRLAVKAFLETDPYCPRPSTECHFAKELWKLFCTRYMHTAARLVRGTNYHH LPVKFIQGISNEYRLREENRRETQVAPPTVVRGRGTAGIRRARSSGNMMGRGRGTWSN QPGPQPGEPEQSERQRQLEQRQRWGFRGRNIGHRH TRV_05971 MSSHQIAVAKASFSAGLLRPDPEPVSGDEISRFHKELDTMMSHC SPAHIQICKSWLLKNVAPSSVRVGGLGKYFIALAEYLQQKPTDRQETQNGGDRKPGAS PRRKTLHILYLLNDLLHHTKYHGPTSTSAFSTVAESLRPYLTTLFGRAGSYCRENSAK YRKRVEDLIKIWENNAYYSAEYMKKLRDAVENFEATEEKAAIDGEAHVEQKKAETRNI PYVMPATHGDPNAPYYELPAGNMLPHIIPDSSAAIRTQSLKPLQFAAGPADETLIKAV KSLLAEVDRIYDTNENESPELTIVDVDELGQTTTRNDMTGESLDSGTYYGWSREFCQN MKQRRSGKARSRSRSASQSISSRRSASKRRRYSDSMSEDGRGRSRSSSSSISRGRRRR ASVSRSRSPRRRPRAGRSASRSASYSPKPSALRGVSSPPPPLTSSQPANQTQASYVNP QFPFIGAQQLSMLAMNPAQSVMFPPPVRPPNYHGPWPPPPPPPPPGISAPEGGLFPPA MNINMAMNMGMNMNLPAFPQNFPPSTMGGPMGGQQFIPPPHQPGANFAFSPPHPHQQQ QGQQGEQSQQNQQGQHGQIYARNSSSGPNGMGRWNQGGWS TRV_05972 MRFQAIAVWLFAFAVSSVYGTALTYKLDAAEKACFYSWIDKPPA KVAFYFAVQSGGSFDIDFSVYGPGEKLVMDGTKERQGDFVFTAQSVGEYRFCFNNEMS TFAEKTVDFEIAVSLHYLPIVFLDFGQFCPSCIISWRPIGFAMLKNLLQVENEERTQL PSKPGTSPEQTSAVEETILRLGGHLSTISRNQKYFRTRENRNFSTVRSTEKRIFNFSI IESLMMISMAGLQVFIVRFFFQGARKGIDERMGPALGALIAHAALPPPFHLPPFTPLF PLHHLFELPVC TRV_05973 MSMMTELTYSSYNSKKETGMVGLRNQGATCYLNSLLQSLFFTNA FRKAVYQIPTEDEANKKNSAWTLQRLFYSLQTCETPVSTSELTESFGWKSRVIFEQQD VQELSRLLMEKLEVQMKGTPAELALPNLFVGKAKTYISCINVDYESSRIEDFWDIQLS VKGNKTLDDSFKSYINVEIMDGENKYDAGSSHGLQDARKGVIFESFPPVLHLHLQRYE YDFNRDAMMKINDRHEFPEEFDASPYLSADADRSEPWEYKLFGVLVHSGDLNAGHYYA FLRPTKDGHFYKFDDDKVIRATNKETLEENFGGEYANGAGMRQPYTRNYSTKRSMNAY MLVYIRKSRIDDVLVNVGNEDVPAHLAKQVDEERSEAIRRKKEREEQHLYMNIAVVSD DSFREHHGFDLMSTDLDPGDPALPTTYRVRRTMKVGEFTQLVAEDKGLDVERVRLWAM VNRQNKTVRPDQPLRDPEDTVETAAFKLSSRAAPFKVYAEVREPGSDGKVVWPETQGP NASVLVILKHFDPVTQTLSGVGHVFVKKQSKVSELAGPILQMMNWPAGTSFSLYEEIK PSMIDQLKPKQTFQASEIQDGDIICFQRTQSESELGPNVLYKDARQYYDYLLNRVMIK FAPVKPESDDSTFTLALSRKMSYNQFSAKVGEYLKVDPTHLRFAPVATTTGNPKPFIR RNVAHNLSQILTTQYSAYGNSGQRNDALYYEILETSLSEYETKKLIKITWLPEGIIKE VYCCPGLLFIVPFYFCLGACANIYYFIQQPFELLVPKQGNVTDILQGLQQKANLDNEV MQNVRVFEAHYSKMQKELTDKFGVAGIMDTISLYAEPIPEDEQNMKEGDFRINAFNFD KEPNREHGIPFKFVVKPGEKFIDTKERLSKRTGIRGKQFEKIKFAVVSRAMYSNPTYL EDGTPYPLLKRTSLKKTANTI TRV_05974 MVVFLFYFIFFGEVFFFTFLVDVKLEEEEKKKKKKKKRLLF TRV_05975 MQKLPYVVVTNPHLSYVYELYYKAFERFRTVPEIKTIEDNDRYC DILRHALKEHLTVIPNLAMGVLECQDLVKPDVMDRFMNTLLRARISRRVIAEQHLALT ETFNSPWHFPDSSDRDMGADFVGEVFLKCNAKDVVERCGKLARHLLRQTLGPDARIPT ISIQGHLGATFPYILSHLEYIVGELLRNSMQAVIEKYKDSNSPPPPIEVLICEAPQHV IIRVSDQGGGIPRDILPYLWSFSKGPRSKTRLENLGQIHAMAATMQELNVSHQDSSKV PRGIKENSLDSLSSRPPNLRLGMGLPMSRVYAEYWAGTLELHSLEGYGVDAFLQISRL GNQNEQVSKRAAIDAV TRV_05976 MHSVSTRFQSVFGFLTTVALVLGAIIAASDFLHPAEPTTSIKVS NVQVIKGRPHYYASRREEYAQVKFDLDADLTSLFHFNTKQVFVYVLASYPSASNQSQT TEAVIWDKIIPAPVSPYSLESLKSRFFPDAKALKSKRNNSKSKTKVNAEKTVVPGKLK LRNQKPKYQITDISGSIAEKEGVKLIVGWNVQPWIGSLRWSSNTNMEKNVGGLFGKLF LQNAGSKGALSGRSKPFNFPALKKPATS TRV_05977 MDRQNPQKYRTTDAESEKNTQSVRPKRLKLSDNLRNGDQPPSNR SIEKLSHAHPSPSRAESSTSIPIKTYQIPTPARKTRSFFRKQNVEIESDNEDETNMDA SILDMPGKRWQNPLVYPAIGKKKAEVGEHDLDRLRPHEFLNDNLIGLYIRFLEHHLER QHPDVARRIYFFNSYFFATLTNTSKGQKGINYQGVEKWTRSFDIFAFDYLVVPINENA HWYVAIICNLPTLLLPKVDNRSGSESIGKGEMPKTAATETNVLDVPSEVGGSKTDVGH SPSDATKENQVRESFTSMTLKDDSEPHFSGDDKEVEHPEIEEWPDEDENQISRFPYMK EATLLLEKAIEPVTDSLKPSSVPEPEVAFKTSKAAKKRGKKGRNPGIRYNESQPAIIV FDSLDCPRRPTIGILRDYLEKEAKTKRSLTIDSKGIVGLNAKQIPHQPNFSDCGLYLL AYLEKFVRDPDHFVRSVLRKEMNKNKDWPAMKPGLFRSRLRKFLCQLYEEQQALKDGK LGENQPLMADAKSLNILLVNTPVEGSPATNEDRKESDVPKASPKPAAKHAGLDPPVTP TRSSPRRLKSEKASPISNSLKTPKTPVASTVPSSSDLMLLSLSDTTSKQDNLDETEKP SEGTIQVPMTPPPRKPNPAASSSTFP TRV_05978 MKEKAIGMSSACYKVASTFCDSICFSRERDYNDLLTPHSRPTRD RRAIPIQPGSIAKKHETFKPTNTIGVKPGTRVNQSSSVPSLPFSRPKPLELDSSTVIP DSDEELTPPRKRLKRESPEIIDLEENEPPLAVPETSDTNIIAEKSPSRTPVRAQKGIF QITSKYGRIANPRQESPAPVTPKSKPWTGRRADTFTDSEDEKPKKRSKQEQTDATGID FKDTSTAHNRPRDRTVPAVVVKVPDPAMIQYYPKEIEKRVDCRSSRSNDRHIDDLRES PDELQGNKTVPDTWKEHSSLKREVSPSNIRHTMFTSTVDRKSSQQPIEKKSKGKPSLV TFDISVFRYGDFSAEPVSRLAYNTETSKFLVIPASVDVDSLKARSFDILRANKMDYSE VNCGKIRLSFPRSQKPGLESAYIEFPSDREREKFWRFLQRRSPSLKRHSRKRYILTLR ARSLVENM TRV_05979 MENANLWTRRSNSAKLSLSTSGSDSKDGAGKSDSSRGRSAGRFD GSHPRSNPFNAISPLSSTVSSPSVAASSAFGLGSGAFASFGSTAKSSKTANSPDAIAG KQQGDKRDIQADQEGGAWKPVKSKASSSSLDKSASSANKDNGVRELPLKSTWIVWYRP PTPKYSDYEKSTIPLASISSVESFWTVYSHLKRPSLLPTVSDYHIFKKNIRPVWEDEA NKKGGKWIIRLKKGVADRYWEDLLLAMIGDQFAEASDEVCGAVLSVRSGEDVLSIWTK IDGGRNIKIRHATFSSTSCFGRRIRWLTSMHRETIKRLLAFPPDTNIIWKSHDDSIAQ RSAIDQARLDKSSGNSGHHHHHHHNQGADRRRIANQEDSTGERGKNTTNS TRV_05980 MMFENNSKPLSSSSPTSALSSATCARVKISSKMPFIPSSTDVCN GYMRPSIIDLSRTENFLLRDELADIVKTAKTHDSQHHFSSHDDAGDSRLLLECLTRLF NNYFNPCIPVSRSHIATAPGAAGCLDALLYNICNAGDGVLIPGPQWGDSDIEMNYSYP DVKTVTVNTNTCGTAHHFLRVLNDAIDSAPCKVKALVLRNMSSSFGECYPQEFLEVAL KFCQQRRIHFISDEVYALTSFSCAEISDPVPFVSALALNARALGCDLSRIHTIWSISK DFGATGVQVGCTVSQGNKELIRGLINTPNSRITPASLAFATSLLSSPRLPILIALNSA GLAECYMLITSFFVRHGIKYIPVNAGLSIFARLAPNARSRDEEMEIVQHLKNAGVVVN NAGGSFHKTFKEKGWVRMSFSIEPNQLHEALTRIELALGLGLR TRV_05981 MDNKPTASEFSGLAVTPIASLLPDIGSPGELYLHAVVILLWPFS SATKQFGLLLSEPDPRLRSGKGQIKAIFRDSAAEAVAKSSIGIGDTVYLSLLGAKWKR SSHADSGQLEYSQWDLLFSDRVLLEAQRNSIPLSIVNIKLGQHEGENEGLTELPVTPK RLSTGFSSPISTINRSWASPAFSRGSNTLFSSPLLDGQVEEDGYIPGKGRKRTRFSRP SSEWVFVDSAPSPTKDGDVWDDENLEAEINSPDQVPGEQAIENRPPSSSPPSENFLPT KESMVVHETDTQNSVEIQKFQPAVNGESLIPEASNHLSITQAIEHGTALQPAAENPGT VLYKQPLPPDTLDTQTPLLHPTASSDTPTLRNSGAVAETSGHNSIAPVDSTDQEFPSD NDGASLHETVETHLSPENHQNEALDRNTEAELPHDASKREQQVPSDRPSDLVANSHSC TGHLDNSTSTDNRIFPENVTEDAISHHENMDPLSTYSDSSSIVSVDEKRGTTRPSQDG LGIYKSSRSPDNRSTPDAEHLENSPSPESYTQHPASSDGNVSSDVGNNNVLPAHPATE QNKQEIFVISDDESEALDSEGESTAEVQTSKSVRSSVSSGRESSSRSPTPSIEKSTSN ERVEDTTHKDVLESQIPSSTADTNSNQHSSFPTWGHDNGVVNPPAHPYMGDQEMSVSF SPIAAGILPTAESDFSHHDLRNYLSGAMCHPRAAHIPTELAISADHPGNLHGEELMSH FLSPQPTDFRTPENVHVSWAQLDPSLRIPHFPVQMDLNLPTPENSQSTEHIQPSIPGL DGQAGISNDPHSYVLPEHPSVPLSDPVQAEEARDTKVQVQVTEVSDHQSDEDPEITHQ IPSDDHTSIEPASEPTMNLEISGLRTRLSYFCPLSRLVENFNQLIDCIAIVVDITPVS RAVKGAKDYHVSLKLTDKSSGGSTTTAQIFHREKDALPVPAEGDTILLRNFRVQSLNH MMILNNMETSAWAFFPQDGEVDVQVDGTPVEFGTEEQDFATNLREWYKQEGAELVIRN TSRNSHRESISVSVSSRSASETGSHLRNAIRKRRRESRITYHELRDGKRYADVGSPSD NETIHELRNGTLYAHPS TRV_05982 MTRATPPVRKVAIIGAGACGLVAAKPRYLLAEQCFEQIDIFEQR NHVGGVWNLTPPEGKGQAVTVIPSEDPNTPLEIPFWHRGSKSSKKEAIFLSPLYDGLE TNIPHGLMQFSDLSFPDQTQLFPPFEAVLEYLREYSQDVEHLIQFQVQVVDIKPKDTS LGTWAVTRKDLVSGVLQTDVYDAVVIANGHYNVPYVPSIPGISAWKEAYPQGIIHSKL YFDSTPYKDKKVVIVGNSASGLDIGGQINKVCQQPLISSVKSESYFLSGVASDRKEYP PIAEFMPPESHTRAIRFSNGEIIENVDVVLFCTGYLYSFPFLSGLDMPVVSDGGRTLH VYQHLFYIEQPTLVFPGLHQKVIPFVQAENQCAAFARVWSGRLNLPSKKEMYEWENSN VEARGPGKAFHALAYPLDADYLNEMHDWVASAKPRPGLANGGHGKWGIRWGEKERWIR SKFTLIKREYAALGEKRRACLTLEDLGFDFEASKATEEKN TRV_05983 MGLGAKGTTTPSSSSSQVLDSGTAASQHLENYSPSQLPGEEPVY SSQEYPIKCILDESGGKYLIAWEGSFEPTWEPKSCASYAAVRAWEVEKQKRYISAKQY KEQRPRRTCQTPRRKPLDLVSSSSPGIFTQQSIEASQPSSTNPNSSNPSFSQATASSG SIFCPETQSQSTQASRESSGRSGIQHT TRV_05984 MKQLWDSDRALTKPLNSLPQSTTSSSAGDILPSAPPNASDIISP LDSQLDKDTANAIGNEAPSSVPIPFVTPQALHYNVEQVTSVPEALEPTVQTETTELDA SSPQEQNQDALELEVNILTLSEMEFAIPLSMDCRVKDEYDNTLEGENKYVEKFLELSP QSSDMGSLEGDSQGLITRMATLVEKLDNITTHPDIDIASQAAGASPDTAKEALWAEYS SSKFQYLGYLIDASNELDLHIIIMAKPGRTVDVVKRYLMGKNFNQAPFPGGEHGDSPA IFSKEKVSFEVRSTTDERGMLASRLPSLIIALDSSFDVNLEPVKKLRVAHGHSTLVPV VRLIIANTVEHVKACLPKCTELTRLRLLVQHTLACNDSSGELQDDALGVQENAEETLM YVMDNPATRTWKLPFMEALEIEGQGETPGSELDPTSSTNASRQKRWLESELSDSTNPS KRQRITPTQDITHVSDSVRDLTQTDQNSNPSATQGHTNQSATDIEALRNALMNSKAKV KTLEASIGALQYRYEAKHNLLHQTRHELEIEREKSQKLQTRFERQKEEITRLKDRNAE LVTELEAARNTVKSGGGLESDLENSREEIRKLEKTMASLERTVQQERSQTEYTRQQYQ NASTSAAQSAMEARRLEERVQELEKKANGEAVRLKELKMKKDGEMQLARIKELESLLA TRETLLTRKEEEIREMKKNRPSTRATSMQPRSPKLEEKKKKKKKEN TRV_05985 MGPLPSLFSSESNDSWKKSSVATFGEFVGTFMFLFLSYTGCQIA NMSVDPKDSAPEPNPTVLLYISLSFGTALAINVWVFYRVTGGMFNPAVSLALALVGAI SPIRAVMVSIAQVVAGIAAAGVVSALFPGPLVVQTKLGGGTTTTQGLFIEMFLTAELI ITILMLAVVKHRATFLAPLGIGLALFIAQLSECCYLGVYFTGGSLNPARSFGPDVIVG GFPGYHWIYWVGPLLGSLLATGFYQALNFLRYQNVNPGQDFDGIGSELMGNPERSE TRV_05986 MAPQAPELPTKFPCWCRAVYSWGGESKQDLGFIEGDLIECLNAG DGSWWTGRLRRDRRMVGVFPSNFVKVLEDDFVPATRSISPLPPVTDSPSNAPLYAPKK EKTIFRKPFQGYKQVLGPSAELAKKNAEKETEAPTVPEPLPRGRKSVINRTPRRKSTS RVHPRAVSPAQPARQPTPLPTRNANSQQPERQPTPQPERQPTPQPERQPTPQPERQPT PQLALAPMQPPPMMIPAEPREFEPESSPPPPPPPPHRIAVRRQPSYVQPESSMHDHQY QQENHEFRPASRTPSPYPPSPGTHHRHTPSPLRDAMEDVMSSLQGMSMPHDPHSEPQR TPSPFNPWSPAAFDNLRGPPSQQFAGRPSTSLGLGNSQYSRRGSHFAHKSSESFHDRF QDGPPQLGNYVQRMESRLRQLHNQEEGSDRGNPMLIEDDDNPPPPPPRRGEYNYSPSQ QMITRTRGLTYDSGRDTLGRTFTTRSIATNSSSGVQSNTTHSTASTSATNPSLMSGTS AGGFSATSAGSFAKRHQQTSQLRHGMFRSFDGTRSGGFTGFGDDAAGEQRSYTPMTSQ SGSYPHLPQDDPRQGATSAVGWTEYNKHEESPGIFGGLTTPKSKKTGFLKKMIESAKT GAATARSSIATAQHIRSLSPTKGLLSGGVGRFSPTKDRDTTADTGLGGNDWVQVRRDV NRATSPSRNELVERAERCQMMDYPVIYAVEELYECAEGDEGLDGLPVTEPTNWAAVNL QLVDKSSRFINSLPPMTSPASLAQGFVCRPHRSDVQRLRAIFTWVAEKIVWDEEIEGE VDVRRVIQMKRGSPREVAVLVMEMCDAVGLHAEVVQGYLKTPGEQLEFDGYTRVNHWW NNVLIDGEWRVMDCSLASPTHPRRALYSSFNPQAAETWYFLARPMEICYTHIPLAPEH QHICPPISPDVLLALPCACPAYFKNGLHMPTYDTSLVRLTDLEMLQVRVLVPPDIECI AEVEAIAFARDADGDVFESGDIITKRALAQPDWIQGQKRFTIKAALPGDEGQGVLKVY AGKKGLMHSIKDIPHALAFALPITHTGDNPPYEFVIRHPTPHAQRHDLYVIQPQCARL TINNTFVFAVRQHPSSLPSSPKAEEPSGRVSPNPFARPSSALSMVSSVATISNASTTS STVSSNYKHQNSREKPAKLAIQTPSGKILRLSRKADHMITTSSDAESGFDGPPDGSVW ETVIKVSERGVWRGLVLADRTARWCVWGEWECF TRV_05987 MTLDKNGQRCFGLLSFLKKAVQHSRASPSAAKIPRTYVLGNTSA DLDSIISAIIYSYFASSATSERGIQYIPVINLPEVPAGRELRRLRPEFVTALNLATQR PLKTAQNAASKDLKTLPDDEDTDNILSESILTVASLRDELVNWKPSDDKKAISLNIIM VDWNALPKTSPHAYGIPGVSDKVDGIVTSVVGCIDHHDDEGFISKHLVPGPGTRVSHI QTGVGSCTSLVVCELRKLGWWRDVAGDNKTISEGQSLSNSDAEFESQAAQLALAAILA DTTNMTNESKVFDVDREAVRFLENKINQCKSINWDRGRFYDLITDAKSSSVDYLTAHE TLGRDYKEWTDTVEPGRNIKIGICSVVKPVSWILKKCGSEYSEEEYDEEAFFDVLRSF SSTRGLDVVAVMTAFSSPSENEFQRELVVTVLNDRYISNLGEFEDAGADYLRLEKRPF NEKNKDLGQIGRNTRVWRQLDVTKSRKQVAPLLRRVFAGET TRV_05915 MSSTTPVSHLPQSEIDAIIRTKRKVREPKACYPCHTRKVKCDRN LPCDGCVKRDHADLCSYERPSKKRHVNTPPPHDSEDMSKNLEGSGNVSVGDVEMHGMA AEQERVSISRAEWESVCSKLKEMERAMSSLRMEMEKVDSTALVSPEPLSEQARLPRDE PLSDREGIHAKNVYGGGTVHLGSRSVLAYLLGGSPKEATQALLEGGILPKLGLDNETA TYPFIDLWSSDSTTYDIRSVCAAIPDDKQCRRLFKYYRDIAALIYPVLSDLDRFEENL ELCLRNRAAFGISEHDGDELSKPFGMPTAFVGLLFAVLAAGCQSSDMPGKERELTSQV YGMYGVVFSG TRV_05916 MSHRMGMVLGLQVESHKFSPAQQYARRVVWWATAWQDSHFSLSY DRPSAMAFSHPDIPYHPDSQPGKRSFFETICQLIALTLNIVKSRMLSTGSQVPFSTIQ TCRTELKRILADTAPYLRDPLCCVSSNQNIERLGLKLHSSYLTSEICRPSLRPNADLN DATTIALRKDCIAALSRTVEAYVEMYSVNRQAARSWIGLQRAISSAFLLAVVGESKTD PNIWTLLRRLEGVLTERAKEDDISPMSPKAGAESSPTFAASSSNMGSLESAYDPAAAM APNKQATDIPTDTETQWAKPLIKSLRALQKLNAALLIHLRQHGVITGSVSGFNPAPIY TQPVTGGVGASVAASTRSGSIPPPTPESSASGDWSFPTLVDRAAEYIDPPLWP TRV_05917 MEEFLRNWRQDALNKGQYDSAVYIGDKVLALTTLLSTKDLITRN SSCRYLAAHCYIKQNKFDLALSILGEHNPTHLIQSASRRKKPHLNGGSHVTLRNGRSI PSRTDKSDADAYQDANHIKYEAAMCYLRGCCFANQNAFDRARDCYKDAVRIDVQCFEA FDRLMRNSLMSPAEELEFLESLDFDSITPSSDVSLSQEASEFTKLLYTTRLSKYSSCS ALSHAIETLSTHYNLADNSDLLLSRAETLYTQCRFPEALSLTSSILSASDSMSALVSQ GSQSQNLGHSPSVYPLHLACLYETGATNALFLLAHTLSDNVPEEPYTYLAIGVYYLSV SKIAEARRFFSKSSLLDPHSAPAWIGFAHTFAAEGEHDQAIAAYSTAARLFQGSHLPQ LFLGMQHLALNNMALAHEYLSAAYSMSSGSPSTSNTLSLSVNSAGEPPIPGPSGGDPL VLNELGVVLYHEANLEDAVHLFRQALALSVSLECDPSAWLATRANLGHALRRLGKLEE ALSEFDECLRIGAGGSTTIYTGYTGRAGAGAVRSAGASAVGGYEDRGLIGSFHTARGI ILLEMDRTTDAVTALHEAVRVLGTTGGDAAAGAGVAGTLLSKALEVWAVEEETRVVSF QDTSKARKGKYSSANSNNEKSRHRSTAKGTKKSTTVDEWTDDVPDRPSSSGPDAQNIG MELDGDANDLLEHALALLTKRGLVLTYQNQVGSGRQGQLEGEVLNEALVDDILCQPLP DHRLMPLEHYQKSIFMNC TRV_05918 MSERKVLTKYYPPDFDPSLITRTPKHLRASGSKLLTVRLMAPFS LKCTNCGEYIYKGRKFNARKETTDEKYLNITIYRFYIRCTRCSSEITFKTDPKNMDYV CERGAKRNFEPWRQAKSEEVSETHDETLDRLEREENEELEREERDKMLELEEKMQDSK REMAVADALDEIRSRNARIERGERGGGEELALQRAKAEAEEAKAREDKEIEEAAKRAF MTDDGNRVKRLVDDADQDTATPTEMPPPSFKRVKKAKKPFSAGLGIKKKDPLV TRV_05919 MERESIERNFDAYVTKLGDPNIETKLKCAAAVEIRDSLEHLCSG ATYPIFLAKLWPAFKKVLKGEPVFISLSFEQKLRNCILEILHRLPLELPEVEPYAGDM VDLLIELVRNENEDNAVICMKTIMDLQRRQIRATQSRVQAFLELIQNMFEGMPQVVRD TFDTPQAQTSTPGMPSTPNTAAQNFQSPRPSSPATSVSDLGPEQQQTNQMLAKGMHSF KVLAECPIIVVSIFQIHRHPVTTNVKVFVPLIKGILLLQARPQEKAHNEARAQGKIFT GVSKDIKNRAAFGEFITLQVKTMSFLAYLLRVYASHLQDFLPSLPGVVVRLLQDCPRE KSSARKELLVAIRHIINFNYRKIFLEKLDDLLDERTLIGDGLTVYEALRPLAYSMLAD LIHHVRESLTRDQIRRTLEVYTRNLHDDLPGTSFQAMSAKLLLNMAEKIASMENKKEA RYFLIMILDAIGDKFATMNYQYNNAVKASKLVKQNADNLSEGYLADKNHPPDWDEIDI FTAAPIKATNPRDRNADPVNDNKFLFKTLITGLKGLFYQLKSCNPEDLKLDPSYTPVN WAEVSFGYNAEEVRIIKKLFHEGAGLFRYYGADSKEPEIQYASPLEFLSSQYMRHMSS EEKELLESFGTIFHCVDTAAFHEVFKSEIPYLHSLMLEHSALLHLPQFFLASEATSPA VAGMTLQYLMEHIHEVGSADVANSRILLRMFKLSFMAVTLFSAQNEQVLHPHVTKIVA KCIEYSVTAEERMNYFLLLRSLFRSIGGGRFELLYKELLPLLEMLLETFNNLLLGARK TQERDLYVELTLTVPARLSHLLPYLSYLMRPLVVALRAGSDLVSQGLRTLELCVDNLT ADYLDPIMAPIMDELMTALWDHLRPNPYSHFHAHTTMRILGKLGGRNRKFLNHPPELS FQQYSDDTPSMDIKLIGSNKDRAFPLDIGIDLALGKLLDSPAANASETVQKADLFYKK QAYRMLSSQLKLYIGFEHPPDDLATLLRLQANDLADGKFSGTVDILEKSDRQCSSSKR LAQETTLKKLLKACIVASTIPHLKQSATAFLADVCRHFTIIEIGRSLAQSRHIRRPFS VSLGEGPLYLDTRTLADAVVECLASENPAVRDAAKEVIFNVRSAAVVIFGSAEKAGKL PFFPHLGRTLCHACHDEEWFTKAAGSLGIHLFVTELDLGNAWLIDRQVEFIRALMYVI KDTPSDFPGATRTQAQKTMDLILRKCNEGVSKEELKNERGRVLALCGVLSYELSHMNK HVRQAAQSGIATLATTLGAEVHELITPVKDRLLMPIFNKPLRALPFPTQIGCIEAIAY CLGLRQNIVTFNDQLNRLLMESLALADVDDDALASKPNEFKTAEQIVNLRVSCLRLLS MAMGFPDFASGPQSHSRARIIACFFKSLYSRSPEIIEAANSGLRDVLTQTNKLPKDLL QNGLRPILMNLQDPKRLSVAGLDGLARLLTLLTNYFKVEIGSRLLDHMRVIADENILQ RASFGLVEQNSPMKIVAAIFNIFHLLPAAATSFMENLVNKVLYLEDRLRRTSSSPFRK PLLKYLNRYPRESWIFMQAKFQEEKHGRFFGHLLASPESSALRSTIFADSETLIKLAF LQEESPRKNTAAINGIYFVHSICSHEATKGFLNAQPDLKRHLLNSGRDLNSKLRNDRL PPDERLRVEQAQDQLLEIFTIHLSQSLDDLDFLFDVIDKISAGELKTTLTLPKFFYQY IITNSSIAYRRSVISRCLDLYNQRTSSQKLKTYAFHHLVNPILAMDVKNTWDSPTPGT GVLDRSLTDLIHNRLWKPQLGDLSEESGQPGIDHSRMELLQLSALLIKYHHGIVQESR KDIIKFAWSYIRLEDTINKYGAYVLISYFIAHYETPSKIVVQIYVALLRAHQNEGKSL VTQALEILAPSLPKRILSGPDPRFPVWARCPRRILTEETANLQQVMSILQFLVRQPDL FYESREYFIPLIIPSLVKIAGPTNVSFDSRKLVLHLINLIWIWEEKRVTGWRDPTSPN SAKRKLAEMQASPSMSTTPLPQKERPEYTIPLDLRTPLIKYLVTFVCSLVDRFSVPAA RFRDLLPPKPHQALNNYGEICKKSVVLLRRFLSPEYWPDVDIDLYQKTLEPVLCSEKA EKPDEKHITAMVNSLQVLRILLAGKPNSWILDRMSTIQHLVEKALRSDNPEIQDCLHG GEEEMDISPKLPPPIKRVLDALPQEEPVEEEGGMDVDKPSAEFVTFLSTVATESISSG NYIAGINILWTLSKCQPAEMDQHIPHVMKIFSQKLAKDHVASFNNNPPVANRTAEQQA QVPDPYEVEIGIDLISKTIDLIAVRMSNLGDQRRPFLSVLAQLVERSQNIPLCTKILG MVENWIFQPTESWPTLKEKTAVLHKMLLFESRTDSTMLGKFLELVIRVYEDPKITRTE LTVRLEHAFLIGTRAQDVDMRTRFMSIFDKSLTRSANTRLSYVLTSQNWDTLAESFWL TQASQLVMGSVDMSASVKLHPDDFTIPPTSFIYGHSEKDPAKENVVVDNHLEVLVAEQ KKFSAELGDVKARDILEPLTELQHADPEVAYKVWVSLFTICWASLTRDERIDLEKGMV SLLTREYHHRQIDERPNVVQALLEGVIRASPRFKIPPHVMKFLSRTFDAWYMAAIALE RYAIDPVIDTPVVRESNLDALVEIYAGLQEDDMFYGTWRRRCKFVETNAALSYEQQGM WDKSQQLYESAQVKARTGSIPFAQAEYFLWEDHWMICAQKLQQWDILTDFAKHENFND LLLEATWRNLENWQGDTNREQIDSLLKSVSDAPTPRRTFFQAFMSLLKFHTKQETLQE FNNVCDESIQLSIRKWHQLPKRITNAHIPILQNFQQLVELHDASVICTSLSQTNERNM DTKSAELKLLLTTWRDRLPNVWDDINAWQDLVTWRQHIFQLINSTYLSLLPPQTNNVA SNSYAFRGYHETAWIINRFAHVARKHQMPEVCINQLSRIYTLPNIEIQEAFLKLREQA KCHYQNPKELNSGLEVINNTNLNYFNAQQKAEFYTLKGMFLAKLDHNMEANEAFGVAL FYDLRLAKAWAEWGQYSDQRFKADHSDIDQACNAISCYLEAAGLYKNHKSRKLLSRIL WLLSQDNDEGKIASAFENFKGDTPVWYWITFIPQLLASLAHREARLCKAVLGKIAKLY PQSLFFLLRTSREDYLGLKKSQEQKQEKFNRAKASGSPTTKSGSPEAKSSMLPDAAAA SSSPRAVASPKPTPPVANGQTPNEGDAAQKDKQPWEYADETMAGLKTAFPLLALTMET MVDQIHKNFKCPPDEDAYRLIVALLNDGLAYVGRMPTSYAQDCKLPHSTEANITRFAE TILPAHIRKSFEADFVTKKPTMYEYIHKLRRWRDKFEEKLDRRPQYAHLESFSSHLSE FKFMKFDEVEVPGQYLEHNDKNQNFVRIDRFLPHVDLVRGIGVCHRRLRIRGHDGSLH TFAVQHPAARHCRREERMLQLFRIFNCVLRKRKESRRRNIYFHLPLMVPFAPHIRLVK DDPSYISLQGIYEDHCRQTGMAKDEPVLFTMEKMRALAEAKANVSVQNVDNPNPTSAN SFQRAPEQAGILRTEIFDAIQKKWVPNTILLQYVQQTYPNFADFWLFRRQFSYQYAAI AFMTYVMHMGNRYPSKIHISRRTGDIWSSELIPAMNSARAIFYNPEQVPFRLTPNIQT LMGPLTTEGIFACAIMAIARCLTEPRLELEQQLSIFVRDELVMWASAQQRVLQQLKDM VQSNIDYIVNRAASLTSSPDGNLPANQSVIDLISRSVNPHNLAQCDALWMAYV TRV_05920 MPGDLQPPKIGQKPHLIDRLQTPKDITGGPRPPRRQHSSRFDIS DQRQRELEKLPGFHEVPPNRRQDLFMQKIDQCNIIFDFNDATGDMKSKEIKRLALHEL LDYVANNRSVITEPMYPKVVEMFSKNLFRPIPPPVNPQGDAFDPEEDEPVLEVAWPHI QVVYEFFLRFIESQDFSTNIAKAYIDHQFVLQLLELFDSEDPRERDFLKTTLHRIYGK FLNLRSYIRRSINNVFFQFIYETERFNGIAELLEILGSIINGFALPLKEEHKLFLTRV LLPMHKVKSLSMYHPQLAYCIVQFLEKDAALTEEVVLGLLRYWPKVNSTKEVMYLNEV EDIFEVMEPAEFVKVQEPLFHQLAKSVASPHFQVAERALYFWNNEYFCNLVGDNVEVI LPIMFAPLYENSQGHWNRTIHSMVYTAMKMFMEINPQLFDDCSHDYREYQNSAEAREK SRQEKWDRLAEMAKAKREKAELPPVEGSKSPDAAGSNDGLDPLTQDNQQRLNALKLQD DTAASKERRREGQNSRRRRGSIDDSRGSGSGMAGRRIVVGEARRRGERRSSLGSNSSP GPSHASVTRSNSTSKSMRSV TRV_05921 MSHEHAGGERTAPWYAVPPQDVVSVEHPCVVRNIDKAIDTLQGH AAISEMLDASNQDSPLTLMLNPEDVMSRPIRSTSKQTNNILLKVTVPKRTGRRRKRGS QDPFVDSHEDGEVTPLSQLDARGLLQRLREDARRYQVDVVGQDYLILHTPRQEALLYR NFERRYYHSNFEFDLSKGATSNVDIIPPPALSNNDVAFQYLYRQNPTVKRSIDPSGQV TTANTQRIVKIPTHLVSYDISAVPTEPQPNCPPLSDQDPTVHDTVAALRALFNERPAW TRRALRNKLPTPERKYALRIAIPYVGYLFRSGPWRDGIFKFGFDPRISPDYRIYQTLM FRLFPVSAEAEGREQENDPNAQFTTTTTPLSGRRHTLPRLSFENNTDPTLARSHIWTG EPPLSKDGKTWMIVDIEDPILARLLDPSSPDAHPPREKCDIYSCGWYGNVTLSIVRTI MRMKMTMMMEGRTDWPSEQELLPLLSLPSHVDSEEDLNKLTLVKDGLGPVPSHLLTEI RAVARNVPWKMDKMRERFRGAVGPLGRSEKRVRWDDQPDEDEDDGEGEEQEQDQDDDE DDEDEVMGDYDEGEDIDIEPQKG TRV_05922 MSPARQPFAVLGEARLRTANSIKNQQNANSLKRRLDVLDTSDAE NIDPLLMGSPSKRNKGGIQVHQDTTDSIHIFTKPALPVKTPAKARSSSSEFITPARPI RGQSSLSAPLRAPAGRSPKSKAKSVKAFGRRSLGFCRVDPPTTNKRSMTRVPFSIADA LNGTFTVSRPVAESTSSTTPKARRPKAWDFEIHADTEQDEMANLMQHSTCVLDISDDE EKQARETRGKENIPPSQPLSDGSNGPATAQHTSTTAARNVEMGDEPRSPLGELDVKAF IPEGEDINSVVIIPEDEDDTSAEQNSTAVTVEKPSDAAQDKQSKASVQPESLSKTKIA SLLGRTAPKVQPEEQPKEDTPATEIKTCEGSTTIEEASTTTKGSDEPVEPSAPSSS TRV_05923 MQLTALISVALVLMGTANAQMWIPLNIPIPKPAPAPAPAPAQNC PPCPAAPACPPPPACPPAPACPLSPSSIQKGQQACSNVFPGSEPVFKADGSPKGCAPP GGFCTNQLKC TRV_05924 MVRFNAAVVNGVCIFSTLLSSHGVFAQGSPWDTLGQGSQGSQGS QPAPAPAPQVPIANDPWSTLNGGSGGSGGSGGSGGTAPLPATDPWDTLGGGSGGAGGT PNPGTGNPSSGGGSSNNQPIQQNVQITTTPNCNSDSGKVYMAPDGSWFKLQCVTHNWF TTNKNRITASSYQDCVDRCSSTDGCEAINYEHANGACDIMQGPYDPNSQSAPCQNHHF AYTIDPPTYPTAVEKRTLCSVECPEADGMIYTTDHGEVYKMSYGKRHGTTPIGGEIVN SLKECMDACSSILQCHSVDYHSRTKKCYQSSHQSDPTIQASGFASAHSLGCANACNGG CGCSSGACQQKVTTSAA TRV_05925 MAPSIRVIGSLNVDMVSVTPRFPVPGETLTATSFTTSPGGKGAN QAVACGRLSRSRTSSSSSPSGVLANVEMVGAVGRLDAHFGTLLQPTLANSGVSTDRVR RIDDEHTGVAVIIVDTSAAGENRILFSPNANYTGMKPTDEVISQSLSTPLPDLIVLQA EIPIDTVIGILRGVAAKKKLQESDVEVVFNPAPAPEGGLPDDVYAAVDHLIMNETECG LMAPEELRDSGLSQEEQRNKIAVHFHALGVRYVIVTLGAKGVWYSAAEPGKVAQQDAG TWIRSVNEVPASRVDKVVDTTAAGDTFVGGYCVQIAQWREQRRAAGKACSALTTDEWK DRYGIVTEEAVRCGTRASAQCVQRSGAMDSIPFRDEI TRV_05926 MPLPDPEPDSIVVEKTADGVTTIGINRPSRKNAINPPTATKLRA AFLDFEKDSSQKICVFHGIGGTFCSGFDLSELRKWDKPMESKENTASKPDNSASHNNG GNGSSNSITRTHFKPVKGRNEAPLGPGRMQIEKPVICAISGHAVAGGMELSLLADIRV VEEDAIFGIFSRRFGVPLLDGGTVRLQEIIGLGRALDILLTGRPVGATEALQIGLAAK VVPKGNAFHEAMKMARALAAVPQECLNADRKACYHAAYNSPSFEDAMSYEFEEAVKVS DLAINKAMNFNKREKARL TRV_05927 FEAALKQCTQKIVYPSQGGIELPEHQLLLHSSVSLISIRDLNAS NVSSLVRIPGIVIGASTISSKATVVHIQCRHCQEPDNIIVEGGFSGLTLPRTCKRMRQ PNEDKCPIDPYFIVHEKCQFVDQQIIKLQEAPDQVPVGELPRHILISADRYLANRVVP GTRCTVMGVFSIYQAKGGKKSNDSAPAIRNPYLRAVGITSDVDQTAKGAGVFTEEEEQ EFLEMSRRPDLYEVFTDCIAPSIYGNRDIKKAIACLLMGGSKKILPDGMKLRGDINVL LLGDPGTAKSQLLKFVERVSPIAIYTSGKGSSAAGLTASVQRDATTREFYLEGGAMVL ADGGVVCIDEFDKMRDEDRVAIHEAMEQQTISIAKAGITTILNARTSVLAAANPVFGR YDDMKTAGENIDFQTTILSRFDMIFIVRDAHERGRDQTMAKHIISIHQGGRGIEEQAE AEIPIEKMKSRCAPRLSPEASEKLSSHFVSIRKRVHQAELDANARSSIPITVRQLEAV IRITESLAKLSLSPVATEEHVDEAVRLFLASTMDAAVHGDGQASKELSAEVSKIEDEL KRRLPIGWTTSLATLRREFVDGRNYNEQALNRALLVLQRRDSIQFRAGGGQIYRSGP TRV_05893 MPRTPTVEKRERLTLSQLATYDDILTDTLVDHAFFWTTIRKNRT KYFPVRGINEDTITSILLHDVIVAKDVPKAEKQLLALPGLKKFTDRLLSDREREWFRR HLRKYISIYLPDCPFEVTTTNRYTVLTHEAAVSARKFIPSGHPVKYLSGTLVSITKEE ENDLDLTKRDFSIVMSTRKKTASLFLGPARFANHDCNANAKLVTRGFESMEVVATQDI DIGDEITVSYGDNYFSEDNCECLCHSCEMAQRNGWSSPSASRVNSWVHSSSEFADASE HPPSSSNGCEKHELETDSESSDGFLGKRRKVERKSSNLRLQESVDDTVGVDAKPNQGS PSPVTNTCSPLAGNAEESNDSTLPGGNSTKNKCSTADIPLCDEEVSAACRASRDTSIE NSSGQSSGSTAATSCPGSGDQAPLAPETEDSTTNEPGKIEKIPELQLKPPFPQIILGD SETDLSDLSTVSEPVESEATNDAPRPKRKYKKRGLPLPVVEEPPIFKEKLILQEKNVH VVNGILNYMDIDGPKLIRRVGVTKKNG TRV_05894 MGFLNWWSNLRDSMHSVKETETRTAHRLAHSPPELWSSRDHIAS RRLRFRRELALKKAKKENRSIVPVVMRYPEGPYWPYTIEDDMGEYLKAYKHKRKIDTF RARHPHLQIHQTDENTFHAYNPWNGETFLLY TRV_05895 MRLSPNVAKSVLPDEKSKKSGRFENSPFGAMNRTSVRLRDNTRP RSDAELRRAAKPKFREQGQDKEKSEESWMKPLKMQIALTPVPYNRRMAIKEKIADITS FDQFPLLDAVREAVYKNALVGMEDITPTPIQRVAIPTLLGNNNKTPDPAAQTDDLAPK YDQFLLAAETGSGKTLAYLVPVLDALKNAEAFQKEQDKIEADEKARKEQEEKERREKN NIFELESPELTTPDTYQDVAKPRVIILVPTSELVNQVGHLVKQLSHTIKFRSALISSS QTPRRIRNSLFNPAGLDVLVSTPHLVSSIAESNPYILSRVTHIVADEADSLFDRSFSP ITNSIIERAASTLKQLILCSATIPRHLDNRLRELYPNIRRLVTPNLHAIPRRVQLGVV DVDKEPYHGKKSLACADVIWSLGKAGSGDDGDAFARITGTPETKHIIVFVNERESAEE VAGFLTSKGIDAVALTRDTSEKRQAEILNEFTQAKPQLSEEDKKLLMKSKQLDSGSIP FLQNDEPKKSGSTKSLDNTKVLVITDLGSRGIDTVAVRNVILYDVPHSTIDFIHRLGR LGRMGRRGRGIVLVNKKDRKDVVKGVREAMFRGQALI TRV_05896 MRASRVKNSSMFAREHKQEYDPTHIQVLERPLASGRPLSRQFQN SQDGTSQIDARRQLPSQTAGFKNSGEGAGTPQTTAKSGDEDASSPIKSPTSQSPGKSS LSKASRFGRGSAAFDPRREIWSDDADESRVSMTSEGYSYHRQTKSVTFDAAPPQVNEY EMTTPVPSSVASSSREGSFEDASNEDSFDQSYSMEIEDSFDASLEDTDKTPVVLPDEW RYMSPDSANDETVGSDGDPFNDDFGSPGPDIRPSSRDSTLFKNRIESLDSNGERRPLP PLPPSQSSPQTSRSSPSPGLNAAFERGSSAQRDMPQPLRPASCSKSDIASPSRNSLSL EDRLKLMMSGEHSYQSDAETQRERRMRRAGAKERSVERDLDHSQKSEGNDESPAIESP RISRESILKNMKSREESSELSEYHDTSHNSGNYNALPLDPDVPIPSLETDQPFEDETV IIKEEEDDDDMDLYSVPMFSGNDTKGETDHAEAPGHIVDDSSSRYSDTSKDDVPTPPG GAPHNFEMGAGELTPTKSVHSPQYPEHDLLASSMSGPDADPNFDVQFTSYIDQSTPPA EDRLAQPPPIGQENVRNSLDRPGSPDSVIRHPISPAESPDQSLIVPEPIATIKAPGGT YKTRTSLTPADVSTMAATRRRVSGQDVPVSPIEEFKPLPRVEQPVKEEESTEPEQPKE RKPLELAPLPEKSRISSLVKLDIKVDSGSDGFGLDAEFDRVMEAQKVAFDIPFSQLSA QISGAGNSAQDASSSSVDRNTADTTTTKQRGYLMRQNTKMVVATSRPEDDANTESLTA PNSPRKASQQTWTTEPWTPKARRQSIKMSGAIPRKKIAEEPVPPLPGQESTVKDAAND AEETVEAETGDGCDNTEEDGDRGRLFVKVVGAKDLDLPLPRGERSYFSLTLDNGLHCV TTSCLELGRSAPIGQEFELVVMQELEFQLTLQMKPDQIKPKPQPVRPASPTKAPKPQK SSAFSRVFASPKKRREMELRQQQEAQQLQKRQAEEKALANIIDPWEKVRKVVDKDGNF ARAYVSLADFEEKAFGRPLTVDVTCFNEWAIDETVSSVKSKRSTATTVSRRPPYKIGT LELQLLYVPKPKGATDDDMPKSMNACIREMREADHAAARNWEGFLSQQGGDCPYWRRR LFKLQGSKLTAFHEVTRQPRATINLAKAVKLIDDRSSLTQKETSAKGGRRRKSAFAEE EEGYMFVEEGFRIRFGNGEVIDFYADSRAEKDGWMKVLSETVGKCHSSGSGQAKPWTD LVLRREKNLNIKRPVEDKKPTVEERKLVRHSYAPPPPPKEQHIGQSRPTPGGIPRPRH QPQLSQPNINSQEARRQKTRSLIF TRV_05897 MDSAVQNPSPGENPAKQDPPTPQATVADQAGEQKMDSSATGRGG RNKQKDMGRKAYRRAANDRQEAKRRKLEQGENPMPIYATQFSQEDIDADVRRPKKKVI VMLGYSGTGYHGMQLCPTEKTIEGDLFAAFVAANAISKANAVDPKKSSFVRCARTDKG VHAAGNVVSLKLIIEDPDIVQKINEKLNPQIRVWGIIPATKGFSAYQFCDSRMYEYLI PSHCFLPPHPSTFLAQKMVEMAEKHGDMEAFKERQAEVESYWEETDKKHIQPIIDALP EKIKPYVQAAVGSVGSEEEKATPANNGSGETCEEQTESDTKKSDLTPDEQAQVNVAIK DIRTAYFKTKNEYRIPPERLARINAALALYVGTKNFHNYTIQKTFRDPSARRLIKSFK ISRDPVVINGTEWLSLKVHGQSFMMHQIRKMVAMVALLVRCGADIKRIEESYQNSRIP IPKAPGLGLLLERPIFDGYNKKAKDLGRELLDFDKYEKEMDEFKLKEIYQRIFTEEAE KHAYKENTFLYVTSGGLAACSSSTTQPTAAQEEDARKAESKVLAEVESESEAELVDNG EDGG TRV_05900 MREGQTRPREYYYIPLYPVIDAIKYRVSRLTSSIKAQYTPNQER KEFICQRCSAEWTQLEVLSNVGPEGFECLRCNATLSTVDEVEGAAADRSGHEKNSKLM AQLDSILKLLIQIDSTEIPPNDFDTAWEHKVDVIRNQHTNPTKVAIAVNKKGSSTVKG VNKTDASALEVSLSSSAEKNAEEQAQKEARKAALEKQNALPVWHTHSTVSTEASNLPT VKVEPNGTAPTTATATATSDAAAEDSKVGIKDEEEEEKKDMDDTIATYYAEMAREKEL EAAESSDDDDEDEEEFEDVSATPDAGAQSDSATVTANGTPTVNGSGTGSANGTTTAAS GSSLKRELDSESGTSGPNTNAGTPITPAEEDGPAAKKVKLETEPETEPVVKKEEDAGS DEDEEEFEDV TRV_05901 MVMIYPLKNMTAIDALFQGVGAATMTGLNSVDFKDLTTYQQIVL YISPILGNICFINFIVVLVRLYWFESKFKEIATEIPAISSEPAEAGEPEGLKHRNAHP PDEKVRDDPKPAAVKKQLPTTGPGHVSFSTDTFRPREKALRIPGPREFEAGHRAHEVD DETDGDELTKATSVDPSIVGASSVRERGKLGKILSHATSVEQAASSAFVLGSASRPRS RSRSVSRSLRPLSRTGSRGSQAPYLSYTPTIGRNSQFLNLTEEQRIELGGIEYRSLKL LARIVGCYYFGFLLLGSICLVIWIYATDTGHRQYLAKNGIHPVWCMTTYNNLGFALTP DSMVNFRSSTFPMLLMTFLILVGNTAYPCMLRFIIWCMFHISPKASAIREPLNFLLDH PRRCYTLLFPSRATWTLLGTLMLINGVDIMLFMVLDLKNPEVTAIDTTWHRLCAASFQ STAARTAGATAFSLSKIHPAVQFSLMGELLLCLCYLGMCAANVSGSDDVYFCLSGSYQ TNTYEESSLGLYESDEEVDENSDSSSYLGQHIKKQLAFDLWYIFLGVFLITIAEGNKI ADLTDPFASGNVGLSLGHPTINAGLSGKFTTVSKLVMCAMMVRGRHRGLPYELDRAVC TNSNSLV TRV_05902 MHSPDTNQVSSEKKGFTTADTTPIHTPLETSRRNSECYQRGEDS VSEKDQVDGGLRAWLVLLGAWLCFANSWGITSVFGVFQSYYLETGILGPSYSASSISW IGSIQAFLTMFAGVFSGHLMDTGYTQQVLFVGTGLIVLGTALLSVCKEYYQVLLTQGI CVGIGSGMLLLVSLAMLSMWFKKKKMLAAAAFYVPIVLGKLFATIGFAWTVRVYALII LLTAGTACLVMTPKKVAFKHGPLINLSYFKDLKFTLFVIVLAFGFTMAANYIPYFYLT AYAKALNVKSGTLGLVSIINAVSAVSRLPPAVLAHYIGGANTLIICCGLSTVTLYLYT LTTSTFGGLVALAACYALASGGVLFLPPMILSNFAGNDRAQYGTMIGMGYTIGAIGVL VGNPIAGATIRGSATNTAEGEIGDIGKAHSKYLGVWIVAGSSMAIATALHFSARMIWV NWKIKSRV TRV_05903 MPAVETAPITGVNYTPEEVIHTPEEVIHAYQEIHDFVDCTPLHK VESNSTLAKFLNTRVSDAAPNVSIELYFKLENLQRTNSFKIRGLMCCLSRMSDERLKR GIVVLSTGNHAFASGYAASLLSRMRGIQIPVHAFMPPTASKTKVYLAESQGVQVHLSG NSVTECMRTAEAFAESLGTSVLAPADDYDIIRGHGSVGIEIIDQMQKHENFGATKPDA ILVPTSGAALLAGTAVYCQPHGVNVYGTEPAKGSADLLAGRKSGKRREMVDSSVNTIA DGLRSCVAPRAWPVVSNKTYVRDVFGVNDEQIRQALKFFVAETKTLAETSEVVPLAAL LFSEECKEELGRLANNAENGKLRLVVVVTGGNVSLEAMVEVLMLDPRKNHY TRV_05904 MGPTPLEHSSNITAASISSGTGPGTCVSPQPQSIDTNNPVVRSP AGIHTSDSPSSPVQSSSPTGCSELMEELNSESTRKRPRLDSGSGANCTHDSASMSTPT TPAETSEDTQDSQQNLQTPQDQVDNTPGTIKEGAVGTPDGRSVHGGPPTAGEDQDGMP ITPVPAANTQKSMNGSPVVPPRPSSRVTINMKSPTSETAQSQATPEDREATASSTEKQ DPAIESGNNVSKPELDPISQLDGESVVFQTNPNPTVNHDIHSSIPMERTTIVIPDSPS SAASVEIEVADPEDMDQDPATTSWRPLDDAIRAPREPEVVQIHEETSLAEAFPNFRAA YNIRECVLDMKAAMERGTIRDLNVFDALRSWFDLCSETISVLTYQAFIEDRDFWEEVP SIIEGLLRRTTEFVAEEGESPMSSVEQFLSCYVEIAMHVISLDSQQLSQSPDDRAAQY DPISRTYWMPLASMLQLRKIPLYTAMERDYGSEIIDLVGHLNDCVAGQQVTGVLVLTN FMRAVSPFLAKSPILTSLFTSALSVAHNVLDSFVGRRYQPDYGRPNPKEVITRVYALL RFSEPVYRSLIEKSSSGLSSDTSETIIRTMGNSFRILCSFDEGSATAIGRDLELNVPD GVNSLEFAHIVSLGWKFTILKKHIMSGRMELRVYGMESIQGDLVNFWSQHIQNVPGAL NHATTKYLVNFLREHKIVDYVVGVESHPQLISRGSNIVGFLVVTSTYTNEDTDIIWKT VSESHDPRTVSEVLAMLSSTFSMHHEPEPLIYICNKLIELPLQRFDVRMIEFCDMLLN AVRNKHAESLRHQELGHYPHIDIMPVRLCVRLIRGALSSNELPLEQRSQIQRFASKQL SQFVSLGIREADKTELYQQCIQDVSDMNEFALGSIHALNALIPTFDTQDIRRLALDLD FTRLIITELAHAATAPAADGEDEHLCNAIVPRLNLVHRIIDKVPETISPELIDSLWDK VFTSKVISEQNRNYMWEILSKSASRCLKRNAFLETFMNDYLPRILPEDITESVLSFAK QTVNYDVKFHHQPVTCDGEVITIPGMDRIWHFILSAPNPTIGINAINFAIDTYLDHSL IKGSSPSSAEATHVSLVDRCVAQLAAAATKLKTFMDGTTSGEDEPMVIVPSDKEVRAE ELRFARSLLFLRQLRQGLRTRPHYTPPQGSPPCMPLNPESIRGESIDISYQAFSTGSQ SRIRTFTIGDLSTASELADKIAHVTGFSKFTTISGGQRLDLRGGNANQTIRDLKLATA GLLIVRKDSDAYEASFGGRRQSLTLVDSEVLKHFNDLYDLLSLDERFSKEVGNEFYFD PTSGNENGN TRV_05905 MLIYGYQLNRDQGFISHVIKNIDAILMKPGMSELLRENALKLNI ACSFVNCLLVALKAFAKSTSDSSQRLFTNPSGLISLILSLLTLDRNDSSIPLNEPTLQ RLISSSFATLIEASMNDDHIWAALKDYTQMPDLIYSLLLSEPRPGIRKDIAEIIFCLC GESPLQKGYWSNTVSKNAILTGNLATVTGADMVKSFWQPISLLLPRAVEHPQLAQEFF EVALVTFHTVAMLPTTEIPYKQYVQDWGNILLSHNGKEFVGRERVDHVVLGFAYLLKM CLELAISENIDAGTTILMANLFITLLFPNLSDTQDDKIQPGIPIMNTTTRQEIYSIIL LLCRSTNNCAEMLELLEDIIPYDYTYDPTWIFDRSKTIRSAEGYAGLRNLSNTCYLNS LFTQLFMNLDFRKFILEVETSEDDPTQAVLTETKKVFAYLQDTWQKSVDPQNAVDTIR TYDYEPIDINIQMDVDEFYNLLFDRWESQIRSAEDKKKFRSFYGGQLVQQIKSKECEH ISERLEPFSAIQCDIKGKPGLEDSLRAYVEGEIMQGDIPDNLIFHLKRFDFDVISMMR SKINDEFHFPERIDMSPFTIDYLSNPDAPIEPDMFELVGVLVHSGTAESGHYYSYIKE RPSAGPNNSWVEFNDSDVTRFDPAKIPDQCFGGTGDNMHSLSHVRFGCKVWNAYMLFY QRVSSMEKAKAVYEDSIGDSPARIPLPLELGNHIALENEVFIRIYCLLDPNHARFVVG LFNRAREINRTENTAIASKIQKMSMHVALDTLEQLVARSKDLPEVEPLLIDIKRSIDE CPRAAYWLLQWTGKRPLLLRNLIAKSPNSVIRVGFSKLLVTALSRLQDRLGDADSDRE LQGEYHREYMDMLEKVVGIMVIMWTTLHFYSRAWDDYFEVLVEIANLGLYEVETLIEH GFLLRCLEMVWLDGHDSRRLKEVYPAYVRLIEKGRKFSHYKLTELLAIFLENIDIAAD PVRTGQSRRTEAGKLALSYAESELVLALGDKRELALLKKVIEQQENVDAARRIFTTFL STTPAIDGLMDSIVHMLEEGLAVEPASLASPFLEITLLFCGMVPEIDRVRSLIEFATK SVDSINEAGGRDHITFIQTLPTLNNTAILEQDELFFLSLVLEMAPYWAPTLLHYDDRL VRNSAFEYLQEILFLKNLDDATETTRQFYQRTGRQLGQACIDKLQTTYLATSNAQTVV DASTVEIIQYVIKLCIEKYFDEENIEGDRAIIDRAGVEMPEDVGSGKNEALAP TRV_05906 MCKEQSSLTNNKKQSRTSCTGRLLYPIRSWRDEKPKLSKCKRWK KLELRKEAASKNKDEERAPSNMSASSNAPEVLKNLTIGFNSTMRSLESLGEMDRDTSN PAVQEEYKASKQSRKLSVVFVCRSTAPVPLVESLSFTVKRLSDLERGKGIRLVYLSKN AETRMADAVGIPRLGVIGIKECQSARILIDYVRENVPPPIY TRV_05907 MVQPTEPSSENLKEREKMLRGELYTAFTADLIAERERSKAACDR FNNAVCDKRPMPPQAATQEQDDALFEDDPWVEAPIRTDYGCNFKVGEGAFINFNCIAL DTCLITIGARTLFGPNVNLYAGSHPLDPAVRRGTKGPEFGKEIHIGEDCWIGGNVTVL PGVTIGNGATVGAGSVVTKDIPAFHVAAGNPARVIRRIETDMDPSQKKE TRV_05909 MSPATIDSLPYEIITSVLSYIPVVEYNNIKLAGSRHLVRAIRGW ASRISYQKYVELLQQQDTEGCGLPSGTVRTALAITIDQGHEFIVRRYINRYGGAKTRP VSRENRTFVPAFHIAAFHGSAAILRLLMDRRNMRCQRTGATALHMAAKGGSLEAIKLL IENGADINAIDFDEYTPLRLAWLADAQEDVMRYLEEQGGCRDATEVLKKYPSRKFADL LWSCTDEPALIANVGPDIIPYRDRRRKDVIRSFGRYLIAKRNEGSASSQQYQSHLIAT AVARQVHEVVGALIDDGFPVDSWISSAGQNLLHKAVLHNNAALAELLAKNGVNPSHVA LGLSPFHHAATLGHLRSLQGIIDAGFSANMTDHDGRTALHCASHGCENFVSILVDKNG ADIEARDNAGRTPLHASVLAENEAIFLDLLDRGADINARDNNQNTPLILACKQRAYIY FHELLRRGADITLFNADGRNAMEVSPRLSVLKDIMRLGVDPQLIAKAATVRHIRQTKV DDADDEIPQYINDWD TRV_05910 MVHSARVGVLGGGQLGRMLMESANRLNIQMNILDAEAAPAKQIS AHDTHVTGSFMNPEAVRKLAEVSDVLTAEIEHVDTFALEEVQSLVKVEPSWKSIRIIQ DKYAQKEHLAKFNIPQAEYREIRENRLEELAKVGQEFGYPFMLKSKTGAYDGRAPALE ALKGRPLYAEKWAKFRMELAVIVVKTKDTVLSYPTVETVQEDFICKLVYAPARGVSQR INEKAQELARNAVAAFEGKGVFGVEMFLLEDSSLLLCELASRIHNSGHYTIEGCGLSQ FDTHLRAILDLPIPPQSLQLRQPSIMLNILGGSAPDSHLDIARQALSIPNASIHLYSK GNARPGRKMGHVTVTASTMHEAEAIIQPLVEYVNGKSVASAPLATPKPQPTVGVIMGS DSDLKTLVPGLKLLQEYFGIVPEVDITSAHRTPEYMAEYAASAASRGIKVIIAAAGGA AHLPGMAAAHTSLPVIGVPVKGSALDGVDSLYSIVQMPRGVPVATVGINNSINAALLA VRILGAFNPTLRQRVEEYAKAAKTENLDVKGVKMREIGWEKYFDQMEKK TRV_05911 MASNGAGTKGMDSSPTASSRRLLARDTGLTVNDAVLNLPASTDP SFVDASGYKFKEKDFKSGKVKVKKAAAKLLNGLPEPNSKDEQDPRGSSSPILPEMDAQ TMAAFPTGKPRETKLETVICKHCKKPALKQAAAEHIRACLKAKQEKARKKKEAREAKL TKDKPVDKEGTEDAEGEVVAMKGQKSAKKSAGDDAKKGKKRKVDADEDKEPKKKKKDE PKPKVPKPKGPVDVEKQCGVILPNGAQCARSLTCKSHSMGAKRAVPGRSLPYDMLLQA YQKKNQARQQKAAIDANAPIHDDIDGNGPIDSDEEKDAVMAGLARSRPQPLVTHPLMS TQRKYHLIRMKEMFSQALGGGGGAGGGLFSGDSIFSGRSIFSRSESPPFPSSAAGNPT DSSIQQPQDSSRKSLLSQQAVQNRAAQSAAAVAAVPAKPVTAS TRV_05912 MGNSVPDIDAIGIKAEPHLADQFRREVAHLLGRSSLSFPGAQPV SFASHHIRELQEKDYYVCEKTDGIRCLMYFARGDPDSDTPEIHYLINRKNEYRFIPGL HFPMPDDDTFQSFHVDTLVDGELVNDTYEDGTEQLKYLVFDCLVLDGTSLMHRTLDKR LAYFKEKVLKPYNAMYRKFPEEKKHRIFAVEDKSTQFSYGIEMMFREIIPKVKKIHGN DGLIFTCRSTPYKIGTDEHTLKWKPPEENSIDFRLRLEFLSIEPDSEDEADGITEAYP DYDALPTCHLFVLHNRGEYRHFGLMYITETEWEGMKALQKPLDDTIVECIQDEQGRWR YMRFRDDKADANHISTVEKVLQSIQDRVSEEELINSAPAIKAAWKRRQAQAADEEAAR RRGMHNGIPNGAGAKRKYGE TRV_05913 MAQKSGGSKQSTLGKFFGSNSNPAPKKQSTLAFSSKSSSSKNDE TKEKDDEVAEGAPQTPQKQVGRAESKRTPPPIDDGDVDGEILSGGEKSESVSPVTRRQ KRKEAVAIKGDEESDEQPVTKRRRRSPKSNTKAKSPVNGGRSKAAATGEQSPTKIKSD AEPEQSSAEENSASEIEEDDIDDKPAKVQQKKKAAAKQRKQVQSTLTSKPKDLYPDWK AGDPVPYAALCTTFSHIEMTTKRLIILSHCALFLRQVLRLTPDDLLSTVQLMINKLAA DYAGIELGIGESLIMKAIGESTGRSLAVIKADQNEIGDLGLVAAKSRSNQPTMFKPKA LTVRGVHEGLLAIAKTHGTGSQEKKIAGIKKLLSAADADKAGKGSKTIDITKNRGGAS EAKFIVRFLEGKLRLGLAERTVLVALAHAMVAHEAEMDGGKPPSTDQLAKGEDILKTV YRYAPLLNIFGGISELILCDSELPSYEVIIPAMLKHGIFNLPDNCKLQPGVPLKPMLA KPTKSISEVLDRFEGKHFTCEYKYDGERAQIHYISRDTIKEYPAASTTLQKDGEGLCA IFSRNSEDLSKKYPDILEKLEAWVKPGTQSFVVDCETVAWDTVNKKVLPFQQLMTRKR KDVKSEDIKVKVCVFAFDLLFLNGEPTVKKTLRERRALLHEAFVPVEGEFAFAQHGNT SDLEEIQTMLDESVKASCEGLMVKMLDTEDSGYEPSKRSRNWLKVKKDYLAGIGDSLD LVVLGAYFGRGKRTSVYGAFLLAAYNPKTQNYETICNIGTGFSEALLEELHETLSPLV IDKPKPFYSHSTVPKDQPDVWFEPKFVWEVKAADLTLSPRYSAASDEVMGNTGGSAAK GISLRFPRYIKARDDKKPDQATTTKAVAEMYRKQESVAMDKTKGAVDDDFEY TRV_05914 MAARSPVLSACAAIVDTSNTCITARRNVLLQSVNRWVKPEPNYA WTPVRISLGPIDILCLKSSQNYLGVPPNFRRRNARPESVAEDDAEVQQFPTNIPGVPG LPSLPIPSVPLPSWVPTAIPTGLPTGLPNLPVPTAIPTFVPTAIPTLIPTAIPTLIPT AIPTAIPTAIPTVIPPIPSLPSIPGLPHLPSLPSIPGVPPIPTSAANEKTNQAKVSSN SRVMRVKKEA TRV_05823 LLLLLLLLLFLLSFFLPVGGSPSLFDSQKKKVNPPRPLPLSRFS LSLSLSLLSLLSLFYLSLLPFCLLSVFLFLSSVS TRV_05824 MEVDSVSNRSQRPRPPFGDAQNRANMAHPNLQRSRHITLSPSFE KLNLDGPDSKPNEIMETTLTPVENKRISAIVEGQPNSNRNSTISTASTTSTKSRRKTH IGPWQLGRTLGKGSTGRVRLAKHAVTGQSAAIKIVSKRFAAMVQSQSIMVMDKVSRIP GLTKESRAIPCGIEREVVIMKLIQHPNVIGLLDVWENRGELYLVLEYVEGGELFEYIS EHGPLPEIEAVRLFRQIISAVSYCQRFNICHRDPKPENILLDGSFNVKLADFGMAALQ PEGHMLNTSCGSPHYASPEIIYGKPYRGDKADIWSCGIILYALLCGFLPFDGGDLRNT LKLVKKGEYMLPPWMSEEAIDLVQSILQKDPENRITLTGMWEHPLLKKYENHPLLKSG LAIGLAPPLPLRMYSKVANKRQDINVEILRNLQTLWHAVDSEELIEKLLGEEGNLEKV FYRTLQKFREAQLEASGELPLKHSSSDYHHAPAAHIKGLFTPPQSWKGSRFSLARGGS LKDGTYREPSSLGTISTYDPYRSSQTPTPNPRAEFANVTIHRRPTRMTGTAPSPSPNS PSLTPGPPSSYTVIQGFSSQKTSLASFRSYSSLGSSRCRATGSKHIRYRRNVSFRHLH NLSGSYTPVRKRASTSASQYSLCPSQPATAQAAKAQTATKLQGQSPDHADSPTTPTPP LMARKRDPAKRDNVAISKARLSWREDARKVSAELEKLCEEAFNQVSDSSSATKGPSES KGASDTSSMSSISSNGEPEPKVEAIKDLLPKQPQESPRTYAALELAEARRRLIEHSAQ AGVNGLPDYLKNVIAHLDRLIDGETASSGSKPMTKQTLVARESTGRLPVISEENYFEP ESKSFLPPPEPTKPLDLGLDNWETKKSIRVVNNDSMKTNPDSVTSLTVQKKQTGELPS LDCAFKSFEFSGYLAPGCNEDDVSSPRDMVVRHDSGLDSIDENPNSARSSAARNSDEA KKWTWLKPRQNSSDTTDTEVSRDKPFTDLLDRMQEERRKSAFSNIEQHFRDLGATAGG LRLKKLFRRKKAPGRIHKLTDEADETDVTSTISDTDSKPNDSGVELDDDSTAATTPMR KNSDDIPLTTASYTNTWFSRFMLMKPAFKVLALNISKPRAKKEVVKIFKEWKKYGLEG LHLDNQNDIICGSVGELNFLRLRPVQFSAEFFSVLEHGRHANLSLIRLRQDQGASSSF YKVVQTLRMALKERNFLVQDTRRAKKMIKVLA TRV_05826 MQARGQETIVVIGAGVLGLSSALELIEKPETSKYQIVLVADHFS TDPPNPVYATTNAGAHFRPIPATDTQTELESDHAVRTYSRFKKLAEEEPAFGIKFLEG IEYVSGPAAEKYKAMKPNYVKQEGFRLLEGPEKPAGVDFAARYESFIVEPDTYCFHLL RRFRLKGGKILRLRLQSVEEAFCLDGYKVSLVVNCSGMGFGDPKTFIIRGQTCLIAET ATKTVTQQNADGTWTFLVPRPLNGGTVVGGTKEVGDWNPTASIAVREELLRNAAKMYP GIVNSKGGFDVIKDIVGRRPAREGGMRLEVETLPDNRPVVHAYGIGGRGFETSWGIAE DVQRMVTETLGKRPLASRL TRV_05827 MDIMSSYFLTSRLINYVQVAVDGEAATPRPTAIGVSSTNSEAIL LLIVITEILLFACGPFLGPVTHTSLRKLGSDTDFQKPWEQALQNRRTRRKGGSMCLAV LVESLQSSSETDASRSQSLELHIQARVAEELNRIRERETQTLADIEKRIAASYPPEGP AAAKSNISTLASQPLSLESPRVPFAGTEFDRVPATSAAPAESNDEAAAINPKMISSGR VAKEIEALRESLATRPTIRKLDPELETAREGVVKCLRDNQKRPLDCWQEVDTFKREVA RLEKEWVQKMIS TRV_05828 MASNGPNKRKGAWESSSFLHRKRVKIQDARTLAVQSSDTALSKT GELDVAAFVAARQFEIRALEAGIRKSKNAATTRAFQQVPKNLRRRTASHNVKRVPRRL RARAKREMIEDNTPTVTARRRKPTQLMRLRLESARRLQGLNARSKAKRQVAAKAKLAQ SPHYAGHDSEVAPRIPKIKKNKLSHPHKPASKFRNRQICKTWLPTHMFHAKRAHLTEP KNPLWRFAIPLTPTEKCYRTTHRASGARGAIAWDISYMSTIGLEGTYTSLESLLRALG VNGQNAWGGNEYKWRNGTRSLRVWVYERDGQKRPIAPVQLIWCPPKQDEDIEMTDADS VPKKLQKSKTPRRRMFLRAHPSAFLQLWEELLKVAKIQKPQVMVEDLRFEIGSIEVAG PGSTEALLGALKPALPHGVDVWPEGSPESTWLSLAGLTNPASLPRNALLSFNISDPRL RFPPKTIKIPNSAESHTKLMETLASWKPDSTQKLPPDLFSQPARRKACWQLPSQKAIN RRKSEVSPGENVPPKSTDPNIPIILLASNNSSKNSNSQGSWTVLLPWKCVTPVWYYLL YYPLSSGGNPRFGGIQEQQQLAFESKKPWFPGDFPGTRAGWEWEIRERKREKEVWERK PKSKRVEYNSVNLGNGKSGEIGQGWACDWERLLSGPASMAVNHGVGPGERHNQPGRSE TAEADLIMPPDLIQLDPLIASAIISKLKKSNQLPASMESLCQKPALATVSITLLGRGT PMRRSRIYRLPTSDLELRSKWLSLLNQLEGPLKKTGNDFEERAHLPIPQEEDLLGYVT SGNFNLAAGKGTGIGAILVNRICPHRTLDDSSAPSTDKDRHQEGKLMNVCIIRAAGES VGRLGRWEVA TRV_05829 MPALKSGDAFPCDVLFKYIPWTEEKKGFSTCGAPMPYDASKEWS NKKVVLVSVPGAFTPTCSATHLPDYIAKLRELKTKGVDIVAFVAYNDPFVMSAWGKAN GVTGDDILFLSDIESKFSSAYGWTAHEGRTGRYAMIIDNGKVVYAENEPSIPNVTVSL SHSPFFLGIQLD TRV_05830 MSGSSLESWAVPRLARLLPLDEESLKQVISYSSSLSKDECAVHL RNLLDDSPAALEFISAFNSRRGKSGQQSSNSSNGQGGRSGQIPKKLAGTKSQRDALKS PPTTRQPEGYGDTSGGYTKPQREENYMASSSAVVRGASESISPGSSSRNPSPAPSQSR NRLPPSATGPMISEYLPNVRSKKVPKNFGQHNTAPSSSSNNTAQKKTGRTATSNNNTS TTTTTNNIADITSAIAALEILTSNPSKTQARKCNCNASIHPLFAPAPNCTSCGKIICA LEGIQPCSFCGTPILSSEEVEDMIRELRSERGNEKMRAHNDSFRRDDHAPAVTRLSSE SNQKLTAAKAHRDKLLSFQAHNAQRTRILDEAADFDIPTSSSTQWMTPAQRALALKKQ QRMLRELEEQSKPEWEKKNVVMSLEVKKGKLVRTYEKAEQPRVEDTDPDANAEEAVED TTESPGLSVEEGTFSNNPLLKGAGLVRPTWKPSGEGSDKPPVRRREQKQTWRRVQDDN DDNEQWILDGGLHGINLLVNYFFFFFFFSLFFFFFMSKRGGCNRFDRFLQRHSLLLPR RWANWGLSSSPSGRCDVNGRAQVIRDTKRHLLALQPQQDRNNEPISLAAWGFASGRFP RVQPGPPKENTGRAARLPTTTDKKLSIFNSLSPSLYYFVLPIILIIIHLLLTSTAPAL PADGPSIPRVSFLKSSPLLFFLASRHNTQQTPPEYLRQWCESPYLQGLLDPEQIFSIC PSKMASAVLEEAKRISAGFEYSTEELNKGVEEFLREMEVGLSREDSGLSQIPSYITSV PDGTEKGVYLAVDLGGTNLRVCSVHLHGNSTHEMIQSKSAIPRELMVAEHGVELFNFL AKQIEAFLREHHAEQFSSHVEKRQTGEIKEPYAEEQVFDLGFTFSFPVIQHGINKGKL YRWTKGFDIPEVVGKDICQLLQTAIDELNLPVRVSALINDTVGTLMARSYCSPGNSRT LIGSIFGTGTNGAYVEKMPRVTKMERCSSSAYNKSASEMVINAEWGSFDNSLKVLPNT EFDIQLDNATFNPGIQMFEKRISGMFLGEILRLAILSMVENPSVSLFGSPATIDSNSV LYQAWAIDASILSIIEGDDSEGLQATKEQLRKDLGIMDVSTSDCQAVKLLAHSIGKRA ARLGAIALGAIVISSGRLATDEVVDIGVDGSLIEHYPGFEGYIREAFREIPAIGPAGE KKIRIGIAKDGSGVGAALGALVAKKTSQTLQVMPPIRACPLSMTYIMQNITIKLTIKL TIKLTIKLTITICS TRV_05831 MKLSVLLALGASSLAAAAPAATACDCGAAVTDRLLFSSSISTFQ AARNALNPPCCDWSSDNCSSSPDKPRGYDFIPSCQRHDYGYRNGKRLNRFTEDYRKKV DDNFKADLYNYCSQFSGLESWKGVECRRYADIYYFFVRECGDGDCP TRV_05832 MAALSRTREATQQCIATSKLLIGLPEGTPIVKYTNMKKLKNIID TQSLDCSADISKSPFLIVTCVDDSFFKALDKAYPDSGPRITYNFEEGGPTVILEVMRN RVNGLATGRLHLEVVLCLEKMGLQYELIAMNESEIKNLNETWRKQPGLSFALADHIHW PVLVIETGMSEGQQKLAIDAQGWLEAQGSDTKAVITINIDKHSPHITFQRWEHEDTRH TTRSRHPHGSVVQTVEVFHKGVTTRATGELIIPFEKVFGRVRHGRKEADIIITKNQLI RLGQLVWAEQRFM TRV_05833 MHIRPKNIVISLVLAIPIFFLWATVRYDRIALSNLAHSFDQLSR SSLNVSPLTRFQPQLSSVEAVNELCKRGLMVPPPLSYSEWLHRKNFTRAYIRPNMVSS DAKFAPLETIKQPVLPDFMALDRGLTAPPEDKGESALTCPPVIDVDVAADSEVDDTAA ILFGLATDVDRLRRLLPSLLFSYGSSKANILVLIPEGTKDIDIHETYFRNRGLNLTLK TSPLEFTARYFGLVEAFTEHIENNLPNVKWVSFVDDDTFFPSLATIGKRLATIDATKR HYIGSLSEADIQVKEFGPIAFGGAGVFVSKPLLETMHTVYQKCQDLGTQPGDQKVAHC IKKFGNTDLTLWDSLYQMGIRGEPDGLFESGRHFDSLHHWQSWYNKDVVKMSTIAAVA GRNSVLRRWRFDERITGKGQRTFWVLTNGYSMVKYTIDASANAESVGFDKVEKTWDGD DKVFEKRLGPLRPKDQPGVKKERWMLAEATIIGHNVHQLYSRELDETHSMIELIWLGT PDGSETSD TRV_05834 MIVPENLKGSHDNVFTFPAPALDLAFDDGLIDQVKQVWKAIMGD EVDENNFLKFDDREGMIDEEEANETM TRV_05835 MLDLNTLDGSTWDVVISGTGLPQAFLALALSRSGKKILHIDKND YYGGSEAAFSLQEAEEWVKKVNEDGEDDELSFSRAYTLSLSPQLLFSQSRFLPSLVSS RVYRQLEFQAVGSWWIYQYSTGSEANSQPARLQRVPSSREDVFTDETMSMKSKRSLMK LLRQLMQQDHDQENEAESEVDQNMQFQNLLETKYRIPSDLFDPLLSLSLSLKSMDTTN SMDAIPNIKRHLSSIGVFGPGFGAVLAKWGGGAEFSQAACRACAVGGGIYALGREIKK VDEITDGGQSEKLHIYLTDNESVKSRYVVGSGWDLPEQIHRERVHPYSRLTRAIMVVN SSLEILFPQTSENGPVPAGAVVTIPSRNSGPPAYLLVHSSDTGECPANQSITLIQLSF HDAFILSTLSAKSLMITSFF TRV_05836 MAQEVVLETTMTCKNFSTLAQRGYYNNVIFHRIIPNFMVQTGDP TGTGRGGSSIYGEKFEDEINPSLKHTGAGVLSMANSGPNTNGSQFFITLAPTPWLDGK HTIFGRVKSGMRVVQRMGLVKTGAEDRPVDQVKIMRARLVETEEE TRV_05837 MHPLPSLTEAIDQLTIEFSLLKPLIPTYTHLIISTLFALYIGCH ASLSRPSSAKKESKEHKEEDDTDEAVVQKMGGLEPSDAIVFPVLSGLTLSGLYLLIKN FDTKYLSKILNWYFSHTSFIFGIAFIRDAITILRSFAFPTRYFHRGTLWKANQEKRVY EAVASEGSSLNTRPSPLPGILGTIPLAESVQIYLWSLRGASYQKLNFQAYIRSLVDLK FPFNIIDVLSIIFSGIVVQFSVFGSRPWWLTNFLGFCFSYGALQFMSPTTFWTGTLIL SSLFFYDIYFVFYTPMMVTVATKLDIPIKLVFPRPPVPGESKPAEATLGLGDVVVPGM IIGLALRFDLYLYYLRKQSRQEQEQTSSKDDNRVEYKNAAGGWGERVWGCGHKGANAP RHEKEYFDSKSFPKTYFTAGLIGYAIGIVATLLSMQLSKHPQPALLFLVPGVLISLWG TAFAKGDIQAMWNFSDEVEDEGSNEDETNKTEANDSFMEGILKKALSFFWDTRISEKD EKSAAEAGDSSRDSSSENPDRDGNKDSTPSTEENSSEEKRTHSKSELISLSISLITET ESKKELGEGKLVSTPQPSTNSSPILVAKEEERPLKKRRGYKPTH TRV_05838 MGDTAAVCGVRAEILHTDDIASWKVASELSSRSAKRRKTEDAGA KSGPSPVIDNEDDDSDIQSFNLLVPNLSLSTGCSPSIFASAPPTALAQSLSHQLLSLL HSSNLICAEDLRIWYTPDPAKASETTNEDIEMDDGNDNSTQSTAKGPEVKAFWTLYID VLMVSLAGNPFDVAWAAIVAALKNTKLPKAWWDLESERVLCSDRVSEAKGLRLRGMPI SSSFCVFEAHGMDDWRRVFLPFDQQKGKGKKLDGSVSNDADEAYDKWILADPDAFEES LCPERVCIVVDLTSSNSIAKIVRLEKNGGFYVGKEEIKDIVSLATSRWNELKMVLEG TRV_05839 MPPRQLESFISSILGSESYSKSLAKRIDIPINSATTEEQLATSQ LDPFSKASKYALGWVYFCIVLFVLTFLLRLYQIWGDKIRAALRKEELFSPGQTLSPKS DYELVTPSPANSHRAFFPATGPLVQPPKRDSALSSIGWVNNSIAFARWIFYRPLPVIK LGKLEIVPPSLAAAVLVLLAFIFVTLYCFLPQPLYYPHITDGSPPLAIRAGMLAVATL PWIIALSTKPNIISVFTGIGHERLNVLHRWTGYLCLFLALVHMVPFYITPVWEDGALP LFSLFIGGDYYIY TRV_05840 MYELFVTLHIPISLIFIAMMFWHCNNFLTSWHYLISSLALWGVS YLARVFYLNWLNPFRLSFLIGEESAVTILPDNAVKVTIPTQMKWRPGQYVYLRMPGIS MLQNHPFTIASLCSDDFPSSYGSKYRDMTLVFRPFDGPYGGVRRELSSFDDVVFFAGG SGITAIASQLLDLIKRMRDGKARTRTVKVVWALKRPDTMDWFKEELRICRECAPPNSM FCNFYLTGAKRYDKNAEVVSHPRPQSGDFHDKLNDVFQGVASKRNSAYIREEAGGDED KERELRRENEDSISALPNAHLAARPRIQTGHINPYFPETPNSMMSSPEENDGPNFGFP STPTMLQKNLMRFAFFPRQKRDGWKTEYGRPDIPFMLKQFSKEFGRRTCVFVCGPPSM RVDVANTVAKLQTTVMNDPNKDELFLHTENYAI TRV_05841 MSRLFSASRSSRPSALPTTNPAASGQHNADRPDKAELISPVKSG LPPMKPQTVVPPPPICSSPAKSNPAFHNLTPYPHVAPPISTPHPHVTICQVKTAHVPS LMRITGLLLPVRYQNSFYTATITDPFIASVSRVAVYHQHPLSNIYGTAYLAAKSLPSP PSPPASGMDKVVGGIRCRLEPATLPYPSSTAKATALRPVVNLYIQTLLLLSPYRGTGI AASLLDSLIYAEEPYTSKDRSSSDEGERKSPRQVSNMVKHYNIRTVTAHVHETNEEAL SWYIARGFTVEEGVIEGYYRRLSPGGARIVKLELTWEDEESTTDDNVHTCNEDEDWEK IEAHDLNTGEKLDDYQQMRESLGGKMLKEYGEDNFSVFTYSVEVLYISSISLFIPGYP PLNANLQPCMIPLSA TRV_05842 MKTLNGSINTHTLLPTIYSSPPLALPTLTPHNSHSYITLLHLPF LSILVVAILDISSNIMGIIDGAQIMAGPEKSKEAAKSDPMSSTDLARFQTRQILDDTF YNIIHDIVAKVHKEEKVARQQSAVVTARQLAEESTKVKDSGEEANGTADAGTSASHEH IVKSRGVRLETDSAIFDSGKVYLKGNPLETVKEIICPNCRLPRLLYPAYGEGSRPVDA SREYCRKQPPVNLHGRDVHGHHFAVEKITKKKKTQPAAGTPGSSPPSIPETPGPNPLL QPKPDKVYVPTTKCPNCPRYFLLTKSAQHLDRCLGISTRQSRIRTPLANSEAGTPGPS QPANARKRVREGEEEGAAGPVKKKKDFGLATKLKSQKPAAPSKLKNGTTADTISVEVS KTPANGKAGKQKA TRV_05843 MGFAINKVLTGYIIADLLFLLGGIILLLGSRLGEASLHHERNVE NAMRSLLIPRCPIIPSLANAICVFAAFLCSIPVIVFPQNGIWLRLHGWLLVFSGIFTL SLGLSVWYEALRTRSTLQPMWSRETGDIQGLLQKKFDCCGFENSTSPLYHYDSTCMSD LLAAQKPGCIGPMSDYAFSFFGNISTATFGIVAIDAILLLCVAMLFKDRKDRTRYRLI DEKYELGMRQT TRV_05844 MADVAAVPQENPSPSQDDLVPPSGNGSASLDSRKRPRPAADDDD DDDDKPGRERRKIEIKFIQDKSRRHITFSKRKAGIMKKVLLLVVSETGLVYTFTTPKL QPLVTQSEGKNLIQRCLNAQEPTGTENGVEAPEVPADSPDEVAQATVAAQQQAAAIPP RPLHPGYNMGGMTNEQQHQIAYYQNLQQQQQQQQQAQAGGQYSGVPAGTRIPQQSTTT TTTTTTTTTT TRV_05845 MKTVPFLSLLQAGILTSGIVAQNIAFVGSNANAIATVSFDTKTG TFKVTGNNTDSSTPSWQEVSKDGKMLYSIEETSTEHALTSYSIGQDGKLKKLKSIKGM AGPVSLDMHPTQPIIITANYGSASASAYSSKDNGEFTHLGDFMFKMQGKGKVPDRQDA PHPHQALFDPTGKFVLMPDLGSDLIRILKVDAGQKFSVAPPNKVKPGTGPRHGVLYPA SDKPRFYYVVGELSNTVTAMSVEYTVETIKLTEIQTLSTLPDGQTGAAGELILSPSGK HLYASNRLDKVFPGSSSVASYTIDQMTGKLKLLEIFNGGVENIRHMSIHPSGKWFVTE GQNSNDIKVFALDPETGKVTPEAKSTLEIEKPVCLQWWHNGAQESEAPEAGTETECEY DD TRV_05846 MAGTGVVVLLLVLGARALKVSPTPAQARGYMSIWSPHLHHSPRI KLVRGMIYGSLSGILSAHCLLLAKSAVELVVRTISDQDNQFVYWQSWIILLALVALAL TQMYYMHRGLKLCSTSVLYPYVFCVYNIIAILDGLIYFHQASQLSGIHAGLIALGTVI LLSGVLCLSWRLEESPTHPGQAAVPPPTSAIGPGLGLMEEPDASAATYADFIHPHDEE SHLGERQPLLHHTPRLRQPSSPFNHPYRASTFSHRRATNPGLETAEILAELRDDQNED DLGGRRELLARSALLPHDSPSWPRRASKRQRRKSTTSVSTGHRRLFSLWGTSSETTVP TGSDRHSRKLTDSKRASFAERS TRV_05847 MLELEGNKQAAVSEKVDQQNQRERQLFAGQQSGDAGCQVVIILL PSLLDGMAMLMLTLTLEVSERREWTGPGGGASPALLPNHGELLERPASNVLASITSTH HPAQLQLSPGHALTAILCTSVSPC TRV_05848 MSQSHALSDDQVAGELRKMTAFIRQEALEKAREINLKADEEFAI EKSKLVRQEIASIDQLYEKKFKQASMSQQITKSTLANKTRLRVLSARQQLLDELFERA RGEVTTAATGKKGANYEKTLAGLILEGLYALNESKVQVRARKADYAAVRKAAENASKE FKDKVGREATVEIDEREPLAQDSAGGVIVLGSNGKIEYNNTLEERLRLLEADSLPTVR EMLFGKNANRKFYD TRV_05849 MKENHKISFYFKPAESSPEPQPVAGVKRPSSKDGPCSSSPLTSC PPDLSSPVQLTSSLSEPKQEQVILQDRSRNDSSGAAETSHRQHTPMDEPAVSSFTTVP GSQRVFKDGQIVITASDDDDDDYSINSIAISTDELLAKFLGTSGQNEGSDTDMSGSKG RKRSRAKPKPESKETQTATARTTHKFSLDDLVADAMHDKEREAQVSAAKVLIQESMGK YKTKGKNPTDKDDVYASLVSDTSDPVAARRLKDAVLRTEALRQGISWSFFRDDPPTVD VEPFPILSVDAGSWEGVLREPSSRDRAFLSGVVGEVQKYIPLQNDVLLWILQSGVNSY TPKKDEVLIMCTANWQPVATEPRDELRFAYISTLQVSPEYLIASLVQPSHIDRLFATL GAKSSALDAAKPLVPDTRNVDEEDRKYLLSVLALLSNIAEKLNALTREHTLKILCRLA LDETVMNDGAVCAETRRAIPALFGQTKSSVLPEANFQAHELAQNTYQTFKDTTLQCLL LKNIPPITPEISLFRCRLASAFLFMDSSPLDKSDSELINLRKISSQLKGERFKVNEHR PEDKEPFDFANLAALTTILDVAIDSGTLQRSFSDKEGEIEFNKQVDKLADRVKAIFTA IQDSGASHMKRTEAKESLQALHYRLVYTVRTKPVLKKSYFVSSNDDGYAGVSGKRDIL EKFLGVR TRV_05850 MAVVQFGSEDESNVSKPSKRMRWATQRRPGRQGSRKRTSIMDKL HQRAGSKDEKRRSNTSSLPNGSEPSDASDEADRRRIYVNVPPPPHQRDENGKSTITFG RNKVRTAKYTPLSFVPKNLYYQFHNMANVYFLFIIILSIFSFFGATNPGLGAVPLISI LTVTALKDGVEDWRRTVLDNDLNNSPIHRLVGWNNVNSTEDNVSLWRRIKKATTRGIR RAWKWFKRVRKGEKPEPKPVVEDTPRMSIATQRPEFRNSTYSYRPSYQADRPGNDSED IQMTPLPSPGVNGNGKAEPRAPPPFNETPMTHPSKFYGSILNKSWQPSGSARFKRDYW KNVEVGDFIRIYNGEQIPADVVVLSTSDPDGGCYVETKNLDGETNLKVRQALHCGRAV KHARDCEAAQFVIESEQPHPNLYQYSGAIKWSQANPDYPDSPEKEMAEAITINNVLLR GCNLRNTEWVLAVVIFTGLQTKIMLNTGISPRKTARLAKDLNWNVVYNFIILFAMCLT SGIVQGATWGQGDNSLDWFEYGSYGGKPSVDGIITFWASLILFQNLVPISLFVSLEIV RTLQAVFIHSDTFMYYEKLEYPCTPKSWNISDDLGQIEYIFSDKTGTLTQNIMEFKKC TINGVSYGEAYTEAQAGMQRRQGINVEEVSRKAKEEIAQSRASMLKQLRAIHDNPYLH DDELTFVSSNFVSDLTGSSGEEQRDAVTNFMIALALCHTVITERTPGDPPRIDFKAQS PDEAALVSTARDCGFTVLGRSGDDIRLNVMGEERRYTVLNTLEFNSTRKRMSAIIRMP DGRIILFCKGADSIIYSRLSRGKQAELRKNTAAQLEVFAREGLRTLCVGQRILSEEEY QEWSKTYEDAAQAITDRDEKLEEAASSIERELTLLGGTAIEDRLQDGVPDTISLLGAA GIKLWVLTGDKVETAINIGFSCNLLTSDMELIVFNIDPDDIDAATTEIDNNLANFNLT GSDAELLAAQKNHEPPAATHALVIDGETLKLMLSDKLKQKFLLLCKQCKSVICCRVSP AQKAQVVKMVKEGLKVMALSVGDGANDVSMIQEADVGVGIAGEEGRQAVMSSDYAIGQ FRFLQRLILVHGRWSYRRLAETLANFFYKNLVWTCALFWYSIYNNFDSSYLFEGTYII LVNLAFTSLPVILMGILDQDVDDKVSLAVPQLYKTGIEQKEWTRTKFWLYMLDGLYQS VICFFMTYLLFRPGQNVSENGLDLSDRTRMGIYVASCAIVCSNTYVLLNTYRWDWLTV LINAVSSLLLWFWTGVYSATTSAGTFYKAASEVYGSLSFWALTFVTVVMCLGPRFTIK SVQKIYFPRDVDIVREQVTLGKYKYLEKYEAYVPPSHAFAAQSSGIMQNSKPAAKRDP NQPEEERPIYPPSVAPTATTHNPRSQNGSDTTAYTASLDLRPPAHQSLEQERNPPRDR VRPSIDRCRSSVDRVRSSFEASSDFTSAAMLARIESSHVRGDPNNHPAIQEH TRV_05851 MYWLTFDLLFRIRELKSLPEELHLLCPRKNDNDMEQYNKYTESE NKSSEAGAQTNPDILERISEAAVRREKFLSCIQLLAFSGEEVEAQQQWIWRRLKETLE RCDICIKEYYRGKIWLIGTLGESYDESEVEKFSKMLDDWDIARVGKNLDKAASMLASI SPGQRKISALDRASMLSIFEALSSTPVLGNETFLCEHFDKPFTMVQSSRALKITEYVP AATQFLFDKNKHRNDWAVFTWSKLARPPTTTEFDCAIKEPLLAALRRASTPPFDPDFV DSLWRGVTIIIKGLNKDQITHNLRGLELDPCRLSVDHLAIQAPALRSVINTIHALLKK SPNDFWDAMQTISPQAIIEQIFYNPQFEVFLTATDNNDSLTESPLRDMLSWIDPFIMS LKNTHQPQACRFLVHQLLNRLQEPRFPTIVKYHCFRSGLMVLYRTLRSFTDNEDSRGS VATIVLSEMMEVISDNIKHFLNPPRFEVDGSEGEISILCMDVVRNTLALECQSLKSDY ETILRDNTLQHGVSTYTAPIWDAVVQHLDEKNLALSSAALRGILPLVGLEKFQTRGEN TKEKTHFNVIYGHLTHLACQILERLSDFRPEHLDELFKAQETNGSLTSALFSADLNTY QAAVDLIKAVSGQLGRKEAISHLLQSFCASTMFGFSWSFRRISNMKTFASAPRMLKTG TDIIEVLCDSQTGILRTRKLNDPKEVYFLQRLWEYQWLALSTIFSHTEKWHRMGVDRS IMLEFCRDSIQFAELLFDQFPIFATAVGEADPAQANSAKSNLLRYPTTTMNAMVKWLR LKDEYLATTLVGIVSKVLRRLGDLNATVQEEALDVIKDVALTAKIKTILTDSEKAELV RSMEAYYKKPLITPIPAPPPKKKQSSITAFAKPVDPNTIVKSESKPIKEEGHESEIDI PDDVLIELSGSIDLHKARIASQKPIPRPAAPYKPLPPVAPLAKPAHNVLSFREKRERE KEAKKKRDMIELAKVKKNLPLRGMSEQTASQGSGLSGLNIGVVEHKPADSMMVSSDSD SDSDSDDNGDEDMVGKRVSSKPDAVRAYEESRKKLLQRVPFKKAKIIRSAKDMRARLA PDLSSLHKTLLSWDFFATTVLPPNCGRTDYTLVSNVFANALEYQKTFEPLLILEAWQG FQTAREDATFKEFEIKVANRLSVDSFVEVSTIMEPQQVKDLGLGEADLVLLSRSNKPA TDSSAPHCLGRISGIKKKKGTVEVSYRINPSGPMASGIGPGGGLFGVKITSLTPLERE YGALMALQYYDLSEEIIRAKPSPILNYGPENLKTILATYDLNPAQAKAIKSAVDNDAF TLIQGPPGSGKTKTIVAIVGALLTPILAERKVPQPKIASDSAQASKSAPSKKLLVCAP SNAAVDELVMRFKEGVKTLNGKTQPISVIRLGRSDAINANVLDVTLDELVNAKLNQSD QKKNGEERDLQSYFNEHKETSAKFIEIRQRIDQCRTRAEPVSNELEREFDLLKRKKAQ LSQAIDNARDKNHAAARNAELTRRRIQQEIIDGAHVICSTLSGSGHEMFQSLSIEFET VIIDEAAQSIELSALIPLKYGCSKCVLVGDPKQLPPTVLSKEASRFQYEQSLFVRMQA NHPNDVHLLDTQYRMHPEISKFPSQAFYDGKLQDGPGMAPLRKKPWHGSELLGPYRFF DVQGMHSSAAKGHSLVNIAELTVAMRLYERLVADYRNYDFAGKIGIITPYKGQLRELK SRFAAKYGSSIFTAVEFNTTDAFQGRECEVIIFSCVRASDRGIGFLSDIRRMNVGLTR AKSSLWVLGNSQSLVRGEFWRALIHDARERQLYTEGDILRILQKPQISLDMELNNVEM VDAPAEVGNIPTPSSRPGSSMAGSSNSRPPSRLSNPQSAAESLPSELPSGSAVGTPIP CLPDGPSGGKSGLNDLAVCGYCGSHQHMTHNCDNIDAKIACQGTCRRCGDETHSIRDC KAERCVQCGETGHLANDCKSTTVLPKWEKNKIIKEEAHHKNMQQARIERQKQKAIAAH DPKIPLIQVPGKKPSAENMAPQHSIGHGKRKRSDLGNPQASSDPKVMRLDQSTASSTP PKDSKGKKQDGSTSAAAQTPAPPPAANKVGDSTSGPPMRPKGDRRPPPPMRKKKPADV FIRPERR TRV_05852 MGPVDLPRPSSPARCDAEAEAVGLLSYHGQQKQQKQQQQPSHGR GGLLQAIHGRSPSSLNSLPLRRAEKTGHHLLAAIGCCPTRRAGTRLSRTHRLLRLVGL LAWLFVVVSVAESLLWPPYQTPPEHYAGLRERILGSTQPGRGNPEGQKVFIASNIVQE EMIRGPWGRSLLELVDILGEDNVFVSIYENDSGPGTGDALRELAAQLPCPLFPLRVRS VYLFHLVSCF TRV_05853 MQFDRVLFLNDVYFSAIEAAQLLFSTNVDQAGHAQYRAACAVDF ISKAMFYDTFVVRDAEGYGTGLMFFPWFAPVGRARSRNQVLQGADAVEVRSCWGGMAA FQASVFQHFSTADSTSHIVTRFRHDSEPFWESSECCLIFADWEDRFGRPDVANRTGVF LNPYVRVAYSQNTWKWLGFFRRFERVFANLQYLVSRLAYPEHNPRRTHLPGQKVRERV WQSNADGQPGGSLQTIQRIASPGGFCGQRRMFIMVDDIEKANRNGAKNWKKIPVP TRV_05854 MLRPSTPSRSSEESFCFSAWETPRLSVAESIGRDNYPRPASSRT QTGKKATKRNKNAAHYSPRSAGSARRPQRSEHVTELIKFFKTCELPISDPYNFDLDSL ELNGQLRQLKHRQDDLGLQEDSAPQRKKSPVKLFAPDLWSRTPARKNKQKNDRLNPAI SMESMQYSPGTRSPRGSHDSNIDRPIATPKTEVDGECAYPGISVLPSSKESPANDIGV SEPFPSLATMGQLYDLSSATLTGDMYTNEKMEWTDTSVGREILSGIDTDSGMPIQPMP VHLNSPSSHNGDNVPQPPPKPAVPHNCAKGSTYWPQDKPLPDTPEVHCQQQRQQIQIY KRDSIRVTRSTTTSSGFGKRHSISTTCESTFIDVQCLPERTSSRKERRAGRTDFSSLC CRLSCPMSEEHGFNYAESLESTENPVSTLDPADANENLDTATQSKSKSRTLIPAPIDS SRKYSVSSEPSLTPSSNIFSISALSGSASSSTLTSPLTWHNPSQKGDDNDASKSIPST PALTVSHDTLDNQSNVESFPKLPTTNVPEIKGSADTASKILPDHFPAVPVRKSSRLDN IHALRMKDVAAARAALKASKKDSATLENTENVRGRQASSPAILNYSSTTKGSSRSSEE SLPSATSSTRPTVKSAMSKVTADSNTKSSTNGSPMRTIMPDGSVFTDRATQERSFRHK HNAFSLLAGGSLPTSPRNSQSFIPTPVPHRPSLMSPKSVAGLRALETVSRPVSPSLPS SDDEGMGTRAHSGRSRRSTRSTPRRNRKSSTLTIDNAKSQKYIHDEPLPLTPPSANAI RPDDPDSLLSPYTPTHFKYLPTSDSSIATQSHQQKFLQDRVFYLERQNKMLQSALLSA LEVGAKCDAQRDQKCSPRSSNAINFRDDIIDMLNLYRSSYDGGCGVATSSASATRRET RRSAIPPNIGEAEISCSSTTTSRLSVQGRILARPSTSPSTAKERAHQRLIEPHNRIGE AIALR TRV_05855 MLSSPPVKTVAPSSNLLRYLRCQFDYSRCRSKVSTSCRWSSSQA CAGGFRSSLHLNSPKLSYGGSSYGTKCGISLVKLPIVTGTSISRRRSLSAGRYQPLST NGIRSFSTTTVRCRHFLRRLLGFSRPPANPQLKPDDLPQNIPNFDDGNESNPFNVGRN LALKVSNEPRLRCTEFDGAGNVTLVNGEFKKSELIAKYGLLPRDLRKIDSSVLPHILI RHSAILISLLHLRVLIKSDRVLVFDAYGSTDTYTQSLFMYDLEGKLRQKEPVSRAAWA SGALPYEFRALEAVLVSITSGLEAEFEGVREPVSRVLRALEEDIDRDKLRHLLVYSKR LGTFEQKARLVRDAIDDLLEADDDLTAMYLSERSKGIHRAEHDHQEVEMLLESYHKVC DEIVQASGNLVTNIRNTEEIVKAILDANRNSLMLLELKFSIGTLGMAAGTLFSALYGM NLKNFLEESDLGFGAVSAACFTLTAFVCVYGLVKLRKVQRVRMWGENGIQESYRGGLA VRGCPPPAPQSNWRSDSISPVFPGEERMQRLRRLRGASSPPVPPTISPAAVAANKLRA SKPKKRPDRNTKAEAREPIQEETIHETEPLSSAAR TRV_05856 MPSILSDADKETVRRTVPKPSSKILAVAVARLYVALPNTHKWIY TGLQGAAVLANDLVGHTFWIKLVDVSPAGRGILWDQEIYDGFAYNQDRTFFHTFELED CLAGLSFADEKEAKTFLKKVTEREKSASKETKATPFSAARGQTPTPVSNGKSHSRFGR VGSLLHGHRSSSAPNPAESIIPPRQPPANTAPSAPVAPINNEKTSSPLDTVDPSWRGL LDELKAMGITEEQIAENSDFIKAYIEQKQQANELNGSSTEAASQDTTKRAKVPPPPPP SVPPPHVSKSQPISPQNTGKRGAPPPPPPARRGRPDAPPSPPPPREQSPAQSPKREPS PPRPRFRAPPPIADAGKYAHIPGPSLPSRSRAASGSNLANPGPPPPPRPPKTPEDNRA SVQTNRKVSAPVPPPSRMPAPLPPRNEASSISPPPLPPKTPQNAHMLPPPPPPPPPPQ RSPAPPSPPPRQSPPVSAPPHPPPPPLPANNAPPPPPPPPVPASNAPAPPPPPPPLPP TSTSSAPAAPPPPPPPPPPPASGGPPAPPPPPAPPGGAVPSLPKAPPGKDDLMASIRA SSGRGLRKVSEAEKRDRSAAMVPGSATDSSAASPAPAGGMMGAIQDALAKRKKKVSAS DDEKSDDEW TRV_05857 MNKARNLKPFEWNLGLKKLNIKARCFAVKYAAGNIESPFTKRCR LTKPILSPMFDYKLKQREKDIIWKSVSLGSPADTPAVGRSLYARMFRSAYYEALQKHG YDEDGRRLVLDAEGNVVGKRKPGLSCTIQVILNTPIKTVKQEDLVIEAERLVQELERL QGKPCAAGNANNRQKSRKAQKSTG TRV_05858 MPKNKGKGGKNRRRGKNENDNEKRELTFKEEGQEYAQVVKMLGN GRLEALCFDGEKRLAHIRGKLRKKVWINQGDIILLSLRDYQDEKGDVILKYNADEARS LKAYGELPESAKINETDTYGHEGIEDNVEFDEDRDSSDEKDIDVDEI TRV_05859 MTLIPTRPSLNSGAIGLLDPKLITGMIILHAEKQYNKDGMLKAF SDTPEPFTTGFAPLSNMLRNLFLQKTSLWPRFQVTVAESLEGHRKAEVIELEVPMTDK MKEIQNAVLECVEVNIRELKRSNTGLDVGDDWTVDSALHKNFDVAIRRQLDPVWHRVS FRTRQIASDLTVLRSILHSLLTFDAVSLNKYLDTVISAHSAPAGSTKQNYSPWLFLGA AHVLFDTAKSRVYKKKASEKTTSSSSDIFNGIEPILEEQPKWAVLAEVLQEIEQDTYL NPAAPGSSNNAILIMCSDQQTCRQVREYLSTMHKKEKFFDKNEDDEAEIIPHEDEHHP SADTMMRRKLREYLYWKRNLSNVNNHLYDVKPKTKGIAEVPGFTSISSVAPQGHSGRA PPNKRRRVRGGSSTASSSGAGRPAHGSVQVDTEDFPEVSNLSEEVIQQAILEEVQHIT LEDDLQDMDNYYELYDVNDLLVVHHYDGDMDEHVLEELRPRYIIMYEPDAAFIRRVEV YRSSHQERNVRVYFMYYGESVEEQRYLSAVRREKDAFTKLIHERGTMAMQLVHDKSHE DPQEQFLRTVNTRIAGGGRLAGTAAPPTVVVDVREFRSALPSLLHGRSMVVVPCQLTV GDYIITPDICVERKSVRDLISSLKNGRLYTQAETMLQHYSQPMLLIEFDQNKAFTFDA FTSSATPTSYVADNATSFGSGNMINPANPKSAQHLLVLLTLAFPRLKIIWSSSPYQTA EIFAELKKNNPEPDPIKAVQVGLNFNISGLDTSDGQPADMMPASGIEHRVFSLLPQDM IRAVPGVEPKVLERLILETKNIHEVANMKIEQLEPLVGKEAARKIFKFFTRSVFDSET VYES TRV_05860 MLVSLTVGKVDAGVAVLLTEDNRLPFLTKPLNLIFPQIEFPSVL LPRNITSGSIVDITVSPNEAAEAADQAASKALQDKILNTYGINTPSPPVLRLKNATQT SLVLEWDPIQLATASLKSLSLYRNGSKAGSIPRPLDMLSTKISGLAIDTEYTFQLILR TSAGMFSSEKLVCRTHKMTDLSGITVTAGILPDNLRESLAHAVDRIGGKLIDTVRIDT THFVCTEGRGPAWEKAVEMNIPVVRPEWVEGCEREGTIVSVRGYYLNADPKLRQIGPG VGAQQNKKNSILSLPTHGRQNSTATSRSSPENNQVPPVTPFPGGPRSEVPNGLRPEGG SPPLPPPKDDNGSSKDLPSPPVPKNENEEEDSGNDQEMGGDSHTAPDNDAATNDEDDK IPTNGQLDEKAPASHSKSDDAEDDTEHQSPDPEAEIIKESGGGKATKGANSEEAEMEE VTL TRV_05861 MEYKFQRISHLCCLYSFIHQEQHIKKLNLLFYLPQRKHKAVLNM RFTQIVAAALCLGATEAAVAPIDRARKVLGNQHAFDKRDASGDTAKAPKHLTSKNKKF YVDPTSIPGVPFDIGESYAGNLANTPAGNSSLFFWYFPSENPEAKNEITIWLNGGPGC SSMIGLLQENGPFLWQPGTDGPVKNPYAWSKLTNMVWVDQPAGTGFSPGPPTVKDEID VANQFSDFWKNFMDTFDLHHSDVYLAGESYAGQYIPYIASGMLDRKDSEYFNVQGITI IDPSIGATEVIIDAPSVPALHRFNNIIDLNETFVNDITKKWESCGYKKFMDDALKFPP AGPMTVPGKSAGCDVWDEIIAAVKEVNPCFNIYHLRDNCPSPSNVMNGPKNFFNNKQI QEAIHAHPTDYRLCGESQIFGPHRNDRSVPSSYGPLASVIERTNNTIIAHGDLDFLLF TEGSLASIQNMTWGGLQGFQKEPSDKFYVPYKDGSEVGGAGFVGKTHRERGLTWVTVD LAGHEIPQYAPTAAYRMLEYMLGRVQSLTETH TRV_05862 MDCLPDAQSPNLHLAHPTQNENLSIWKDTSAEWKGALTVPEYMK EYQYLMTVPLARDGCMTQWILVDKTLPADQRIILASCETFRKASLTRELDGKVKDTIT HGVASVYCEPQFRGRGYPSRLMDELSRLLPTWQADGRECVASILFSDIGGEFYGKRGW KPFPSHHIKFEPALMPIPTAKPILIEALGPLCKLDENIMRKSMAATSLGILRHMVIPD LDHILWHGCREKFVCEKLFGEVPERKGAIVGDPGNRVWILWNHRFVGAPGDQGAANTL YILRFVVENQAVLQNISTESTQQTSLNEEEELLVRYSRDAIQAAQNEAAEWKLNSVET WDPSPQIHALIQRTGIPYQKKTRVDNEICCFNWLRKGKDKGVAVEWAAIERYCWC TRV_05863 MSTLSDVPGLILEQAQESDAQHIVDIHIKAFSSNLMLLAQFPTA TAQEGLKTFLIDLIIQEIRDPGYAVFVVRDAQGCLVSFARWCLPRYTPDPHREAPSQL PEGTDMEVLNAWSARVLDVTRKVIGDTPHYHMTFIATDPKYEHRGAATLLMRQALEQC TKETVPLILEGTLNAIPFYMRLGLVDEGSISMDLEGIESKHGPSLYEERLFVFRPRHA VN TRV_05864 MTDFPSEALNLHGGCLCKAVQYTIKVPKASDRGAVKFKAQALPA NSRPDGSENKEETENIINIDLPIISIDHCSDCRHAAGAPVQAWFICPQEWIEWDVAVL SPSNGREERVKQTTLEACSKDGQGFYEDEPNPYPVPTYLSKYSSSEYVTRTFCARCGT NFTYFTKRPRSSPTGLVVDITIGSMSDESSAIACPTRHAWYDSGIPWLKTLMRGAGAA MPRARKGISLGLMREDEE TRV_05865 MSSPPQTSKMEESDKASTIVPPVSDDNGNTRSSEKGSIPSSSSE NLPSDQTIVEEMNTSTVITANGSADVEKAIPEAPVATKRVPVGYKEYDDPNIVYWDGP DDPAHPENWSSIKKVVIVSCVGLITLLTPLGSSMFAPGVGEVMKEFNSTNPELASFVV SVYLLGFSFGPLIIAPLSEMYGRAPLYTICNILFVVFNVACALANSLESLIVFRFFAG TAGSAPLTIGAGTISDMIRQEKRGGALAAWALGPLLGPVIGPVAGAFLTQALGWRWTF WVLSIASGVVALNTFIWLNESYHPAILARKTKRLIKKTGNTNLRSALDSGQKPKDLFF QSIVRPTKMLFLSPIVFLLSLYAAVVYGYLYLLFTTISGVFIGKYHFSQGTVGLSFLG IGIGCLLGLGVLGTTSDRLLKSLAARNGGVMKPEYRLPPLIPGSLFIPVGLFWYGWSS EKGVHYVIPIIGTAFVGIGMIFTFMTISTYLVDAYTRHSASALAANSVLRSIFGAVLP LCGQRMYKALGLGWGNSLLAFIALALAPLPIVFYVYGERIRTSKRFTVIL TRV_05866 MLEKNSSAVSDSLPPPVHNAAATEALVDDDSSSDSIQFGVKQAE AVAAAWSRKSLIIAYVGYIRVFVVFFVNSLQQQMANSLNPYVTSAFSKHALLSSISVV SSLVGAIMKLPVSKIIDIWGRTEGYLLMVGFCVIGMIMMATSNCIEAYAAAQVFYWIG NNGIAYVLDVFLADTSSLKNRGWLLAFSTSPFIATTFAGPALAQAFLKTYGWRWAFGT FAILIPVASLPMVAIFLHSSFKAVKMGYLRAGRSGRSFWQNVVHYTIEFDVAGMILLV AGLTLLLLPINLATYQAEKWRSPAMISMIVMGGLSLSAFAIWEIRFAKVHFAPFHLLT DRTVLGGCLLSATLFLSFYCWDLYFLSYLQVVHNQSVRNAGYIANIFNIGGCIWALVI GAIIRVTGRFKPFALAAVPLQALGIGLMIIFRQPGSHISLVIVCQCIIAISAGTLQIC EQIAVMAAASHSEVAIVLALLGLFSNIGGAIGQTVAGAIWSHTIPEQLGILLPANAKD QAAAIYASLTTQLSHPVGSPIRNAIIAAYGEGQRWMLVAGVGVLALAMSSVILWRNIQ LKNVHQVQGTIV TRV_05867 MVLSQSNVTGSGPFRAEIHLLGRAILQGRHDGLSLQQRQRDIAI LRHGLLLLYEVARRPFLRAYGRDSEEGDGEDEEDRKSSQDEEPRRRSVSQHHGCEVYA VAWYALSLFVVYQQRSAGGAAGIERRSQARYSIRCEEDREQRVQGGKKKDELPTCPPL CSPANKSERRVRVVRCLSSLLLLCFVFVFVFVFFFFFVFVVARSGGKGSCLVMLIVVV LFSPFSAWGVFFLPSSRASGWKMTARKTLVKPMQNSLSVAGQGCQLLSALSRR TRV_05868 MSMQCSSGDCAAHFVKLLQKYPPQTSSRNAAAGWGCLVHNEVNR RLRKDLFDCTKIGDFYDCGCGDDKDKKKAGDQKQSQSKGGANDGVKTQEDSTKPRKPK KMLWGDANGPFPGGPVEITDEP TRV_05869 MTEAQKYQGALYKEKPAKNTKKKAVTIAEDAVVKSLNPYVEDAP DADDDYKGAPPPAPSPPPFKNTDTTVAKPRSEKIDVFDFLDESATPNASRTNLNESMT MVEHAPPIFDSPKQLARIDGDRDEEEDTGYDVAYEENGFSYGAGPIPPAPPYRHDSNL STASTEFMTPAQKWERERQQWLHDRNARLNMNRPPPSLERHRTDSNMSNMSLTTSDKK RKRGQAGPSDANLTTPGGYDYEADTPMMDAAAAAGGHTSYHDRPPPILHSGLTGNLSR MMRYSVSPDYSDEYRSDYSRGHGEPNSPIKRSKRTKEPVGSGENGLGISMKGQGRTTG KLISLLGAGATIDPATKALVRTKRRTSEGSSAAAAPAPAPAPAPAPAASTSRELVQTK KTKRPKTRQLSEVGSSSSKSRRKVSAGNGAEDSDSERPEKDQRKMKAIEYKRSTDSDE GAAKRSKTTDNSQMVLYKNGSAQPGHDSEFEENLRFEKASIFLSLVNKGPDSERGCSV HKILKRYHRLESPSRSGSPESKDKDGKESSRGSRRGRGRSRAEEKERKEEEEQQLWRT LRVKRNDNGEMVLFLP TRV_05870 MGRRSHRSSNGRRKNNHTNSNTSNGDREEDGAGGEEEAPLMPAA ESSSSRHTNGAASQDQKHSAEAESSSSLRPDASEFVPGQPHKAINPPSEQSSSPSKQP RQHPKKPTKSLTKSVADNITTRIHEDISNNLYECPICTSELGPRSKVWSCRQCWTVFH LHCIKKWSTNEGSVHTRPRDQEQEDDSELPPARQWRCPGCNLPQDTLPSGYTCWCEKE MDIRSVPGLPPHSCGQSCSRSREGCPHPCDSVCHAGPCAPCQAMGPVQSCYCGRHEIQ KKCVDTDYVSGWSCKETCEELLPCLQHTCQRSCHEGLCGECEELVPARCYCGKVTSQI LCNVKEEERESRNLEETWIGSFTCPDVCGRLFDCGIHHCEKSCHPQDTLPSHCPTAPD MVSHCACGKTKLADMDIEPREASKTLCHQGKTEAPSCMRVCKATLNCGRHVCGEHCCT GERKAIERLATKKKLKSLNVRQTDENDIEAEHICTRVCGKLLKCGTHECQELCHRGPC ARCPEAIFHEITCNCGRSVLYPPLPCGTGPPACNFNCGRSKRCGHPQTPHSCHTDDES CPKCPFLTEKKCLCGKRVLKNQPCWLVDARCGLVCGQELQCGSHTCKKECHRPGDCED SITSCQQQCGKIKKQCSHICTEPCHAPFPCPEKTPCQSKITVTCQCGRIKQEKRCGAT KDKDRQGHEAPSSLPCDEECGRIQRNRTIASAFGLEVDPSSTATASEPLTLENLPYSK ETLDMYMELASSSSLSTMETYESKLYELARSMTDRSARFPPARANFRAFVHSLAEDWG FKSESLDPEPHRHVVVFKSGGWLPPSLAGPGIGIRGISVGDCAKFRDRERLKERAAKR EAAAERARLEAALKEASSASANDGWAQVVSRKRPGTSSSPGEASPYGFGTATPSDSKG KLVLRSGIGSGRTVGTASRFGALGMDGADAHADEDVVEDWEEEVAREEQEMKEKMETM DVQHDGDSPSA TRV_05871 MISSEGRFCIIYCIDDLVDVTTYVIDCDTLRCLQLIGPSKLLGN EDDFRNTFLALERYVDQLGPDNHTIIVDENGDLVKFTSEDVTMEIRFPKYTGAMDKHQ VIRRSELTEIDRLNKFVDLVEYNSSDSEAKKELAFFKYTLNQNWIWRVWIDLHIHKAL QGNEHFVPFHRIIIDDVTENILGFTYKCLSGTRLYDYDGVFNFCWLKQMTDAADELNL RYGVLHNDICPHTFLIDHDKNEVKLYCFGLIIKIGKQTMVPLADVNSLIVWVYQFLTG DRQFLDRLLHQESVSKIEDMGEWKLQRPIEDGFDISDYRKHLRD TRV_05872 MNAWLHDTPPAIPSPLDPGAGNPNVNGHGNGTAFANPPHPPPPA PATIDPSMAFLQPQSQQPQQQQQQQQQQQQQPPQSQPQSQSPAPSDTPQFTRMFNGAT PSPASRMSPGFHPPNSVVPSKRSRPDDGFLVSSPRQVPGGIPPTAVSRSQTPQHGAYP GYQQPQQQQPQQQQQQTPVGGGAAVVNANGASHFPPAPGAAPGGPAPAFHPGFQQAAS STASPSPVPQEFDAQRVQTTSPSPFPNAAVQPGQPSSDHGSRVATPQAPTSYPPGPPY PGQVQQTPNQNPMMPGYPSHAQQMAARQQMYQMHMANQVRQQQQQQVQQQQQQQQQVP PGMPGQPMSSQQQSQMAAMRHIQQQQAQQQAQQHAVQQQAVQAAQQQAQVVAQQQAQA QAQAQAQAQAQAQAQAQAMAKPTTPEGFLRVLQKFMIPRRLPLDPSPLVCGRPIVLMQ LYATVMKLGGSQKVTNTNSWPVVAQQLHFPAMQYPTAAREIRDHYYRNLAAYEQAWLS THQKPGDQSQPQPQMQMPMQPQNDIMSPVKPMNSHFDPQVQAQAPAQGFMQPSMQQQP PQVMNGYSTPSKPKLQQHSGLSHAESLSMSPNGQSIASPSVKEDAFAPPTYTFKQPIP EEYTPLTFPEPVFHGPVIVDELFPLGDDLLRLKPTVPRFHELGVIDIHALTMSLKSGL ISETRLALDTLTTLSAEPAVHLSLENCDDLLPTMLDTATDHLELLAEHSPEVSDEIQL ASYEELLRGCRQEIESLLDVPPFGSLEHSLDRAVDRLICITTILRNFSFSESNFPLLS APSVVRFLALAIRYMGTRHLFLRTHQNTLDFMKDVLIFLSNLAHAIHIPGKDEALALL NFLLSFAPLTTTPTSDDEPLIFPSYQPSIHRYLPAAVDSLAKLLARDDPNRTLYKSIF SDPSVNASTAGAHISTYLLTRAFALAISIIPEYSKGNVLPITEARKPFLMQGLLAAEV LSSLADASFARAWLCSHDGFAISLVHLAGLLSTERPRQSIPQHPNALGARQDDAQGYY TIVSRALGILNRLASKVRKANKGKQGGIDLGLNVLPKKENILGALVSRVIDSSTLKLL CEFEGLSR TRV_05873 MAAYINISDIVSHSFPATSSRTIVTHITRPFTAPKSTRSQASLQ PQQSAPAGQADSLPLTNLPYFIRRTPSNQLPIYLVTKAGGTKQETRLRKTEGDLNALR DDLVKYLGLESKPSEVYINQTNGHITVKVRCFPFTHDRTGSCLTVLVSRDGESQKLRN SSLIDDSEREKSPLFFLSKMYYSIPLLLQNGV TRV_05874 MADNAPTSASTLLKDAAAQSAATADEVAKKHDLLPKLIPYLDRQ LIFPLLEFASNQEEDEEAIDQITKSKYELLKHTNMADYIASLWQEINDSDTVPEEFVT KREEVVQKLQHYVDASSKITELLQDDAVVGNLRSDKAANLKFLEEQHGATIEMVNTLY DFGRFQYSCGSYGNAAELLYQFRVLSTDNDKVAAATWGKLACEILTTNWEGAMEEITK VKDSIDTRLFNNPLGQLQHRSWLIHWSLFPFFNHEPARDVLTDMFFHPAYINTIQTAC PWILRYLTAAVITNRSRAHKNSALYQKQLKDLIRVVRQEEYEYQDPITEFIKALYIDF DFEEAQKKLGEAEEVLRSDFFLVAAADAFVESARHLISESYCKIHQRIDINDLSTRLG LSQNEGEKWIVNLIRDTRVDAKIDYQEGTVLMNHPPQSVYQQVIEKTKGGFFRTQVLR RDLVASFFTQVNVYDERRNDPKAHLLFRPDADTLTLLF TRV_05875 MSSTAVVGDDDVLEPTLQCLLDHKTLRWIFVGGKGGVGKTTTSC SLAIQLSKVRKSVLLISTDPAHNLSDAFGQKFGKEARLIDGYDNLSAMEIDPNGSIQD LMASGGGDGNDDAMGGFGLGGMMQDLAFSIPGVDEAMSFAEVLKQVKSLSYEVIVFDT APTGHTLRFLQFPTVLEKALSKLSQLSGQFGPMLNSVLGARGGLPGGQNLDDVLSKME SLRETIAEVNSQFKDADMTTFVCVCIAEFLSLYETERMIQELTSYNIDTHCIVVNQLL FPGKDNACQQCGARRKMQKKYLNEIKELYEDFNVVRMPLLVEEVRGREKLEKKFTGKE NYTGLVVIEEGVISPLKKERERRNNYKPNQVKRVIRLIFTMVDYDALLKGPWMELEER MEAQGHIHKYIRPAQNKLDPSTHNVNPQTVERQRIDNSMKLLRIIALSPSYQDPAGGH LLEIPKSGTCLAFVVNMDNGWPAMEHRVVSLSSFSHKSTATVPSKRTRTLGSIRSLLR DGS TRV_05877 MFAGRNNIFLWATGWSFRMFNIFHRQAALAATLLAIAHSIAFTI FFFLIGSGIYIKRFTEKWFCMGVVGTVVMSFLVIFSCLWLRRKTYELFLLIHIALSTV TIISMFYHISVYGGEYDPYLWPLVAIWGFERTLRIIRIIYCNLRVRFAGGGLQYTSSV AYYDEVSDIIRLEVTPAATGISQKPGRYYFLYQPFRWTGYESHPFTLGAWAETSKVAT EKLSETGSSSPVENSKSDGVQQVANVSKDQNPRGSPSNETTYVFWIRPYNGWTRQLRD QCLKSSGTAISTTLLLEGPYGDSAPLWAYESVLFIVGGTGIAAAVPYIQDHIRRASAT GTGTCTKDMTLVWSARQASYVHNVASRELQPALERGDFSASFYLTSGDKSAASAVPSP ACTNTTSSLDTNVLDELTKDSKLQSLTAIPKYEVKYGRPDTKSIILERAKSANAANSR LAVLVCGPDSLADEAREAIHNAMLQGYRQIRYIEDAYSW TRV_05878 MEGQIHLEIDDLPLAAASKRLSQLQQYFKPDNPRFETNPGEKGE KELDTIRERTMGDKDPITCHVLNTYTGRPGAGILCVLTLVNSEVKPTSPSSSTADKQP MFTANTDSDGRVKNWSSESNSLSVSSILAQLPNRAGSNTSRSTWSLRLCGIDEWYREQ GVEDCFWPEVEVRFAVDGREGEEGWRHYHVPVLLGPWNYSAYRGS TRV_05879 MRKTRTRASSQKVSSQLANFFLPIITTLVTSLSTGTILSWWMDL LLVNTPVSCLSPLTSSRLDRGTTQIDVPETIVTTSSLDAPSTIPAPQKPGDDASGDYF SGIHNTSHLSFEPNPFEQSFGNTGSDTPGKSILPPVASLTSPAMPGTSTSGGFNWPNS LRAGPLSPAMLTGPAGPSDYFDGITRGFPTPNESSLRTGLNAGLTPGGGGSMFPAPSP GPAGLLQQLSSGNTTPTTLDFQRTAITAAKKNGYLAPTSNPGEADSILQQVAASMEKP QSYPFAHADATDAANGLFMLAKGAQATSNPFPGPTSQPMQMSLQNNDNNSPPLNGVSP QTNESNGAAPPSNGNVSDSPDTKINTRSKGKKGSTAKGGTTNGRRKAEDAPKGSNKKQ KASNAAADKAQPPTDDDSVEFDNADGADNGNSNGEGENNSTGNKKAMTDEEKKKNFKE RNRVAALKCRQRKKQWVATLMRKAEAYSSDNEALTSLLEKAREENAMLKSMLSAHKDC PVGQSQGLAILLNGLQMASGVPNGLPTGPQNPIPNGLQSGIQSSIQNSMQNGMQNGLP VQNDLLAPQNWQLRR TRV_05880 MGGKASQPAAVSTSISSEGHSSRLPASLYTTVRTCSLSYVFIKE PEVSETEWELTWSLVAGLFASLSLSFCPSVLLPVSGLPPTFMPARLYSQHRRLPASWV SFSLAFSSHLFFFFFFFFYLSLLLLSFLSCSVTFPTLTNVTSGEDDGTLSCSLILSED PKQSVDIEFMVTDISLYPKEHEFLIYTTSEDVPQYVTEVLEQAQQAISRLEIPDMLSQ LSYTFQNKIEPEDDGQCDFADDLFLNDDEDSVYSGIEDAIPDLNDDEYHWSPVPQQTQ LTHSDTITEEIQDSEELLCKISEDLKTAKLAGFRVGYLGNKLCPIVSVSCRISKLGIS EDAMKAWHIKEEQYLVCLIRYIGKYQSLENLLLEDELSGKSSVEMFDQVGQGAKSRIA ICGNSPPNNDDITQFWSNLVWSRTVFNECQGKPVGSSDPISHIYQEPDISEEKAAMLP RALSADHLLEKNGSGASFPLIAMQFMLRHFVRCTEFCLVCHCKTNDNFEALKPYVCSK SLCLFQYMTLGFGPSLEWEIMTQPYVVDLLVSFTYSSAIRSRLVDPPTGLGIMVPGGM HDANYKNLCNDAAESTAISHREIRFEVSFDESSMTMNFAGSVPGHRVCTGDWLVIIDE GRYLHCRVTNTSFWPSVDISSPIKVSKSREAIEDKTMPIPKLVKAAWYGQNFDDLSPK AQHQMVVSLLDTLPTVVEMKQYLMENSRGSQPTLTQWKDRISKSALDLLRWIVASNRS CIIQDDPDPTEDSVNADQANDDRVGGVPGYMQFRFAQGAPDKEERFFKAVMAKNKNFI HPHATIFAWHGSHLSNWHGILREGLHFKEIMHGRAFGDGVYMSTSFTTSAAYISPNLG LSFGSPTETWPNSKLGVTGAISLNEVVNSPSEFVNTSPHLVVSQLDWIQTRYLFVRCS LNKEIGLNSPSKLLPYYHQDPKYVALGPNYKTITIPLSAFSQKRRLALGMTAVSHPPA STPTNLSTKQGNGVASSSTKRANSISKSPIPRSQAAQGPGSTSGSANSHAGVTARYYT DNTTSQQTAGLFTSDKPNHAESDGYLSDETDVDDLNIHIEADSIRSKQARGNNPEQAP EESGTKGKNKAQNETDFIPGTLDPASLPMIAAPDNATPSATASLQRDLRGALRIQDNE PLADLGWYIDPNLVNNVYQWIVELHSFDPSLPLSKDMAKAGIKSVVLEVRFPQQYPMS PPFVRVIRPRFLGFQQGGGGHVTAGGALCMELLTNSGWSAVSSIESVLLQVRLAISST DPQPARLVPGQDARKGRVLEYGVAEAVEAFIRACKAHGWQIPADFHRESKASLAAEAS SYKN TRV_05881 MAQRPGPQSEDARKTSSSPAEPLLPEFSDPSFDPVDFLNDTLPP LSTSQSRSAGGSSIADVSARTQSLLSQLTAQNARLSNTLNQLTDEIVRSSGRLAYEVE VLRGETIGLSDTLTDTLQDDIRSFLPQGLPEPDTAAQEEDVHREGQKEKESGTKTQPE ITQEDPEYISRLRTLMQVRTRLEEVIQVFGDAMEWPLPPSEVSITSSFISVSAPEAGP ESRSLEEKGREVAKKFRSQVTGLLDSNGGGEAGLEAAARRVEELRLLAGVWKGTVEEK PRLKFVDSLTKIVEDRRKVLENQAREREEKENRKAGGTASKGRTSELPSREKPESTGS GLMRNLQRLRDEIYLE TRV_05882 MKILDPQAATLSNVEVLAYLKSNPPRLSAQAPLPNAKNFVPKPD LRDYNTVVKEYNDYVVRLSPHLLSYPSFTSISPENATGDSSTTDLDVALRTLISSLKP FNLTKAEVLMIINLGVGLEAKPEGDAAEDTAMQDGDGETGDAGVDYGALALLDTIIED REERLADEDVAEILRIVRETLVKKEPAKEEPEETMEES TRV_05883 MTLFILTETSAGYALLKAKDKKLLKRDDLATETETAEGISNLFK LTAFLASAILEKWTNDKFSCSMKLKNFQKFDSATTALEEVASVIDGKVTPRLANLLET IKDEKKVSLAVADTKLGMFPFASSLYKLFRLRFPRECVIFASLLTVDPLIGNAIGKLP GLSIQPIADGTTAELYRAIRAHLPTLIPGLVPSDISTMSLGLSHSLARHKLKFSADKI DTMIVQAISLLDDLDKELNTYAMRVKEWYGWHFPELAKILNDNMAYAKVVLKMGIRSD SETTDLSEILPEELETAVKMAANKSMGTEISNEDLENIQSLAEQAVAFSEYRQQLANY LSARMAAIAPNLTALVGDLVGARLIAHAGSLMNLSKSPASTIQILGAEKALFRALKTK HDTPKYGLIYHASLIGQATGKNKGKMARVLAAKAAIGLRVDALSDWTTDADGNEPTEE EKSALGMESRYYLEKKLAFLEGKPLKARGVAIAPNGVESAVSKKWEVKETKKYNTDAD ALAGNEPEKKKSKKDKKDKKSKLVQEVEAEDEEMKEADQEDEEDEDDSDESEEEKPKK KSKKEKKSKTSGDDNVEELAEKAGLSVKRYLRKLERGEISFDKDGNPTAISKKELKKA KKEAKKAEKEEGKKRKRSDVGDDAEESKGEKKKKKKSKA TRV_05884 MLTFGSDIKEEDEEDDPTGWRTRNNQTRIPWPTMEGLFFNVDNG CRWIYALSLDDSGRRDSLRCARADHVNTDVKLQLGPSYGGFLAALPPNPSTSALAGKA TDKLVAEFRYLQAQASGSTAKFMEYLTYGYMIDNIALLITGTLHERDTRELLDRCHPL GFFETMPVLCVATNIEELYNSVLIETPLAPYFKGSLSHQDLDELNIEIIRNTLYKNYL EDFYRFINTDPELKDSPTSQVMCEALEFEADRRAINITLNSFGTELSKAERKKLYPEF GKLYPEGSLMLSRADDLEGVSLAVTVVADYKAFFDTVGLNQSGSGAGSEGKSLEDLFY QREMELLKLVFTRQFTPAIIYAWVKLREQVSSYRYSVLCQAYKLIMY TRV_05885 MVRNLVVLGGSSHPQLNATICRQLGIPPVNVLLTKFSVGETRVE INESIRGKDVYIIQSGGGKVNDHLMELFITISACKTASAKRVTAVLPLFPYSRQSDIP YNKAGAPLVKSATVAKPEASNAYTFESTPPTPPAGNIESNGLQNGLEGLHKGLAQVQL NDLENTGSLNKAVRLSHYVNGIKRSDTLDSQKSENGHHRIPTSHSDETASNASNTSKM NAFQPRPGYRQWVAQAGTLVADLLTCAGADHIITMDLHDPQYQGFFDIPVDNLYGRPL LKRYIQQNIPNFHEAVIVSPDAGGAKRATAIADSMGMEFALIHKVEPQLFSNHPERRP TKITDRQNATMMLVGDIKDRTAILIDDLADTSNTITRAAKLLKKEGASKVFALVTHGI FSGDAIDRINASALDKVVVTNTVSQENNLKRCPKLEVLEVGQVFAEVFLNILSSALFS ILLTNFI TRV_05886 MPRSPSPRRDERRSRSPARRHDEGERHRDTARKKQSSGFRWKEK RRDDDRDRERDSGRSRGLERGYRGSDRRRSPFRDRDRERDRDLDRGRDRERRAARDDP SDQKPVEKEKDKKKKKPSAAPSNEPMIIVNVNDRLGTKAAIPCLASDPIRLFKAQVAA RIGREPHEILLKRQGERPFKDQLTLEDYGVSNGVQLDL TRV_05887 MTEDSSHSPYSDWSNTSLINRIHELERQLNQCPQNAASIPSTEC QKVDKGLGQPERTPTNSRPRERSTSLNPSRKSDKKRRKIDPSKYSTRHIAIKFAYLGK RYNGLEHANGNYTEAPTIEEELWKALRKTHLIFPTHKDCTEEFSGKDNSPSRPYAIHW DGCQYSKCGRTDKGVSAFGQVIGIRVRSLRPADPNPPADLEEGDVMDSDVATESGMGD RNTQSGVSDTGEAKGWDDIADELPYIQILNGALPEDIRVLAWCPHLPPDFDARFSCRQ RRYRYFFTQPAFCPTPGARGIVRSSELKDDLSLARLREGWLDIEAMREAAQYFVGSHD FRNFCKVDTSKQITNFVRRILRADIELVDPQRTPLGFVQMPGFEPFENGPRNTGVPES IDDINPAAGKVYSFTVHGTAFLWHQIRHMVGILFLVGQGLEHPSIVPELLDVTKNPRR PVYEMASDSPLVLWDCIFGEEEDELDWVYPGDPRTLKSPSRRTDGNFGQGGLVEDLWT LWRNRKIDELLAGTLLESMANRGQSIAASKGDDHPSNQVQTARSPRVFSGGDNGKPVG KHVPLLNRTKLDLVEDMNARYLSKKGHKYWNKVLEYQEEQRKAALDGE TRV_05888 MARFRDALPNGVRTLYTFGVEVEFIINFKIADFITAINTGSFRH SAQWKLLRAVYEHIINEMQSNGFLVNTYLQNHNDSSYWTVKPATSIATEEFDMAKDEW GGCPVRLVAPVMTYRSPSFDLINNVLIFLNQQFVIVVNASCSLNVRVGNIAADKDEAA GSKDLQSLGFSLTTVENLLSFIWLFQLQLQEIHPPSRIS TRV_05889 MAPSNLPPVFNATSQDMEMMLTAQCHLGSKNMQTHMEPYLWKTR PDGVNVINIGKTWEKIVLAARIIAAIDNPADICVISARPYGQRAVLKFAAHTGAVAIA GRFTPGSFTNYITRSFKEPRLIVVTDPRTDAQAIKEASYVNIPVIALCDADSPTEFVD VAIPTNNKGRHAIGLVWWMLAREVLRLRGTLATRETEWDIVVDLYFYRDPEAEETKEI EEAKAAEAEEAAPEALNPADTWDAAGAAAPAAFGAPAAATNWEASNTDWATSGAAAGE SWAADAAAAEPTW TRV_05890 MKICEDRNLKVEESKRDRQRKPGTKRGESGLNQHKMAPRVGSSG LCSWFSNLTLSRVGSQQSRTVTTKGHGRRQRDPFAIAQARQRKAANISRQRELSQQRT EAFGDPVASEKTPMIEAMLNPSLYPTLGSKGVHVPSDNEQPSYVNYCISKSELASALE TSKQLTRPVPPIDGSVVDPQQVEDAIKKHEEDHKNAEEAVRRILAMKNGSTRNRTKLN IKRSIDILGRHNTDRVLPPKPAAAGQDPASLESSAKRPRAGPDTGSPEVQVAILTSKI LVLAEQLKTTSHKDKHNKRRLRLFVHRRQKLLSYLRRKERGGPRWQNLMQTLGLSDAA WKGEITLR TRV_05891 MARTRSQPLSPSGYRMWQTPPRTRKQTAEAAPAAKAAAPKAPKS ATTRVTKSKAKKGKKPAPKKRVPGSYDDSDDEHEEAPSPAESSTAHGSHGEKANNNNN KRRSNKNEEVVTEVESPTPAIAPNTTTTRATRSQGPSPSTHQIQVLVPTISPANGRAS NHATPSTPTPVPQTAARSGEAVHVPPVPESTTNVEKNDNISTNISGKQAPFQSEPQNI SSRPIKPFTRRLPARHQPFSRFAPVASFISPSNLELSPTPIIDLEGGVTRNGERTTPK EIVFYPPPLPTNPAFLTAPQISVERRPLCPCCNRMLVCPASHDTWTHNGFYFTERTKQ LTPLPAVTGKRRREVDEDKENEDADNQPVRKAKRAPPKKPRRKPIKSREIHRLRQVTP YADRQRRRALESQGKIQRTLFRIPELIAQKKAAEAASNENNNANDDEHSDIDTISIPA IPSATQLAVVSDFHSSPPQLQPSTPARTVWSFSGLLDSVPRSISRFLPFRADASQNER HEEHSPSQRPGLTVHHENLSQNLSNETEEPGYASRIHENNNNRHDYTTVSASTHAVGP SISEEWKDTPTALPTPAVSAPVRSVALTQPDFDEEDLSYDLFPRNHPLSSYSREAALR KAEEEAQQAREEARLAQEVARQAQEEARLAKEQARQAQETVKIYQPTSSKANANRVSK TTTRKEKKRKPIPNPPGCSYGMDLRYFGSTSSSEAESSDNERPEEPSASVRPGVLKDT TGADSQTPARGPLRQRKQVRFGPSPPEVPSKSRSTDLSTSTVVDDTPESPSQKSTAQA TPASLPVSTNASPQDVEMTSPSPLRERESDNQPRGQSTYGFTYEELYGDDFFDDIDED PVPSGTGASSSSDIVQEVGVLPQPIPRGVLLGHSNGQVAAAPPARSALATMPVPSFRP ASVGAAAVERQLADMERFRPRLPSSLRTAERYSSSPVPASSPALQGVVGQGPLSHSFQ WPPAADTGFTFEAQPSHERLRVGFRQILTQE TRV_05892 LFSPPSSSMANGSSAPKNPRAWEALTPSLSQWILDAVSAMGFSR MTPVQASAIPLFMGNKDVVVEAVTGSGKTMSFLIPVVEKLLRLDSAVKKHHVGAIIVS PTRVLLSLLAFHGPSTSKLAQLDGKEPQLDPETGKPYRSSTLTVIPQLLLGGTTTPAQ DLSVFLKRSPNLLISTPGRLLELLSSPHVHCPQSSFEVLVLDEADRLLDLGFKDDLQK ILARLPKQRRTGLFSASVSEAVDQIVRVGLRNLVKIAVKVRGAGAEDKRTPASLQMTY LATRPSHRIPALSKLLSSISPTPQKSIIYFSTCAAVDYFSLVLPSLLPSSFTTIPLHG KHAPNVRQRNFTRFTQSVTPTILLTTDVAARGLDIPMVDLVVQLDPPTDPKVYIHRCG RAGRAGRRGLSVLFLTTGREEDYIPFLDVRKTPAAPFSTPPIITTDAEAQDATETIRR VVLADRAVHDKGQRAFVSWVRSYSKHQTSSIFRIADIDWEDTGRSWGLLNLPKMPELK DFKGDKMLGLSPEGVNWDTFAYKDKQKEKSRLAALAELKEKGEGARPSKKRAAAPGEN STVAWSKQLEKKGEKERKRESKRVKREQAKLEAMTEDEKAELQRTNEMLEKVRLANIE ARKMNSATSKQKKTESHISDAVDDDEPFEGFD TRV_05813 MMLEKWEAIQSSAELKELIPDAVSSSEGGPVIHAKQYIGIGCDL GNLSELERTLKSEVDTSECSILCTAEVALTYMAVKAADALISWAARLSDDTQFVLLEQ FFPDGPDHPFARTMIAHFTKWRAPLQSIHIYPTLAQQEQRFLKVGWKQARARSLWEAW SDPSFITAGTRASLDQFEAFDEWEEIALFASHYFLLRASTRATKSNSNDEAFVNTTTE LLDTQPRMTANFKAGPTHHKRFGALFSFGDQIGFHAGLGQQARLSSTDTYVQSDAAYA HVKCVPPESLPARICHTITQVSNNRWLLVGGRTAPSKALNDTWLMEGDRWRQSCPFPT SSFRHSATAVILPSGEEGVLVYGGKASNGTVSGEFSLWRNNSGIETWTVLSKNGSSCM PRFGSVLSSIGKGRGVLTGGMSQDGIVLDDFWTWQISTDDTGKIYIRLTDEKARLEGS HPQISKWVSRFGSTAHQLGESLVLIGGIAVGGCIPHEYEVLTLDLSVFQYDDTRELSV PMAWKSPVAARPLLVGHSSILAGGGKILIVGGGAVCFAFGTYWNNGTWELSSGSSYIR DNEWRMLRP TRV_05814 MAMNFVTFNQDYSYLAVGTAKGFRIFSTDPFVKSYETKDGNIAM LEMLFSTSLVALILSPRRLQITNTKIYVYDIQTMKLLYTIETSPNPNAICALSPSSDN CYLAYPLPQKAPPPSFTAPTHTPPGNSHIAPTNGEVLIFDAQKLEAINVIEAHRSPLS CISLNNDGTMLATASDKGTILRVFSVSDGHKLYQFRRGSMPSTIYSMSFNTTSTLLCV SSATETVHIFKLGHQGTATGSPGSTGSASSSPPGNRPRETSGSKGPEMDGFLGRKYDG TFMGLIRRTSQTLGTSVAATVGGYLPKGVTEMWEPARDFAWIKLPKHTANAQARSGPV RSVVAMSSNTPQVMVVTSDGVFYVFNIDLSKGGEGTLTKQYS TRV_05815 MSVPLTTLSQGCIDSPPPQPEMREANPPGRPVLIVSILLVDEEN RGAASINSSHSNLPKWNKPAINLWRFAAANYTFIILGANDAAYGIEKHYNISYTVVSL IFLSPLGGYAAAATFSNMIHMKLGQRGIAFLGPCSHILAYVAVCLHPPYPALIVAFIF AGFGNGIADAAWNAWIGGMANANELLGLLHGFYGLGGMLAPLIATALITKAGWEWYEF YYLLAGASFLSLAFSLPAFWSATGSRYREAHRDSYDEAEGPGNNINAYTPPVRANKLM VKLLGKTRMAEALSNRVTWICSIFLAIYAGAEVGLGGWIVTFMINVRHGSPFDSGVTA TGFWLGITLGRMILGFVTPRCFKTEKHAVVFYLGCCIGLDLVFWLVPNFYASAIAVAF MGFFLGPLFPAGVVAATKLLPRNLHVAAIGFTSAMGASGASTLPFAVGAIAQAKGVEV LQPFILGVLILCLLVWMCLPSLPRSNIRI TRV_05816 MEAFISTGHADPSTYLEFEVSPNNVTYQAFVYNPSKNRTDGAPF DHAFISNPIADGITSKTSLAQTQGKWTSNVQIPLALFNVDTPQGSKWRMNFFRTVTSK ETYPDQILGAWSSPDKASFHITSIFRKIIFV TRV_05817 MHNARSQNPDRRPRKKAVNPSVQRAVKLFIDNHLEPSFMLDNHI DLDSLLSSVSKRFTIYEPLLLLSPNFFNKSPQWESFISLLTDAQLQELYACIVEVFSP MGVTHVAVNAPISLTTRIGKENKVRGPTDLTPLYGDFGPMIPSTDQNIDPSETDLQQA FWVKTVQNSKITQVWAPLYTMFSRGNIVEKARILGLESRFDGLNEQQLCQPVQKISVI DLYAGIGYFVFSYLKMGVARVWAWELNGWSIEGLRRGCEANKWGIKILKMNNEGTVDG IDGLVEMLEDDNLRVVAFHGDNTFAAGVMQGIKAKMEARSAWKPIRHANLGLLPSSKE TWGTAASLIDPEVGGWVHVHENVNVHEIQQKQAAIVAEFERIQSKKKLIDGHASSEVE CVHVEEVKTYAPGVMHCVFDLNIKPSRIFDRENIE TRV_05818 MPSLSDLQRDIYMPAEDTSHYPAAIEGLAVSKGIPYLTMISPRS ILLALLAFTPSIHAHGSHGDNQDRSNLDWATLHMMEEHHITSFDARSFFSLHDYDNSG VWTTDEVRRTYGLDDESNAALTEERKQQILREIFNIFDPLKTGVISANDYVRLTQQGK KLPDFGTGPGHHGDMEYEYEIHHFEKYHGDDAKEEDLTHPEDIEHFRKHDREYAESLR LEKLESMDIVLANIPAKFLKSPSA TRV_05819 MMQTFWPRAQIQAYTCRCGSCLKSSTSNAASNRTVSAASRRRLL IGNSVTGFYATLFATAVAWDTSVKTRRRIERQQEIEAVKAEVEALELDQLRRLEALAS RKRTRTSTPSHQRRGYSTSTRPDTFRRTYRGLSTQAVGAQCRNSEVEALDVEYINPGT ENSATLQIQSHPRELTNYDLDVDPRSEAEIERYESDLSLNDILRQRAILRLAARQLAI KMILRPALAHLYAGVPFTSRTSCILPDIEIEKLLEELEDIRVRIAKLRSSRDAWFGDL ARNITIVEHENLIAERAALNEDLKRMYTMYQDDELTSRDLLVKVAENILSSEEPLSRL SVSLMIRMFGRSRQNDVVNMIIDSIFPNGLILGEGVIIATLDFFNKSKDLYRFDGFLN RLLGGKLLRLPQYWKLTKVGNMEIPVPPRGSDHAVLSTLISSALSFNQPQRADAWLAV MRHRGYSDNPHILGSYLRYYTQQQDWESGGLLLLRVVDYISSTVADSEGQFERLILYM AAFCNSCGKDRLGNSILKAAAAHGIDWQDAYNSTDERIVILSTLERWRAAAELPKLPV SQLSIDERHRAFANSIRGAIQQAVSITQDRNASYQRRYDQLAYDIRSSELVIRDLKFE VDKESHMRNMRDLHLKLSQPSEAESVREEVHSLDIAIRDIALEIERQTRKAEMATLKS QLDMIQTMMSHQFPKVDTTTQEPSIASKLTTSKPHSAVSSAAVELQRPPTKVSPQIKK VSTINKYPSSNIRKSYQSFPSN TRV_05820 MAARSDSENASRAKRLKTTAESDPRSNPYLAHMYENEDASSGIN DESPLAAFRRHETTAEMANEVESGSVNPFTGKPFSSRYVSILKTRRDLPVHQQRNEFL KLYQESQILVFVGETGSGKTTQIPQFVLYDDLPQFRGKLVACTQPRRVAAMSVAERVA NEMDVKLGEEVGYSIRFEDKTSSKTILKYMTDGMLLREAMHDHDLKRYSTIILDEAHE RTMATDVLMGLLKEVVLRRPDMKLIIMSATLDAQKFQRYFSDAPLLAVPGRTHPVEIF YTPEPEQDYVEAAIRTVLQIHATEPEGDILLFLTGEEEIEDAVRKISLEVDEMIREVD AGPMKVYPLYGSLPPAMQQRIFEPPPPPRKPKGRPGRKCIISTNIAETSLTIDGIVYV VDPGFSKQKVYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTEGAFKKEL IEQTYPEILRSNLSSTVLDLKKLGIDDLVHFDLMDPPAPETLMRALEELNYLACLDDD GNLTALGRLASEFPLDPALAVMLISSPEFYCSNEILSITSLLSVPQIFVRPVAQRKRA DEMKALFSHPDGDHLTLLNAYHAFKGPEAQANPRQWCHDHFLSLRSLQSADNVRLQLQ RIMEREEIELVSTPFEDKKYYENIRRALVAGFFMQVAKKESQGKNLYRTVKDNNEPVL LHPSTVLGYEAEWVLYNEFVLTTKSFIRTVTAVKGEWLLDISPAYYDISSFPKGEIRS ALIRAGERLSRKEKMRSDASKKR TRV_05821 MAQAEGTPPTFVVGQHESDRKFRINNEISQLAHDNGYDMLTTPI TTPHFQSRVLSLLSSHLSTVHQPVQDVTGTYFTSRNSAPLKIPSFTTADTNLRPEGTN SYVVAVSSRWIDMCSPDPLIADISRQVLLLELAYAAFCGIHHAIIPGPKLHHGSSHGD GLIYYARAIQEVLTTAPFIRINIWLPVVDNPELEADQMGDISPFARPEYLGNTMPEVS PKLDLFGTWNAWNIIRRVCKYHTRLTVALSLPRQLPPSTVQTRWLSEPVRILTLTSTS FVKNPKNYPVLSKAHQSYIFRAMRGIPPWIFLCDVGPIPGLEKVEGYSPTPAEAAQAP KKKDDLVPHLSYIRNLERKQPQWSRVESFTLGYQDYLQAPLQPLTVNLESVTYEVFET DPVKYEWYERAIAKALKDWALEKKPTSSQDGRVVLAVVGAGRGPLVSRAIRASVEAGV DIELWALEKNQNAFVHLQRQNEAVWAGSVTLVHSDMRSWKGPVREIQAEELMLKKNSN ILSDPAAETKPSKVHYPIDIIISELLGSFGDNELSPECLDGVDHLLNPVHGISIPTSY SSHITPISAPRLYADTQNQTKSNPAAPETPYVVMLHAFDYLSTTAPAVSHLSSVASSA AASTSTSTPSATPPPMKEADTPIIQAAWTFSHPNPNIPEDSRLSARPSNSHNTRQTRL TFPCTEGGTCHGLAGYFETVLYRDVELSTNPLTMDAKSKDMISWFPIYFPLKSPLYIP ENSDIVLTMFRQTDDRKVWYEWFVEERLFLLAYREDALILHNVELIFWDENMKRIAGF GFLEEMSKKNYKNL TRV_05822 MVPEWSSSYIKYKSLKKLIKSAVQAKKMGNDPDLADYTNTTPGF FYSLDRNLEDVDSFYNKKFSDCSRRLKLLEDRFGHPETLPSHLDPEDTEDLMAALLEL RGQLRKLQWYGEVNRRGFIKITKKLDKKLPGANAQIKYLSTKVNPALFATNSRLLHSV NSINEWLSVLGHEKVMDDNSSTHSALSLKKPSSGVILNLPTSLLLSVDDALRRDDTNA LLDLLPTLKTAADGIGEAVFTKVLISLQQRSIFYRSKACLAALLQRVTSLEEEDDINK RNCIHRLVISIGRSQSTVDPESSATMVLNFPTETSNYITPATTPTLKPQRPVVMESDH PTHIGRDSPVVMFLQYLLDNLLPHQRPALLARDISGKTPLHFAAQYGFKAVCDVIIEH LQEWDMFDVSEGIDGPLWQDEDGMAPLHLSVVGGHPLTTKTLLDSENWKGSNQDKTAI RRNILKSSAVLALATKANFVDIVHLLVEAGVNINYQDEQGETALHSAARFGHDQCAAA LLKGSDEQKANVELAENTYAWTPLFIASVDGNINIVKLLIEAGANLEATDSSGWTAKE HATLRGHIDIARLLDEAMATSDGSVESDTASQSAVSSSPPSQCSLNDRNSKDRAPDAV KSFGHRYLTDKSMILVSLGTMDMRKTADPVSLERIPMAEAHLTQLDTALSIVVSASGA HGEPQIIDLPVQDNISTEPIVFHTADSSKTKIVFDLVPTYAGSKDEVFGRGVALLSSF KPTVGSSRSNLQGDFVVPLIAAKTLEIIGSVTFNFLVITPFSHPKMSITEEQTYWKSV TSPMVIGHRGLGKNIAGRHSLQLGENTVQVCLNLLQIFDFPNSRPSLLSRLQTWALHM LSETGIDAPVHTLTLEQFLHISDGRKPAGKQSSNHSGTPNSEDGFPRLLQTRPRSMSV GEELDVPNLSERMKHTRDFKKKGFKGNSRGDHIQAPFATLEELFKELPKSAGFNMELK YPMLHESEEEEMDTYAVELNSFVDNVLRIVYDHGEGRNMIFSSFNPDICLLLSFKQPS IPVLFLTDSGVSPVADIRASSLQEAIRFASRWNLLGIVTNAEPLVLSPRLVKVVKESG LVCVSYGTINNDPANVKIQVNEGIDAVIVDSVLAIRQGLTGVEA TRV_05799 ATQRVADPALLQMQPKETLQPLINSIPEELVGRFDPVYVEHYNR HNAGRLHTHQIPIEEFRKNPGKYVINYGQTPGPEVFCITERKLPVNGGTITVRIFEMA PIKLSPGRDKKRGAYINFHGGGWVFGNLDADHHKCKRIANELQGEVVVFDVDYRLAPE HPYPTAIEDCWAAVQWVRSRAIEFNLDISRLAVGGASAGGHLAAVMAHLCRDYGYPLK LQLLIVPVVDMHSSFTADGKFDRENTPYESYREMEHTVPLPAERMAYFHRQWLGVPRP EPSEDDIFQDWMISPIFAPNFADLAPAIVWTAEMDPLRDEGAAYVKKLESAGVKVEHI CVPGAPHTFSHHDAILEGGKLFKKQSIAALADALRDTGL TRV_05800 METAPRTETDAFGEIQQWSNWPNTFYLRFLETSTGEPRLKGAQT EAPPGAGTRNWTENADTGSRSLGNFDIGQPQDRMPTPIVRALGILKGAAATVNMKFGL DPTIGKAIQQAAAEVADLKLVDHFPLVVWQTGSGTQSNMNANEVISNRAIEILGGTMG SKKPVHPNDHVNMSASSNDTFPTVMHIAAVLEIEDSLLPALTSLKDALQKKVDQFNDI IKIGRTHLQDATPLTLGQELSGYVSQLERNITRVENALPDLRLLAQGGTAVGTGINTF KGFDEAIAEEVSKMTGKQFKTSPNKFEVLAAHDAVVEASGALNTLAASLFKIAQDIRF LGSGPRCGLGELQLPENEPGSSIMPGKVNPTQCESLTMICAQVMGNHVATTVSGMNGN FELNVFKPVMIRNLLHSVRILSDGMRSFEKNLVVGLEADRKRIESILHESLMLVTCLN PVIGYDMASKVAKNAHKKGLTLKQSAMELKALSEEDFDKHVRPELMISPKERK TRV_05801 MTIGSALGAVLVDFQSARGDDPSVLGLRNLETKRGTMIPDNIPD LFIKKGKDPNGASVAHFHKKKGMLRAEYHSLNKETKKDKTYAIRYEFSLGAIEQSLSI WQFKEYLTDNAEDGGANVPLALKFSRSNLQLQYQPKWDAPRQILWQTAPKLNTKYRAD FLINTGTPGWVEFSWNGQVQKLGTQGETRFAATTFPGRSDPKFGAYLADDVDVDFYVY RVLIQEN TRV_05802 MAIEASSTTVPVAVTNNCIDTKMLAIHAKEVKPAVTEATKANNE EEKTEEGLFSASYISPEVVSLLPENYTLRPLRRSDYQNGFLDVLSVLTKVGEFTPELW NERYDWMAKRNDEYYILVICDGTGRVVGTGSLIVERKFIHAAGLVGHIEDIAIESGQQ GKKLGLRMIHALDYVAKEVGCYKELICISSQSILDCSEANEGFYLKCGFKRAGLEMAH YY TRV_05803 MGKVHGSLARAGKVKSQTPKVEPQEKKKTPKGRAKKRLQYTRRF VNVTMTGGKRKVRFIHLEMMDISRAQQ TRV_05804 MRTYDDSFSGQKIYPGKGKLYVRGDSKIFRFQNGKSESLFLQRK NPRRIAWTILYRRQHKKGLSEEVAKKRTRRVVKHQRAIVGASLDVIKERRTMRPEARA AARQAAVKEAKEKKSAAESKKRAEKAKAAQAAGRGQGGRIQSKQGAKGSAPKVAAKSR TRV_05805 MAGQIESGPLASAYDSPKFGEDSSFHIDQAVGSMSISPCGRDVV LASKEGLHVIDLDNPYSPPRYLPHRTAWEVADVQWSPFSARDSWVASTSNQKALVWNL AMRTSQNSIEHVLHAHSRAITDINFSAHHPDLLATCAVDSFVHCWDLRTPARPVVSFS DWFTGATQVKWNRQDPHVIASSHDKSLHIWDDRKGAYPLRTFEAHGTKIYGIDWNRTR PEAIVTCALDKTIKFWNYSKEGNRPENVTHTPFPVWRTRHTPFGWGVLAMPQRGNSDL HLYSCVSAETNRSEHDDLPLVHSFPGHKGQVKEFLWRQRGGIVDGMDQREFQLVSWGT DKELRLHRVDPEILKGVGYEKGKPFNPLLNLTRKGAAYKTFHDEHSYEHGEVGEDYGE RSEYLAANNTLKGMSGVSMPYSRGWGQVRPIGQSSSVRGRTTLRADMNPISWMRGVKI SGWEVETLGDEIIHVGEKFTRVAFESVNVGQRKATISMHGPWGSENSNVFLKIDIKFP TEYPRMEAPIFNVQRTTAVTSQLVKTVTTGMQTIAETYLSRQRGCLEGVIRYLLGEHT VEESVALAKNQPSEHLKSADILAGNESSDEDEDVGQFQDSDLALSSSELLRPINANVM VPVARACGALWANDGQLVCFFPKKEEKPPSFLDSISLRAMAGSSRNDKVFEGFGRLQT GSPVPRATAESAVSGGIVTEDGGSEFSDDSSYDSSSSSGSSDLLGSLPQQFQGNHAWR HGNIGFHLARSIAGNSQRSVAGPSTARSSSDSCQNYVHIYHFNDILPAKRELAQQYKI FGNRAEICSHNMVVAANAGLSDLSYVWGLLNLLLDNKQTTDTKGVKDRLRHILNNPVG SLRRKDSGVCLNSERQKTWGSHPLGARWFIPALFGHFEQLGDVQMLGMLSCVLYETDM KRLLPDDPSKPRVSEFLDDKSFSDQQFRGKHNTTPLASKDLPNISASHSSGCSSADQW HGNTPPLYSTGTTPPTGVRGARSSMERKSRYNTSLSGSPDPPSNMRINTNFGNTLASS LSRSLTFGPSASSSPPTGLIKKQPSPIGSLTTHGPSIWSSVGGLRNKAASALPSYLTP SAIGTPLRFSDSEESDKPVSPSANKFRRGIKVVKKHQAAFDNDCRAEVPLLDPRLQSL YQAYRENYAHLLFIWNMPIQGTEVLKMASIVDNETERSLLYKSNNKYPRTKHVNRRHS LAVNHHPSTEVLAEGLNLQHHCATCGSALQVSSPTQNPLGEAIGRNSRRNALVNIQCL NCNLSLPGSQNMSCAICTEVIQGMFNPCFACGHMCCFKCHSQWFAPNITAEGSMEKSV SILCPTGCGCNCSEHLVIDMPIPPTPASPAISAAPPPPAPPLPEAALSPSKSKSKPLY PGASGNRKNAKKWRNSLNQTTATNDADQSDKNQTGTSSGVRSRASLDEQLPGVNPRKP AANSGSISRVAGWIGKSSLERMDTV TRV_05806 MSSEINLFAGPIIEPDSPEDIEITTSLNSRFDQLEIVKKLREDP DYTEWEPYGNFTEEEKKARLTKNTLKSVLYLGNGLDGWPTVVHGGAIATILDENMGRL AIENLPERTGVTANLNISYKARLGRDQYIIVEAKYDRLLSSERKAVIEAVIKDGKGKV YSEAKALFVYPKKLKLRTLGEKF TRV_05807 MESSIARILLTIVLVVVKHQGKRYEVELDPSSNGETFKFQLYSL TGVEPERQKILVKGGQLKDDTLLSSLNAKAGQTFMMMGTPANGESNLALRKPKEAIKF LEDMTEAEVAKADGATPAGLSNLGNTCYLNSTLQTLRLIPELQEELQRYKSTAQSNSN QFNSGWPDLTASLRDLYKLMSQTQRGFPPIRFLDSLRTVFPQFAQQSRDGHGYAQQDA EEAWSQIISQLRQNLMIKDASDGKDSKAVSFVDKYMSGTINSVLECDEQAAKEGGEMA VESSDVFFKLNCHIDKEINHLHDGIMASLEEKIEKHSPTLDRDAIYTKKTRISRLPKY LTVHFVRFFWKRESQKKAKIMRKVTFPQEIDVVDFCTDELKKQLIPVRDKVREIRKDE QDMERARKRQKIAHKREQEEKQEDRASEPLQKKKMAESATDKNKDKAEDKDAAPEVFK SDAEYEAEKAAALLQAKKELFSLINEDLAKDESTNKCGLYELRGVITHQGASADSGHY TAYVKKQGKVVDGKRREEDGNWWWFNDEKVSEVPAEKIETLSGGGESHSALILLYKAI DLPPADVLNE TRV_05808 MSQSLRPYLQCVRSSLTAALAVSNFASQTSERHNVPEIEAATSP ELLLNPLTVARNEKEKVLIEPSVNSVRVSIRIKQADEIEHILVHKLTRFLTQRAEAFF ILRRKPVKGYDISFLITNFHTEAMLKHKLVDFIIEFMEEVDKEISEMRLFVSLLPIGI LLPFYYSGSHTGQASNINSFS TRV_05809 MASCVVKEYGSQLSTGSSDNSVQENVDTTANGKDEYQPAEASSV VATTASVASHQDAQQMSEQSVTIAEVVEPEDAPADPTVDAQVSGSESSPTPVENFSLA RTSLACFFGPTPHSTQKQKFQPPSRLSSSSPLSTCSLPVAPPPSPASVCGRQYAAAAE SPYPQLSVLPNPPSFLPQMYPGSQFQGQYPNGNANSNSVSRGMPPAMAAMPSTAPGNV QYPQQGNFQRSIRPVPPLKFRRYLRPTWRIAYAFHPQVPIASAPGPFTSACSSSVPAP VSKAPPMPIAPVSSPVKPKKSEYKGPLIVSSYPVRPPSQNQSSQQPFQHSAQQSAQQH VPQHVHYPVHRSAQHTAQQHGQHSAHWLVHQAAQQQRPSHSQVVMNNPGHAYGIYNNM TTNGHNPRNNNNVAVAHYHNPQYPQAPVPGPYHSSFPGNGLSNAHGFHNMNNNTPTAL PQAARPSPIEDPFETGTGPQPVPAGAVLKISNIPYNLLAREVTHFLGRRAKLLPESRG TSIHVIMERSTAKTMDCYVELLTQTDAEEALSWVNRNLPAHSPRLGDRHVHVEMSSQD ALLREIFPRAKCVLWKNGIPEIQPNTDRYSTGFQGFLTNEELFCMVHFAEAPKRFPWD SKSLYTVEHRNVLFDATRRQLQALLQQVNARRVIQLDERLLRDLLNAGLRCSTFNERQ KYLLRVAAGQALGPVPRTVVFWPFDALTRRANAREESVEEYARLIRAAVLRRVPNLGE LNNSWDAIQHGNSPFGPLMLEWRGEGARRSLQAAINTEIGVMKALVSEGLAAVNTAA TRV_05810 MASIPSSFTSKKEKILRDLSVPEEDYTDLSPKGSVDVAIIPLIR DINRLPGLVTTSSCAGRISVFVERGKGGKVQADITAPGTERALDGRENTSSEDKPGHD KHIRTEYVNKFVPKGGKGSGTWQFVSHDPLIFDEEKTDKSLHELFGLKPSRTAINPSG NGEIQLVKFKFEPMILHIMAASLSHAQPVLAAASNAGFRESGLQGLRCLEDPEACPIV AVRSSGLGLESIIGYIENDGSPEAIARSFVTEEYLQLLVQISNERFAVNTERMERFRV KLLHVGGGQALGNPNLQWEDPIARRARKRAEGLERGKAAKEARKPENLASVTDDDMIF GVNTLDI TRV_05811 MPRRSLRNSAPTGQQAKRQPDTSTSRQNTGTKRRRTTSKELPSK PRSSKRTSSTAIRSKYFEQNASEPDLSDSDLTPLASECSDRALLEKDNETEDSYFPGG ETSTCVGAEESAEDNEDEDETFDKKKRGNKKKPAAVQVKKRKSGVKDPVAESRKNSKG NELWREGVRTGLEPGKEVFIKLPTPRDDGGIPYEDGTIHPNTVLFLADLKENNDREWL KNHDVDYRKSKKDWDSFVEALNEKLAEKDETIPELPAKDLVSIYHKEPICS TRV_05812 MAWEREWGMEKGWGSGSGPGSEYESGLNLTIALTLALALTLELA LLALRDGRGRPRRREGGKEGGREGGREGCKTDRMCKETTLLHMLPERLISTYKLNHDP NDMSHSAHGFWSTEILVSKFLAQREASKAEKLNILIVRVVALSEKEKEKGGFNLVRPS LKPGSNTISDPKSQKAEPKWLQKRERRFEMGERLPSTVATENGRKAQSVQIKGLAWSA RNGLQSPKPWWHPRQEDELEGLKTPVRPERIDIGLSLEWICHPCWTMGWLSDFHLDEE TRVGKVRPVANERAFGKTTLWSGSGDSYRLQVTEPRTKDVAQQTRATFTYLWSSFECT LFILIDKGLNNFLFIIRNTPEDLAPDVWMHQDILEPLRILINILANKSEFASFWHP TRV_05795 MYRKYYRHADSDTDSEEEFYHRIHRRPREKVVVEEKRRPRSASV ANPYRGGDAQIYIDIKNDLQENTKLAPPQVPVGRARSASQRRPVLADALSDLEDDIIR HERRAIRRLEREEPSRRAEIEAEIRLERIRQRERELDEMRKEEDRMRREDRIRREERE RIEASKGSGNASAQPQPQTQPQPRQETELEREIRIAKEEEIRSLRRWRKLQEYMEMQE MGRLEKRPERDDHPLTRRDIEKEIQFERLKKMESDELARRKMEDALLIERMKALEKER EEKERERKIREKVQAEIAQKEKDEMERLQKEAKLKASAIEEYKKKEAERLAKQKEEAE KAKKEFNDKVNQLLGSAGFSEDKITAIMQGKSLKEMELVHVEKHVHHGKHRQIEDEEA FVKVHRRYLEPRTLDAFSLIWEFDPNDADYMLIYHSLSQEEQDRLFDHTRKLRGQRTL TASPHNGPVTVEMKVNDKKRDGLYLVRKKQDRRSLFW TRV_05796 MSSEEWLQGALFIGGFPWIPLDGELSPMRAENAGQDIFSLKLFF FSVAKDETMVNLEHTIVETCSTP TRV_05797 MLMRLYTFFVAALLACCAAAGPLHPELLQLVGKSWIPDWWFPFP RPSTRAATTTTTPATSTTGLATTTTKPTTTSSKPVTPTPQPATSTAQPAISSTATATA SSASTSTTSSSTSAFTSTSAAAPSTPTTVVPFGQVIRSCTVKGTVAITFDDGPYDYTN KLLDIFDANGAKATLFVNAQNFGSITDYSSVMLRAFNTGHQIASHTAGIISEMTKLDD VLATITNGYRPTYMRVPYFAYSPLVLQTMADLKYHVIEADIDTKDYEHDTPDGVSVSV GFFRDGLNAGGSIALAHDVHQTTVDLLIQQLLDELKRRGLKGIFISSYYF TRV_05798 PADLLKTRVQQSGQPTSLLPTVRAILASPNPIRNLWRGTLPSAL RTGFGSALYFSSLNTLRQSVAIVKLHTKGDNGSSTTNSSSSSPRTSALPKLSHSANLL TGAVARVSAGFIMMPVTVLKVRYESDYYSYRSLWGAGKDIVRTEGVRGLFSGFGATAI RDAPYAGLYVVFYEQSKRSLSALLSSPSRSSKGELEKEAVSSMPSAAYVHFLSGALAA GLATTITNPFDVLKTRVQLMPSKYRNMWHAARLVLRGEGVRSLFGGLSLRIGRKAISS ALAWTIYEDLILRAETSRWAQQEKSMLT TRV_05782 MADSPRGHSSGIATPGASAGAAPPPPPPPPPLPPPPPPAPPLPP QRYSNRATSALARLAHPFIYGSRPPSPQPTSPLPVPTPPPALVTRPSYTISPPGSAPI ITHKTGIPISAVDISPQRTHAVVAGREILKTIRVTSSQCVEETNIRSAVIGHSSSHHH PSGPSTKNKEHLATKDVKWSHSEYNRIIAAATSNGRIVVYDLSRPGVEYSRFHEHSRQ VHRLAFSPYRGAWLLSGSQDATVRLWDLRTVSSDRAAMHIGSTSVFNGHSEAVRDIRW SPAEPVEFATATDSGVIQKWDIRKDNVPVIRINAHEKACSSVDWHPDGRHLLSGSVDR QVKVWNFSSTDRRQKPCLQLRTPQAVSNARWRPPSLTGHDYETASWESTQIVTSYDQE DPRIHIWDFRRPHIPYKELDRYANSATDLLWHSQDYLWTVTSEGIFMQTDISLAPEAI ERRAPCAVTWSPDGKVLAFFEPRRRRHRQSYNYSNTETVESVPVPSQRRAKEGEDKLH TASHSLTDEAPDDGSQMVGIRKRSNKISSARSSKSLSATPPMQDDELQVLPLVKSVGN IFNITNQQDGMIGRVKGATFNPDAFHYLVHHYSPLLADPLNERNMSVEVVKHLLDGLD ENTLQAAAVRFGRLAQTWRIVRYAISQELLNQHKQRQLELKQNSTSKAAPKIGSRGSS TNIIKLDPTVSEKSKTRIFKGVVDGDSQRLMTLSPEPNSGATTPLARPIPDSSIAAAI AGGQVPNTDLNGELGQLRPIPSLILSSDEVLSKQAQASVAGLGLDNAEAVWLQDTNGM KTPTNKRSTQPNGEEVIPDQTRSAPRAISGRAEWRRDEKPHPKPAGDESAIKDEGEAD EEVNKKEHGDIVAPQTHQVFRKRLLSMERAAQERPSIPVTYQRHDSGESFPMFSASDS SHRTKSFDEASSSLTNEPSRYEGWPPTTEGSLHDIPELEGSSPPRPGRWENHSDSMSD MSLEDLPIQSATVDLERPVSPLPFLAESSPIRKPSRVGYSRPPARFKTPPGTRNPSLQ AETSVTLPLCPKSTSDTPWGVQTIVREIIKHYCSSASVDILSASHLLHKMHILYSSCD ELLPQEERELIFKTFNEQLLRQGMFVEAAEFRLVCVPSYPALYDYAQKDTFVNVFCFE CNKPYENPIQDNKLCHRCNTPQPPCTICVSRKPPADWVAETNHGPRTNTNLTNGSYTN ISPLEDGLIYQQQEQPGSRLYGSGLWSWCQTCGHGAHTACLTAWLTDISLSEGGCPTP GCFHDCGPGPRREQNRLAQQEASKRSRNAFYGRKPSSTFIKRDSWTAGESRAVERVRG ILGGGVSSTVEAGPTAGQGSTTGPSSGGLVPSAVISPKKVRLVAPGEQDTVGKER TRV_05783 MDDDTSILCPSICTQMHHSNSHPFTTPQGSEISQSQCAPGSAAT SLHQHRRVISHPSILQQMLDPSLFGDPLQQQQQFSSMTHSHGSWGSAMEPNTFCSTDA MAYDIVENKGNILSWEGETPGPTELELDLFAATYPLFDEFRELERLGYQTSGRPNTST TSQRNCSQIFDNQYPNSVSTGSRPSFDSSTSRPESAIYPPLSPENSVGSSSPVDFHVL YGSDSLVRSVPEAVNLASEDETDDDSVSSEEPYARLIWRALMSAPGHKMVLKEIYEWF EKNTSKAKNSDSKGWQNSIRHNLSMNAAFEGVKEVLSPGAQTRKSGNAWVLTERAIRH GVQSTTRYRKPGVHKKSQKSDHPAPQRQKSGARGGRAAKKAAKYRRAIQESQRLDRNS QNAPPSDIPFNQHDILAQTASCVEYGPAEPVNDYVLSDYGLENLLGCNELIPRSPLFY PDLDLDENQQPSGTTVLGGQPIGRDFNPLLQ TRV_05784 MPSNPRDRYGSKRPRSRSPPPHAPPEKLRHLDGQYSYAGRSWGQ GSANRGAWNLKEQTRLNQLQQDEEMREWVAQEDTFVLRQAKKKAELRVKDGRAKPIDW LTITLRVIDPTRNPLDDEIADSELDLVEPEGVFEGLSNAQLSSLERDIDTFLSLETSA DNRDYWKTMKVICQDQIRKAESSAPEKRAVNSVAADIDRLLSPKTYDELVTLEKQIRR KLDSNEPVDTDYWEQLLKSLSVWKARAKLKRVYSAVIKNRVDELRKQQREEAESVQKK LAPLAPYKGTRTSQQELERMTLLDPEPLLQLRSQDKNLEVMDEEELLSHIAQERKKII KMGFVPLRNRLTEKQAPSSMVTSESPAITSSAPRFLPTTNDDFSQATKALYEREVARG VSENEEIFAGEETISTSKPSWANKYRPRKPRYFNRVQMGYEWNKYNQTHYDYDDPPPK VVQGYKFNIFYPDLIDKAKAPTYRIEREHGRKRGQSFAPAGEEDTCLLRFIAGPPYED LAFRIVDKEWDYSAKRERGFRSTFDKGILQLHFQFKKVCIISYRFSTLATTQANTYRR YTIEQQHTYCPNDVYL TRV_05785 MTRNWERLPLYSNSYPPLLFSYEPSSEGYDVFLTDLGHVWSESL SHKQIIDRAAKDDTSIDPSQDEDQYSVLLQKINDALHGSEGTSLFLSGRGAGSSLRLS TTTKLPKPLEPLEWTLILSKCSPSALTRHMLVPTLRSWLGIEAQQQSLCELLEEKDKI IGKLFDKIESSGLDLSTVFPGMANIRHGQKRGSLFSQASKLVKGVSPFDKGSWETIHS SGGSKKSTSIPVGKYLYDDDLFDVRNMELIESEWWNLPTTARSSNHPGKEAPNNLERR TENDGSESDNEFQTQETPPGLKEIGTRSSPDTTGHRINSEISPTWHSNKNKSFSQKPE SLGNANAKVKIQPRTDSEDSTTTSAPESPPRQKNKLKSIGTIGGKKPRPPPRQQELDP TAPEAGDVVNKPPRPNLEDQATPSPTESDTSGDNDDDIHNKGANRAKGQTLPQQSHRG SQETDSTTQVAQLERQGAPRTRGIGRIGGKKQKESLSQSLGRKDDALATSSWGKTESP EAEEGGGDHSDGASKQQPPDLSHGHNEQSTTTAKPHKRSGRLGIIGGSKASSKLANVK GRNTSYNTTNLQDTKASSHHEAGRMVDTREGPAQELPSLPPKSSAIDQTKAENTPDKE ESPEERADRKRQELRRQLEAANKGQNKPAKKKRRF TRV_05786 MTSTRLQYVRVIKTPGGNLRYLHIKKKASAPKCGDCGIKLPAGI FYNNILQGSQLTFCFDILLKIPALRPREYSQISRPKKNVTRAYGGSRCGNCVKDRIVR AFLIEEQKIVKKVLKESQEKAATSSKKR TRV_05787 MASLPPPPPPGWGAAPPPSMPLAPPPPGYRPAPNPMLAKFEQKK KEWLRSQRNRFGEKRKAGFVQSQKDDMPPEHLRKIVKDIGDVSQKKFSTDKRSYLGAL KFMPHAVLKLLENMPMPWESAREVKVLYHVNGCLTLVNETPRVIEPVFHAQWAAMWVC MRREKSDRRHFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQMELDEAEDSPVFEWFY DHRPLLDTPHVNGPSYKEWNLTLPQMATLYRLSHQLLSDVVDKNYFHMFELNSFLTAK ALNVAIPGGPRFEPLYKDIDPNDEDFGEFNAIDRIIFRAPIRTEYRVAFPYLYNSLPR SVKISWYSHPQGVYVRTEDHNLPAFYFDPIINPISSRSVAPQNITVSHEDEIFGHGND EDDFELPAAVEPFLADEELYTSETSSAISLWWAPYPFDRRSGRMVRAQDVPLVKQWYL EHCPQGQPVKVRVSYQKLLKTYVLNELHKRKPKAQNKQNLLRTLKGTKFFQQTTIDWV EAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLMR EILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLTGMYRYKYKLMHQIRSCK DLKHLIYYRFNSGSVGKGPGCGFWAPAWRVWLFFLRGIIPLLERWLGNLLSRQFEGRH SKGVAKTVTKQRVESHFDLELRASVMADLMDMMPEGIKQNKVNTVLQHLSEAWRCWKS NIPWKVPGLPAPIENIILRYVKSKADWWISVAHYNRERIRRGATVDKTVAKKNLGRLT RLWLKAEQERQHNYMKDGPYVSSEEAVAIYTTTVHWLESRKFSPIPFPSVSYKHDTKI LILALERLREAYSVKGRLNQSQREELALIEQAYDSPGTTLARIKRFLLTQRAFKEVGI DMNDNYSHINPVYDIEPIEKITDAYLDQYLWYQADQRHLFPAWIKPSDSEVPPLLTYK WAQGINNLSNVWETADGECNVMIETQLSKVYEKIDLTLLNRLLRLIMDHNLADYITSK NNVQLNYKDMNHTNSYGLIRGLQFSGFVFQYYGLVIDLLLLGLQRASEIAGPPQSPND FLQFRDRATESKHPIRLYTRYIDKIWVFFRFSADESRDLIQRFLTEQPDPNFENVIGY RNKKCWPRDSRMRLMRHDVNLGRAVFWDLKNRLPRSITTIEWDDTFASVYSKDNPNLL FSMCGFEVRILPKIRNQNEEFSVKDSVWSLSDNTTKERTAYAFLQVTEEDIQKFNNRI RQILMSSGSTTFTKIANKWNTSLIALFTYYREAAVSTVNLLDTIVKCETKIQTRVKIG LNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPTSDKRWSKQTDTGVTHYRAGMSHD EETLIPNIFRYIIPWEAEFIDSQRVWMEYSQKRQEANQQNRRLTLEDLEDSWDRGLPR INTLFQKDRSTLSFDKGFRARTEFKIYQLMKSNPFWWTSQRHDGKLWNLNAYRTDVIQ ALGGVETILEHTLFKATAFPSWEGLFWERACLAKGTMLLRYDHSQVAVEDVKEGDLLL GPDGGPRRAFNIVSGEDRLYRIKFGDRKDDLVVTANHILVLHKEKSRTDEYEANIDPL EKDAEEFVVAPASQEAPTPERYETVEMTAAEFYALKHEERCKYMLFNSPGFDLAEQSV PCNPYFLGLWLGDEKRRSAPIHDYSHEADVRGFLVRHAAELDLHGQLGLQTTEAPRTN AEGHTPRQSIISRRLAAGWTFQTGIWEPPTENPTYRGVSSQARPDDKPMGLIKDPETV KKGDLDSYLDILGTVNETDDSQLDGNLPQTQRSVRLQTGLSAYGTLQEEEQDQLLEQV TGKSQGNPGVNSLLQALRGVGVIAEPESIGPKDGRKRIPAVYINNSRAVRLAVLAGLL DSDGWLIYPENMFGFSQSEQCNSALFWDTVALARSLGFSVSTTRRMMWNSTHAMQRPQ LFAEISGNLKEIPCLLSRKMAMDLVIPQNYSFIIKDITLEREITGWAGFRVDKDQLYL RHDYLVLHNSGFEESMKFKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQL DLTGIFLHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVFDQELEQLGIETVQKET IHPRKSYKMNSSCADILLFATHKWNVTRPSLLFDTKDVIEATTTNKFWLDVQLRYGDY DSHDIERYVRAKYLDYTTDSMSIYPSATGLMIGIDLAYNLYSAYGQYFPGLKALIQQA MAKIMKANPALYVLRERIRKGLQLYASESNQEFLNSQNYSELFSNQIQLFIDDTNVYR VTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEV AALIRSLPVEEQPKQLIVTRKGLLDPLEVHLLDFPNISIRASELQLPFQAAMKIEKLA DMILKATEPQMVLFNLYDEWLKTISSYTAFSRLVLILRALHVNIEKTKILLRPDKTVI TQEHHIWPTLSDEDWIKLEVQLRDLILNDYGKKNNVNVQSLTGSEVRDIILGMEISAP SLQRQQAAEMEKQQQEQKQLTAVTTKTQNVRGEEIIVTTTSQYEQQSFASKTEWRTRA IATSNLRTRANNIYISSEDIQEDGHFTYIMPKNILKRFIMISDLRVQVAGYLYGSSPP DNDQVKEIRTIVMIPQVGNTRDIQLPQQLPQHEYLNGLEPLGIIHTLSGNEPTYMTAM DVTQHSRLMNAHSSWDKKTVTMTVSFTPGSVSLSAWALTPQGYKWGAENKDTTSDQPQ GFSTNMGDKCQLLLSDRIRGYFLVPENNVWNYSFMGSSFSSVEKRPIYVKVDTPMVSS PSLLLQFTIFK TRV_05788 MSFDFDSYFRQLDPLNSWTVKRLTGGLVNLTVRATKNKCAGGEN EAASQPGQLEGCQSLILKYAPPFVASVGEAAPFDQIRQNVEKNALALFSAPDGPLLSM LTETSVGVPSLVFHDEKSHILVLEDLGALPPLSDLLSISWLSSHYPEWPSRSGSYFLE DRSLCLSTGEKFGQFFAILHAKRTLNSIKSYGCDPNLARFKNNSMREVVRDAAVSTMR RYLVQFNCEEAEKLSHAIEEDFERQEEWDGERCFSVGDLWPGGILIENLPLQPSRGTH SSELPKLPKLGVIDFEFSGPGRGVNGDMAQLLAHLHLYYIAWGNCGDRFKPFQAGVLS FIEGLCSSYATYSRSLGAPWQLHTPDSSSPGSLRKPFQTSFPPSVSSHAAQIFRSSLL LHGREMVNNAVENDWSKYSIDIRSDVTDTTNKPANDNGSGLTERMVSTGIRCLRLAGN DIESFVEADNWKQVCSSSESSIIARLFVVDSSPLA TRV_05789 MYKHVIEKERRGDYLGRTVQIVPHLTDAIQDWIERVARTPADDS NEEPDVCVIELGGTLGDIESAPFVEAMRQLRRRAGKNNFLQIHVSLVPAIHGELKTKP TQQAIRDARSAGLSPDLIACRCERALDKATTDKIAMFCQVEPEQVIGVHDVASTYHVP LLLEQQGFLGQLRDILKIDDLTIPQASIDKGQSTWKQWKALTTSQEHVYSTVNIALVG KYVSLHDSYLSVIKSLEHSAMACHRKLKITWIDASNLEKSAAEANPEAFHKAWHDMCT ADGILVPGGFGTRGTEGMVAAAHWARTKGKPYLGICLGMQIAVIEYARNVCGIPKASS IEFDDRTPDPLIVFMPEIDQVNLGGTMRLGSRPTIFQKDSEWSKLRRLYGLSRTSIDE RHRHRYEVNPEYIDTLQKAGLHFIGKDDKGERMEIIELKDHPWFVGVQFHPEYLSRVL APSKPFLGLVATAAGVFDEIMKSQACGKDLASGVANIAI TRV_05790 MCSHFSAFFLIVYFFSFSLSSSPSLPLSLFLSSPCSSFRRWQKK KKKGVHRPHGKRDLAFPPRAASLWLSFFLSWILTEKKKERREREAETEGRQWIDSPMM HERCQVCSPWSRSILTRQDAASRLSSLRTRALADQRTGILATGHHGRGGDRMMGSKAR QKVAITDAVTVDGLRFEVEVEVEVEIEVEVEVSFDVVVPFSGREKKSSAARKSTAVDL FASSPASASSDSSDSSVAHFVSLWLCFLILPPLAPCFFSLSLSSFSLSALWLFFLLTF SQNFVTWTNKQEKSKH TRV_05791 MPGGAAGAAGAAGVVDGGSGAGDAVVAEIGIAKYGHSK TRV_05792 MPSPSQHGVVRDLQQQPVMIPTPMVPPQLPPHFSSTSLPLPYPG DRHGVKRRRADDGRSGGSDETASGIGLSMLPESSHADEQHSSEMLLPTPGDHSSHHPH ALQHDYHQTSPIHQQHHHHRLPSQATLAAVQGSDGELTSPGGGTGSQSVVGQPGMPDP APRPRGPKLKFTPEDDSLLVELKENRNLTWKQIAEFFPGRTSGTLQVRYCTKLRAKAT VWTDEAVCPFALTRAFLIPVLPLTFSEPFPLFSKLKSTGH TRV_05793 MDFHSANCIKPRLVTMVPLMLNMRWTAPTRKELALYLFVIRTFY DIPSPRCGLWRPCGRLQLHLMSRNPAQPRLLVLLDILFFFHRASWLPRRTYLGFNSFL LTPIKGCPMSSLFVKIRRDDLTFQVGSRLYIPSNTQPKVSPGLQI TRV_05794 MEKGRLFERGGWDAGGAGAGGAVGVAAAGDAAAVAAGAADAGEE ELAGWDLRQS TRV_05779 TWFVHRRTVRRRRRTAALGEDDAENVAKSREGNEDGERSFSLLS EHVAEERGRQDAARGDDLVLGHCGKSSHGPESQRPGDLQRPDGVLGLAESVVGVAVAD VAPDRAVQGRDDAVCAAGGALEGVAEVVWLVDLEGAAQRRKAREDDEQDDEQLDDAQQ VLQPQPPLQREAVDEEGRRDAGQADAALVPAVDLDLRGVEDIFAKDDGVRAGPSCSQS VSQSVSQSVSQSVSQSAQRPSSSIDRQQSTQNRQRRTEEHDVAGVEARHQEARAAEDE LEIVLLAAVARQRSAELEVDGHASARDEHAGDPDEQREADAAGEGEDGAGRREDAGAY HAVEDEEDGGDEADLAARLAGVILLLLMAVVGCYQSVSQFSSSSSSLLLLHRRRPLTL SFVAAQLCRRVCHGSKRLRLLHCAAHEGRRSVEGRRPATTGSGPEEDGRSCRDEAAPA PAGRIRRVNTDRMDGIDQTGAGQDRTGQPGARDDATAQKQKDGGGGRDEG TRV_05780 MSRLQSAVTLAEDIYFGPSQWDKSSLPSWRQLLFEQCVASVYGS LYHYYHYYYYYDTKMITSLFVAVVFAGAIFLHAIRYQPFSIDPRIYLLVAVLFALGVM VYKILIYPFAVSPLRHLPTPKGHWLLGQTVKQFRANSPHGAAVDWMLEFPEADLIRPL MLGNSESILVCSPRALKEVTQTHCYSFVKPKFLARTSEGIIGKGGICFAEGDVHRQQR RCFNGTLFFSLFEGASYFDLSILTSSVPFLVKNVKKSMPAMLAKGEQLANAVGETAAG NDDIVEGKYIPYARPPSLTSICMRDQANTYTVSSLIIKATIDIISKAVMGYEVDSLAD ASKAEFYRRTMDVMHLSTTDQIMLALDNFFPARKWIPIEANRRFLHAGNVISELLTDH VRKCISRIKQEPDAEKDLDSDHTDIFTMIVRELIERGDPLSEELLLRTLMVRGHESTA NSIVWCLHMLAIHPSVQARLRAAIREVITEDSFTYEAVNSIDYLDCVIKEVTRLYPSC KDKHTLFFLCSIINLYAQLFTNAGQLVVSMLRTPINDIEICGTLVPAGTLLMMYPAVT QHNPTIWGPTVNEFNPNRWNDLPSAAHDPYVYQVFYSGTRVCVGRAFGLLEIKTFLTK LLLKWEFHPIEKELEMPWSGFTLKPTHGLKLRITPAPEP TRV_05781 TCLENGVTDATVFEGRDVIGGQWNYEDPDPETGETASSIYDNVT LNSCRDTSSFSDFPIDPARYPDYFGHRQFLQYIHEYVEHFGLAAYIKLQTKVISCRQQ QQQQQRKTGDNPGKWTVVYQQQGHGPVEAVFDAVLACTGTLSKPMIPDFAGRDKFQGE LFHSHTYRKPARFEGKRVAIIGFGNSAADLSSEISSVASEVHLITRRGGWVIPRYVLG KPAEAWDSRLFETILPKWASEWCQMKLCEAVVGSLPEEIKPQHSLFQANLTVRSDLLE NIRTGRITAHRASIDRITDYGIVLTNGTTLEVDVIICCTGYDIDLPYLLDEYYRMQEK DSVLPARNSLNLYKLVAAPRYPNLFCIGYVHLEGPLVPVAEAQARWAVGAITGKVTLP SPDEMERSVYAYQEELASRMVSSDRHTTIVKYLPYCDDLFSQLDAAPTFWRLFQRIFT SNPVQAYQVLIAVYFGLNSPAQYRLFGHAGKPDLAAQILLRLSKGDNKMTEEEKAYIQ STAQV TRV_05775 PGHGLFLRVLASKEASQDTDSLLLRFTILLHWIQLPSTANFTCQ QHTFSPAFLPLTTYTSNSSSCSLLISSYKKKKRKKKIEKNK TRV_05776 MSYFERLNMLFDGEDAGLLGIDVGLRETRIDTQDQSGQNQGEAA DLPLLDVIEVEVANPGDKQAEASPDNATGIQPAEPEMETEASKEDIPVTDGKPRPGET AYFCSIDVLSTAHKYIGGKFLRPAVDRFYNKGKFWERTWDLYYVRVPKHILCVPLLFT PISQAQALIDEINAEFGSDISLPLEEEAGLVVPFTPDGTPQPEYMGTSTNNDIRGQLQ NRANAWCHENPIEWPEEGNEPYARFRRRIKIVCDVKYNKHRATVGDDKYVKKVRRWHR QLKRTQCYLGLRPRIARRASKKTQIADDATSTPATPAVEQQQQQQQQQGQTNGTATVP IGTVLDPLDVESPAPFAFADEPIFICIDLESNERNHSQVTEVGVSTLDTLDLVGVPPG EGGRDWTSKIRSRHFRVSEYKHFRNKKFVHGCPDDFVFGSSEFVRRAYLAQVVDACCK PPYSGHIAFSQQPVSTIVKPHHPPAVYLHLSQKDSSQERKRQSHPRPSRGRRGNQSQS PEGGVRLPSEPSNSPKKSSNSPRNRGRSRRRKQWSPAPGTEPSSSRSPGQQDQRPPAR TPSPTIPEYKMRPRKLILIGHGLTGDIKNLCNVGCEFFDIETYSGEEFSTLSKFHDVA DTANVHRILKREYDTPGLSYILKDLDIMPWHLHNAGNDARYTLEALVGIAVQITKAEA RAESERDAIRFREYPDIDNPNVMVSPRSSDEDLHWRREMERIAKKPRRKRRKEDSEIE TECDIWEEGMGWNAFRNRHKDDMDGGLPPDVHYPVV TRV_05777 MQVEHTSQPDFERTVEPAASADENDDEHDNEMAANQDLVDSVIA NLAVAREPVAVMTLHSNARTQQENKESVEAYAKIAGRDWTYYVKTLHVNIGRPPDRDQ RLDAQSSPITVAAQALPDVHIDLGPGKFVSRLHAEIYYDRDDPPCWRVRVNGRNGVRV NNTFYKRGTATQISCGDILEIANTQMMFVTPRDKAVIDPAFIQQAQNGVHTTRETDET AWDGSYHSHPTTANAGTSSSAGAGAGAPRRMSFALNSREPADTASVSAAAANSTGGAA TANGSRRAAEIANAATVTPKRQTTPSQRPLSRDTIEPSSKVSPMYNRGLMMESTEEID YSEDAAKDIKPPFSYANMIAQAIFSTEEEKLSLSNIYKFIMQKYAFYRHSQSGWQNSI RHNLSLNKAFQKVPRRTDEPGKGMKWQIVPEHREEYWKKQAKRSGQSSTPASPIGKER GGNSAYQPINGHVATNVEKNIDASAAALAARPSPQANTTASPGYHSFSVAPIEAYTPD RGSRNGLGINGAGTHDFDEQSPLPNGPRNNAPTRPYGLSDNAVASPPVLSSSYYDDAA SSMITPAPLRQQPRLAPPSTAQVPSRYMQLSSPAQFWKLADTGNTPARPMPDISPLKP SIGTSESSRWKTLNPIPSSSPPPANLGSPTKPPTSSLRGRGGVSPLGRDVRERESSTI KRHQDSWDEDDDDNDGDDTGFDLARFVPLPSNAICEPVY TRV_05778 REKTEEEEEEEEEERQKDQPLPRKILFLFFSAIYLFT TRV_05766 MSSPCFHVAIVGAGLGGLAAAIGIARAGHRVTVLEQQADVQEVG AGIQIPPNASYILQEWGLLPEVEQVAVRPRDFIVRSYRTGKVLCVQNAFPYTFERYGV PYLHIHRADYHRILLNEAGRLGVDVRLDNTVIGIDFDSPAVHLLGKPDFHTDLIIGAD GLRSVCRQALLGHADHPQITGDMAYRIIMRGEDVKRHSELADLAERPGMTHWIGPNGH AVCYSLKSGEMLNLVLVCPDDLPDTVNLADADPDEMRTFFQNWDPRLKSLLGLVRTTQ KWRLRNSGEMDSWSHPSGKFVLLGDACHATLPYLAQGAAQAIEDGAALGTLFERIKDS SQLRDLLSIYEATRKERTSIIVQSSSALRHILHMRDGPGQRNRDTKLYQRSPFESYPI PWIDPKFQAYLFSYDAFAEASKAWDRYMNGEDTASKPRVHAHL TRV_05768 MSVVAPAPLPSGSRDIAAEASPEQSPSSFTAVNGRDRSASLMNG QQADMRPSPSRDDSSSSSNSAGAANSNGTVGGHSSGNNGGTASAAASNGANLPLSDKP ASADGSRDSPVDHEDAASAQDNGSTTTPTTTTAAAAAAASNTNSSSASSAASAAAAAN NNSTGTAASSNKRKRSSIDSHNADLVRSPGPLPADHPHSQPPPAANGNSEHPHHHHHQ QQQQQQQQQQQQQQPYTSVERPDEARPGTGNVAWDYEMSSSQRHPKPQQHMDASDAQL AEALQREVQSETPSENASTMEMQSGGGSSAHNMQSQSPSASQVAPKRKRVFSNRTKTG CMTCRRRKKKCDEQHPSCNNCIRGGFPCEGYSVRSTWQKPSQTKGPIPLQSKDRYPEP PNMYMQDMSPQRHDSRMGGPMLPDGSKARPVSVEESERAQAYMTSPNSSVARVAWQKG SWQAANHHMMQDSAPKMEYRDGPVMPDMSRPEPPKADYHHSHANAAGGSNNNNNNNSP ANNGSGNNAHQKPGLPVFQSNMEPRPNHAPPRVDPGNYPTQARLALSSMETHVPFEGP TEKSEKEKMLSGEQYRPFDPELVRDREQCKSALWRFTNAGNPLYGISSAEKTRLLTQI LQPPPIEPSPDAPPPSTSTPTGSLGPGAVLESPFNCHYGYNINIGEDVLISENCFFAD DCSINIGAHTWIGPNVTILSSMAIGSMQERKGSQSRYQGRPVVIAEDCWIGAGCTILP GVTLGRGAYIAPGEVVRSQILPYGFQGLKPNYP TRV_05769 MLMYPLFITDRPDEQIPVPSLPNQYQIGLNKLIPFLKPLIKKGL RSVILFGVPLAPGAKDALGTMADDPSGPVIQAIRLLRSTFPSLYITADVCMCEYTSHG HCGILREDGSLNNTLSVERLSDIAVAYAQAGAHCVAPSDMNDGRVRAIKLKLIEAGIS HQVVLMSYAAKFSGCLYGPFRDAAGSSPSFGDRKCYQLPPSGRGLARRAIQRDINEGA DIIMVKPAGAYLDIISDAKELGRDLPVAAYQVSGEYAMIHAGAKAGVFELKAMVMESM QGILRAGGSIVTTYFVPELLDWLES TRV_05771 MVFAKTFSSLVDYPLESLLILSCVLSTVYILSNEFVRYMARIKG FKGPPGLLMIGNLEQIQENAAEQYRQWSAEYGPVYQIQLGNIPIIVVNTAVSAKTLFG QNSQALSSRPEFYTFHKVVSNTAGTTIGTSPYSESLKRRRKGAAAALNKPSVQSYVGH LDLETKDFVAELLKYGHAGNTAIDPMPMIQRLSLSLALTLNWGVRMASQEEELFKKIT HVEDEISRFRSTTGNLQDYIPLLRLNPFNFGSKKAAEMRDRRDKYLQHLNAGLEERMA KNEHQPCIQANIMLDEEAKLNKEELTSISLTMLSGGLDTLTTLIAWSIALFSQRPDIQ DKAADAIKEFYSQDQPPCDATDDQKCEYIVALGKECLRYFTVLRLALPRTSIKDITYE DKVIPKGTVFFLNAWACNMGQYIYFTLVRPTCAVSSTIRANLFIFEDPYVWSDPEVFR PERWIEKPEAPLFTYGLGYRMCAGSLLANREIYLILMRHCWYHVAVGYPLTPPVLQLP GQLNLRIDSQGGMISVKPRPSPTTANTTVDFTSVTRKRTSQEDETRHIDPWRLLISYA NDFDPRGITFIAELRPRSRPVMARLNDLPTTLDSIESLKRRFIRQNREIARANSVQSI RIRTLEAEVSHLLAENITLREGIISANNELEQYRTGSHFEKRLNSLRERFESGLGELT ALVKDLNKLPSQSCENNASTLKENQPQKEAETKRITPRNLLRLSEDNGLLDDGNCLPA IPEDKAYAPEVDEEPEEEEMPQMDDAIGQVQSMDNVGVSVKGSDADTPLNELEESSMP CPTNENSVADVLRKVRPINTRPKRRDSSLVETLISKKDVDTSNDEAIPAIAGSKRKFD AHESENLPQAKQQIDEYQYTLMKKNPNTLPGDIGTGTENEPGLLSVGKEGIKNSTEPV KTRKALEPKNVNLNPRSTVKKVAHNNVASEKRKSSGRRKTEGGRNPRRKASDLPTNAK LNVTVDEGVIPLDKPIEIALDDPSEDNVSSEILVDSTSSLDKHLHSEYKPTSAIGQQP LRSRPARRSRGPVNYAEPSLRGKMRRPTEDLVDAVADHTIKRLSMSNNDHPAGIEAGL STKSGVKKSLPKIYDQDSLEEKDLEVVEKSNYSSSEDDTDTDGTWPNQEQRHRSMRAP TPDLSIRPVRHSRRNSSHPNYRLEMNSMAHVPV TRV_05773 MSFNQRRRVLKPLLYTAGAVVGGGGLLYISYRPRNLPGSDPAAV PPPTTLGGKLQPPQFPPIKSRDEQINDLRRHSATALPIKALQGVVESAQGQPQSSAES SESSRDGKEDDNVYDILVIGGGATGSGIALDAATRGLRVALVERDDFSAGTSSKSTKL VHGGVRYLEKAVWEMDYNQYVLTRLVIIPFPG TRV_05774 MVPVQKWWQVPYFWAGTKCYDFLAGSEGIESSYFLPRSKALDAF PMLRKDNLFGALVYYDGAHNDSRMNVSLAMTAALYGCTVVNHMEVTGLTKDANGKLNG AKVKDLIAERNGEKDGEFTIKAKSIINATGPFTDSIRKLDDPSINEIVAPSSGVHIIL PGYYSPAKMGLIDPSTSDGRVIFFLPWQGNTIAGTTDSPTEITKDPIPSEEDINWILS EIRGYLAPDINVQRDDVLAAWSGIRPLVRDPKAKNTESLVRNHLISVSNSGLLTCAGG KWTTYRQMAEEAVDEAIKQVNLRPGKPLATPNTSGVLSYSDNAVLDGTCQTHRVRLMG AHGYSKTLFINLIQHFGLATDVAKHLTQSYGDRAWEVAALSNPTDMRFPLRGVRLSPL YPFIDGEVRYAVRREYAQTAVDVLARRTRLAFLNAQAALETLPLVIDLMAEELKWDAK RKQTEWDDSVRFLMSMGLDKRRLGISRKDVEAGKF TRV_05765 MNNISKTNQGYSFDSRQRIEGRGRKKKSNSLTWLSGLSIRKSRH VKRSKKEKTRGWRGRGGQMTSAAMMMMMMGDNELDRSVSFAPRSALIFSSGSLFLSDD KAEKRRFFNAGKSKERGKSTHRAA TRV_05763 MDYMMRRSSFNSRPLSEATEIQDLDYEVEYSEPESPRRSIESFG TDSATTLSLSDEPPTPGPYEARAFELPSRNLSKPVQGPSGPHLFRGSMDSTMLEKPAV EEWQLSMSPVSLEDIKVPMWAPQERRSSEPAQIPEIPRTSTRMSHQKQNNPSFVQNWT PTQVAEWMYEVGVEDSMVERFIQNDISGAVLLDLHIDDLKELDIQSFGKRHYLMNMIQ TLRNATDPNSIPELARVNSSMSNSPPLAKADSPECTTYASPDGGARHSPPAPKRRGRR NRVISEEDIISPAESVSIVAIEQLLPKPHKCSKGENCSKYQKRQRKLARIAKQFPNEF ALIDGELVATTNQVVPPTPAMTQISRKSDAAPSLVASSDVLGPGLLPDLHPELQLNAE NLNGVRPRDPQENVRQFLTFQHMHSPDLNSASTNQPLEMFPPLPSQEQPVHVSNQLRS LPKLTIPNQTQSVSDPASALRTVTPSMGARVVNSPTATQEYNPYAFDDNNTYRHGTPF SEMDVPITAVPVEPLAREASQSVPPGMRYGNIPTRQHQTPEPPVRSRSTRPERHRRHP SVNTMTPLHEIESLPPIENPSDMDAATKPQPPVSQQPGSTVSSSSSSTQKDPDVTHSG WMKKRRTTRLLRHEWQDAHFTLKGTILAMHKDEFDTHRHSRALESIDVDDYAVACSSL ASNSKLTAAFKRSVLRKAANLNNNSYKGMDETAFAFSLIPATKPTERKSIFTANGKNH HFAVKTRDERVNWMRELMLAKALKKGKEAGNEIKMNGNFI TRV_05764 MGRFFLATEDPKKRRRMSGLGTGCFPKRRLLVIFPPSPTIYPPS SRERERERERSGFTLNSISLSIRYGCAYDDVSRAASSYEFLKKDIYPQPPSRSWPPSP PPSIEHSIANGGWSRQTLVVESDIFISRERLLPFFSLPSHAVDCCSTYNTPSVPGFRE YRIGPVAVDLPRLSTVVKQGEDISIHMSTST TRV_05757 MASNRTRRITKEIADIHADRHSQITVDPISEDDLTRLKGSFPGP PGTAYEGGTFKVDITIPTEYPFRPPVMKFDTRVWHPNISSQTGAICLDTLSSAWSPVL TIKSALLSLQSLLSTPEPRDPQDAEVARMLLSDPKEFERVAREWAVMHAGAPRRHTGE GSGGATDESIRRKALKAKEDEQREKLAAYEGYNKDLIDRFCSMGFDVPRVVSAFKYVG IDPMDGDDYELEEAYMGDITARLLGEP TRV_05758 MVSVRPRPFLRGFKSRISEEHSTADLFNYTTGRWLWREKEQLLE RYRRFNVRELQAITAHTLGSQACVSMSKIGEGNFNKVFRLVMDDGAVAIARIPHPNAG PPRYTTMSEVATMEFVSLSRTVNIRQKSARVDRSAEYIVMEEAKGTPLSQMWDEMKLD DRSEIIDDIVSIEQKLLSVTFGFGDVAQGIEDEVRKQFVIGPTTRREFWEKERALMDL DRGPWKSASGYVESIAHRETAWISQYARRDSIISGYPRGKGSQKSPQDHLGLLEKYLS VVSRLLPDDTELVRPALWHPDIHDGNIFVQDGRISSIIDWQSVWIAPLLLQARTPRLI DYHGEIQLRLPEDFKTLPEEERDRVRDQVQRSIQVYLYEDRTARVNPLLDRAIRKPHG KTLAQLVSFAGNSWDDHIVPLRDTLIDVESEESKGYSAAQAFWEMLQSRVQSDGWTTV EDFDDAVEYFSQLREAGLASLEGEERDEFEASTRFVEARRAERGSSVCDISQKQGSQL I TRV_05759 MGGQGGEGKDDKDKKAQQKEKPKYEPPPQPTTRIGRKKRKQQGP NASAKLPSIYPTSRCKLKYLRMQRVHDHLLLEEEYVENQERIRKSKAQASSTQPSTDD NNSALDRNADERGRVDDMRGSPMGVGNLEEMIDDDHAIVSSATGPEYYVSIMSFVDKD LLEPGASILLHHKSVSVVGVLTDDADPLVSVMKLEKAPTESYADIGGLESQIQEVREA VELPLLHPELYEEMGIKPPKGVILYGGPGTGKTLLAKAVANQTSATFLRIVGSELIQK YLGDGPRLVRQIFQVAAEHAPSIVFIDEIDAIGTKRYDSTSGGEREIQRTMLELLNQL DGFDDRGDVKVIMATNKIESLDPALIRPGRIDRKILFENPDQNTKKKIFTLHTSKMSL SEDVELDEFITQKDDLSGADIKAICSEAGLMALRERRMRVQMADFRAARERVMKTKQE NEPEGLYL TRV_05760 MEGFDEEEFKKFFPSGFGKQTKEADIKTQIDRTKRKEPIETPGA RTAEKPASPSQEAADDKDGGDSDSDSDSDSPEDEFPVSHNLVFKTHDRAVTTITLDTA GARMITGSTDCTVKFHDFASLTPSTLRAFKSVEPNSRKNATSSSEIHPVHIAKFNPIS PSQFLVVSATPQAKILSRDGDTIAEFVKGDMYLRDMKNTKGHISEVTSGTWSPTDYNL CVTAGTDSTLRIWDVNDPRSQKEVIVHRSKVAGSAGRSRMTAVAWASPTQGGPNALIA SALDGSLVMWGGDGPFTRPSAEIKDAHTKDSWISGLDISPDGRLVVTKGGDDLIKLWD TRKFKQPITTVSHVSGSKFHPTSNIQFSPTGANIVTGSETGHLHILNPATLKPELVTL VTPDSPLISVLWHEKLNQIVTGSANAETHLLYNPSLSHNGALTIMSKAPKRRHVDDDP NFTTDISLGFSGEGIVNGSGIAAASLAARHPNVGLTASGRSRDPRRPHVPAQTPFAKS QPDEKHIQDNIPLSSMRDEDPREALLKYAEAAEKNPIFTYAWKDTQPKTIYAELSDDE EETKGKGPDKKRIKRNY TRV_05761 MLVAFLLFLIAEQVSSTALPSPLHQSSFAAGNAPVLIGEKPGEG GHERDPISEWSSTIGIVTAIVGNVLISVALNIQRYAHVRIEREYEGNILRLRAEWKRT SSPPRPDGPTSSYSDYGTVDRENARSQDDVSASRYTDDPEEGGRQRPRKAHTNGNGHA HAQSQDNQSSEDEGVDDLLQRSFLSDRTATSFEKSIAGVDRKSYLRSSYWWFGIVLMT VGEAGNFLAYGFAPASIVSPLGVVALVSNCVIAPFMLKERFRQRDFLGVVIAVAGAVI VVLSAKTSEHKIGPDEIWGMITRWEFETYLGITVVLIIALMSISRKYGRKTILIDIGL VGLFGGYTALSTKGVSSLISNTLWHAITFPITYILVAVLVFSAVMQIRYINRALQHFN STQVIPTQFVLFTLSVIVGSAILYRDFESATGERVAKFVGGCLLTFFAVYLITSGRVQ KEDESESESETDEEEAIGLHNEEPYRDYVDWQQDGAPIPRRQSTESTGPGSKTKPSPS RSLQSLEEVYNESEDEDGQLTPRVRISASPGSLLPPISSSSSILDPSPLPAISNPWAT PSHEREEPDYLAVTPGKDTLSRSADVYPPPTNVILQFPAAPGATDSPPRISPPQLGPQ TPQGSPRRRSPTRAEQHSTHKHSGQPLRTPGSVHRNSLSRFSPGPLLPPLSGGLSAVV ADSLRRGEGSPQQLRRSLRGSTRHKSKNRVRAGIPLYLDAALDPRPVAETEVESAGTP RDGPQISRSTSTTGPDAFPSEQQRIRSLSDSWSGGLLNFGGNLRLSGRRSKGKLPAEG SEEPTSRQPEP TRV_05762 AARTLRLLEDHHKQLAAIIRFQHENPPSAAEAERKLSGGADELA PNPTASPVAHRGSGLTAGSQYQQQHHSRQQHHHHHQQQQQQYVQHPPRLLSQTSSGSR NSSIAGNLASARGIPSQRQHANPVSPTVSAQNARARMAGSPVQARQRDGRRADQLSTD PQASPQNRSREGNRSWGQPISPTRVTAQEYVSPYIKDTAIAGLKSITGDEPFKRFYST FEGVISKLSAPLAFASLPLGVDGASQPRLLSGQKAPAADTKTDTDAAVADFTSFSDKD PDVSKLISSAALRAIKDKDGHFGSHNPAESFYVVPTTGGMISYAGILSREEKEAVKDS LESVEDDFVDASENPPSPEDFVQKAASKLDRSTTLPPRKNKGDHSRSLKTVEELHMEN EALRHLSDTLAKRLHMWEVNAQSSSLALQQSIRAMHNQHAILPSLQTSPAASTTGEPM SSAVPGAASDMRIRELQELIKNNERELEKVSRENEKLRAVVERYRERWEKLKEGARVR REGAGNGNGNGRPSKDDSKERAEEEDTNNNNKQGD TRV_05751 VRLYFIHLILYKQKEKKKKIREERGPEFSIFVGDLGPEVNEYVL VSLFQSRFPSCKSAKIMTDPITGLSRGYGFVRFSDETDQQRALSEMQGVYCGNRPMRI STATPKNKGPAGPGGPAHMGVPGGPPGGMYPPSMGGAGGPPGPGGPQMGYYGAAPQPM NQFTDPNNTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVQRHAAE MAINQMQGYPIGNSRVRLSWGRSQNNSGPAGTPYRPAPPPPLYPAMGMPPAHQYGAGF APMKV TRV_05752 MLLVPGEDEEKRIKTVEEKKKRKKRKEVIKKKKIK TRV_05753 MQKKRREEKRRAISRKDEWNDYGKAERTKNEDTSRQAIRITRQT DKAKHADFIFLVSYLLLVGSFAAKEKEGKGTKEREKLGVWKRESDNGKNERKYEYMGI VS TRV_05755 MESLMQPEDSVMFEVRIFIDGICASYVAAASPPLVLYVLTLILT VDGIGQVCSTSKPFGLMSLYVLTLAELDRNGNQDSLRFPMFHEELLHQAHWDAGDMYG RIRVVISEGFTRPLRNPPFERVRDLIAFSYQHAPLGVLESSGIAWPNPGMWQQLVPGS RHFVKHSLGPLYSVEKDEDAHSHSPTRHDIRQFNNQGVQIGAGFSTLPYRMQPNPVPP QFSNNPWLDRDGPWIAPPPQVSDPFVDPSKNPWPPWPIKRRHSTLDDISMPNYIASSS SSSRVISNTAGLNFSRNREPSQMAPMDDEQYNQLIKAMSPSKATGGTSPPMNTPVAGK AATKAPSAVKGATDGTVNKSQPSALRELSQPGEDRRVRSGSSLRSRNSLNEVPPQSQT GLLSPSPNIRGRKEGSLSVYEDDKENSSGDASPAHSSRKASLTIEAAVTSAESKRKRS VSSTHSRGAVEAVSPSSSKKISRRKRDEQLQAEFDDFLSTAAKTDALLSEVELE TRV_05756 MSPPSETNDSPREYISISDSDSMDVAVSEGIKSLAPPSNAGYLQ IFSPADDGTMHRHPPSTIGPDDLKSLIEELVTPSRAKSTATQASRPLRSAPTDAALTL QAAPGVADADNAPVIDAEVTTITAACLPEMMVYPSIEASDANMVDTSAINASSADSQA VGRNTGVLDGVVSIPSASKSPVLPVTIPAVPCSLDTPSSMLPPAVTNQCHKPTFGQPS ALCGTMASPSHSDGTFPALFGQGSAASGIPGVSRFSCPPAPWGYGLSLLNQTVNSPPT PSPLVPNNQGMAPSFASSTSFQIEGTRPMLSNAPGTTVLDQTSIPAGHAAITPRELGP TQVLGDVNTAKLDDKDAMFYFGVICIQEHLTPSFEFLQYFDNKWVVRLRFGDHEVSKL QIYPTKAAATADACRGGLATLKSLMPLCVVPESPELGVSPNRLRWNSLLALYCQQRGI PDPLYTQYANFNGYRNEVEVDGLSFFGIEKCYPSIDEAIIACAHKALHYLLVTSGANG ELMHGVAKSGALPKVVGATPNLPNKPEPVTVDLTKFPFVPSAGTSVITKRARRKGGRG RGKEASLVDALKKVKGSEHQYPQAPTAASATGANSVKVKAVENTTNKKSTGRLATPAF FNPSLMAQKPSANHGVPDAAEFAQAIFFQCRICDRLSIHPPDFPVKVFNVKGSPMHFE VDAFFHNDAALRNFRRIGFAKHQSKPEAELLCHQNTVAFLMEVMMGVNQLRGGNWIEE AALTDKTGFYL TRV_05749 AKEGFGLIDQAYDTDETLDAELKKLPWPRFEHKVRSLNKHAASN VRFAVLFLGRHGQGFHNVAEAYYGTKAWDEYWSKLDGNGTITWSDAHLTEEGISQAKV ARDTWAAQMKNSIPLPEVYYTSPLDRCLATAKFTFSELELPPSKPFIPTVKELLRETL GVHTCDRRSSRNYIESTYPTYKIEPGFTQKDMLWDPEVRESDSDRDARLKKLLDDIFS HDKSTFMSLTAHGGAIRSILNVIGHREFGLQTGAVIPVLIRIETSTDVPEEPEEDLTI KIQGLN TRV_05750 PSQSRGKDIKARIFPLPFRDSSLSFLLFGQLAGYEFFPFLIHTF LFFISVVFSIQFNMKVFAIAAVAALTAVAVAGPVRPSGDKYLIELGPGKTQWVTKDQK HKMRAAGQTFIDITNEIGTNFVATKPVAANYPKNIAHSSMVSSMIANLSKENLMRDLQ AMSEFNNRYYESQTGVESANWLMEQVKKVIDESGAQGAKVEKIDNQFNQFNIIATIPG SSESTVIVGAHQDSINQEDPMGGRAPGADDNGSGSVVVLEALRGVLGSKAFRAANNTN TLEFHWYAGEEGGLLGSQTVFSKYKSDGRQVKAMLNQDLAGFKGQGQEQFGLITDNTN QELNQFCKMIVEKYASIPIVDTECGYACSDHASADRNGFPASMVAETAFEDSNPHIHS ADDTVEYLDFDHMLEHAKVALGFMTELGMASNL TRV_05746 ITSLEGAWSWKIFGSFTGETLFEDIACAPSYASVDAGQLGVLVC SPSAEEDAIHPVYKVGYPAVILEILADDGTAIKEAGVRGNVVVTQLIRRLQPIIRYPV GDVAEWVDYDAQTPKHRGRASIAVKIATTFLDLPLMKTTVAREIKESVTGRFQCIVRR ENSLYVLAFRLAITKPENADQLRDNIDKALGEASPKWKRDQEGGAIAPLRLEWVNIGE LIYHEKSGKLKEIVEERY TRV_05747 MIDLPANDPYEVLGIPSTAQTAQIRTAYKKLALKFHPDKIQDEA LREKGTAEFQKIQEAYELISDENKRAKYDQAKRAAAAARERMAAARGPPGSTKSRENC VFEDITPRGYSTSSKYESPKYESRPPPPPPPPTSSKSRRAPRDPSPVSVDRNPRPSRY SDDDSPYEEYRSSSRKHSGYDRRHHHSRTRDRDPYERKTSGSSSSKEYARREAARYAK ERAKEARESTRSSRASNAKYRDKERRRDTSEKHSRTAYAATVEDYTDESSSESGSESE YSEHEYTRPFREHVRPTEKARYHSASYPSSWESPKMAADIEGACEYMRSKAGMQKAAE GKPNVVYVTPLGSRREKDTARRSSARPRMPERERERERERERDRERERERDRDRERER ERERERDRDRDHVFDAPPRFHTSYSIPTRGSHSKSSSTSSRAPPPLRRAGTVPAAFDT SHVEPIVPRSVKKKGTLFDSGYSSPASSPPIVPSGDFVRPNTRQPKIITPTTGGSGST SSSSSSHRRTQSTSPLHRDPVTIQPTSAPPRSSRSSDMFQELKPSYYHVFPGQVRYSP RIGTEDISFGGPPKKPAFFRKASAVS TRV_05748 MAVMAEVDADADADETAATPLGASDGGDAGPTSGPERKAAVWRA AEAAAEAVRAVQAV TRV_05744 QQLEKSWLVSCRQRDYSMLVAEEDDRTRPDVTQQPDPRFPFPVR LREWNGPRPVLLFFFCPLVFRKITITVLMPLLKCSPKHLLLTALTRPAASSQDSSKLN EQGVAAKGKLYAKHEERKEQTFFCFCFFFFFFARLLPSFASTVFPDDPFPPRRRGGGV TRV_05745 LYSSSILSILCRGGERERASVHPNLAHVVQGVAGVTTFMRMQAR EGRKELDFPHAPTRCHERMDSSAQHSVLSGQAPGENIQPTAGRGGHPFRCRGHNHTQH SDWTSLLHWDRSGTKQRIGRKTMMEIKQIEIELLKLRLGSLGRGLLWLAGWLAGPGGG RVEQAALPCHHRHSEGQRDDDNSDGFFRIMFVKRSTTYHIRSRLLLHCM TRV_05739 TSDGQLYSNYTMKTTTLIQRLAATSLSPSKTYRRYHLPSSSGPS GQPPSISDQYDKFYRYTSGRWLWDEEKQLQDRFTPFNVPELQRIAATSIKANKCVAMT KLAEGSFNKTFHLRMDNGSTLVARIPHPIAGPKYYTTASEVATMDFVRPKLPFLPVAS YKLFEHRWLTLPLLQARTVLQIPVPQVYAWNPHVDNPVGAEYIIMEEAVGTKLEDVWH DLSLEDRLKIVEDLVSIESKLLSVSFSRYGNLYYSGQAVPGAVVADVVNDTAPALKMD VKKRFAIGPVVARDFWTKERSLMDIDRGPSRREQKWIEQYAVPEPATDPSTTPTAQNS PEAHLSLLKKYLQVAPYLLPTDDPDLVASTIWHTDLHAGNLFVDKGHITSVIDWQQAW AGPLVLQGRHSRLVNYDGDIILKPPPNFKELEPSEKAQLKKQIASSRILYLYELQTAK RNTSLNKVFRLKFGRVRCQPISFVGDTWDDDILPLRESLINVERYWHELGFNFACPIH FTQDELRRHAEDGEGWNEAQDFWKAIAGIVARDGWTPHGMYDEAVALFAELRELGLEN MKGKERDEFEAQTRWAESSSQSHNEG TRV_05740 MFGPYTPLQQLDLLADYGTKSYLVGSTNSLLLQQKDRYSDILIN LDEDTVNISSPSLRAALALSAADRRWIDFLTQTINDTWDEAHPAQPKTHGYMGSEEFI RLQFEEYLLALISCVKYHDEINSGGAIKQPANDIEGDPALDFNLDFIEHWRRTPNFAL FDKLTSDALLFSVVEPRHPCAGGLGIEDIQRRLAQQVADLHLDERVREGRETLNKHLA TGHQKVTTAFNSLWAELEARREAQRKRNEERAKQAAAASITAALDTSQSNNNSNNDSS KQEPGPASPPPASPTPSTSGWSFAARKAPAVDLTQAQATVSAAGQRASAYFSSWGSWA NERRKEWQTKNTSTGASPVSSPTATGTPRASMTSTYHERRSIESSTKDPVMTEMKEMQ AKEDVDRPGSSRPQSSDQSTEKDHIDAVLESDPLSSSSHGAGKAPTTSSSG TRV_05741 MVTAHLLGEELYNLLGHYLSRHLGGVYQASLSHTDEPLLSFYIR EWTRYTTSAKYINHLFRYLNRHWVKREVDEGKKDIYDVYTLHLVRWKEDFFRLVHENV MSAVLGLIEKQRNGETIEQSQIKHIVNSFVSLGLDESDTSKSTLVVYQYYFEKPFIEA TRVYYDRESKRFVAENSVVEYMKKAELRLEEERARIDLYLHPDVTKNLTETCLDVLVT SHCNLIRDEFQPLLDAERQDDLARMYRLLSKIKDGLDPLRNRFETHVRKAGLSAIAKV ASAGSEGVDPKVYVDSLLQVHGKYRSMVDEAFAGETEFVRSLDNACREFVNRNALCTT SSTKSPELLARYTDSLLKKGSKSSEESELEELLVQIMTVFKYIEDKDVFQKFYSRMLA KRLVHVSSVSDDAETSMISKLKEACGFEYTNKLQRMFQDIQISKDLNTNYREWQERTF DEEDRKKMVDPHFQILGTGFWPLNPPSTQFIPPQVINKTVERFKSFYFDKHSGRKLTW LWQLCKGEIKANYVKNTKFPYTFQVSTYQMGILLLYNDSDVFEYSEIEKATALSPDVL DPNLGIFVKAKVLIPSPENAKPGPGTSYALNYHFKTKKIKVNLNIQVKSEQKHEAEDT HKTVEEDRKLVLQSAIVRIMKSRKRMKHVQLVQEVIQQVSARFPPKISDIKKNIEGLM EKEYIERLDGDEISYIA TRV_05742 MVRPLKELQVTERLIPAWGNFPNTSIQEKPLLIYQSVFDSASPD AVREHLEAVGAVVPQWTYSMYPANHFHSKTHEVLSVVAGQARLCFGHEENPDRFETTV SKGDVIVVPAGVTHCLLADAQGDFAMVGAYPPGKQWDLCYGEEEVDKQASVIRSVGWF DRDPIYGDSGPVFAV TRV_05743 SQSQSFPVPPGDAHVASHHYSPKAKDFAFDRESRREKDIEQGEG ELSERTRSYTHYTQESRWKTFTRSVPQVREPTHQQNKIVDADWLNRNFGDYSDPWQGY IDEKADESARHSATFIQRRKIWMKRVQYKILHSPMVPLIIRLTVFIFSAIALSLGGSI RHLSTTHSRPQGPSPLMAIIFDAIALVYLVYITYDEYTGKPLGLRSASAKIRLIFLDL VFIVFASANLSLAFASLSDVNGSCSSGDIDLTIHPRNDKICDRQKVLAAVLLVVLVAW LMTFMISALRYVSLPSQIISAPIISAAANVPPYSRVIERVAAK TRV_05732 LSILIALSMGWFGCQHLSQTCLLQAQVGSYEVLGRENASIILRY GFNLHGSMCKGGDARRYPAMIVITTAYLSHILPVNLLAQILGNQESKRKRLGCEERVP LISPRALCWLRVALLHFQLRRLPTLTATELTFIHHINFCFSTLFGLYYYIICVINMG TRV_05733 MSVVGIDFGALSTKIGVARNKGIDIVTNEVSNRSTPSLVGFGPK SRYIGEPAKTQEISNLKNTVGSLKLLVGRQFSDPDVQLEQEFCSAKLVDVNGEAGAEV SYMGKKEQFSATQLVAMYLTKIKSTASAELKLPVSDVVVSVPPWFTDAQRRALIDASA IAGLNMLRLINDTTAIALGYGITKLDLPAEGETPRRVAFVDIGHCNYSCAIVEFKKGE LNVKGTAWDRHFGGRALDKALVDHLAKEFKEKFKIDIKTNPKAMTRTFAAAEKLKKIL SANAQAPISIESIMNDVDVRAMVKREELEEMIRPLLDRITVPLEQALAEAGLKPEEID SIEMVGGCTRVPSIKEAISKFFGKQLSFTLNQDEAVARGCAFSCAILSPVFRVRDFSV HDVINYPIEFTWEQSPDIPDEATSLTVFNKGNIMPSTKILTFYRKQPFDLEARYSKPD MLPGKTNPWIGRFSVKGVTADANSDFMICKLKARLNLHGILNIESGYYVEDVEVEEPI PEEKKEGETMDTDDANGEAEAKPKMRKVKKQLRKGDLPVVVGSTSLDPAAREKLAERE NAMFMEDKLVADTEDKKNELESFIYELRDKIDGVYAEHASEEEKEKLRAKLTSTEDWL YEEGEDTTKAVYMSKMDDIRFLSGPIVQRYLDKLEAERQAAAPKKHVEIKGDTVDNAD ANGDSKMEEVE TRV_05734 MATARLNAVLAQLKPATGGSSAVQKITQKNPDDIVITLAARTPM ARGFKGSFKDTELDYIVYSLLKEVVSRSKLDPSIIEDVVMGCSNNERASSIIRAASLA AGIPYTSGASAVSRFCSSGLYSIQDVANQISNGSIEIGIAVGAESMTKGPTGKPAPFA PEVLANQDAADCSQPMGQTSENVGADFNISREAQDRYAVESFRRAEMAQKAGHFVDEI IPITTKVKDPKSGEVKQITVTKDEGPRYGTTFEGLSKIRPAFPQFGNRTTGGNASQIT DGVAAAVLLMKRSKAEELGQPIIGKFVGATVAGVPPRIMGIGPSIAIPKLLSKFNLRK EDVDIFEINEAFASMAVYCINTLGLDHAKVNPCGGAIALGHPLGCTGTRQVCTIMNEA KRQNAKILVTSMCIGTGQGMAGLFVNEQ TRV_05735 MDANDMQTISDEQGNINITVHHQGNAHSISLPYGSTLQDLSEEL SEKLSIPLHNQKLLISPKPGLQKPPFPPTPLSSLPLDSPRAKITLLGSTSGEIESLKR PLSSSPARKSIIKPAKPSVRPTHPSSSQYTFHKLLPLPYLPNPERSLNFLARLRDDPG IRKAMASHRFSIPLLTEMDPAQHTTMSSRTLGLNRNKGEVIELRLRTDAYDGYRDYRT IRKTLCHELAHCEFSEHNRDFWDLTGQIEKEVDRADYWGNKGRSVSDEEFYNPVDWED MNVQGVVDHGGWTGGEFVLGGLPGESSETRPANSQKGLSRREILANAAEARMAKVKPQ EHSEDNPSSKDERDP TRV_05736 MAVSQTTSKPVKETLGKYFDEPGTDGTLRHYDSRFFKGVVEPAD RVESLTDMIRAYLQFFQENSLETWIAHGTLLGWWWNGKILPWDWDLDTQVSSNTLIYL GEYLNQTVYNYTGSKPGSRRKRQYLLDVNPASQDRHRGDGQNVIDARWTDISNGIYTD ITGISELNYDTEPGVLSDKNFHRYQEADIYPLRQSICEGVPASIPFNYIGILAAEYGN ASLWRIIYEK TRV_05737 MEEDNNENAGLGIVITVTVIVAAGIAAYESPEVQRWLDTSRRKI ALALHSLGDGISPDKQRTPQRDDISMVEATGIEAEERRQRAREDIMRRHALLTAKRRA TSEGSMSSFDKLVDSEGRLKETKLFDKEPEGSVSRSSGVQMPDLSRVVPVNVKQGDSN ATSHSVSMVIPGINPDQRRALIEKLQLNTSPASTLDSETSSHHPSESLVNLTPTSEFP DTDFQASIHVSEQDIPVQNGSSSASHTEDGESDFYYAHPDHPNQATSHTDGVLFDADG SHTPVTQPSPAPSVTSSLSHINHDPFESVSDDSISDIVQSRDDVYTPVSWSEVGSVTS SNDGGHA TRV_05738 MSHPPAVQRSLTLTEELEKLEQSITLTLQEIDSNFNKAHRIVTS SIIPIVEQYAENSRDVWEASKFWKQFFEASANVSLSGYEEQPPIDNEQDATISANTTA TDDSTYREESESYASPSSEHISINQKIKDEDDPDLSTLSLSPSHSTPRARGISSRAIS DDDPTSPCIEYSSTSDQHEENLPAIESPLQLCDAGPRTPGKGNQLGYNEIATPSTSSS TVFNPTSKSKLSMALKGDRADPLMHQVLDKTYRVQATPLANPRRLTTAKGNNKLNIAI PPTSRYKLDDSPLSSPELEAPKLHTELFDSPMIRRSAAKRNHSKTRTPGVSVLATPSN RFKGDPNQWDSDEEFHRGGCADDDEDDDSALVDFSPPKTMQFHVPQSRLMKTSAKEAS KRIVSDLLYTAGASELTDDLDDIYDDPNNSPSIIRRSGVDDTF TRV_05728 MVGNNTLLTEINHHGKRLYYKKHGNPTGPPIVFVHGLGGRSEYY DPLISSLALAKTHSIHQFDLEGHGQSPLSTRSAMTISSLADDVENIFSLAGISSALPA ILVGWSMGSIIATLFTIKNPGLVHKLILLGPPPCPLPTAGQNATLARAAVVRSRGMSA VADTVATAATSSLTRSRKPAVYTAALSTLLSQDPESYARACTALANESKPLELEKLTC QTLIITGDDDKVSPPVTCSAIASSIPNCRPPVVLKD TRV_05729 MKQTRQPLPPSSVPRTARTCRWRKIAGSKTLYQHAGGDDGEGGG LRKDSRRTGEELDQWAIS TRV_05730 MSCRSSEIPVLLRFAAGCQLVVNPMLASPLSHGLTTARKPSSAS SMDEPASYPVSSLAGFIVACCLLVVVVVVANVNAIPGQRLHG TRV_05731 MASGKMEEPPVSWSSAANTIPHYDGPPRNIATIDALDFGVHLTP KKYEMAGTHPDSKIMFLDVEILDSTGKLPYRGDVLIEGERITAVGNIPGKEELKKNPR VRKILGRGRTLMSGLGDAHTHLSWNGGDLERLGELAVEEHTLLTARSAQCYADSGYTM CFGAAAAKDRLDVVIRDSINDGDIPGPRCLANGMEIARRDGELVAGITAFADGPEEMR EKVKHHAELGVDQIKLSMSGEEITETRSAQDCYFTDEETAACVDEAHKRGIRLCAHAR ARDSVKMCVKHGVDVIYHASYIDDEGMDMLEKNKTKHIVAPAINWLVNIFTEAAKFGY TPEKAEEVGYRKERDTAILALREMHRRGIVILPGGDYGFAWTPHGTYARDLMHFQELI GFTAHESVIAATAGVAKLFMREHELGKILPGYYADCILVDGNPLEDIAVLQDHAKLDV IIINGRVHKIGKKDGAGRVPLSANPESNGHANGAGNDIPDGENVHHEEFPEIKKSMQK QY TRV_05723 VSEWILRSLDLTALDFKSIEQPLNELERHLTLRSFIVGYSLTLA DIIMVSDLEAPFRKKRAAGSAAGASYEIGLNAENIITRFPPEPSGYLHIGHAKAALLN DFFAHKQSGGTMICRFDDTNPSKENAEFQDSILHDLELLGITPDKVTYSSDYFDLMFD LCTKLISNGKAYADNTDKEIMNHERRNGIASKCRELGVEESLSHLSQMKLGSPEGQGW CIRAKISVDDPNKAMRDPVIYRCNPQPHHRTGSKWKVYPTYDFCAPILDAVEGITYAL RTNEYRDRNVQYSWMQKALGLREVTIWDFSRLNFIQTVLSKRKLSQLVENKVVWGWDD PRMPTIRGIRRRGMTIPALREFILKQGPSRNILNLDWTLIWAINKKFIDPIAPRYTAV NKENMVHAKVNKAEVSSVIKQKQKHPKNPELGARDVVYSSNICIEQDDAESFLPNEEI TLMAWGNAIVRDIVKDPKTQLITHIELDLYLEGDFKKTSKKITWLSQDQDLVPAELVE FDHLITKDKLEKEDDIMSFVNWKSEAHSYAWTDAAAKELRADDIIQLERKGYYRVDRG LSDGQPMVLFSIPTGKLT TRV_05724 MPNAKQLGSVKDSMISYHNDQAKEHYSKYKSNMSSFQKTNERQD RREAYRQLSHYSDNKKAADTWKRV TRV_05725 MSEEEEIRRSLGQLFIVGFDSLTASEDIKSLIKAPFYIGNIILF RRNVHDSEQLITLTNELQQTARDAGHARPLFIAADQENGWVSQIKPPIATQFPGAMAL GATGSIEDATQIGRATGEMLDALGINMNYAPVCDVNSEPSNPVIGIRSPGDDGASVGR IASAFAKGLREKKIVPCAKHFPGHGDTTIDSHYGLPIVGKSIEELEACELIPFRRVTA ENVESVMTSHIVLPALEESNLPMTLSKSCVDFLRERLQYDGLLVSDCLEMDAIREYYG TEKGAAMAIAAGVDCAMVCHTLKVQVGAYNEVYQAFKQGDITSEGVAKSVARVAALKD KFISWKSVFRKRKPELLSELRLAHERLSTRIYARSTTLVRDTQKVIPLKPAENVVYAY ISLVGENVAVPHTLPHTQSKFWDVIKQYHSYTIECPVLQDIILEDDEMTKAKISEADA VILVTKDAKMSVDQVELTKLIEGLSKKLIVVAIGGPYDFLEDEDFVKTYLTIYEPTVE AFIPAVRIIFGDIDAKGKLPVSVKPCQVPIEPFNADRDLAKVVELWHGLLPRYAVPSA TLSHVLSRPNGNHLVSRVEDKVVGFVATYTNEDRPTAFIPVVLVDSGHQGKGVGTALI EHARIYLRNGYSTSSVTIGSSFPRFWPGVPMDISKQAQEFFIHRGFCPERRPTARDYT VDLLSYEAPKGVLERAEKAGVTYAPWRKEQYEECMEKQRKLFGKDPVWMEAYEGLAQT GQYNQVMVATDSSTGEQIGWTLMQELGIGMTRELAMQQLVGIKSGQIGCVGVVPEARN KGVGLALITHAALDLKRRGMEHVFVDWSNHVNWYERAGFKVWGEYRTMVLHELAKTN TRV_05726 MASIRIPALRNLLSRPVLPTRHVQRRWAQVHDVRFIATHQSSQV LDKYKEKLARKAKEEGHDSVSSLKEAYKEKIQQVRNADAAPQTPLKPSSSPSSEPAGL DKKPSAPTAKKSSSPPGIKPLSSYLDLEKTAALPPDIIGKLWRARHVTNPNSICASIP IDTYNRMVQVARQHPQFVLPLPRELETPQDPKENAEASSETPAEKAIAAEMHFLQWGF HPPASASDSKVPTPVSTHNTHTSTVIFTSLAEYKLHAGFAPPHTVITHHLDFADDKGI VLMNGTVVTDRGMTVDDAQLLVLWLQKFYDWEAEGAGSQGGRKGEMLRMFTSGDTEGF KVQELIDEVQRV TRV_05727 PASQPAAMADAPPPQPPSGWNFAAMAANVVQFLRLPVLASGGLA VVASGMLYFKQNDLIYPRNIPADARTNVPKPSDFGMTNFEDLRIPTPDGEVLAAYFIR PSNRKIKAQVTILMFHGNAGNIGHRAPIAHMLEQQLDCNIFMLEYRGYGLSTGTPDEA GLKIDAQTALDYIRNRAELQGTKIVIHGQSLGGAVAIDLVAKNQKEGDIKALILENTF LSIRKLIPSVFPAAKYVARLCHQTWLNEEVLPKITTVPILFLSGLKDEIIPMSKGTEC IWRTFPNGQHNDTVAEPMYFDYIHAFIVDEVLD TRV_05714 MRILSPLACKRPFDSIRSVVSSLVSPSSACYLPLSPSNWREGKR AIVSESGYLLIILFPMTLAPWPSSRDFFFFSFFALKCAAVHHVHVHAGLSCPISSPSF LPPPPANSLQALTVSSFTTEES TRV_05715 MAVEYSKKTNAELVEILKSRGLSHTGKKADMVARLQEADKAAAA AAPAPAPAPPAAEDVIDWDDDTETAPAATKPAPAAPAAAPEQKTVTEPATAQKAKAAK PEEKTEAATATTTSAGAAGTCAAEAKPVDEQHATNGTDKKAATEEKKGTEKPAVDYSR GLATTDPDAELAKRKARAAKFGAVEESVATEAEKALARAKRFGPVSDEPAKIKGLDEA LPTRKRGRGDDGDSGRGKRRHFHGRGRGRGPRRGGRNEAGNSNNNSSKEKFSEADRVA MEKRKERFAAK TRV_05716 MEPAQCLRDDYAVIQLKSFREAENATEKKEEEEEEAKKKEKKKD DSQREREAGRAKKTPAGETAASSPAEDVVIEWLVDIRGFSVKLSGKCKLAESGMGWKP SGGGDTFTLDKSNIGAAEWSRAAKGYELKIFSRTCGVIQLDGFEQDKAAPQDFDRASK AFKIWYGVNLTNREHALRGWNWGKAEFGKAELSFNVQNRPAFEVPYSEISNTNLAGRN EVAVEFFLPTDDASTAKEQPAGSTKNRGRKAGLGKDELVEMRFYIPGTVPKKEEGDEQ GEGEENKSADGEEEVEEQNAANLFYETLMDKAEIGDVAGDTFATFLDVLHLTPRGRFD MDMYESSFRLRGKTYDYKIQYQSIKKFFLLPKNDDTHTLITLGLDPPLRQGQTRYPFL VMQLKLDDEISIDLNMTDELLQTRYKDKLEAHYEEPIHQVVTKVFRGLSGKKVIMPSR DFASGVKCSTKANEGLLFCLDKSFMFVPKPATYIQIENISVITMSRVGGTVSASRTFD ITMTLKGGQGEHQFSNINREEQQPLEDFFKAKNIRFKNEMVEEASTLIATALENDQMM DSSDDDAGVQEDRGSAAEDSESPDEDFVGDSDSEVAEEFDSEHASSSGDSDAEMDDVE EERPKKKTKVQK TRV_05717 MGHLSQPGWAPLACNFNLILNVFLALPLALTSQAALFEPTPSPN LDLNPLGRIALVGNFDAASLYSYKPTNKEGLAGFNGSLKQSLIAPFPDGSLFPISSAD ADILAMCPLKDKDGSVTSVVVGGNFTSLGGVESNGVAVFDYKNIRVSAVPGLSGTVNA LYCDSDSNIVYVGGEFRNAESTNAVIWSPKSGLSNLPFSGFNGPVTSIVPAKDGRVVF GGSFDGLENTTSPERKDQQIVNLFTAEISVGSGSTAGGFDDPRSIICPTDGQSGPGKT WLLTDNAPGYWRANLHYGFRPTKLRVRNVVMDGRGTKTFRFTALPDGGILNMTYVDPE SGQKIPCDARCPLSNDPKYATRDFSFVNNVGMSSFQLEVSEWYGQGGGFTGIELFQDD IYAYAVNDLNEPTCAGIPFASTATTTGEWKVQPSHDSSSDYLTTQIDASTDTSTSVTI QPDIKQSGNYSVTIFTPGCIQDGTCATRGTANVTATLKSSEDAPIVASISQTNNFDKF DQIYVGHVDASTSKFRPSVTITPRHDQGMIDFVASRIWFQLISSTGGLNGLYEYDPKA TTVDTNFTQSAINKAGTELEPEAIINSLVWDKDTLFIAGNFTKEPISNIVSLSDGTSS PLSQNGLNFAIKTMQLLDGLLYVGGNFSNTAVGDNDKLSSVAVYSISDKTWHSLGAGV NGPVTSLVLFPTNVTSGKTETTIAVNGLFTEINAADGHPAIPANGFAVWVPSAKKWLK ELDIDHLAYVGQLTASSQVGDETLLAGNLASGGIASHGAVNLVDNNKLGLRALPVNIE LGQGMLPERNAKRKLATGGSSGVITGLFDTEGGRNLTMLAGHFTAKTSDNSVVNNLVF LNGAQHDVVTGAGPGIDANSTFLSLAVNKDMLLAGGKISGKVADANIKGLVVYDLKNE HRYASNQPSALDGDDVEVRAIAPRPGTNDIFVGGVFQSAGSLPCPSVCYLELDGNQWN RPGTSLRGDVTVLLWTENDQLLVAGNLTVERNATMLARYNAKEQEWSTITGKNSESIN GEVRALGLSQSDGSKFWIAGKSADGASFLVHYDGTEFRSAGRLFGESTLIEGLQVLPL TKTHGKTDLLEENQSLLITGKLQLPNFGYVSGALFDGTTLEPFILSSMSDGRPGSISG IFSENKINVAGHPLGCVFFLVLFGILLNRYRRYKQGYVTAPQGTDRKPDLNRVPPEYL LESLRHRTPGTRI TRV_05718 MNQDEGGVGPSSLKGFYSYKNTFGADSVWLDIPKEVVFVDNSDP YADELEEQFTADTPLDTHGEPAWSHGGGYLPPFTEAQTHGLDALSAAATAENHPIYQS VADHIAENSNSHTRLETTEHPEATISSSSPTIARIPTEASPGSLSLPLSSPNNNVGFV LNAPPNGMPQPIDPGLHSPENQRATSSVSQGLTQVMRVTSLVETEHEAAFFLRHFSEG PGRCPLLKYAACAYSAKQIGRIKGTNAVTGGSCLRQAMMEIWPDTERVDWNYYGVKYY EKAIQLLMEELQHNGNFSPLSGSQTSGNLQAEDPGGLENTSSKRRKVSIAEVQLSSPH SDDVLAAISIIFAYEFLDNTSPVWDRHFSGVQSLLDIMKAGIVPSEKQNSSGNLNVAT SKLPRFSKVGKAIFWNFARQDYLSAFINETLTRLVTSDLTLWTEAGLILNDAGFFQQE SATEHNESTKEDIISNTLIWILSKIVDFIAVGDSRNHGESFTGASQNTLLDRWNRLQA ELDTWFHALPDTFKPCARIDSPPGPHSSQDVCPFQEIWYSIPMCASAMQHYHMARILL LINKPHESTARRSTVTDRLNSYRSIEKEIQNHSREICGISASRLEASARIHSIQPLFV SGQCLTGELERKAILQLLCRIEADLGWATKYRVQQLLAEWNWDETVLDSLPG TRV_05719 MGKVKSASWVLWCLFSQPELFSSSGWTSISLDWNSNDAFFTFTR GRFMVDEAENLRKREIKFDLNQLAQVAADAVGASCCISVKKYPDGMFNKAFALTMDNG REVVAKVPNPNAGIPHFTTASEVATMDFARKILDTPAPVVHAWNSQAKSHPVGAEFII MDKMKGVPLSQVWAKMQLPQKLQVLLAVTRMQKRWLSVLFSHYGSLYYASDLQTSAGS HYIKDGKAVMDSEFALGPATGREWVDAGRASLDIDRGSWTSLTQYLRAVADRERTAIR LLKPPKQIALFCGPRLYQPNPETKLTALSHYQQIIDALAPSDGTIKSPYLWHDDLHDD NIFVDPSNPEEITGIIDWQSCHISPLYNHNPDPAFLSWDGLEPETLDLLPRPKLSGLS PEERAAALHEYSYHNIFIGWRKLMQAKNPELYAAVEFRKTAPYGLIFLAHRMFEYGEA HFESLLADLKDTWPDLPAVPSNKPFPFDFSKEEYERIKTSSDHAVAATDLVTEVKEQL GDLYPDKGFIEHDRFDDCKSALEDVRLQILEQLAQSEEERAEFDRHWPFK TRV_05720 MSLDTDALIIGAGMSGIGFAIQLQKDFPQASYEIFEKSNGLGGT WWANTYPGCGCDVPSHVYSYSFDLNPEWSMKYALQPEILAYFKSVVDKHNVAPYIRYN SVVQSAGFEEKTGTWLVTVKDLKSNITRHRRCKILISAVGALSIPKECDIKGYNTFRG KLFHSAQWDHQFNWANKDVIVIGNGCSATQFVPIMTDGDNKVRKLTQFIRQPHWVIER PNNKYSPLFKWTMRYIPLTMWAYRVWHFSRLEYSFREFYLEYGRPLREDQTNTHLEYL RRTAPAKYHDVLTPKIEFGCKRKVMDTGYFDCLHRENMELIATDPIEEIKETGVITKS GRTINADAIVLATGFQTQQVLHPLEIRGKKGVSLMEHWQDFADNTPQAYYGTCVSGFP NFFIMMGPNTATGHLSVIYTSECQINFAIRAIRPVMQSLYPSPLQAFNPFGGRSCDTV AVTPRAEQEDNSWVQSALKGFVWASGCSNCIFIPFKSDFEFSSSEVRVMGKNNGQKGK PYQTSMLVGSGLGLAVVATFAAGIKYDTKVRDLGFKGSHQVVAFWKDLIAQLISSKE TRV_05721 MQCSWRKLDDEWTCSLYGRVLSLKQDSDSLWYRSFKPSSVESST LPTPPASNATTQRTTPDDDDTEALIHHYFNLEYNLSDLYEQWATSDPNFKKKAVQFAG IRIMRQDAWETLVSFICSSNNNIARISQMVEKLCINYGPFIGQLGDQKYYDFPEPSAL TGTGVESHLRELGFGYRAKYIYQTANIVANQREPGWLNSLRNPEKPAFNEKPATPGSG AKGDESGYREAHEQLLALQGVGPKVADCVCLMGLGWGESVPVDTHVWQIAQRDYKFGK GKHKTLNKATYDAVGDHFRELWGKEAGWAQSVLFTANLRSFSDRLNPKTEIHDQSTET LKVESKVEATQVKEEAEEDGIRVTTRISVKRELSEGEDTGPKDNPSASEPVPKKRRTR ASRSRK TRV_05722 MDSYSSSRDYRAREREDRYQSRRDDRGDWDRDRGSDRRRERRGD DDDRSRRDRDLFEEKSRGGRGRDRKRSATPPPKKREPTPDLTDVVPILERKRRLTQWD IKPPGYENVTAEQAKVSGMFPLPGAPRQQAVDPSRLQAFMNPPAASGSSNNTLLKPSN SRQSKRLFAHNIPPNVTEDTLQQFFNLQLNGLNVISGVDPCQSVQISKDGKFALLEFN TAADATVALAFDGITMEEHEANRESNGESNGDVKGLTIVRPKDYIVPIPTDEEPRQEG VVSSNVPDSPNKICVSNIPPFIQEDQVTMLLVSFGELKSFVLVKDVGTDESRGIAFCE YLDPASTGIAVEGLNGMELGDRRLKVNRASIGTVQAAGLDMGVNAMSMFAKTTSQDLE TGRVLQLLNMVTADELIDNEDYEEICEDVQEECSKYGVVEELKIPRPSAGSRQAAGVG KIYVKFDSPESATKALQALAGRKFQDRTVVTTYFSE TRV_05701 METKSGAKIAIRGKGSVKEGKGRSDAAHSSNQEEDLHCLIMADT EDKVNKAKELIHNVIETAASIPEGQNELKRNQLRELAALNGTLRDDENQACQNCGQIG HRKYDCPEQRNFTANIICRVCGNAGHMAKDCPDRQRGTDWRNHGPSVRGKGAGAGDAV DREMEQLMQELSGNAPLPGGEAQKRIEGGPGGYGQGSNSYNGDDVKPWQQREPASNTP PWQRRDDRARDDHGHHDPNAPPPWATGGHRGDRGDRDNRDHHGYQGRDSYNPAPAAGG PPPWQQAAQQAPAMAQTAAYGYAGYQFPTNQVMGAPPGLSGIPPPPPGMASMFAGFQG APPPPPPPADGPPPPVSSHGFAAIFYRN TRV_05702 MTAQAPDGEVQAATTAAAASNTRWWKIRYFQGMKNDIRRRAPYY WSDWKDAWDYRVIPATVYMFFANILPALAFSLDMFEKTHMSYGVNEVLLASVLGAVVF AFLAGQPLVIVGVTGPITVFNYTVYDIIAHRGTNYLAFMCWIGIFSCDVFGFYVAFIY IQKGIQVLTRQWGMAGETSAYLSIMVSLLVLMSCFICGAIGESNLFNRHTRKFIEDYG TPLSIVFFTGFVHIGQMRNVNVETLPISKAFFPTSDRGWLVHFWDISASDIFLAIPFA ILLTVLFYFDHNVSSLIAQGTEFPLRKPAGFHWDLFLLGLTTGIAGLLGIPFPNGLIP QAPFHTASLCVTRQVADEDENHKGKSVRIVDHVVEQRVSNLAQGLLTLGAMSGPLLFV LHLIPQAVMAGLFFIMGIQALLANGVTQKLKFLLQDKELTSSSNPFLRIERRMAVWVF VGIELLGFGATFAITQTIAAVGFPVFILLLIPIRSFLLPKWFTQKELSILDAPTASSF TMESVGGSYGDALPESNRSPHNGEEMPVVEGGIFAGDLETGDLHEPQTSTSIRRRS TRV_05703 MAGMLPASSDPEDSRSESSSVAQDERETDGQDERPQKRRRLSDS SGDFPIAPTTPFPVQTLSRIKKKEVKNERQEESASQADPITANDAFAMGLQSVDSSFS SLGLAPWLVSSLKAMEIKRPTAIQKSCIPEIIKGRDCIGGSRTGSGKTVAFAAPILHK WSEDPFGIYAVILTPTRELALQIFEQVKAISAPQSLKPLLITGGSDMRPQAIGLSQRP HIVIATPGRLADHIKSSGEDTIIGLKRVRMVVLDEADRLLTSGPGSMLPDVETCLSAL PPSSDRQTLLFTATVTPEVRALKSMPRAKNKPPIFVTEISTENTTIPPTLKQTYLQVP LNHREAFLQVLLSTEGNSSKSTIIFCNRTKTADLLERILRRLSHRVTSLHSLLPQSER VANLSRFRASAARLLVATDVAARGLDIPSVGLVINYDVPRNPDDYIHRVGRTARAGRE GEAITLVGQRDVQLVLAIEARVGKQMVAWEEPGVNIESRIVKATVLKEVGSTKREAMG EIDEGRDVLGRRVRKLKKVR TRV_05704 MAPVKTRGSKKAKVVDKTKPSREAGSETEPIEIEVIVRPRKVGC LMLFVGNHEDKGEDVDYWRVRLGWAGDEELLPHFPADLFSRDIYHRYEAVGDPTQVEV NGQVPPLRFVWVFETGEWVDKAAMLRERAQKAHREEEGRKTECMAANTEAKTDRGGSR RARARPVAPDTDPNACRQAAEGTSAGAGEVEDGASHDLPLEPEVKKRGRKPAAAKAAL KVASKRKRQQGESDEKAAEPFAKRRIKAARK TRV_05705 MHAASPNSTPQVLLNTIPRNMVAYFSPVLKDCFPAAGVAHANRR GCDGEIIATIYGSLKPAFIIIFNWMMKSCEGQGLVWIERMNYTKYARVFEAAKILSVD LVCEDMLNRMNKMANTQIRVDDVRLIYNFFSKDSEPRQIVIRSIGDAVFERRLRGWAQ YKEFKIQCRDYDYDIYEYVEKRRRAVAKEKRKLRQLEKKSKGGPSGRIPLPQDWEAEV TKDQETEDKVAVKKVTGVVSRKGKRGKPTYVSVTLDKFGVDNADYRPEY TRV_05706 MSLASSAPPRPALLNKTTNALLSPRKHNSASSMGSDDNVSKQVT RNHTPSSPIQLVGKKRSIDQVDVDQYRPSTAASSFNSQNRREDEFYIYDESTHSSMDI DKETSFLQYSSHNKASDSQEQAAEKGCSQESTSISSLLNLSFESEGGNYSNNRNINNA KRNSPLSSPNPPQKSTRGQTISSSSSIPTDPEARKLFIQQKAGLLREKVQTAMKNIRD HSEMDRRIKELEALCPQAWNTANQQATPSSSHSETRSDLAPGVQQGPSVASFGQNNDQ KGGDYAATPTQQNMNQQPQVPLPSQPAGNNQPVQPQSVNNAATVPVIQKEKTTVDRKA LRVNHEIAVDGLLRLMKTTSEYDALDEWAGP TRV_05707 MSVLTVALKAPGETILPAVAVASCLIDANPKVGLTIVYEESEKV GSKGGKVELKTDDGSLLYDAEIISYLRDKYEVLQAGNKEQVDEWLNRALEFTKPDFKA MDKPMKELESHLTLRSYIVGYGLTLADLVVWATLRGNRVTSGAVKRFTNSTGRWFNFI ETMYPWIGQGAAEMAAGAMKKKAAASAAGASYNIGLPDTDKGVVTRFPPEPSGYLHIG HAKAALLNDYFAHEAYKGTLICRFDDTNPSNESQEFVDAITYDLSLLGIFPDRISFSS DYFQEMYEDCIKIIKSGNAYADDTEKEQMQHERFEGIASKRRDESVEDNLARFAEMKT GSEEGQRWCIRAKISVDDTNKAMRDPVIYRCNLTPHHRTGDTWKIYPTYDFCVPILDS LEGVTHALRTNEYNDRNPQYAWFQKTLGIRSVHIWDFSRLNFIKTLLSKRKLTKIVDQ GMVWGWDDPRMPTIRGIRRRGMTIPSLREYILKQGPSRNIINMDWTTFWSNNRKYIDP TSPRHTGIDQEDMVPGTVSGATDADLKPQEKPKHPKNPELGTKMVYYSKDILFEQEDA KSFKQDEEITLMNWGNAIVRKITTDAASGKVTGLDLDLHLAGDFKKTEKKVTWLARDQ KLVPAEIYEFDYLITKDKIEKDEDFEQFLNPKTEIKHTILADCNLVNLKKGESMQFER KGYYILDQEPKDGQPAILFNIPTVNYLAI TRV_05709 MDEEPVFAYSKAFNLNGTDDEPNIEAIHDEVTFTIAHTQDPVVQ FASARGLTLMKPLWESYFPDVKSLLNFHYFDLDKARVLAHRYSNQLARDAQLSAAEDY VDVVALTARQVLGATSFSGTSDNPLLFLKEISSNENCQTVDVIFPSFPFFLYTNPRWL AYLLEPLIEHMLSGQYPNNYSMHDLGAHFPNMTGHPDGKDEYMPVEECGNMLIMGLSI VNSLRFPPEANTTAPWYPGTLEARDAEPDVVGLFPLRDLQTVGGIDRLDSVWGVGPDA TNLARKWVEKSYRLWRQWTGYLVEFSLEPHNQRRFKNPCRRFMDLANLLVSTDDFAGW LALQTNLALKGIVGINAMSEMSRFVGKTDDYKYFKNISDTYITKWEGFGFSRDGTHAK LSYDWYGSWTTLYNMFADALLCFHLDGTEYDTHPRTLDDQEPIAPPPGKTGFIPRRVY EKQSKWYANVRQKYGLPLDSRHLYTKSDWEFFSMAVSSPSVRSEILQSYAKWVNETST DHPLTDLYKTEEDGGYPGPNFFARPVVGGHFAFLALEKACNGKATDGLKFLDDKDNNS PEDIPEDDVHDGDADNEDSQSPIHDSDGSEVKAGDQAQFPIQDMDDLQMTIVNEDD TRV_05710 MPSLLPHFGNLQKPLLSLNPQPPEFEHSEEHSFTLTLPEQRAFP LGLLSRLCLSSWWPGISASARLASSHSHILDIWVFSAAAFTAYHSQAPSIILFSISSL IPEYPEVSLDIRLRKMDFYDKVVKTPTTAHSSCSIFALDSPGPQPRVHFGCEHENLYK LDFGSLKTASEYVVGMRSEPQHQLVLDKKYKGHNADEYPIRGSETTGTPNSRLSNGLE YNEGNTFKEEESTYKSLSPPPTPYPAFRALEPELFPPIKGSSCGGYEVYKKSLPFRQL GWSSQGEIVSREISLPARQVQFSSFPSPMVPPARELPPHRRAVREPLLPMLVYHDNGE EEIVSQYNYLPFGRQARDPTPNALEDLVAEARANGFTTEPECRPHISSCDWYDCVAGP PECESLPSARKKAREDLFAALSRRLESRPPQYSVMPDPPFPWMRR TRV_05711 MIQFVEKYSILTQETKVKRPEEKDEEKVHEDMKQKFASNCFPFS TRV_05712 MYELLDINIYAQHTGNDPELDPNREPEPPVQVVDKTAPRRGKRD GPSEPRDTVPPPRGNRGPRLPGNEQGTFLSNKVILGISAFRDRNAGSQNNRNRPTDAP SDRAPPAAHRNRDTRGYNIRDDRQSRTDRTITEKQVEQGWGSRSGESALKDERAGEDI ARSEEKEAAEANAEEPVEEPEDKSKSYADYLAEQAQAKLELAAKESRKANEGAKMDKK WAAAKELKRDDEEDEYIKGQSKETRRERQRKEKNVLEVDMRFVEAPRRGGDSSRGRGR GGDRGGDRGRGGRGGRGDFRGGRGNGRGAPRGGPSHATGPTVDEKNFPSLGGK TRV_05713 MQSPQLQTSPSSHVSSPVATKSTAFGLQGAVSPTAADIQHQQQY KPHPYHRAQLSPQAGGFGPGITSAATESIEPTPILPSRIPPTYYLSPFQKQYNQLEQE YDARSSSVDGPDPPEGSTAADYQSQQSLPRIQQRHHPISTSSDAEGMTASTSYIEQFD PMMDPDPFGLSASMHFPTPFTYTQSHGRQ TRV_05694 TSRQIFHRMYAYVVYRIALSLHLEIFLGLWIAILNTSLNLQLVV FIAIFADIATLAIAYDNAPFSKTPVKWNLPKLWGMSVLLGVILAIGTWITLTTLLVGG KDGGIVQNFGQIDPVLFLEISLTENWLIFITRANGPFWSSIPSWQLSGAILVVDIIAT LFTIFGWFVGGQTSIVAVVRVWVFSFGVFCVLGGIYYLLQGSTGFDNMMHGKSPKKSQ KQRSLEDFGKPPPPPNILYASIQLLTSSSSCLPPTCLYPAREERINEHNPYFPKRAIL IRRLHSLFSCLV TRV_05695 MLAHHPAPPAHHFTPHLAEVVDAATSQNRLFQRRTSVYQKYHLL ENIRKKSWLGPPSETIYGGISFSFENDDKQIGIALAIRDTVYFLDFCEHHFSIEQYEP GEVGEVITQFIIDQLRTYEKDHLEKFFGIALPSLLVEKCPALCSRMWAELDIVPFVLD PHGPQRLEWVNMELWRTKTLDERAESIARKCITYFGPNQAPLLQVGFRGLVDVDAGST AVLTSLSDYEKTVDERTWRAVMKYAADMKERNVKVAFFSSTPQGGGVALMRHALVRFS HALGTNIKWFVPRPRPGVFRITKNNHNILQGVAAPDQRLTDQHKRDLTDWIFANANRY WFGKGGPLEPPEKGGADIIIIDDPQMPGLIPLIKKATPNRPVIYRSHIQIRSDLIDQP GSPQEECWQYFWESIRQADLFISHPVEAFVPSTVPKESVGYLPAATDWLDGLNKSMDD WDVGYYGRVFNGKCREIGMTTIDYPQDEYIVQVARFDPSKGIFDVLKSYAKFHDLIKD VPDVSTPKLLICGHGSVDDPDGALIYDSVIEYLSRDLAHLKSKICVIHIPPSDQILNV VLSKARIALQLSTREGFEVKVSEALHKGKPVIATKAGGIPLQVQHGKNGYLVEVSDTD AVAKHLYDLWTDHELYDRMSAYALHSVSDEVSTVGNILSWLYLASSMSKGDKCKPHGR WINDMAREAAGEPYVCGENRLKRSVDVRPFGC TRV_05696 MVSFKAILTLSLIGAAFATPIEQRAAEPVEDSGAVANSPEGSGM DLGGTDPTADAIEERGLLVRIILRQLLNNKQSGLTIISSTSNAITWLSRPPRR TRV_05697 MAPLSLRLPSLEDGEPWIVHRKLFEVLTGYLQPGSCTAPSAAAK EIDDLTPDKRQSNDGKPNEDHESFLLEIWDSMIEIAKQIPSDHASQDRLVELIKALTE LPSTDIEVWGKNVDLASAHTVAGPSSDDKAPTPEEAERWINQNAFAARLLNLNQIDWS NFAVWSLRSALEEAPVTAAESHKCDIAAAAQWMLYSGQYLLEEAKGDAAREAEEEEAA KTEEQPNRGQPLAAGSLYKGKARLCPERWEFWKQQFGSITQSDVQSVASLAKQKMDAA K TRV_05698 MPPRKKALTSSPGARRRSSGNAGGKLTEDPHDTEAQRRRHAQKS RRSDDFEALLEPFYYDKHLTDPINTERDKWNLLPAFLKVKGLVKQHIDSYNYFVEVQL KKIVQSSAEIRSDIDPKFYIKFHDIYLGTPRRTDEEQSGIKVDSTITPNECRLRDMTY AAPILVDFEYVRGRQRVKRNGTAIGRMPVMLRSSKCVLSNKSAREMYTLHECPLDPGG YFIVNGTEKVILVQEQLSKNRVIVETDPKKEIVQASVTSSSHERKSKSYIIMKKGRIY LRHNVLNDDIPIVILLKAMGIQSDKEMLLLVAGVDMEYQEDFAINFEEAVKLSIYTQQ QALEYLGARIKINRKASGFGPARRNYVAEAIEAISSVIISHVQVQDMNFRPKALYVTH MARRVLMAKLDPSLVDDRDYVGNKRLELAGQLLALLFEDLFKKFCFDIKMNIDKVLKK PVRTEAFDAYPVVAIHGNHITQGMNRAIATGNWSLKRFRMERAGVTHVLSRLSYIAAL GMMTRISSQFEKTRKVSGPRALQPSQFGMLCTSDTPEGEACGLVKNLALMTHITTNDE EEPVQKLVFTLGAEDIQTVGGRECFGQGAYIVFMNGSPIALTRRPKYFLNAFRRLRRM GRISEFVSVFINHNQKCVHVSTDDGRICRPLIIVEKKRSRVTARHLSALRNGTMDFDD FLSNGLVEYVDVNEENDANIAVYEREIDANTTHLEIEPFTVLGAVAGLIPYPHHNQSP RNTYQCAMGKQAIGAIANNQFLRIDSLLYAMVYPQKPMVKTRTIELTNYDKLPAGQNA MVAVMSYSGYDIEDALVLNKGSVDRGFGRCQVFRKYSANLKSYSNGTKDRLVGPTREN GVSIRKHGLLDNDGLAAVGEKVSPGEVYINKETPDNALSSGITGSDAGLPVKYNPTPQ TYKLPDYSYIDKVMISTTEGESQLIKVQTRQTRRPEVGDKFSSRHGQKGVVGIIAEQA DMPFTDTGLVPDIIMNPHGFPSRMTVGKMLELVAGKAGIISGQFGYGTPFGGSPVEEM SAILVDHGFSYGGKDYLTSGITGEPLTYYVFTGPIYYQKLKHMVQDKMHSRARGPRAT LTRQPTEGRSRDGGLRLGEMERDCLIAYGTSQLLLERLMISSDRHEVDVCESCGFMGY SGWCQRCKSSVGVVKMVIPYAAKLLVQELFSMNVVARLRLQDEFPEDRGM TRV_05699 MREQKRRFEADLKILDLQQEKERQEMDQIARDLAQVGLSGPVSE PTTPPEYYRDSGFPTALSRPARFSISNGQPVSPFGSVFSPTSQVTSPATNQSSNQDFA NRTGMGMGLRRNSEQTYGAAFTGFRAGHASRYSLSNNVFGSALPPVSSRTNGFNNHMG FSSLFSSKNPLESEEDKSKNEDRVSTPDVKSYLRMTDPDDKFPTLVRRDGNPSVLSAN SAAVDFANSRTPVPENYNGNGRHHAVHHSMPQNTLSWWSDNSAENPPQTNGNGNGNIQ SLRHVNRLSLESNLSGLGALASAAHQQNHTSGHHRPTSLQLSYSTNDLPATQNGFQSD SATGTRAEVTQNNYQTHSRMHSRGGSANTTPPTPQSSSDREEPGPSIPTFQSAFQPNA MSHALVLTSPTTVAPSVVNGSATPTPSTYATPVYGYSMQAYAPAPLQLNGNYAGVQSH PMFGAFGMAPQPPQPNNYGRFAESPRTATQNRRNGDSENPLNRFGNVPLENYSGEIYG MCKDQHGCRYLQRKLEEGVPEHVQIIFRETQMHVVELMTDPFGNYLCQKLLEFTNDEQ RTGLINIAAPHLVQIALNQHGTRALQKMIEFISTPEQIQTVIHALSGQVVELVQDLNG NHVIQKCLNRLSAPDAQFIYDAVGKECVAVGTHRHGCCVLQRCIDHASGDQRAKLIEQ ITKSSYSLVQDPFGNYVIQYILDLGEPLFTGPLCATFQGSIPALSKQKFSSNVIEKCI RTSDFNMRRAFIKEMLSPHELPNMLRDSFANYVIQTAMDFADPESRNTLIEAVRPLLP GIRSQPHGRRIAGKIMTLDSHARNNGTNGTNPGAPLALDEVRGGFSQPYTLPPNQYNS QFNSTEASANAPSAAVSESEFIEARSTHSESGATTIFSPVPQHTNNAINGINNMNGIN GVNGSNPHGFNMF TRV_05700 MLPSDLVVSVSRQWPCREQQARQLAHLLCTPFSPPPAVIVHGPK GSGKSTILKSLLQVYAESQGHRDAKENRSPQAISGAKRQKLGHTSDNEVKGHRFCYAV VNVAECISANHLFMKIISNTLDAMQQGATGRPGDERTNIGSLRCEHVSSLSGLLGSIL GKSECRRFVLLLDGIDELREGGQMLLAALCQIGQMLPNASVVFTSKFSPRPLLLHAAG TPHVYFPPYTRAESIAILANLPPPVLPHLSEATAAKLYPPFLSTLYDSLIGPTGGTVS TFQSACEKIWPRFVAPITNDETPPGGVAVEWDFPRLLVRNRSLYQHQGELLLSHRIVS EDFTATFNTPTLATKAAPTLPSLPYLPTLVLTAAFLAAHIPPRLDLSLFSKFTPSVKR RRRRLNTTIQPKASAKADDDPTDDTPKKGGKAASQSKAPKGATNNTSIPGGTRGGRSS YFVNPHSFTLERLLAVYRAIDPNPPLVTDISLADTIFPELATLQRLRLLIPASAGAAA AGGVVDGAEKWCLNINAMVSAGNSISDEWVVEMAHGIGVEVEEYLGLG TRV_05676 MASADAPLPLHAPQKLARYRSVRASHPSALSSHPVSNNASAAAS TGPVSAQNASISRSMSRYRKTTHGVPPPLLPLPAQLDSQRTSYDLTSYNNHNHFDHYG LDEEDEDDEDEQQNVVSGPASRRVSMQNTARPLTGAAAAGAAARRSLDRNSAKRHSSY SQQQYFDGRDSYDRPSRSSGPYFVVEPGMGPNTFDQPAAAAAPTTTATTTNNKKSKGK LLREKGGGLLGRLKGEERLKKKKDKMAGVDGGYNTASNNTASNNAAAAATSNITRSGA GVDAPVSAVNAGERRVTVTCNEAFISLPVTPSTQAQDLICSAANCLAEDIVPEAAIIV ESFAQLNLERPLRKYEHVRDVLNSWNSDSQNTLKIIPDPDPNIIPALLAKSAPRRQPP DVSFSLYHCQRTGKWDRRWVTLRMDGQVTVSKKQGSTDSTNICHISDFDIYTPTRREY KRLKPPKPLCFAIKSQQKSAMFLSTENFVHYFCTKHEEVGGAWHNAVQEWRSWYLVHV MGEGAKKKKQQPDAAEPIDDDNTPYQLGSFQSLSMDPNIEEAYNKLTGKRSRPTSFSH QTGNAAAPAAPAAPLVNQAVNKEPVKPKRTKSKSKKKTAAAADDSLSEEQPFAPTGLL GRTYTQRHKAMLDREKEQHAQPDEGPFISTGLLSNMRHHQGPTSPVSNHFPDQQYPHY NHPSRSNTTAAATAAAADPRPTTTSSRSKSTRHPPKPLIDLTPTYKEPPQHARKGRGV TPIQGLPLVESATGPDLHPNAIVVPPSTTWRKPRTSDPSPNTSRHRSRQNTAGAAPGA PLVDLNATDPVDQGHGHGYSHMAMPGSFPFAPTGLVAQSQKLAASQGAARGGRGVATG DRKKGKPLLDMSHVPMPAHYQHPQADSD TRV_05677 MGFFKRLRAKTRSRGGKRDLYGEQDQDQYQPYAQYQQQPGGSFG RRPARDYTKTLPPNVLSNIFYQVCPHSRDTSLTSSEESMTEDGCMLCDMRDLAHAALV CTHWYGIAQNILYVHPTLGRRVCLFKNLGTNKQKWTTRYQHIRIDAVHYCELEIELAA KRKKNSFLNHNAEPLDAPRTRLLLFMRTVRDSRALGSMVKSLRMPYMTREASKSELAR TISVLPNLRYVDLPAGFFSDDASSLTLKQELLARCPDIRRMKFAKGAESSFSRLPKVK GWPNLEVLELSGLNIETNLLRMILGYFERLTDLRFEDMPWLEDTVFKAVPTLPPFPAL QRLTLTDTPKITSRGLTWYLSNSSSSQQTRSALRHLSMVNTGVEPQRLHEVLAQAPLL SSLSMQQEVTREFPPDYVPPLTSNSLQLLHYEITSETGSSSFGAQALSTSYYTYLMSS LLMGSLPNLTDLYVRDANFPETLMLAPPPPVFGDPSRQSQANVGLNQALSVYSKGMDE LEWNFTTYQPFAEAGRRMSTTRPVSLHGAQLSPAWGGEARRSVLVGNGFGGFLAVPAE EERRPSTSTRSSGGRREKRDLWR TRV_05678 MGFFRRKEGRAASNNNNIHNIHNIHHHFEKDGQESVFSNSSSRA SSTSARLPVSSIRSSNNSPTSHPIPEAPMAPPPDPNLDPAAYLRSIHAVRQRCGLVME KAKENQLNHFDVDMSKFQTTAQYIVSIIKRDYAPDFQSIPDHGRWQHFDVGGKPRINQ LLQSWPSTIDNLERARRLIDLFLVSVLLDAGAGNTWSYKSKESGKVYRRSEGLAVASL EMFKAGMFSSDHTEPCQVDGAGLRRLTVGALAKRMQHSEENPLAGLEGRAGLLARLAD ALNNQELFGVDARPGNMLGRCFAFDLSSPTTHCYSANPLLDYLLSHPSTLASSVPIIP VPLLWNVLMDGLSPIWPPSRTQIDGISIGDAWPCKAMPSSPPAEPWENIVPFHKLTQW LCYSIMAPMTKILNIRFSGRELLTGLPEYRNGGLLIDMGLLTLKPEDTNRGLEAYKAN AMIKGQPNVEVVPLFSPDDDVIVEWRALTVGFLDQLLAEVNNLLELKDGQQLSLAQML EAGSWKVSCLSILPCFPLIALTSTDINLQGGREIAEVSRPNTKQPPIMIISDGTVF TRV_05679 MDDLSGLDWSQSSSSQKPAPVNTLSSMSAAALRPTPPMSGRSSP FPPQPTTASNRAPSSKPTTPSNTDSFANLLNFPSGNASKNLSLAERQKQLAEQKAREE REKQEKLKAQYGGVNDQIWDSLEKSGTGQSAPQAQAGVVRGGTTSGGDDEDDLLAAFN ASAPVDKSTNFPIPSPSPLSRTDSRSPAGVPTTTVLEDDDDPFGMAEFSASRRQRTEV QATKAQALNGADDDDDVLGLLAKPVSEFPKRETTSPALEVPEEPEAEPSRHTPEDRAI AELVDMGFPIHKAREALAETSSGTDVQQAVGWLLNQAHSEAKEKAQSRNHTGQQERSP DPRVSRRSRREGDGYASSRDRSSNNGTPREKDPAQLASEFGSTLFKSANSLWKTGTKR VQQAVQEFNNGSSDTSSQPRWMRDSTPDPPRSRERKVDTRRESPQGSAQTETMTNEAM MLETSREPPTASSRSRGGEPQGSPHQASSRGRPAELPSRPRFQQEDDLRKQIQRPSHH PQPDTRKQRTLLSRFDADEEASQAYVSPARRRKAASSVPPGFDAPEPDLLQSSSLPAR PARPATTSPARQSRTSTPVRPKAPPRTVPQISPASLASIHSHRQKGTEAYKRGDYAVA HASYSSSISLIPNDHPIVIILLTNRALTGLKTGEPKSAISDADRALSIIGPSKGDSET IDLGNGEPPKDMREFFGKALMRKAEALEQLEKWKDASAVWREAIESGHGGNTSIQGRN RCEKAVSIGPNGASSTPSKPISAPSRPKLAPRPAAPKSVSTKPAEAVSRLRAANEAAD RIDNEKFHLADAVEAKLAAWKGGKQDNLRALLASLDTVLWPEAGWKKISMAELILPNK VKIQYMKGIAKVHPDKKMISGAVFSTLNEAWDKFKRENNL TRV_05680 MSPTSSDALVERRRQQNRDAQRKRRKLFSFCPIQSIRQLIHEIS ISQSSHGIANLVGYVGNALKAQIVELQARNLELQAFKESAVSIAANQQTLLGSRTMLT SDVSCSLGGSFNNPCHTSSDGQNEGSDTASAVILRPDYPKLDDTFIQGISENLQPNAQ MMSRSQPGSIQGYYHDAEDTSTQIAISTPASDPGFGSGGTPLPAAAYTDVTTSPSPGK YDLSLHFGDTSLHDTLDLASFRQQSPSEAGSRAGITETISRQLSGPSGLRPCFRDKKQ NAMAIAVANRQTAVVRLLLRHGVDINARDERGRTVLHDTAEANDTEMMQLLLDYNADS NIVDKSGMIPIEIAASLGNIEAVEVLLKANP TRV_05681 MKPASKEATPVWKTWDSCCILTAKESRLAVSLLADFQTVTINDA LDINALVEFSRSHQVPGGIIMKIACCSAVGSYAGVEDVCIGVEIGSTNSLLRCHLDGQ QTFSSLSQLIEEIELPIIGDSSPNLLDLAGSTGLFDTILSIRDSDSGANAETGKGIDH VDSPRYSPKTRAHLAIEVNLPLREISINYPASIFSEVQARRFAELLSKTIWELIENAG QRLCDLNLLTATDARNIAAWNSRDLGSYDVCLHDEICKRVEESPESPAVCAWDGDLTY RQLDILSSRVAWKLHEAGVDPGSSTVAFLFGKSKWSAVCLLGIFKAGAAAVALNHDHP GERCRYILQATGASVLLVGKDLEDSPGIPLDISLTKIAVYDSLFDGNLSESDHAGTFV STRVKPEDAAYIQFTSGSSGTPKGIIMEHRTYMANAISQIEAYHMNKESRVLQFASHS FDAFLTEVITTLLVGACVCIPSEENRLNDLSGAINDLQVNWVGMTPTLARTLTPKNVP TLKTLATWGEVTSDDIIETWADEVELFNLYGPSENSVGAAVHLLSKGIRDPSHLGKPL RAVNAWIVRIDNRERLAPIGAVGELALQGPTVARGYLQNDTLNADSFRNCIPWMKDET RHQRIYYTGDLVRYTADGNLEFVGRRDAQVKIRGHRIELGEIEYHINQVLCGSNFGSV VEIIRPSYRQFQPMLIAFLDARSDCITMPTHDLLLPASDRSRTRAAEIEEYLAKRLPT HFIPSLYLPLAYIPTNASGKADRKLLRAACEPLSESDFLIYSSQGKPKTAPQTKIEAK VASLWADLLGVELNSIGREDSFFWLGGNSILAMRFAVAARSEGFQVTVAEVLSNPRLN QISDILAEQNQHPQPDGSPLYEPFSALPIGQGKDFVSKIVAPRLGVDVSDIQDAALAT DYQIENLAWSSLKTRGGTNYITFDFSTTGVTAVNLQDALERLILYHDILRTVYLVFER KVYQVTLKQLSVDIIHCMYTKDVSRATSEVVKADTPIPVDISRSLLKFWLIRGLDGTI QRMVMRASHLQYDGVSLIRLCRELGTAFHGQELYPTTSFFAYSHFAATHNEDSARAFW SKILAGSTMTSIFRHTSIPWKYVLDGQVDIMIDTSAVRSDSEITIGTIIKAAWSLVLA EMSGSDDVVFGSVIWGRNAMYPGVEHVAGACIDNIPVRVRIGDNMTRRQLLEQIQGQY FEAVSFENFRYKRIVEECTNWRPWEGLSTLVEYENLGEETTSFKLDETQRFTVDEIRP PADRHDITIFSTPVGPEKTFIALDFCKDVIPESLAQKMLTRMLGHIRGFHDNIDDPLQ LATADIMGLPSIPMLLPGTLAQSDMDSVRLQSSHAVIFSTQEFRESQKLCVEEAWADT LGCQPEQLAIYWTERTPFYNVWGNLIAAYGLSKRYQANGISVSMEQVLENPDMQSQVA LLINIAS TRV_05682 MNTAVKKSPLQVAMIGIGHRGYKTHFRIILENPRSWIVVACCDT NEKTRQAFSQKHPNINVYKDVDDLLKNNKQRLDFAIVCVPHQYHLECCRALAQRGVPI LKEKPVAESPVEYQQLQQLPVKIGITFQKRFEPRYLAMRDLISQVGQVASFTATLTAN ITELDATWRATSDVGVTEDLGCHMLDMIVSLFGRPTSITAQNTKGIRIDQEYGGDDVS NIIMNFGEAAKRNIGHVHLSRVAHRNEERLIITGTHGTFALEGKDVRLRDSNGNETFH FHDTSEKKYVVNSMLQQFSAWVTGAKSDFLASIANLEDTVMVMEATRRAYNSPNATET VTTPGNSAGSYAQASLAGVHHVWPLLSPESEAAVVRQMHSSLSIYNRSDIYEVFEEKW LKMHGLKHALVCSSGTIAILHMLEALDLRPGDEVLCPVYTFFATASPLMPYGSVPIFC DSLEDGNIDPDEILKRATPKTKAVIVTHMWGLPCRMRQIVDNARKVDIKVLEDCSHAH GAIVDGRTVGSWGDMAAWSLQAKKNVMGGQAGVLATNSTDYYSRAILHGHFNKRAKQE VPHDHPLRKFWPLANQQLDLLPVHDRYRQHYAFYIAKGLSTIPFLKMPVVNDNSNDKH AWYAFVMQFDSSKAPEGLTRDEFVQELVDVHGLLEVDIPCSTGLLNELPLFTHSHEAV PRFGDKRWGKIQPTTEFPNALQFYQCAIKLPVWATESDMPIVEHYVKTFLAVAREMTQ RELRRKNPDPGSINERIQARL TRV_05683 MAQTKDITLLHFNDVVARFASILANPRYLTRDVSAPDYQLRLFS GDAFSPSLEASVLRGEHIPTILNTMNIDVACYGNHDFDFGEDRLVELSKVTKFP TRV_05684 MKAITDVQAKIAKLVEKPLVITAIPLEGRSRVVRSRESNLGNML ADVVRSFYNTNIAFINSGAIRCDRIIDPGRDEPLRIRDIIDISPFDNAFVIKRVSGHV LAEALENSVSDAHTDGRFLHVSGLIMAVDWSRPEGQRVGDILYVPKHGIRRAVLSRDM YTVAMVDFIASGFDGYSCFRNCETLLDAEGSMTDTNLLLQVFEADGCGDGSGKQQDEA VEGIRRARNAVIRGRHPLKELPVISPSVEGRIRVITPNL TRV_05685 MAAPREQPPWQQPTPHQGLQRELPPLKIWNSLTRSKTPFVPLDP EGKKVTWYACGPTVYDDAHLGHARNYVSADIVRRILRDYFKFDVKYIMNITDVDDKII TRGRQQHLYNQFSAEHPSIDQELLDTAKAAYEAYITKNLPLVPASTPAHELKDTAEKV YAAVLDGKPLEGNSKPGEPEAKVKMYIKTLSTAAEALTVASTPGGSIPVATFYDKTQD ILYPYLDTLKGASIQGSDHSIFTRLTKEYEDRFMQDVRDLNVLDPDEITRVTEFMPEI VSFVERIIEHKFGYVTPDGSVYFDIENFEAAGNSYARLEPWNRNDANRQSEGEGSLSN KQAEKKSSADFALWKASKAGEPSWPSPWGNGRPGWHIECSAMASSRLGKQMDIHSGGI DLAFPHHDNEIAQSEAYWSSTCSHVQWVNYFIHMGHLSIQGSKMSKSLKNFTTIRDAL QRGDWTPRSLRIVFLLGGWRDGIEITDEIVKAGNAWEEKVNNFFIRAKDPSVKLPETT SDEELPAALKAAQDSVHKHLCDSFNTAGAMYAISELITKFNNTSEAALSAQNTHAVAK WLTSMVNIFGLNSTAGPDSENIGWHGDEIAEEAKPYVYPLSTIRDTLRQTAIAKTGLT PDSIRSVVEEGRKSITPELLDPQLTNSFKDVFNNFCSSATALTESSNLSKEILDLCDT LRNTGLFDLGVYLEDRADLPARVRPVTRDLLQARQEAETRARQKLLEKEAREKEAAEK AAKGKVSPLEMFKTEAYSAWDEDGLPLKDAKGEEITKSQKKKLKKEWDRQKKAHETWL ASQKTA TRV_05686 MTLLRIEEEEKTKEKKAAEGQPGSIETMVSPFLTPGPTAEADSL AVLHLRRDHAIATVLKTHDDEDLGYDEGKVTTTRFGSFPHSTLIGSPWGSQIIASKVD TGSRGRRPKAAKGSNPLKRKAEDADISEDKSTNKAAVTASSGFIHLLRPTPESWTSSL PHRTQVVYTPDSSYILHRLRVRPGSTIIEAGAGSGSFTHAAARAVFNGYPNGTNDSRR GKVCSFEFHSQRAETIRRELGEHGLDGIVRLNHRDVCADGFLLADGPVSNESPRANAV FLDLPAPWQALKHLVREPADGKESPLDPTSPVHICTFSPCLEQAQQTISTLRQYSWLS ISMVEVVHRQIEVRRERYGVESYHKNASAPDPKTVEQAIGRLRTHEERAKAFREKQIR NAAEFASKKAAQAEGHEEEENGAKSENTETISASIKEDSPAESRPARAEKTWQPKPSV PQYKQGTLIHRSEPELKTHTSYLVFAILPCAWSEEDEKRCREKWPSKITPTESNGNGP IKSKRQLKREAIEQFKAQAADAL TRV_05687 MVGNDERRESAQRKLLSGVARPAAYGTLTDVAADEQEALASQLR VEDVKLSFFSIFSYATWPETVLITICTVCAIVAGGLVPFTPVIRTASSIDRKLISSRI ILAFARAESNGYSVKPLLDKYVVYYVYVLITALVTWFVSTAGFNFVGARVAHRIKMQY LAAVLKQNMALFDDNGSGDILSHLTDDTKAIQNAISSKLSQTISAFGTFITTIVVCFI LDWVLMLEMIWSFALGYGVLYFGGKLTVLYSGRSIEASSAGSAVVEEALGSIKTATSL GMQPYIHAKYMNFLAQAAKHGFVLSSLNQLLLTICIASGYFNVALAFWQGSIRLTEGK TSFTSIVAISMISKSAAFCVLGVGSNLEAFAAATAGAGRLARIIQRVSLIDSSSDHGY IPERFDSTLELRKVRHIYPCRPNVVTLKDVSIKFPMGQITAIVGHSGSGKSSISNLLL RFYDPLAGRILLDGTDLSHYQLRWVRQQIAVVKQESFMFNRSIFENIACGFTGPRWET ASSLERERAVYRAADIAQASEFIDQLPQGYDTVVGTRGSRLSGGQLQRIAIARALVRD PRILILDEATSALDSETEAKLLSTMAGKDSKRTTIVIAHRLSTICNSDNIIVLNAGRV VESGKHDDLLAAKSFYYDLVQAQDIGNKNRMSTSMIPETANVTPAHERDESPPATEVV HKVMAGDNDAKSRFSSLLWSMILFIFRLNEGESHWLIIGLICCIVVGFEEPASAVLFG KAITSISQPLDHADKIQSNASFYAWMFFALAVVMLIGFAVQGVTFAFSSEHLTKRVRS LALSQYLRMDISFFDKKENSAAALSGFLSNSTSDLTGLSGSALGAILICISSLVSGII VGFALGWKLALVCFAVVPLMIGGGYLGIILVGEFERNNEVFANKAAEFAGETLNGIQT IAALTREKVALAEFEAILNSTKKDALGANLKASFMYALTQSAYYACMALSFWYGGQLI LSGEYTLFQSIAVQSAMLMSAISAGLVFSWTPNIGQAKQAAASLQRLLTQKSSIDPSS PYGEKLTPMRGDIAFDSVSFSYPSRPNHRALDQVSFNIPAGANVAFVGATGSGKSTII SLIERFYDPIGGTVFVDSKPIRSLCLSEYRSRIGLVSQEPNLFSGTLKMNLILGQGEN EKPTDEEIYDACREANIHDFIISLPDGYNTEVGSKGNQLSVGQKQRVVLARAILRRPR ILLLDEATSALDSQSEASIQQALEKAKQGRTTITVAHRLSTVVNADRIYVMSDGRIVE AGTHTQLISLGGVYQRLYLASKSGQAL TRV_05688 MAPRVWFITGCSSGFGWEMTLEALRRGDKVIATARKLERIGKLK DAGADVFGLDVTSSLDDIRRLVWEAYNIYGRIDILVNNAGYIQQGALEEHSGVIANTS SAGSWTNTAGGGLYSATKKALSGATETLRVELEPFGISVTSPEPGDFRSNLLAAGHRF AALHPIPDYDNTPTRAAIEESNSQDHKQPGDLVKGSKIIVDILTQTGCAAGRKIPVRV ALGAEACELMRDKCKDTLALLEEWKDVVSDTNHDDVTPTP TRV_05689 MLCADVFDRSISIACWVVVFSPQIIENFRRSSADGLSLEFLIVW LAGDVFNIIGAVMQGVLPTMIILAVYYTIADIVLLGQCFYYRGLGISESTKPPEPEAG RASSSSETAIQTVPSSERTPLLHNTPGGEHNGSNGRPATKQRRESLTSVASLRNHLTA VDGTHLSPAVPLRKEVTDTPPRPSSTFQIIAFNTFSITLVCAAGILGWYVSATSRKRH HKGDDIPKSDGTLQFDITGQVFGYLCALFYLASRIPQLLLNWRRKSTEGVSLLFFLFA CIGNLTYVLSIFAYSPVCQGKNGRCLPGERQSIYGRYMAVNASWLLGSLGTLFMDLAI FSQFILYRSKDECNNS TRV_05690 MDDAQRGAKALDNSDFPAAIKCYTKALTVNPHATDYYIKRSTAY SRVKPEDGGPKLQEALHDADMAVALGIQRARREQIIAGQMRRGIVLYQSERYGDAQLI FQVVRAKVGQEDDSKKGDIRAALASHENPAASQDKKTRQQLQIWEMKVKSQMAKLESS DEKTRVVVKEIPDIKVPTQDELKAIYRAQLENGSASSTPNPSGLSTTQENKDTAAEAN PAPSIANPPPTPLPSNTPSRTRHEWYQSNDSVVITIYAKGVPKDKADVDIQETSFSIT FPLPSGSEFSFVLDPLFAPVDPSSSKFNIMSTKVEVTLRKQSAGRKWATLESTGQQEE KISSGATALKDASNQANQPIKTDKAPVYPTSSKSGPKDWDKVVSNIQKNEKKAKKSEK GNDSKGDDKEDDPDSDLSDYGSGDAVDSFFKKLYANSDPDTRRAMTKSFYESNGTALN TNWSEVGKGRVKEHPPSDD TRV_05691 MSKAAADQTTDHSRWRLRNDRGTQTWHYLRTDKEVEEWPQTITD KYFLGQPLVGAPLFSPSGPPWQLACCFTFYPPDCDLPELPPAKTPLQSASNGLDFFSK LQLEPGNWACEYGGPMFLLPGLIVTWYVTRTPVPPEFSIEIKRYLFARQHPEDGGWGL HVEGHSSVFGTAMNYVAVRLLGASPKDPRMVKARNKLHELGGALYGPHWAKFWLCVLG VMGWDALNPVPPELWLLPDWVPFAPWRWWVHMRQVFLPMTYVYSKRFSHPADSLTREI REELYTQPYGSINFASYRGCIADADNYHPKSALLKILFWILANIWVYLRWPGLVKAAE ARVWHLIQREDENTDYACLGPVNAPMNTLCCYIHDGPESKSFNRHLERLHDFLWMKDE GMLMNGTNGVQLWDTAFVIQAVVVAGLAEDPKWKPMLVKALEFVEDHQMLETIREEKD CYRFETKGGWPFSTKTQGYTVSDCTAEGLRSALQLQKQLGYPALISDERLKYAVDTLL KMPNPSGGFPEYEPARASEYVEMLNAAEVFGGIMIGYTFPECTTAVVTALSYFSKFFP DYRTEDIKKVREAAIDYIRRVQRPDGSWYGSWGICFTYAAMFSLESLATVGETYATSE RSRRGCEFLLSKQMEDGGWGESYLSCSKKVYHHYHTSQVVQTSWACLALMEANYPHKE PLQRAMKLLMSRQQPNGEWLQDGIEGVFNMSW TRV_05692 MSSNSTSNGPGRWRGGFRGTRRGGRSVRGRSGAGRGGGRQLVAD RDLSPKAGAVVQRFRQRQKDLSQIFRKVAAVQKSAVLALASRSEALLIKDPKAHMASS LFNEVLQGLEKKLRKAEDLIEKEYSYRERNLDVWRETEEFRIKTHFENKIDNVMNEHI IAAQGSFMEASEKSRIAADEDHTETEESASEPRTPVSPRFARGFDSSFVRDPEGAALY ERVENGWEDLAQRTKIGEEIWPRIVAMSQEAELPAPQDGDGINGRFTNPRFSRLMGAL VEACDIAEGKKPKIEEVEEPNPLDALANAALDRGEVKRQPPEPSPKIQQLPPTSALLQ PPPNLQAPSQPEQQVQQPQPQYGQMRHEPHRPLLPQPLAPPLYPPSTDMRRYSAPQFA GPPPPHLHPPFQNHPHVHPEGPPQQQLPPVATRSQSQVLPHLSEHLRLPNIFPPRTQH LPPLQEMGYHRPSLPPPPYQAPPPPHQPPPPPPPPPPPNMQPPAHGMPMAYPHHPQNV YPHLQYLPPPPNMQYHPHPPPPPPPPPPPSHQPLHLPPGAHGPQGYHHHHHQAYQQPP PHQPRY TRV_05693 MPSYKSSPVELLSLPNELLLIIAESLSTHDISNLMKTCNHFALL LQKTIYAGAAEFKVKNNLPALAWAADNGRNSMVQAILDGAKEPIKNSTLLLALYMACR HSNADVAEMILIILPVAPEQSKNKAAKYFFVGMQSSNMTDKARNRYVSEDEDLSVHLY TAARNECWAIVYMLFAFPMRDFAKARALLAVTEEGHTEAARILLDMGMKDEFECVSRA IFSAVRNEDIDMVRTLMNSGGKFVMPVMAYYTAMRKPTGEMDEELLDLRDGIRLPDMT HVFASAAQHANYFYIKTMLDLGDRCPLERAVFAPALRSAAGSQLATMRMISLIIEAGG KRIIKDDLTRALFNISKFERPVISRLLLEKGADPSKKLDTGMTALHLATFNRRHATAK LHITYGADVSVKDNEGQTALHLAAQYNCHAIAEKLIEAGADILATDKRDLTPLHLAAN HGSYEVAQLLLQHGADPWEQTPDGWMPLNLAAVKKHDRVLEALLATQKVPFRVEERQR IIDIMEGRFPDF TRV_05667 SSLVLRFVNNDFQENKEPTIGAAFLTQKCSLPTRTIKFEIWDTA GQERFASLAPMYYRNAQAALVVYDLTKPSSLIKAKHWVAELQRQASPGIVIALVGNKL DLTSGDGTSASAGDPAQARDPANEGEEGETQGGSGGNDEQDDERDGSPNGDARKVSTQ EASSYSEAEGLLFFETSAKTGFNVAEVFTAIANAIPEASLKSSRGGAGAGGSSGNAGL GTGSRPGDDSRINLRDRGQVGAKEGCAC TRV_05668 MNANPMAAAPVPAGTFMAGTKVQVGSHRVVVEKYLSEGGFAHVY VVRLPKPVDGVETAVLKRVAVPDKASLANMRTEVETMKRLKGHKHIVTYIDSHASQLK GGGYEVFLLMEHCAGGGLIDFMNTRLQNRLTEPEILKIFGDVTEGVACMHYLKPPLLH RDLKVENILISGNGPSRCYKLCDFGSAAPPRPAATSAAEGRLIEDDVQRHTTLQYRSP EMIDVYRKQPIDEKSDIWALGVLLYKLCYYTTPFDEGGQMAILNAKFKYPAYPTFSDR LKLLIGMFALSLLMSRYLFKLTLNSFDSATMLKEHPKDRPNIYQVLRETCHMRGKEVP IRDIYSDHSHSEARRSQQLPTSTPVEPPKVGATFTPPIQETKIIPDIAPMRRGRPVKP TDQKAASKPSPSPLRVSGDPFAALDGTKRNVSTDELSSRFPTLDQFSLLHEKGGTFNF ESTNTESTANPDDLSKRVTNALADEAFSKPAVSPQPSPTLGMHHTTTRIDPLASDKKL VSSPYLRAEPSRQQVPIQQPTPQKPTMVSTGTMTSPSPVNQRSDSPSLSQRPLHRFPS QSHKKQASGSSRESSGHDHPRVHSGSLSTRPSFARFDSKPIQADDLPRSPASSRPSLE GTRPTASDIADPLTRSKSANSKSRPTAAYVASRLEYLRDRGRERSSDEDETLLRPSVG EHDLEYSQPSNMSDVDFLKAKEEEELSRKREKRLSGGSKHAKRGSLSSLSLSGTKTLL AGRFGDAFRRFENNAPHDRNQTPSPENDTQHLTPITGSEVTELSDDGRGYDNVDTDDI SPEMKREMERRRLSMEERRVAEAAAQYRSQLNEREGGPSRAVGLGIGSGGRAAAIENK VQSLLKETEKAPPVKTASGYGRYTDAVPNPQAKRFESPLPERPASSTMQPYTSAPATS HTPDFRSQPSKDKDPPSIGLKYNTGQHQTSSTTSRAAQRPVAPPKPKSLRTGIQSANQ TGNSEYNSPQHPRSTRQVSNSSEDWELNFSRRYPSLSGLELVETEIDIPKASLGRTTA TTAKSRGTLYRIFMLLVRLFPMSTTLSIYQGKQAPFHFSLVKVLLSLLAVMLRR TRV_05669 MDGPPPPPPPPHGANPRSDTGPGDGSRYRKSTDLPEGPYDIFII PPHSAGGGFLYLPSLQCHTNSFLAGVAVTLMGVYFYFNALPTLKTWCATVVARGGMGV FLLAFGIGLVSWAIGKAQAEGVFRQRSRSKPTASSNGSTSRGPPPGPPPGGPPPGGHG PPPPGGAGPGPGAGGGSYQNYGGHYAGAGFPPPPNPGAGFPGGGGPYPGARGPYPGAG PQYPGGHQYNRNSYPGSRQSYGSYNSPPSPEPHRRDTRRSESPGPPPPPRREAPRPAS PPPPPPNHEPRRSETPKPQFMPKEPEPKPEQKPEPPKPEVPKKEEPAPEEPKPAPPQP EAPAPEPPKREIPKPEEPKPEEPKPEPPKPAAPKPEPPKQPEVKPDPPKPAEPAPQPT PQPEPPKPETPKETPTVDPTMGEWEKAREETRRREELKRKMEEIKRKRAEAERKKKEE EEKKAREEQEKRDKEKREREYRLWKEKRAKEKAAKEAAEREAKAAQEAAEKEAAEKAA KEKARQEAAARYAAAKEAAAARRAAAAASAAPSVAPSVSSTTKLSSATKVSPVKPKSQ TPSPEKRSPSPEKRPAPKTTQSKPPFPSVKTATTDAEDDGYSFRPYDRPRSSISRPSQ AASVISESTYAPSQSTANTSPPPSQRGPYSTKDPNKIVIHGVYTFNNAFMKTPIAQLV SGVGSVTDGLILRMTTEGMFVDDDVRGVAQREWDIKAWTMKLIEVWCPLHSANGSHPT RSGNGKLNPFRFGNLHSSSKQPSSEDSDAFVSGMLHTCKDQCRFGVSSAADSSSSYHS AGSGIDQAILQTAEARGLHIIRTSIRDQDGKRFIFVLDESEAWKVALGLKCLRKGSQV RALGVCGLPANEAASILGNLGY TRV_05670 MGNPKEEELEEQQAPLLPQYSDDSDTVIGDEKIYTPPSTASNSG ESQPDLHTEAAIEDARENDRLLASSLPPGYHDHVRSPGCLRGDLNVDIKDDYAVKEEN SPGESASTRQGPGRFRRWWRARRRCHRREDGGHRERSCCVKIFITLKAVLIVWLCLWL VRWATVRFIRRHHHHNHHSVGYHNYGFGCHSKSSREIVVRERESWIYGDYPLYDLLDL RTRTGSIYVTIVPQPAHQDDPTRPARISIRSRTGNVFVRFKMPDDATVISDALSTYDM EKPQPSLFKEVFFKDPAMNHHSWQSNSSSLPPRPYEIDIETRSGNVFAVLGFSTHASV TTRSGNINTHLTPLVFENTTFPHNRRCKRCDDISITTQTRSGNVSLKVTEPSFIIAPE KSEHTPEAQDNTPHPKIRIDTRSNSSSRQEKWNRILEALNKKPSHAEPHSWAGHVVAT DFRRGNVVLRGEGLEVVRRSRHGFLATKKPTVGRDDKKSWWGSEGNMSVGLESRGPVE FDVRG TRV_05671 MSSSLACSICFIAITISLSLSENGHGDKYKLGIVAVSFFFAFFA SFGMGVLGVPWLYPTEINALEMRTKGASLAMATNWIMITLPGIENLGWRFWIIWAVIC FSFIPITYLFYPETANRTLEDIDRYFEANRGIIVAFNKSKAFPVLIKYLYANSIPLAA TQLSRPDEYIRMDEEISQRDTVEKSSASTSMRNRQSEEKISQA TRV_05672 MAKKYLGGSGDKLTIWISIAASTVLIFYGYDQGVFGNVLIGEDF LQTMGYPSTNLQGTMTSVYNIGCFVGAMSTVWTGDYFGRPRQIIVGSTIIAIGGIIQA SAYGVPQMMVGRVVAGLGTGMNTSTAGVWQSETSKMNSRGKLVIIQMANCIMGFSASN WLTLGFSFAKGSVAWRFPLAFQVFFTLCIYAMCPFLPDSPRLLIRKGQYGEALEVLAA LEGNGATSTSHSVKTQFNVIKDVLDRENLNSYTWFKLLMGKGESSRVPSGYT TRV_05673 METSDFEVRIPVHPGDKEDYNDINMLDTSSSDAGFSSDEETPSN GQISQEAKPSVTIVNEELEELEGKSKEGFHILTKLETILKKHPSSTGATEALRKQILE TMDQGKSHPTITIGVVGATGAGKSSLINALLGEKRLVPTSGMRACTAAITEISYCHGP WNYEAEIQFVSRSAWEEEMRLLFEDMQDGLDELPSTYDSEFGIACAKFRAVYQLDPRN TQIEKIMEVPNVATVLGSTKYVSGNNATDFYNELKKYVDSEPRRLVSDQDIIDTNDTI SQNKSMQLWPLVELVRLHVKAPVLSTGVVLVDLPGLLDVNTARGTLAQKYIKNCSSLW VVAPITRAVDDHSARTLLGDGFKRQLQLDGGMGQLAFICSKTDDINLSEAADDPGLKH AREALDNFCEEKKQQAKAMGLKIQSLKQKDKRIRLKLENLIDFAIQDDTKVAPEINPI QSPSNHGAMNISPSPSPSPRKREFMVSKKSLTEKQATVKAQLQSLETEVLSVRKEIKL KQREFERCCIEKRNKYSETRIREDFVAGLLHPRNTSQDEEGTMAKEKLDVFCVSSKAF QILEGRLKEHKVMKGFIVPEDTGIPQLQRYCLNLGVTARMAKHASFLNNLGQLVNSLQ LQASGQDKLSLRSKQDDQELLQAEFNNLKEVLNTTILNLSNALLEIRQHLYASLGMSH FQTASRSLKLICVDNAAIAAKNAAERTLSRWNRVKKHGGISYPTYKAICRRFGEFRDG KGNHYGANISTTELE TRV_05674 MANCVSQSKNDMFLGTVDIIKMELEELVNALVDLISLDVERIFD DMGDDYLTGVKSSSEMMETPLKEELLSFLNSTSLFGDIAREEELPGDNADPTNTVLDS KPAVVTTMNGSEVKYEL TRV_05675 MSTGEVEYRSVLQHSEYISSSQGLSEDVGGYLARYDGIPVGHDG GVHSSEYPWTCLPVPVKQEAHHARQLYDSIYSLPLMSSPPKYHPQLTYDTHESDQTPL TQRFGISDGYVGANSLHNGRNTKYYCHSFSFANDRLTEADMYKGGMVDNHAILPSVGS ELNNRASTEAESLSSWENSPRPTMAQLTVDTAVPTTQSPSGFVSASIGYNNISAYSEM PSSTSAFTPCSSLYYSNTPLSPSASPQRQQQYSDRLKVESGAMAAMSPGAPRTRQLRT YGYDGCGSGWVQSPSSANPTYLPQPHGNTPFAGSSPLEQKPDHLLPPPHAVDTSEEKA EPKEAVEALPPPLPKPPAGVKRSKSNMATPKRKIKQAVSRKASGKKLCRQQHDIDHYS NLADPPDLFEPLKDSPSQPPPEDLFPSDPAMIPREQDPRFEGDMYTPAWVRGQGNKRE GWCGICKPGRWLVLKNSGYWYDKSFTHGISATTGQAFSPPKQTRRSQGNANIWEGLCE NCDSWVGLVSSKKRGTTWFRHAYKCYNGCSTTSRSSKAEGTLKRRRASSESDDSRPST RPKLSSTPTARKAKPAIPSFASKVSQSPTTS TRV_05661 MLFAFIGLVATLTIWSSIASLPMTAVTPRDGFGRRLAGSITASL VTIGAYEVTKEVVITANKTSDYLYRYLETEDLPVEVLAPLPDSVSSRLPTFELWLAVP PAKLAYLHDLRNAVEKHDTLNKRTLWYLLNTLPSRCSSAAVAVPGATPTHRADSLSPA NSLEFLSAGAYLGLILASLALGRCLGARYLVPASDDDSLALLPVGASPALPHRVVTGL QWEVRTEFVNGLPLMWASQPYISSELLCWIAETENLHLPTPQPDHTTKRQTEARPGPQ RQALVLRRLANISPFVLIQIVRLLASALEGWTPASPESGSQPCLDSAEPLREPKKKKR NRPGQKQRRRQWIRDMHAMQDKAVQEAGGKSQS TRV_05662 MSCSPIYLATPDQCPSCGISGPRGRVLGVILGLQCYSIRKVCCS RHTYKKAFFFPHLLDFVLFLLLFFSSAAQTLPPRHRERRQASKKRQEDILYGGRKASL PPPDTTTNPCCNSSTSTTAKQQASPPPPSVAAAPFEGMFVHLPASSALL TRV_05663 MRVETCHFCSQPVYPSKGITFVRNDARQFRFCRSKCHKNFKMKR QPRKLKWTKTHRALHGKEMIVDSSLVLSQFAKKRNAPVKYDRNLVESTIKAMKRVEEI RQRRERVYTKRRLAGKIARDRKRAEDRRVVAEGEHLIRKELKEMAEGTKKPEDLLNRK VGLPVGEEKLRGKQKSKLLVDGGVEEEMDLD TRV_05664 MTSVVAIGVGVAAAAFFGRAGLVALRRYRGGVNGAGRAFYKGGF EPKMNRREASLILSLSERTLTKDKVRLNHRKLMLLNHPDRGGSPYLATKINEAKELLE KSV TRV_05665 MADLQGRKVFKVFNQDFIVDERYNVTKELGQGAYGIVWYKQHPA SIVTQKQQQQQRGEQRATANATWKTDSAASNIQTNDGVAIKKVTNVFSKRILAKRALR EIKLLQHFRGHRNITCLYDMDIPRPENFNEVYLYEELMECDLAAIIRSGQPLTDAHFQ SFIYQILCGLKYIHSANVLHRDLKPGNLLVNADCELKICDFGLARGFSIDPDENAGYM TEYVATRWYRAPEIMLSFPSYTKAIDVWSVGCILAELLGGRPFFKGRDYVDQLNQILH YLGTPTEETLCRIGSPRAQEYVRNLPYMHKQPFARLFPNANPDALDLLDRMLAFDPSS RISVEEALEHRYLHIWHDASDEPNCPTPFDFHFEVVDDVQEMRRMILNEVQRFRDHVR QPSHIQAALAQQLQPQQTNVPIPEDKGAWRHEDPRPQEAVFDGTSEHDLEASLHRGMD MIR TRV_05666 TGRGSACLTYQPHLSHRPLLPPFFSLFFSFHPPLPPPPPPPPSK QAILSPFTPSSLLLLLHNPSMQQCSKTEQRAQAVCSSFSGSSNLE TRV_05658 MVRTFGVVERDVAKWVGVLSAIFALCQCITAVPWGNLSDRIGRK PVILTCLSITMFFTLLFGVSTSLPMAVLARACLGFSSGNVGIIRTVVAELVPERELQP RAFSLMPLVWTIGSIFGPAFGGALVNPVKKYPEIFRNSHFFKTYPFALPNLLSGGFFI IGIITGFLFLKETLSFKKNERDYGLILGEMLTSVCCRCRRKLVKNPGHGDDESTPLLG DRRIPVSAASKEQKRPNTSAKWSEVLTFQSVIILSIYASLGLHSVAFDSVLPVFLNHP RQKLENNPDVKLPLPFKFSSGFGIGM TRV_05659 MNDIFSVKKEIVSSPEEDINKAQWLTALLANIQKDCQFDNIIPL LLVHKGMSVQAAVDYTVGLIEESYRIVNAAGARLPKLEGKAKEDLDTYIEQCKDQAAG SIYFQYGQPNEYGQGD TRV_05660 MSFPAIFRWLVSAITAPHSKIRGLSALLQSPASSPKKDDYHIQQ ENIIKLLKGSSYTIPHLEEVLEGWYMGVNPAYDKIKAEEGEFLKKWIERHNLREMAVK VDLSLCIACFFPRTTEEKLQVLFEKMAWQDPAAFVKYWLDPSRSGPEPYVLPSCIIYR TVGPKLAVGWSNESKVQFQKTTVEYIDCLMEVSKQREKYLPSLDEYIEGRIINIGVYP TLDLIP TRV_05651 MTIFNPDVCLTASTRDNKPSQSTNICVFPKLNHRPVKLEHEKTG FVELKPLDLHQSNVCLKSTLKIAWSILLARYTDTNPVAFRTVTRYQRNDILGIGCGHS DTPSCFEQLVASIDEQKQGFLAVSLSQIEDSGYNASFNTGLLFGPCESLQGCDDLKGL EVIVNLNESAPVPRISLWYSRYIVDDAQARNLASELERILKSLIDEPHCTLADHNSII SNHHLQQIISWNSQAPSEPLDTNIPTLIRQQCLMRPNSQAVCSWDGDLTYRELDRLSS VVQAKLRDRGIGLGAIVPLQFEKSKWTPVAILGVLKAGAAFVLLDPSYPRSRTEAICR DIEATVIISFAEQRATSSSFIETVIVVDNTIKNDERIHIPRQASVRPDDVAYIAYTSG STGVPKGIMIEHASFCLNSISSSNAHNLDHSSRVLQFASYAFDVSIHENLTPLILGGC VCIPSESQRVNQLQDAIVGLGVNWMELTPSVARLLRPEDIPSVKTLVLGGEAMLPADI SMWSDKVRLVCAYGPAECTVVSTVLSEDCQPGNIGRSYAGTCWIVDKDNHHQLVPIGV TGELVIGGFIVGRGYLNRPQQTASAFIQNPDWIPKVFPMGSNMRLYKTGDLARYNSDG TIMCQGRKDTQVKLHGQRIELGEVEHHTQKWFPDSVVAAEVVVPASQKEKSPSLVLFV AEEQGIQEHIGDSLVRPLNENFRVIAQEMKDKLRDELPRYMIPTAVLPLVKMPLSRTG KIDRKILRNAVANLPESDFQQYRSALQRSSLKENMGSRILKAAPTTDSERLLQKLYSK VLGLSEDEVGREDSFFELGGDSISAITLVGMAREEYNLQIKVASLFAAPAIYEMAQTM EFVTQDSMQICAPFSMLKASELQTVTEQAIEQCQISRDQIEDIYGCTPLQEGLMSWSA RNPGSFQARFIFRLPDTIDTQKFHEAWCYAFNSTPILRTRIIQTDASFRALQVIVKDK LRWFSSEDRDVAAADPMSYGTPLVRCIMDNSCHQKNEAPIFTLEMHHALFDKWSYEQI LQIVEVAYESRTVELFPFSPFVHHLSAVNPEYTKTFWKNEFQSLKAPVFPLRMPEGYV PREIAVASRNVPLGASTGVGGFTASTLIRLSWAMLIAQDTQSNDVVHGATVTGRNAPV PGIEKIVGPTIATFPVRTVLDRNDPIKVALGKVQNHATALIPFEQTAMQGIRSCSPEA SIACDFQSLLVIQPSSKNNQNPQTWLLKLGESLEDETKFCTYVLTVICELEVESVSVR AIFDAAVLSLDKVNAMLGQLEYLLTRLVDSVDSQTLGEVMDMCKFGSSVHDECKVEQL NSQKTRLAAIEAAARNLIGNRHVYAEMITPKLMSSPRKTLALLKRQLHTATEFVAAPP ICIPLGYMWDNGICAQDRQNLKEALTRLTSNEIEAWLQKSGRQQPKSEAERKLASIIS RVLHLNPEEVGADEDFFALGGDSISVMQVVSQCQRDKLSITAMDIFEGRTVSLITARS KKAAKLPPSTNLARYKRTKRFEWEVENLLGSSTTMSIEEVYPCTPYHEGLVIPQLGND AYVSHTIWKVERIGSTSQIDPVQLQKAWYQLAQRHAALRTILVEAALEDVEGGTLTHV VLDSYPREVKILSCTDDEAMRVLRHPTLNSRDNAGPVLPHVFSICQTDTGQVYCKMEG KHAIIDAVSVILLIKELALAYEGKLPSRQGLEYSSWVSYALSLPDCMDFWLEYLAGAK PCHFPRLSREIQPVTGFLNSVEVSLGHPSPPRKLCALNGLTITNLLQIAWGIVLRQHT GSDDVCFGTLVTGREAPLPGVHDIVGSIFNVLACRLTLSENMSLRECLQENQVAMANR LSNQYCSLFEVMQRIDSTGSLFNTCLSVEQPLSNSNQKEPGVHFEALETREATEYDIV TVVTVGEAEMTANITYWSSVLTREQAIAVGREFRLAISTITEHIR TRV_05652 MKFLALLSLVAAATAAPAALEARGATTCGNTYYSASQVTAASNA ACNYVQSGTTAGGSTYPHQYRNYEGFYFQGLSGPFYELPLRTSGVYSGGSPGADRVII TGNCDEAGQITHTGASGSGFVACSGTS TRV_05654 MVKSYLKFEASKTFGLVTSASANAVWLKDEKTTGSVRKSGSGRA VVGAGESVLCWDIKKGDLLSKWTDQKCQAQVTAIAQSKTDEDLFAVGYEDGTIRIWDS KLATVMISFNGHKSAITKLVFDAQGTRVASGSKDTDIILWDLVSEVGLVKLRGHTDQI TSLHFLTTGAVDDAEALALSQHDGFLLSTGKDSLIKVWDLSSQHCIETHIAQGNGECW SLGLSPDMSGCITGGNEGELRVWSIDASSIREVAGGKAEVNTRKILTDRGNLYRNGKE RTLGIHFHPQLDYVALHGSEKSTEIWRIKSNEEVQKSLARKRKRKREKAREKAGEGGT EADVDMDDPTTAASIAEVFVPHTIVRTGGKVSSVDWMGSKGLQLLVATANNQLETYGI TPAEKKKSPDQDEPDYSRVLSIDIPGHRTDVRSLALSSDDRMVSSASNGSLKIWNVRT ETCLRTLDCGYALCSAFLPGDKIVVVGNKNGEIEVFDIASSTLLDTIQAHDGPVWSLQ AHPDGKSMVTGSADKTAKFWNFQVVQEEVLGTKRTTPKLKLVHTRTLKVTDDILSLRF SPDARLLAVSLLDNTVKVFFVDSLKLFLNLYGHKLPVLNMDISYDSKMIVTCSADKTV RLWGLDFGDCHKSFFAHQDSVMAVAFMPHNKEGDGHNFFSVGKDRVIKYWDGDKFEQI LKLGGHHGEIWALAMSHCGEFIVTSSHDKSIRLWEQTDEQTFLEEEREKEMESLYEDN LLKSLDQDEDDEDKAEAVAAGKQTTETLTAGEKIIEALDLGMDDLALLQDAKEKNLPP PTRHPQYLALGNISAERYLLNVIRKIPAASLQDALLVLPFTKLPALFTFVDIWARKGW DIPLTCRVLFFILKTHHTQVVSSKMMKPMLDSIRSHLRRVLDRQKDEMGYNLAALQFI GGQVREKSQSEYVDEEQWEQDEQKQTGVKKRQYVSVA TRV_05655 MLLLDYHNVLIHSLLTERFSGAAPVSIDQIVSDFDGVTFHVSTP ETKSKILISIAVRCFRELVQYGAEGVLQREYGPYIVSPEPGYDFSILIDLEDLPAEQE ARDQLIMSIALMKRNAMASPFERGFEEFQKLEEESNKYSLEALPQQLKDGGEVMTVHY RDEEAIFIKAGYDCVTVIFSTIFRDETDKIFGKVFLQVCHNGLYPLLSISLDLSSDFL IGIRRRSKASHTKRPPSPIPQRSTARTPRDSRPKGLSKWGSRLHHIR TRV_05656 MEFLQPVRYIPGSNEAEFCGPQTFEDSKSERQGRAVMAYSKVYG VAKMISEILKAFGSELDDTPTDIKFHIARMEKELTQPYSAKLLAAVEDLFYILLARVK SEIRQIDIEESFEFDYRFARTNSRLLRSKGLPEIKHLRLIFEACKCLLLSEEVCGVLN TELIRHQEEDYIQQTMLMGEEAGYFADDPVSYRQYIQSLITDPDSPYRMKWSGLNPVY DASPEMIFATLREKYMGITAREKKQGKCADVKVRSPPPAWKSNPAYAEERRIQANREA ACAMLHGITVGEFRRQNSQEVVRLYVQQNLCVCLENCTCSRRCTAKGWRICPCTSRMN LLYEEDLGLRRPFVEKCADMAVILFEALSASYQGIGLHGMTCFLSRGLEFFHDEVTHF RDHYWRAVAQDSYCFDFNMDFQYPQGIRDGVHRGQC TRV_05657 MVFTTPASTRFLISTNWKLPTLRQFIQWSPAVAPISGISTPTAE KNVQPVRSKWPPFYFDTGYSIIPKRPSRPFPPPFLSPPSTSFSDALTTHDRSHDRRPS VNGEPLRGLTNGDDAILCSPNFLGVNDGVGAWASKPQGHAALILHYWALEVENRLTGS PKPDLIECLQRAYEETVEATSSPNEILGTTTTATALLSYKIIGETPTPFLHVTNLGDC QTLVIRPRERRIVFKTDGQWHWFDCPMQLGTNSVDKPRENAALSVLEIEENDIVLVVS DGVTDNLWDHDVLEVVLKSLEKWEICKKKREMAEYLESRGGRMVYVAEQLLTTARAVA MDPAAQTPYMEKAQEVGLSVNGGKMDDISVVAGRVVRSG TRV_05648 FKQNPESWVLVGNILQESEYVQTKYLALQVLDDVIMTRWKVLPR EQCQGIRNFIVNCIIEHSKTEEKLKSERAFLNKLNLVLVSILKQEWPHNWPTFINEII SSCHTSLSICENNMAILRLLSEEVFDYSQDQMTSTKARNLKTTMCQEFSAIFQLCSEV LDTANQSSLIKATLETLLRFLNWIPLGYVFETPIINTLLTRFLDVPEFRNVTLKCLTE IGSLQIGPQYSYDEKLVQIFTDLLTTVSKIIPLSLDLRETYANSNSRDQEFVLNLALF LCNFFSVRLHLIEKLPNRDYLTHAHFYLIRISQIDDREIFKICLEYWTRLVQELYEEM QQLPITDINPLVSMGVSGLSNGGAPHPSTLANYPLRKHKYQEVLTSLRTVMIEKMVRP EEVLIVENDEGEIVREFVKESDTIQLYKTTRECLVYLTHLDVVDTENIMADKLAKQVD GTEWSWANCNTLCWAIGSISGAMNEETEKRFLVTVIKDLLGLTEMKRGKDNKAVVASN IMYIVGQYPRFLKAHWKFLKTVVNKLFEFMHETHEDEIVGSMRKITCDLSPQQVHTFY EACGYMISAQGQKGVQDRLIENLMALPNAAWDSIISQATQDPSTLQNAETIKIVGNIM KTNVAACTSIGSYFYSQIGRIYLDMLNMYRASSQLISDAIAADIFSIRRSLTFVKATG YATKTPKVRGLRTIKKEILKLIDTYVEKADDLEMVNSSMVPPLLEAVLLDYNRNVPDA REAEVLNVMTTIIHKLHNLMDDKVPIIMENVFECTLGMINKDFHEYPEHRVGFFKLLQ AINLYCFSALLKLDTSQFKFVIDSCMWASKHDNREVENTGLTMCLELMNNMADSDPQT SSIFFRQFYLPILQDVFFVLTDTDHKAGFKSQAMLLARMFYFVQSDKIRDPIYAPDQA PAGTSNRDFLQEYVVNLLQSAFKNLQEAQTRQFVTGLFVINDDFNKFKTHLRDFLISL KEFAGDNTELYAEEREQELKEAKAAERDRAIKIGGLLKPSDMDQEDEL TRV_05649 MSPAAVMSGLPRVLLLSPPSLSSNPDKLAELINQYDKNARDLQM LDRLAAGLVSLPESTYSLVLLLTGIDGTNVEGERLVGRDTLQQISRALQSGGVMKYQD GSPTAINESTRTEAILCGLTVNDKGELLKPAFEEQSVSLPFSFNKSRKPKKDTNSNKN EQQPAVLQNNIVILDNNTNDVFNTPDGDDDEELIDEDELIDADELERPIIQPQKLQAE DKLQRANADEKLSALKLNSGEIAEVDFTVQGKTGSCGNCSLGDAFRCDGCPYIGLPPF KPGEEVKLFDNDVQL TRV_05650 MVSGSRLALGPVYLIAIQVVSRALTFLANQVLLRHITPYAFGLA SQIELYSITVLFFSRESIRLAAQRQPPDITKAADIDSTDKRPENAKNQEENAGSQAVV NISYVPIALGLPMAYVFGVLYLNLGQSDRTLGHIERISFLIVQLATVLELLSEPLFAV VQQRMLYGTRAKVEMISSVARAFFSCASVLLISRSYEDAGILSIALGQLGYATFLLAG YFICAKPISQKHAFNLYPVRIAYINHPNYIFSFIPQHLLALSMNLYMQSVAKHVLTQS DSVILASLATLEIQGQYALASNYGGLIARMVFQPIEEYSRNLFSKLLAIRESGQIVDK SVKAVKSQFIDILRGYGILCVSISAVGPAAVPLAIKLIIGSHWDSPETQQVLSLYCYY IPFLAVNGITEAFVSAAATNSELRLQTKWMGILSAVFVVAAYVFLRITQSGVYGLLWA NLVNMAARIIWSSFFIQRFFEKHDSKLHAREILPSLGVCIAGAIGWLSLRYSTVPGGL DLENLAKVVTTGLCVGSTM TRV_05636 MAEIDCLAVDRWIETKATGAKYDLSSSCAKPMSIQELKELSEDK SESNEGPLPAQLLSRCLGYGEMAGSTALRSTLAGLYSVKTPLPLPVDNVTITAGASPA NYLVFQALCKPGDHVIVHYPTYQLLYVVPQTLGAEVSFWQSKEDDKWKLDVEELKTLI KPNTRMIVLNNPQNPTGAIIPRSTLIEIIAVARENSIVVFSDEIFRPLFHSIHPGDKE FPPSALSLGYDDVVVTGSMSKVYSLPGIRVGWIASRNRALIDKCTKLRAYTTLSVSQL DEAVAAYALDGHCLHALLKQNTDLARENLVLLESFIEKHKWACDWVRPVASSVAFVKF SKMGKPVDDLELCHQLLEKKGVLLVPGSQGFGSNSLFAGYVRIGYAIAMEEFKTGLAL LKEFMEEDYENVPLAGGKKLAIR TRV_05637 MAWLALLGLLALLPAIQPTWQANGYEINSTTDSFVTAATPFTLR STRPPECPPCFNCQLPAFKCHQFGKCNKFNGKCDCPPGFGGDDCAEPLCGSLPDGRDR TPRKGSTCQCKDGWSGINCNMCETNDACNAMMPEKEGGVCYRHHNGGETVAENYQMCE VTNRKIRDMLKEKKPQVTFSCKKEDKTCNFQFWVDQLESFYCSLDTCKWNMDITENQN LTTYQCDNIKCGCVPDRMLCETTGVSLEPLFGQLTGPAKFTSTSTKGGSNKDGSAFSE PVIDKVISDLFGDKSILLDCYSSECLYKTAVPGYKPPVKVINTPLIAGVIAGCSLFIV GVILLIWYLSRRKAYNQYHALADDSDDEGSKLMADHKPASLQFENISYYINGQQILSG IRGIAKPGQVTAIMGASGAGKTTFLDILARKNKRGVVHGDIYVNGEKFNDSEYKKVVG FVDQEDTMLPTLTVHETILNSALLRLPRDMSDAAKQQRVYEVEKQLGIHHIKDQLIGS EEGKGRGISGGEKKRISIACELVTSPSILFLDEPTSGLDAFNAFNVIECLVNLAKSYN RTVIFTIHQPRSNIVALFDQLILLGKGKTVFSGPYSSCQSYFDNIGYSCPPGFNIADY LVDLTMHASQSRSTEEPAVNVDSHDNNFRTASSSLRAVKSVASASNASIDNASAVDSA QESLLRPKDKRRSSLKQRQDRQLYTRKRGSGLESPPDPQTDNEDGHVMSLAERAQQWL PLSRQQGQVPPQILQDPDHLPPIASGFVTDLDVLVSYYANSNVANAVRDEISSSVQDA LAANGQANTQQSSDAVTGQMTGYARVGLIRQFIILSSRTWKNLYRNPMLMLTHYATAI LLAVLSGYLFYGLTDDIKGFQNRLGLFFFLLALFGFSTLTSLTVFSSERLLFVRERAN GYYSPVTYFTAKVLFDIVPLRLIPPIIMGVIVYPMVGLIPDWPEFSKFILVLVLFNLA AAGICLLIGIVFRDPGVANLIGSLVMLFSLLFAGLLLNHDAIPASALWLQTLSIFHYA FEALIVNEVTFLTLIDHKYGLDIEVPGASILSAFGFNNLALWNDVAGLGVISGVSIIM AYAAMHFLLVEKR TRV_05638 MDDAELDLMNTEQDDPLLQTDEEEVVESMSNSGEPVTVRRKINQ TKFIVICIAVLFVLDFAAFFDLIPQTRIFELIVCRNYFDKHHPDRFPYPQDIPESECK TNAVQSQVAYIQAIGASFDALPSIILLLPYGLLADNPRYGRRFVLRLSMAGILLGAYW ALFVCSFYRYVPLQAIWIGGFFALIGGGPGVTNAMVMTMISDVIDEANRSVETVARKS AVVAQLLAPAIGSAMMMKLGPWTPYFFGTAFFTVCCLLILLVPETIPIQVLKPGAARS APSGFDHGSVRESLEARRPVSRSSLASKMKALFATAWKETKLIFSNRTVLLILSTFLL STLGRKQVDLLLLYASTRYEITLSKAGFVHSFMACVSIVLLLLVLPLTSGYLSKTLKL PSNEKDLWLSKISIVLLTIGSFAIGFSPTFVSMICAVAIYTLGCGFNAVCLSLISAFV DPKYSARLYSVISLIIMMGTLIGGPLLAGLFNLGLNLGSSAWAGLPFFGSGVIHIFVL LSVWLIRLPSVQLE TRV_05639 MASVAKDLLLQLLCLGTCADRLLLYLYYSDYINPIDLCNRLNSY IVPEAAVHAFITFLFVINGYWLTIALNLPLLAYNAKKYVLPRTRPDVHTTWQPPSYIF TFRPLADLQTNKISFLTQEYSKTNISSMPQKFSGS TRV_05640 MAGSTKNGQPVRSASAAFANTPGNSASILLEKCRPGIRRSTPDS DALASSEDEGDNHHPAQHQPTPLANQRRRTSWLNEVPSTLMRKASLPVTSTFSPVSSN PTTPAGDQSSWGTATSPGVTTSVNWGNTSGNGSFPWGTGIWNTENRKEPPSRMAEVLQ SPTSSNPPNLISTSGEDQSGTTAYQSSGETPIPFAIPLHPTPKTYRSQSYSVGQLDPE SSGIPPSLTTSNKPTPPISRNRPAAQYSSVQRRSSRPSLLELGHDPATLGRVREDDDD ISPSGIEPSNNNWASNQARTIEQLTLENAMLRQAASGQAESTNRFRDRTLSSTSTNNG YSMAQTHKLHPIQGSVPEESDLAVEDLEDVGGFAGYSSRNNARRRFSEHSTNLEKQFP TFASLENRTLENIKRAQWQSSLGFGSIADVPQSRRHSFADTLTRHPSSSFPSSSETRA SAGSTSSLLTRDEGSFPENGLNTSSAEIAPYISGQDTIPTSGIPTSLHQAYVMPNAFG RQHAALGQPHQNQQLFIVTFKCYRADVFYIQEGTGLEVKTGDLVIVEADRGTDLGTVA HANISWARAKELKDHYAEEHYKWLMLFSRQNQGNGTGHINPGAPPSLGGAPGSAVGGM GPQSQHTSQEPQAAEIKPKLIKRLAQSHEIQTLRDKEGNEAKAKRVCQQKVAEHRLNM EILDAEFQMDWKKLTFYYFADSYINFNSLVTDLFKIYKTRIWMSAINPASFVTPSTGL PPPPGSGMMGYGQDSHTDRRRQHEFGQYGGLNQGISSPYTDPTRDHMQPMQPGMRPPY LDPYHTFGIARQPDAGFGAGIQPQTDPFSSYQQPHYTMMDPNAPDFSRTNGNGATNRP QNGHNGQNDWTNQFNGLSLNS TRV_05641 MASRPLSRVLSRAVSSPLSASRRQSPQLSLRWLSSASRPPMVVL AHHRPTLQRHHQPVTQGRTGVPSDRRTIFIETDTTPNADALKFRPNHPVLPEGFPVSF LEYLTPRSTLQPPYPSPLAAKLLNVDGAVSVFFGSDFITVTKDSDANWAHIKPEIFSL ITEAITRGEALVNVVDVRQGKEGAEGAEAEEAVRYNEEDEEVVGMIQELLETRIRPAI QEDGGDIEFRGFENGNVLLKLRGACRTCDSSTVTLKNGIESMLMHYIEEVKSVTQVLD PEEEIAAHEFAQFEAKLKQQKGPTSSQG TRV_05642 MLSLADVASSTTDRLPLSASSSPNLAPSGSSSSSSSNSSTTLLL RQPVPRNRPHTRASSENPRPSTSDTIRSDRSGGGGGGHADTFSSSTLAPGAHGGSRYA RSNSQPVPPRTPPGSTISNRSASETIVEDSPPGKARYRNLRTTWRHSSGSDAFSSFLD MDDELDDSRSAGSPSSQTQPKEKQDDTGCTLDELVDRLLSLPLSKQDAKFPAIFLCLY RKFATPFQVLTAIITRFEHINCSRSPLLTRHADQLRFLNVLAQWVSEYPGDFAGAKTR RLLIEFIASLEKNIVFAFAAKEMNSYLEKFVEDDDLCWAYTDEDSLPDSTDPFVDTTS NRMSQSMGNFNISDMDLDLSSQNSNLSTTSSADRAGSISSQSFRTLLTVESAQQEAQK LELVPRNLLTKTQWRIFMDIPDEEFAREVTRMDWIMYSSFRPRELIRHVSLSGPNKGK SSAYLENVNRMIKSFNHLAFFVASMVLLRDKAKHRAQALEKFMNISLLFSANRNFSIS KKLRQLNNYNALGAVIAGLNGTPVSRLSQTRELVPPTLQKQFMSVVILMSTEKSHFAY RLAWGNSFNERIPFLPLHLRDLVSAEEGNKTFVGANNDRINWRKFEVMGDVILSIQQS QKTSFPTYTKHDITQWLILEAKFAGDEEELYSRSMQVEASASGIPDPGRKRFVWRRP TRV_05643 MPVTTGVEGAENLRQPSRIPQEVHLDHPTSHHHAQCWYVQSSFS SSSSSFPPSFSSSPALLVVCLSLPFCVYVCVWEEEKKTNTHSLWTVLDPVFLLSPIHH EPDTAWKANIPTKERLPLPLPARPPFVLAPLGAFAASSPLSITLNSLPAFSTHASHRP KRKEKKKNAKTRKDDEAGEEELCAIPLLWLL TRV_05644 MSASSLNLARTRSTSAGRDGLPSARPARPPLQNRTYSAPVGKLH LQAGRSGKQDAAGFTAIAENEATMTGQEPEDKEKEKDSSEEAATSGHQLPQVNLAVVG AAGVGKSTFVKCALDLKQTPLVRSSIKKMSMDGAIYVVRLLEIAIHKITLDDRGRIVW PKCLGEQALSPIDGVLCLFDSTDLRSVSQYPQVLGKTQPLPRCRCRCRCRSRLFVSFL FFSFLPFFASFVLPGFRRVISAAGTTAERTEYY TRV_05645 MTRITPYEAEDEEIILRAPEKPSTLSSLAKCYLSDIKRRQNRQQ AKQARRYDSKARPQTLPETQRRRNGERPVNAREKKKAKKRDERQAEMSFQRFLLLVTD EEEKKKKKKKKQTSWSVCCLTKEKEEEEEEEEEENTKHNRNNCRRRRRLLHLLLACYL SPGFASVVPRESSSKQQQQPAHGKRLSIRRLAMTSEHHSQ TRV_05646 MTQVQLSFFFLFFLLSRRPLPGSASNASLVVAVFLCWRKGKKGR KKKKRSIHAAALRASPSRCALSSALLNITFTCQKVERRKETNKTKKDEEREKDGGERS SQLSQHDERASEDSLGVPGHTTRAAFPAALLAVSPPDQDPPAPFYRTRRRRRCSGAAG RSRTVCAICQGPETVCYAAGTI TRV_05647 MAKSNVALNRAALNEFISMPVSCEMISYLARQSSMVIRCEDRAI RGPVTQQGTLTPPASPDLKAADEPHLPPLPPLESFIFSLVTRSHVEVPTLMTSLVFLA RLKNKLPPVAKGMRCTSHRIFLAALILAAKNLNDSSPKNKHWSRYTLVKGYEGFGFSL PEVNLMERQLLYLLDWDTRVNEEDLFIHFEPFLAPIRSHIQAQCDMEMERERERERER ELAVRAALAQASRDQSPARGPSDYGMQAGIYDSPRSIADNSSDRIARHKRRQSLQRSG RSISPPSVLDLPALGHADSNHGSSSRSSSLAPSSRGTPASINTASSFHEVVVADGSTS PSSSHLAYSYVDIQMMRPKPKSHAIHFPTEIQPQKRAKTAGSATGGIISRFFGKARPV I TRV_05605 KQKKQTEAEPENNLQQESYARDNNAYIYLLSTYILRLSSRLASM AGVLVDTPLGAPSLPKTTLNDAIPDIDPLEGSTNDDEDGYSILKRYQRHLEYIQLQEE YIKDEQRLAAIMPKSSSEKTYLILTAFFRSLKRELVRAQEEIKRIQSVPLVIGQFMEA IDQNTGIVQSSTGSNYVVRILSTLDREKLKPSSSVALHRHSNSLVDILPPEADSSIAM LGANEKPDVTYADVGGLDMQKQEIREAVELPLTHLDLYKQIGMLHISGIDPPRGVLLY GPPGTGKTMLVKAVANSTTANFIRVVGSEFVQKYLGEGPRMVRDVFRMARENSPAIIF IDEIDAIATKRFDAQTGADREVQRILLELLNQMDGFDQTSNVKVIMATNRADTLDPAL LRPGRLDRKIEFPSLRDRRERRLIFTTIAGKMSLSPEVDLDSLIVRNDPLSGAVIAAI MQEAGLRAVRKNRYNIIQSDLEDAYSSQVKGGQDSDKYVFFLLHLFPSQPG TRV_05606 MKGSLLLAGATLLGCTSAKLHSLKLKKVSLKEQLEHADIDVQIK SLGQKYMGIRPEQHEQQMFKEQTPIEAESGHNVLIDNFLNAQYFSEISIGTPPQTFKV VLDTGSSNLWVPGKDCSSIACFLHSTYDSSASSTYSKNGTKFAIRYGSGSLEGFVSQD SVKIGDMTIKNQLFAEATSEPGLAFAFGRFDGIMGMGFSSISVNGITPPFYNMIDQGL IDEPVFSFYLGDTNKEGDQSVVTFGGSDTKHFTGDMTTIPLRRKAYWEVDFDAISLGE DTAALENTGIILDTGTSLIALPTTLAEMINTQIGATKSWNGQYTLDCAKRDSLPDVTF TVSGHNFTIGPHDYTLEVSGTCISSFMGMDFPEPVGPLAILGDSFLRRYYSVYDLGKG TVGLAKAK TRV_05607 MQLKLSTSLYYPITVTDLLKKTGDEVNQGDGLFSYTYRTTVTEG DGLGNKVDVVKTFPTRFESTVDGTLVAWKIRKGQVIEAPINIAEIDEPCAHEVQFGGM CANCGKDMTHASYNTDVLDSHRAPIRMVHDNSALTVSESEATRVEEDAKRRLLSSRRL SLVVDLDQTIIHATVDPTVAEWQQDKDNPNHDAVKDVRCFQLVDDGPGMRGCWYYIKL RPGLEEFLKVISTLYELHIYTMGTRAYAQNVANIVDPDKKIFGDRILSRDESGSLTAK NLQRLFPVDTKMVVIIDDRGDVWKWSENLIKVSPYDFFIGIGDINSSFLPKKQELKAK PKPGSKAKKLIPAAPADAADASTAATEGAEKPEPNGSKSEASEANEPAPTDPEQSTLE QLVTMGGGDNPVLLQEQTSQQEEVIAHQVEERPLLQKQKLLDAEDEAAETQQSTENGD SSSDESQDSVKHHRHHLLEDNDSELPLLQERLQLIHQRFFEEYDRRRTQALGGRVTAL TGHRAPAKDKAVDLQIVPDIKVLMPEIKRVALGSVILVFSGVLPLGTDTQNADISLWA KSFGATITSKINSRTTHLVAGRNRTAKVREATRYPKIKIVTVQWLLDCMIQWKHLDEE PYLVPVHPDDMGEPIGSSENSPDSKENADDSFPSSSEESDPTSDDDTASVRSSKLAKR RRNSDEEIRLSAGLTDQSRLGYDEQEQATVHDELKEFLGSDNEDSESDSDFSMLFPDK KRKREATEEADGGVNEEEDTSAEGSGSRLSQKIKRSHERTTGLKEVSTAQPSISATEP TADAEMQAGREALMGDLPDVEPKYKDLDPVVDDPEDDEDALEREMMAAFDEDPDWEQN AAEDEEDAN TRV_05608 MLYHCQHIVLIPLIYSTMDSQAPGGGSGGGTGGGGGGQDYLDKA LESAEKKFGKGKIDPQKARGLNEKVTDFARNTFEKVTGKKVPKKFSN TRV_05609 MVATAGLGLLFVFFMLFLIQRGLLLPDIIILGCFVLFVLWLTGL IGTAIELYGTEANVNSNCQNYVVNMPSKGPSINTLAWLTQITICNCWKTAFAFELVST IFYIWMLIISFQVRGGFFLK TRV_05610 MDKKKTKKTKRRANHGVETESWVWTKRNQLKMPREGERVERVER VEMFAGDGDAVGMDWKAAAVRLSRRSLLLPSARPDSERERVGRDDAALVPICGGHLVV CWLFAVCWLLFAGCWAVCLLRGVNATRRRIVEMKTKR TRV_05611 MWTTCEQQHIYQADSQTADDTTHGQPAKMTSPTTTIAQKFLSRP QELGVVAVGFSDGQKMTQPKAGVDAGPTALLEAGLLDQVRDELGYKVDFDSKVHDYAD VKPAESEDPRYRNMIKPRTVSAVTRRLSEQVYEHAREGKMVLTLGGDHSIAIGTVSGT ARAIRERLGREMAVIWVDAHADLNRPEESESGNVHGMPVSFLTGLAKDEREDVFGWLT KDHLISTRKLVYIALRDVDRAEKQTLREHGIKAFSMHDVDRHGIGRVVEMALAHIGND TPIHLSFDVDALDPQWAPSTGTPVRGGLTLREGDFIAECIHATGNLIAMDLVEVNPSL ASMGASETVRAGCSLVRCALGDTLL TRV_05612 MDNTGRGDGWLILLPEPYAKAGTLWLYPHDGIAPEDGEAVRVGI KQIQMEQDTAKSQELPSHTVLLDFNRVSRPLIEIITLPEIHSPATAAACVRKIQAILQ SCGAVNTGMEMGGLRADVNVSVRRTGDTDTAELGQRTEIKNLSSFKAVEDAIVAERDR QIAVLEGGGKIDGETRGWTIGSTETRRLREKEGSVDYRYMPDPDIAPVVVGEQLVETL RTSMPPSPDELLRMLTEEPQYMLTMEDAKPLVELDDCARLEYYLDAVDELHLLQNADV NNSSSSSTRSTATGKTVGNWVLHELGGLFSRADASWDSERVPAASLAAIVHLVGTRQI TGSTAKTLLATVFAGEHGGRTVQQMVEQDGLLLCPLSQEEYLAMARTVMAQHPQMVEQ IRQGQHGKVGFFVGQIKRMGEQGRVEAQRAEQAILSLL TRV_05613 MQMQLQMVRLRLLHPRLFLSSAAVAARHWTPSRRLLSTQHVQSA TSSIAGDAKPFVPLRKQLKDETKAKRLQGKGKKKSRGASSQVEGWELTVGIEVHAQLD TDSKLFSSELMAIKKHWHKRKIEGKKVSRMKNAELIV TRV_05615 MAPGKSKKSKKASAVPLQPPPPPPPPVSGSSLDFSYAIPEAEPA PDHHGYSIFNEALDAPYEEDKEFAAASSSAAARRRAASRASEPAVALPAAAAPIPTTS SIPATSIPSSIPAPAPISTSAAPIEPAAAVFSYLDHPLDEQMQMKPPSSHSRKASEPV LPGAPRPKDHTRKASLSQLLRTVSGGSSSTAKDKEKDKERDLSPSRSSSASKESEYQP ITPPDMLFDPINLNIPGTKGMSKSHMAGSYMTDLESLLEGPTSAAPAFMSFSHPESFY MPKSMLSYSMAPPPPTKQQQQLQHSDRAPATEASRRRSQASLPEQFEWTATPETPHHV YRKFERLNHRVLRHLQEEIAQLEDDLLTIDDIEAARAGASGSRASSRQKQLAAKYRDQ IQDHSVLQNKRTDLLDKIILKTDQYMIKNLPAASDDDIDAYRTFLRSPAGASTKSERK LLDQRSDLVTMAPRPASALHSNPLYSTVAAIFAAILFPLLAFGAITEFFGRIVVVSFV AGSFAWWASNGPPGHDYLIEPQDGWKCAVM TRV_05616 MKRNADEETVKMNGNPVQSPETSVTPAPPKRKRETTAEGEADGT APTSGSGESAFPDTLKDLLLVLSKHDSELGILSRPLAYVPTGEPENKRVKRSKTAVEQ QSVQSRVEKSYYNSLEPFLHDVEQSINSFVAECQRHDSKTRRSTTQASPNESSGRANA FRKELNRLVLKISPQASPSTTSIKPAKAEDTPEPLPGNSRNDRLALALVDNTPHGRQI FSSVQKSDLPINETALPKGIVVAKIVPFNPLHMDESRTRTRTIGEVFAPRPNLPVLER PQKRPAESGPTVVEWLDPLEAASSLPSKLLGRKAYSQISLPSGSWLNYGYENPLLSDN ARRVSRQEAIEKEKFSKEDKSLFHAIYSSFAPSFDSSGAVAPRAAKYQAWWEKSGARR MNVLFNFEQNDEDSAASPKARPTLDQVLDPQLLDEETLSEAVESFKPDTMYVDKATDS KEAESDKDKEKKAQGDIGEILDDISDLLQTLNSYRQLRNLAQQPPDKRAADQSNGTLP SQDPTATPSDAEYSIYETLKSSLSTLVSSLPPYAVSKLTGEQLAELNLSKKILLDGAD YPGTLDDDDFTKQQKQAAQIQQNLVNSRGHAHGQAPQGRPATYQAPTAPAPYQRQHAT RQKHMPVNYQQVPPQGYAGRPPPPPPGHYQPVNPQPYAQHPPTGQRQGYMQPPYQQPA AASPPYSRSAMLQQFQRPASNGVPPYPQRRASPAQTPTQPYPHRTPQVAYHQPIHQPQ INHAATAQRMPQSPHGYNQSPQRTPYLNSPTGHPAQPRYFQQQTPQPMPYANYHPGQA NQIHSPYAKASPGIPYPRSAAEQAAIMDRNKMQLLDGRAQAVASPQPMQPNGQPNGVP MATPGPSK TRV_05603 MASHPQPSPPMHPHPSHHPALANHPQVNGHPSMAQQQAQQQQAQ AQAQQAAQQQAAQQQAQQAQQQQQPPPGPQQGPQPQPGPPGPPQQAPGQPQKGMPPTI SQKIAQLNEGVWLQIGTLSELMNDPDGAMYAFEQAIRHNQWSIPAMSAISCLLRSREQ FQKAIEYLQAILKLDPQSGESWGSLGHCYLMLDNLQEAYTAYQQALYHLRDPKEPKLW YGIGILYDRYGSLDHAEEAFSQVMRMQPDFEKANEIYFRLGIIYKQQQKFGQSLDCFR YIVNDPPRPLTEEDIWFQIGHVHEQQKDFEAAKAAYRRVLEREPNHAKVLQQLGWLYH QQNNNYSSQEQAIEYLEKSVSADNSDAQSWYLLGRCYMSQAKYPKAYEAYQQAVYRDG RNPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYISEVWYDLGTLYESCNNQTSDAL DAYGRAADLDPTNVHIKARLQLLQSGQAGGANAGNAPAPQDVHPQAYQQNVGAPPGPQ WGAPAPGPGGLPPQGPAPPARIAEWGRPSGEPQNASNAHGPGSQFEQRDNVRGPPPSQ PRVQASPRQEQFHEPHPPYRPPHHSISSSRKGGQSSPSPKFPLFNTHTYTGTQVLPQL APPQQQQQQQQQQPQQQHEGPNNGPAPGPPPFPQPRQGLGPGPGHGPGGPGPNSQGPN GVGPSQYGRPFTPPTEIRPIREERPASANGTGPGNGANNAGGPGPAFAPPHQPPYHHV PGPGGPGQQGVQQNGGIASGAPAPASAIAAAEAAARERDERPASSMKRVREWEPEPGP GAGPVKKIANEETRSRLDGRHDTRMEDQPGPGQVPVQGQGPPPPPPGPPQGPSRRGSP GPAGPVSGMGGLNVRLASPRDLRRRSSSEARREDRDHARRAHEPYHPSDAAHHPPTLP PIQHMGGGPKSEPQTPGQASTGPSGGPQSLPSLSAGLPKDERDRDREREREREREREQ RDRDRDREREREREQRERDREREREREREQREREREREREQRERDRDHDARDQRDRKD HSALPPVSVSSQGPLEPPARKMDVDEDYDDEGDDDTGSKKPSAMVGKGGSPGSVNGSG SVTGRDRERERDRDGQGSQRDRDRDRERERDRGDRDSQTKAEA TRV_05604 GRPEVEKVASISCSRVGLELVWRGRAGRRSFSRRETLRAGQVLV GWLVVVMARVLQEPCSRDNARRDEEEEEEEERRRREKKESERWKRIREQEISN TRV_05600 MLMRVILLGFKKNVNRATTQVMMKTGHVEKTNDRDYEIEERRYR TMESAANRLQKEAKGYLDSLRGKYPSCNLPENQLLTRLTAMTASQMRIAETIDAFYGD AGAKDGVSRSYKQAVEDLDAETIKALDGPYRTTVLDPISRFCAYFPDINECIKKRNHK LLDYDSMRAKVKKLVEKPDKDASKLPRAEKDAEMAKQAYDQLNEQLYNELPQLIDLRV PYLDPSFEALVKIQLRFCAEAYSRMAQVQQYLDSDTREEYASGNLDNKVEQVLQEIRD LSIAGTV TRV_05601 MEQEYASQYRQSIPGPPAPLQMSPIIRKRPAPSGERPLASAPRA IQPKPHTSDTTRYSISEVRTGVPIPRAPDPPRGDTPRKRGRPSKAEMQRRRIAEETRS QAHSASQQPNNTTPRPGYAAGGLPATSPGTSSQGPPYSPAMGQRVPPEQMREPDPPTP MSVQYHGTETPPSQTQDIQVQRGQRPQQQQPQQQPQPLLPRTEGGRHLQESPMIVESR DPNRPAQGAHHPQTDVPAGSSGQRPDTAPSAATTTPSGPSKPESPGNRERISPEQPDP SPEEDKGS TRV_05602 MRFSLMILKRYAEEMPAFFNISVRRKFCKERQERKSRKGKKTKD RSKIQRKESRQRSSQKVRPKRWRREAPVINHDRTGRHCVARREREKERREREMDLIGR DEAGATASRESSLAVRYRVEETRVEKRRLDLMVVNNNRTSTEREREREREIQPTATGK LQDVGERRKKKNPGRWRQFEAGKDASRLQSSLDMAVLSLSFAVVNLSVSLSLWSVEPN QISGGARAHANWPSSKVSIGLVANNRNERKRERRELPHAIETPPGGRENRQRGGREEE GQAVLGKSIKQEEKKKIRKEEKKAAELKRKGKREEEEEEEARI TRV_05584 MPSSIPQTAHPQPVMDDISTRSPSADPQAQGGMMTAMPSSKAMY STPNRPTSQAPAQMQAPSQASPVYQTPSSTLSPQAAARERARVTILLDINSALLQEVV NLQASGKAGPASNQPSTTGSPSSPQDAQKSSNGSDSAKAVAPAGKPSQEYVDCMRRLQ ANLAYLATVADRAKKTGATGPQTPAIMTPPPHLSSLNQLYTSLNELFTATTTPGGTTS TAAATTTTTTAPVPTPMPQTGIGSPMTPQQQQQQQQQQLYRQRQGQIQMIQQPDFSYP GSAAG TRV_05585 MLHHTHTQAYPPIHTHRLLQKPSWELHCQQQDAGERSEAVAGDG LAAAAAEAVAAVVVGGAVGAAAAAAAAGDAAAAVERTRAAGDRRLGSDNTAVYGEQRR AGAAGAAAGRPGSRRPTRGGRRRTRRRAAGAPGAAAGEAAAGHAAAAAEGGGRPWAAG SWLAVEARAGPASPRQAIRQASRAKGEAGGKETAAAAESGRSSQCAWRRASRVSAAAG WDLLDVDWAAGEVEVWPPGWRWTFGRLAYQQRQSIAGGRSTRDVVLLLRVSFFLSFFL AGLPVRLARPFCFFCFCFFFLLAFFFLVVVVAEIRLFFFLPGQVTCLTRGT TRV_05586 MSIRKQFPEVHWIWKGGISFVYEVHPRIVVKIPKSGDFEREQFQ KELKIYEILSQRPTCPSIVQCFFYTDNGIFLEYMRVEKLEPLRLRKEWMNDLAQAVAF LESLNLAHGDLRPENILIDRDRLKLSDFDCTAEFGTDFETCMAPYGRILNSNEQDQGS CGGSGFLGCRTEQFALGSLYYFINYGFEVYDDQCLTEDPKEHGRKVVELLQNMEFPKL DGDDPLIDEIINKCWHNGYATIADLAACTETFLGRNNGRETEAEITNGEELDGCSRES RQNDLEDISSKRTFCQDLEKRGLLRMLSSGEPEQLGFTLEWYRHSIH TRV_05587 MSGNDVVYGDEVAHHEPGQEKKPLVILSDSEKEVVELQLNGLPT AIDAQSLWSYTTDWDKTIIIVSVAAAILGGASNPLLTVVYGLAVGSFADRSNGVTSIP ELSAAVAKVCLYWVYLGIAMFFFIYITTVGFYYVGERIVMRLRYAYLRTILRQNIAFF DTLGAGDVTTRITSDMNLIQEGITSKVSMGLIAVATFCSAYTITYIQYWKLGLIMTST VVVMLLTGTAGGILAVKNSKSSMTLYNSGSNLAEESIGSIKHVTAFGIQNALADKYLS FLRQGEKPGIKARLAISFMISFMNGLPFLSYGLCFWQSGRYIISGHMGPGAAVTATMA IVIGGFSIGRVAPSLQSFMSSTASASMIIRSMQRASPEDPLSTEGERPEGIKGEVSFN DVSLVYPSRQDVAVLKCVCLTMPAGKTTAIVGPTGSGKSSIVGLVERFYRPTRGHITL DGHNIQDLNLRWLRSQLAYVGQEPILFNTTIQENIGHGLAYLDDAARSSRDLKAAVIE AAKDANVHDFIMALPKGYETVVGEKGLQLSGGQRQRIAIARALIRDPTVLILDEATSA LDSRAEKLVQKALTKAAKGRTTIVIAHRLSTIRSADNIVVLSAGEIVEQGDHDSLMAN QGLYANLVNGQQLTEEKTDEDDDALIENASASSWFVDEKSTAKELPEIVVEKTDSKKL DKRLSFWDLLRLMDKLNRPERMLILLGLIGCVFAGLGTPVQAIFFAKLIEAVSVPASQ YNKLRSETSFWALMYLMLGIVAIISWFGQGACFAFSSERLIRRAKDTTFRSILRQKVS FFDERSTGDLTTILSQDTTHLGGLDGAVLGSMITFTVTIIGGLALSVAIGWKLGLVCA ALIPITVGSGYVRLIILSLFDRKVRQTQAESAAYANEAVRAIRTVASLGLENEVLQRY RAILERDAAASLRSILQASVLFALSQSLLMPTGALVFWYSSTLLATGEYTLTQCFICF SALVTGAQTAGAVFNFAPDMSKAMQAGRHLRNLFERVPPIDSYSTEGRLLPAEACRGA IEIQDVSYRYPQRPERVVLENFSLSIKPGQFVALVGPSGCGKSTVLSLLERFFDPETG QIQVDGSSVTGLNISQYRSCIAMVGQEPVVYSGTIRENLVLGAAEGVTDEAIVQACRD ANIYEFISSLPDGFATVVGAQGSMLSGGQKQRVAIARALLRNPKILLLDEATSALDSQ SERIVQEALDRAAKGRTTISVAHRLSTIKRADLICVMDQGKLVEKGTHEQLMARREMY YDLVQAQNLDTVS TRV_05590 MLEALIQSGSAYRVDLALSFVVLLVASYIARLVSHRQRYAKFPL HIDKSNPKQMLLSGFAKYKNAFHINTAIGKDIILSADYANELKSDPHLDFAKAILPTL LTHLSTFHHIEEAGKNQVLTETIRSKLSRLPEWHEIPIKETIVKIVARMSSRVFLGEE LCRNEEWLRITAEYTINLFIAVNELMTWPTYVRPIVQWFLPPCQRLRQQVADARRLIQ PVIDARHAENDELRRQGKPLKQHEDAIAWLDEKSGGRSFDAAIAQLSLSFVSIHTTTD LRTQALYDICANPRELDTTALYQMKLLDSVIKESQRIKPAGLLSMKRYVKESITLSDG LVVPKGTSISISSHVHWDESVYPEPNKFDGYRFLKMKGDREKDRMANLVATSPEHLGF GHGVHACPGRFFAADEIKILLCHILLKYDFRLTEKSNTTPYMMPGGAYMANSTQIEIR RRKEEIAL TRV_05591 MQCSLLPAFPATDAEPSDDALDGVNAGGVKKLKKTPAKRRALSC LPCRRHKLKCNRHVPCHSCIRYRREEQCRLNPPPSSVMRGGVPLHSQSHIPPDHTPVT AHAPAPAPVPASLPFQPLPPQVTAAAPLQSKPQPPYMLNSRETDRTETILSRSARNAL LETPLAQSLASLPQNILGVSTLPPFIPLLLAEQSQRPVDENEVTTFWRAQLVSMLPLR HQCDLMVNYYIHELNYVYHSVHAPSFREDYAAFWNKDVKDVDIIWLSFLYAILSASAV FIPFPDVKAVGLDPDTIRKKAHLWHWASRQALSAGHYESRPCLTQLETFLVTQLYWLS TKNIEAMNSYVVNNTTDPTFHSLIPYYYYRALGQAVRNGQALNLDRDVSGYSPLETEK RRRIWWELFCCDTFQSICMSRTPLIHCPAPKIPLPANCNDEDITDSFTEGRPIDEPTE TSASILRAEVFLIMRKLFDSGFEYLSSYEYVRSVDTELANLTRNFPWYFQLDENGECA NLPEHQDYILWQFHLLHSCICMQRIRMNRPFIHTRIGDSWAVCAKAANEVLAVYQSMR NPDVEEFRKSHKLFVQSYQIFSAAVALAGFLLVERSFPADNIRPLIEMVISDLELCTA DATIAVNGRNTLIKMLDMYDRRQQREPVEPESLVPEISVVFGGEQTTRKYLKRCEIGY VLNEDGHATPSSANPRASVTTPSANTYGTNTPTSYTYNPTSQGNQPTAELSDVPQAPH YAAPNPSFSDFDPSRVQPKAYIPHPTTTNLSLASTHTAQPLTNPDINQALPTTSINPN GDLELLNCFYSNPIPLDMSFDGPWDFLLTDLPYQT TRV_05592 MGRIEELPDDFDESLDLNKAPLFTPPPAAKEPSFLPSGETPFGI KKDALPKGSETLPAMPPAMESVKSHTADEIIELMNQTPLFMTDVDKALQAEGENPQLD AIRALQNEGTRADNAQRFRENGNDFAKVKRWKDAKECYTKGISILTIKDNSWEEPEDP KEEARRLREIEEACFINRALCNLELKNYRSTTLDCASTLKLNPKNIKAFYRSAAALLA LDKVLEAEDACVRGLHHDKTNQPLQALSKRIAARKAELDKIAARKREEQQRAEKSRIT LRTALAAREISVRETAQPPDMEDAIMKLSPDPLSPESTLVFPCVLLYPMHAQSDFIKE FAETDTIAQHLEYIFPLPWDKNSEYTIGSVDCYMETAAGGMIKPGKKVSLLAILGGGK VEVVDGLVRINVVPTKMAGKWIAEMKARKNASK TRV_05593 MFEKYQPPRSYGSSGGALSLTGLESMSAAEKTARIMAVANDMAA SIIYIAKQAEAGNLTNRQIIPIYNFIDSILGLERSQTKSLMRELDKQGSRIALMEKQH SRDIEELTKCAMETITQMKVRIAQLEKNSTKRWKQKEEEELVGDKETLLGGAQEVRRQ LGIARRWLARRGRGEEFYLGISSGRRPQKEKRSRGRQRQRRWNQVEIILFQSTPYLSS VSAVSVSDQHSSFIQRSCASIFHTADSMSSKGDFAAVRRDIAAQMKQPGYDDGSAGPV FVRLAWHSAGTYDIESDTGGSNGAGMRYEAEGGDPANAGLQHGRAFLEPIKAKHPWIT YSDLWTLAGVVAIKEMGGPEISWLPGRTDFVDDSKVPPRGRLPDATKGSDHIRHIFYR MGFNDQEIVALSGAHNLGRTHMDRSGFEGPWVNNPTRFSNQYFRLLKKLEWKPRTLSN GTKQFNYVDEDVPEEEREEPLMMLPTDMALLSDPEFAMWVDKYAEDKELFFDHFSKAF HKLMELGIKRNAQGEVINTDNVRGGYISAPKKSDRPTAPDQAPRAHL TRV_05594 MARPVSPNADYPEVNEHFPDYDFERLAVPPPGYELYRFPDTTHL RNRALDIDTLKKLVNHHTWGFSLTKWIENKEKEDGKDKKTKPGKPGKPHIPRRTKEDL LQILKRISYNQDPRHRADWHHFISRDCDAGPRLLRPSYNPPLFSRRMPRGDPNWEFAL LPVTPQLPNPQWPAPARDGSFPRGHQGVTSFQSQPSYMTAPHHMMPQVPTRLGLSSEH GFPTGFPHSAQTGNQWDEAYRQRYLLSNPGRADNVKPRTTTQANSPPRQGTSQSAYGL LDSHCPRQAPLAQLHYSRPSVTGPIIGATTLSYLRLRISEDQTQLSFDSDGELYPFRC RGPLSYDGSSDIFDCVIVAGLLTNAGSTTIDKRARRERLIVLSPPEKAFISAMSDCLN SQLSDEAVSTVKERLWHVYTQHFQLNPHSVDRSVMDAANVWTALTKSFSQFQLRYTNH YQPCRHHGGPVNSVPYQESVANIAYQTNDDNGVDISVLLQRVFQDSTSVSCTQCGGSC SIRCSRTFESSPLRIVMQTHEQIRILNFCAPAITVRLAKTDGEVVNEQYTLIGAIFRT HNRGMIHYRVRWIDKNRGSNGSPLTQIYDASQISGMVPGGIKPQEQYEIAPNSWWING YPVLLFFERIIHPPSEQSSPQSMVVRSSQAPNPRLGCPSNGTTSSIHQQKSNGDSPGS RTSSRLKEKRIATQAHAMGTEKSEGKKILVSTARRLAKASSQPKTRGQIEANPVGYTY ASSFNANSEGPLQATQYQLPTSGPTARASINLHTPGCPNGTPSPVLAGSLPTNDFIRS AVIPIPGPSTMPSSNPHYRNSAALTGNNQANGHRSIPDIHNAMIPAFNASVAQDIPNG HGSSSGAAGRYEASIHETARDPYPVVNRLGTVANTRHPTNNAPSPAPESNDRTSVKQE IPSPIVILDTPPPEDPAVSGNLAAASSPQESLHLLTVDPQQLLLNRTNPVDEAGLQPA VPAEVQVIEGGNGGTVPASLEGLTQEDLETANQSFTDFMENNDNLYSSNLELGFADSA MPPLPPPDNFFDLLGQVDGIELEQRTASNLDTTLADDEWMAQWGINGASTSAGEPSAA EDTSTPSTGAGVARSNSLKRKRDESEQER TRV_05595 MSSPVPDLDGAAVPEEQILGYGRSGLVIRVENTALKIPLRYIRS SDDEVEINTEVIQREQEAYRRLGQCEGVVACLEMSEKSTRLALMENGDLRSYITKIRP SRLLQLFWFREIAYTLSRIHDRRVIVADVSSRNLLLDADLSIKFCDFTESSILPLIAN IEAANDNGYSIQTDIGQLGAVIVGDFYVEN TRV_05596 MFVQLLTYGLVAASTLQGVFASTKLPILDLPYGRWRAAKYDEAA DDGSYGPPCIPGPDAPGFEDPSYKRQQKAAREDCLFLDAYVPGNALRNRGHRKLPVIV WVYGGGYSLGSKDLAIEEGIYDGNSLVQRAAGNAIVITFNYRLSALGWLAGTTMENEG LPNAGLHDQRAVFEWVRDYVHLLGGDRDNVSAWGESAGGGSILSHITANQGIVDPLFK RAVVMSPGLGFPVDRKGSVENQFKAFASRAGCAGQGLACLRAANISQLIEASYKDLGQ IGPTPDGRVLKHVFSVDIAQGNYWRHLDSLIISHVYDEGGPFAGNDSTLESLSGFLKS NFPTYATEAVSTLEDYYHLKAPSNESVRAIGSRLIRDAIFTCNIRDILRKYSKKSYLM QYSPKAATHGQDVFALWYSPKLWNVSIPLFSGYQSYFLSHAITGDPNTLRDRDISPPT IAWPKVGDINAEKIENTLDVVDTGYNLITDNQVLKSTCDLWQKLLLDVTKQGGYLDI TRV_05597 MTSDSSSSTSSTPPNDARQWQTVFRVRLNPQPAHSQARQLVVEK RRASGFHPRRSHRKSRAGCLVCKRRKVKCDEARPGCRNCSNYGVACSYPVNLDAGNRT RTIGPLGDPSMVMFSMSLDNLTTKIGETLSVYLGSGIRMPGMSGSDRPLEVQALRHFV GCTVETISTVSIRELMRTKVVQVAFAAAEADHWLKAIKSYREALSHEVSAANIDALLT SCMLMAVNCMCPENFTPKDSWVLTSDPSAMNWIYLQNGLPYLLEIGGPFIPQSIWGPA FVAAEEAHDELLNHCPQGREGMNPKLADFCGITYSTTAETSPYFKPLQVVSTMLEMEK SPSTAGYFSSFLGHLDPRFLELLRVRDTRALIVMAHWMGIMCLISHWEPWVEGRIRSE CIAICIYLTPSADTRLLELLRFPSIASGFIDTE TRV_05598 MVHISRVRKDSVAFPPKLLNRVDTLDLEEPQDHDFYSSVYGSRF AAEDLPTDEMPEKEMPKEVAYRMIKDELSLDGNPMLKYVLAVVILFIYRVILADLDHV YSLASFVTTYMEDEAEKLMTESFSKNFIDYEEYPQSADIQNRCVNMIARLFHAPVGEG EHEHDHAMGTSCIGSSEAIMLGTLAMKKRWQNRRKAEGKDCSRPNIIMSSAVQVCWEK AARYFDIEEKFVYCTNERYVLDPEEAVNLIDKNTIGICVILGTTYTGQYEDIKAVNDL LVEKKIDCPIHVDAASGGFVAPFVNPSLEWDFRLEKVVSINVSGHKYGLVYPGVGWIV WRSTEYLPQELVFNINYLGANQASFTLNFSKGASQVIGQYYQMIRLGKRGYRAIMLNL TRTADYLAASLKELGFIIMSDGKGRGLPLVAFRLPPETAEKYDEFAIAHQLRERGWVV PAYTMAPHSEKLKLMRIVVREDFSRSRCDSLVNDFKLALAQLEEMDKKALEKYRE TRV_05599 KDAKNNTPASSPNAITVGAVRWENTRPSFSNYGKLVDIWAPGEL IKSCWKGGNNATSTQSGTSAASPHVAGLVAYLMSIENLPSPSAVTARVLNLTIPNLVK DAKDSPNRVAYNGIQERKFTLPKYY TRV_05580 MERLQAKIEGKGNGIKTVVVNLNSVAQSLSRPPSYLIKYFGFEL GAQANSKPTDDRWIINGAHDAPKLQDYLDGFITKFVLCKKCKNPETDVVIKDQSIILD CKACGERSDVDPRLKLSSFILKNQPKKGKKDKSTKKSRRERNKEKGEKADKAENGENG EANGSPGDSNGSDMGDENGDVGIDAHSDDELTRRIKAEAEQIEHNDDIDDEEWAVDVS EAAVRARAQELPDDLKRTLVFNDADGDDDSENAVASSYDQFGSWIIEEAKKSDKGVAG VSDVDIYMKAKEFGIESKHKTLSVLAQTIFDDNIVKQIPARAGLLKKMITSERHEKAL LGGTERFVGKDRPNLLPMVSSILLTYYQHDLVSEELLKSWGTKASKKYVDIATSKKVR KSAEKFLEWLETAESEDESEEDDE TRV_05581 MGDTRQKIFQKLRPPCVELSSITLKFKGNLASSSEVLKALDTLY QTLQDVIPYNGLDEKLAEYSFFPLSQIFNESQRISAQCVELALKCLQILIERGWRSRL SAELGKQLLILMTLLTGGAPAQASGPSRPRSEELVVAAFDCVSSMCHVLHGPAAAASI FNEIGSSTIIDQTVYVLLEAIVDGASSDIQRSAAVALKSLQCRITNRVVLASLLPRTV SSLTKVLRGTAQQRRSYKLLCACLEGLTINIRSVLNDSVVTSTTDETQTNCGTGPVVL DKSWLNATASQIKLALSNVIRLRNHEREEVRQSLLGLCLMVIEDCSNSLADSLAMMIE TVVVLAHNAAEKDDNEAYRSLKNLLTSSETVTGILKSSLYSWIVALPRAMQSADEAAK SRVIRQISTAFEALSDTQIKSDILDDTMTTSLHDSISAILMSSPTPLQPLGPPIDARP EALSLTGQSHSNTFQPVIFERQSQKETLMELQTMISRLSNADLSFNMVKSMLKKLYQS SGDSFVSSLWLTLSFLKSASPDTLMIDEVLNVESDLSSTSRPQLIEEIYSLALPILTD MQNVDSDDWRTPALALEAVALQAEQLQESFRPELIDTLYPILQLMGSSNPALQNHAVT CLNIVTASCNYPDASTLVIENADYLINSVALKLNTFDISPQAPRVLLMMIKLSGSRLI PHLDDIIGSIFAVLDAFHGYPKLVELLFGVLGAVVDEGARQPALLAISHGDEIAVPQH RRSPAQPRSVSSIADWIKGRREKCSRELQIEGNNLEPLEPHPKKPWSSIPEKSAGEED EMDINSQADQSEQALEPEEKPLSKPHTLLLNIIKSIPPHLSSPSPYLRRSLLSILTRG LPILSQNEKTFLPLINDLWPSVSARVTLAAQTGRESQALSTTLKENKAQVSDSGIQEE TFVTVASCTAIGTMCKGAGDFMSSRIEHEFPRWKCLYGSFWHQVKDDAEKSAERHRQQ LAKKQIQEVKSSGSTASAGLVRVLDDSGQPLPQVSFTITKSFTRHHSLWKALTTLYIT ILSHVSLPADIGDEICYTLADWITFFHPELYSSETWKDDGNSDDAMNDEDADSETRWS RGTLKEAKRALRAMKVWNPDLTWLACVQTGVRHHKSRNARFYSQQELQPIAEKMNNEL GQLLGDHEREAGLRFATPVF TRV_05582 MSVQDGSRFDFIVVGGGTAGNIVAARPAESRNVRVLIVEAGIGD SIDNEDIRTPAMAMDLRGTKYDWGFKTTMVKRDDYERIEKPNTRGKALGGSSCLNYFS WVPGSKGTFDMWEEYGGKEWTWDPLVPYLRKSVTYYDDEGLFPPELKCIGAGGPIPVS HAELLPEMKEFRERLVQAWKSTGEPVTEDIFNGEMRGLTHSVNTIYKGRRCGSFLALV GKPNITVLSEVFSKCLIVDCADRCAKGVTVINGCGQEMSFYATREVIVSQGVFETPKL LMLSGIGPAAELARHNIKLIVDSPHVGQHLLDHPGVPFALRVKDSFSMDSQVLRSGRC QDEARDEYTKEQSGPMASPFLEMIGFPRIDSYLEKSPEYCAAKAANGGRDPFCPYGQP HFELDFIPLFGSAFQWHFPHPRKGSYMTVMVDLVRPVSEGGEVTLNSTDPLEQANINL NYFNNDLDIIAIREGIRYAYDVLMHGDGFKDIVEDEYPWEMPLDDDEAMKRTVLDRSQ TSFHPCGTARLSKSIEQGVVDPRLRVHGIKNLRVIDASVIPVIPDCRIQNSVYMVAEK GADAIKCDHRDLYCKGVTGIVGHYNRRVDIHIALHNTKASPSISETGENLV TRV_05583 MYANKSNSFHNSSPEGFHQLLHLFSDRGTPASLRHMNAYSGHTY KLTTARLLTWGYQDGGFRYVKFHIKTDQGVRNFTREEAETMAGKNPDFLIQDMFEAIE RGEYPTWTVFAQVMEPAQAENYRWNIFDMTKVWPHKDFPLRELGKLTLNRNPNNYFTD IEQAAFSPSTMVPGIAPSADPMLQARMFAYPDAARYRLGANYQQLPTNAAKSPVYCPF QRDGKMNFSDNYGADPNYVGSSLQPTKFYPQLKRMAPSTISTLTEHEKWMGEVCTFTS QVTDDDFVQPAALWEVIKREPGHEQRFYGN TRV_05572 MILWAFDHQDIKRLLRFRLYEDDELPRHVLQNRNADVVSGFLAS LLPAELGMFPLELSHHDKVEEILELCQLRTVPVEPWRWHPNYSYNAEPRTIASYIDVE SSRQFQAVPFEDWIRYALGYPTESIQWFFSQHKQLHDIVSAHLDLFPGDEVPDQSNQW VVGYIIRPIQELFKAHLTGLPSMLKKLSVLALSFERKYRTSAEIDWSAPFDANPAYLN DFFAFREVEPLARKLTHIDAKEFSSLSVQSFVEDTAALRSLSGRWHLLCSSTEECCRA LPEMATFFKSCICVRIDI TRV_05573 MDAFVGSLPLASANSDAPSSQNREMHQLPHLKIPPLRDPLPPPS RKPPSPLEPNAGTIRESKYNSKSTLAASNQQSELLTVAGFLNNTAQSDKPAVTDPIPS ADNPPKTVLPAFINLRAVEKLPYSSFEQEVLPRKRRRVDQYADTFGDHLQLPIPKNQK EVPKQRPPFGPLTILNGLNEPPPNAALFPPIEPNGSLNIPTCGIQQMSTTTNGSSIQN NGGSKPRQHAEDNEKTVGKDRIMGNAASLEIPALTTETQVESQQSFEWANNENDPKDM GETAPKSQRRKKARKWTDEETYDLLRGVVRCGVGNWASILAQSDLNFNDRTSTNLKDR FRVCCSWAYQPDGKPQTLADNTNNRQSGKIFLPDPRKTKGISEPNSLTSSVSTLQNIT GNMPPTASKSHTISPFPSGTESSVTSEIPTASHKSHRKARPSARGSDALSKKTKSTLI ALGLPDPDATVKANRRSRRPFSQAEDEALLKGYAVHGFQWTLIRQDKHLNLMHRKATD LRDRFRTKFPNAYREGGSATAKIIPLTSNNTTVLSTASDPAEGEKQGPSSTAPASSTT KEHLPRSNFHSPVAESRLGKGNLRRPSNSGTGTKTTACEQNAKTNPSSTPANDIFLST MTASAMPIDPAMLPPAPPLSTAFTFTTIDDGTSVSASESTLGRSDDVTLPPLVWEELG TRV_05574 MVFFLLFAAANGSEAAEGTSRKPFSTPTSQSIRHHRKHSSSEKQ QKKKKKKKSRQLTEELPDFRLSSPEPCDLGHYPRLSSLAACHTRPQVDIKVFNTHHQP ISGSKMQAIPDARHQTFEEIYGPPENFLEIEVRNPQTHGTSRNMYTSYEIVCRTNIPA FKLKHSVVRRRYSDFEYFRDILERESARVTIPPLPGKVFTNRFSDDVIEHRREGLQRF LQIVVGHPLLQTGSKVLASFVQGKYLPLSI TRV_05575 MSGHPSSRQEAVSPAEPDSIYHLAHEWGSVDTVGNTDSQTDAGF SNAGHWDPSAGDLDDMDDADMDDGDDDDDGEEEDGDEDEYDDEDDRFDNDDSGEDGHN IELQFSVYPAQGDTTAATAAGPGGEGQPQNPERVRSMGSNISLAWNLQISFPFGLLIG LLLVTTAQLFRLIGATELHRILQGHGVLRSRSNENGQGYDIYGVQATRRTRRTGPSRY PKPPSQTGRELMISGDYGSNPYYVDRLKGRKKKLATSLMYRELGAGPRGTEIRSSREL SQRLIPGTAADTIIHYENPCYSGQFSDDGNFFFVTSQDFNVRMYDTSNPYIWKHYKTV EYPIGQWTITDATLSPDNKSLAYSSIRNIVCLAGTDPASSTDPILLSFEHGPQGAAFN SMFGTRFGIWSVRFSGDGREIVAGTSGNSVLVYDLETQRTILQLRKHSDDVNAVCYGD KMSPHILYSGSDDSTIRVCDRRSMADGREAGVFVGHTEGITFVDSKGDGRYVLSNSKD QTMKLWDLRKMMTTAKFDGMNHIRYSTGFDYRFSPYLESDYIPHPDDCSVVTFHGHGV LRTLIRCHFSPPGSTDSRYVYTGSHDGKVYVYNMDATLAKVIDVGGTTRHSWSPRGTP RSYDLDPKEIKTCVRDVSWHPNAPVIAATSWNGWGMSEGTCTVHSWTDDAEDDEGLPS MGWHLESELQLPAGQDSIVLGSEYEDY TRV_05576 MATEDDNFDIDIYGDGSGLIDEGQDTTFKTEEPDLVLDGPDTQQ GGGNADGSDEKYGNSNNNVDNDSHKIFKTEKSQSEAPHSGHNLPQQPPAQQQQQQQLP QQGVKRKEADPDATSALYVSDLHWWTTDDEVRGWVNKAGVEADLKDVTFSEHKVNGKS KGQAFVEFTSAQAATIAKHKIEEMNNSQQGGRKYSVTYTQPNINPFKTLPKENPMRGG KDDRTPRATPPGFNAGQGNFGMNSAVGFRGGRGGFNNRGGMNQNMGYNNRNFTPMGGG FQGGAVGGFQGNPMGGMQNYGGFNNRGGMMNNMRGNPNMRGRGGMGGMASPMMPVGGP VGNMGGVGGMGGMGGMGGMPMGGMPNQMGNMMGGMQGGNMGMQGQGFQNQNPHFNPAF FGGGGNDSGAWNPHGVKRTRQE TRV_05577 MRGEGEQKNRRLSKNPARATENPVRYPGDEERSCEKAFDVTWTE WNNKFPKRHLNLYAKDRDGRRDRRRRNDDAEEDQQRGHRLLQKPTRKKRRERERDIDI LRTPAVLQATRQFGPVAMSDAFQELADIPKDFVKDGMLFVNRCTKPDKREFLKISQAV GFGFLIMGAIGYFIKLIAPFEHLTIKPHKSNEKPQHPGSFMCEWPVLHKPLPTFTIPL FVIRHEHMSYCLQREKQQPIGVLRTDGKINMVATLRLRRFQPLIGAISSLSSFGGLIS LHIFYFPCKLYEYTSFSFSNGHGYLPNWAIQFSNCNLRLT TRV_05578 MKDKRKGMTSSLLLAVDDTGESNPKADDPRHRLWTTLFGRREIN VETKEEEKAEQDIAVE TRV_05579 MASLSTASGMHGGARLKGHGSDSNGSDRVHTNRSVLVQSGEIDD VDVAPGREKVEKPAVKPWAHFLAGGLGGMTAATLTSPLDVLKTRLQSDFYQAQLQQLR AQHPLPPASSSIAALTRSAAVHFSETIQMLRSIHVHEGWRALFKGLGPNLTGVVPARA INFYVYGNGKRILNDYFRYDPNESPVAIHLGAAAIAGIATGTATNPIWLVKTRMQLDK NASQQQGGGQAVRKRQYANSLDCIRQTVRHEGIQGLYRGLSASYLGVSESALQWVLYE QMKRVLAQREARLAADPTHVPGWMDDVISWGGKLVAAGSAKLVAAVATYPHEVVRTRL RQAPTAPAGSGKAQLKYTGLAQCFKVVWKEEGMAGMYGGLTPHLLRVVPSAAIMFGM TRV_05561 MSVDVAAGRDGDVVVVMLEVVDVMMQARHRWSDDVEARQRIEER REKREEEEEETWEEDRKKKRRRSEDVISESESEPEAKAKSLA TRV_05562 MPGPPSKLVSISITPLHCMNMLLIKSLVVRVRPPLQQSDPGFDL IPQRFQRPTVHVTSPTSLAIDAPQGRKLFVFDRVFGESVDQEGIWDYLHDSVNSFMQG YNVSILAYGQSGAGKSYTMGTSGPSEQHDPKAMGIVPRAAQMLFEKLTGSPTHNRSFS GSPVTGLRTPQRYSSASSFGRVSDKPWQMRATYVEIYNEQLRDLLLPESTPPGERNTV TIREDTKGRIILTGLHQVAINSVDDLINALNFGSAIRQTDSTAINAKSSRSHAVFSIN LVQRKDGSAGLPLSAQEKRFSMPDPTSSSSSSSASGDHVTIDSKLHFVDLAGSERLKN TGASGERAKEGISINAGLASLGKVISQLSSRQQGAHVSYRDSKLTRLLQDSLGGNAIT YMIACVTPPEFHLSETLNTVQYAQRARAIQSKPRIQQITDESDKQAVIERLKAEVAFL RQQIRNADGGERDRRALLTPQERSDRQNERERELQNHLLDVQESYTALSQRHAKLISE LTKSDPSADSSNGLDDGGDASMERLKRSHSFAESVEQVVMEYEKTIQSLESSLSQTRA SLSATESTLLERETKCAYIETVNGQLQARMQKMLDREANTESYLHDLESKLDSHATGE EKNAAIVAELRKELNRARENEASCEDYISTLEERLAEADQDMELMQSEMDRLEHVIDR QRSLGKLDNLLYELDHVQNGHSRSEYPAEYPTEYSAVGIHDSRKLSSAGSASTIRPKK TTRTRTPSLDVLTEAVETAIPESDDDLLLADGSTPSSIQEETALDLARDDAGLAALER ATPTNSTFARDAHDDDDEDAENDEPEPYTPSAAQSQFVAEKLDSVTQELFDLRIQHDH SMNEYALLNAKYQESLRAMAELQDAMDEIRHSQRSQHPVQPAVAFLDTKLAQNGRPRV NGNGVSVSSYSNGGQTSRSLSSELSSAEQANSSSFTSSTTTNNTEVEEADQGEEEDES EESDVVKKLKAEHQQALDAVTSKFNELQMEHEDSLTLLESLKDEVTRYKNAASSPPHT PNVIRRITSQSMMTVDRAHRNLSALRIQAAEEFEGRPETLQSFDQKIDSALRELNSRM ERIQALEAENKNVKKEMETKATIISGLTRERSSLQSVSPVDMTVVSQLRDQIVNAEGQ MNEMREAHEAKERELAEELKSVNALVESQKAELDSLRKEVEEWQGKHRQTAEELALVQ SRLEASEKQVKATVAELEASLANVDAMRGTNSSNKGGVSADEAAATADALEKERSQHQ ELVDSLKQEIDGHKATIGAHLAKIATLESDRDGSRTALDDLAGSEDQLAPHKARVTEL SRDIEVHKSTVEAQQAQLETLQLTHKRELLELESKTKAAAEAQFESQLAEKAAAHEAA LDDLRAELTKSKDESAQILKTISSLLKTQSPVTPFTLQDQLQDVLSQKDQFAEKYSAL MDTNESLTRQLDEKTETHTALEKQVVDLKNKANEHEMKVNDLAVLVANHEEAIAAKEA TIEEIKAEKEKSIRLVEELEEQITSSFDQHNNRLSVIQAEKSMALEEAKAKIAAHDKE IETYRARIEQLEAQTRPISPEGGHIDRGSGSISTNLRKSSSAASLPSPPPAIPLPPLP NIAAAANPASISPPSSRHQSKELANNQFVEDQEARIRTIEKHLHAEKQLTATLEEALG DLEAQSNKVKSDMELWKKKAWQLEEEVTTLRSERNSARLSLQAVEEERSARREAEAAR AHLEEKMNAISKKKKKSTLNCF TRV_05563 MKDRKRYNIIFVHPDLGIGGAERLILDAALALQARGHKITIYTS HRDKSHCFEEARDGTLDVRVHGDKLFPAHIRGRFHVLMAILRQLSLIYYLSESTAANN DEMHDIFIVDQVPACVPVLRALRDLATRRKTKKQRTLFYCHFPDQLLARRGEGGMALR TLKMLYRYPFDWFEGWAMSAADRIVVNSRFTGGVVREVFGNTGEDVQVVYPCVDTGRS TKEVSVTKVDDGGELWGGLKILLSINRFERKKNIELALHAYHGIAEEDRRGTRLVIAG GYDNRVSENVQYHKELDALATRLGFQTATSQTVVSAMSVPASINVLFLLSVPSAFKET LLSSSSVLLYTPSYEHFGIVPVEAMYAGLPVLADNTGGPLETIVEGKTGWLRSSKEIS GWTEVINYVLQRMSSAERLDMAAFAKQRVEREFSLHAMGERLQNQIDEMVQAEDRAFL PLTVILGLGLVLGLVSSLLIWLAMS TRV_05564 MAALFVSLLALTSLVPVQGAATVPQTDYAKRAERVLKSAPLIDG HNDLLYAIRRSTNDQIYDGKLPFETSLKGHTDLPRMRKGRMGGQFWSVFIACPSDPNA PINTPKFATRDTLEQIDVARRLVDKYSKDLMYCDNPGCAKRAFREGKIGSFIGIEGGH QVGSSIAALRQAFYAGARYMTLTHNCDNAWATAASTVRAGKPDLGMTDFGPALIKEMN RLGMLVDLSHVSHQTMRDVLKITKAPVIFSHSSAYEVSKHLRNVPDDVLKTVAKNNGV VMVTFVSSFVKVDDPDSADVNTVVKHIFHIAEVAGWDHVGLGGDYDGTTELPKGLEDV SKYPYLIEKVLEAGATEEQARKLVGENVLRVWTEVEQIAKKIQRSGVLPVEEVWKGRN GTALSERSTFIEGPAPLEYGCD TRV_05565 MDQVISLLSSSPAVVSRPTNESLEASFGVLDSPLNAAPAKVDDS LFDYGDFLDSSATKRRRLSPAVDQKIHTTDHTTPLKSPGFMFSDITDFNSIGNTPDIP QAKSKAKETVNKTSTSWDLTLDDPIVCSSSAPNNQAQEEISKKPITIVIDDDDGDEDD DFLGCNLAPSDDIDDHNDDEDLFNFDASQSSTFGISKKTTLLLEQLRREAESKGRSKK KKKVEDDFDSLSEGDALPSTKQKSVRRQPSSQTAGKNADKTAKALEREAAKLRRQQEK ELQKEEKRKQKEEKGKQKRIAADIAEANKSKVDKAVSVGEMIVDISSNFQDTSIGTQT EEHMRNLGVEMNFSSSQIPNIVSWRRKVTAQYTDAGHWEPCAPTIKAEGHVLCFFTGD EFAEMAVAAPACNNTVKAYFQKISGEYPSRKQIYLIEGLAATIRKGKNSRNRSYQAAV LQQIDDNQANSGAAGQPPPPPPSARAAATVSSQPKRKKANSSKKTSSTTHVIDEDAIE DALLQLQVVHSCLVHETANSTETASWIKNFTEHISTVPYRRELMKSQDASFCMDVGQV RTGDDAGDAYVRMMQEVSRITAPIAYGVATQFPTVGRLVEAFDQGGALLLEDVKKCAN KTGAMTEARIGPAISRRLYTIFTIYDIEQVGIQLVYCTMRMQCNAAAETEVYAVKSEK YNII TRV_05566 MDWRREVAADRRTKTMAIDSKMADRRPDPVDIASDSDSDSESDG GSMADGPDMPLVRTQTRDTERERENARKEVVRLVAFVSSVLNALSAGGIAAFSLYGPL LLTRLHYTQFRVNVIAVTAEMLTYLSAPLFGFLCDRVRPSLVSFISAVLFGAGYLLAA FTYRSGPPASLLVGLPEDDGPPRPHGWPFGVMVIAYAIIGAATACMYFSAVTTSAKNY ASSKHKGIMLAAPIAAYGLSGIWYSFLVKFFVYRGADSTAHSDGSGVDLIHGDVDVFR FFVFLAIVTFTVGVIGGFALNVVDEEKLIEVGVEELERSGLLVDTDGQYGTFRAGHGH DDTSNTAQADTHPDDKLKKTWLLNQETKLFLHDRTMWLLSLGFILISGPGEAYMNNVS LSLFFFFFSFSTSNFPLALSFSLYMLTQAIAQDKPGTPLPAGEPSTHVALMALTSTLA RLITGSLSDYFAPRPASTSDRRTFSRLFFLIPCALLVSLGYLILSSPVPLSFPSLLHL TTTFIGFGYGACFSLVPIIISVVWGVENFGTNWAIVSMIQAPGAGLSGAIYSAEYDAN VSDNGQCFGWKCYGFWAVGSVIGVLIAASMWMVAWRGWKRRSIPV TRV_05567 MKKREADKEEEREEEEEEEDDDDDADGEKKTKDESFLRNVFRVW SILTTAAGGDEGETRRDETRRETEKGRRMRGDGE TRV_05568 MLVGESKGYRKEEDIYKEREREKVEEEEEGWKKWRSKGRERALS TFFLAGEEGKRTPPRGEAEERKKKKKKMMMMMMMKR TRV_05569 MSASAFASDNRATEPSSASAADPPATLLASSPPPPPTAATVATS TSAPPATSSPAAAAPEPAIDSRLSPFSAPSKSVPPSPPQTPLIKPSAVPPLPDHHAHP SLKRTNTCPGLTPTSSSSSVSTFAAKPSSGAQQYGSQSPQLTERDSIFATHYLPSEPD NEQEQEQEQEQDREQDQDQDRADEQQGTYDFRPRQLNTRYVSSLSSSLGSARWQPAPS PDPIPTLDDRMTHQHHQQHHQHQHQQFALPTRSRTVTDFSSLSNHDNTGVNNNSGIPL TFDRLSPRPSPVFRPQPGPDRGRSHHSQGQGQGQNQNQDQDQEESSSGTDTMALLASR DLSSHLHNHHHPHHIHRHPHHNQHQNNNNNNNNLIRGRTSRVEKSIEASLANVDPSAN VRSRKSSHYLGLFKENTGEPERRKSIAPELASLDELSGVGVGAGAARPDITSADESPA VVPKELLDEIRSHQHRRQDGASPANGAQPGLPRSIPSRDTRMLYPGHALEGFSPDSDF AAARPGLAESVFEDDDEDKERISSALYFPHQRPLEAADKDVGNKLQPEQPLFSSPANL KPSLSETNLVDISLFSKTEKSHFTGDLRKTIPEPIEPPVSSSSDPHAVSVPSGAAAVV VEPATDISESDYLSASESYTSTTHDEPEDDDDLSSHDEAAGTAPRGTPRPSRPQIKHP QSQHIRSPTTPLGAVELKPYRHQVGGHTTVFRFSRRAVCKQLNNRENQFYERIEKRHP EMLVFLARYIGVLNVTFSKGSKKPSKKDNNNNNNKDDNAAVTSEPAAAKSTTTVDAQT SAVTSTASSPPKRTESGKANNGQRIFSQSQVTGVIPKVILENNRHIIPFDLFMTPQSA KSTSGSGHATPTQSHCGSLEDVSANLTCSPKSTPELNGESDKPPASMTWGATTVNTKL QEQVLREVFTPPTIYSHHQRRHRGPRNIPKLLSGASSLRKDGKLLPLHRGRSELSVSA AGNPALSSSATASMQEDTATRVSGQRRRRRHSGSGLERKRSMSTGPGDLMFYEDEGYG GDKEDEIFKMEDDVRLPVKSDSTPTRGREQVTLPVSLGTSTGEPVRLPTNPKEAQTTT TSEDRVQFFLLLEDLTAGMNKPCVLDLKMGTRQYGIDADEKKKKSQRRKCQSTTSQQL GVRLCGMQVWNVSKREYLFEDKYFGRDLSSGREFQDALTRFLYDGADYSSVIGKIPII LDKLSQLESMIVRLPGYRFYASSLLILYDGDRDRSSNSPSSPSKDSKSHRTIFETYSD SSLPNPSNLTLKIVDFANCVTGEDGIPPSSRCPPHNPTDIDRGYLRGLRTLRMYFQRI LREVSASVGENYVERGEGEALSAAPSSVVGAGRERGEAWAWDEALGELEEGEISV TRV_05570 MAEPWLDGLDDDWDSQQVVSATNSPVLPADCDEVDADTSPTPSP RPYRDSSSASNQPHSYHYSGQTSTVNSMGDGNGDGDGDGTMQIKSRREGGGNTTPEWK RRIIRGERALGEASDLFGPMGLETVFRPPSFSESLFQSHLSVPVAADAAARQDGVSSA REPFHSQRTNGTQRSQRSQRSLWSQRSQRSQRSQRSQRSRGGPFRDSHGGSDTSWMEH MSEVMIGGDGRPYTPHKNQAEGIEPLREHLSSLSIEFNSRLSSSSHASASVIRDNEAS YISLPKRNQSSRSPTRLENMAACSDSRLQDDSLDADSENLTSISLPEGLSMGTQDCTS PGDALDTSRQAAYSDSDSIRIRTVSASSRPPHPQSSILEGGVPIPPVPQTPQTPPSIR LHSPPDVEQLAENSSKPRPSSSGSPLKLFGNHDTYTSNKLLRRMSQFEAANDSGADDA SHLDAEREDMSPDGLPKLSSRTNISRSNHTTHLGMDNKQPRNLLQVPSSPQDKENSSP HQAYEKQLPPLSKTEAKRSLKRSSKITVSKRRRTLQVLPGQDQVWDESILDSTGPFSN HSLTEGMDESQQRLPSYSRPAAPTSTSFRPITANGQSLFAGPDKQETAACPGASFVFH IEHPRKGSITTQEYYNEASKVMDHIRAQGGPISGSTRRNEGYQTIYEDDSALYSDASS EEQFSRPPSRDGVDLRKQRELPPPNPRIISHLKKFEEKDEFHVGMGASVGTLKFRQQN GLLHPNQSPHRDAGYFDDTVESSPENIRIRSNVRRDGQQGELVLRSEESVKSQKSATS LPTGFSQGSANSSGAKGVISSDRVSHLIPENIGKMIFDRNTQCWVKNPARSDPDAHNR SQTVSEDDPFKDISDLSVDELKELALARGLMPAGTVFGSGPALPLPEESLPPEPEKKA SFQAEHNPRPQTRESAQNPMSESSSVQSKYTRFTVSVPKPGTRATSWGSEEFQNHKIS LEKPQVLDQEEQIEQQPDVYSGPLHEPNTRTRSGTITFSSPLVSGVAYQGEDELCSEH TQEAREWISDAAPQSPLGNVPPHDIPLPEQDCFELSCIQEGTEESIDDQGEEQNNDLS IIPSVADVDRSVMIAPPNLGSSNYSFHMSPLSEFTVNQVDESMRGERSYIAKRANPHA LRQLHGKLALAAEDIVQHITDAEPYEAYWEQMRRLVLRGKRLLTLHQLDKYCPQLQEL DVGENKLAHLNGIPATVRSLDSPYNCLSSLTSWSHLSNLQYLDISGNDLDNLDGLSNL YHLRSLNASNNKLTCIKGIFGLDGLLTLKVSNNQLTSVDFKHADLIRLSKLDLSGNDI HTIQNLDALHGIETIDLRKNQMQEFKVRRPLRRLDILKLSGNRLGQLDLSVFPSLRVL YVDCNHLSTVEKLEDCRFLDTLSMREQSIPSNDPSGSTSYAEPIPINLNLGKVSSIRK LFLSSNFLSADTLRPDTPILSLQLLDLASCTLEALPEQFGETFSNLKTLNLNFNALPD INGLRGIKRLNRLTLVGNRIARLRRMCKVLAEIGGAHGSLTRVDLRGNPITVGFYPPP VSGSGKPQQRYTGKNTQRDLIKHKADDDDLLNRSLPYIGGGKDIARHPSYHSNNYIDY PPLDAHEEQNEVENNEKEVDDPYTVPFADPFADAKYQAHLDEPTRLRRRVIELMIQNA TSGRLTYLDGLPQCSSPDDNEINDDDGGGHVGPGDAHVHAHEGAVRVKKDWVWNRLEQ LGVLRKKEKAKEKGNGRV TRV_05571 MPIKFPDSFLSSLSFDPLSSASSDSESEKDEAARAAKRRRIERV ARDYLQGNPPFISTATLLGPFDDGWVNPWRKVHNPRSVSFPHSTQQHTSRQCVIQAEK PSDPQAGTLQETPNLFAGTDIYQKSNHVGESQSRRVSTNPESRSRASSRQSSKSRSAA ALDVMYHRTINFTAINAPAPAARQPIATTHDPTSQNKQAVFLQHNHGGGSSAESDKLR MLHKPSLEESIRASKGPSTADSPSRAIPTLEPVLCNKNQHQADIPAPTASNLLDATNN SSLENAAASGRKSEPLQENKAIVNERQKASITCNTSVPPLSLRESEARKTGSTLPEQD SETIPAAQVVPPIFTPPQLTPFSSADLLQLPFHQSNESAAEDSRTPDYINKENKTSNP QASVPTTQEPGMNDNACRPPLKGAQQRPTNLLRRAASSSSLQKVIKPFYSLTGSLRAI GAKSKPSIVSKNARFHDENTDINNDQASKHEGKQEQTAPGPERLALSASCPPGPRPQQ QINGPSQLPTRAPPGSSLAGEQPSTTYSSGTPLSLAMAMSGLTDLSTQPDGQGLLVLQ ENNFDLTGAIEDAESFLQSWDIERDRQLLRDNTAAANGLKQPTPKV TRV_05558 MSLPLEQPEEAKSKVKHILNPRRLLILAPSTESHAVIPPFLTRL TGQLPVLPSRQSDTKQADRDATIDISDVASTSTTPPPASFAGYTAHTPLQLNTTYYSA EIPLWVDEVPSLSLIPSPDLALPSAEEEDAGSPEAWRKEFASEEAREVRDAIGAIILC MQRPKPPDPALVKDNVLDGNENDSSAVFQRAVGRIKDLARAVIEVKAQAEEERGEIGD IPGLIVLVGDECENGPLAAGNASSEYGALWWDEQLSDLGAGSGLEVVFWDHKAASPEP SARNEFGGNISILLELMGIARVQEVLETHQWSSSSSDEVEQDLESLSLRGDQESTGGF TLEANELEREMAGLRLAINNNMDNDDIDEEFKEMGDDSLQVEQLEGLMLRVQAIKEMG ADLPDQERKRFAAKAIKDLMKDI TRV_05559 MGSYDFTSSHYYGESSHNNHQLDGDKYGSKSNTHLIPPFSIHVD KTLQELDCKIKLQRKQKFREPTMPLFNTNFAGFSLTVSTLMRNPSLLIPHLTIPTFLQ LPEDLSYHLIDSVAPEAAGARPPTIRALVIDKDNTLTPPHKTTFPTEYYDKLKQLRTS ESSPFNMHTNPDGILIVSNTAGSNPRSKRYEEDARKLEEYLGKLNIKVFRSPAGASAV KKPLSYAAVLEYLKGNGVVNRADEVAVVGDRVGTDVLMASLMGSWSIWTRDGVTQDMK GREGKNYRGTLAGVERYLVRKLQRSGVVATLPKSWQHL TRV_05560 METSSTATTATPNSISIMSDAPLATPAGVSTPTDTMSLATPPPT ATSQASSTTTTTSSATAATSTSTAATSASMASANATSSDSPAARPASRRPPRKSTLTQ QQKNHKRQRATQDQLVTLEMEFKKNPTPTAAVREQIAEDINMTERSVQIWFQNRRAKI KMIAKRGIETGEDCDAVPESMRRYLALQLDPAGSNARNMLGRAGGYPSNEPMMQSATS SKVVIQHFACRSLRIGTWRRVGQNTMDLVIFYSPDQACMTYYINNDSAGYKIEYPFSS IKNIILEPGDPVPPMDGAIPRSGGLVIELNRPPNFYMDSSNSGGFYQCGDFTEEQQAT KSLIHHLGGHPKVLSVQLAKLVSLETFQNRHVPAYPFTPNTPFIDGSPQFVHLHRPAS QPNHLSRAQNRLSVDNHLSVGLHPNRGHKRQRSRSVPGPVDFAALHAMPAFHFQSANP FQPSTPQHQEHQQPDIFAPVPLAHSQFQLPLNMSEPDLHIDTSATQPPPSFSMYPASA TSAAATSTPATDFVSTPLFSSAPDQPQSLGTPFSLPFLSPSPAVDIDIDSDPHSMAHQ HQAQAASSNGHSPPLTATQRSSPTNMFASTVTSTGVDDGIILSDLYAKQNLGCSSSIA SPGMEMEESFAMTFQGMRGHGHGMMGMGFDSGTVDPSTLAAES TRV_05556 HHHHHQQQQQQQKQKHYSVPSTGLNQTTRTQPARDIGFSKAWLV SNGHTVLLRPLLHRPVPLLKTLVPGPLDLSGCSASFKPGAIVPVCPFVPLLALSLALP KLSCSLLCPVEALLSPLLCSALLACSLLHFYISSVFSFISLLARITTASILIIMPCIA NTSDSTCGFAQKKKRPEANGAKGHGAAHIQELLLLTITARLLQCIKLRTTSAILLPPS QLSPDSALLQFRTGCL TRV_05557 MDSGFSSKLYSRPPGAQTRMQVNPTLLVSWWATGFSLAIILVRV CGRYIRTERLFTEDWVMALSTIPLLIRMGFTHVVLLFGTNNASQYHHRFSPDEIHKRE IGSRMVFGARIFYAIFIWTAKFTIAEFLGRITAQIWRRSFQYVLQIIRFFLAVTFVAV VITTLTECQPFDHYWQVSPDPGPQCRQGYAQLITMGTCNVVTDLLLVMFPIPIIQLSA MPTGRKISLTLLFTLPLVLVAITCYRIPSVISHQGSQQYRSLLASLEILAAAAVSNAI VIGSFVRDRGLKKQKFKAASFSESLEQTTSRRATITHHHWGSDADLVSDLGIRLDPSL RPLSYQKIRPAPVAVPHAVLSKRGSVDRNWQFPSAQQLADDDRTSTSDSLSGLKVHPY EYIETNSRPPARRSNSATPSKYISLSKVSLNDVGGLLDPPRPKEEPIPPPPAAHISPP NALPEAPGPSRSRTHLFRTVAGFLSPSSSGSSPSQSSPSPGSSPRVLPNFSRPTLNNT YLATGARPAPNHDRRPPSPDISPDE TRV_05545 KHYAKGSPDREQLACALEQFKKSAPLEVPVMIGGKAITTSPIQT QQNPSDHAVCVAKYHTASDEDIKAAIDNALEAKKKWEAMPFSDRASIFLKAADLVGTK YRYEMMAATMLGQGKNAWQAEIDAAAELCDFLSQFTTPPESGSKYPYYQNIMQIHSLT TYCSRVEYRPLEGFVYAISPFNFSAIGGNLPAAPALMGNVVLWKPSPFAIASNYLIYN ILAEAGLPAGVIQFVPGDAERITSLVLDNKHFSSLHFTGSTAVFRSLYGKIAQGVADG KYRSYPRIVGETGGKNFHLLHPSADTDNAAIHTVRGAFEFQGQKCSACSRAYVPQSKW ESFRNKLVEETEKLKIGPPEDFTNFIGPVIHEASFKKLAKVIDDAKNDKELTLLAGGK YDCSKGYFIHPTIYSTTNPEHHLLNTELFGPVLVILVYPDEAADAFEKICETIDNTGA YGLTGAVFSQDRAAVHYAENALRGTAGNFYINCKCTGAVVGQQPFGGARASGTNDKAG SAALMGRFVNDAMEYDKLINYNTTLCPYDRASMLNVFVNQNLKDKGTYEMLSRIGVSF ALFYLRSGYKKHAQYLPRQREGKVNEDLEELKGKCFFIIVSPKTKEKGAKLKKYWVVE GISNSQLIMFCYHSVTNTDLPQNEHAGWGIIKQTREQRVGA TRV_05546 MNVYFNEQHTNSLEAKASSKKYVPRAVLVDLEPAALDAVRAGPF GQLFRPDNVVFGQSGAGNNWAKGHYTEGANLVDQVIDVVRREAEGCDCLQGFQITHSL GGGTGAGMGTLLISKIREEFPDRMMATFSVVPSPMVSDTVVEPYNATLSIHQLVEHSD ETFCIDNEALYNICMRTLKLTNPSYGDLNHLVSAVMSGVSTSLRFPGQLNSDLRKLAV NMVPFPRLHFFMVGFAPLTSRNAYSFRAVSVPELTQQMFDPKNMMAATDFRSGRYLTC SAIFRGKVSMKEVEDQMRNIQNKNSAYFVEWIPNNVQTALCSIPPRGLQMSSTFVGNS TSIQELFKRVGDQFTAMFRKKAFLFWYTGEGMDEMEFTEAENNMNDLVSEYQQYQDAS VSDGEEE TRV_05547 MSDSEKPPSTFPQPDEFDSDPRVSFSKLDNKYILETDNGEEYTY DDALKRWIPSLDESLMEQQRQAYKMQGVDEEEPANLKTLQEKKKKRKHNDESTTAQKP KKPRVNTAVYVTAIPLDATVSEVSSLFSKCGVIAEEIDSGKPRIKMYTDEQDAFKGDA LVVYFRPESVNLAIQMLDDTDFRFGEKGAEGNMRVQPADFSFKAVQEAPAKANMRDKM KIIRKTQKLNKYAAVQSERLIVVWLTWAYSKLTDWDDDDVGPRHSGKAGKVVVLKHMF TLQELEVNPPIRSIQCISMFFLTSGFVSQEDPAAILDIKEDIREECSKLGEVTNVVLY DKEESGIATVRFSDPECAQACVQVWLEYQHDFTDIESRANNNGQMMNGRFFGGTQVEA YVVEGKVRFKKSGASAAAALQDDGAGWEAEAGKDDEAQRLDKFGAWLEQEKEATKKTA DS TRV_05548 MERESPATRDRERDHSNGDYFYTRHHPVGYSLATASHTGDNEHE EAIPGLGQKGKIAVQLLAPLCSFVSRFFPPRCKEQKGGKSHKLNLSVFPMSPSSYPSW PGSAAASNSIGGSSSNGSGGTEAATASTIGSTSTMGERETNSNLIRPQRPLGPARTPS NTYAPPRRPNQFITAHSTSQLPLSSKRTPRRERDRDPNAQYQAQEKAYVQRVRQGPPS EWFGTDNQMSSSTASAALDLESDVGEESPSSEAQFDPDTFDPDLRLGLEEDDLQPTLE ELRDPRARERLEWHSMLASVLKGDVVRQEKQRLIGTMEQKSKEVLGGEIWLGVKARTL ARPIATQARIIEEERKNIGPMLEEIINFKIKGQAEIGKPPQQQVEDIVEKIENVESLY ASRRQLELANPRAASEEYYESYNAILSWHNTTKLINTQLSILQKWVGNDELDFTKPLV KTSYASELSDEGSFLDRVMKEDGLKTLQGGDQMLDGVGKVIKKAKNTMIENSDAFLQR HLPPYIEELLTLINFPSRLIQEVTRVRLSYAKKMKDPGQQSPILLDQMIVQFQILMKV ATEIKYRYMVISRPEPGWDLPPCVDENFDMVVLDALKYYFKLLNWKLSANKNTFKEAE ILEQEWDFSNQIGRQLDGGDIEVAEQFSTLTAKALQRLMLHFERELLSKPQEEASDNE KRYKTILDSVRVRQRKLFRFSRFLRQRFENATEFNLRNDHVETFTQALLASGHFLVVS TNTVGQKGVCLIASPSLYDRKKDIQAILGTSFRGDDVTDNLSYPYILVIRPDKPFQWS GKRFEVDFLEHPTDVRMGKLRLVADGSQERLQNARLALSRLTGLEFDVTIEQRANLGR VNVELNKIKKTAFKLSNTIIDSVEIIRSQSTDRQNLELIQSCFAFATEFGKRSLMYMD PNRRSMNQGKLIKLGLDWISFICDDCDAADRKTFKWAVSALEFAMIITHGHAIVSLND QEYDLLRVKVAGCMALLISHFDIMGARSTLAAKAEKQHSDAAGNYRNLDCSDITSDEV ALAQVQAQRLLHLSDIEAFRAEEDAKRQPLGKVLEGVNEADRSLRVLSSSATNINLRW QQGQFIGGGTSGSVYAAIDLDTSYLMAVKEIRLQEPSVIPGAAQQIRDEMGVLEVLDH PNIVSYHGIEVHRDKVYIFMEYCSGGSLATLLEHGRIEDEMVIMVYALQMLEGLAYLH QAGIVHRDIKPANILLDHNGVIKYVDFGAAMVIARQGKTLAAMDHYKDPAAANKDQRK NQKSVIGTPMYMSPELVRGESSNRSSRHGSMDIWSLGCVILEMATGMRPWAGIDNEWA IMYKIAQGNQPHLPSKGELSELGIDFIKQCFEPDPAKRPSAAELLQHEWIVCIRRQVV AEPQTPSSDGSAFTPNPGNTRQNSSQF TRV_05549 MSGPEPADDVDIDQMWRETEIEFQNLSGNSTKDLKQLTVEDVLA NLQQKKEADAKTSARYARVKHAVDKTLVCIQTLGSFAASVASNFFGPSNLCFSAVSML ITASQKYSKIFDGLSELFERISTFLDRFQIYARSKVVGVKIDPHLRRIIHDLLRSFVR ICALSIKISKHSKVLLALEVFSFGSDKGVSAELSKLENLVQNETKMSIALILESAKIS EGKITAGFSDVKSSIDTVDSKLDTLDGHMDSVSTLLEKHDLAERRRDQEGQSKQRRDK IKAALKIDKEVWRSYQEDYVSNIVPGTGQWLLNDPQFSAWADPNTKSPPIFGLKAKEG YGKSYLSSAAIRHLYRLYPPGNQDERMSVAYYFFGGTTFNSTEKSVNIALRSIIWQLT QNDAVYQKNVASACDKPEEFGDSLELWKQLVVNFSPKTNAVFYIVLDGIEELDSEIGK PLVEVFRDISAMANEKSMMTVRLFITGRPTIFSEAETAPGVSLSTIELGIRNKEDIEK YIEMRMDNMEILKNKEKPEIIELRDLIKTGLGKATQGDYFQLNYLLTEISKKRRKKEI QEVLEHAGEDREATIAREIDRLNHTLGYEDIQDLVAILSWIMGAKRAPFLTTLEGVLL IKNGEASLMPLEEQVRTKYSSLLEIAEYDSVILRSKAIREFFNKQTKKETNEAIAKTE LHPAEVAIVRRFLNSVCDKELFEKFGFEEFFKSKLGSKSASIRVNVETLKIYVILVGM KSVASDRDEELKALRNAHFYSFQECLEAVDLALTQPEPKTEIGTYLIKHFTDETYMKK WWIEDRMFQRSWWSYEDKHVNTMLKWFKDSAVTRNLSAEMKEWVNGLISNANPNDDLL CHTAKYIAKQWLQTLKWETRNFFWWLLGYVTKIKARKGEGKRVTSDVSPTLEQIYDVE KWAKSELDMDTPDTLWEAQMGITLQNFGFYQESIDRCIASRNLDASNWRASYIQAESC WEMDDTKASLELIMPVMERFRNEEALRIEYEEKFYLGVLASYGVWNTDIKEYDKATAA FQEIHEHDMDQYAPIYWTLELLEKQEKYTAIIETLQDLQGKKNSKGQNRLTAMHHQYA NKGEYFTRLNTAGFHSSSMDVVRDSMYEALAPESVVGVIPYVTSWLNYFLANLLYQYP RTDADKAEAIKIWAHIVNKSARKIYLMSEADILEATTEKLGEVYLHQALDAGVDTPAA EEYLKKLMDLRPDAKDGTTFFTCQNLQFAIARLQHLMGQKEKAMDTLRGHVKVALDLL CDDDDSNDWDAYLRLTSVAHIDDDINTLAAWSALGPFVHTEEMEEEAEEEEVKEEEVK EEEVKEEEVKEEEVKEEEVKEEEVRGEEEAEDKVDGTEDSSEEGNIANGDCKDQAGID AKEEIPILTSLTSEGAETVKSAEITEIEVEEIDVTVVAGVAEIKVAEAEVQNGDTEEG KESTDENEGQEGRNQTEEVDAEEGEDDDEEDEDLSGPIELSCDGNCGREWTWASEFHA CKDCLDVQFCNDCYNEMKNNGKDMRLCGRNHSFVFVPCCDADTARQVPKGSIRVGSEI IPVHEWVERLRVQWGIVEEKVDEEKSKEETST TRV_05550 MPQYFKNDLCLVPIDKVSLQNTLTELREAIVRAFALLKANSPAP DINDTKAFGSLYTGDNAKYLGGNKAETDALISSAYSLAKERLSPHLVEIHPHHGQVSP TGSVHLGAAFARTFAAAANMTSRNGGVCRIHSRDVACVCEAFDHACDESYGLGYDDAL SGRAGLLRAVLAFRHFRLDRETAASYELVFKSVPLLVDCIIEAGKIGAEMFVEEHGES GILAILLECKLEELGDGAPESNHLPIIGKTITQLCKLTIANEGHLPSSLPHNPLARRS PLVQICHGAPGFLVLLARARGIARLASLEWEPCWDHAIYLASQRVWEQGLIFKGGGLC HGIAGNAWPFLMLHNLFEYGPQGSRADRIAFSEKLARTPPPPQKYSADQYLSRALAFL LHVRKTQPFNTHTYEESIQYRMPDHPYSLYEGLSGTMVAWAEACVVIVARLRKMEVDE IVGHGAYHTDGAFCRDLRHVLGIPGIAVQGYI TRV_05551 MAKGKGKEVESLKAKDGAAAEKKRPSSDADATPSFSDAMVASLT KRIEQKLKGDEGANGSPSKAEKKQKSERNEKRKERNQATETKPTEKPVKKRELLADVK PTDKAGKKRDRSGKIIKSEVSEKPYSTAGGQHDVGKNLEEEVYAIGGTKEDLDLVAGV ESDSEMEDKEVSSGDLEKLRRDLGRLINGGNELPEVPDLPMQETKPAKTKQERKQEGK QSEENRTEKPAQEKKSKGNNALEKKTESKKTQRNDSLPSTLTQDSKILKKGPSSSNLV IPERSDWYATLLPEISYDSGHHVNVSRSVLERMREYAMTLLEAENKAYTSNRDSKSSS YKFYSTIVSSGTLSDKISALTLSVQESPLHNTQALENLVGLAKKRSRAQAVEVLRSLK DLFAQGTLLPSDRRLKHFVNQPALTAALSEAGGHWDIGDKLPNQIEKSHLIMWAFEDF LKDQYFEVLKVLEVWCNDEIEFSRSRALSYVYELLKEKPEQESNLLRLLVNKLGDPSK KIASRASYLLLQLEQAHPLMKGTIVSSIESESLFRPGQSQHAKYYGVITLNQTILSKS DDKVASQLLDIYFPLFVALLKPKKDVHAQKILKKKGKHSKKGKKGKEDYEDAKGEAQE DELREKLISAVLVGVNRAYPFTTSSYEFIQALMLIQQLSSSHAVSADRFYKTLYESLL DPRVATSSKQSMYLNLLYKALNTDINIKRIKAFIKRLVQILGVHNPSFSCGVLYLIQK LEATFPSLTAMVDQPEDAEDDDEEEVFRDVPDEEDEMVDKEEPEKQPSVTRYDPRKRD PEQSNADRSCLWELLPYLSHYHPSVAVSAKQLLLHEKMSGKPDLTIHTLTHFLDRFVY KSPKVAPSLRGSSIMQPLAGGDSSGLLVTSGRNTTQQPVNSESFWRKRSDEVSAEDVF FHDYFNRLGKDKMRQKSKKKAAKDDEEGESDEESEVWKALVQSQPELDGEGVSDDDMD MEGFDSAMEDSDRADLDGMDEDMDEEDREIDDDVIINDESSEEEDDEEAVSEAGMDNS VDIDEEVFNFDQSDEEAFLDSDQELPSDLDDEVTEAKDTKKSDQPKREKRRKLKHLPT FASVEDYAALLGKDDDEDF TRV_05552 MQAKFKASEDTIFWIPSGGIAESKNGGVFHLLPLGLRVQEKLER LIDKHMRKLNASKLSLSSLSSQALWKKSGRLHDDDSEVFRFVDRKKTPFLLAPTHEEE ITTVVSGLIKSYRDLPLRVYQISRKYRDEPRPRHGLLRGREFVMKDLYTFDSTEAEAI KTYNTVKEAYISLFKELKLPYVVASASSGNMGGNLSHEFHFPSPKGEDTIVSCSKCEY VFNEELASGKTSCKRTEHMPNLNSSTDGLGHQEAAAISTGQWSAISKDRKVLVRAFYP KFLIADGQSEPVQREVNQHSLKSVASAYGIDIDIGVKDALATWKAEIQNQPQTTKQNI RVLDIYDFRVRVYDRPPIQDLLHGMSSNNLDIQSSLLDRFPGTNDGLDLLRARSGDEC PNCGSPTLKTDQSIELAHTFHLGTRYSEVLQANVSINPAILAGAGQKGKQEPVPIQMG CHGIGVSRMISAVSDILADEKGLNWPQAIAPFQVAVVPGKGLEKEGELVYDIVTQTTQ QPIDTILDDRKKDFAWKLRDADMIGYPIILAVGKNSWGAGNKVEVQCRRLGNLRTKVS LEEVPELVSSLLSQL TRV_05553 MASSQKTSAAAPGAVDVATLSVPQLRSLQSRLSSELEHLTSSHT KLRSAQSKFRECIRSINDGILAKPEKDGQESDILVPLTNSLYVKGKLSDREKVIVDVG TGFYVEKVRHSCFVSTHLISIGGYGGKDGDSQC TRV_05554 MDQCALADSLIATKSRVQEVCRNIALSGELNRLTTFLEWCLEEE QPEDVQGEDVFYDCEQTLTPEIPAGPKAERDDTIGPSAVPQLLDPTPLTTSTNTSTSG ARYPKPPTYVSVNKNAWASGAASSGENSREINVEQWVNLDRPNCGSVNDFLFDKINYD TGAFIPHPKKQSEQWLHIWGSPAQVAAAKSALMRLLNRCSDIGPSKKKKGIFAKISSY SQVKEARLDQIDKSSTVIELLRQRPSPAFEFLETLLFLWPTDEVPFDLLGPQLEALDP IRRETGCYIYIYDEQPSFIRVDGDDHDTIITAVHRLRAKWAEIMAKIHMQSKLYLVQA ASSNIRNKEVAIARVAHSVGGLGQMYATPVLHEIPLTSMDPDSMQDRDEDSLNTNDSC LRETVEESLQGLRFLRGHVRMRVNFGTFILDDYREPKNSRARYSFDEFRTMLLNSRTR GHLVQGLKYTGGDTSLLAKCSSAAHILAPLNGPAMDSQTQPEPLYAVIFEFQGNSSLL RLEVEFAKSPSTNHFEVYQKRWIRPQGDDSVGDTRPLLQVAVIDFERSDWQLEIKSLE FQEPSTIEQSLREFSHSVHFHPEKMPDLSGPGKQRVSFSNLAPVARIVEKSALRYRLK GTNYIFELARYDEYSRAQLTASQILLQGPSSNNMSPVPITSWGASIFDLQWDNMLGQH ANFGVGHNAEWSPSLNTFFPCFGDADPSDLRSGFNSFMPLIEQVSTLLGQKGEADSKG QAAEQQRQEAVEEGSSDSSTSRTHSII TRV_05555 MKSSVLITALCVAGSLAAEQISPPNEVVVTAKKVVVVTTTVTTT IPCPTVIPTTSYKPEPTSKPPVIPPVPTSSAEPLPPPPVEPSTIPCPEPGTSTYAPPP PPPPTSAPAPPAPAPPPPSSAPAPPAPPPSQPSQGPAPPPPPPGKDYKEQTDYHHNVH RSNHSAPALTWSTALENSARKLAETCIYGHDTSIDGGGYGQNIGYQSGYNSVASLLTQ QMYNEEVVLFEGNYGNNNPSNFHAWGHFTQMVWISTTHYACYTAHCSNLGGQGSGGDA YYTVCNYSPPGMYTFNSIPPHVRSTMLTIYRIGNVLGQYAANVKPPKGQPIVTV TRV_05534 MPEANGASMDHPVLKMAVAIFSFVYGIFTVVLYGVTAILSGVPF RQTTEKERLEFQLASDRFWNLSKNWKNFSHRFMTLRNGFKVHYLANVSPDETVASNTG NLPLVIFLHGFPDSWAIWRHILSSSSIGEKSVMIAPDLPGYGGTDSLDVYGPTEILET LTEFIVTVRDQYKVYDGDGQTKRRVIIVAHDWGAILAFRLAAEAPQLADRFIISNGVL VCSSISFFCSFLLPSFFFFSFLPANMRYCPWQKPGLMQDNIYLSIESANKMFKTFLRE PWRSRHVLCKSIKALAPVLRQLKKSQYIFVFHLPVPLVRYVGHGGNYSFLRAIHELAA GKTAEFTVRDAQEAMASTLGPSIEELKTKTAEGEAYSESVRIRAEQGNFKDTTSYYRH GAVSGPWHKSLETISALHSICPDERRRTSCGTGVFDPAPGALKANATMLWGMKDPAID NHLALDGIADRLIQGSQVIVLPRSGHFTPMEVEGRGALEETVLWAVKGETGDVGQAVL SVYPEARVVARQ TRV_05535 MDRPDLISTFSKIALWKQTQYDQIVYIDADVIALRAPDELLTLD VKTIAAVPDIGWPDCFNTGVMVLRPNLQDYYSLLAFAQRGISFDGADQGLLNMHFKNW DRLSFTYNCTPSGHYQYVPAYRYFESTISLVHFIGPIKPWGTGRSTSSHDSPYGQLLA KWWAVYDRHYRRGPIYVTPHRHHQTQTASKEISHSIGSVQTSIPEKKGWETTMHSPSE PEEKSYSDHKFPADLGTDTSALSKEKHVETEVRTQATGTNQHEPVGGTYEAVAPSKEG LDSHIMPQEEPSTRPGVVSIEPVPADTPGLEEGSQPSYHAPPKPMISAVPHYVRGEEH ISVPIYHGEPPNIPTYIPHVDVNAQQPHPPHLPVDRGTIESQEPGIPLLTKDTAEDKS ADESATKDEPVALKSAKIQPPERTFSPPLAVWDAPRAPPPVDSKPEAASFPVQIYTMS KDTDLFQPPKSYPEAPKDMYYQVPTTAPAVRRQTSAFPWERSAPAPTRVFIGEDSEPS QAPEVLISSARQGVSSSSSGGFESYSRNNAWDDMPEIEKYMRSLQKPRRAGVSVITGS THARKPSTGLKSPARKSNLRQTHYPPEHDVPSPAVTPALVMRKPSTSSTADDHWENEE LPAAEGVPNQAEWNPIQRLKELQRRQSQFLERHLDLKPQEAGGNGAVRCQRNMK TRV_05536 MEGQGENDELYPIAVLIDELKHDDVILRLNAIHRLSTIALALGP ERTRDELVPFLDDSVEDEDEVLTALSEELGNFVEYVGGPEYAHVLLSPLEHLAAIEEP LVREKAVESLNKICEQLSPRQIEDNFIPLTVRLSKADWFTSKISAAGLYCTPYRTASP ALQQGLRQQFGQLVHDDTPMVRRQAANNLAKFVKEMDSQVIIVEMVPLFQNLANDDQD SVRLLTAEILISIAEEIFKEQQSSHGVLLTALRNLFEDKSWRVRYMVADKFEKIAKAV DEEVVNRDLVPAFVKLLKDTEAEVRTAIAGQIPGFCRLLDRETLLNEIMTSIEDLVSD PSQHVRAALGMQISGLAPILGKEETISHLLPMFLQMLKDEFPDVRLHIISKLELVNKV IGIDLLSQSLLPAIVQLAEDKQWRVRLAIIEYIPLLASQLGVKFFDEQLNSLCLGWLG DAVFSIREAATQNLKKLTEVFGVDWANGSIIPKVTAMGQHPNYLYRMTTCFAITTLAP VINLKMIETSILPILDRLVTDDIPNIRFNVAKSYSVIIDTLRKLPEEGTLSEADKSGQ AVTPSPRGQTIIQQQILPNLEKLQQDDDVDVRYFATTAAGSYGEVMQTSP TRV_05537 MLNIFLGRADSPNYRVVTPPSGELQTLIVKPETESVRPYVAGAV FRNIRFDQARYNSFIALQDKLHQNIARQRTLVSIGTHDLDTIQGPFTYEALPPKDIKF IPLNQTKCMDGEELMEFYEKDKNLGKYLHIIRDSPVYPVIYDSKRTVCSLPPIINGDH SKITLNTRNVFMEITATDKTKLEVVNNIMVTMFSQCTDEPFTIEPIKIVSEHNGESRQ VPTLEPRTTQASIAYINQCTGLSLSGPETCKLLKKMALSAKVSETSDDTLDVTIPVTR ADILHQADIMEDVAIAHGFNELPRSFPSKSGTIAQPLPINKLGDIIRGEAAMAGWTEV LTFALCSHDENFSWVNRKDDGTTAVKLANPKTVEFQVVRTSLLPGLLKTIRENKNHSL PIKIFEVSDVGLKDLSLERKSRNERHFAAAWYGKTSGFEVVHGLLDKVMMMMKSGFIV GEEGLDNAAVKGSQYWIEELDDPTYFPGHAASIHLRMDGKESVIGTFGILHPTVLENF DLRHPVSALEINIETLL TRV_05538 MSAAVQGAISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVR VTPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSA VAQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGF MIHSGQPARDFIDHATRHVLLRQGVLGIKVKIMRGSDPEGKSGPQKTLPDSVTIIEPK EEQPVLQPMSQDYGAKAAAAQQLAEQQRLAEQEQEGQVVEGDAAEPQE TRV_05539 MGAHVSQVDEVTLFRRGEKAIGSLHLTPHHIVFSYIPPQKESSR EHSKDKPTVGHKEIWITYPIICFCTYRPASLASRQPASIRLRCRDFSFMCFTFPNEAR SRDVYETIRTWTCKLGSIEKLYAFTFQPPAAELALNGWELYNPRKEWARLGVGRADQD SNWRISCINTDYKLTFPGKFSPTYPALLPVPSNISDNTINYAGRYRSRARIPVLTYLH PVNNCSITRSSQPLVGVRNNRSIQDEKLLAAIFSTSRQDRPLANASPPSLETESSNSS AEEQFGIHSDFEFSNAEELEDEVVASARNDIKDYKTQIYGAQQNNLIVDARPTVNALA MQAVGLGSENMDNYKFAAKAYLGIDNIHVMRDSLNKVIEALRDSDISPLPPNRDQLLK SGWLKYIAVILDGAALIARQVGLQHSHVLIHCSDGWDRTGQLSALSQLCLDPYYRTLE GFMVLVEKDWLAFGHMFRHRSGHLNSDKWFQVENDRGSDSARGAFEGGVAGKALENAL LSAKGFFNRDNVSRESLVEGDGDRTDPDSSNSKRATSNPKSPVSDSDMTKPKETSPVF HQFLDATYQLLYQHPTRFEFNERFLRRLLYHVYSGQYGTFLYNSEKERVDRGAKEKTR SVWDYFLARRSQFINPEYDPLIDDNKRGSERLLFPRTYEVRWWAESFGRNDSEMNNPR IANSGVLVERDCPSGSMTPMVAVETADRSVTPVAVSQQLAAPQSSTTGPTTSITSLAA NISGLSLPVGKGDGPGTINAREMEAVEMT TRV_05540 MFLKRGVHAAGFARCRNFSNLRKPPPSSPIPRPHSADHTHEPSH DDPTPASTENPAKLDGSPHRIQQLENVKVLGKPAHVVIVDNRPRRPRDVVQPANGKSS WKSPTELLKDLHNERVEFELEDVEKNLDHLRALYSPGDTLLPKDWKHLHNTLSDGFTF EQLRKYYQNSERNTESEKSSEWRAGNSPYLDQPPAPQGRITSRIPAFKEMGPKKKLVE RILRESWELSIDGEEGQLDIHLDTRPMSVLLLPTMPLLNMFAESHKVNIDVSRHLGLI RITGSKEACMGTSQQLKEWASDVRSIDTGLSVAQLKATADLNYWENTLLPWLQSEYNV SLDIGREHTAVSIHYFHDNESNAHRARRTLYLAASSLHQKGGLFTNLTNSENAHLYPV FYSDSMDLPNRQKEWFRWIKSGDSDGRVPGRPPASIYGKGSVKPFHKLLELLFDEDDL GSQRLLDQHRTREVITASVGQCLFEGRSTHDSGQASFPNIEGLQTPRIFINEVPNTLA FLNSLEAATSDDKKIIFRIRLLPSRKEQLFLPELELELEAASPEDSEEISTTPTIRNI SAIVSSRDVDMLLPECPLDIRFNKTVYYNLFNRECIECAYAETDNVFNSSLRQCAEAF KLNLPLTRNQPSMPLFCNFVIPKTFAELLDPAKEPSQRAPAQSTQELEYVTGEYYVYP PVQSLAEASVSKYIYKEFDLTFGTRDMGPYLPTKTTEISLTLGLYDNERRPQNALPNR KLSSLPQTDSSIGSLQSALLPFYLRSCHLAFGFAALQQQSDRDMPDQKLFEECLLEKN LDRRDT TRV_05541 MINVPLVVGTAYVKWQLPSSASADHNGHTEKALLHDHRASWDYE KLTVVRLTVDRNQMLQDCELQLDIFQEFTAENRADRVPLGNIKLNLSEYVDKTESDEG ITRRYLMQNSKINATVKVGIAISQIEGDSNFTAPPLKPATVFSGIAGVMSTEHGEINN DGHIPSVNNRGREYSDLQDMYRSTLAAAWATLPDELPPDQLIENIFAGGDGFPQNHSR YKADDEDEVHDNNSLSDAGSHRTIRDSKNSPDHIPRIKDPFTAHSRSDSRHSDFSFGA VGKERNETGAFERSGGKRRKRKTLTEFDYREDLRSWEVSWAKEDSQR TRV_05542 MPPIMIFYEGQSDEGGCKGLSSANLACQKPPSCNKPKPPVGKVP PPTKRARARGCHSTCVFQDKLAYRYTKQGKPFAIKADLDNWMLMLPLESMKEWCNLPA DHLSFMNYVENASAIGYHTNADCQTHINAILAYNQKKHTEWSKFNPIEVVSNVLMHYA TSLVLGPKFSRDPILMQHITTHVLGIEEVINEFARWPRFLFPLLWRLSPVHRKFRSNI SVVRKNVVPEIKRRVQLLRLGESTGEDMSMPTIFLKQALKEGLLSMSGNTKTEENDIE SLFMKTLFHIYEVWGPITPLLSAMFVRCMANPEYVDALREEVSGSLESHGGWNSNFLA HTPKLESFMRESLHMNLPKGTCIGIPTKCVHGDPRNYPDPTTFDGYRFYDADSNTCTA RASTASEKFLAFSYGTGLCPGRFIGVKVSEILLAKVLLDFDMQFISKGQEFPSYVLME NTWSWLDTNLTAYVRSHR TRV_05543 MVKGDSCKYIASLHERYGDVVRVGPRELSYISPSANRTIFAGKS NKDTIYEKNPVIWLLGSTEPVTNLFFAHSHGNVRHRKLITPAFSENAIREQEPILQNF VDGLIKGLSNRSGKAQFPDSEGIVNIAAWYNFAVFDILTRLCFGEEQGCLIRGDYDPW LQALYEGLLMSHFVQAAHRLRPYHIILERCIPSSLTKAHNPQSAEYYPRKLKESRDKQ GNVKPVTTHPEFASFFQEGLSNDELEDNVNVLVAAGADSTITTLSSLTYYLTHNPQCY ERLKSEIKNAFQAETDITLAATRRLHYLGAAIKETMRIHPPVPVGLHRVTPKKGAVID GRPVPGGTWVSVANLAASRSPKYWRDPEKFIPERWLEEDSKFSSDNRQAYTPFSIGLR SCIGMTYET TRV_05544 METDNLPLSPPPEPKSSNSDTNQTVSLDSPLRTTPIHTLLPDVR VPSDPLPSHRYHPVTCAPLDVVEFQAELQQLRKQYTTSIAARKAQEEAAKEVKKRIEE SKEKTEQIQKTMQRKTEEREMERKVFLKIKKEKEEKMQGA TRV_05492 MARLSQAELSVIAKYPLADSLDGVRGLLQEAERPSRTSYDDGAD EEGPNEPRQAAVSKLLVTLMGEKAAFNLKPRTSSKNVASELSRLFTRVQEGDFCYEDY RSLAQLALQKASDSDIWNAVLDLITTISPATPPSIPPTFDSTPFRSTSSSQRGSEQTR RLVEERLFEEIKDCTHRNVEGFFKKYFEGKDWNTQANSAARRALGSGDDSRWPSFPDP PAEKDALDWWFGFQDEFLSDARSVYFTTASKSDLTGSDADRQIDLLLRDRSAGDIGRK HNWKDIRVVGELKKSEAEIRSKGALLQMARYVREVFKAQPTRRFVHAFAVCGTKMEAW IWDRSGPFSSGSFNVNEDPRLFYQMILGYAMMTDEELGLDTFITQDDSGASTITVETV SGEETVVQLNSKLLTYQSAIVCRGTTCFLADVDDKVQGVAKFSWTSDKRASEKELLKL AQERGVRGVAEVVAFRDITDISTLRDGLSFKKRHVFKSSSRRASSFRPSHSNDPRSRS STRLHPSTSDKQTSRKRKSPDKSTQASKRLRGQQENEVTFEVQPVGNHPSLFDMDGEE LYDNRIFRCLVVSPAGRPIYEYHSPAELLESLRDAIKAHRSLYTQGNILHRDISENNI IITNPETANGHSGMLIDLDLAKEIGTRSGARRRTGTMEFMAIEVLLNVDHTYRHDLES FFYVLIWQCAHNGWIRFNRPKEMPGRSLLNRWYTGSYIDIATAKTGHMGAKTFEYLLR EFPPELETVKPLCRTLRQVLFPIHNGDIFTGTPIKTDMLYDAILEAFDKGIEDIKAAD TRV_05493 MAEPMTFAAPYGRADERMADMVTPDGHGYAEPMFNEPVMEPWMD GVLDETLMMAAPSSWDNFDALDTAVSQATATPNAFDSMLADCSLPADSQASSNGDLTV SPDMLNISSNLALPDSEISSLLGTEFSNSFSTFDSPTTNNTSFSTQTTPESTAGDNCE LVFEQVASAFKDLARARAAADPRPISRKQKQRDASIALYLERLRDTCNEAVAALNSGA DSTTAMGHSAAWFNSNQVSMSSQHSSSGTSSFSTSSPSEGFTEFHSQSQSTRSSVPSQ SPTLDQSRPQFQQPSPPAPPSGGIELVMDLNMNTATSLPRKHRPRTETQRQRYLAVRN QGACEKHKKQHKRCTCIDKAIPLVSTCTATSTASSSPQAGAGASTGIDATISVLSHTK GRHSVRKTSPLRRVPGVRGARDSLSPTSGEDNWSGLGHGGEVLIRNNRPDGIAKGEAG MDCSASYLLQRQGKLDQCRDSGHDIGNSHDKGVSRHRVASSERVSTLPTNTGNVAWSI TPQAVLDSNINVRSRTTGGCEPARNRDAGGHGYYARCADSSVSATMCLGNRDQGRRAI STASSFVFPDGTWQCPHGQFATSSQSHSANIGGSSNIDGSRQAQISSYLHLRDKKEDK QKHTCFHTWSNGDCNSSSSSATTGANARAHNINNNCCTNAAAHLRRTTDLVFSTITNS QSLKNRSAIRDECCAGSMSSALLQPSASNISSAYAGLRWYIQAYINDSVRSSLKRATP HQLEFALWCFIWISMLVLSAIFKGALA TRV_05494 MINVQLLLQALNARSVESIDTRASRISQHLRLLRAEIENQHKSL AAILTTGFQTVTATIEKGLRANGYVFIDAGGAGGVKGMIVMLLKKIFDICNQVVGWWT GSQEVNEKEEEDSDMK TRV_05495 MFRCWWKRIQRYADGQGTISNILLFSLFKHRGTLQKKFNAAVVL VSLIACASAAPTATTTPAPQTPNEKPNDPDIVFALSPANDCGDSNFTNGSSPASPLAA DCLKIASNIAADGT TRV_05496 MPDVAKWGSAALRFIQLALAVIVMALIGNMIDDAIHGSSSVVNY NLFVPAFILLSLLYLIPASLSDKIMLHPILMFFIDALCCIFAFCGAIALPARQHVHSC SNKDYTSTNTVSSGSKSGEKTCREGQAATAFLWFLWFAFLLSTLYSLWRVKQRFSGGG DRQRTSPNRGQPPTMSQV TRV_05497 MSAAFDDEDLSISLPSYEQNRDRNPHGHPSIPQQAPRHGNERLD QSPATARDMQRLDQYTAVRNLGEGTFGKVKLATHRASGRPVALKIIPRRKLQSRDMVG RVEREIQYLQLLRHPHIIKLYTVIATKTDIVMVLEYAKRELFDYLVKRGRCNDDEARI FFQQIICAVEYCHRHKIVHRDLKPENLLIDKDKNVKIADFGLSNIMTDGNFLKTSCGS PNYAAPEVISGKLYAGPEVDVWSCGVILYVLLVGKLPFDDDYIPSLFKKISAGNFYMP SYISTGAANLIRHMLQVHPVHRISIPEIRQDPWFLKGLPKYLQPPVEDFVGTGADPNK AIDPRKIAPGRSAAIQERIHENAVNKLERRMGYGKDDIQDALRKPEPSAIKDAFFIVV ENEMMQMNSPTENEPGQPSAAQLGGGPSPSYRPGQSPNPDSSAQSSPLAPLTPYQPRT SSSTPSQTPSAGGGEDTQRLSHVRILPTSLPYVHDQIMEQRAEKSLLGEDEEEELSAE NRGRSLQPDGKESENDINKERSPEEQAATARSLRPHSRSKVDLDKLQYQKPSTTPATA PPPKRSRKWQFGIRSRNQPYEAMLCLYKAIRAEGGVWEIQPAEPEVHDSDSGRTPQMT TELPQALQHKYPDLPPDYYIPKDLWFIRARLLKQGVLAPGPSSSAHSSRSDLEEFRRR VSLIGGILHPEDKTATSAAAAAGGTAISSAHSSHPALLSYGVWVFIDIQLYQIESKNY MVDFKCDGYQNVIQVAHENNTTTEWRPISKRIRNKEKEVTSPYPFLDVASDLVAQLAV VS TRV_05498 MQQIQASGSILIGPNKLIDLSKVAHVYVSPRKRAYQTFELAISE ADRKILAEEGRVSRTDRLAEWDYGDYEGLVSADIQRMRKERGLDKERTWNIWQDGCEG GESPEQVSERVDSLIEEIRRIQGPNINGEKACDVVLFAHGHLLRAFVKRWVNIPLEFP LAMMLEPGGVGVLSYDHHDINQPAILVGIGLPSPPV TRV_05499 MKFFENTFNYDYSFPAVTLAYFLRYPNPYSRHVLASDVIDRYVD PVTKRLHTTRLHLKKSKVPSAMLKLLPKGIGGADNSGQSVILETSVIDVNEGWMRTES RNMEWTGVLSVVERQEYHRCRAENADSSASSSLSALSGGLGIDDNLKGECTSVKTTVT FKSRLGQNMLGRSKKDTTVDDEAPKKGFFASWSTAGIQRTIELIGVKRTRDAVLRSKQ GMNVVLERLRNGGIVGVVEGMRQDREGIPAHGGPWKQVWLNGTQKEGHYDEE TRV_05500 MALAQTIQSSARERPVVIGLYGVSGSGKTTLLNQLRRTLKDDFL FYEGSQVIDEVTPGGLAQFKAWSRERQMTSRKAAIDSIRMACIQSGKAAIVSGHFSFF EENDGKVGPPVITRDDLDTYTHILYLNVPTEVLFERRAFDSTRDRPYISTEELHMWQE MEISQLRPLCLEHGILFMCVAPGPDMQSRVANLLHEFQLNSNSFNLERAKACLDVIIG DKQPLIMLFLDADKTLSEKDTGALFWEKAASSKVKGASLKDIFSSKLGYSYAAFCQAA LLYEESGNDQEFDRICSEVAAGVSMYPEFVCLLHRVAEQEHLRAVVITCGIKRVWEKV LEKERLSGIVKIIGSGRIADGPVITGRVKGELVSYLQSKYHMKVWAFGDSPLDMEMLK LADRGIVVVGPEATRSKSMDAALVEAIECGGVRLKQVVLPPTTPMRLDFKRLPSLDIT GADFMDFVPFPSPPSIQLIHATEKNVAKLLMTQMRNANVAGPHLCRAHMSVGKYLAIE FLSDVIGVEEYSIPHVLGHETNGHHLYHESQTLIVAVMRAGEPMARGVWESFPKASFL HVKSPEDVKPHHVQGKVTIILVDSVINSGKTVAEFLARIQILHSIVRIVVVAGVVQAE CISRRALTQRLRYGAKVTVVALRVSQNKYTGSGSTDTGNRLFNTTSLP TRV_05501 MSIQPDIYTTPAPAATMAQEGSSYYDSPYMVEFYNLKWGKPSMP GMGIYWKIFTDAVTQHREAAPDKPFSVLDVGTGAGRVPIGNALALDELPTLLPLSDKH ITIDLLVFAFNSVYHMERDGEFAQFFLQVSKVLTPGTGRACIGLADWFLLNPGEDMKA RAESEELPPLEDVQSVDFPGLRYHTTMNRMDCQGKLCVCGEDVQVFQQLDDGRDKEIE VHRVRHSLRWFTKPEFSTAIEAAGLRIVEVSKVDESEETVGYDDNVYNLQRA TRV_05502 MDELSKSVALNASILSAELRDKGLPSLDDESFPISFPLLSPEGS RARFELISSALDIVRLASGPSEFLANLSLQGFELNTIGAMIKLGVPQQIPLDGSVSLS SLAQYAQVEEQLFTRLVRYSIAVGFLSEKTPGQISHNSASSVCVRDQNTTDSILSNLN VANPASVKIVEALKLNPAGTDTSRTPLSVAFAQSLDGAEKLCTMWDFLRDHPEEEVRF HNSMAATHSTSIYSCEHVARGFDWNQVKSIVDVGGSEGHVSMAIVNSYPHIKSTVQDI GEVIATSRQQLPAQYSQAIEFIEHDFFQPQPVQADAYILRFILHNWSDEEAKRIIGGL LPVLKPGVRVLIIEHVVPEKGTVPLYVERIVRNMDVTMFGLLAGRERTAQDYAGILGS MDGRLKLKGSTCPDGSVVTILEFIVE TRV_05503 MLINAYGPTECCIFCLTHQIVPEDIKVGSVSIGKPIGHTIAYVA DDNGNPTDEGELWIGGAGVSPGYVNQPEKNAASFTTVEDLVTASGDPIRLYRTGDIVR RRPDGQIDYVGRSDHQVKIRGFRVELGSVEAGLLKTGFFSDAVAMRIEPPQDGAGSIL VAYAVPVDSSKPVGVEEALAVLRTIHPDYMIPQLQLIASMPLNSHSKVDRKGLTELYC KRWKVDSLSKPKDGPKDTRSILADLWACILASPADSFQDDDNFFSHGGTSLRASLLIS QIRRTFGSEVSLLTLYDNPTLEALTSIIQERQNGQFETVRNESDLWVADTKIADGLSC TAGLAIDWTRDTEGRIFLTGATGFVGSFMLADLLRMPHVRQIGCLVRAKDATVGLQRL RTAMAKYDLWEDKFSEKLLALPGTLEDDFLGMGPDRFEEIANWASVVFHLGARVNYTQ PYSLHRPANTLGTLNVLKLAFKGRTKAVHYVSSISCFGPTGFVTGVKRVREDESLLPH LEALPYDHGYAQSQWVAEQLLRRLIDRGFPIAVYRPGFITGHSRTGACNPDDFFSRLI HACQEMNCYPQLPNQRKEFVPVDYVNSVILHIASSRSSLGHAYHIVPPSRETSIDMDD SMDMVGECGSGPMLGVSYAEWVKRLSANPPKRLQPLEPMLAERVKNGLTRWELYENMP VYETTNTMEALANFPGGLEFPILDRDLMKRYLNHLQVKMEQ TRV_05504 MPSIASSPSEEYDFAVSRNQGLGQLFYGQVRRNATATAVVDVGI SLTYDQLHLQASYIAHQLLQESFAFEEPVGIVVQHGVNDIIAQLAIIYAGGSCVPMDP TLPDQQIQGRLQRLNTQFLLVDQSNKDRDLPFRKFALEGLVAVAKDKYPVSTDLEHRT HLIHTSGTTSEPKAVQIAARSILQVVFHAPFEPVRENDVVAHVNNSSFDVSLFDVWAP LLRGASIAVLSKTALLDLPVMAEHIDRLGITVMATTTALLNLAASTYPRAFSKLYLLY WR TRV_05505 MSRIIAIVGATGNQGGSVARSLLQNQSFKVRAITRNPSSKASQT LASAGAEVVQANGFNNEEMLRVFDGAWGFYVNLNSDDKIWSNPDGPTEFDLGKSIVDA AAQAGVKHFVFSSGPPCTEMTDGRVRMKAMDSIIAVKYKIEQYAKQLGKFLTITPINA AWYLENFLVKEVAPVFGGFPHFPDDEGYLTYRVPNWGGDNKVPFLSITDDYGDIVQGI FLDPVRWNGHVVQAMSEMLGFDELVANFADLTGKKTRFQPILPSWEAFETHGVHELED VKLMFGFTQITGGRYFGPEPSNRDTASELKRATATALGRPKNQQELTTAKDWFKLHFI TRV_05506 MSPFELLGLTAALWIVCHILLAIYNVFLHPLRKYPGPILDAATQ LPYVYHMIKGENSKYIAKLHEKYGEIVRVGPREISYTSASANRTIFGGRPTEETVFEK NPVVWLQGSGEAHNIFFARHREHARYRKMIAPAFSEAAIREQEPVIQEYVTKFIDEMR ERSGKACYPDANGVVNIQAWYNFFVFDILSRLSFGTTVGSLDQGDYHPWVTVIFGAIK HSQYVQAAHRLRPYHRLLELFIPKAISEPYDTHMEFAGKTLLERQKGDSIGRADFASF VLKGMSEAELLDNVNILVAAGGDTTAATMTSMTYYLTHNPESYRKLVDEVRGTFQAEE EITVSSVANLKYLRAVIQETMRIHPPVPVGLHRVVPRSGAAIDGRWLPGEVRIHANLL LAN TRV_05507 MYVYMNKSGSRVETCVPLCFTKSRWANVAVLAVLKAGGAFVLLD PSHPSNRLQTICHDVGARLVISSPRDAHISRSLDLPILEVGPDITTTDIGLKPVTVQP HNAAYVCFTSGSTGNPKGAIIEHRMVSTVAASWGVFLDSQSRVFQFSAYAFDVATFDA LAALMYGGCVCVPSEASRRDDIAGAFAELGANVLFTTPSVLQTLSPADMPGLEIALIG GETPVKALLDQWIPSLKASLPSYMVPTLALPISRMPLTPTGKTDRRQLRAIVEKFPMA DFESYSTGSGVTGRVKQQPDSDMGRSIQTTVAEILSFQPQLIGMEDDFIQLGGDSITA MKLAALLRQNQNSLSVVDIIQHPVLTAMTERAVYFTNGSSDSRPEATPSFTMFPGTSA EIASKLAVTEADILDILPATEQQLIMLAFSPQYEIIRIPCPVMLVDWKVHAKAWFTDM RFFVTFLRRVTTTFKHYECPGDLREFQSRLLKEDSDQFMLWNVPSVCFFLLSQNSQRY TLIIRLNYAVYNAQSLPIVLKDIENIYDEQPLSTPIPFSPWAYKFLMSATPKTYEFWK TLLEGSSMTYVGDTFANMEAGKETFVETTRSLSVLEPPKGITLASLVKAAWSLTLSKH CQKSDVVFGQVVHGRAHGFPGEEAVVGPCLNLIPVRMDLSSIKHGEDLLYSIQRQNVA SIAYDQVQFKDIIKKSTNWPKNTVFGTQLLHQADGPICTLKIGSVHANLDEYYAPRLA KELRDFVVTSTVYDQTHTLHICATNAYIDQQSADTMMDTLAFYIRELARDPRAISGVY KN TRV_05508 MAATSPTILALAWAATLALFTGSDDVNFDLTSSEDYSASKSIQL QLPYNGTVQAALETVDQIIRSTADTKSDSQQTLLAIHHQGPQPAHLSSRSGRFKQPVV LCDLTSEDGLAIQG TRV_05509 MAAFTFTQHSSVYPAIDASQPELSQAGRTVLITGASYGIGFTAV QGFAKASAARIILLARQRDSLASACERLRAQNPSFQGELITYVCDIGDAIRVAQVWED LNRKSIAVDVMIVNAGDPGTEATLDAIPLQLAWRAFDVNVRGNLDMMQRFVQQGKTVD FGGRTVAFAFMVQHLATEVPVEQIQILNVHPGDVYTEGVQKMCEKDSWPSWDDSSLPE NYIVWAASPAASFLHGRFVWATWDVTELKSCTALTDGKPGNKNLLKIGVNGLVFALPS MTVLLP TRV_05510 MDSEEQNTTLYGSPYKAEFYELHYAQNLGLQDVELVYWPAFVEM FSKHPRDGRQFTFVDIGTGGGRAIFGLLDKAVAESFEIPPGSAQFVGMDIEQHMLDYA AREASKHPGIPPVTWSLGSALALDELPALADQKTTADMIIFTYGSIVHLTGDGDLEKF FGQVSRVLTPGSGRAFVDFADQFFIPHGQEMPADQDLLDEASAEYRAAEVKSVQWPGI IYKMKTRRLVSVNNFCNMDTTVHAVRDADKAIVESNSVKHHLRRYKEDHVLAASQAAG LTLLERQKWGRDIVLIFQKPL TRV_05511 MGLWIAEQLKTLGAEVELRELGKEPGREHLDLPPVVLARYGSDP KKRTVLVYGHYDVQPAQKEDGWATEPFDLTVDEQGRMFGRGSTDDKGPVLGWINIIDA HKQAGVEFPVNLLCCFEGMEEFGSLGLEEFVKAEGPKYFKDADAVCISDNYWLGTEKP CLTYGLRGCNYYSITVSGPGQDLHSGVFGGTAHEPMTDLVILLSKLVDCQGNILIPGI KELIAPVTDDEQSLYDAITYSMDDFHTSLGSNTSIMPTKETTLMRRWRFPSLSVHGVE GAYSAPGCKTVIPAKVIGKFSIRTVPNMGSEDVTRLVTEFVNAEAAKLQTKNTIKVEL MHDGKWWVASPKHWNFAAASKAVKQVFGVDPDMTREGGSIPITLTFEEATGKNVLLLP MGSSTDMPHSINEKLDTRNYIEGTKLLGAYLHYVAEEPMNDA TRV_05512 MANEAPVGSREEALLQQLERERALRRQAEDEKERAERDNARLQK QLQPTTLPEFLDACHVYLSVGFSSRINYKTGTQGNPENAYLKLRPDYIREWTTFSQEQ SEVWRDLFSVDFASEPHFTSLNTLKEMGNDLQVRSMGSELDLNYYERYAVEDRVSLII RNLFSDDRLRKIFNLGGDVTFENHGNTITTEERGAETTANPDIGSPQQSPVQKRRKKA DMHGDSLPATPTVRSRSSRPRADQFCVYNTGPERAIPAFIIEYKAPHKLTLAIIEAGL MEMDVDDVVIYNESDDPVKLAQRRVAAVISQAFSYMIQAELEFGYVCTGEMFIFLRVP SDDPATVYYYLSTPNEDVGETTGWAGEDSANRLHLTAIGQVLAFTLRALKSPPRGQVW RNNAEAQLKRWEIEQDDILFGLDIEESTGKKLSDYKQDRKSRNEYIRVSPIKTRSKSK GLASCRDPERPRGSEPSDDGDDDDSSGSGGFDPGSPSSGLPRRASNVTAVTKPPPTGS REHKYSMEPPIEPTQFCTQKCLLGLFNRGPLDQNCPHVANHGVERHAIDDREFRRLVR AQILADSGPSGCESMHLHGTSGALFWLTLFPYGYTLVAKAMPVETVKCALYEERIYQH LRPIQGIYVPVCLGSVDISSRPLWYDGIFEVVHLLLLGHAGRLVKFHAGANNLRDFAP SACESLRAIHKQHALHRDAHSGNMFWNAENKQVMFVDFERARVVNSRKTKKRKRGALP LSSHGNTEFEREIKSVERHMGFR TRV_05513 MACTAALTRRPALNLLLCPELQSQASRRWQSTYRRTKKRLRIKP DASFAPSSSTEAHIIHNPPSSAPSVYLTPTKFLPADDIRRTLRGKLESTTDVNVGELP SLLQAEKPKKYLTKEEIEAMRELRKSDPILWSRSKLAEKFGCSTLFVGMACEAAGEKK AIQRQILTAVQSRWGLKRTMAREDRQLRKEVWGRDK TRV_05514 MALAIPPGARGADLPENSMASGILPAQQLPVSKDKTTTTTTTTT TTTTPAAAPQKPSRQTSRPGSQSAGEESQGHINGCEDLAREKNNAPRPKPLSTMAAGR PVSPLPLGSLSPNRASVSPHRARHAAYMTPTSPSRQLSPHSQMHSPASSQIFERDVQE DFPVQSSPSIPSHIVTENHIPPILGASSAALTDQSLDPDSVEIVTHTLHQPASFAVAG STTAHPAAASHGERSIASSFHEHAYPQSPCDPETASTYGGLDSADIRRLSFVSFADLV HGEQVESYEHASNRDSQIMGGLAALANAPAMNNPSTHNRNNRSPSPMRSPISSHGPGS SPPTSVSPGEPRCLDSSPSRGARVPRSPPPCPHSPSAVLSSDLNVETMRQALRRTGSG DLGGSLRNPPLSAAASDTAYERPFK TRV_05515 MSVDQPVGGSVPPNTAHAISVSLPTWEANVGYEEGDAKVINAMT TGYPRFFVHKSIQCLANEVIARFGRQGDAAMLFPSLKTATCCRDFLLSKIPEEGKPGV RIVRLVMPPQITPAELNGITSELCAVLYPQKYGSISKQVWQHSGSGISSRRGEFCLKA LKGGYLVEESQAQGQGQAKGAKTDSVIHKGPKRYQKVESHGSTKQAEPTSTGEGEFSQ FIEERFGRNLDMCLANNAKLAVKRRIAGTLSATEADSHNPENARIPGLNEEHIMLYPT GMNSIFNVHQLLLKARGPMKSICFGFPYIDTLKILEKWGPGVLFYGLCSTEEIDDLEK RLESGERYLALFTEFPGNPLLRSPDLERIYALSRKYEFAVVVDESLGNFINVNVLPFA DVVVSSLTKIFSGDSNVMGGSAVFNPHGQFYALLKEVLAQEYEDDYWAEDAVFLERNS RDFVGRVERINRSAETLTSLLESSPLGKSNIALGMDLGSVFLLTLCIVKDVYYPKNSP TKAFYDRCRNQGGGYGGLFSVTFHTPEAAATFFNNLAVLKGPSLGTNFTLRFVLSCVL CKAKSLNVPSDLVRISVGLEDVDDLKKRITYALEAVETKLGKSS TRV_05516 MSGPTLKRRKLSSGEEEDSANTSKKPKSPAVQRNGRPSNSAAEY ALARGQFKSSMFKLQMDELLGQLRPDYDKLLSRVEKSLRKLKTVIEEIPNGNPKTLAE AKKEFESSKVAIPFPEPPPAKDVRYTFEYSKPVDINVVGSFALKTMAKGQGPTYIDLA VTLPSTLFHKKDYTSYRYFYKRAYYLARIAAAIKTAEDVDFSISYTYQDGNTLRPVLL LQPADGAEDDFSRSNCVIRIITAVEKDTFALSHTFPSHNSLRLDSEAEHKSIGANSTH IYNASLRSEAVVSAYLKLHHSAGVKCAAFKDSCILGRAWLRQRGFGTSFASGGFGHFE WATVLALLLEGGGPNGKPVLAPSYSSYQIFKATLQFLSGKDLTKPLLMHAPEGLGTVA DTGFPGLFDGKRGLNILYKMTPWSYKLLQREATLTLKMLNDSTRDHFDSIFITKVCDA FYRFDQVISITLPGRRPPTIQAAERLQSLHRVLTKALGDRATAIDLTSGEVPSWAVKS TSPPKESKKWTIIAGLNLDASNQGRSIDHGPSVEDKEESAAFRDFWGDKAELRRFKDG SIKESLVWSDQASSGTPVQQILTYILHHHFKVTPENIVYMAADIGQAIPGISNALSTT PASEPVLEAFQKLERHFQSDESLPIAFSQLLLATPFIRCSPDSPGAPMDAVLLFQSSS RWPDDLGSIQMTKVALLLKVEESIRKADGVIEARVGTENNESNLLNTSFLEIHYSPFI IFRLRIHHEPEQAIIQAQLKSKDLPARKKEELAVALAAYKATFLQAPRHAQALQSLSN RYPLLPIAIRMLKSWIGAHLLAPFVREELLELLTCQIFLASYPWDAPSNAFTAFLRTL HMLSKWDWQHEPLIVDFSGELESQDFTDIRTRFEAWRKIDPVMKNVALFAASNIDPDG VTWTQHSRPSRIIASRMVMLAKAASKLVREKGVDLEVGELFRSPLDDYDFLLHLSPSF FSDRSKKAAFKNLHDSALTSEYAKHEMVRDLVAELSSLYGEHILFFRGHASEKVIAGL WNPQTTKTQPFGLKIGFSSYPKKTQDREEVALNKRAILNEIASIAGDIISKVQVLH TRV_05517 MSDTPRLRSAFPRTPRSEPRTPRTSTGYHDPAVTPVPFGRGSKI NFASASAVVRSANAAQPQDRPLIPFHIVDAPAQRLYVVAFYAALNAWRFYEYWTSTDE GDATWLFLKWLLIDATYLFGLPVLRIPWLEWAFSTTLAIFLVHVVINVFLMFKIPLPM EAWVVSLFKMAYDRELSISGQRVKPYDILHNSSLILGKHIVHILPEGSAVLNPDKVPL CLGHAHSSVNLPIRVNQTNPILIELLRFDLETGANETIVIPEKQAKTLRRQASQNYPK SEQREWLDLLYPVRKTGVYQIKKVVDESKLAVHHRSMDTLVVNCPKASMLTRGGHKCR GALSDLTMSMHGTPPFKIKYSRTVNDVDQGISFQSIQPEGHQKLPEPEEEPTNPVLAK FSWARQQKVEVPLNESLTAFGEWTYAIEEIHDGCGNVVNYTKNPDVDEGLWSQHHPQS QQFFVHELPRVSLSGCDTQTYLQIAKGESIDLPVHFHDTGYGQNKDFPFTLSYSFSES GEDGDKREPTSVHEYEFKNANSKPRIKASGWYSITGISSPYCRGEVFEPSSCYLHNPA EPQLAIRHEKIYDTCANNSVGLLVYLDLIGTPPFRVRYSIEHSKGVQTRVHTINGLHG QLDLKPAEEGHYRYRFLDISDRIYEARSLKDSVPALEQDVKPPASAQFVGPLTSRKAC FGEPVSMDVMFVGEPPWILNYEVVHNGKRKKHELKSDTDVASLSTADLVNGGVYTVGL TSVKDRSNCKRALKEEIRIEARPKRPRVGFGQIDQKRTILALEGKAVDLPLRLEGEAP WKVKYQNKLDPTAGPVEKTFWNANSVLRVTDQGQYEIIGLSDATCPGSVDEKAHIFEV SWIARPKITEVDGVKLGPQTIIAKDEVCEGDVNSLELKFGGNRPYTVRYEESYKAGNS NPTSRMRSLTAALNSASIPMRTTMAGNYTYKFLEIGDNLYSPEKKAKDPIIVTQKVNP RPSARFESPSKVYGFCKEEEQEDETIPIILEGIPPFTLELAVKHHSSAKPELLSIPNI NSNRYALPVPRQYLDLGQHVISIRKVRDSRGCQRATEFDGSSVRVSISDVPTIIPLES REDYCVGERISFSLSGHAPFEIYYTFDGVARKAKSQATTFRRIAEMPGEFKITSVSDG ASGRCRVHKNITKVIHQMPSVRISRGGVSVVDIPEGGEAEIMFEFWGTPPFEFTYTRS SNDGKGKKRVILDTKNDISHEHTKTIKASDEGTYEVISIKDRYCSFSTQSDKGSRRGF F TRV_05518 MSVAGGRVSRQTLVFASASSSSSGSLEAVLMLGSNFLLFIFLQP RLGLARSRAKVSSAKASKTTLRGERRKGERAESVRAGRCEMGAPKKTATLDEGRDKGG RVRMAGGQKKDAAEDGLTPLTSEERDSWNGFCEIENEPALFNAILKDIGVKGVKVQEV VSLDEEMLAFLPKPIYGLIFLFRWREDDPHKQEQSCPESLWFANQTVENACATVALLN IIYNIEVIEMGEELKSFREFTKDLSPAMRGYAIGNFEFVKKVHNSFARHDRNTTASAD QYRKMDILSADMLLKNQQKWKARGNQELDESEAGFHFIAFVKAKERVWKFDGLERQPQ SLGKCVEEDWLGLATKEIQTKMAEYEEGDIEFSILSLSKDPMTGYLADLASNVKALQH LNSRLAGFGENCDEADPENAVLCGPDAQYGLTPAMLELALVPSELAETAENGNEAHDL DSLARQRQALVEQQRLLRTQITDEQNSRQIELNYARRRRFDYCAAVNRWSRILVRKRK CEELLS TRV_05519 MKFAHSYVSTLENEGFPSQWVRSAISYRQLKKCIKRVQSELLSL GLSPDVLNRFWLTEDGSLADGEGDGRLARVGFAYSLRECKSSSSLRPVLTFAMGPDDK PPRELLSYPAIAQTDSSSIRSNSTSSSTSSSGGNNPCTGESESSCTTKTDADGPYQSI EIPLSSDSEFFRLLKVGLSNLEDLQAHEKKDLDSQVALLRESIVEVTSPATPTKKADQ VAIYAWREVFRIYMEMQVFFSTGEADSGERSSEVARQRLEAFQKQLVKQQHAKKLGRN GRRALEMFMHINLAVLQNLKFQEINGMALSKILKKFDKQTALHARSAFTKTGPFSASS LSRSVCQAISEQILVVVPQLDDYLCPVCFTISFKPVRLRCSHVFCIRCLVVMQRQQQN HCPMCRAEVVMEATSKNLDQKLLTFLQSSFPKETKTKQRENERAAMVDVYGEPTDVCN MM TRV_05520 MPGILLSQFPDEILHSILTYTPPSAAIALEQTSKRFSHITNAPL LWAGYCKASFRFWDKRHDITDKFARPAKSVQWKELYKLRHQIDRATTEILDSILASQT GRIEKIHRIVSFGYDAKDTLIRHADAPQDLEDYLARRYYSNAILGCLHRTLAIPTWNR LRNGEDVSLEHALGAFDMFILDSGTGDFDDISRSLDNIVGRMKRQYPNILEYTPRERA IMTAEYLRENNLTGIESGREFYNIEHNFLGAALTSERHNSLPLISAAIYCYVAQRLGL DAHPCGFPFHVHVIIRPEPNHDLAGNRLPDGEEGDFMYMDPFRSTSETPVSELQSQLN FLGALTLSQSTFLRESFTAEIVLRCGKNILNSVLQTPHFRQTSLDIVNVKYAALWASM LFAKYANPDRQNIPQGPERRRAEHLPLRRHLPSLMEHFATDFPADVYLIEQYLIPLFQ SLPEYEHLRESVSVMKASDEIPKQVRRRSSANGNVKYKVGQLFRHKRYEYIGLITGWD PECGAGEQWMERMGVDRLHAGRHQSFYHVLVEDKSVRYVAEENIEICELEASEVPDAF FSFAGKFFKRWDIETRRFVSNIRDEYPDD TRV_05521 MTSLQHNSIMQQTNAWRRAGAPLLGCNEGDVCDEETRRDVDLMI LDYIVCAALTSILHERIADRQGAEQQQEGTHGCDRWLEIAEATLHLFKTNNPGETLSD DIKFKIKTLNFSNLFFRRFKRTAYLPSRAALAAQRKKSRERARRWLEENSFQETTPAE ELDRAFEHVQPISASQIDENRRTLLDHMAVKETEDYQFDIEASVTLCDILPELMELCD SAPESVSDDICTVAVLFMLHAAIEQGLLYGRVGREVLDETFAWGNQDDDHSWAETRDR HRLSLCPNVDDAAEGNDDDDDDGDADEDYGEQLKRIIYENPPFEFEGEVLNLIKTLQQ TWDAPILNQLEQGKLYSLGEDEVAAFKRRVVFNDFGS TRV_05522 MGQGQSSSRQGAADEAHDAFERDFYAILGVERDATAEEIKKAYR RKALELHPDKNYGNVEEATALFAEVQSAYEILSDPQERAWYDSHKDAGGTGGDAGVQG PENSRFTAAADVMSLIMKFNPRMEFSDAPTGFFGGLNDTFSRLASEELVACRLDDLEP IHYPSFGRKDDAPDSVRRFYAAWSSFATRKSYAWKDVYKYSEAPDRRVRRLMEKENRR LREDGIRDFNDAVRSLVAFVRKRDPRYKATVQSEADRQRILRESAAAQAARSRRANEA KLRDFTLPEWAQSTEAEEELFPSETESEQNHFECVICNKNFKSEKQFEAHERSKKHVK AVKQLQREMKLEDKHLNLDAVEPGEMEPMSDSNHGHEHENNNEPELTGTQTPASKQEL SNADPADYSSDRSESPEPSSEARADQPHPPVGQGTDDLDGDEVDEEEIRKHVLGDGQG IDPITEKLSSLSTGQAEAQDEDEDEDEEEEIVLPAKKPGKAKQKRAKKAAAAQALGAE QFVCAVCRASFTSRSKLFTHLREEGHAQAPVSQKQQQAAKKKKR TRV_05523 MADTVEEHYQFTLSQEALNTERHSFERSSRQLRYQTVQFVSGGQ KEPNDPAVLRNLDNLVLDRDTTKEDNKEDTRGEDVEVEAVVEVEQVEADKQENKKPEK ENQKAGKEEREEKENQKPESREKEEEDLYVIDTEGDKTVKPTLPNPVINCDSSDSSAD EVVFTGRVPLWRRQVRSRVVNDPPPAASSTAQRTPPKRSHDAVDRRDTGFRGWSGTSR RRMSPRRVVLEFGNDSADSDAVADYLANLIGDDSSDSSDGWSDDEQPGKAVTPKTPGM SGPDDVDEEEEGSSSGDDDDDDDDEDDEDIDIDSDMQWMSDEQLAHLLDTGDIPDMDA DEIDFFTTHGFARSGAAAASGRNKKNKKKDKRKNASLREPISASKLADMFEEDPYGAF DIMDYNRTSLMGKKARGKMPDFDLSDSELESNIHASWENDRRKKKAKKLEREELRAQG LLGKSGKARPRGNTPDDIRDEIRSFMMSRTQTLQLPPMAKKDRKLVHEMANALSLKSI SRGKGYDRFPILTKTRGTPTFHPDEVHELEALFSRRKITRYSDRRRAGPSGGARSGRA RGETRAASYMDGDVVGASAPEIGADNRGRAMLEKMGWNTGMSLGAADNKGILQPVIHI VKTSKAGLG TRV_05524 MTARPLSSLYLYQPSPPTASISPERIPYTDTVSLSDLKMLPTGY NILTQRRLKMNNVDLGNQYKKIIQFFWDPEPKNDDSGQPIWCLGCEYRQAETPGPAQS SGEPADTTNRDREPTTLTSTPKTTASTTTTTTTTTDTTEKEKRTSTDGPPTPGSAISS FVNLASPISSSSTAESSVTPAWPPQFLDDFESKLWITYRSQFPPIPKTPKTGSGDSSS SISLGVRLRSQLIDTQGFTSDTGWGCMIRSGQALLANTLLFLRLGRDWRRGSKVQEES ELVSLFADHPRAPFSIHRFVHHGATACGKCPGEWFGPSAASQCIQALVKSNPQVGLRV CITSDGSDIYEKQFKEVACDESGGGIQPTLILLGVRLGIDRVTPVYWDSLKALLRFPQ SVGIAG TRV_05525 MLIGFLVRDEDDWEDLKQRVREGSSRGKIIHVFDRDPTIKETER EGAEEEVESFDEI TRV_05526 MAPKAAEKKPSTGGKAPAGKAPAEKKEAGKKKRTKARKETYSSY IFKVLKQVHPDTGISKRAMSTLNSFVNDIFERVATEASKLAAYNKKSTISTREIQTSV RLILPGELAKHAVSEGTKAVTKYSSSAR TRV_05527 MKLDRRRESSAEVGCVAGCCSCSWREKESEGELYKAGETADGTG IIDLEGRKKLISRAVFVLIKRFSFSFSFVSSSSSSSSSSRQQRHLQPSASYSSAQLLK SLFFFTSSTSSSTSIKMTGGKSGGKASGAKTSQSRSSKAGLAFPVGRVHRLLRKGNYA QRVGAVAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHLELAIRNDEELNKLLG HVIIAQGGVMPYIHPNLLPKTTPKVASVKGGSQEM TRV_05528 MLVGLEERADVDGLAAPEVAVDGPVKRQLQRAFVQDSALSQYLS FFSLQWYQQDLQGWVAGHGCSSNVNGFCKVFVFSSSCDAGREVDFVAGTWIFRFRPHR RRSPKHKTSPALQQKQDKQKKHFSLPHSTSLLRPLHLRQ TRV_05529 MAESISEGTLKQFSKEIGDYVEQDEEIATIETDKIDVTVNATES GTIKEFLAAEEDTVTVGQDLVRLELGAAPEGAKEKPAAAAPAAEESKPAEPKQETAAP APKEESKEQPKEQPKKEAAPAPAPKQEKKAPAPEEAAKSTPGSREERRVKMNRMRLRI AERLKQSQNTAASLTTFNEVDMSSLMEFRKLYKDDVLKKTGVKLGFMSAFSRACVLAM KDVPAVNASIEGPNGGDTIVYRDYVDISVAVATEKGLVTPVVRNVETMGLVEIEQSIA DLGKKARDNKLTIEDMAGGTFTISNGGVFGSLMGTPIINLPQTGVLGLHAIKDKPVVV NGKIEIRPMMYLALTYDHRLLDGREAVTFLVKVKEYIEDPRRMLLC TRV_05530 MDAGADAATSLRAELKQWEKTFTLQNSRKPGREDIKNNRAIAEK YKEYARLRSDGSQKTDKEKEKEKAKAKSAVVDQQTPKRAKRHCPFEDDSPTAKPKICT PSRSVTTGVGEAHPSRLDPYDSPSTIRCLNGARDPLPLYEAIGPTPQRDGKALGLFDL LGSPAQKTPRASRQSVVNDNAHGNGVGVAQTPSRKGKVPGTPSSRGTPATARRLRYAS TPLSSARKFYLASFFATPTANRCTTIPEEEEDNNAAGGAGLTSETPSFLRRKNIFSPR AKNNVPTLHSPGPVAVRMPQKLFGKGISSFARRLQEQNTEKKEGVDEQSEDRVEETQI FSDQDQEVNNEDNGDGDVHKSPSKTHKTYKKKGQKRTTKLSRLKPSRTKPAAQPLWES LVEESEDELAAAETGAPPVEQDDGSSDDPDGDEAYIPKNHEKNPRKPVKSVSKTAAEK KPRKIKAEAHANYRSLKIRSRGGQKGGGRFGRR TRV_05531 MIADVHRGDQDKEDECKPCVICLDKIEEICIAIPCKHSNFDLQC LLIWLGQRPACPLCQAAVTGVKYDIHAPDGEKIIWIPTSPPTASKENIHGLRSRNRRR SRQQVSRSGNGDLDSALLRRRHIYRHQLYSLRVGSSRRTGYREVTPRMIDRDEKLLSR ARRWIRRELQVFTFLNLPESERQVDGAVRIGNPEYLLEYIVAVIRTVDIKGSAGQAEM MLRDFLGREYACLFLHELQSWLRSPFETLREWDEAVQYDESGIS TRV_05532 MIFFNLEKLSKFLGFSQAKKKKKKKKKVSERAAAA TRV_05533 MKQKDALTVLLTGRSEAGFAELIGRMIKSKQLAFDLVCLKPEVG PNNQRFASTMKFKQNFLDDLMRTYREADEIRVYEDRVKHVKGFREYFEQFNRDAATGG PSATRKPITAEVIQVMEMAKYLSPVTEAAEIQRMINAHNGSLGNPSANITKSPYGRLE LSKTTFYTGYLLSNADAVRIIDNIFLPLISSSVADTSEIKFMSNSILITPRSAPKPIC DKVGGLGKVVRWRLTDTGHFEHKLWAARVSPLDSSVVIHTDNPEPIIVLGMRKGVRPA DANKIRNWTPVTKDDSLVFDTVVGEKTILRVQEEDVARNGAASRGGKRRFQSDTRDEA DTSTRDYRDMQQHRPPAPEYSGGRPPQNHNNRHYNGPPPPFRGNRGRGRRGGRGGHGR GRGRGPGGRDNYASRYRSLDDHAVAAGSHDNMMDGRGPGRGPGGGPVMNY TRV_05475 MQNKGMHNMNRLPSVASLMSPPEAKPHDSFSRTLSPSVPQQSSF DRDNKLPPISDDRKRKQSEMMDLPSPPVTPYVESKKQRTNDDEPVDRQGGTVGSSINN RDPVLFPRSDESATAIPNDEPLFASDEATVADIDQHIAASSYKLKVSKENLPSRREYL YFWSWVTTQYNSNPAAYARDERARLDRQMSEMKQYRPIARPSTQSKLKTLAPAPATRR RPSSSSSPSSNEVSRPVRPPRARRSPKSTPKTKARDSFDPLPKPTRVIGANRDETDYR MLPNFCPPIETLRGNTKGLKADWKGQLLDLSADPDRDALDPAEISLASTLRLSCASYL ASKRRIFEARVNALRAGKEFRKTDAQQACKIDVNKASKLWTAYERVGWLAEEHFRQYV TRV_05476 MAPTLAHTDSLEAQDPNIHKNEKKQKSRRPANTAFRQQRLKAWQ PILTPKTVLPLFFIMGIIFAPIGGLLIYASSQVEELIFDYSNCKDAPVGKDNAKDARA NVRASFKTQSKGDTPYQWYKNDDVDVTLDNGVHINTTVCSLIFDIPNDIGAPVYLYYR LTNFYQNHRRYVKSLDLDQLKGVAVPNATIGTSTCDPLRLDPKGKAYYPCGLIANSVF NDTILEPRRIGGGNDGNQTYPMTNKGISWSSDKDLYKPTKYSYDQVSPPPNWIKRYPD GYTEKNPPPNVQEWEELQVWMRTAGLPTFSKLARRNDGDRMLAGSYQIDIQDNFKVDI FGGTKSIVLTTRSVMGGKNPFLGIAYVVVGGICIVLGTIFTFVHLVKPRYVNTPSFLS PIYSSLWLTSFKENLVIIAISLGTANMTVQV TRV_05477 MGDAIKIDATAFSTRLSSFYSAWKADKRSANQVFGGASSIVILM GKTEDSNSFQKNNAMHFWLLGYEFPATLFLFTMEAMYVVTTAKKAKHLEPLQGGKIPV ELLITSRDAEQKTKIFEKCLDIIKNAGKKVGTLPKDTSSGPFAEEWKRMFGDISKDIE EVDISPALSAHAFSVKGPEELTSMRNAARACSGLMSEYFVDEMSELLDEEKKMSHKTL SGKIEAKIDDAKFFNKLAKLPTGFDAQQIDWAYGPVVQSGGNYDLRFSATPDDKNLSP GIIIAGFGIRYKTYAAAIARTFLVDPSKSQEANYGFLLAIYDAVVKDIRDGTVVKDLY NKALGMIKAKKPELEKHFVRHIGAGIGIELRDANMVLNGKNTKTLRSGMTLCVSIGFT DVTDPDPQDKKNAVYSMVVTDTIRVGESGPLVFTKDAGIDMDSVSFFFGDEEETQKPK KSQGESNRNSTIVSKNIIQTKLRAERPTQVSDGADVRRREHQKELHAKKNKEGLERFA GTKGNQNGVAQKTFQRFESYKRDSQLPSRVKDLIIYVDHKAASVIVPILGRPVPFHIN TIKNASKSDEGEYAYLRINFLSPGQGVGRKDDQPFEDASAHFVRNLTLRSKDHDRFAQ IAKDITELRKNALRREQVKKQMEDVVEQDKLIEIRNRRPIKLPDVYLRPQLDGKRVPG EVEIHQNGLRYLSPLRSDNVDVLFNNVKHLFFQPCQHELTVIIHVHLKTPIMIGKRTT RDVQFYREATDMQFDETGNRRRKHRYGDEEEFEAEQEERRRRAALDKEFKAFAEKISD AGKDEGVDVDIPFREIGFTGVPHTYRSNVLIQPTTDAIAQLTEPPFLALTLSEIEVAH LERVQFGLKNFDLVFVFKDFHRPPMHINTIPMENLEGVKDWLDSVDIPFSEGPLNLSW GAIMKTVTSDPYGFFQDGGWSFLGGDSDSEGEDEEEESAFEVSDSEIAADESSEEESG YDDDDASDESEAASEDESDEGADWDELEKEAIRKDKEAARGGHDDGDKPRKRKR TRV_05478 MSVPIGSPAQLKASHSKVGNDDSDGDGTGRRKPRTNAATGASAA GMRAISAQAVAFYFRTPVKAFFRTRVDYMALAKAIGPQTTTGGWSWKTTTPGLLANAV QTHGWVFIPYQVLPPLIANVGVGAILYTSYLQILGAMHEPTLMGGKRVYPPPSPPVTF FAGFAAGAIQSVVAAPLDAIQARSRTSDMINGQYRSAWHYGWDKLKTLGARGVFSGWT LSFLKDSLGSAVFFSLFETVKAQGYYHFITRYYGSLQAPSIQKLSSPTQPGGIPVIKP HYALEPAFLMLAGITATIFQQAVLHPLSLVQNIYYRNLDHLDKRVRHSRSGREMMEHR ANAYMETFKKCQRQVRRIGGLIIFELVRRKYGVPTDAVHIQLDGYDIILT TRV_05479 MSSMQQLTRLPFRGTLLSRTRVQPQNPLLQIHLLRHCRPTATSK FSTYPGRFNSKTTSNISSSSSSSSSSGLDSDPNNDPYRTPPVSLESLGIGKNMKIVLL IVLSLLETIETWFWCKAIWTWWKGGEKDKNIEQGCK TRV_05480 MYTEQPARDETSIDLLPAMSSNSQPIVFYDIAMRPPVEKNCCSP NPWKARLALNFKAVPYTTKWVPLPDVAKVRSGLKVPAVRKFADGSDFYTLPIIQDPAT NSAVGDSYDIAVYLQKTYPDSGAGDLFPDQKLDYTFTPDSEIAVPLSEVPQSGFIEYA KFNVNVDAAFSTHVQLSTQEFPFDPATAEISKAEFVRRAGVSSWDDFALVGEAREKVK EGLRKTLGELAKLFLKDTSGPFIMGTRATYADLIVGAWLRMMRTTLPSSEWEELRSWH DGVFAKLHDALDVYAEVK TRV_05481 MAGRDREWHVILFDINIDVDDRDEMDDMDDMDDMDDMDDMDKIR RRRVEEKTNEDVNTGRGERREEQRGKRSEDEDLDHQTLPA TRV_05482 MPSPTQISSKSTDKRRGQYFKDYFNQPTRAEIGTMVAILEVGAF ISSLLVGKIGDIIGRRRTILYGSMVFFVGGAFQTFATGIPMMLVGRIVAGLGVGALST IVPVYQSEISPPHNRGQLACIEFTGNICGYAASVWVDYFCSYIQSDFSWRLPLFLQCV MGALLGFGSLIICESPRWLLDHDHDEEGMVVIANLYGKGDLHNDKARQEYREIKTNVL VTRQEGERTYKDMFKRYYRRVFIAMSAQAFAQLNGINVISYYAPLVFESAGWVGRDAI LMTGINGITYLLSTIPPWYLVDRWGRRPILLSGAILMIISLSAMAYFIHINISYTPAL TVISVMIYNAAFGFSWGPIPWLYPPEILPLSIRAKGASLSTATNWAFNWLVGELTPVL QEAIHWRLYLMHAFFCACSFVVVFFLYPETSGVRLEDMELIFDDAQSAAPTPASQAER GSLMGGVGSPSSFDIRRGNEPGGPSIPNLHLNPPTPRPGSMAGEVDKAAEGEQQLTPS KEGGIGTWISSLINRGKDKGNRGDHSQYRQIGQHEDE TRV_05483 MNHRDSIKTSLLTKERKQTLPASLQWERRRNEEAEEVVVEEEEE EEAKGVSGFLKAARREDDEEEEEEEEVKQWLIEGQKSCSEATKAAGRRRSKRVDGGQL NSHVIGDIKVEDEDDEEDDDDDEDDDDDRQ TRV_05484 MAADDRAFASGTSPNTEVRRRNVPGAEKSSANVPIPSIEVDGKK LKEKSPSFCEILDDLEVFIAPLIFTALACFTRLWRIGLSDIVTWDEAHFGKFGSYYIK REFYFDVHPPLGKMLIGLSGFLAGYNGSFEFKSGEKYPPEVNYTFMRIFNAFFGIVCI PLAYYTARELNFRRPAVWLITLMVLCENSYATISRFILLDSMLLCFTFTTTLCWARFH RLRHESFSPEWYLWLILTGLSIGCVCSVKWVGLFCTALVGLYTAEDLWNKFGDLKMPK TVLAHHLGARVFGLIIVPFLVYMFSFYIHFLVLENSGTGDAQMSSLFQANLRGTNVGK DSPLEVAIGSKITLKNMGYGGGLLHSHVQTYPEGSGQQQITCYHHKDSNNDWFIYPNR TQPDYNPEGPISFIGDGDVIRLIHAQTGRNLHSHTVAAPVTKAQYEVSAYGNTTVGDA KDHWTIEVVKDVASRDRSKIRTLTTALRLRHTVLGCYLRAGGVSLPQWGFKQIETTCT KENRPWDVYTHWNIESHVNERLPPSQPGTYKSPFLKDFIHLNVAMMTSNNALVPDPDK QDDLASKFWQWPILNVGLRMCSWDDNIVKYFLLGNPFVYWGSTLSLGVFSLLVLWYLL RWQRGYDELSMADIDHIHYSGIYPIVGWFLHYLPFMIMARVTYVHHYYPALYFAILTM AFCIDWFTQKLNRKVEWAIYSVLYVVIIGLFVIFKDIVFGMEGSNQQWKSLNWLSSWK IANR TRV_05485 MLDVDELLRHPCLPRVLRLINFAFRRDDGVAVNSDGKKGRFNSP EQIIPWIGKHGRIAILFRYKPKESRQQQQPNGHTNGTNGYNIDNGTNGITAPADQEER EIDLNEPIATAVIKFFKPNLGIQPIEGELDGDIERGIGYDPEPDDLLAIKHWEPACVS VMPFDDSLKGKGLAIRCVQMLEDDLLERIDAAAQQRERETGMPRDTSPLTFWVRTRTD LTEAYWKRRGYEVLSCKWFPAGSWEYDHDFQISVLTKPVPLLSRSSIKN TRV_05486 MTTGKDIGVRSKRTGLLLADLQSRWDSIDVLNRDPLAGDTGIDN TALADIRGDYGVSLSYITGLRRLARRYLQSFPGRDSLSPNTMLHQQCSDLLARQRGFS PGELGRIESALQYRMGMSCLANQLVVGFSLPLPQGVPCDEWDEDNKSSYGQKERSSLF HRLLSLFPSANPNQGVKGWTKPYWYIVSALIEADLSPSQVDRKIQQLFEAVEEIRQTQ EDRCVDIIKSK TRV_05487 MSSPPWDFIAKLVCIGDSGTVPVGPPASDEIGINFDNTSLASTP SALSPSASFTGTINSHTTNKNGKLTGDKSVPPSPRSLSGLPPPPTKPSEPPVQKRMKL SLWDTAGQETYKSITRSYFRGASGALLVFDITRPPTFASLTQWLQDLRQIAEERIVVI LVGNKSDLVDSKGKEKDADNPDNDNADNENNKTQRVTREEAEEWCRQNNVVRYVETSA KSGENVERAFREVAERIYRNIQHGRYDLNDRRSGVKGYGASAGPGNGRVPRTVNLGVN DAMRRGNRGWTGGCC TRV_05488 MEEETEERKRRKKKTAGEEEATVGEGTWEVLRLQQAAAERHLSQ EEENIRIKTWSRRDTKRCVVLFSLGIRGERGSEISHTAYLVRQLLFRTAYKAGWTASS TLLATLLALLSHLYRPVALKLSVNREEGPVLLLLLLLLFLLGS TRV_05489 MVSEELGSLSYVTDKITDHTPPTYLPWSESCFQNVRWLSYTSLP TAAKAFLLICTLDASRFLSQSQSRIAPGDSSPPREFGDRSRRPDRQTTRPPPSRPYLQ RALGNPYSSTASQTFAFSPRSSVHHAPLFHSNNEHLPEEDDERDAADFYALQRSRRHF GASRLAESSEGDEGEDSKHSEHSTGQEDEYSRGTGIRSSWRGKASVIGPAGKTATKPL EEQPEENEEDVSEGSPRSGKGRMVEVRLEDSIKTDAVNVDYDDDDPPPEFTAGGPPIR QFQNQSSHLGDDDDEFQTSAGFIDRESDKQALLNNARPPSSIASEMPATSMARGDPEP PMHDMFWGHMFLLCLSGLFATSFIVYLHTTAPTKLPLLGDTIYATLHSSFGLLGTYTI ISIFVALLWLALLRSYVRPLVFTMLIAVPVILISFSLYPFISSFKGTWHGSSIQDKVM RWGSIVPAVMAATWIYSVVKGRHATGKAIDILEFSCRILAANPALLLLGFATIALIAL WSWAWILMFTRVFLGGHLASGGKRFFIIDIGTWWLGVYFILVYLWSLGVIAGIQRTTT AAVVSQWYFHRNATPAPTSHDVIRAAFTHALTTLFGTICLSTLLSLLVRIPLIVLPRR ISSMISLAAYSCVPTPIAALTNPLTLTYAAIHSQPLVASARSLNQMVFLAPSAATAMT FHPRTFSDASRTDYYSSTLMPYRLAKMLLHATRFMMSLALGFGGWVSTARSLQVSGAD GSKGSTMRGSLYAYIVGLIAGTIGWGVLSAMEGVLAGVVDAAVVCWASEVGSVRREAR YCREAGHLFGGGSADRMDIEDRSPRFD TRV_05490 MQCVPGEWAGLAHSINASFTTSRSPSILISRPPATERKERKEKE EPAYLKERPFFPPCNSLLSGLDRSYSTYLSDVDRKFKQLSRDVLPAHPYILSVPTEKP YRLGSRFVSNWAVGENTLFSPEEEQLQYMTFLPHQGEDTLLVSVGGWSDDKGNIVPEE DQRPPSRAASMAAPLTTASQHDALAKRKKKITLSDYKKKALETPPPPPTPPLPGAADP STNGANGTNGNRPGSVDSDKTLRRPQSNSSKPEAKQNHLQHHQHHHPSQAAAPATSST SSAPDTRTGSRSNDTKQNGQDNPSVPASTGRVDKNTKLPQSKPSLAKDSPPRKKPRLS IQPEEREKEKTPTKKAGRARQMVPELLSPTLPFDNESFSLSLPPLLSPTLPPDIEEEL ARLPDDGLEKTSRSHSSSISSISNKAIPSKPKPGANSSSVKQSQQSSLAAEKKPASIS SSTTLPPKPPTASSKPTTSQPTPRITTTAPREKLIVKLKYGRQNRKRIEALLKISSKR KPTPTQTSAKPKGLPDSIVVSKSSPPNSSPQPSTTTSIGKQSEPSSGYKRPKAMDGDD VKEPALKRPRSSNTLAPPLSDQAPTPSMQTPRSTSATKHPPASSQDQFLTPKKEPKGT AMRRVGSGDSDMKTPSGSATSVKMTQSLSVESHPSSSAQPSERRGWRDEYQRFVNLGR DLKHASQRNSTHKYSTSHDIQDDKLSATIAVEALLCFVLAFIAEQRFQSLSRQVGNST GWRSIIAYWQSVLNRTTSYPHLHGICLLLGAACHDSIHSLDLERLATTPFPDEHSPAP TPGSDGNTVTSEETKKQRREFAELRTRLPESYKVARRLWLEGSRELSDSVLTQHYPNT WSKRLKNSADRGREQLKLGEYGGDFYLPLGTASTPLEAVRFAWAFLNEWSEREGVKWK GRLGL TRV_05491 HPLPNDLVKCSTASKKQRELSSSKQKDPAGPVCPSAGRLQTQRG TSAAAVIWPAAEVSRRRSTSEQQPTSRLVTTTTPPAKPQDEDANGRRAAGRETAVEGD EAPRRRRPPRALSSSPSPLLPPSASDPPSALPPVTARRCPLPASQSVESPAAGLPSPS TVESRLSGGYPTPEGPREEKRPLRPTANASSRLGRPSRHRSRNAAAKRATANSHLGIA LLHILAQGQTRDGLLPSFLRSLLPQQKERKGKG TRV_05472 ADSLTEEQVSEYKEAFSLFRAFPSPKQMETVTCQITTKELGTVM RSLGQNPSESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDR DNNGFISAAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDWSYFYVVTNLPFLLF TVLPYRLTHQWMDAGNNIVFKDLGYSNQLITCVLALLSMFILYSQWDKYW TRV_05473 MDNSKSSLLLRVYYIPSNPELPTTATRTVIDRFKAIHQPARLGR WALEFKLVRDTASCLPAANFPHNKVPTPRYMHFLSLSHYQNHGFVRISPRPEVDPLDP AEMEKQMNSPPPVPIPATGVSSQSKPSADSKELNSPSQNEAHTTVIKTFDPQSYLSFF SMTTKFFQPLWNHRYTVVVTSGEAYEVGDFRVRIGEVRQTMPRPRLRGAIVEIEYRGP GQRQSEPDLTREPGEDWDFAPHLPHYPPREVIIPTDEDWELGVMLIRELWDNFSIPGA SESIQVPGLGLERREYFDKGGASAHTARKKASLVGVDLARQYMDVFKFYR TRV_05474 MTTTISSLPGEEPVPIPLTSTSHPNDPVPEEPTSIPHLTLLRRS ATGTSDSQSAATSVAGASEVSIDSDVPLTPITEPSSDTALEDTYAEGKESDGITQRRR RASTVLISQNSEDVQRILGDIRGGTNLLGKICCGGGCCKLEPLKNALPSITSNPVVRP QNAAFESLRLYVGELSLDSKLTKIAPLPENTVSFSSPSIDSVGKKLGPADHPPQFLQP HAPYEVYRAPLYHARELTKPGAEKRTYHFDIDVTDYPVEGGNVDFVVGGAIGVCPSNS EAVVEAIFNRLGVPKFIRDKKITLHTTKGRWPTIWGDEKPRDLLTTRRELLSWCSDLQ SYPPTKPLLRLLAEYASDENEKKILMYLCSAQGQAAFCDLRTGPYITVLQLLDAFPSS HPPLDHLLSTLNTLMPRFYSLSQDPMIQRTIDGKEPRRVIEIAVTVHECLDWNGGYRT GVGSGFLERLARKIQSAENDGIDPASLNLHVPMFRGLMSNPLARRFVSDGPMLLIGAG VGVAPFRGFVQSRLRSANCANKVWVLQGVRDSLLDELYSGEWGVHEDEVKKVVESRRG EGRYVQEEVRHQADLVWFVINSLDGRVFVCGSSKGMGEGVEAALIDVAIAKGNLNPEQ ARIFWDEKKAAGQYIA TRV_05470 MVALLIFLVSSDQRVAGYIKGYVRRFWQLAQQIPPASQPQQLLT ENTFTISQQDLERTTDGSLVWGAAYHIPASHAEEVSAYLDDREIDGYSVHYTPFYPCS SSKNDEAQSAAGLQSRECMVYIGLPSNTQFVREPALRKPDAIAEVIYASRGQSGENKD YLYSLETALEGLGLGSSDVHVTDLVRRVKALQQSG TRV_05471 LLSSSWNSGLAWHTTDDTLREGFSKFGTIEEALISHVQIVVKDR DTNRSRGFGFVRFSSDSEADAALNAMNNQEYARCSPWEKDLILFFYWLAFCSLSPNRF DGRVIRVDKATERSSGGGGGYGQRQGGYNRFDNSDRGGSYNRGGYGGGYDSRQGGGWG GQQQQQQQAYHGQNQGGNGGM TRV_05469 SGKSLLKASKDNLRFDNRVVSRNHAELFVDVIKKEIHIKDLYSL HGTLLNDTKLTSGLKLVSSGDIVVLGATVNRGEVKILISYTWNDPSKAIPSCELPATN TYAVPDDDEDSEVQSENGGSQILDAEHDPSFQWQTTPLQPKSGADIISIKSSPDPAER EADISSTRACRDSATGPWFSRAPYPAGERPFGLRPYIQSHSTGRPPVDNIPDINYQCH VPFLGRQPLTFTEIHDPFRRQLSHLNTYGLPLQPAPTRNEPSHNSLTKENLDKLAKKT TTKQVGPTPSSPTSSHACGTKRKFDDLCAAEAIDGEILPDAQPQENLPVNNTTEEIEY NPPQKRAKSSNAEQPSRIAVFARYAATAITGAVLGGVGTVVALASLPPGFFD TRV_05459 MLVSSSPSSSSLLVNPPVCSSGSSGSSGSSARTSCYRSSSKLRS VSAVALEGFFSFFFFSSLASEKKSLQLRRLYITTSNARPRSLDLRTPAACFCLPAVLH LPVSTVLSLRQALACPSRSAQPSPGRGRAHSPRAARILAVDRAPGRAAEDCRSSSKTK NQQQEEEEASKRITPGRLPGRMTMADYRSPVYKAPPRKPVPGNAGFQFHTLDSRSSSS SPHLPEGPSHLHAASVSSIARPASSQYRPRTASSSFLPADMLPVRESVAGFPLPQQGQ QFYQQSQQQQQQYSQRQFHQQAASPQNYQQQQFYQAQQYPQQQKYPQHQLQAQYGAAP PSRRASNATTCTTSTGGGNVAPARQMSQVSFDTRYSTISQRPQISYVALLRKQKATVW CDRAQAEDPRLLAQRRAAKQRALLEVHGSSSTRSSTLISGGKIRHSGNGRSISYNTST MVGAGVPLRLSANEVGGADDGLDDRIDASQLIHRRTGSSRSSTASNRISAAHQRAAGQ TRLSSSSSANNTPPNADIPDIIETPAATLENIKDEDFLKKPNRSSANTASDQQRPTTS HSEEEDEFGKITEMAAPTGMSTAMQKRKISDDLKRRGSVDERTSSMTGVRLS TRV_05460 MFPNDEQENERLDIHHALIDTLLHGRLHIAPISKDPQRVLDLCT GTGIVRASTYMSYNQLFAFTNPSSQWAISFGNITPLPPLSNGSGYGLTGLSIKPMNTH QLRERSKPYSTFSVCISIPTPSSISRLHADSVPPNLKFIVDDVEDEWGYEGSPFDYIH GRFLIASIRDWPRLIKQAFTPRLTKQTSSCTKPGGWVEFQDWDGTIRSDDDTLKGTHL FQLQETVKEAFIEMGINANPGAECGSWLKDAGFINITTQDKHLKTVGAYNLLQHTKGL GGIANLPLIKKGWQPEEIEVLLSKTREDLRNRSIHAYFNL TRV_05461 MDIPDSLPEPAVIPDATKNLICSPDQLSREQKKELQAYIRRIKK YTDPNVQVTKEEIKTFLSMVFHHWTTADAIPEPPSKHILHKFEYQAVEIGLLTEDAPI PRELLKPGKTHLAASYQTPPERKAGAPVYMLAVVTYPNLSQVFTLVDSRARYFPQSRI KFQYHEGMCADVASFSAMEQSDEFCFYQAHAYNVGVALFHARTRIVGWAAGSPLRNRS RELQMAYPFMAFALFRNNVFPTSRRDPAGRLLSLPRPGIFDLS TRV_05462 MTEVDVRPGMNGLPASRLTPDPPKKRIFEELETTSQPSSTPTVQ SNVDSAVDSHSSSNHDPSPINAGPVPADAIPQASNDITTSETPSTPKEKPANPNKKRK LSPASKEAKEKQKAEEKAKKEAERKAKEEEKRKRDEEKEEERKLKEKEKKKREEEREE KRRQKEEEKQAKEDEKRKKEEEKQRKERSQMKLNAFFTKPKATPSATTGNETNSAGTT TNLPSDSATRASKPASDFELEFPPFFIQSHVKLAPNHRFERDSSALSHACEKLDNLRK SDFGLANDDIPLQRYNPAELFQMISYRRRQGELATPSVKEILLKLQDPDLNSINLTDT NGTATQVEKLLRKIPMKVLNFREDVRPPYQGTFTKRLEQRAARKLCRNPFWRGVPEFN YDYDSEAEWEEPEEGEDILSEGDDEVSDDGEDDMDDFLDDGEDESANTKRRMIVGDLE PKCTGICWAEDSADNPIINQHRMEIISENITFPIDPFSTQYWETKPKVSPGNSAFKVP HRPSTGKTPSSKSQQQSLLSPSSAAKSSKHLTPMVVVPVSKPKPRIQFPEDKLDEFKE AVSGSNLTKAGLIEVLKKRFPKVSKDVIKETLTILAARQGQKEVDKKWVLL TRV_05463 MVSYYNSYLGCGTVTKERRGHPVVTKKNHPTLEPTSSHFLSLRL FARPSGSAAATSLPQASSDHLEPCDSLRGFCSVRSVSLGARDCDGLEIDGPVLAHGNM ISFQHACLPSVLAYAAATALPPSPSSSPPRCYTPTTTTTTPTTTTTTTSTTTKTTAHP TTDAAENATPDYPPSEHATPQSARREDDGSAARDVAPEPKAPVDPLVAGVSARLEPLG REPKTKEQEQKEPESAPEAVKDEKNAECSLKMAPEPMILVSQFAHQFYLQRYQEAQRR ASLLRISDLHVSVHYSARLLRVAAVSHKGLVDSLRLGDKSAFASVYNAVHEIREVCES AVRRSILDHDPLIGTGALKGRPHTFLHRLSVGSRADLLEILTLVRTDSQFLFECISNL TPSQLSALVSPVHALEISSPSDARGRSQPSSSSSSFYKRGATHSSAFKDYAFSFERTD PLFALLFNVYSTTLDPDSSEAQLRLDVWSSTCAKLISHGGSGMYTFVGHLLNIWSGLG EWKAKAKFEIYLMDILQNGAFLLESSSRHSDMDGDAFDPMKTDVAEQLFRTSVQALFE VLDDSDGGFPAGSLEFGRAIIEKLGVSDTCRRFLGYVFYQWFFGKFVHSAMCFPESHG LLLDFHVTKDARERLLGHIALYAQRQVSHILQSLPPFSYIIPKIKTHVDRMLSRLIDP VKHTPDTTPLSSPPDSSLAQSSMEQNNAGSVILLSSSDILTILDVFFPKPPISGSPDL FSQQTSFPSSPASTFFPRSGQPHQSYEPGLFQGRLDTPSYNPPTAKTMFTTEISFQDV TKSYFVRPSKPLTQESPKNPQLRKADRIRDEILEIRESEDRPTLDHPANEDWAMLSVS GRKPVDLYATDTEQSSHQSPASRTELLRNQDKIEAAVVKIVHDLKKWFLHAMGMCQRK SDFSGAHFWWEASLALRDAYAELGQSVADTKYLSPMYRSVTQSADLDSNMIQRCEQSF IDLKRKMDLLQSQVNKTMGIMAQLRNKMWYMTDVKNSLRYEDAKNVALALKNMALAQA TQPAAESRSRTGARTLGGSFLQKPEIQVMNVMRAAKSQGGPFKLADEQVEITRKWLQR FGIDNFCRGEERIHRFCYEVKTSVNKLAGETMYDTPVLWSSELFQRERSTYDTPGTRP MSGSVRPSSIASEDSLGNPMQPPAIRSLDQLFRPPNDLPMPPLVRKSSFQSVTSDKWQ TNGNASSISDSPGKTVSTATTDSIYPYWSPMQTQTQSITSASSLRSRPPSMFSDNMSY RRTEHSSHGKHTFLDGLKRNLTSLLLSDLGCPVWSCGSETDAWFSAYLNQPRVQSQME KRTRLDRFLSQCSTSLMEEIRERDVTGGRLRRSRSTPSLGCKGHSTQKSKEKSIIRGE VDGDYSFGYEEAFEQLMDKFSRPANPYVKLEALHEMWTLVATSLSSSRDTPSLSEARL RHSMDGIHRRNSLQARQQRRKSSDDQTPTPSSPGLSPAEAPSGLSIDAAMNETQITNT LRDLIQKYQPRTLFRDLQFISSFVPSDILNKTESGTAFLQFSLAALKLKDDVCNSMIE IADKILCDELAQRHRHSHPISYDFSPKVGNGIKDAANMWIVTAREGNPVAQRELAILY LTHPHLLRPVTLPLTKPGDTFKAEVMYRRDQDSKSDPQNMCLALHWMQLSAAGGDELA KNWLKERQEFESIS TRV_05464 MSTMQEQTSAAAHHEHETAPRPKANPVPGILKSPVSISTVTPSA DPSLMSLSPLENKELTMQNTMQNAGVGVKQRARASSHRKSTSSRASNGDEATENSPRL KWDEANLYLTEQERTSTMKIDEPKTPYVPHYDYNEENDDMDLGEDDVGFHVEGVVVDE LDMNKAKSKSKSKSRADDIPDLELGDPEEDTWKDVRASEADRIVRERSLSFGSTGSKK RVVVGGDDKDEHDPTQEEAQKHHDFEEKRKKHYEMGNIKNLLGHPEKIDVDEDEDEDE DEDKAHAGAPRQPPAVPKIPEQYAKQSH TRV_05465 MQKKKGYKKMEERRRKKEGNIFLLVFSKYFNAREKESVASSASR SSHAALSQFWLYIFIAAAAAAAASTAAAGSSACPVSILQQASH TRV_05466 MDKTQEKVEQPVAQAEAAAAVEAEKVEKAVEKADEKQVEEKKDA EQAPSKPAEDKKDAEPAEQVKTEAPGAATAQDKTEESAPADNRPEYLTKHAGLTQLFD RLPAILEATGHNEMWGVTLKDTEDIPTVNIMIKFLRANEGNVKAAEEQLTKALEWRKE MKPLEIVKNMKFSAKKFKNLGFITTYGVGEAKSVFTWNIYGAVKNIDETFGDLKGFIK WRVALMELAIHELNLDKAKTVIPAIGEDPYQMFQVHDYQNVSFLRMSPTIRNASRETI TVFSMAYPELLREKFFVNVPTVMGWVFTALKVFLSKNTIRKFHPITNGSALAREFGEA GAEFPKSYGGKNAELAESSMTVALIDDISQERETKAEPKTETGAETKAETKAEEPKAK EAPETKPEEAKTETPTAPVPENTISEATDKPAGEANGAPKVAGETVPAPAPAAAPAN TRV_05467 MSLWNPDNIRDVAESVGINSLNDDVVENLSRDVEYRISQVLEEA LKFMRHGKRTLLTTQDVSNALRVLDVEPLYGYESARPLRFGEATIGPGQPLFYVEDDE VDFEKLINAPLPKVPREISFTAVEGVQPTIPQNPTAADTRHLELVSKGPNANANLAAM SGNENVNVKPLVKHILSNELQLYFERVCNAFLDESNEEFRNSAFSSLKEDPGLHQLVP YFVQFISEKVTHNIKDVFVLTQVMHMIEALIRNPTLYIDPYVAPLIPPVLTCLIGRQL GSSNDAVEHFALRDLSSSLVGMIAKKYSQSSHTLKPRLARTFLKTFLDPGQTFGAHYG AIIGLQSIGGPNVIRELIIPNLPVYEVVLKDAVTDEGLRKAEAEKVTGVIIAVLSTIQ DESLAHTNGFSDAAAEALGKELAAKVGELIASRIIESGQLPLARAILEKQQ TRV_05468 MVYAGIPNHYTGSPSSYVAPSLTINHEATVDLDSTNAFEGPEKL LEVWFTASPDDLANSPVPNGLKAVSADTWKGMLDLVNCQVLSIVESDDVDAYLLSESS MFVFPHKLILKTCGTTTLLHGLPRILEIAALYAGFPKSSPPLVGGITTATAPYRVFYS RKNFLFPERQRGPHRSWRDEVRAMDKLFLGGSAYMIGKMNGEHWYLYITEPFTSLTPP ASPAHETETRQINFPGSLENGVGGTKQCESDETLEILMTDLDEKNSKQFYLDHASAVA ESRYRSEHEEENDDLVDVFSNTSSNNSDVGSPLPSELSSEGHALGTVVSEACGLSNIY PADKHPGSRIDAYLFSPCGFSANGVIPSNDGKSGTNYFTVHVTPEPHCSYASFETTVP QEQTGRDTAEIVSQAVGIFKPGRFTVTLFEAKSSKANAEELGQSFEARRSTRLASRRH GKMESIPGYRRVDRIVHELDGYDLVFRYYERLDWRGGAPRIGENYP TRV_05450 IDITGKIDRYNTKERCDKGKKQKEKDDKKNMSPFTKEMDGQQTK YLKKKSRENS TRV_05451 MVASFSPHRDAGGTLHLSTSSFHATHKNSALSQLRRSLSRSPSK TTDFRLLSPSTFNSPATRHPNFTSSNLSPSKQTSHGKILFVPNPNTPSPVAIPFPPSA KIHRPPMRRSRFMHSSKTSSSSGSAKRVLGESRDNGNSAASPEPPTIDAMDEKVSTTP PSPVLFRGGQESPLILSSPIEQHSEDTSAGREKRRSGNMESTTPLSSSPLKRADVNMT MDSLGAESPSAKRRSLHGVSFGADFDVFESSYSAINSPLNNQNPKEPRLQPPGHYFST IPKRSSSLRRSTIQQRHPERSPFVRKISADNDGSLQLQNKNRMAIDNSTFLPLNGPDS SPSPNPSTSLFTAPSSSTFHPPTHPLSRTITQSSSSSSVGDDSPTNRALSSNFSEARP ILNFSKSLPIGAVAPRAPSLLRQESSNASASPFSTPENYKLVKPLPAAFMSTGLISKK NKNVSDFRNSSTMSHNMPETPCKRASNVLFGPKPLHQANSAKFLPYHKSSSSFETPFT HRVKAPSSRVVSTSSRRGSLASIDGDISSIPRSPSAPFDSQCATDSDLPPTPTRRGLF PQHVSNSAPFQFQAASQPNSDANRGESGLFSPDDHTFSLVESNASALPATPTAPRESF PNLGKRTSFPLGGYNSPDIDPCLNSRFDKVELIGTGEFSLVYSVSERVSPPSSSQLFQ SQTPLSSNSTPTNTGSRKLWAVKKSKQPYAGSKDRERRNNEVVALKALHGSDHIISYV DSWEDKGYLYIQTEFCEEGSLDVFLSQVGLKARLDDFRIWKILLELAHGLKHIHDTGF IHLDLKPANILITFEGVLKIADFGLATRWPAAAGIEGEGDREYIGPEVLMGRYDKPAD IFALGLIMFEIAGNVELPDNGVSWQKLRNGDMSDVPSLTWSGESSNILRDASGNPLVE SSIGNLSSFDDFDKDCPSQDVSSNYFQLAQRKGAPSARSGELAEPPAFMVDADNDQAL DKLVGWMISPNPPDRPVADEILQSFGVQWAEQRRRAGATIFEGNWGPADEVLNEDAEM MDV TRV_05452 MDSFLCDRYTQFPSLNYGTEAVPSQASATWKLDNIIDLDRLILA WASILSRLSEEESPVIQIDGAAARIHLEGGHIESVQIEKSGNSGSHTAIVTSDTPITS ERCQLEIRYTPHQLNGSITSRGCTSVRYLDQLARNLESLLREPLPLSIVNPTPLILPG PHLFHEMVRHTGNEPAISFLNESGEVEDLSYEMLHYLSEQLASHLVHILASLPPPGQH GKIIPVLLPQSLDLYVAWLAILKAGAAVCPLNLDTPTERLNFIVGDVDARVVVTNEGL TSAFQNIETSITIVKMEESKTFAPGCLSGVDVCNEDLAYVMYTSGSTGLPKGVGISHQ AAVQALLAHDEIIPGFRRFLQFAAPTFDVSVFEIFFPLFRGVTLVGCNRRLMLNDLPG IINQLNVDAAELTPTVCGELLQSRDAVPCLKLLLTIGEMLTRHVVDEFGSSEDKPGLL HGMYGPTEATIHCTAVSSVRAGSLVGNIGTPFKTVSAFIISMDHIVGQEPVILPVGHV GELVIGGPQLARYYLNRPTENRNAFIDSKTYGRLYRTGDKARLHPNGELQCMGRISTG QVKLRGQRIELGEIENVLLKNQYVRNAAACVIQGALVAFLSADVAHCTSRDLQLTCRR SLPKFMIPGNFVILNKLPRLPSGKIDRKGLEAEYILSKGVDQTDLAEPAGDIEQKISV SLNLLLESPITPTASLASAGLDSLRAIHLASSLRKEGVFLNALDILEADSIRKMAALV LKTQPEMTMIPTESEPLKMWNTIIQQGHEMLKLTENLQQPTDIIPCSPIQTGMLLETK LNPKTYFNSVELQFDRGISLEGVKSAFISTALQNEVLRSGFIEIDFPGFPYAQVVWES LHPDQIIESKIFDHNLELQNQWDILHPLRVQLCVIDGQPKALVHIHHSLYDGWSWDQI MWDLASALENKQITQRPQYRLFTLFHINNHSSEIREQALNYWQSHLQGSTPCLWPNFQ DRSDLPKVTQVIERQFNIDIDQLDSFVRDFRIGRQIIFQAAIGYLLSAYNGTSDIILG NVSAGRTLPIDGIESIVGPCISTLPLRLNLQKARTVRDLLAILHGLNRKSLVHGFVPL RDVKQVSGINTADQLFDTLFVWQDSFTTICGPIAQVASRDFLEFTLTTELGIQDGKIR AKATFEESILPESHVVIFLKQIESIAMTFLESADRLLEDIPSHLPKSLLSMENTLPPP LKYVPGLSESVEELAKIDSERIAVEFLDSLDPETGDKAIKTLTYSELDAQSNKLASQL RNLGVVEGNLVAICLEKSLELYISILAVIKSGAGYVPMTPQTPINRVKHIIQEASCRI CIADSEILVQLSDLPNTRTILAKNQMLMQNALYEFPKAPGSSPAYAVFTSGTTGTPKG VLISRFNLESNIAVLSALYPDFPESRLLQACSHAFDVSVFEIFFAWSRGMTLCSAKND VLFRDIEHAIRILRITHLSMTPTVAALVRANNVPLVKFLVTAGEALTPKVLMDWAGKG LWQGRPLPNTSAFVLAEGERFSLVPRGAIGELCFGGDQVGIGYLNMEDLTRQKFLVHE KYGRIYKSGDYGRLLPDGSIAFVGRRDDLVKIRGQRIELGEITSILMTHESVKDCATI LCDSNNGDCGDSKQLISFWVPENINIDGLGQHENSHIFQQLFDYIGDHLPSYMIPSFL IPISHIPMTTVGRKIDKEALKYMYLSANPTLLDVYSRGEKEEHTQENLTDNEAKVAEL VAQVTGVSTKEIGRHTSFYRLGFDSVIAIAFSRELKLAGFGQIDISVIMKNDSITRLT RKMSQNTEAQMPGLESIPAFNHLFSPGLISKIKDEASAHGVNVTKILPCTSLQEGMLS GISTGNDASYYNHLVFEINMNIELLKMAWMKMVARHDILRTWFQQTDDARFPFVQVVL ERLDIAWRSIECPIADAPSTLERSKLSVAVKEGPHSLYSFTVLQCVDSPKVFLLLSIH HALYDGEAMEVLLQEVQDCVLEHQLPPVVPFDLYLHEMIDVNSDSTDQFWSNYLKDFT PTLFTSPSSLVKGSPKMNRSTSHIPSSSFTEVCNACKSSSVTTLSLLQAAWSRLICLL SGSPDICFGDVVNCRGIPIDGAERIVGPCFNTLPVRTSLNGNMTNIDLMRNLQFNRAS TLPYQLSSMRRIQSRFSQRGQRIFDSLLLLQGRPLQLNESLWRMVSENGVMDFPIIFE VVPNPESDSMQFIFHFDEGLVPTTDIDIIIASYHAILTHTLRFPEARAMDFSLVESEG QVPGGLSVFRKIGEGNRDHKTNGYDESEEWGEGCLEIRDLLSAMSKIDKKRINMDTTI FELGLDSINAIQIAGHFRKVGYEISAADILEGPSIRDIALVLQGSKANDCVGLTLDSF DFNSFQSLHLSSICDKLGLLESNIEAIRPCTPPQAGMLASFINSEGLLYFNSLTLKSP APLNLIALRFVWESVMERNEMLRTGFCDVKDDIFPFAMVTYRPGVIELPWNECLSPSK SISDARREQHLNGKSILNQLHHPPWFLTVKPCSGSTLMQLSAHHALYDAHSMNLILSE VINVYNGSTLPPAIPVSSVLGYIVEKFQSPESESYWSEVGPSFSATKFPDMNPLHAKV NDTRFLSRDCSFTMEKLQEGCRELGVTLQAVGQAAWSRILSSYLGESTVTYGLVLSGR DISEQAQDTAFPCITTVPAQQNVEATNRELLQQIMKSNAMAVKYQFTSLAKIQRLSKA DSPLFDTLFVFQKLASTDKQNTLWDVVEENSQTEYSVSLELIPSNDTLKLAVTYQNHI LPDGQASLLLDELDWLLTDILQYPDSTSSSLDTASRSIVSVLPRKDTKIDCPTQLLHQ FVEVGATRHPSKVALEFAERVNGKLTTQSWTYKDLDEQGNRYANLLHHLGVKQGTLVG VSFQKCPEAYFSILGVLKVGCAFLAIDPSAPIARKQFILEDSKADVLMCGMGQQNELK NLTGIRLVVVNEEGLLNGVDSTPPTLSFPIHGDETCYCLYTSGSTGTPKGCEITHDNA VQAMLSFQRLFGGHWDESSRWFQFASFHFDVSVLEQYWTWSVGICLTSCPRDTLFEDF AGTLRDLSITHIDLTPSLAQLIHPEDVPSLCRGVFITGGEKLKQEILEHWGPHEVIYN GYGPTEVTIGCTMLPRVTSSDKPTNIGPQFDNVSGYVFKQGTNTPVLRGGIGELCVSG PLVGKGYLNRPQLTAEKFQYIETYGERVYRTGDLVRMMHDGSFCFLGRIDDQVKLRGQ RLEINEINHVIKNSTEGVGDVVTMVLKHPTATKEQIVSFTTVLTSASTASCPEVDFSP EAGRVLEAIRLECRSHLPGYMIPTHIIPLTKFPLSSNNKIDNGQLRGIFASMVLSEMQ TLSSHEQESPTEDTDTIRAIIPILSRFTKVEEKTISSSSNIFELGLDSILVISFSRAL REAGFPAAHPSVVMKCSTISLLAKAIESPDNNGEGERRQYEDARQKIAAFAHMHMSHV ANELEVAPQDIEAITPCTSLQDGMLYQCLRNESHPYLTSFKFQLAPHTDIPMLKEAWK RAQVSFQLLRTNFPLTDDGYALVVLKEAALPWFEFVISKDDELESTAESHFKKWNLGF NNFMSRVWEIGIVSSPKRRWMCLNIFHGLYDGVSLPIILDAVKNEYNSGQIPRSMPFT EVLPLGPLRTVPAAKSFWVKHLEDLSQTTIPRIPLPEPGSRTLTIRIEGFHGIEETRR SLGVTEQAMFHACWVYTFERYFSYTPTMGIVVSGRSFDSEDANTAVGPLFNTIPCNIP KSGFSTFSGLVKACHDYSVSALPFQHTPLRSIMKWIGRSSQRPLFDVLFVFQKQENTT SQSGESLWEPVASFAEADYPLALEVQSQGSGSFQVTAACQGDILTSDGISDLLENFKL SLRIFVEEPFSNLGFSGDSTSLEASSKQLANKVIGDPSPNGTASFQWSQAASLLRQEI AKLANLDVSEINEDSSILEVGLDSIDAIKLSSRLKRDHIDLSVGNIMRNRTIRTMMAE VTVNGSATKADLTYLKSLESQLRRSLEEDGKDLGDIEHIYPATPLQEGMINEMLSSDG LHYFNHDILQINEDVDVTMLKNAWETIAKRHPILRTSFATVPDPNLPFSYAQLIHKSS IKIDWNIVDVAENSIESIIQEERARALSLVMSKPLFNLRLIRDRAKLLLILSLPHAMY DGWSLTLLHQDVASAYSGQFSARPSYQHVLEAIISSSRDEGLQFWKGVLSDAEPSIFP PQPGAGGQGALVHRDETASDIPLSHVLDFCKAHGVTAQALGLTCWTIVLASYLGQLDV LFGTVMLGRDTEEASKIAFPTMNTVAVRGILHGSVSEMLEYVQRNLGNMLAHQHYPLR KIKSMMGVGNKDLFDTLFIYQKSPSSQESQDKPLYKSINSSSSVEYSICVELEAIDDS AVWRVACKDTILSKKDTSQLVLQLLQVFKAIIQSPEMSTADFVEVRERPTLDSVTQNG GSLPDGPSGIAIEPVVWSLLEDRIRDTLSLVAAVPKEEITRNTTIFHFGIDSISAIKV SSLLRRQSVLISVRDILRAETVGKMAEIVNSAQEKKPTTATSREKLLSPQTLRNSNID LQLGKYGMKREDVEAFLPATAGQIYMLETWKNSHGKLFFPDFFYRVTGRITQSQLDSA WKVMTAKLPILRTTILSIGDTDMPYVLAELKQASNPIIWRSDLRVKSNRRHVAARQGS GLVYLYASQTETETLLMLHIHHALYDAVVLQHLINILESLCQGVSTPVSTPVDIAEFI QYGKAMSSEAQQEAFWRGYLGSDTTPVAQNASGPVMDVQAGAGKYQPGLLDNTDWLNK ICQAEGLSVQAVFLAAYSKVHVREFHVRGADLTVGVYLANRSHDLVGLPELVAPTLNI VPLRIQDPGSRSVFELARIIQSDLHEIGSAENCTVSLAQIAEWTGIRLDTTVNFIKLP EVVAQVSTATTGAPQLVQVTEEEVLKWQSKESCNSNGSEQVDVAAKGSSSKLWLEEML GIESGVGLENAGDVYKVSPPGSQLSQDSPEKQEANNKPSPQPSVDIEAAVRNNTLDVG VFGPSSDKALGVLDGVRRELLALQTSSAR TRV_05453 MNGTSAMGNGFTNGTNYPVPKLELQPETTSTSSTRAQTHPLLPS VSDDELNDLICVGFGPASLAIAIALHDRLLETAHSLDITTLPKICFLEKQPNFAWHSG MLLPGSKMQISFIKDLATIRNPRSEFTFLNYLQVHDRLLDFANLGTFLPARIEFEDYM KWCASKFANLVRYRTEVLDVTPSEVDPVTGKVHFFTVRSKVLETGEITTRKARHVVVA IGGKPNIPAEFPTNSRIIHSSAYCTTIPSLLNNTLKEYSIAVAGSGQSAAEIFHDLQK RYPNAKTSLIMRDSALRPSDDSPFPSVNELFNPERVDQFFNQSEKERQHFLDRHRSTN YSVVRPELIEQIYADMYIQKIQYPDETQWQHRIFSSCLISKVDSDKSEKLNLSLQHCH SENTTMNGTHNEEMNADALILATGYVRNAHESILASIEPLLAQKHMGWKVQRNYRLEL DKNQVDVDAGIWLQGCNESTHGLSDSLLSILAVRGAEIVQAIFGAQISNGN TRV_05454 MSSNNGIGSSSLSTPSSDPSSTGKTPIPPDTANTVELNTMKPIG VAEAIGLEMDIMQLARLGEIAAIKELFDSGKYDISYRDEEGITPLHWAAINNRFELCK FLLDAGADVNAKGGESVATPAMWAAQRCHHYIVHLLLQYGADPLLTDIQGFNIMHLAT IDGNSFLLVLLLHQEIPVDIADPQGHTGLMWAAYKGFPACVDVFLRWGADVNATDEGG LSPLHWALVKGSTACVQKIIEYGADRFAETKEGKTPAIVADEMKTTHIWHHALGECGY EPNGTSSALPSVIGQMLRSSLYMSRFYFLLPFFSLPTIVTILSSLSIYVSILTALLFM FGVHLLIKWVSKRGPLDFRVLQRTVCLLHHDSSQITTDMSLATYSSSLFLNLIFLTLF AFMTYFYTYAMIEDPGFVPRLSSRNEQKAVIMELINDWSYDEDHFCVPCMIRRPLRSK HCRRCKRCVSKQDHHCPWINNCVGNNNFRHFMLYLILLLLGIVAYIRLVFLYYSAIVP GGVKATCNLISPWLCNVVETDTYTFVLTIWTSLQLIWVTMLTLVQLYQISRGTTTFEV MRGHGHGPSHRAPTSPNQAPSTAESNNRSRRSCFTKWKSLFGLDAFMAAAQDGLKDGQ SHRRRQNPFSHGIVRNCQDFWCDPAPYFGMRQAGSSMLGGKVVNYYKMYDADILEYSG GRV TRV_05455 MDIKTYISDSLLQLTGVSDPTVVDFVLATASKAKSQESLREQLG VFLDGGNADIQPFCTQLWSRVNPSGRDGKSSKDKPTQQQPKKKYRLVGMEDEIADNDL NPSKPQSRESGSSRSRSTAKNRSDGSSEYKKRDSHADGREHNRPRKLRRIDKHDFESR WGDEEPPSGDEEQYESPAENLPGKVNVSQDVETFDHSEEDPEKARERDRRERDEFAKR LISKDDKRSRKVVEDRSSKKDGGTASRRALAEDAAARAAAMPDLRLRSRQDYLKKREA ERLALLRKQVAEETAELRENPDLTRQEKEEFAKNREVLRLAEERLQIDDHRDGYFLPE DYITEKGKIDRKRKEEALYKRYVDRDDHGKERFVTEHEEWELEQTAKAKAQIQKADFV DEGDYEYVFDDAQKVNFIMDSKMAGDRKPMTKEQMLLHKQIDAAEQKAKSIEETRKSL PIYQFREQILDAVANHQVLIIVGETGSGKTTQIPQYLHEAGYTKGGMKVGCTQPRRVA AMSVAARVAEEVGVKLGDEVGYSIRFEDTTSDKTVLKYMTDGRLLRELLMEPDLASYS ALMIDEAHERTVPTDIACGLLKDIAKARPDLKLLISSATMDAQKFQKYFDDAPIFNIP GRRYPVDIHYTSQPEANYLAAAITTVFQIHISQGPGDILVFLTGQEEIESAEQNLLET ARKLGNKIKELVVCPIYANLPSELQTKIFEPTPPGARKVVLATNIAETSLTIDGIVYV IDPGFVKESVFNPRTGMESLVVTPCSRASAGQRAGRAGRVGPGKCFRLYTKWAYYNEL EQNTTPEIQRTNLSGVVLMLTSLGITDLLDFDFMDPPPAETLIRALEQLYALGALNDR GELTKVGRQMAEFPTDPMLSKSILAADKYGCVEEILSIIAMLGEASALFYRPKDKKIH ADSARARFTVKDGGDHLTLLNIWNQWVDADFSYVWARENFLQQRSLTRARDVRDQLAR LCDRVEVTLSSAGANNLPVIQKAVTAGFFPNAARLQRGGDSYRTVKNGQTVYLHPSST LFGTDPKWVIYFELVLTSKEFMRSNMPLQPEWLTEVAPHYHKKKDLETLGLGKPKGQH TTEKAKS TRV_05456 MTKTFTVAEVAKHKTPESGLYIIVDSSVYDITNFIEEHPGGSRI LKRVAGKDASKQFWKYHGDGVLKKYGAKLKIGEVKEEAKL TRV_05457 MTDSNPYRAIRAKFTENTITIYQAYSNEIADAALGAGTFVAPFK RGRMTWIKPSFLWVAYRSGWATKPNQERVLAIEITRSGFEWALRNACLSHVDNSLYKD KSAWESRMQASCVRVQWDPERDFHFNPLGYRSIQIGLKGDAVDRYVDEWIVSITDITE QIRKVSGLVSSGQLGEAKKQMPEECLYDLPDDVGEMIGASTAVKEPASHQM TRV_05458 MASRDSEGVYTSHALTDTPYQTLVSRQSLSWIDSPPKPSSLRLD PADSHESRGKLDASGEESISPLDPRRFTPTLHASLVSEILSLRRDLEGKSSAIESLEL SLEASRSDEESLKQSLAIHAKENRTLKKQIQLLEGGSLSAVTELTKERDDAWESIAES RRRLEDAQRNARLYQDQAERTQELWDADRQRWDDDKRKLETKVHIVEGRLKTVINEIT LSSQAAQRKHSEAHNHPDGQLNEPGVDTVDSTTPRAMDAMSLRSNSPVAGRRGSNSSV STYSSSNHLRSSVMGLTNGTSLVSASLADELACEETEDEDMERPGSNCRAFSRAETEP FSSAHEPRPMSSQSILTGQKARKILGLAFDTSSAQSSPVRDHWSNPASPRYNNYREMP ETPVSRSYRDVGIQFTPPPSPLGDAAVVNGIHRPFTPPLLPEAPITPPAADDLVGVTE KTTRVYETFDIGVQTRPAVVTSTECQTLEDFPETQPQPEPADEQQDQDTMVSSSTQTE EDQAPKKESPPGTPQFNIPMIAIHPPLSNPSTPRTSVVLPPQTKSACSQTLPEPQLDM KSSGVQTDEMREVKRPTRLPFDLLPSALPDQSVEPTPDTMRPPVVYQPYRPPPANTST TTLRLREAPPVDMPISLYPGNNDNGPLTGDMQGDIRRPFRSSSLFAGFDHESDDDATR LPVEEVFNDDESILNRPMASYTIKMGKLVSKPSSRSILDESPMEAHEDEEPVVAQITG DEKRASGSLRRSSATRGKTSTRGSSRITKKSAPSRQTSNSRRAASGSNAADSRPRSPQ TSNVTNTANVGSRPPFPVPTRLSSRKVPSMNEDAQSPTPNGGGGSISDHSMMGRDSTL RKIRSAAAVSRPYYRDDNTNSLRSPTSTTTSLAGRESPPYPHRLPQSLDRISISNKSP SFSDSRRPSRGGESYHERKASSAVSVQQTSVVDAIAQTMIGEWMYKYVRRRKSFGMTE APKDKDGGEGGKNSEETSTNISGNGVRHKRWVWLAPYERAVMWSSKQPTTGSALLGKS GRKLIIQSVLDVKDDNPLPRGASQQISFNRSILILTPQRALKFTALTLERHYVWLTAL SFLSHSSLGLDDLATLPPVPQVESGPRPLTAALRRNPIRDSIRVAKGKTRQVPNKSRA GAPAQATVPEESFPEMPGRPSNGGDCAAPPNVPRFAAHSRKRSNTAPKPLSNPFRSFS GNTVAPSTYSSTTSGSSDLQSPTSVAHSGVHSGQSSFIHRTSEASGHPAPGIANFFDA VGTVRMEAFVDKSDVPRQRGYRGRKKDYWPQSPDLEFRESDGGGNDFFRNYNPFRGF TRV_05424 MAMELDHESDHGHGVAMFTVTLVVVVLSACSVVLRLASRLYLRQ AVKIRDYMIVLAWITAFGLSFSILYGTYKGFGIKVDFIPPDLRSLLKKGLYAFSVLYN PTLMLIKTSVLLYYVESIPKTRAFRLATYATLFVVNAAGLALLLLNVFRCKPIRSAYT YPAANESVCTNFVDLNLASVPLNVVTDFAILVLPLPVLSQMTVPFSHKTAVALMFTAG AIFTTITLIRTAMLQHAHIAQVQAKEAVEWDGVDENEFPWHASESFMWSAVEVNVGII CTCVPMLKQLASRLFAKFSGKSHRFASRRDIKEKAPMRRPFDRRDSVTSPSLVFDENR RSCSPDSGYSDVDVQASCRESRSKRCHSHHLSQDSFHDRGAVKPKRTKSMLELTNKEA LPFLTAIIFLRFLWGFGYGLLNTVNFRFLIEAKATYAKAIGLHAAYFAGYLIGPAVAG YLLKKTTFKLTFIVGLCLYACGILISWTSAVLTSFETYVFSNVVTGAGMAIVQTTSHP FLALCGPQEYAEMRLNIALGFQSIGSVISSILAKKAFSLRTIDAHDLVQMKWAYFAMA LLHIILAIAMHYLSLPEANDNQLADLARQRQMANSAKIYDIRVVWVTLGLAVFAQFLF VGGSEGINVHFRKLARRTRIKNVTPFESQALGFALFAVGRFATVALQLVAKPRVILSI LYLGLIGCAIAAVTIHGRLAMIGALGTYFFGSGIYGLTFAIALRGMGHHTKTASAFLT AAVSGGAVFTCVQRAVANSRGVQFSFIVPAVLFTACLIFPIYLNTFAPAKRHTDPTLK NHRARGTSVAFHPTQNDRGEGFAVPESIFPSQETIARVVQKSQDRSSAHIPTSPTQAP KRAKGHYRSGGLMHSLSSWHASLRSPTSDRASCEPSRSSGRWDRSSKSERRWPPGPSS PSVELDRVNARQSSDYYYYRSGEVYKDIR TRV_05425 MVLDGRKERVQFDKITARVSRLCYGLDPEHVDAAAITQRVISGV YQGVTTVELDNLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQFSSVIEDLYHYV NPKNGRPAPMISEKTREIVMKHAEELNSAIVYDRDFNYQYFGFKTLERSYLLRTNGKV AERPQHMIMRVAVGIHGEDVEKVIETYNLMSQKYFTHASPTLFNAGTPQPQMASCFLI DMKEDSIEGIYDTLKTCALISKTAGGIGLNVHRIRATGSYIAGTNGSSNGIVPMLRVF NNTARYVDQGGNKRPGAFAIYLEPWHADIFEFLDLRKNHGKEEVRARDLFLALWTPDL FMKRVEKNGDWTLFCPNEAPGLADVYGEEFEALYEKYEKEGRGRTTIKAQKLWYAILE AQTETGNPFMLYKDSCNRKSNQKNLGTIRSSNLCTEIVEYTAPDEVAVCNLASIALPT FVDAVRGEYDFGKLHEVTQVVVRNLNRIIDGNHYPVEEARRSNFRHRPIAVGVQGLAD AFLALRLPFDSPEAKLLNTQIFETLYHAALTASCELAKVDGPYSSYEGSPVSQGILQY DMWNVTPTDLWDWDGLKAEISKHGVRNSLLLAPMPTASTSQILGFNECFEPYTSNIYS RRVLAGEFQVVNPWLLKDLVDLGLWSDNMKNRIIADGGSIQNIPNIPADIKALYKTVW EISQRTIVQMAADRGAFIDQSQSLNIHLKEPTMGKITSMHFAGWKLGLKTGMYYLRTM AASAPIQFTVDQEQLKVVDTNVARTNAAMRKRVGAASPGASYSAVPRPMYDGTQQAVS TPVSKKTTPDEESRPTPMPSSKAASEDKSAEKAGEAESKDEKGDAQTEADIYSQKVLA CKLSPTLLDWPFQHGN TRV_05426 MLARSVLAFLFLALCSVVAALPPACLLEVVSANGHPGDFDTLCG SGSDGVQKEIAQKCGSNTKEALQSYKQSCGEAGFKITLIHANTTSSSSPSQTSSISGS GSMTTGSGTAPTSGSGSRPSSGSGSKPSSGMGSSPTSTSPPYTESNVGSLQKADSVFI ALVFAIVGALAM TRV_05427 MVGISGIPGSGKTSLATAVVHRLNAMSPSPVAACIAMDGYHLTR AQLSAMPDPVLAFARRGAAFTFDPTNLIALINSLRSPLTTSSPTLYAPSFDHAVKDPV ENDIPIPPTARILLFEGNYLALDKKPWSDAAALLDELWFVEVDFEVARQRLVKRHVRA GIAKDEEEADRRARENDLVNGQEIVDNRLPVAEIITSREDAQWKTP TRV_05428 MRIPRDLHGQDILPLAAPNSLRGRPSYSPRFAPAHPDEDASLAN IAEAEDSSTTEGTMDASMPLLRRVGHRSVATARNSPSAIPAPSFSQAESVMSADDEVP DVSDTWARLLNTIPLDNNLPSADSSFTSATASASASGSGGSRSSTAAPTSLTPSSSFG SSVSARMHIMFDPFPSFPANCDYISSSDNSDTETEDHDLERHRGYSRQSQPRSQSQSQ SQTPTQTQNPAQPQSESNTPTDSSAPTASSFTLENEAAGLQLLHDTLNLLSRRDDIPD EWWATAGLTRIINRELNRELSRDQNAE TRV_05429 MIDLGLARISRLVPASSLAWKAIHVAGTNGKGSIAGYLSSLLTA GGLRCGSFTSPHLVDRWDCITINERAVQESLFRHVEEQVKRRDASLGIGATEFELLTA TAFEIFRQEDVDVGVVEVGMGGRLDSTNILTSESVLVSVIANIGLDHQAILGSTLAEI AAEKAGIMKPGVPCVVDGTNEEQVKSVIRHHASRANTTATFVEPDSIVSAYPQLRQRF HDLAAQPHQRSNISCAVAALEHALPRLRANLKPTDLLPFLPLNPRAGRLQLVDLWPLI PRRDSPVLLDGAHNPQSAKVLASYVDQTLRRPEANITWVIAASQGKDLPELFGSLLRP GDNVAAVQFGPVDGMPWVRSVPTAELLATARSITTGSSRGTTTQFGHDIPKALQWAHL TAADNPVVIAGSLYLVSDILRLLRQTTAAEAEEAEAATAADTAVARTAASV TRV_05430 MVVSRPREREQQDNGDASATRTSNTPTRRMSRADSSPPKKDRER ERDRDRDRDRQIKSSAKDVAELTDFVCLLYLAVSEGERERKREEGRELTDSEWMEWLI ALNWGTGETVAVKQIRLADLPKSELRVIMHPNIVKYHGFVKSAETLNIILEYCENGSL HSISKNFGRFPENLVGLYMSQVLHGLLYLHEQGVIHRDIKGANILTTKQGLVKLADFG VASRTTGLHESSVVGTPYWMAPEVIELSGATTASDIWSLGSTVIELLEGKPPYYKFQP MQALFRIVNDDHPPLPQGASPAVRDFLMQCFQKDPNLRVSARKLLKHPWIVNVRRCDS VVPKKSTEYEEAVRSVQEWNEALRSPNSNAIRKPSQHPQPGIGSSPISTQKELNPLTI PNRDTSSLLNMNAAEKYRSPENTNDDNWDDDFASAISPGALHLPHLKPQDNFDGMLSP EKLKAFASLEGITELCQTDNPGIDNDGQINDAMNNDEPDPLQTIRPRSRRSFDDEVMP PRSPRRRHRQYPVSAFRRGPIISSTSGAAKPIRQRPANFYEESSVEDYSDLIEANDEV LESKLSAMPGIGQDESAAHTQPVMGQEIFSGDENTPVKPSVRRRKRSEFARSRSAIEI EMYAEDEADEDFSDILGDNENTLVKLESEEGSDRSALMLNSKLSTNSWLGDIDDEDDP FAQLEEGLDELDLEANIARDKYARLRNQVEGLVSSLKTSQDDEVLVDISEQLMTIFSD MPETKSVIMSAHGMLPILEILDTCRRRDVISNLLKIVNAIIYNDYEIQENLCFVGGIP IINKFASKKYPREIRLEAAAFVQQMYQTSTLTLQMFVSAGGLNVLVEFLEDDYEDERE LVLIGVNGIWSVFELQGSTPKNDFCRILSRNSVLDPLSLVLSRVLDEEEGEMAEVCEA RISNIFFIFSQAESHVKEMVAERTVLHRVLKELKRMSPSPQITMLKFIKNLSMLSTTL DSLQNSNAIDVLTELLRSTMKKPHFREVSNQILNTIYNMCRLSKSRQEDAALNGIIPL LQKIVKTERPLKEFALPILCDMAHSGKVGRRELWRNKGLTFYISLLSDPYWQVTTLDA IFTWLQEETAKVEEYLLDTQHGDSSFTIAIIRCMTISKANAFENLLEPLQKLLRLSPA IAATFARPDMFERIGQKLQHTKPSVRVNLLRILSTICDSSQEQCGLLRRYGLLDAIRE LQKDSRVLVRELAGQLVKNSEDSDNASLNGSKRRQRRRSTSTAAPPSLISSHSMPASP QVTRPISQKPYYEGRESSRRQNGLDSSLNLRPGSRDGGRPPNIHPGLSGNGSTGLPGK TPRLNHRLSQQLLPGSPQKEEIRTPTTTRTPSLLQPSSRRRRQTNTSSNWS TRV_05431 MAAQAAPELAKLDLNKNTGSVEANAVSAGGSEKEEAENEGDSED DRDDEQAGGSAEVNAEKKKKKKRPKKKKKTAKVQSSPPRIPLTTLFPNNNFPEGEIVE YLNENSYRTTNEEKRHLDRMNNDFLTEYRQAAEIHRQVRQYAQKELIKPGATLTDIAE GIEDGVRHLTGHMGLEEGDSLVAGMGFPTGLNINHCAAHYSPNAGNKVVLQHGDVMKV DFGVHINGRIVDSAFTVAFDPVFDPLLTAVKEATNTGIKEAGIDVRMSDIGAAIQETM ESYELELNGTSYPIKAIRNLNGHTIGQYEIHGGVNGKSVPIVKGGDQTKMEEGETYAI ETFGSTGKGYVRDDMETSHYAKVPNAPSVPLRLSSAKNLYSLINKNFGTLPFCRRYLD RLGQEKYLLGLNNLVSSGLVDAYPPLCDVKGSYTAQFEHVSLIPATVAAKFPYSPLTN QTNRLSCSVQMSKKSSVVVTITKPILTYGLSFMLNAPRTSKGMRYATLMPYFGKGLYA LLLFGLLTQLQW TRV_05432 MSRKFYALSLAHHPDRNKEPGAADKFSSISSAYHVLANPKKRAR YDRENSIRIPSASSTTTRPGTGSFSSASTSAGGSQFGSRPASGLSKRRGTFKGPPPSF YANGGYGTTQRQSHEHQAGPHQQEHPEYHHSDVPHFDARSHRRTQSHEDMRRRARRAR SVEEQKKRAAEGHSFGGGYDEGSMTMRFLVISGILAVAMLSAGLGRMKMKEPGLQELS ARQKESRTK TRV_05433 MRGSTLIAYSTAPEQGLLPHQPRYAEIFINCFRCCDVVVVAGDV VLLSLSADQLNCTTASLEVKSKAPNGVTFNVKGKSAHEGSIAGSLEAKYVDAPSGLTL TQMWTTGNALDTKLELDNNITKGLKAEVLTQYIPYSQSKGAKLNLHFKQPNLHTRAFF DLLKGPTANFDAVLGHEGFLVGAEGGYDVQKAAITKYSAAVAYSLPEYSAAITASNNL SVFAASYYHRVNAQVEAGAKATWDSKSGNTVGLEVASKYRLDPSSFAKAKINDRGIAA LAYNVLLRPGVTLGLGASFDTQNLNQAAHKVGASFTFEG TRV_05434 MVKTEVLGRDLKMALMKVTEVGEAGVAGVVVVGAKKEKDGKRER KKDGKKTIGHVESRAAGATSNGRGKGCLPIG TRV_05435 MIYCPSGEEAQVKENKAEGTGERREDERKTKSKRLQRSGQLDSR FLGLQHHPDVKQFKPTALRMAKALRHRGPDWSGNFVANNTILAHERLSIVGVDSGAQP LVNDDETLALAVNGEIYNHRIIRKGLKVPYNFKTHSDCEVVIPLYMEHGVDAPKYLDG MFSWVLFDKKENRVVAARDPIGITSFYQGWSSKTPGAVYFASELKSLHPVCDKIISFP PGHVYDSKTDTMTRYFQPKWWDPTNVPSAPVDYKLIREGLEKAVRKRLMAEVPYGVLL SGGLDSSLVASIAQRETLRMQAARKELLQNGAANGTSPNGTDSGLVGIDDTNEISTVS TLPQLNSFSIGLPNAPDTKAAIEVAEFLGTKHHALTFTIEDGLNALSDVIYHLESYDV TTIRASTPMYLLSRKIKGMGVKMVLSGEGSDEIFGGYLYFHAAPDKAAFHTETVRRVK NLHLADCLRANKSTSAWGVEARVPFLDKQFLEDAMGIDPAEKMINKERIEKYILRKAF DTTDEPDTKPYLPEKYLWRQKEQFSDGVGYGWIDALKDNAELHVTDEMMKNPRPEWGD DIPDSKEALIPADGSSNLLYPFQFSALSNANIIVQGHLYPRRQVRAVRRKEPFDQLFF SSLLLLRERGGGGISILSFFSPLSLTTSFSL TRV_05437 MSSEKTTTPKPGMSLYANLLDTPEGASISRAPVVFKQATDAPQD DPAMKKQQISAGRAYTLSLQTVCTDMIGSLVSRKPSFASLRFQPTKRPQLPSQRLKAK SGISKPPVITSSASPATPDQGTTSGAVTAGRPTGKSTIADWTATADDDDVNGYYGGEK RQRGGRKKRKKNYESEVIIQNWDDIYDPTRPNSYEAYKHSDEKIREVREWKDRLYAHR LAQQYNSDEDSDEERSRPQMNRQFAPPPSFAPPPNLNAPPEESPEPERTFSEAVPQPA VEIPDDSTGEEAYARRLRLSANINQPEPSPQPSQPSQLSQPSPTDEAPASTAPASHKY SAATISRPPVRYALPTAPRDLPATEAELEATLANEQEDANKEGDEDAPRSLRPGQKGF AERLLTKYGWTKGSGLGANESGIVNPLQVKVQKQKKKPDSEGRGVAPPGGPRGKIVGG GRKQEEQGKFGPMSDVVILHGMVDGLDLDAELESGELMQEIGDECGEKVWHPYIVLNF WLD TRV_05438 MTTLSTAFARSGDQPAVIVPGKPAPFIASYGTLSADIAAFQGQL AKLGVAHGAAVSIALTNSYEFIVSFLASSWQRAIAAPLNPAYKQEEFEFYIDDLSSSL ALVPRGAYAQNSAAVRAAKKYNAAIAECYFDSGKVVLDVKEHGKLAGKGGLQVQTAQP DDIALVLHTSGTTGRPKAVPLTHQNLTRTMRNIQATYSLTGDDRTYLVMPLFHVHGLL AAFLAPLQSGGSVVVPPKFSASEFWSDFITYKANWYTAVPTIHQILLKHPFPSPMPKI RFIRSCSSPLSPKTFHEIERAFNAPVLEAYAMTEASHQMTSNPLPPGKRMPGSVGIGQ GVEVRILDDNGKEVPQGSEGEICVRGENVTKGYLNNPAANKSSFTKDGFFRTGDQGKK DKDGYVFITGRIKELINKGGEKISPIELDNVIAQNPHVAEAVSFAIPDNMYGENIGVA VVLKKKGSISDDQLKSEIAPKVAKFKVPQRVSIQQPQTCELLLASTLTNCYSLSQRSG SSTKSQRLLPVCISSLEKCNHGMTG TRV_05439 MLFTHFCYASPEIYGGERSILSSPCSERKIETIDERLSTIERLL QDLTLSFPAATASQSVPKIPPPPSSRHHDGSNVPVVIRNSEEPETKRTPEFEGESSFS AHSMHAGALFENAMKRIPFAHVPMMSHALSALQDIIKRQSLPSSVNVLRFPGQPPKKR INFSDLELPPSSAVLTLLRLANDNPPLFFLTLPVMNISHFTQMCKDLYFCTEEYSSGR FASVNAILGHLFKEISFRFKDDPSTAKFPEYSELCMSNFTVVISSFDMFTEPSLDNLM ALTYAILHATESAKISLCWNFVASAARMAQSLGYHRSVASKDDKPDDVRLKAFLFWFI YSMDRSLSLCLGRAALLPDYDIALPYPSLSSDTSLQPWHILFHYWLDCSRITGEVYEH LYSVRGVSSSAEIRAKKVYELSYRLQDWRDQVIAIDTQEAYHKAHIQWIVPASELTYN LIATIIQRAAPPTQPPETPSGLNLQCVQAARKALQIHQQIFLSLEKADSFFFSGYITW VLLQCPFAPFMVTFCHTIAASDHDDLKLLGEVATSLQAAADVSEAAERLYRLCLVFYQ VAKLYVDVQPKEPHNHPVIPKPGEAFDDYLTSLGFGPNTLTPETAESSTNTNFNDPNE ASIPAFQMPPEMMETDLSHTLGDWFLDNQYMMGLLDSDL TRV_05440 MGKSAATLDAGANPSSSASSPSAQAAPDGPRNFEFVLVTDSESR RQVRRHAMRQYVHQRRLDGIARLESTRVQVSGWSTGSNKVQGEARSVSPKVEELDDDS NEQKGPCRSRSQNIQRRASQRAAAVAATTGQKSSRNSVGGSPAAGFPIVDPKAESPLA AFEPFNCLPLVLKEADNKLIDHYVTKYPMMMYKMENAQQDNPIRAIFHNIALHDPVPF QAMLAVAAKHRAGMEGQVETVQSLTHKMRALKLIKERLKNDDIDKEDGTLYAVASLTV IEGIKQLIRRRGGMQAMRTRSATGPFLEKVVYWVDFCCASNAIVGAGLPWTGEIPDVP PKNLSFVPPEVIPVIPAEVPASEDPDDIPDILQACEDFLAFFRSLDDLECAFLYNQPL PPLRLDGLESPQRPFEETSPLYTLLANLPDYDHGIRDVRFIDEYTCMACLFYLNFALH DYYLSHKNFDGYLNWVNSAIRAVNPRSSPSIATLLWIFLNNGGFQYNEKSDSGERNWL VSRMLRIAKRLEWKQHGSLWDKLRSTMFQFLLTQSQCGLGRPFINEVELNARKERLSR GRQFIWDEDEMRQNILGSLYTGPPIFGQPPVPVTISHNYPQGSVIADTAIGL TRV_05441 MDDEYGDIQDFQDIIATSEAIENAASGPSRQQQQQQQQRPEPAS KAKVQQPKPQALPARRGPSSILVSSRQKGNPILQHIRLTPWEYADIPADYLVGTTSCA LFLSLKYHRLHPEYIYTRIKALGGKFRLRILLTIVDIPNHEDSLKELSKTCLINNLTI ILCWSAPEAAHYLELFKSSEHAQPTAIRSRQAQSYKDSLVEFVTAPRSINKSDAASLM STFGSLRDAINAQPEQISAVPGWGERKVKQWTNAVQEEFRTDRAPRSAPREPQPETQP QPQLQSQLQSQPQPGQSDTRRSATGDTGEADEAAVEALREAESQPAAAPARTGESSEG LSEGILAALSKYRNE TRV_05442 MAPPTAKLKSKVSFFFFPILLFSLLDIKQRDDEANDWTMAENNK RRRLSASGSFKMDENVRTGSFAAENTAPDAEQAPAKERKTRTLFVRSLPTTATTESLT EYFSQSYPLKHATVVLDPQTKQSKGYGFVTFTDHEDAQSAARELNNSVFEGKKIKIEL AEPRHREIDEKAGKSVPSSAPSKAKELKEKRRLESLPPKLIIRNLPWSITEPQHLELL FRSYGKIKHAVVPKKGSRLAGFGFVVMRGRKNAERAIEGVNGKEVDGRTLAVDWAVEK DEWENRNKAAEESDGKEEAGDSEEAVAENEQLDVVDDGESDAISEDEEDGGVELDNED EDEDISMDDAEYDEQEEEEEDDRNASTIFIRNLPFSATDETLHEHFSKFGPVRYARVV LDPATERPKGTAFVCFYKAEDASSCIREAPRDVDPSRSKDPRHKAATRQLHSVLEDES NDPTGKYTMDGRVLQVSQAVSRTEAGRLEEEGHTRREARDHDKRRLFLLSEGTIPSNS PLYAKLSETERRMREASAKQRQKLVKYNPMLHVSLTRLSVRNLPRHIDSKALKALARE AVVGFAKDVKNGLRKPISWEESRRSAALMKEADHLRKAQKKGIVKQAKVVFEGKDGSK MSEKSGAGRSRGYGFIEYATHRNSLMGLRWLNGHAIEASASTPNADPADRKKRLIVEF ALENAQVVNRRREREMNIRKAATEADGEEGPQRGIKRSRDERDEKQTKRPRAGKGSKS NLKGAKNGKGPAGKPEQKAEKAPEKTSSTEKNNDIAKRNRIIARKRMLRRNKR TRV_05443 MADPKSIMSPVATNLNPPKDDPISLADLAKSDGSDPSRPIFVAI KGDVFDVSGNSAYAKGGNYNVFAGKDSSRALAKSSLKPEDCVPQWSDLGDKEKGVLED WHKFFSKRYNIVGKVAGATNL TRV_05444 MAEMVTYLPISSPFVRFAGRFVDEAFGVAVGWNFFIFEAALVPF EIVACNFIIHYWSDAVPAAAIITIVIVLYAAFNVFAVQWYGESEFWLSLGKVILIVGV LCYTFVTMIGGNPLGDRYGFRYWRDPGAFTTTYKTGSLGLWLGFLQCLILASFTIAGP DYVSMAAGEAENPRVSMPKAYKAVFYRLTAFFMLGSLAIGVNVPYNDKTMQDAFLKDL PGATASPYVISMRRLQIRVLPDIVNAMVLSAAFSAGNSYVYCASRCLYGLALEGKAPR IFTRCAKNGVPIYCVGVVLLIALLSFLQVSNSASIVLQWFVNLVTASQLLNFSAITFT YMRFYKALKAQGISRDSLPFKSRFQPYLSYYAFIGPFVMVFVGGYPVFLPGNWSLPTF LFSYTMIAVCPLIFLGWKWFKRTKFLKPEEVDLIDGVEEIEVYTRNYVATPPGNLFEK ILNFIF TRV_05445 MTGSAALRYLALDTLATDCVALFRESCFKAQRPVVLPRGLFRNY RAVSRWFDPPPSPHPPPPPGAASSAPASNPGLNYAYLEQHGDCYVPLELTIPGGRDGI VFERAHRPLSLFLQWTRSVQSTPAAEAQDGPRLYLAQCQLLDLPGSLREDFPTPSYVM QAGKGDIYDTNIWVGLAPTYTPLHRDPNPNLFVQLAGSKHVRLLAPDVGRGVFAQVRE TLDSARTGHGCSSAIRGDEMMKGAERQLLDHAVWDNNNNEHTSGTTQENVGYDAILQP GDALFIPTGWWHSIKGVGQGITASVSIPKTHAISTETNNNYLTSNRSTGGSGEPKRNK IKIKSNFHYAIGDKNMHCINWVYSLYKYTGP TRV_05446 MKDRIERLQEQVNTLFESINGLHRQTESPAVDNTSQLSREASRS ISWHQPTFETQNIPIPKPRTKHLRFHGPTSTAFNFAVAKSSLQTMGITHIDDGSQEIY NAQDATPTQTPPYHSSTLYANSLTSNKDPLFAIKQEEAIRLCRVYEDEIGQMYPMFDV EKMITQTNLLFAFLEAADRNVCTGRSRTSADCLNDDDTAILKIVLAITLLLEGNGQSE LGTQLYISIKDKIETNLWEQGDLKMIKLLALVATYHFHTDDELLAYRMVGLAARMCIE MGLHRRDAVLKMFHSPEDITTVYRIFWSVYTLDKRWAFGTGLPFVIQDEDIDPCLPEP DEGVPYLRAMVHYCRIASKVWYSGFGSKADLTVKKDRMGYLDYQIVEWMKHIPDPLNF YSVDNSRNSDGVNRSIQRLRYVLYIRGNHMRILIYRPVLHSATSIIENMAYAQTVVEI AKDTIRVLSELNRTTDIYRSQQILFNYFLVGALVVLFLAISHAPVKFNRQLTEEFHMA LNLVKGFSTKSYISKRLWKMIKGLREVGERLGLLPHALPTESADPHSTAAVAMAGLAG HSVDGISPYGTHQHTNGELGSSPMNGVQMSNELTYLFEAIENYNGYITTASASDGMNG GGFTGTEHTLPSAGETIPVLGNEGEFSRIIQDLF TRV_05447 MSLISDGAGETWISSYCSLMGHEYFAEVSEEFIEDDFNLTGLGA LVPRYKEALELILDVEPDSDEDDEEDEDEYEEDEDIVLGDERSPDYKKSERRHNRVAS DLSVIESSGELLYGLIHQRYITSRQGMQQMFEKYQLQHFGSCPRVLCGGCKVLPVGRS DIPGRDTVKLYCPSCQDIYTPPNSRFQSVDGAFFGTTFGCLFFMTFPELDVAAKAESG PSALAGGANARRTSLNSPSGSTISQPPNQPIQLNGFNVSNIAPGLGAGKIYEPRIYGF KVSERAKSGPRMKWLRMKPEDINELDETTIYHSGRAGNGPGCDEDEEDQANRDATLSV INQRKKAPIRRRRVVAPNGTDPMDTNGDKASK TRV_05448 MLTRMRIGQRYPILRRDVGSRTESLNGITVRPLSSVNVNTFDSN NWVDLLTGLTTGKKLKKRQEDQLRQTTSSPEHSPDESSSVRDEPYAAESESIEQHTAR SLKPVLRPRLSSSSPPTNFQLTQESPPPLYSQSYESVSSISSAPMVTYSPYSTYSDGS ISASYSCAPLPGVIPDIYGGQGQRLGFDGPESSISSPYFVSPRPERYCGIDVDYLTSS DLAVTSTATIAATMSPYMKMSDPMYHYDYSDLYPISTAPGSSSTSQRY TRV_05449 ESLGVAAPGSALLFVIASPVGPYYPTGFKAVSLEATDYAVRAWP GGVGDKKLGANYAPCIVPQMEAGTRGFQQNLWLFGEEEYVTEVGTMNLFIAMKNKETG KSELLTPPLDGTILEGVTRDSVLALARERLVPRGWGVSERKVTMKEISQAAKDGRLIE VFGAGTAAIVSPVRDISWKGQKIECGLKPNEEAGQVALEMKNWIEEIQYGEVEHEWR TRV_05423 QKGQAATRLEQRSDSQRQTRARKQRRAMKFGKQIQRRQLDLPEY AASFFNYKALKKWQMEAVMLTGAPQLIKQLSATPTIPAQGATQEPSSDVLDAQAALRA HKEVFFFRLEREIEKVNVFYLQKEAEFSLRLKTLLDKQRVIQSRRTVSNSKAPANFVA LIEGFQQFDGDLNKLQQFVEVNETAVSKILKKSRTKELYLQRAVEVQPCFNREVLRDL SDRATTARLELEAWAEGENIQFNAPRQADRPASIAEEDDSDLHILNSAAATGNLQPLR DWLSKIQLSPDAADHLTRIFLTAVNEYPDEVLTLLLETNLVDVHAEDDINERNCLHEA VISGRQFVFDAALERGVDISQADVYGRIPLHYACMHGRVGMVHSLLEKGLSTIDYTDH DNFTPLIHSIIKDQVSCVEQLLSHNARINPASESDHIPLNLACQHASLALIKILLERD AKFLPDAEGLYPQHLVARSCRSSAVLLLLKDHGADLDQRDKLYQWTPLFHAAAEGCVG CLRTLLDCGVDADALDEKGLPAMYYAVWEGHLECMKLLWSQRISRPIAGQTPLDVLNN GPKYRDAMTATLTPLSDEKKQIPALEVDGIPDLSLPPPIIPLRHYGHNFLDNKTFVQI HFNPPSADPIVFYQTGRYAAARLTISSKMTDLIPRNVMLPLQEDSRFISFHIDKLENF AIDFEIFPTFGSKVIAKTVALPDVFENNGRSSGHCCLPVFDPRLRAIGEIRFNFQVIK PYYGDPLEITHFATYWKATSAFDAEHNGQVTGSSLSGDYVQIFVQMTRDLVPVLYPEF TIMHHGVRIPISHLSIEEFKAIGREHPPPSNIITASSESNSTSSSSTSSLLPSLAAMS ITDIPTAHRLLATSFLTLEEVLLHLPTSIHVNLCILYPSPAEQKELDLRLTFNINSFA DAILTHVFNHARASRLANPDFMRSVVFTSYNVGICVALNWKQPNYPVLLCNDLGQPRD SNISSIQSPASPPSFSSPAIQYSGRASLSIKESARIAQSNNLMGLMCRSSLL TRV_05415 MFSSALKSFSSNISNNYQYSPNPSFISGPWKVHDGKNKNSGKAV SIFIFDRKSLDPRAGGLVSRSNATSLKKVHEEVVERLKREAANLARLRHPSILQIIEP VEDTRNGGLIFATEAVTASLSGLLQEKTDQELSSRVGGRVSRHMIEEADGSRRRRDFE IDELEIQKGLLQIGKGLEFLHESAKIVHGNLTPDAIYINAKSDWKISGLSFAGPTNPD SQSPLPSLALSEALYYDPRLPRSVQLDLDYTSPDFVMDSNVSSSADLFSLGLIIVALF NSPHTSPLKTNNSTNTYKKLLSSSSTIPSQSNSFLSSGPIPKDLSNHVLPKLITRRPA QRMNASEFQQSQYFDNVLVSTIRFLDTFPAKTQNEKSQFMRGLSRILPEFPTSVLERK VLGALLEESKDRELLPLILQNVFKILLRVPSSQRLVPDKVLPRLKEIFLPPSGKGAVQ ERDTSKDAGLMVLLENTQVLVDNCSGKVFKDASVFARTSSLAIKVRGLEAFCVLCGGS SKPSNQSTDDDLSGIITDTQTAAKSSSNSILDKYTIQEKLIPLLKAIKTKEPAVMVAA LNVFKQIGHIVDIEFAALEVLPILWSFALGPLLNVQQFSSFMEFIKSLSSKIEREQTK KLQQLSSGGDAGGFSKNSSLQTGRMSPLNNGGETGGDKSDFERLVLGKNKASSPSIDA WGDWGDSSTSMAQTSTKTETVPQFSWSSGSTAAAAATARQGNGGLVKPNANMRSITPD TTINSFPTLQPGHKSNTSSLSSMATLQPLSPKAPQGSMMMMGNNNFGTQQGASQLNPS STNSFNIPTIGGRPVNNGSLSWQSQGQMNTTAPLSPYTIAPPPQSNNQFQRSHSATSA NTTSAFPSLNSPPAVTSNQQQKQGLDKYDSLL TRV_05416 MTPLRRSCSRASSGFFSLALLRNQRLPPAFPPVFPPASASALRS PSKSLHRHFSSSGSKLNSGAMDTQTKHQYLADSPPTIVRLEIRPHFEALKDEKLKRYS HFISRAAFQGTRITLRQVSPESEPIYDLIISLYNSCKGDWKGLAAKTNTSSENLQFFL EYAAQFLGNCGNYKGFGDSKFIPRIPSDAFEALASGSPETKKLFELACKTGGGIYETA SPDLMHLGYPEKGQMTTYYPDSPTIIKEEITLIGDFLEKKQLLLENTRLRKTKEGNFE LLIASAETSPAGKDRDVGDVQGWDLEGKLAGKKLTLVYGDYSEIMKKIAESIKQAGAN SANEIQKKMHEEYFKSFTTGSIEAYKESQRYWIRDIGPMVESDIGFVETYRDPHGVRG EWEGSAAMVNLERTKAFSKLVENAEKMIPKLPWGKEFEKQTFLSPDFTSLEVMSFAGS GIPAGINIPNYDDIRQNLGFKNVSLGNVLSAKAPNEPVPFIREQDLSLFREHRDAAFE VQVGIHELLGHGTGKLLQETSPGKYNFDVSNPPVSPIDGKPISTWYKPGQTWSSVFGS IASSYEECRAECVAMALGCDFGILQLFGFGDGKEDINGVAGDVLYASYLQMARAGVVA LEFWDPSSKKWGQAHMQARFSILRVFLEAGDDFVRLVHAKDDLSDLEIHLDRSKILSH GRPAVERYLQKLHVYKSTADFAAGKALYDESTHVDDWWGTKVRPVVLQKKVPRKVFVQ ANTVLEGDRVVLKEYEPTLEGMIQSYAERDV TRV_05417 MVYAALCHSNRLRFSSAWTCIYIYIYIYIFFCCVFSSSVCYSNQ RSTLGLLLFLHLHQISDYRSTAKEEGDKKRERDREKGERRKKMLPPSDSLAHQNLKLA EVLASIQKQTAAAAAPPPPYAATATTATPVPSNNITAPELLGEFEDDEEDDNLSLAPI VIRIDTSISIDGQNNTVTIPSSSSEDSHSDAQSPVSSIQQLQQLQQRRQAKSAQLASA IISALKSAGALDDRETGRQRPMEVDVNAGIRITGNSNMICPGARKRSSSDLVSGQPDR KRRAQSIPLRGNEYFLARSQLVPEYPRFLFFFAPIFFFSLSTEQSDPTMASVYIQVAQ KKPLSIRIRFGVMTIDDGFGIG TRV_05418 MSEDIRHPAGEFQPSRPREEHPGGPKINMLNFYRNQHKPGVKAS PADNVPESHAQAVPVGTAPRENLFQPRPNVEDMGGYDEGGASASSTLMGATSADVDKG MGKPLQGETKTEMRHGGEHHRKHPGSGLEGVGANTARTETEMNRLQRE TRV_05419 MPPLGYVTSYAPRLRQYANSLISPVLPTAPVAPPVRTTKRGTVV VNYAENDYDDDDFEDSEGPRRPTGLRSLRRDEITPYLGPAGEKLGKEISTPVNVQANY RDWVVRKSIKAGRERHLKAQAQLPVNLIPIRIDLEIPAFQPVEPFPLPRNYLEAGINP TLPAYRKPEPAPPYRIKDNFLWNLHEALTTPEEFASVFVRELDLPNPQSTALAICNQI RQQLEEYAGVAMHPLFQTEATMPKKVDTAPKVTVTAERPITPMQTTPATPAAPSDTIK SGQQNGSAVVNPSEADSPYDPDDAYRCIVVLNINLHNKLYSDKFEWSLLHSRGMAEQF SKVTCADLGLGPEWVSSIAHGIYEAVLKLKKEACESGALLSGHGGLGAEIDNQAANGQ EAGWRYDPDTLGDEWETRIETLSKEEIEKREGDRERQIRRLRRETARFSSTGAVAASA PELSRQGSGGYFDVPDGTETPMGRGERSKRKRRLRSPSPTGRSGTPAGRGTPDTGAAA GYGGGGGTLADWERQAWRCSNCLVWGSAVWAVRDGPAGPRVGFSSFFYIRQITIGTNS RLTTISTRPSVTTVDTSTNATSNFRNGREDCIMLTIQSYAKGLRMLSVSIDFYCHCLP DSSVII TRV_05421 MADALCGPSNALQNFQKHASVDRTLQQDRISTRQSPAQGFRSNT SSQGQLDAAFNAFEAGHSAPLLDQPNNINTFLPPPPQFAPQPAADVSSWATDFQNLHI AGPQLQQPLHQTKVDTPPFQASLAGRHHEPARGVQQTPQSSMFQGSMGYRPGMGMLSN PGFARPDNYTPATTENLQSQSKPAEVFDDSAFEAAFAEARAEIELQESARQQENLEVT PEVSEPAPLETIRIGSDNIPAQLKEGMNESDELSKTAGELLDRVSHDQSQKFKQSNFL ALMRQLRDREIVVDGDEFRKVQQPLHPGGPLYPEQSKVSGTLPVDLDSTEHSEASPGL RVLFWGYSVAKSPPDLSTVDNNRNSRINPPPRHSLPSGSSSSSSALSPLPPSFSSLYS SADHEEEEVGLDRFNNPSPSVAESGASSPPAFAPAPPFEEASPSSAPVTPRVVTETKA ELSRDKGESSGKGQDDGEPPPPYTEGSIPLKSFTYVMAAAGGASSIITQVQQTGGPPL NSLGDIGGDEHITLDLRGMRFTLSRDELLTLPEFVLLSLFPNGLLPDGHMGSFHEGDV YPVDYDPLSLQYMLDFFRAVAQSIPSSSPSPTTSPTVEAADPIPNPARDMLQDRAGII VLREDLDFYAIPPTAEIEHPEMMEIKRAAGRALLKQDGIFSGLRKSDEPGSTEQHLIE MLTAGGFNHDDRWGHRAGEPNKAVICSLALAKLRTDIRGDMANSNAVGMAQKLLLFWR KPARRCWWEGVELENVEGVEGKLKVWIRRVWTLEMSVIGLR TRV_05422 MHDAGLDYFGRKLATCSSDKTVKIFEIEGESHKLLETLKGVYQQ AHPKYGTILASSSYDGKVLIWREQSVGSGANSSTSWSRVFDFSLHTASVNMVCWAPHE LGCLLACASSDGQVSVLEFRDNSWTHQIFHAHGLGVNSISWAPAAAAGSIISTNAAAG QSRRFVTCGSDNLIFIWDYNPETKTYSASQTLQGHTDWVRDVAWSPSILSRSYIASAS QDKTVRIWTSDPSNPQEWTSEKLEFDTVVWRVSWSLSGNILAVSGGDNKVSLWKEDLK GKWEKVKDIEE TRV_05385 SFAHHPSKKPRHIGGAWSDHADSTGNSEERRGLMSGSGFEDDGD AVIEMDLLPPRWMDVQDEVTDYLRDIAQKSAKLDKLHQKHVLPGFGDEEVRREEEDMI ERLTQDITRGFHDCQRSIQRIEIMAREAREQGSVNKGEDTMARNLQISLAARVQEASA GFRKKQSTYLKSELETLKMQCLLTFVHSSRLMVLILVEIELRGIDGMVSPLERSSSPV QNQYTDPSLIESDADKSYSQSTLQQTAQQQLQVGSNDAAIAQREREINDIAKGIIELS DIFRELQTMIIDQGTMLDRIDFNVERMALDVKGADKELKVVCLYPLHFYENIRKLIDL PRPQITRDEPRNEK TRV_05386 MAYTDDAVKAKLSALNETQESIVTVAQWVMFHRRHADRTAQLWL EKLRDANPPKRLNLIYLANEVAQQSKGRRKEDFLIAFSPIIADATATAFKGASNEIQQ KLRRVVEVWRQRSIFEKPIQDAVEARVEGVYLLYNALHIFPSVQCELILEILEIDKSK PAGKKPLLGGSLFSNSPGSLPQELQPLAPLQIATSKASVSSNATVTTANTEYDNLNGP SAEIPTPPVYAARLSTLLKTLANAESSVSEVIKCRQSLIEGLEKVLDTNRAALVKEKS QVEQLSSRRTETENKKRDVEDAIIRGLTADDPSAQQSNGGAAWSDHKNSFGGEEPEAP AVEALTPPPVEALTPVQSPTLNPSSNNKNEQQSSTIPSHTKAPSPGNFGDQAQMASID LNATLSSLQAATNTPPNDTSASELATKKRKVSHAQDSYPEFEGGDAMADLDADVAELL RQESSKH TRV_05387 MADRFPALDDITGGNKASEDDSDFLARERAALGDDADQFISASD NRPSATVEDDDLLGGGGGGGDDMVAHDDNSGFESSYPAIESQNENVAPGGTITGVDSP FQPSYSGHNQEPEEESEAVREWREKRDAELSRRAEASAEKKAATVSKAQQDIDDYYES YNKRTDKARERTRAEAEEFLANREDTAAGGTSWERIAKLVDVSGKGIKGGASGSGKER FRELLLALKKDENAPGATGV TRV_05388 MAPKKRDDNWVDVSASCPQSVIPTFTDTYIQGLRGVASFIVVTG HLCTAFVPWLHDPALSDGGPSSIFQLPILRLCVGGRGSVAIFFIITGFVNSINPVKNA RADNTYVGLTNLARSTFTRSGRLMVPTTIATIIAWALCHMGAFSMAQRADASWIRATS PPPGTTFGEAVANVIWNLVYFWHTGASIYDGTHWTLKFFLSASFRTYLTLLALTLVKR RYWYAVTGLLWAYAWLVNDHLVGINIFPGMILAQLQVDYGSRATQMLPKVVPSILIFF GLIIWGFPQNNPTWAWWSAAIRTFIVAITPANADHSRYASSLGTCTLMLGIFFSRNAR RFLTLPLFNFLGRVSFPVYLLHNILIRTILSWMVYGESATRIPVRNEKGELLQLGRAS PMAFIFILPIFYAVLYLVAHMWATYVEPQCGKAVDWLKDIMFKERPDSQEKLLPLPNG GSAS TRV_05389 MAAGRSSLRRGVLLVVVLSMVLLMFRKSTVGYSVRPGPTPSIDD QRRFWYAFHAIIKAAVPGRKSPIKLDEHPAPLEFHPDNIGNITLPENLILNKGDKRKL KLAHARFLRLLAPPDGPVLPSQKRTRGIVSTASKAMLPTLVTSIYMLRVTGSKLPVEI FLADRGEHDAFTCGILLRSLNTRCIILDEILSFSPLKEGLMRYQFKIFSLLFSSFEEA FFLDADAFPIHDPARLFTSKPFISTGMVTWPDFWQVTYHPWFFEITAQQLPNTFPIPS TESGQLLISKRTHSKLLLLSAYYNFYGPSYYYPLLSQGHPGEGDKETYVASAMVLNLP FYAVSTRPEVFGYINKNGEWEGGVIIQADPTWEVISRPDRMYGWKGKPAAPSNAFLTF HANLPKLDPIQVFGEGGLAWAADGSPQRMWGTARDSILRVGADIEKRLWNALERTSCQ MEWNFAPWDGRPRLCEKIRQFIRGTA TRV_05390 MVLDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQNQIHQQRQQ RRHEIATLGYERIINDGLLKRIDGLLTALKKHESDSRNPDELVFQALIESAGDPKEDQ PPAPPENVHTQETQPKYSQMMGSLVDQVKKELDESKPENRYQAFITGVEGHKTKVLDL QQQLLEKLAQLEKEESKKITSDSIHTGFDSSFVSSSKTKSEPRKTKESTVELLNPGSA GADNMDAVSSGAEADIEDGDGDEEGITVRPLTKQFAKFKIGDYRETYSFITDHPEILT EKRTDELLMEAFNAQISGDETYARQCVHHGLLLQYCRSLGKDGVSLFFKRITTEDHRA GVMFRDDVNDTYNRIKTRAKELAKSSAEGQSEIEQIQLHAVDPSTKLNISIPPADSKD EAHIAAREIFNRFPSDLQKALESESLDEVNKVLGKMKVEEAEDVVEKLGESGILTVEQ GIVDATTAEGKQWLEELEAEKKTKAPETGKEEEIGDPE TRV_05391 MRGSRVLLQTASCSRDAVRCSPLGLNLSSRCLATDSRALLVRPV AFARQYHAVSPPVFGGNRLHRCGIFTPTSCPDVLGKLPRNAVFPRSSNLIYRQLRFAT GKSSPGENGSSQLQESTAPVVKEVAQAEEISQAFERSERASQAAQINLSARLAKDGLA SGKKSGLREIWRLITIARPEAKVLSVAFLFLLISSSVAMSIPFSIGKILDIATSSNPN ALKDFLGISLPVFYTALGGIILLGAAANYGRIIILRIVGERIVARLRAKLFRNTFVQD AEFFDANRVGDLISRLSSDTIIVGKSITQNLSDGLRAAVSGAAGFGMMAYVSLKLSSV LALLFPPIALAAFFYGRAIRNLSRKIQKNVGTLTKIAEERLGNVKTSQSFAGEILEVH RYNRQVKRIFELGKKESFISATFFSGTSLMGNATILALLYVGGGMVQSQAISIGELTS FLMYTAYAGSSLFGLSSFYSELMKGAGAASRLFELQDRRPKISPTVGDKVTSARGPIR FKNVAFSYPTRPAVTIFKDLDFEIPQGSNVAIVGPSGGGKSTIASLLLRFYSPTQGQV FINGKDVATMNAKSLRRKIGIVAQEPVLFSGSIAENISYGKPDATRSQIIAAARKANC QFISDFPDGLDTTVGPKGAQLSGGQKQRIAIARALIKEPDILILDEATSALDAESETL VNSALAALLRENNTTISIAHRLSTIKRSDTIIVLDSEGKVAEMGSYNDLNSRPDGAFT KLMAWQLSGGEGISAPVHPNKPISRGPPSETEHLQQLLQEGEDEEYDEAETEDEPRST DEIEKK TRV_05392 MSRDRLNRFFGQRDSQQQAYKRIDGDDRDTAEGEAEVPAYDAPP VKEFSWVVYSIFTWMGMAMLWGWNSFLAAAPYFQIRFASNEWLRDNSQSSITSVFCVT GLSTHLFLLRLQKNASYPQRVLVSLALTGLVFALLTLSTIPKQGPSPNVLFAFVLFMV FICALSGSMNQNGLFAYVSGFSQPAYTQAILAGQALSGVLPSIVQLISVLAVPDSTVH ETGELENAAKSAFGFFLTATLVCGGAFLAFLYLHHSQARRARYTPDEDTDASESDMLS TKKSVSLLTLFRKTRWLSLAIFLCFCITMAFPVFASQIQSVSKENPPPRYSQPGVFVA LALLFWNSGDLLGRMTLLIPSVKDRKAPQFVLFVLALARIFFIPLFLMCNVRGRGAAI NSDLFYLVFVQGLFGLTNGYVCVSIMVSAPDLVDEEEREAAGAYMGMLIVAGLAAGSV LSFFIGAL TRV_05393 MKTRILILSDTHAMSYTPPSQYADVALHCGDLTERSTLEEYRSA AQLLKQVNAPLKLAIAGNHDFTLDIPFYKAKLEQIHVERHTQLMKECGDFGEARQLLE DAGIILLDEGIHRFNLANGACLSIYASPYTPVYGHWGFQYYRQQGHQFEMEGVDIAMT HGPPKGLLDTAFSGHQAGCTSLLGAVSRARPKIHCFGHIHEAWGAKLVTWRNTNSLFS LFSNSNPVDEEKSILINSLMGARDSKALLDKLQSHRDEGYYTLSYCSDDETPIQSGQQ TLVINASMKGLGWNTCHIPWLVDIELPKAK TRV_05394 MVIYIEDSAAEMQADASQRTTRCQKARHPSLKATKGVHRTKGTY KEHDIVHDGSLAIEKELSIAPSSPDDPESLIAAVRDQLSQITIKGNRSQSVSGKECCQ DSTGRDTHHTNNTSSENITKKNIAQKAGPIQSIQRPKGDFSIPSKRSEDKEDIKQSRS PITTRKKGNTKIQQTYINDKQRTAYVQAILNEAMSTRSSRGIQHFDKWANRAEGFFDV RKIAEGSYGEVYELCAKDGVSKSSLSSGQSSKLQTYMDGVFKIVPLCAQRGPGSKKFT TVGEIVAEVQLLKLLDPIPGFARFREIHVVQGRFPPSYQNAWDIYSQTKDDCFNPDPS KKKSYPDNQLWAILEMENAGFELEKFKFSSVFQVYDVFWGVALALARAEQYASFELIL NQHRDLHLGNVCVKQKQPPLVECQKGRAKMDTSEIELSARLGLSGLETTIIDYSLSRA ELSPCETQDTSYTRGSVAWSDLEKKEIFDAVGRDEEEKFLRDTYRMMRSEVFNDSDPD TEQWKNYRPRTNLIWLSFILATLVNKCRTEKLLPIHRQPLGQRSANSNLVPRKSSAKH KVTRDNRAEEPKLRDEIQHVLFERLETVLDLLELEDEYETLSCAGDLVAIAIGSQWLE ESDFLC TRV_05395 MAFNFNWSPLMADAGFYTRAQELLTTALNKSPKPPIIVGDITVT ELNLGSIPPDLEILEIGDLADDRFRGIFKMSYSGDAYLTLKTCVQANSLNTYMVTRPS FTSPCPLAADQGLTIPLQITLSDIKLSGFVVLVFSKQKGITLVFRNDPLESLKVSSTF DSIPFVRDYLQRTIEGQLRLLFMDELPAIIHRLSLRLWVPEFRGQDVEAQADEEAGPG QDPLLSPPQDPVDASGNVLSVAQIASLSLDSGVEMHSLFSQRNLVRLATLTDSQRTLS LFTPTIHDVVFRALTGAMEQSEYHGGLISPVSPPLSRTHSHAASLQSLQESSMSKASL GSPLSGYGSLTTSAGRHPRARPSRKHKRRVVDLRKSKKSDDTSSTCTESEYTVSTDAS TVFSSSARAAEKPDDPVTPPISPDATIKVKDRSRLPAVQGLGPSIIANTAQPTPSAEA EKKALSNGEPSHIPRQNTPTLEAHQQGSDNTTSLNGIPPNVLSFVAASQDRSVLEQAW MMKLANEINRRIQESKGPGSVGSASNYCRHQTRDPSPPPAYGQ TRV_05396 MDGVFKGLFGGKATEAPQKAEGGFADFAPPSATGSGPQGTAAST VPYTKWYRVWERTSPQDFVQEAFIIPFIILVAVLHVWGAGKNRRKAKTWAQANIPVLC DEFAVVGYTGIPQNSSSNEGIKIDDKILKEKALIEFTTYATGRQNVAFVDVTIKMLKR YNPLLLFGETFLGLFFDSMAPSSEKVEIVAYPFDGREKDLVPPTPMDIDADKRSKSVP STYDGFVFAVVHKNAMRRLREDRYDVSLTFTKENAKLPNWLTVMSESAEITDSMLVPE FVKAIEDAGDLFDYLIVTDQPMEKPTTVEEAVPRKRIILSLRIPESSDASDYASSSNM LKAFIRLADRLVTIAHFRPEVTRKLRSTREEEIKRMKRVDQDEKAEERKVAAEKIKKE ERDRLLRNMSAEEQKKFLAKEKEREQKREMKRQTRKG TRV_05397 MLFMLSRQLRLLPGHIQRPVAPPISTGVISAVRRRRIVSGPTSF SSAGSQIAYSPDPSVKPETEDCNIATNRETPNIPSRRSNEEPRNPLTNYFPQPRGTQT CLTNTDQSIPTREPDHLEFSKHSQTWKLQPWQLDLESDLGHNDSIGSKLVDNPHYSKD FTLWRELVLYRQRHYGDTGVIDIWKGLTERCSGIRLPTEGKDADFLWETFVSMGLKHE WMLKELQSHAEFIWKEKGLRWKYFYERVIGTFVKAGNESKALLWHQALKHTHLDNPEG ILCVFKTAMSTREGLDIFRRLCQLSDGHRIYSFVVPILCKHKGIELALAMHHFLMRRG DFPSSIEDVLPLIHHVQKYSNPQQQSQFLTGLIKSGTLPVDTSIDSFSSHSSQIYSAP SNTTGTQSSMVKDDLGARLFATKTFTFDLILGGLKMFGVGAIGPLTLQQMALKAVDIA ELQYQISQLKEIGISTGNSVFAKAVDYFIARKDHQALYDLIHSDQHPDALESLETQES LFHHFSMSHDWRQANLTLTILSFLSPEDPHCYNIQLRNALKLDDRRLISEILEKMKAD RISPSKKTIKWMIWNILPSRRMGTRPILDRPARDAVLRLFGIFQYVIKYGGYIPPESW EAGLKQLIISNRWSELERLCLWLAETYSPQNHTQNSQIPNIRLPPTHPLSPLRIIFSV DFQQAIINCGFLRKPKFNFSGSSTLNPFGPTPDSVALWVCGLILLRRLKEKGVYINTN TVRRVCRTRFAILFGDGPPSKRKSNLLLRKVNPWTLDQLIADANKVWGSSLFSDFTPN IHKLVNPRRRPITSQDHHMPGESKR TRV_05398 MRSLTLDGCRLMTERCGLLSSSQFVTLRRYSQSLPAKSLFQPSQ AQLLRFAITGTTCSGPGDRLDKKLDERLTSQFQKNRLPRRPSTVDRLSPPLPKLPNGH GLSRLQADIETFINSENSGANLLNSIQSLENALDQCHTRVEGEDLLMTINGLLARLNR LGVKDTHQLLLLGMSYAAEDFSPGSLALYLRSYSKGGHGTLPRSISVNLIDSLSNGFK RRYWEDPSIDKSAMLRVIIGPGNEQTSADTLHSHLDFSSIRDSEVLPKYLQLLGELGG EKMVFDLWRQVQAELEYGQTAAITESAIASIEAFLTLGNPGRALEAAQLASKYIDLNE HLPAPTWRLLLEHDSHGLLRTVIAPATTATLLQRDLHSLELVLGATWFNDGAGHHYHP LSMEEIHSASAANDGYQPCYNPSGYTSSVKFIRQLQGGMQTNGCSRSLNNLSAIADLL DEYEGVDIPLKMELLENSDTLDYAWFPTCSPVEFIGNLPQLGRDIGQPLSPASLGLIR VHVDGNGVPIRSLGNVSLMQLGYIGVRASPANAAGLGDSRERWRCTGHIIAWDRQSGK VVALWIGKGNGVVHPGLVKPAPPLELPFIFGTVTFSDTEEPVFSQCDSLGPLNNIQSY WVDVDSSSNLIY TRV_05399 MPTEAEAADTAKPTDGATTSHQEDKAEKQKNDLEHSSKKNSSET ESENSIANKTEAEDAASKNQDRLQRFKALQARAKTAVKNNLKETAAEAHRLSVDPNAL SSISRKHAFASHSLLKADIETAGEDFERKRAWDWTAEESEKWDRRMDKKQKHRDNVAF QDYRQDAHKNYKRQLRRMQPDLEAYENEKAAAVQRAAANGGLEIIEDENGDIVAIDKN GAFYSTADSTDFVENRPDRAAIDRLVADMQKAEETRLKKRRERGQGDDDADVTYINDK NKRFNQKLARFYNKLRKRDDDITKPD TRV_05400 MTATAAVAKRIVVAGGSGFLGKITLIYKQYSMVSEYRHGEPKWD TVSPSGQAPRWAQKVEWAKADLLDPSSYNEHLKNASAVVHSMGIILEADYKGILQGKE SPITGIQKMVGSFAGVTTGPGKSQMTYRTMNTESANSIASTAISLAKKTTKENIPTFV YISASSGAPIIPQGYILSKREAESSIMSMFPNLRSIFVRPTFMYDSSRRLSLPIAVGG MIASEVNLLTGGKLSALGSMAEKPLKVSVVGEAVVESIDDSDVDGVVSPKKIEDLATK GWRKTML TRV_05401 MSREPKYSVLLVWQIANALALHRITDTKVANLKIWRNVVFGSEA YPFDPNKRPEGAAEDHTHQWRVFVRGINGEDISYWLKKVQFKLHETYAQSVRTIEAPP FEVTETGWGEFEIQIKLYFVPESMEKPQTLWHGLKLHPYGSDVEGKKARRETVVSQNY EEVLFNEPVEQFYDLLTGGTGVAQQQTKGKSTKGKQAQLPPTALGKRTAEIPHTDSPK NPYSRKSEAKEVDRIIEATKTVEKILKDEKAKLLEREKVLAGLKETEGTSLLQKKR TRV_05402 MAHAESSTAAANKIARADVSGAPVNYELPWVEKYRPIFLDDVVG NTETIERLKIIARDGNMPHVIISGMPGIGKTTSILCLARQLLGDTYKEAVLELNASDE RGLLNYALNLFRIDVVRNRIKGFAQKKVTLPPGRHKLVILDEADSMTSGAQQALRRTM EIFSTTTRFAFACNQSNKIIEPLQSRCAILRYSRLTDAQVVKRLMQICEAEKVKYSDD GIAALVFSAEGDMRQAINNLQSTWAGFGFVSGDNVFRVVDSPHPVKVQAMIKACWEGK VDIALDTLNELWELGYSAHDIISTMFRVTKTIPNLSEHSKLEFIKEIGFTHMRILEGL QTLVQLSGCIAKLCKINMKPELFKAQKS TRV_05403 MPGINSPLALLALLGVQQALAVDGSWHAPNATDINNLDKVLDSD GVYGFIFDSSQTPDKEYGKYNWCNMPHTRPREYPKAPKEYKLQYVEVSNTFPQESYPW DCDDEGLFYYGEPKNGRNSAKSYWKGYQNPINPFQPSGFKGSCSFPQISKEGLDDSWQ HGRDLFAVYHKLLRFLPPQLDQSKVSFRVTNNMITSQVAGMLINGMYGIRGDVPLSIE SPQTDSLEPKYSCPKSSALFSGAKDAPNTPWADHLARTKEFVAELDAISGVSPSDSGW HSSFDHYFDNLSARLCHAKPLPCNINDTSKCITRTQADKVFRLGQFEYSYMYRDSKSS LPASTSSLGVWIAEFAQHLRDQVAGKDGKMLYRHNVAHDGSVSRLLSILQLDVMVWPG MGSEIVFELYSKKKDTWGREKEYFVRVLFSGQVMRSSHPDLGLLDMVPVPILLSYFDG LVGEKARLVPGLCGN TRV_05404 MNTEDMGTTLCDRDALRTKFSKAMSDMYKNEVPSYADLIDIVTE VNAKLASQDQSNTGVETSGPDTKDTGRLTLERHGAVRLGTSFELSTIRRLFSQFGMYP VGYYDLSVAGLPLHATAFRPIDAEALEKNPFRVFTTLLRPDLLRKKARDLSHAILRKR RIFSNRLLDLLDEAEIKGGIVPDVVDEFIEETLEVFRWHETAVTSHGEYLQLRDEHPL LADIASFRSAHINHLTPRTLDINQAQVAMKLRGLEVKERIEGPPSRKNGILLRQTSFK AIHEKVYFHSFEGGMVQGIHTARLGEIEQRGAALTYKGRQLYDTLLTEVNDRTKGLEL GAPAYDKVLADVFAAFPDDKDTLRDQELIFCYYKPTSKALGLLSEKIAVFPTSIDELL RQGLVEFKPVTYEDFLPFSAAGIFRSNLGEAGGENPAIKSEQDQRGFEECLGDKTKDS MELYSEIQRKSVAYCLHLFNLKDMSP TRV_05405 MDTNTGLSGNSNPFGGSNISKPVPKSGTSLSPSPDNGDIVPRDK NGCYKLDIPVLPPAVFEEADGGDSMEGIEHTGGAEIPVEEGGELAGRDKESMFLLSSF IALGVSSRYKNLFLTKETEIDASFVEMMRHNRSRHINGEPSGMNYRLLPLVFLEIKLV LLEQLLMITLEVFLLVQQNLRDSVLKLEEDNWMFEAEEEVKL TRV_05406 MTSRIEKTIARQQEKIASGAYYESHQQLRVIAARYIKQSNYDAA ANILSEGAKALLQAGAQQGASASGGDLAIMLVLEVYNKAGWEVADDEVGKKRKQRLIE LLREFPPEEPTRKRYINEIISWSSKFGGLERGDPDLHHAIGSAYAQGRMLENEPYDAE KHLAFGTVESADTLAKLEYKWYTYDEQHTAGIYCCRAVFPYLLTGNVLNANKAFVVFT QLLSTSESGKSLGVQEVSSQQNDVRVYPSLPLLNFTNLLLLAIQRGTPDLFRQLLKQY QSHIQEAGEWDHALAHIGELYFGIKIPKQSNPLMDMMGMFFGGPSGQSKSNEQPKASK RVEAPPSLDLD TRV_05407 MLLAGEVDAVWDCKPDKKDDPINWVELKTSATIRHANDAINFER KLLKFWVQSFLLGVPKIIVGRRDQDGYLLAIEEYTTDEIPNIPKRGANTWDANTCINF ASQFLTWLKTIVNSEGVWKIRKAAKSGQIEVLKTQETGHGNILTPEFVEWRSQG TRV_05408 MAKANSTMNPLIAVVGATGTGKSKLAVDLAIRVNGEIISADAMQ MYKGLPITTNQIPIEERHGIPHHLIGCVDLDQDPWRIGVFKKESLKIIDEIRSRGKVP ILVGGTHYYTQSVLFHEPLLDEGEGNPNSQMFKPSEGPPNNEISKAEREECVDFSILN ASPEEVYEKLKEVDPVMANRWHPNEKRKVRRSLEIYLQTGRRASDIYEEQKKKIRQAA LQDDDSCEAGEFEDPDTQLGQARFSLLVFWVHTEKEELRKRLDKRVHEMIDQGLLNEA QRMFKYLQDKASEGVEVDRTRGVWMSIGFKELEPYINELLTAKEEQNSELDKVKEECI ESIQTATKIYAKHQTRWIRNKLWKALGTSGMTDRLYIADSTNVEDWNTVVRQPAEEIA SKFLSGVTLPHPKDMSVVAKEFFESANAPSRFEVDDIPQMRVCSTCNATIAGADTWEI HMKGRRHKRAIKSAENRRRRDEYFQKLREQSEKNNCEPSLEA TRV_05409 MASADNTPSTDININNLSYKFPDGSTGLSDITIDLPQNSRTLLI GANGAGKSTLLRLLSGKRLAPNGSIVIGGVDPFKEGLEGVTYLGTEWVLNPIVRTDID VPTLLASVGGDYYTERRDELVDILDIDMSWHMHAVSDGERRRVQLAMGLLRPWNLLLL DEITVDLDLLSRSNFLDFLKRETERRPCTIVYATHILDNLAQWPTHLVHMHMGHVRDW GSMEKFYSNRPRMSENSQLGELVLEWLRKDMKERGPRPGRPAEAKEDIRDE TRV_05410 MALPSMVSITTDFISVGGNRNPAAADWHHGSGLLAFGANNNIAV WNPLDKNGAGVYTLLVGHTDRVNAVKFYTSPTNGSILLLTGGSDHTIRIWKPDTSSPP KFSQAAVVEGYHEGSLNCIEVACGSNTFVSGAADGAVKVWSITEESDEIKCQLLQSIS LKPRYFPLAMALKLIPRQNSGAKSDGMVLAVGGTRAAVQIYSAQDTNDLKFELSTTLT GHESWIRSLAFSPVKDSPTGEDRPTNSQDFLLASCSQDKYIRLWKVSLGEGTTSDTPK NVEDQLLAGVEQATLTPKAHNFDLNGAKYSITFEALLFGHEDWVYTVAWHPNPLRQQL LSASADNSLVIWEQDPVTGVWFSLSRMGEISSLKGSTTATGSAGGFWVGLWSPCGDVV VCLGRTGSWRSWRHDTAADAWLPIPGITGHVRAVCDIAWETNGGYLLSTSGDQTTRLY AEWKKGNHHSWHEFSRPQIHGYDLNCLAPLGPSRFVSGADEKLLRVFNETRAVANLLT RLSGLANPKSDEEMPDAASIPVLGLSNKAMDEEVGDDEGANEAGDQGEQQTVQPEVYN LEFDHPPLEDHLARHTLWPEHEKLYGHGYEISAVTASHDLSVIATACKASSIDHAVIR LYDTSTWNEVRPPLTAHSLTITSLRFSADDKYLLSVGRDRQWAVFERDSVNKLLFKLL ASNPKGHSRMILSASWAPHPTATVFATGGRDKSVKIWLKEDDTFTAKTTITFSYPITA VDFLPISVHDGLYIAIGDDSGRIFVGKVQAESLEAGEMLMIPQTECPSKSITQIAWRP VKGLVEVHGEDVTATGRKPGYQLAVASEDSSIRLYNIDSLPPK TRV_05411 MGSVMAGTPDDTKGNETLDITVEFTFVPQERILYRSNRTNYTIA FRGGLEMLFSNQRVHKIALPSWDPSGSAANISFLVKYLIENVMKDPRKELFVVDDAVL AYHYWKLEGRYYGNEANIFNSDDLAY TRV_05412 MDTLITADVVATAPRFRRKSSTFVDAIHDMPEMPALAPAQLYST ESGRLFHSGRIVIITVGLPARGKTSTGLVLKLAYFTLEITDELPSVLAVNYQRITSLR MVFIPSFKKKDIGKLTDTIASASSVLLRQKIVKRCREDIYHFLNHENGQIAIYDAVNP LAAGRISLSREFAKQDIEYVGWDSQVAVKDYLARISARIPLFETMQEQELNYIKMFNA GEKMMINNRGFGYLHHRIVFYLLNLHIKNRRTYFVRAGTSTESDSYKADSSLSSKGED YARKMTDTLMKHREEERKVLLEQGEPDPQLRPLIIWSSTRRRSIETAQFLRLQGYKVR HRSQMSQLNPGVCEKMSEEQIRKEYPDEVEMHDLDPYHHRYPRAESYHDLAVRLEPVI LELEREQNDLLIIAHESVLRVLYGYLMACNSADIPFLSFPRDQIIEIIPASYNNQAKR IHIPDLPPEIVPGSPEDIKIPVPPSGIVSPMPGGGLDGSSFERGATPQLGFRTPKDPE KISQHHAEDVV TRV_05413 MADFSSALSFLTDNPAAGVLKDSYDAFARRRADLGLSNPGTVEM VSREVQKDVLLSNFMFTGLRADLTKVFGVSPLFRISHAFTMGSQGNLPPYALSTMFGT SDVLMQGNIGSDGALAAVANYRWSPSLISKANVQIMPGSAQGLIQLDNDYTGSDFSAS LKAFNPSILEGGLTGIFIGSYLQSITPGLALGLEAMWQRAGLGAKPETALSYCARYKA DDWIASAQLQAQGTINASFWKKLSDKVEAGVDMNLQFAPSGNPMMGGSLQREGTTAVG AKYEFRASTFRAQVDSDGKVSCLLEKRVAMPISLTFAGEIDQVKQTAKLGLAVSFEMA SEELMEQQESGELASVSPPF TRV_05374 MMMKKKTKMIMMKKTNMMTKIVIVVEESSGCPDQEVSAN TRV_05375 MVGTKNDVLKVVGRVGVTRRTRASQRKTLQGKTPYEIPLLVLIY THLMSSFLYFSQYTIGKQAISPNTLLSWAKSGSSSRSSTEKDGCELQAGQAEIIVPVL KDDLLEPYGQPPVWAEDRVVLGDALPWFHSVQGGCYYLDNICRGVLIDGDCGDRSYID DEVVITRVKRGGSCKKTKEGRLVMSKDHDPNGPILRSLINSMRLEIPVGLILGSKNAD CRTKVPYNFNVLDFFRITDIWFEQVGKHKGAQMRFEKINLATKSWWSPKGSPDPVPLE QRDSVTPAPDPICLSCSIGTAQVFAQGWMCLNPQCAELWKIEGSLPPSQLTFAPEFLT KRSEPKNAVLPPCSLVPDLLSTFSNDQTDVTGTRMAWKGIVCPQCRACIARIHWRRWE CSTAGCGFQYSPPINHVSLRSVLPDLEMSPSGHRLPTVPKDISSMPMIQYMKNYRKDT FVLPGAGIVTHFAANRTVNSRPGGPDDLFSLMQREDLGLRRYPISPCVGKFPLSFFLL ILSSSIPSLGTLKFAGTLTSHFAVNYGMPYKYIVSVDSRPFNTAPPVIISALERLKWA TRHTVDANAFQMPNELLALGYFEDMSIGYHDDGESSLGPTIATLSLGAPATMLIRMKD EYYNGFRKRDGKDKVYLQHDPVLPGCANEEARAELARKFNAKEITSEQYLAARQALPT SRREAPPMCTMALNHGDLAVMHGPDLQKYYESIGRAIMTRSRNSNTMEMRLEDSDLLY PVFHRKFQKGAIEMVPTHHSWYTKEIIKICASFTGQSSYWPIFGRALTKWHALIEAVQ DPPSPEIIGGEEEWEVEEILNSRITGRKKQLQYGVKWKTNCSHGHGAFNPLIPFDKSF LERTTASTHWKYATFREEPNNIGLQAQLSARAKYGVQNEWEPAQFHLRSGVNHAICLR DEANIRQGSSGTTSYRTLMFPIS TRV_05376 MVFYPPASAGKLPKVPDDIPICDFILDEANGRMPFAQSRDPFVC GLTGRTYSISQVAERVDVLSRALAREFGWHPNQGTEWEKVVGIYSFNSIDYLVLCWAV HRLSGIVSAANAAYSASELVHQLVDSRCKALFTCLPSLPSALEAAEKAGIPKSRVYII DLPAEFTGSAKTPAGFKTLEQFITEGYSLPPVERAKWGPGQAAKQTAFLCYSSGTSGL PKGVMISHRNVIANTMQIRVKEQPEREARVKRGGSATVVSLGLLPQSHIYALVVLCHA GSYRGDSLVVLPKFDMAQYLGAIAKYKINTLFLVPPIIIAMLRNKAVCDKVDLSSVSS IFTGAAPLGKETAEELQAWKPSWAIKQGYGRSWMTPFNLFSDRYTNIALHAGLTETCT VVSSTSIYDTWLGSSGCLLPGFEAKVVSPEGVELTGYDQPGELVVRSPTVVLGYLNNQ KATAETFQDGWMRTGDEVVFRVSPKGTEHLFIVDRIKELIKVKGMQVAPAELEAHVLS HPDVADCAVIPVPDERAGELPKAFVVKGSSAAGKDDAAVIKSIQQFVEEHKARHKWLK GGVEFIDVIPKSPSGKILRRLLRDREKEARQKAKAKL TRV_05377 MPERQQQDPMDEDHGEHMEEEFIDDADAEEIVQVDDDHPMEEED EELLLENDSAAHFDRHTDSIFCIAQHPIHPEIIITGSGDDTAYIFDSSQTAAAEKPLL PKSYETTPQQSKERESLPVIAHLDGHKDSVNAVCFTAPRGEYVITAGLDGKLRAWKDT SENLAGRAWQFLAESQEVEEINWIATCPSSKAGDDEQTKNVVAIGASDGSVWVYRVDA SEEAAEPLTIVATYFQHTAPCTAGAWTPDGQLLATVSEEGSFYVYDVFGAAAAAGVTA SAGTQSVVALTVQDQRFAVEGGLYSVAISPSGAFAVVGGAEGHIKVVGLPRLTDTSAK SKAKGKGGGGAATGSGAGTLLASLQAQSDGIESLSFSSPPLNLLAAGSVDGSIALFDV AHRFAVRRHIRGAHEETAVVKVEFVHCETNTASAGTSSGRPWLLTSVGMDGVVRRWDT RGGTVAAGHGLLKEWRGHVGVSENEEGEQSGGILGFVQGDKEGRRIVTAGDDGIALVF EE TRV_05378 MAPPNPTGFDMKTFKAAASPTSEWALRDPWKRHEAWRYTGPFSR WNRFKTGFPGLGIATVAFAIYCGYEYAFLNDNHHGHKEEGHH TRV_05379 MTAPFPHLQQAHLQQQQQQQTQHHAGHPQSNAGLPPPSLGGHPG FGPSNPQSSINPFSIPGANGIGVAGFPTGAGAGVLGDGSGTGLASHAAQMGFVRGAQI QQQQQQQQAQQQLQQHQVQQVHLGHDGRLTMDTKSNAVKSRIRDVWKHNLAQEMAMLR SLVEKYPYISMVAHPSPS TRV_05380 MGTFTTKADYHYQTLRCNVDLLKMIQLGITLFSEDGEVPPVTAT HANSEGYNGVLVPAPCTWQFNFKFSLENDMYAQESTSMLAKAGIDFSLHEKNGIDPLD FGALLMSSGLVLLDDVHWISFHSGYDFGYLMKIMLCKPLPDDEKDFHRLLNIFFPSLF DIKYLMKHAGRNQTANGSPLTHAAAQIIANLGQKSGLQDIADELGVKRVGIAHQAGSD SLVTGEIFWKIRQLVFNGNIDGSKYSGQIWGLNGQIAAVPFYPGNQPLQTPGPNGTVM YSAAGTPSTPNTGHAALNSHQTPGRQTGSITPGTGAYANFHPRS TRV_05381 MVFERVNQQPSQVGDSQETQMHPFDPFSTSEIDAAVAIVREAHD GPLKFNTVTLSEPRKAQMMEWLENPGNSPRPHRAAEVVAITPGGKLYDGIVDLTTNAI VEWNLVKGVQPLVTMEDLQFVEHMARKDEDIIKQCEAIGIPREDMHRVYCDPWTIGYD ERFGNSDRLQQALMYYRPEIDDCQYAYPLDFCPIFNPNTMKFIHIDVPVIRRHVSKAP PSNYHPKAIEKEGGFRKDLKPIHITQPDGVSFKVEGRHVEWQNWKFHVGFNHREGIVL HNITFNDKGECRPVFYRLSLAEMVVPYGNPEHPHQRKHAFDIGEYGAGYLTNSLNLGC DCKGTIHYMDAAFVNAAGASTLIKNAICIHEEDAGILFKHTDFRDNSTIITRSQKLIV SHIFTAANYEYCVYWIFHQDGTIQLEIKLTGILNTYAMNPGEDTKGWGTQVYPGVNAH NHQHLFCLRIDPNIDGPNNTVFQVDAVRGPGEIGSPQNKYGNAFYAKRTKYCTPSESV ADYDGSSSRTWEISNINKLNKYSKMPVSYKLVSREVPSLLPKEGSLVWKRAGFARHAI HVTKCKPPSLYGIIILLFFFFLSSSSLFR TRV_05382 MWHSPFFTAFTLFLGFFTLTLALPTNSLATTGRFTVEQRLIKTF ESNWPPKELWRGLRKHHRPLPPAVSRIATHRGPSANGTVKVTPDEYNTEFVNEITIGN NTLFVDIDTGSSDFWVFSSQLPERSQLNHRIYHPEKTGTKLSKQIWEIGYGDGTGAAG NVFLDKASLAGLEVPSQAVQAATWVSYQFADQTVTDGVIGFGFDHFNGVTPKKQKTWF GNIMERLEKPIFTACLKHKAPGFYDFGFIDRTKHIGNPSYLPVDNSRGWWETTFNGFS TGRNDNSTYRFRAVVDTGTTFSLLPREITEQYYSLITGSTFDRENGGWTFPCNTTLPE FAIHINDYKAIVPGEHINWAQIPGTNTCFGGIQSVDRSPAVLGGSFLKSQFVIFDHDG PKMGFAAQR TRV_05383 MASDAETFTQYPIHIDSQSKALSDPTSNSAELNALLEAINQTHR TLLSLDPPNIPPPPRPVNPKRSAQIAKLRDTANTAYRKSSFAEAIKMYTLAIEMALGR PAWEPVGLVREELSALYANRAQAYMQQQLWAEAWVDAQLSVECNEQGNGKAWWRGGKC LVEMGRWEEAQKWITKALDIEGGGDYTKELNALMVDIHTGLEKKF TRV_05384 MESHGDPAPAAAPSNGLRKVFTMQNRSRTALGKTDGPETDPRNR SSTIESRAEEARPATSSAASSHSDAPSGISKLLSGARRRTRKKGNSSTSLNKDAEQVE GYDWQAGESKVSLPSVEINGQRRRSMTNPESNHVSDCSESDVHSRGDPIASTPELGIY KDEIDSNAGGLRSNTASEVSFDSFKGPSRNPSTLGVSPDRPSTSPTGRKLKEAFVHRM TNRSTDTSPNRSSGKLAGSNNGKDSNGSVDGGSSVRTLPPIQTAPPLPHSIITSTSLD AGRQGADKPAPLNGNPQTPPTSRNAPEIVTTVTPPTPTNREFNSQHTLLTNIVNSPES TTQNDTPDQPSNIVVSPSGNMISHRRVRSASAAHQSSKLSNSSVPPVSPAPEDPKSQG KSSTSQFGAGFFSSMVSAAQNAATTLSSSLNPQAKGSKTSQEQNNTEGDTRDSGEQEK SGATPGGEENVAPQNGKKELAVNTLGTGDLDFSHLGLEHLEKAAGDGEGSKLDVAGRP RAKTAVSQRDELAARMEDVRAARAVSMAYGNTPVTPIVTVDSINTDNQPANPLNTVVR DNAGENTPPGGSVHSETAESLKQNGSLKSRRARRDRGSSAATTNTTIGAPIGTNLTAR NTSVPRLTGFAVASKKRNRDFHSLFRSVPEDDYLIEDYSCALQREIILAGRLYISEGH ICFSSNILGWVTTLVIAFDEVIAIEKESTAMVFPNAIAIQSLHARHTFRSLLSRDATY DLMVNIWKISHPTLKSSINGTHIDQGTGDKTEKTDDVFDESASDLDDDDEMYDEDEDG AEITNNGSEDNSVAGSEAPDQAQRVLRKSSTVPLSAMGTPQAPGPGEETKSGENGTSS SPEFPGPKTHAPTEYNDPAGRFDKLIKDEVIPAPLGQVYALVFGIPSAAFMSKFLVDY QKVTDLQFEDDKKGLTNESRTRSYNYIKPLNGAIGPKQTKCISSEQLDFLDLEKAVLV TLTTQTPDVPSGNVFSVKTKYLLTWAPGNATRMVMSCLVEWTGKSWIKGPIEKGASDG QLSFGNDLVKAVRAAVARGPGIKAGPKGKGRRIRMAAEMAVAAKDQAALDSTKSQPDN GLLSTLYSPFAPVVDIIKPFWSSNMTIGVLVILLVTMWFRASVPGYPTSRAGLTSHSA PDRLIALEELWHREENELWDWLEERVGLDGLSFPVVDNAHSRDDKQQPNRRAEKEFES RLHRDRMSEREAENAIRVTQERLQTLQKIVEKRKQNREASVEEQAEPPST TRV_05360 MAKRETTPDFMGGPDSDAPAKKFMAPEDLTDSDEAEMDVSTPTT ADEQDNDDGTAQPPRKKRAIERDSEAAAPPAPKWSNPDPYTVLPPEEERQGKRRDFVK LIRKARITPAVTSTPEVSSNPAVTNDDFISLGVDEPDNRPPEGAPSGPRAAELERSDS ALGNRKRNRDDEITGPAVRRGKKGSRFFNLDGSPLDIWKPLDGQDPTPWFDRSSAAFH IGTMLHKEILDFYHWVKPTEYEDIVRRDLISRLQACFGQRFYGAKLYAFGSFASGLYL PTADMDLVLLSRQFMSSNRKYICQKVREIYSFAEYIRGQGLAVPGSIETIAHARVPII KFVDALTGLKVDLSFDNSSGLAAIDTFKAWKQEYPAMPVIASIIKHFLLIRGLNDVAT GGLGGFSIICLVTSILQHSPRGVGEPNLGSVLIDFFEMYGSRFDAGAVGIQFDPPGYF NKAARGIYNANKGTRLSIIDPNNPDNDISGGTKEIPLIFLTFYDAYRSLKESVYAASC RPRHSPSSLLGTIIGGNYDAYEEQRKHLRDFFLSERRFAGYHATVGLGSPPPPPPLSS PSPPPPPPHTE TRV_05361 MGTATFNGQYNANPYELPTTELVHRALSAGICAFDTSPYYGPAE ELLGRALSTQFVRENYPRSSYRLLTKVGRIAASTFDYSPAWIRRSIKRSLSRLGTAYL DVVYCHDVEFVTPEEVLGAVQELRRIRDSEGTIHYVGISGYPVDVLCSLAEMILEKTG EPIDIVMSYANFTLQNTLLATKGLERLIAAGVDCVLNASPLGMGLLRRNGVPIGSMGD FHPAPNELREAIHKASLWTEEQGEKIEVVAIRYALENWLHVGAAVGASGLPSGDQTNG TSTNSTTSPRRLGGSVMGVSNIAELEETMRVWKVILDSLSSESINPQCRKGHVVLNKD QKLPESQRIQTLTKGVRDILGAKWVDFAWASPDADYVNTPIPEHPPPIED TRV_05362 MDVALDLLDPLVLDKAYAYFVPAEGTASSQPVSAWPRDSILRQC ISILVITQLGASLLYWIFSSFSYYFIFDRRLEYHPRFLKNQVRQEIVSSMMAIPWINI FTLPFFLAEVRGKSLLYTRVEDYGWAWMGVSVVLFMIWNDFLIYWIHRLEHHPSVYKY IHKPHHKWIMPTPWAALAFHPLDGYVQSLPYHVFVFVCPVQKYLYMTMFILVQIWTIF IHDGDMISGHWLEKYINSPAHHTLHHLYFTVNYGQYFTWADSYFDSHRAPRPELDPLH DALRVMREKGLVDEKGNPIPAKDKKKE TRV_05363 MSRSASDATRFTATGPYAYSKSSMSSPASSKWSGLKVRSSQPSQ PSPGQGTQETPREKVERLRAQARANRIAQSFSPMDKITDKLTCCVCSGIAGVLTIYSM ASLIMHNRRQRELFIEKELESLLDARKAYVAGTATAQQIELLRKEKAADEEKRMRDEA KKQTMFYKARSWLFDGPDAITTTSSDTEPHTQSQQIPDLSTAQTAPATPAVPTEPQGG KTANTNSSNSSWSSWIRWGGAGK TRV_05364 MAAEADDRSDGCSQSTIEADANVEQTSTIATDFASVVSTIPNFV YENGRRYQGYCVDQYFLPNDEREQERLDFLHQIFRLTLDGELCFTKLDNPERILDIGT GTGIWAIESKSSPVTFLYQPDRVE TRV_05365 MDDAEQDWTLPESSFDFIHIQVSEARAHFCCDDDTFPEDSKTRH WLNEFDRISHSCGREFDVFPFFAGWLRDGGLIEVEETEKVMPLGTWPKDKKLKMRGRY FMAQFLGHAMESYSTSLFTRVGGWSADDLWKLLNNVTEEVRSNKMHIYSHFSFAIAKK PERA TRV_05366 MTSLVIFDFDGTLVDTHESIEQSIRLTFEALLPAHVPLRSDIHS LISRGTGLSDTFRSLHPNIEAYTAAADEWTAKYREVYATHGQKLIKAFPGAQKLLQTL KESGIPMSIVSNKGVGAVKTALERNGMGGYVPEDLIIGDSTPGAKKKPDPANFTDILI PTLKSRYGITSLDVGKVLVIGDTVADIQFAKNIGCRACWCQYGFGDQDVCQKMGPDMV VGSLDEVASYVRSSV TRV_05367 MQVIVALAALGSLAAPALGFSIPRGVPVSQSMIDVKLSSTGNSM VKATITNNGNRALNLLKFHTIMDSNPTRKVSIESEDGKEIQFTGMMPTYKEKDLKPSY FIFLPPKGTVEHSFDIARTHDLSRGGKFTLKAEGMVPIAEENGTEITGAAKYHSNELH MTIDGEKAASVENAFGIVKRGPLTRINKRTSIDMQSCGNNQELQALTAALKASAQLSS MSAQAVSQNQDKYMEYFKDPQYMQTVQSRFQAVAQESSSTTGGGTTYHCSDTMGGCEE GVLAYTLPSQNEVFNCPIYYSDLPPLSNECHAQDQATTTLHELTHNPAVQEPFCEDNG YGYERATALSAEKAVQNADSYALFANGKLNLITLMLIDPD TRV_05368 MNSSAPPAAAEYGGDELSAIILDPGYASTRAGFAGEDTPKSVIP THYGKYSTDAQEKYVYGDNIFVSPRPGISVHNPINRDGIVEDWEMAEKLWEYSFTSRL TSRKPGNPMNNGLNDPNPEDLPADMEGVEAEEKPLADSPLLMTEPGWNPTKAREKTIE IAMENWGTPAFYLSRNGPLASFAAGKATALVVDVGASNISVTPVHDGLVLKRGVQHSQ LAGDFVSSQIRALLKSSTPPVNLTPHYLISSKAAVDAGQPAQAVYKDLPENKLPGPSY RRLIEDRTLSEFKECVVQVWPGPGALSAQGPNGTSNEELAKAIPMRPFEFPDGFNQLF GPERYRVAESLFDAKAALPDPDSEFPSPAANQTIPELIRNALNQVDVDIRPTLLANVV VTGAGSLLYGFTDRLNYELTNMYPSPRVRISAPGNTAERKFGSWIGGSIVASLGTFHQ MWISKKEYDEHGPNIVEKRCK TRV_05369 MSSSAVSEMEDGGGSAPLLPSQTEGHPQEDTRPTSKKELAGWYC YGWAAEVFVVCAMAAAAAYSYSEHSATAGLMISNRRLTGSFLPLALEQMTRDQGVLVS DKTTPCTSTWKHTNATANATTAASGLKAGPASNQCLVYVLGFEVNTASFAMYTFSVSV LIQSLIIISISAAADHGAYRKTFLLIFAIVGSIAMMLFFPLSSRLYMLAAFLAVVANT GFGGSFVLLNSFLPLLVRNHPSIRGYKADAPTYSDSSPEVHGDLSASTEQHSSQGNTT HSAPAAPSVSAALELSSKISSNGIGIGYTAAVLVQICCILLVLATNSTTFSLRLVLFL IGLWWFIFTIPAGLWLRPRPGPPLPEHVTRKDSNWPWVDYVLFAWKSLGRTAMRARRL KDVVLFLAAWFLLSDGIATVSGTAVLFAKTQLKMELAALGMINVITMISGVCGAFYWS YVSRLMGLRPSQTIIACICIFEIIPLYGLLGFFPPIRRLGVLGLQQPWEMYPLGAIYG LVIGGLSSYCRSFFGELIPHGFEASFYALYAITDKGSSFFGPAIVGAITDRYGEIRPA FFFLALLIIIPLPLMMLVDAERGKRDADLLVKELEGSPDSDVYEGQAHGTAAERRE TRV_05370 MPSQNDAAASTAALPMSNLEAFLKPSPVLYSGSVAAAKGYLDSL TQDLKQEQRGQKRKQRTPVPQVHQLHVNGFNHNQIWEQAKRILDSALTISSRDLDRFN ERNTALYRPSKQKFPEDDESMSDDVEDDEEMEDGEDSEDNEEMLDLEDEEEVDFGEDY DDSLLEEGNEEVEEEEEEEEEEEEKEDRTFEGDESPRPDKKKKIDPHGLNDDFFSLEE FNKQSAFFENRDSRRPHTDADSDEEDIDWDADPLALPDIPDKRTVDKDENDEDEDDMD EDELDLDMEIPDANSEEGDEDEDGDMGLNDDPRNAKYEDFFDPPPNASKSKSKQKKQT QEDIDADIDRAMANVRRDLFDDDEMSGEDDAMDGEQSKNMSSHEKRRAQIADEIRRLE AANVAKKDWTLMGEAQSNARPINSLIEEDLDFERVGKPVPIITTEVSNDIESLIKRRI ITKEFDDIIRRRPLAIGEQGGATKRKFELDETKPQHSLAELYEQDHLRATDPGFIDKG AEKLRKEHNEVIQLWEQISSQLDTLSNWHFKPKRPQVDLNVVSNVDTITMEDAQPTTR GESGIASGNMAPHEIYNPSETRMAGEIKLKSGVSLAKDELGKEAKLRHRRRREALKKR KRESQAAASKPDSASSQKKELVSNLKKGGVKVIGKGGKLTDVQGNKIQDTASKGRENL KL TRV_05371 MTVARIELGSGSGLVGLAVAKGCAVDSPIYITDQMAMFELMKQN IELNGLNSSVHAALLDWGDEGAVRALPRAKVILAADCVYFEPAFPLLLLTLEALLDEE DVVCYFCFKKRRKADMRFIKQMKKKFDVVEVTEGVDRDFCKQERIFLYILRQRMEKP TRV_05372 MRASKILQKASAASHVVPVNQKYTVQSYGIWERIRRALAVDPTR SSGVPLNAQFRNPAPGALEPQTYDDPVTIPAADLADNPYWKRDVRRAYPQASVVKQAD VVGLLTYGSKAEPKDSLLAGEAGSKQLVQTQQTAEERGLAAHFEEKASSGAEVLGPSG MPPLPAHLNAGNTYSLPSEQAYPSK TRV_05373 MDDRGWGAVGWESAGGSTMAGCRWRSILGRRRNELATLSSSAAA SRARFFSSSFSGFATHSTKFSLHPLQSNQIDRPTMAPELRKRKAPAPAPVAEPKAKRG SKAGAKAEPKKAAPKEKKAAAAAAAAAPPAEPEKADKKEEKKEEETEEKKEEEKKEDK GEEAEEKAAGCVPEEGDVLDLSQFEIEIELNDGTKTSFRKLLEESKEGVV TRV_05354 MKKFGFGKRNDDNGDDSNRSALFGSRSKNKSPAPSNNPYAQPPP STDPYAGSRPQGYGGMSQPGNHQPNSTYGGPPSNSGFAPGRQGNQGSYGQDRYGGAPA ANSGGYGGLGRADPNDPGMKDGNRDALFGNAASRYQERQTSSAPPPYNEQQPAGPSGP TYGKYQDRQLTAEEEEEEDIQAIKQDIRFMKQQDVSSTRNALRLAAEAEESGRATLAR LGAQGERIHNTGKNLDLAANQNRIAEEKARELKKVNGSMFAMHISNPFTSEQRRRARD QAIIDRHQEERLQREETRLAAFRTEQRMDQTFKEISKKGETGPKRTNLAERSKYQFEQ DSEDDEMENEIESNLDALHGAATRLNALAKATGREIDEQDPHIKRIIGK TRV_05355 MAPHPGTEAEYLREKARRDLLSLLEGVRGKKNLVVSKDLAGPVG LFVKFSVLQEYGVDRVFLLENGNVDSSQRNIIFLVHAEKPNHVQSAAGTYLNSPYQPC ILFCIFFSWLKTEASAIVAFFFYDLFPIECRAFQLTCPFICVDQIKRLQKNESNIEHE FSVFWVPRRTLVSNQILEEEGIIGDVSIAEFPLYFLPLENDVLSLELPDAFSDLYLPI HLSAKALMQIQLRHGYFPRIVGKGDNARKVVDQLLRMRRELDAEGSLGGSGGKLMASN TIENLIIIDRDVDFATVLMTQLTYEGLVDELFGINHNHTEVDTSIIGYAAPQASSNSS NTSKQSLKRKVQVDSSDQLFSQLRDANFAIVGGILNKVARRLESDYDSRHGAKSTSEL REFVNKLPAYQAEHSSLKIHTNLAEDIMRHTRSDIFRRTLGVQQNIAAGADSTSQYDT IEELISRNVPITTILRLLCIDSCINGGLRPRDLDNSKKQVLQGYGYQHLLTLNNLEKM GLLQPKVPSTGILLPGGSNSAAGAKTNYNSLRKSLRLIVDEVDEQNPNDISYVYSGYA PLSVRLVQCVLQKAYVQALVKGNPSPQAAAAAAGAASTTPGWLGFEDVVKSARGSSFN IVPKGDEKAARARQTLTGSGGAKTVFVFFLGGITFTEIAALRFIAQHEAGRRNIIICT TAILNGDRMIKAGMEQGDFAKSV TRV_05356 MADAEIESIKRLQAERNGKKGSKTFDMSNQRTDVSTKASLTESF DTTLYDRADADKYAGYNTSIAVDGEDEDMADDDGQNGHRLVGQYTATSDQMKEFATGK GVEEEDILLAREKTSRISERETDYQKRRFDRALSPTRADPFAADGQGNGETEGASYRD IMALRELEKEEERVKKLIKDKQASEGANGSVEHEATLKLEEAGGDKDNDESAAAPTRK RKKRWDVSSEETAKPNEPESSEVKPKRSRWDQTPAPGGQPEAPKRRSRWDQAPTLTAA TPVGNQGLATPVPQASGPVTVPTFGSDISARNAPLSDEELDMMLPSEGYKILEPPPGY APIRTPARKLMATPAPMQSASGIGGFMMQEPESARSMGKQLPTEIPGVGDLQFFKAED MTYFGKLVDGADENSMSVEELKERKIMRLLLKVKNGTPPMRKTALRQLTDNARQFGAG PLFNQILPLLMEKSLEDQERHLLVKVIDRILYKLDDLVRPYVHKILVVIEPLLIDQDY YARVEGREIISNLSKAAGLAHMISTMRPDIDHVDEYVRNTTARAFAVVASALGIPALL PFLRAVCRSKKSWQARHTGVKIVQQIPILMGCAILPHLQGLVDCIGDNLSDEQAKVRT VTSLAIAALAEAANPYGIESFQDILGPLWMGARKQRGKGLAGFLKAVGYIIPLMDEEG ANYFTSQIMEIVLREFSSPDEEMKKVVLKVVSQCAGTDGVTAVYLKEHVLQDFFKSFW VRRMALDKRNYRQVVETTVDLGQKVGAGEILERIVNNLKDESEAYRKMTIETVEKVIA SLGAADVGERLEERLIDGVLFAFQEQSVEDIVILNGFGTVVNALGSRCKPYLPQIVST ILWRLNNKSATVRQQAADLISRIAMVMKQCGEDALMGKLGTFLYEYLGEEYPEVLGSI LGALRSIVTVVGINQMQPPIRDLLPRLTPILRNRHEKVQENTIDLVGRIADRGPESVN AREWMRICFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNLRVQERQSR VCTAVAIGIVAETCAPFTVLPALMNEYRVPELNVQNGVLKAMSFLFEYIGEMAKDYVY AVTPLLEDALIDRDQVHRQTAASVVKHIALGVVGLGCEDAMVHLLNLLYPNLFETSPH VIDRIIEAIEAIRMAVGTGIVMNYVWAGLFHPARKVRTPYWRLYNDAYVQGADSMIPY YPTIEEDGLGRCELGIIL TRV_05357 MHILHLLVPLLAAAPITQGAAIRRKPQPTNLPPAFKELESLGPL SHCDISTRQLPKRGHAPELPDPEANLRLKYITFGVGTQNYTCAGGVTKPIPIGAVATL YDGSCLNNISARAMSILNYITLHTSVSSAAWVLESFLKMPKLGQHYFNGGRPFFDLTT RGGSDRAYVSVVASVPAPSGLDVPWLRLNKVEGSGIEVWLSLPPIHKVGVYYSTLKLE FVADINMNHGGNFSS TRV_05358 MPSDTQQQTTSSMPSWKFGRPSLPESRPRNVLRRKAPSIEQYAE KNRARLQAPKSEKIDIEIPQIEAPDKLEPDLKESVPTRPAPVDNVPTRPQYSTPTPSS QTPTTEARPSTSGSQSRIPREFIGLSTSINTSNLPPPTPNFTGGSSPSTRYTDSPGMW SRGSTPTSLSSYSPGIVHPIHSSRLKQPSPTIFRNQRSRPNLAVLSSFDETKRTGAPT TRLPRRSQTEPIPQLPGSSAGVRETGHQAPSHAEWQSTSTLPSDIKPTSENRKESVCT PKLEEPSLTDSGAVTRLSPSRPSRKGTEPLKLESSPVIWSNLTSSRLPTHKRRGSASS NTRSKSPAISSSIVNASTDSLQSRSSNKITALSPPVGQIDSSARPQLRSITPKANLFR KTSLSGKETNVSNDTKELKEPKQQQPTTNVPRRLGFFPKKSKTVPEVTQSGLSEGRSR RCPPAGTGHEGYGKYAQRGRRTSIGSTTSRARSTSTSRSIGNNSVSSSHMGHEIDDFL LDRLEPVIINGGGLDGSTLTRTQSAQSESYQSVISTTESQALPPTLVHSPEPITSSPQ STLLDPGKHLSPEVKRRRSFRNSKLFGGQKSTSNYLSVSQLRPSASRRPSDASIDSRS DITYRGTPSSSSPPDSKPNNEPKKKKSEKSGRWNFFQRSNPNLREKKAKDDSGPTPEM PAAVTQVSTSRAVAHYAILDNEQLDSDSLEDILARVEESPPTEEEIETTTGLGLRTKH GQSILLPEPPAGLLNSASERRPSSPKVFFNKDVLPTVSPPKNDRPSRLASVGRIPPVI PSSRNQHKPSLQSFSRPFSIGEGPSLTVTAAAVASNHPPLVEAIPNRFALCPPSREEF LSFSPRKGSEVSVSSGSVDGGSLAAFTAVLPSPGSKLTDDEIWQEYDDFLDKVTSPQT PEEGLLNTPRSFNLATRASKALQEGLSGTNDTSPPVSPLQCPDIITPQLSDHNSIHLS RSMILSALHSSITPSEPISLGDLISTYAGSKNSSADFTHTNNPYASLGEELQNDAHAA KPTPKENKSSSTGKDQAHIDPMAQANVRSGSLMTSRWLSFGRVLFSPAKNHMQPQDQA RILVIDGLGNDDWSFYCALTYPSAVVYNLTSNVPPQSTSNNPAAWDPPSNHRTIHRSG IKTPFPFPKGFFTVAVMRFPAACSEAAYDTIVSECYRVLRPGGYLELSVMDLDMVNMG SRTRKAVRMLKERIYTTDPNICLKPASDNIQRLLGKRGFANLNRCMVVVPVAGTIVQS SDTSSSNQSVANLPQQHSSSNLPQRSDSLSNRKHKHAPSDDVNMSLGDLLSDPSPSPS NDESIAKMVAKVARWWHTRCYELAVFSDQNKDIWTDRMLLRECRRRGTGFRLLIAYAQ KPSEVTRRTASV TRV_05359 MKEYDGCFGVPVEALASLDDFFEEFDLTILSLLYSSDSEADESR LPRGIVLCLGFPCPDEAPVGGMGILEEDIMISVVDPL TRV_05350 MAIWRSSKAHRVRFKTSSSITAIFAFFFLFFFFICSLAFSFSLS LTHTLSLSLFYTFHAAGWRMVKQPKASASASALRFPIDKPLPTEQRQRKKGQRLRRKK TKTKLSEGGHLRRGGETIEVPKAIVSFRSLRWMKIDSKAPFLVVSILSCLLPAPLKEA LRLLISGKGE TRV_05351 MPLAPPQRDVREDRQLYPPGVEEGRRSKKQQRGRESPGLSLVAF WASRGALHVLTLLSLLFFFASYGNSTRATLLSVGSWRQCGSISMSTNYEAIVDPWPEF MEFNWTVAGAQQEEKEEEDEEEVEGPRRPIPIDLPRRCVL TRV_05352 MEAARGSESPRRSRSRWLCLKGGCSVPRIHAFHAEAKHGARLQK ARAADQRRVQRTGAATRSASEIYTEIRELDGVKGPPQMVMSPSTLWALAASTCRTTPI STPISTPTAVSDQKQQTQQMQTKGRGSPGPTRPVAAHLHILGFLFILFSFFFASFLRF FFGFFSLLPFCSKSPPLTISTKSIENIYVACVAVRGLWVSASASCMDGVDEQAEGATE KEEPLLDPSPGRFFGSEAEPDDADEPFGNMVREGLQYGEQGRSGEAGEQIVANQTLRA KFDCKAVIHSSFQLVLMGRTSASRRVCNNVEASETWRADLS TRV_05353 MLSLRKHNPIHLPLSLSLSVAFSSLMQPCFSFPWSFGFSFLQNT LHKKQIRVAKRTKAKKKKEGQEEIEGGEGEAQQEIISWCLDGPRGRNGRTDQTRRRDE MRRDETRDGERE TRV_05342 LTSSPLTSQLYRPRKKKKQLPSMAPSGPHIASQLRQLIYYHLDN NLLRNALFLAGRLHAFEPRSSEAAYLLSHCLLQSGQPKYAWEVCRNAGSRGTHLGCAY VYAQACLDLGNYMEGITALERSKPQWTSKNNWNKHSENRRQHLPDAAAVLCLQGKLWH AHKDIHKAVDCYVEALKLNPFLWDAFLGLSETGANVRVPNIYKMTPEMVAMLTPSASE SSFSEKSIANSQPLQAQPSANHNVDPFSSTNGTSYGSSALWEKLNGSSVSVASAVLPE GLETPITQSDSDDFRVRTGPNTGDTYGEPPFAPARKSRTMQVLGVESCVDPPRLKSNS TRSRSKPKSASAEEPVPSKETTSSQQNASAMERKRTVSGHIAPTNTAQQPVEPGAPQR RSVRLFNQIRPTSKFSTSAASLGTREGREIKKAKSTSSKSRSTATAAPLGRSTTSSRK HGGESTETDSKESRSNGTSSESLNGPSRPQVPDKSKEIDALAWTLDLFSRLASGHAAL CSYRCQDALQIYNSLPQNQRETPWVLSQIGRAYYEQALYSDAEKYFSRVRTIAPSQLE GMEVYSTVLWHLKNEVELAYLAHELMDTDRLAPESWCAIGNSFSLQSDHDQALKCFRR ATQVDPAFAYGYTLQGHEYMSNEEYDKAQDAYRAAIKANPRHYSAWYGLGKVYERMGK LRFAEQHLRTASNINPANVVLICSIGLVLERQNNLKAALLQYSRASSLSPHSVLARLR KARTLLKLNEVNLAHIELKVLKDVAPDEPNVHYLLGKLYKMIQDKGNAIKHFTTALNL DPKAAQFIKEAMESLENPDDVDDDMT TRV_05343 MAILAYIFSGLTSYAALTLGLFGLSIKVPRAGFFARCLAAYASL LFCAAYGVLASICLRIAGRGQISQWTVARAFKWTMWLTTGVHFDIIQGQKYLSTRPAV FLVNHQTELDVLLLGAVLPQYSAVTAKRSLARVPLLGWFMTLSGTVFIDRANRETAFK AFDNAASLMKSKGQSVIIFPEGTRSYAREPTMLPFKKGAFHLAVKAEADIVPIVAENY SHVLDVKKMRFTSGAINVKGEISPCTFSVVFVLPPISTKGLTTADVDSLVQPTRQSML EAMVEMDKMRKIKDIANSQAEEAPRATRATAIEI TRV_05344 MASDSRLTRWYIDMSRWTESTTLLPLIDTLQPAEQKKVRAFYHV ADRHMSLASCLLKYLYIHRSAKVPWNQVIISRTPNPHCRPCYINTRETETLRLEFNVS HQASMVALAGYMYHSDAKADSMRKLDPSSSGAKGLPQVGVDITCTDERERRNPSLAPS TDKDLCEFVDIFTEAFSTRELEIIKAGGEDGQARSVKHRTRMFYTYWALKEAYIKMIG EGLLASWLQKLEFTNVVPPEPEEEGVWGKPLSGIEIRLKGEPVDGVRMEVVAFGQRYI LATAMRGGCVGQAQGSDAWDEFTAIDIDKEVGPCARGECKCAD TRV_05345 MLCSTFFDSKRNEKTKKRRRNEEDEREKTVDGTGKTQYNAMTIT VGVLALQGAFYEHIQQLKVAAEKLRGSSKTFTKEQWEFIEVRTPAELARCDGLIIPGG ESTAMALVAARSNLLEPLRDFVKKPTWGTCAGLILLAESANRTKRGGQELIGGLDVRV NRNHFGRQTESFYAPLDLPFLPGDAGPFRAVFIRAPVVEKVLSAKDGIQDGELAIDGT VVAPSRKPESEVAREAMADKVEILGKLPAKADGSDGPGDIVAVKQGNVFGTSFHPELT DDVRIHMWWLCQVSEAAAKLQKAEVVVR TRV_05346 MPRIVDYSPPWLSRPSAGVDLFCPEAKDKSLSSIRHAQKAIQEP TKDPRPVRTLARRGTEVFTVIDNQIRWADLARLKDEWRKGVKQKREESNDETNGDEKK ENGSLERNVAREEPGEQEDKDASKASYYRVLNTPVYGKIEQLAVSPNGAFLAITTTHT VHIAILPDISHLSGPDYSPIRVKTFQLGPTTHVIPESPVVAVLWHPLGIHDTNGGCIV TVTADSAVRLWEIDRKNHWSFDRPALAIDLKKLVDGTSMDQDFTPLSFGQNKGFSADS FDMEVAAARFGGHGYEEEDAWASMTLWVAMRTGDVYALCPLLPSKWQASPVTVPSLTS SIVRELAVAQAEAMESDDELKATQQQYEWLKEMDNQDISVVEDETMITEIRARPTNPS PIPRLQGPFLLDCEELEEDMDITDILVIAPRADIDDLMAGEDEPELLSENAQSGLSGT VICLLTSIGTLHVCLEMDGVKGQWLPRTCKNTFSTPMSEPSDLLLLESLETVRAKDRQ SNMWPMFSEAFGSRYDFFVTTARTVSLFSLSSWVHRVEPELRSEDTAGSAFRMNIICD GEIAEREQMLQLPPTDLAPDSSEEHLAGCLPIFDYDLGYLLLSFSPSKPCAVLLDSPE SEDFRASMAPSDSEEAPESHAPVPAHTRPPYQVPSVLYLQSPLVSFVADHVPHGHRHT LKEPVRLSPSTLELFTSAHRILSAYTHALEVAASDLFRRCERLQGEMRGQLTQLVEIG ERIQDVSNGTVRGTVKRPPVDREQALSSRLSAAQSRQAELTERYNNIRLRIIKAGRRP ISEKEKAWMREVDTLSTSISEEDGKAKGELTNRLETVCYFRYYIYICRGICTDAALKA TTIAKELLSEMKNIPDVVDSTPSTPNTTHPKVPQRLQKAKVADAMSMVERESAVIDAI TSRLERLSSNFHDL TRV_05347 MRKVPSLTPLYHSTLPCKSKGCSPDLSSVAVLVLPVAPLSHPPR TKSAPPKHLLLPTTSHHHDKLHDILYFLQHSFFYLNKQNHLYLLLTCLSPKSASIMTL DPRLLSIPSARPTPGPMIPYQHQYQQESSRNRYGSPESGNVFLPRRILAAIPQDVVHA TNIARRRADEAYRGFLFLQHGFNQRFYRLCPNEPRVRASNHAKSIDMLFSWGPKSGYT ADPPKIPTCAVKFYVEEDAYLKYAHRYRSYRQKYLNGAYLAWIKSDIFLRNFADNTPM SALTRARFNSWWTGYRDEMRAWEEQIDSLVLPSWASIIRELREIIEQRLDLDKEWDRW V TRV_05348 MADTGLPSGWEVRHSNSKNLPYYFNAISKESRWEPPANTDTEKL KVYMAAHHSVQAGDRHGASGQGEGKIRASHLLIKHRESRRPSSWRESEITRSKDEAIE ILRNHKQRIQSGEASLGDIATSESDCSSARKRGDLQHKAWVTDKSYSGFFGRGEMQAE FEQAAFALKVGEVSDIVETASGVHLIERLVTRCYTHCCLILTVVI TRV_05349 MVTIRRDGEEVRAGDDGEMGDAVRPAKSAQRAMFERGFRAWSGL VDTARKATGLPDSCFDLNMLADVPSLSPGLDLKAEDGWLRATGTSTWATDGSDNSVYI EKQIDIDISRRWPTNITLTDRDLDYCSWFGRADNHIAVLVLAWAYILSARWTELVSGA VLEYNNNSQIVDGDHVISVNTGKVNDDAARWWAAVLSQDGWEAYIPSDESRFKSPWSI ALKSPVRFRLSHQGETLVQPKAISSVDALGYIKEYCNFHGVTDQCYAALSVALLLPRL SSRGRNVPLPIPKLNHEACVSGIIKERASHEQLYEFKYLDKFLVLSCNTKGVCGLLSS IFYDPKVACNFASPWVQAIVAAIKHVGDTQIIHVMAARSPHLAFIWLGAIITGFHNDV VRDGRFGLIPIEPHSAMWTGTIQSFMQEPLSKPLVTDGYISRANECRLLHIIQDEFHE RWPLSPWHPFGQTALEDTEPSVRSNVEKVTGGLVYAKWRWASKEGSLTHQVPRGSLVP VFRELAEVPDIPVSYEGFRDKDVVSENATRMIFDWLRFEGYPASERPIYTHEWFAVDN SDEDAHFQAIQKAERLRRRGLGQQI TRV_05332 MFFLAFSNNQVTGPKAGFASSNFNASKKKGRSTRQKKTYKRGEK TARIPGYQDSRRQKKGKDRQTETVKMSGTSSPRSGARRGTPLSIEVPPLQQPSPPSNT LLITDLNDLSLFQPSSLDSIKAHITATAPLNSFSPLPSFRRIVCSFLTVEDAIRVRQL LDREPLQGKGRARVYFGENTPIQTDSDEMRRSNLLEAPQSQKMFFISPPPSPPHGWMM RNEEPPNKEVHASDLADALGRLGRLYDSDSHVNESGHRPETPVSPTDVATAMNTDMKG SGQRSRSSTLIYHPEHHGSSPDLPAVMVEDTSVGSDMDSDMDLSPLDARPKKIYAHTS RPPTELMEE TRV_05333 MAGPKDAAIPSWQQAASDNSSTATSSAAATESRDTLLEQARRFL QDESISEASTDKKIAFLESKGLSNDEIHTLLGISRNTEATASASDNAGSNSSTEESES QSKKERAGESSSQPTQSPAAALPAPTPTASPSKDVPPIITYPEFLLRQSKPPLITFQN FLYTLYATAGITASIYGASEYLAKPMLESLNCARHEFSETVQENLKGLNEKLEGSVSK VPASSTARPKGISMDKESEDDNESVTSDPTELFHRDIATQTTDLEPTAPSQTAVGTEI QTVSPQTVIEEHHSRLQSLSSSLASVVTTEKYSDSTQGYAKDRLSELQTYLDSLTYSA PLYLTSSLYGSYDDSADAKKAANGEDEAIAAFKAEIRSVKGTLLSARNFPSGSGGLRR TRV_05334 MGVDGEKEEGEEEATEEEEKTMCYAKKTSYIEGRRLLPAVNISF STAGWSSRGKAARGKMHITTAL TRV_05335 MSSTLSLRSRAGVTKLTMNTDSIGATLRSRRSTRNRSTTITTVT VSRSPSTSPEGRRKSIRLTVKMPASKLREVTNGEDDLDKPRNIFTENVIMTGPRNSRS KRKVVEVDSDEDDDPSDIGDEEDEEDDEIDAEGDSDLDADGEPDIDAEGDIDMDDAPP VSPIAKQAASRPTVTVTPAAATKVRKTDSRAALEDDEEEEDEEEGLSELESDNDIGGQ DDTLGLETNDDEPEEEEDEEDESDEELMGGSRSSTPDLSKMTRRQRGRIELGGDFLQL PMEPQVKKHLTAEEHAMRRAEMARRRKNLSEKRNEEEKKLTTLQMDTINKLLKKQAPK RRGKISAAEAAGESTPGQQEPQEPEKPDPTMIRCVINRNGYRIGVPNEWLGTPAGNIF SNPTSTPATHIGKLVEEI TRV_05336 MEQWRERGYVPDSDEGDEFDSQELLGGIGGEPVIAEDDGTHIEE TGYEIPQGDDGREDGVEEGTQKKDEGRAFDSSQRTHVPSEDDLDTDPLQDYQTVLHAF LSPSNRRRQSPDGHENSENTLSEPQPPSSPDELQFEEFRQQPQSTPLAKRTEVPDNDI PFDSLNSSPLSSVPPLLESPRSGEASLALPQNDTELQLPQQQETVQLNDGDIDMTDAG LLQWQNQSRRRALRQRNAIQLHPYMLENAQYRSLLRNRGLQPVRIPNSEPRPQKATGD SQSFESQDENVPPSSSPLYLPPSSPDIYDQPGLSQSLSDRDTTSQRDELRDIYDTNSR LRSVKPSQAGNKRRKLSHIMENRRSGNSSQNAGDRLQVVINTSSKSKQQDDLQELYDI FDVPPSPPSSGEGSSALPRDPDTFRFPPGFTPAQSRNQLQTPVSDKVTQLEHPDIISS DPPSTVEREEEGVTIIEEQPVDESSSDSPEEESFIIRQMQRRIKGVLPASFARLDHGM HEEYKRQAAEREHRRVLNRERRAGKGVAQPILRRARIENQQEASTRSNPFDLFSDSES EDDGASTRSQQQKERELREQKRLEHHFGLFDNDDDIPEDNRIDYMAPPASRRSTSKKS SRRGERKTQSSERIDGEHRSSWQSQPQRKRQTRITDTIDNRRKSRPNKPRAPKLGILD SEDVRNQHVNAPPQFLRVAARQARSRRDKGRRSPSRKVLKLATKADTRDANAALRNWR SGKYQPAPSITSARPRTIPKSQTSVQPSSTASDVETPAGNPARRPISIPGEVAEPIII DDDDNSTENPTRTKPIKRQQSLKNSWVVQRPYGISSLHSQVPRPAQLDIEDIPTTLPE RPSTFHGTLAALRKAYKTSSQPPTLTRFLNEPQQLQLRQPTVEAPAPLPQTTKEPEKR RITKKKRQPKHINVETIEYRQPPDFDNVISDTASFAHPEEDYLITLPGLHRPERPYQL DFDTHPLYTGTYYHQSTFIGSGKFSQSLRLSQDLDIDRGHSTIQHVGKIYNWSSWNET VSSELGEVFAFIASQTNSGANDARAMPTSAPDQVLRLYRDIVCYVSEHLSFIDPIDRI NFVSRCTGFVAQITETFISSPDPEAGVAALTSGIEMFNLVFLNQLLQVSKHETMDLAR ARPVVELMKLSGERIMDIILTPVGVRNIQQFLEDNRKLEKRETGIRDEYPFVDALVIA QRILQLTSIKDMGVEAQCLDRVMVLAHHSIEDLERVWEAIFILLPFQEIDELGVFRSG LRFQLQNDHWAVVKQLISKILESYRSNPKEQWIFLNHYLRTLLHRCFILIKFWGWRHC KLILEMLFDFFTGNLLYDLEKEPVHGSPWFIDQLDSDPVLEIEASDSCFRMFLKIVVV GLRYLNSLLEPKKILNLVWRLLPNHGRTYPKEKPLRVEDLNALRNHHDLLCALYCCAP KGCRPHLTTIQRLVHPPTSHKDACSINIHSWSRLVRFTLIKDRDTPDLGKFAEWHGDF VADILKLHSQARSEIETEAGSATFFPRQYIEGAIAKNERHAESLISDALVCMKSAIDA AGNVDQAGLLMEKMPLGRIFGLFNPTVKRRDGVIYKALDVLKAFTKADNRTAATVSTV EDPDDPFENNDESQDDWLDLCQEQLEVASTGSSYLNRTIAPAISHFVSTCFGVDQTPD DSILAKTIDCWLAVAQIRVKHGMRQWSSYFNRYDQDSWPALKPTDQTRKFMPYFISRL ISSDPTSYEECRPHMLTYWGTSLVEREVFLKYQHELTNALLNEDRKNPLFRNLPFAAS GTTGKYEITLMDFCQRRVSLISCVLLNMRVHLPELPPTQLNAPSGFGETYQEMIRAMM ATMKQNSEEVGKAVVPGSYVDFVHRIVELLQVHSEDIYPVDKYFMDPASFPLPASDPN YVVAKLKRYAGRLSGGSRFAKQLVTYIQGVSERAAVDGQQSYLAGQFYEAMSDASESG GYERPSLRCFLLQCVIPEYVVVSVSNPTAWIVARPLIQATTRIFSNLVMSVDCTKQDE IDIAITSITAYFGAVYTAMQYPINDPGLMQESSVLLTLASLLESIIASLAMVDYLDRL GQEAADLLSYIEFFGQVALFGLSTLLDPPATRIPYLRDLTNSLESQIASPPAFFTESR NFAARELESWLEDNWAVHEGKYFVRRGQQYTKIDVNPGTLSVELAKTAFIQTVQALFG AIETLQLF TRV_05337 MDSFGGLPPPNPVVSAPSNSVFASLHRRSRTAESPSSVTKTIET RDAAAREAKRFLLQVVRNDWAYPPLLTHAAAADAAEPDTPRAAEGAPAEEPEEPREIA WRHREMDNSGSEWEHGLDGPEGLDPYRFESPEAVARGLSDRRRKRRKIQEDEMKWNEG LSLWTARRDAWTGAKAPERPADASMSGAKANLEAYRQSISSEESYNGSYDTDLVDTES QYTLSRPASNASATGHVKPSEPPADNAPTGDNVEQLTVSLDTKSALDCQAAATSDAKQ GEDDDDECLVPIMGPLIPDSNMLRAAITPNVYPAIYSRLVIQSNTPAVPINLSHMTRA LVQGWKTNGEWPPKPTPTKDVPVIKRPKQPAVNTSLSANANANEHGEMRDGKGRRLSG VSNAVKKVLGLSSFNSGNRLHLRSNSHSGSVSRPPTEDSLNAP TRV_05338 MAQCSQNTASLNRHLKKVTLIAVSQVLGGYSRCVRLALVLFCFL GRDDISLALCARGATTRKRWTDSGGIDETEADLDSGLALLLADSTALLPILRELQLDG AISIGPSNAFRMDPVYSTKILATLPLELRHLWRLKALLFASQTIPWEYLEPDFRPTDM STEFAHLQHTLLEIKNNERYRALDSNKKAEVISSLLEASRFTDVEGRLFAIANAKELM IGLKSRYLKYYVAHKESLALRLTGDVAQAKEVLNSILPIASRYPSLRNVKTHWARGHI LIQRALDYSQASELDKAIELLKGWEAMSRHPSPIESTVLFRKHLLMGELLRYRGGFNE SWSHLQRSERIIGIQPKLFFTQYRTDLFFNIGSTLIELGDFAYAESQLRKELLRQAKE EDKAAPLLNLALAESIFAQKRYAEAQLICCEVACQAHISEREELHVLILFAKSSHTRS DWRQAYNYWHMAFLFSSSWKKYYRSLKIG TRV_05339 MAPAVINVPQRPGFITIGITGIICKEGDFIVKYPKTEPETSSYA QGYNIMQLKMMDTERQIYERLGPHDSIIRYYGPLDDSGAFKLAYAKQGDLEKYIPRHE MPSKATRIAWIRSLIEGFYHIYSSKVLHQDVKPNNVLVHDGSVKIIDFANGEIFPPDT DMEKVYTDDPFAKGDLCGIASVIYSVSAWRVFYYEYFEDNRFPRPDEIPNTEALLYRE IIDKCWRNEYHSVRSLYEDFQKHEQEVIIPDLERDEKPRMEFILWFCAVPSLLLLSGR FLCGGFR TRV_05340 MRNRRAEDKPAKPVAIKLPAWFHQRNMKLSDQSAHESQLLPLDV QISQKSKQENAEDGDQLREGQGGDDGSAGKPDDGSPSSPDSRYTLSPEVWQELRAAVQ AGLTLPAARYAHDPASAKPHLVLQYPGEGGILFLDAVVKSLATDLNTSIVTLNAQDIA ELYGQQRQESDQLSSSMMLLLGYDVYRPGQRHTQKEMEEEAEEREEEEEMEDEESDRW SSQSAVPVTKISADISSLVNPSILQSLFGGKGSFGVAKVVLPGGERKEGMDSDEDVRC LRLINELLDVPLGKCVQAEHAKEQPSSTPEPTDPIQSAEDTPTTPPTPTTPPSQQSSS PLPKKPTLILQIQDYKDLLNTRGGSFFLSLLHKTVMRRRRNGDQIVIVGTVSEPESDK GHEKAFPRLTPRDYDQRASTIVVPPAMSSKAAEEIFAKDAKKRILDINIRHLKVMLKT RLRRSGTAAQSLLNDQPWELEEELIRTSGLDTGYWPFSLVHRISTLTLGCIKPDESLT LDHITKGIELVGKSDQVKCDWLGEKQNKAKPSKPSTHKDRRNKLRPKCNTHEEKLLNG VVDAEGIKTTFADVHVPPETKEALKTLTSLSLIRPEAFTYGVLATDKIPGLLLYGPPG TGKTMLAKAVARESGATVLEISGSDVYDMYVGEGEKNVRAIFTLAKKLTPCVVFIDEA DAIFCSRTGASNRTSHRELINQFLREWDGMNSLSAFIMIATNRPFDLDDAVLRRLPRR LLVDLPTEQDRLAILKIHLKDERLAPCVDLADIAEKTPFYSGSDLKNLSVAAALACVR EENDIAAQHKGDEPYKYPEHRTLRKEHFEKAMEEISASISEDMSSLTAIRKFDEKYGD RKGRRKKAHGLGFSAPNAADAPGAETGRVRN TRV_05341 MAVGDGSSQTDILASNRSTGEGRSACLFQGRLREGKEEGVCVIT EPEHTDTTYLHRISYTTVLIIIPTTSSTSLLLLLLSSSLRRKENFLLFKRTKKTSVPR DLVPNGLARPSVLPLRSFEVERSKVDDDDDEQRTATKTSDDDKRRRRRRRSEETRTTR ADLLGAALDLM TRV_05325 RQLQRQLQREALNCKAPLETDTLLSSSRVSCYTSVKMGTGKKEA SRRERQGKSNDGMGNVRTKGENFYRDAKKVKTLNMFKDGKAQRNASGKITKAASYQSR DKPSARIEPNRKWFGNTRVISQEALSSFREAVAEKAADPYQVLLKTNKLPMSLIQDGH APNGLKQHKAKIAVENAPFSDTFGPKAQRKRVKLSVDTLEGLAGETVKMYDSYLDRLE QAKLLSGTSGDANADTNGDDAELTAAREPIFSKGQSKRIWNELYKVIDSSDVIVHALD ARDPEGTRCRSVEKYIQEEAPHKHLIFVLNKCDLVPTGVAVSLYIFHIIP TRV_05326 MEDGLLPLWQINPPRNLGFFLKSRLTCFRFEGIVAKGMAAWVRA LSKEYPTLAFHASINNSFGKGSLIQLLRQFSILHSDRKQISVGFIGYPNTGKSSIINT LRKKKVCTVAPIPGETKVWQYITLMKRIYLIDCPGVVPPSNNATEEDILLRGVVRVEN VENPEQYIPAILKKTQQRHIERTYEIKGFTDATEFLSILARKGGRLLKGGEPDVNSVA KMVINDFLRGKLPWYTPPPKADDAGDEKINGREGRLGEMGIKRKADEAQGDDGDEVRS GPDASEDDALENGSDGNEDESEFEGFEDSDDEDGGAPIDTDPSR TRV_05327 MSNVPSQPSAKVPQTEWSSLYEQQEHKPSTGSHVALPSFGKLAN PAPLGLFGFSVTSIVVGLYNCGAGLPNSNPRGSSGPDQAAFGLALFMGGMIQIIVGFM EFRSGNTLGTTIHSSYGAYWMAYAMLKIPGLHIREAYGDERSYTFALGIFLIIWVLLT IVFMVASLHSNILCLLVFVFLALSYLFAAIANFIATSLPEQSIQMNKVGGGCGVVCGM IAFYCAAAGLMSPEMTPVRLPLGPAPWAKEHIV TRV_05328 MIGRGIGTAELAEHKAQRKSVRPAFLGHVIKELVPKMWLKALEF SDFMATESKATDGTIEFHRLVSLVTLDIIGVAAFGEDFKSLYGSQNELAATFRRMLDP TWDFIKYFVACMLLPSWISAMLPMEGNKTLTACKSKLLSLSHQLVTDKKEVVKKGGNY STTVLSVLLKNGEFSDDDIRDQMLTFLFAGHETTSTSTTFAMYLLATHPEIQEKLRLE VQGALSQCHPHELTDQIFESMPFLAAVVSETLRLWPVARDSVIRGVTIPKGTRVNMSI LTANRSQSIWGADAAEFRPERWLGEGRETHGGTNNRLASSITFLFGPRSCIGQSFARA EMKCLIAAMVGKFKIEMLHSDQQVVVAGAVSLIPSPPCPDMLCLSNTLYTLSSRSSL TRV_05329 MADHDSRSMSIVPYSGGGDVVLRHDDAIVVFDPNSQQLVLKPAN QGVENIGDRTDCPYCHRPLRDGSPEHSQEPHRGPTPATAAPSRFVNPEYFRLLENTIP PSAAADQPPSSPSSPRRQLVPPGREEGTPASGSEHGSGGDGESPSTTHGISSTAFSHD YFKRFFVEERELGRGGKGVVLLVKHILDGVSLGHYACKRVPVGDDHEWLKKVLIEVQL LQHLSHQNLVSYRHVWLENARLSNFGPSVPCAFILQQYCNAGDLQKYICGSIQTAADT PQQLKARLRRRSRGQPELPSAASGPRRLQLEEIYSFFKDITSGLRYLHVNGYIHRDLK PSNCLLHETGLELRVLVSDFGEVQFENTVRNSTGTTGTISFCAPEVLRRVSPGGPFGN FSFKSDVFSLGMTLYFLCFGQLPYRNADVVDEDKEDLDLLRAEISQWAGFDAARRMRP DLPDMLYSFLTRLLDVNPDMRPTAEDVLSGIQTGGSSGRFHRTSPSPTDLQPTARVLP VDSPATGSPSRRSNSPVKRAPPALDLPYAMGHSDALVGGNSSQPISNESEGSVEGRRG GHTNREPTNLLVRSTATSPRIVREYPEGRNRRLYNISNRLLLPPPSRFPILGHILRSR PIIPILMNFTLLTIKIISLTQLCAPLTVKTWIVYPLLFLAVLDLSVPKRWLHVAAFLI HALVLALALKTGSLCAQGFHDLHSLR TRV_05330 MAGSNEPLLSLEEKRLELEKQITNLQTSIYHWKIWNAEYDGLKE EILSLPENSSREHILEVGRNFEGSQVTEKEVRALLGEGSSGPVVSRSPQQVARAIDRR IDYVLENIKTLEKRATSLEDKLNTLVMEQPDDGLNEEGLPITDIVEKLDEDGNVISSS TTMPGKETNELLNALKKAGLEVRDGKLNKEKVTTEVKDSSVLLASTTNKAEESPVPDT SRNKSIRVSESDVMTQSPEEVEFQAPDTSAREKDGTTSSLPVAAPRSTSGMGSLEPDG TSTDVGEKEWPAMAEVDGDTPEDAALRREMLQYGLEEVGAIVAELELDEDGSEFSIDD EDYDLDAEDSEDEDEYGRTTRKVLDDDYIKRMRELEKKLNAKSLQNIGPDSSILPSDV RTQVDQAPSAMKKGPAKKKTVAFAKELDIAPEPSPKLPPAPTKTKAEVLPPPIQEEVV ERSGVANITKERNTGSAKKVSRFKSSLNAENSTPVGTATVIQSTLKPANSSSSLNSRA PVVTPPLFPATPSTPKPFSHPIPDPDTISLKEHNKGGMNGKKASSKPLADVLVERTVH RDSVVPPDPDELDEAIHRREVAAEFYKLRNHKIQQSGGFLQENETESLVSLNADDHSQ GEKEKPKRVSRFKAARAK TRV_05331 VLSEGSSGDGHGHEEHGHEEHGHGKGGHEGLNCHFHAGVEKKKR HLLTAVRHCIPENGSEAPPMSCDRVDRDYNIPYRIGSLFAILVTSAIAVFGPVLMQRF FASTMNIFVFTIIKQLGTGIMIATAFIHLLTHAELMFGNQCLGTLQYEATATSIFMAG LFITFLIEYFGNRIALSRGKKHPQGDDMEPSATSSHTSPVSGTKTGLDSAIANLGHSH GHQGFPDDKISVFLMEAGIIFHSVILGVTLVVSGDSGYTALFIVIIFHQMFEGLALGS RIADLANTNISTKLVMSSIFALITPLGMAIGLGVLHSFNGNDKSTIVAIGTLDAFSAG ILAWAAIVDMWTHDWLHGDLKDASIGRMMTGLLALISGMVLMGVLGKWA TRV_05322 QDALSLNAEGETIKSENGQETFHISHPMRVFDDGYYKYMTLMYK HLGIECDPLKFLFSYSFIPKAIPSPEAQSDQAQEELAQPKVTFLYSSNNHRLPPLRPG GQGFLSWLWELIYVAFFFGWFSLACFWIDARKDDQPETLEEYFNRVRLPRHFVYRYIL PPFATLSTCNHAEMLSFPAHDIVQYVRRTYRAPHYLVREGTQVVEDTLSKGLNIRLGA RVTSVRPTGSSVMVCWDSTSSASELAGEKIPPMSSCQRKFDRVILAVPPNIVGAIFEP LREETSLLPTRSVESLIHTDASTLPNIYSEPVKAQTTSREEVEWGHFRSTVESTESIH EHPSSYIITTCPILPIDPSKVVRRSYFTRTLRTPQSRAVVNRIFDKSATPDGQATEKP AGWRNGDGNVFLVGSWCWDGMVLLEGCVVSAMRAAEDFGVRVPWAQS TRV_05323 MAPDIRNFFGPKGSQGAAPKPKPAVKESEKYAISCGKPCHRDYA NCGLGSRKVIEDSDEEEETVKAPPKPQPKKKPKPEEPKTEPTTTSEYFSSSKRNRKPN AAPDNKPNSNGQEKKVLATRESPRKSTRTAPSVEIHPPPLPSKEAKKPRRAERIIDAD DHLGGDDIFASEFQKAGKGDDDYQEEDESDDFDDMIVKPPTASDIHSDKTKKDTLPEP ERKVQKRKSAALSEDEEEGEDDGGKKKTAKARAPKTTSKSTPKKPRATKADQPESKEI QDILNSIPEVKAPSPPTATKKFNFVAAAQRAQSGGTIDDSDLPVGEPNCLAGLSFVFT GVLDSLGREQGQNLVKKYGGKCMSAPSSKTSYVVLGNDAGPKKLETIQKYKLKTINEG GLFELIRKLPANGGDGKAAQQYAEKKKAEEQKIKEMAAEIDREEKKRAAESKASAPPS SGTGTKDKNASDKREAVDDRLWTTKYAPTSLSMICGNKGTVEKLQTWLRNWHANARAN FKKPGKDGSGTYRTVMIHGPPGIGKTTAAHLVAKLENFDIVETNASDTRSKKLLETTL RGVLDTTSLQGYFSGEGKKVEPGKKNLVLIVDEVDGMSAGDRGGVGAVAAIAKKTRIP IILICNERRLPKMKPFDHVTFELPFRRPTAEQIRARLFTICYREGIKIPPQVLDGLIE GTHADIRQVINMLSTIKLSANNLDYDQGKEMSKAWEKHVILKPWDIVGKILSAQMFAP SSKATLNDKVELYFNDHEFSYLMLQENYLRTNPMAANSYSGKERQFKLLELADKAAES ISDGDLVDRMIHGSQQQWSLMPTHAAFSFVRPASFMAGNMTDRVGFTSWLGNNSKQGK MARQVKEIQGHMRLRASGDRHDIRQQYMPVIWNKTVKRMEVDGKDAVDDVIDFMDSYF LTKDDYDSIIELGLGPMNEKNINIQSQTKATFTRLYNQRSHPLPFMKASSVVSSKKLP KVKPDLEDAIDESDDAEEILGEEEVKEEESDLDLKKDKYVKAAKTKKAGGNAKKSGTT KAKSKKKKVVDDDDDDDIEESEEEAPKKRGRKPKAKGKA TRV_05324 AQSGDFPHLLVYGPSGAGKKTRIIATLKELYGPGVEKIKIDARV FQTSSNRKLEFNIVSSIYHLELTPSDVGTYDRVVVQELLKEIAQTQQVDQSAKQRFKV VVINEADHLTRDAQAALRRTMEKYSPNMRLILLANTTANIIAPIRSRTLLVRVPAPSE DDICQVLKLAGKKEGWNDCPGLNKRLAKESGRNLRRALLMFEAVHAQNEKVSDNTPIP PPDWEALISVVADEIMAERSPARILQVRARLYDLLTHCIPPTTILKNKDGKRMSMLCQ FFEINADKILLLFRKLYSTLKLL TRV_05319 MEIIVMTLLQILSLVYLKDKAGLNNAARRSALNFSRPLPQQLQA TEPQELNMNRPGVINRPRSPAGSPVVSSSHSPSPWGRDPSSLYSMRYANEQVQRPASR LTVPRTRPGPRPDSVISITPADLPGISHYQPMSYGQLKEIRRVAERSKGDRFPSFPEE QGEFCSEPPDGGFMAWAHAASGFFITFNTL TRV_05320 MSDWDSVTIIGKAARGGSAPRETVVKGRSALNAAARSGMIVGTE KKYATGNSASRPAVEGQHLTKVDRSDEIVKPKTVGHEVGDAIKRRRNEEGIKLSQKEL ATKCNTTVSVIQDFERGTAAPDQKVLAAMERVLNVKLRGSGIGQEKFPKKKK TRV_05321 GLEYRDQTDDQVTVDAAEAIKKYGVGVKCATITPDEARVEEFKL KKSKSTTPRYIFCPVLLTPYLSVAISQWNHQKHPYRATDRLIPGPGTLELVYTPAGGE PERIQVYDFKGPGIAQTQYNLDESIRGFAHASFKLASLKSLPMYMSTKNTILKKYDGR FKDIFQEIYDKEYKADFEAKGIWYEHRLIDDMVAQMIKSEGGYVIAMKNYDGDVQSDI VAQGFGSLGLMTSTLTTPAGDAFESEAAHGTVTRHYREHQKGRETSTNPIASIFAWTR GLVRRGQLDETPEVVNFAEQLERACVEVVDIEGIMTKDLALACGKKDRESWVTTKEYL AAVERRLQKNLGEETKL TRV_05308 KKEKKEKKKKKEEEEKKKVGKLKKKKRRKEEEEEESRKGLREGG GGAWPVKAS TRV_05309 MDAEGFPAFQEQTQAALVDAVKTTNRIASGDLAFHRSFDSPTSR SLTEQSNRLLSLTNSLLRIASANNPDSPFNASSSSASADSNSRSGKNKKKKPKTVLRD EDSVEENWKDVVDVIDELLEKADACLDEFTGVIKKYAPAQEAQKKETAGFPRMYSHGS SKIAKPQLQFDVKLDNADDGRAFRPLLKSKPHALVGLEESLGGSIQDPTKPYNHPYEK EIEASTYPARVYEKAEPTMYTPVADSKAIFVETLEDVHAMLSQLKQAKEIAVDLEHHD SHVYHGLVCLMQISTREQDWIVDTLKPWRDQLQVLNEVFADPSIIKVLHGSSMDVIWL QRDLGLYLVGLFDTFHAASALQLPKKSLKFLLHEYVGFDADKQYQTADWRIRPLLAGM LDYARSDTHFLLYIFDRLRNQLLELLPSSSEEKESGPGGGGREAIEYVLERSKECALQ RYERPTYDAATGRGSGGWHDMLSNSPVALTREQFAVFRALHEWRDKTARADDESPQTV LSKRALFRLAQEMPEDKFAVLRMGSPVSASLRSRTDEVAGLIREARQQGGATGPEMHE LIRRRSPAGHAAASSRRMATAATTATATGRAEEEAAAAAAVVVGRDGDGDGGAVDLSR ATGAAGAGAGAGAAALASSTSTCAVGVRTTESRFWGQTGLENCSINCNDDEYNHNDTD IHGYSVSASTQALQLSLPLPNMPVRLVEGEVPRPVEDEGEPSEDESGEDEEEDEGEEE EAKVSQRNEIFTVRQFGAPPRRRDGLRDDTGETAFDKDASEILLDEGSARKKKEKKKQ LKQRSSLGKRPRDEGEEAGRPFDYANAESLIHREKQQKNQNQNQKQKQQGNGQRFNPY SKLLEAPSGVKKPRTETAGRSHTFR TRV_05310 MFNRPHPTKMQYMSLRPIPLALGDKHTTFDKGGGGSSGETTLDE VEVAEREARAQTSRLIAKRRLVTVVKHVPSSKEQALPVLINQINCSFEMDRLLQSNIG LIGTRPKRALSVSQRVVESATTAWGVVLWGITYVVMVWIYPIITKGFIACLIGHRVIA EVVLRILEWRAAPDAAALKDISATAQQIDIRLQQFCYWPIQYLTLRKRKDDWESVTNS HPDYIRFYNSLWLVANDVIIGIALGSYIVDNADNVASEINAVLTGWTVDGLQQTISWL MGWPAGLKLNNELAVFLGDLFLWVIAHWASKKNPLLYLLVDVLRPAVHLHGAHLQLLH SVSPDLQLAADDHHLAVPSLPRPQAQHPAQPHRLLRLRPGPTAAGDDPVHPAVLPAAD GAGVLHHLCVCEDGHYLPEGRAGHLSGLSQPFPLVCTDAAPQGLSTTPWYALLLLSLC GGTRFELRRPSLPPPPNDQLEYTETSYIHLKSVPLSLREMFDQYFRLGQRIRQHYLSP RVILCLATGQFVPPIHRRNLYSLQYSMLPAQRVGIVDVWSRLTAKSSTGPGSGGGSGP AGSSSGAPLSFPRGDSPPSPLSPFSSQHLHPLTIPSPSLCYPLHHPLHCYLHHPLHCL LPLSCMTKNPSLVVLPRRRPPSLPSSSFRIASSGYKIPSSSSVVDASFCLLSLSFLPY PPSWLQSAEKSSADAARRQAKAKASLYKDRRRPSTSPSFFLFFLLSSLLFFFLSFCST TSRCITSDTRQRRETALSFLLVTYPKEREARLRQTSKMHGKWTDEEDFAIVALRLGTN LSWEGIKAKFDAAFPPANCKDLESRWNKTLRPTLSPGLGNRIACAFDDYRHYRPRHIE DGEQRRLVLGVLRALADHPAERIVPPHPEELESSGEIQPAAAAPALQPSGSATQLSAP PQQPPAPEQQQQQPTDPAQGTGASGQQQHGSGPTSTTAPDSPLFVPQDDNNVDVADSA DNTTAADASAANTTTTTTEEAGANSTVAVDTQTYPQSLLQQRVEQSPPDQPMLEINAA VPPPPPSPTPQQQQQTPQQPSSDLGPVVVDPNIDPQLYGYQ TRV_05311 MASRPELKVDDEVGFIKFFRSLDVEDESTVRVFDRGDWYTAHGV DAEYIARTVYKTTSVIRTLGRSDSGLASVTLSVTVFRSFLREALFRLNKRVQIWSSQN GASGRGWKMAKQASPGNLQDVEEELGGASGGNAMDTAPIILAVKAATKAKEMRHVGVC FADASVRELGVSEFVDNDLYSNFESLLIQLGVKECLIPAEGQKKDVELAKLRQIADSC GVAITERPVSAFGTKDIEQDLSRLLKDETGPAMLPQTDLKLAMGSASALISYLNAMSD PSNFGQYQLYKHDLAQYMKLDASALRALNLMPGPRDSMKNMSLYGLLNHCKTPVGGRL LAQWLKQPLMNHKDIEKRQQLVEAFVTDTELRQTMQEHHLRSIPDLYRLAKRFQRGKA NLEDVVRVYQVVIRIPGFINSFEGVMDEQYQTPLDAEYTDKLRKLSQDLGKLAEMVET TVDLEALDNHEFIIKPEFDDSLRIIRKKLDKLRHDMDSEHRRVGRDLGQDTEKKLFLE NHRVHGWCFRLTRNEAGCIRNKREYQECSTQKNGVYFTTSTMQALRREHDQLSMNYNR TQTGLVSEVVNVAASYCPLLEQLAGILAHLDVIVSFAHVSAHAPTAYVRPKIHPRGTG NTILKEARHPCMEMQDDITFITNDVSLIRDESSFLIITGPNMGGKSTYIRQIGVIALM AQTGCFVPCSEAELTIFDSILARVGASDSQLKGVSTFMAEMLETANILKSATSESLII IDELGRGTSTYDGFGLAWAISEHIVTEIKCFSLFATHFHELTALEERYPKAVSNLHVV AFIGDGPAAEGKQKKKQEVTLLYRVEPGVCDQSFGIHVAELVRFPDKVVNMARQKAEE LEDFTSSGADGDGKQKTAAAVEKFTAEEVAEGNALLKAMLVKWKAEVEAPGNEKMSVE EKKQRLRELVAADEKLQANKVFLDIKAL TRV_05312 MRREGGTSQDVRGETPIEEGNDVHEDGNGSDGHRDKRQKRNDND DPRTGSVPGSSSGPGTTDQELYYDLKIKRSASGVGPQTHDPELYERIQRDARAGVYIG PGAVVVARCTPHQQPRRDTSHSHGHAAGDTLTPGGRERAGDGQAETGISATGTPSDAD ELPAVRTKKRARVEDSSEEPGSGVGESSNTAKIDKGKGRAVEGNNRSDSVDHPRQSGA VSESGEIKTRPEHIPYSRFYQERLVKKLRREFGRMGRRRLLLHFPQPTHPTRRIRTWS APAISHLGSFGQTLASALPSCPQLTRPGRRVGLINDGKTPVIKEERLSEEIDPQQFPL PSIEEEPEGEAGTSTRNEVERLVSGSISQQPHGEAEPESVVEGPPRRARRQAAISGRE KMREHTAPPSRRGTRGPAARKTPAAPSAESRPQTREQISVEIPVTVPKVHDQEEQAES STRPKRATTSRAKSKAPSKAPAAGKATPAPETAKAAKVTKAAPKRPTAKAKTQAKGKA APKSTAVSKAAKGGAEQPYCHLLSSLRFSSSALLLSFFVFVFLISKFTS TRV_05313 MNAEEQTKSPPVFQDQVNKTDQEVKKGDKQRGKNGGQKNGSRSI KSGKNKRVINSFQFIAAFFIQLVIPRSLFIPLQDNFFCCKLDIRATEQTLTGKGQEER IRRAEQNRRESSLSK TRV_05314 MTVPEQQQQQREQREQRKEPSPGPATSVPTLNGQPNGQPLAGQN GIASHTELASNHGTNDVTVTEQHRHQQQQQPQSAVHYAHSHTSSVSPHNTNTQPAAAS TPNRTSVVSREGSSTHSSNFDEMQHPESDGDHYQTDNEIEMGGTGDAGPSGTGPAGTS GAATTNSITAAANAVTAAAVAAVAATANAAGGPPSKKKKGQRFYCTEFPPCNLNFTRS EHLARHIRKHTGERPFQCHCSRRFSRLDNLRQHAQTVHVNENIPCDSLAASGTRFQRQ VRTDRVRHNGRARAGTAGSHSHSHSRNLSTSSISSNMSSYAPQHQELRRRPQPLMMAG SGAEHHHHTRSRHSLSLETRAEPPKTPPNQSSAPGPTFTPSSATYDQSSPYYASPSSA YGSSHWPDRNVGRRLSVPTSTRPFDPSHQNYPSQPPYTRMTHPPYPSQSIAPAPPPVM APAPTDPAQYAAVTPTSAIDSGFEADPRRRTWHPSSYTGFSRPPQATSGLWYQQSAEA PGATPGGNVNVPPLSSPSQPTTTRLPGIESFDHVPPRLEYNREREVSPRSYDRHHYQP QPYSQPPPPPSHLMGSTPFAPTFNAGPRPAPPFSGAEHRRGQLSLDTTLQRTLTRLDL HGNSSSGGSAKDATSWSQATMGELQSIGSRPSTGAGTGPLKEDEDEMEADEGPGPSVP TETSPTHHQPAQYEMNAADHHSHNVHQLLLQQQQEQQHQQLLPTRPSGGVGLGRLQAL VDIATSETEQAKYKPDDPAHG TRV_05315 MMESFVDVPSQNGHPCDTAGAVKEDEGDDILADDQVESSRSKQR GEEKKQKKSSRRRRRRKKKKKNKKKEEKGDKVEGSRREMRLKKRREGYVRASKKDASH GRPMETSTSWMACHGISRRGGTKEWSEVPGRQPARQAAGVSRQGTGTSPTSDQLTGGP FN TRV_05316 MTSSPSYVDYETFLDPSFSPTSFAQTLVATTNNPSDTPLDLSTP LSRVLFDIQEVDSHVHTLTTKSALPLLRYTTDTTASGQRVLLALEAQVLALTEGYQRL EKDVVRRWEGADEVRGAAERSWATVKLARAVSRCLMLGRQLEGQLVEISGRDREDHRA LVRASQTLLMLRRMFADNSNGGDDGEGEGYGAALERVKVVRTLKAELVNPAENSVKAR AQQIVSRFTLPTDEQGVVLRPGAGGTGSTYAQQEENRARLISAMTALYLLSPTTTQTI SAANFQPELLLVTLKSFIHSSLTASLQALLRGLSQLPSLERALLEVSNRCQTVVVLER ILQGARQPAHPFYNRAANDPSSSSSSTLPTSTSEKKEKHVKNNLLYPLLQYLDTTSLP SYFWRCLASALSPRVGELLNRGGAAARALRGNRERLRDEIRQCVLRGSSAGSASRERD RDREQEADGGGLVVGNWEREAAVMVGAVLGNR TRV_05317 MRRRRLPRAGALADLPPSKIIRKILAIQLAYYVCATVLILFTAL VAGTSFSPDLILSWRTVRGDTTVGWTLGFVWLLNSSLGAIFLLLFVSRSKLIPDFAFT LHFIHLTITSFYTHSVPTNMLWWGLQTASATLMTFLGMWACQWRELQPIAFGGQAATT GTRQQQQQQTPATDADIESGIDLENMSRGRGRGRDRDGGGDYVEVPSKEVESTA TRV_05318 MGWFSSSTEPAPKKAADGGSIAPDRTSRQQCWIGRDKFFACLDA NNIIDALKEDSAARSKCSKEIQEFESACSATWAKYFKEKRVMEHRRDQTIQRIKREDI ESAAAQKGTK TRV_05299 YDISEKDGAFYVHTDEASLKAWGREPVKTCKVAGNDNVVVVGGG SGAFGTIESLRELGYSGNITMISKEPNLPLDRTKFSKALIADPSKIELRSKDWYSNVS VNAVSDEVTAVDFEKKSVATKSGKSYPYTKLVLATGGTPRVLPLPGFKELGNVFTLRY VTDVQAILAAVGEKGKNIVVIGSSFIGMEVGNALAKENKVTIVGMESAPLERVMGAEV GRIFQRNLEKSGVKFHLSASVEKATPCSADSSKVGAVHLKDGTELPADLVILGVGVAP ATEYIKENPAVKLEKDGSLRTDESFAVQGLKDVYAIGDIATYPYHGPGGQDGKFHVRI EHWNVAQNSGRSVGRTIAHSSTSSHPIKPKPFIPIFWSALGAQLRYCGNTVNGYDDIV MKGEPENAKFAAFYTSGDTVVAVATMGMDPIVSKSAELMRRGNMPGKKELVAGTDVLT VDLPGKVVM TRV_05300 MLADVNEVVPLGAPTSTGDAEDDDGGQWHLHDYVVEVMGSECLH FMRVDTLLREGDEVVIRPLDHEDISARVATGRRQVTDDGTYLIDGVPWGKSRLELKRT TVSRPSITIPPRNKRRRLEGPDRGLGEVTDPSSLLNEPTVVIEDVNHRKNDAQKSEKE PAMAQDNAVIEETQESNAVVEGDEEDPTSATGGVPVPSQTAAVEESVLTTPPTVKRHK TTHVASEGARLPDHEEENQSEDSSSKYSSEDETLSSSEDESSDDSSDSGSESDSDSSS ESESDSNSDSSSDTTSSSSSSSSSSSDSDSDSSSGLSSVPKLPTSKKKGTLPKSESSK QKNNVAKGKVNPPGHGTLRTKHGNLRTKWRRRLIRLKIAGILDKDANFEDMRAWENGQ GGSEAVNALLEAKEQSEFERKREQLLRDIDEGGIEVPSPSEKRRKHKETPSSVEVDCL ATSTPAKDKASPVPDGPVSVPARQSKLDIDSTRRLLFGSLGVKTPKTKEDEEKTRAKL AQKSSLTPKATPKEPECVTFETSEEVLQPIQNWQAKITLKATECFYDGVKLSTPPFPF VQRWDSNAQAVIQELRASQAPQSKKKKKNKRKRQSQSNGENEKEYPDEPGQNENHSNE DITLDYGDETGGLSMDVSLVAETQPKAKSQSDKRTSSPENQGLMECRAGDLPPLPKDM STLPNADKTNLLPGAIFAFKQLDVSKATNWQPQVSSYRTAIVENVNSANTITFRLAQR DRDVVESDDADEGQPRSYTKFEMPGFDDVEEEDDGLRELEIQNLIDAKLISAAPRVSA SKIQEQLADIEEELVRLQEEQVLENLAGESINLASEVSETQNVRITENAPNLNSSQPE DIQVSSPSRREISQLIKDAGFRSSVNSELAVPDRVEVVEDSCPNLEHKRQDDSEGTVC DQSPKDQTVVQRSVALPRDAEKQDAAGPREDSPVVESPKFTGFDYDSPPKGATEETPA EPGPRKSPTPPVNSTVPETAKPSPLSALEDWISAQGSTHGSEKGKNPEPPNNPDETDD DDDSGDSNPNSLVPNPFYEIDNLSENDPTPSLEELLAEATPCPPVSLKPPPAAHKPAG PSSPLPTANPSVNTQDSAAKSTSPPSHEDELLLQGGQDAAENNEPSASQIPDGSIVVD LTLSSDPGAGGDDDYVDEGDDGFEEDSSLFHGPGVKPEVQHHKGVKEVVDGRD TRV_05301 MAGIRVEVARDTNQHIRRTLPPSLTGFPGEAEIKYYIKATVVRP QFYKENYRGFADYKFLPIEPPRKKEIDKESYARREHQFKAKIPPQSPQSPQSPQEKKG LFKGSTETELLPRFSVDARLPSPPIITCNEPLPLRILIKKLNDSNEIVTLQLLQIELI SYTHVRAQDLTRTESGSWVITTRSNIGLPLGNSNDRAGKEWKLDAEMWNRIHLPSSVL PSFETCNLSRTYELEIRVGLAHGPVGLKKGLIVLPLRMAVQVYSGIAPPQALLDAMNG HPSKGSIPAPVQSPVFGFGPGPSHIQPSQPMAGPGPGPSPIPMNPNIPPPQPPRPNPA NHPVLMENYEEAPPPSYEDAMADALAPVDGPRHEYNPLAASQRSSSWTSTDAQSSAPP GNPRSNSFGPSGSGGYDIDSKSDERLFPSEAGSRSPTFEFTSIPPVTVERDVNQSSRP SSTTETDGPENSSLPMQKQIREFQHYQTTGQLDGVSESPTTSTTDLPLMTSSSTVSLP IDRPPQPSRRITPTMPNLGIPPRKPVPAPKKMNSLP TRV_05302 MKFSVAAVAAFAAFAAAANTSLTPEQQCASQCPEKDICCKAICF KVPCPSNQDAKDTTSCAAKCPTDQGPVAYAKCQQGCIQSHFYTPGATPTAAPTGSSSG ESTATTTGSSPSNTSGSETSHSGSSGSSPSATHSGSGSTPTHSGAAVSNAKVQLGSAA GAVGLLVAAFAL TRV_05304 MSSNALLIERISAFVAKHMAGHDPSHNARHVSRVVRLAHTILEK EKTRNPSITYDETVVTLAAWMHDIADRKYLPKPADGASKTIVSNVSYSTEIKDPSVVQ RLISPEGGYPELAIVQDADRLDAIGAVGIARCFTFLGARSKSAGKEGDKEPDLDDAIE HFNEKLVKLEGMMKTVTGREMARERAFRIEEFQRWWADETSGV TRV_05305 MSQSPVPSMPPMNPAVGPPSAIDSPAFGPLDSFSPSPGEQDLAT RTDSWARAIPFGKSPPNDPADGVGFAESPPAFTLPSRGDAYRQASPSTSPPQARLRPP GFADATPASTPPNSLPRDRQQRYSISSHRSNRMSFPPPPHLPQAHFYSAPDVDIPGAS QSGAKHHQQPGHSFCAVEPLPGCSYKSAKTGGNVILVGRDGELDVLGIENSRTKIIGS IQGLNGRVIDAKLLTWTTGADPFASIRPLIALTIHGIVPKEENGALPASSTEPEQLDF LTGSSPKQTTNARPDIPLAQTRVQIYSLKTRDLVSTLFATKPVPCVDTFPGVAPYVPP PTANLRILASGNLVIVASGTSGEVMVFGVARPPSQPIYQCIGKMWTNVQPKETRRYSS SSASTDTDDPQAEASRTAKVTDIPVLSVSGRWLAVISPSPNRVSLQGAVPPHITPRKI YGVDAHTPPSRPSVTCGVDSGEGESFLNKVARGVTQEVFKGARWIGDQGLQTWNNYWN KDSQTGNSTPLRRPQSMDQHSFAADILPPTHAQETQTPPSNEPDLVSIFDLKPLEDFQ DGKSSNPHPIATFQPPHGCSFLSLSPNGLMLLTASKKGDIQSIWDLMQIRHCRSRAFL AEDPSSTAKSNPPATHVRQVARYARLTTSTIRDVIWTAPNGEHVAIITKKGTTHVYEV PPAAFRWPPLRRSTAVAPATNAESYIRDEGTDDGPRNAFSSAMKLVGETTQPFLAAMR GRAPSVGAAFAVTKGFAIPSAGGKVVAAGLSKSVGAATETMNNLRYVGENRLHPSNFA KDSIGAKAVWIGDEHGDELSVGIMDGGSFKVYQVGKSSAPPKHRKRTQPFFGGKILEA RLPAEMQNPYTHEQTIIRGPDTVTGSWYGPTHHRPGGSSKIKSPPLAQAEIETHAPYQ PFHTDRRVSLMVYSSRHSGSSNSQPWVFGNTIPTHKIHLRNASQSDTEDRPDSEGIAK SREMENLISLGGEHVIITTRRKRGRGQSGDDVVEDGFFEDDCDVLDFARDRV TRV_05307 MADTMAMQSAVRLPTPPPGVSYSPRASVPRKRSPTSRSPSPDRR RRAPAQDDAENGQYEPDAREKELAERFRRTQRPAASKPLTEEEKQAAAKAEYEKLLNM RSGGTYIPPARLRALQAQITDKSSKEYQRMAWEALKKSINGLINKVNVSNIKHIVPEL FGENLVRGRGLFCRSIMKAQAASLPFTPIYAAMAAIVNTKLPQVGELLLHRLVVQFRK AFKRNDKAVCISSTTFIAHLCNQQVVHEMVAAQILLLLLHKPTDDSVEIAVGLTREVG QHLEEMSGPIALAVFDQFRHILHEADIDKRVQYMIEVLFQVRKDKFKDNPAIKEELDL VEEEDQITHRVGLDDEINVQDGLNIFKFDPQWEEHEEAYKKLKAEILGEGSDDEEEDD SEASSEEEEDEEEKEMDIKDQSNTDLVNLRRTIYLTIMSSIDFEECCHKLMKINLPTG KESELPSMIIECCSQERTYSKFYGLIGERFAKINRLWADLFETAFATYYETIHRYETN RLRNIARFFSHMLSSDAIGWHVLSIVHLNEEETTSSSRIFIKILFQDLAEVLGMTKLQ ERLRDPILLPSYEGIFPTDNPRNTRFSINYFTSIGMGVLTEEMREHLKNIPKPTVPAI QAPESDSESVSSYSSYSSRSRSSRSRSLSRSRSPSRGRRSKPFSETPQELLQVNITNP SSTTPS TRV_05281 MTDTATQNLGIVHYIYLPANNSLLDRDGALYLEFMDFPVFMAFL LLITICESSFSRLIIKDVPWCGNLRDPQRFNRNISEADSSTSMEATSSRHNSTGLRGD KKEDRERVYASFFGQLITAGVPPHDASSLTGVNRRTITYSTAIYQALSAMFPSFTGNT RRPRQVNLSTRSTNPFSGTPVKRQPPSVHGAQATLAIAQQERAQRQLERERLTSSRTI QRTWRGYKSRKTTWSVWRKDWDNHEKARGVGYHTAAEQQGSAYSSSLECAKQLQLLLQ FAQTTSQEDAVRLVYFSKCFQRTVESTSLSTEGEWTTMLSRLMVTTLDILKASSRISS ISFSIDDLLQLLVFLTRLIPKQMARQARKYYDVMAKLTTRLKPSSGQIAVGLTRDNIA EAVLALLQPITSETLVVYEWFARTYLTLPHLQDYLGTISDIAAQVNYKLLASVISTNL LSSQSFLHAEQVEPRNWLLAYFIYFHRHALGEQALNQTPEVLFVKVVSMLLGSAATYI SQRLDPDEFGDTQSSQELKPLPPFVKNEVLTLVNQNSITHLLSIAGKEQAAENDVHQT SEDDAKSLATYALTLLRIFPKRGDEIRMWLYLGSASDHANSQSSTSKLPAIKFFWQAS RATRVYHNVSHNPNGILEMLLLPTIREDEDSQRQWSDQEQQWTVILIFLELYTFLLKV LDDDEFFSEANNNKYTSWTKESSLALGDVKELTVFLTNLAFTLYWNSSELSAAETTEK AFEMREYFSVSGPHEPNQTVARKLQSKNLGGATGIPLHYFKGLVTGLLRMIHERDSRR RFLPDGHWLMTDRFDMTGFIPTVVAEEEKRHQLQDEDDESEPEGEDIEEALHSSLGLV GTSREQNIRRMEILRRRQQQTSRRKELEAVAPRLEILRNMPFSIPFTTRVQIFREFIY RDQVRRRKGYVDPDAWRLSIAQGASGITEEGIAMARDILSKHHADIRRENLFEDAFEQ FYPLGEAFKEPIQITFIDQFDTVEAGIDGGGVTKEFLTSIINDAFNPSGTLSMFTEND EHLLYPNPTSVEQQKAQLREAGIIERSPEWNEHIRELLKRYEFLGRIIGKCLYEGILV DVSFANFFLLKWALTGGTGSASRESAYRANLNDVQDLDKSLYQGLLQLKNYPGNVEDF ALNFTVTDTVSVPDEKGKEKTQTITRELKPGGSNIPVTNQNRLVYISYIARHRLQLQP YLQTNAFLQGLGQIIQPSWLSMFNQGELQRLVGGDAIEIDVNDLRRNTVYSGIYVLGD DQQDHPTIKLFWEVLEAMPNKDRQKVLKFVTSTPRAPLLGFSHLNPRFSIRDSSSDEE RLPSASTCANLLKLPRYTNANTLREKLMYAVNSGAGFDLS TRV_05282 MEPTRTLYTKTIDHGHKEATYPRAYTGKDFLKEWSEENEPEVDE LRLRQEEIDAEQQSVETETTKDEKQ TRV_05283 MSGRKSNILKPQEKRSKSATKRRPKNNLNALATAEKQFPTKTKI RRHRLGDDEFSQPTRKRVINDEEEDDDEDAGRAKRVRRDQDGSDIDGGSDSEGNEWRI GTVDSDNDSDIDSDEAMGESDEEKFEGFTFRGSSAMQKKDHSMKAAQAQKRKTNTNQF DRDDGDILNEEFNGASDEEAEEEDDDLGEDAVDLATAWDMNEEDSEAEASRRKASKEK RKQVDTMSEDEDDNGSLSAVSDDDEEDDDDGESDTSELSISDNEGDTKQGLTKLRRFV TSLGQDSGSATSTRPKTALPGGDPTEFGLAPSRKLTVADLMPSISDSRMKGALKHIEN DLSTKGTTGIPRKLDVPLPKRQQDRLDRAAAYDKSKETLARWIETVKANRRAEHLSFP LPEPDALQPSKVVDSKPRTNLETTIQNILVESGLADSNNGDAEDKIQEFEELQAKKIS VEEIQARRAELRKQRDLLFREEIRAKRIKKIKSKAYRRVHRKEREKLEQMEHEALAAA GIDMDEENRERNDRIRAEARMGSKHKDSKWAKSLKQTGRTAWDEDARTEMADLARREE ELQKRIQGKRIVDEDGEFLSSSSEDEDDSDSDMPGFKLREKVDKLERDGTDPKDSGPY SGLLSMKFMQNADAARKEANERELREVKRQLNSEESGSEEEDLQEEVGRRKFGTQSTK SSNKPSVNLSQKEDEGGQARNEGAENASSSDSDIDIRVTPKPRQQSKAAPKKTARHGF EGTKQQSPEDDENPWLAETSKKNRSKKKTIGTAADVGLIENSGSIVAQEPKQQLSRQG YQNGSEKAEVANRPVDDDERSDDEDKATMPVLLQNEELIKRAFAGDEVLETFRKEKKK TVEEEDDKVVEDTLPGWGSWAGAGLSRSDKKRVKRTFTTVKGISADKRKDAKLDRVII NEKQVKKNNKYLASQLPHPFESKQQYERALRLPIGPEWTTKSTFQTATKPRVMIKQGV IKPIQRPTL TRV_05284 MATALTCSVAGGRSSASRHITMEFPAPKLVDFGILEAVRLKQKE RGNIRRSAWPQDDARSRGVWQPLHDDDNDDGDGDDDGDGDDGDDDDDDGVVFLMADLW DASVKPQPSADGVTKSAVNAAGAGRVTQASVCWMRGRAADVCSREQRVLLTMEMTPGG RRCEHSMFPALLYLAAAVSSGGGCYE TRV_05285 MKPYTTLPGVAVLVSLLTQSAHAAPFPSRADAGEQESAARPQPI VYKHERGVNNEIPYLPPDPQGHPTIVPFPTHISYLTFNSRPGAIHPPYPHLDISEAGI KAEDSTCWYRNKPCEGVPHIPIVKGFDSIEKRSPAPQRHPPAPTKATAGYQFANCTST SSPGPTATSPSSGIPSQPSAPPATMAGQDIFQPIAKDPIPANIKSRDDHPVEANHIEN PTGPISTNKFYANFFLGNQTSTTFTHPYTMIWAKGDKNASSFGMAISHVEPSQRANGE PNNKLPGNPVRYYINPVGIKSIVLSASELKESTTMSVAKPQAFSAQAILRPTGGASES ITFPLVQGMGFITAIYNNLQPAIQSAVLFRKVEPAGSPQGGIFKYKITLEDDKNWLLY VTPENGADPKMKLENNKLISGPTGFKGVIQVAKNPSAEEGEGIYDKSAGSYPTDIKIS GSVGTDGTGTYKFSFEKAGKGAPLVMYALPHHVESFDDATKNTKKNMKLSTTTKGMAT ACVGDSWTMVEGNLPLSMDFAPWKPGSASQVTLSEGAKNAIKAVAGNELSQDMEPQTN LNSMYFSGKGLNKFAGAIYTVQELVGDKAAASGPLNSLKESFKRFVDNKQQIPLVYDN VWKGVVSSGTYEKGDTGLDFGNTLYNDHHFHYGYFILTAAILGKLDPAWLDANKVYVN MLVRDSGNSVDNDEHFPFSRAFDWYHGHSWAKGLFESSDGKDQESTSEDTMYAYAIKM WGKTSGDKSMEARGNLMLGILARTLNNYFLMKNDNVNQPKNFIGNKVTGILFENKIDH TTYFGANLEYIQGIHMLPLLPNSAYTRSAEFVKEEWEAMFASGAAAPAEKVTGGWKGV LYANLAIIDPEASWKYFSQPSLDLSSIDGGASRIWYLAYAAGEYSTYVAL TRV_05286 MKIATLAVVSAFAATAIAGPIRPDGVGNDKFLIELGPGETQWVT KQQKHEMRAYINSIYKAGQGFVDITDEFGTDFTTAEVVPANYPKSALHAAVVNPMIAG LSKENLMRDLNTLVKFNNRYYESPTGVESATWVFNEVQKIIQASGVKGAKVEKFTNKF KQFNVIATIPGASKNTVIVGAHQDSINQKDPMKGRAPGADDNGSGTVVVLEAFRNVLK SKAIQAANATNTLEFHWYAGEEGGLLGSNNIFKKYKADGRKVKAMLNQDLTGFTKKGN PEQFGLITDNTNAELNEFCKTIVAKYAALKIVEAKCGYACSDHASAHRNGFPSSFIAE TNFRNTNPYLHTADDVIANLDFNHMLEHAKVVVGFMGELAMTPNL TRV_05287 MNTVDIEPPVYARISPSAEKEKVEQRPRGWASRRYPSRDLESGT SGSETMVSSGARHYSARSMPVFDDEYFYNYGKQPRMSRWQKSEMKYRRWLDTMTQRRR TKLVFQVMLAGMIVGILLGLAFGISDE TRV_05288 MAPTAKPTLAPLKTSKSPTFPSELHDSPLFSGKTESIKQEDFLR TPITPPTAYTDFLKTVTPIVASPSSSKDKSFDRLAVSISHPSTATSPYFCNCSNSCRK SPTVASITSSPVALHHHYRSSDGVRKTPTSAKPLRISAPSPKLTSPSFDSPRCCSARS PLPPPDWITESTTRQTETPRCSSCSRPISVRHVITRTITYKRTALEPAPKGKRRKADE TRV_05289 MAREFTPDSEFAALETPRVPNKLQSLTALGRFEFEAGKGNEGTK ILMVEWEDDDLSRSSTGSWQVSWDGKQTVLPAHDQATDQIRRCYFMLPPHATIPPTVT LTYEPPPSSASTVKKSESIQIHPLPAIYPPELGATARTAGKKGVLHTIWAKKRLQVLE KEIKEESQYNAEGIALHMAIEEKEWIEANFGVVVKGLPPLVTTNVLSNLNAPLSPTTP VSPTGGNRLAEKLKGLRLGTSEKDLTRKPMGNSGSDPQLHPLSPDEPDMAISSFSSFH TGNTPVNASTPSLQTLQQSQPSNLSSQPQTHPIGRQPVAHAPPDFIRRQQEQDSGFAS LNMQPIGDNGVLNNEVNTASAADVQDGLFAKALSPRSPDIPKSPFSFSTEETLPYAKQ LNA TRV_05290 MALSGSFAAFLIVCPTCFFLGIIFSLFPYDYPLLWSTAPTPPSH YDYLEAHLRFLHSSPPLIPRILHIVIAVGLLGLMMKLYKPTESNMLFDGASLVLYMCG ITVYIANIVKGLRIVTEGDYGASAAADAAAAAAAAAASGESEDDVGSVQQVLGREDSM KVLAASNTILALVLIGVLVLQAGQWYADRKSQQEAELIRKKEEESAEKDRTDKDTSPK AARQSSSSAANKKKQ TRV_05291 MAAGFGFSVGDFIACTKVAKSVYLAFREGTGASSTYQRFVADLN GLLCGLEEIRCLEFDESQKYQKLALQEAADQCQQTIETFLLQHAKFKASLGKEHTASK FKTRFHKVDQATLEQSALLMKETQNRIGQTHNMLGTQADTLSRILNILEVSNTQPNIR DVRSVMMEAFDMNMKVYRMALDLQKSQSQPHVDYQAPALPPQIERQRPVELEDAHGRV TPFHVEFINSFEALQAVLEARFRHVPGWKKVKNMEYVMKESNSKRQLDFKAPWESVFL PGRSVTMSMVFWMPPPSGLRCPGCQLESKESATGYEIQW TRV_05292 MALDTNAIDSSTVPPTRHRSILSKLTSRFGNRNRNISEFYVQPD DPWKSYHPGEIVKGAVVLTVVKPVRITHLVVCLHGYAKVFKNTVGPGDHAEESGYLGP GRGRRDGEYLGNGFTSLFEDEVVLCGDGRLKEGIYKFRFELCFPPYSLPSSINFERGT ISYMITSTLTRPTTITPSMSCDKRLILIERIDIAPLPAPKARVITLEPISARSKSKPR KKSTSGERGFNGAAGPASLEPSSSQTSEQKPPLSPVPSEQSTSSYLSNSTQSFQVISE SNTARDSDTITATTKLLRGGGLPGDVLPLRIMINHTKPIRSPHGIIVTLYRQGRIDMY PAIPIGTPAKGKRPVYEDYYPKSRTGLGGLSFGATRTCSVFRKDMSQIFCPLIVDPNT MTADIKTSIRIPEDCFPTITRVPGAMISFRYYVEVVMDIRGKLAGQDRFLPRLNIMNS SSNSYNYCAAPRGFNTVETSRGGTSTTSSCTGNILDTDQVRRDKSVIVCVFEVVVGSK DSGRGQQQQTSSDGSVRQDYPASNIDQHTQPTTPETVREQQQQSQAPQIHSADRSRGN RSLDNGYSAGSEDREPWHARPPDQYVIDPPLAQLEEDIDEKTRLRRAEAMLLPSAPPA SDEAGPSNSTLALASAPALSEHRDLSYFETPTPTYSASAYMHSHPPSAPSPDTITPYS AHESSPALQHPGAYLLPESAAESSSHPNYHQPHSTSLPSDDKQELEKQRLLNERSAPP GSDAPESATGGPSQATAPASENGDIRHQPLPSAPVLTEDDIIGQPIATGESLPRYQR TRV_05293 MAHGAFQASYSQTLLPTTSQSALSWIGSVQAFFILFVGIFSSKA VGSSHFHHVTGTGLIIQLLGLVMTSISTKYYQFFLSEGVCVGIGSGLLFAPGITIAAS YFSTKRPLAIAVATSGAAIGGIVFPIVSYWLIVAVGFPWAVRIITSLVLLASGSTLYT LQPYHPSIPQKRGPFISLGGFKDASYCTFVIGAILGLVGGFVPFFYIPVYGLSLGLRT ELASYFVSAMNMAALIGGFSLTILASRLGNLNTAVLFTNVCGIVLISLILAVDPVGVI IGSLFYALVAGYQLVLLFTAMASITIDASPRCSQGRAALILGSLGALLGTPVAGGILF KQDSNVHSMNPRDWNFTLALLFSAALIFLCGVFMTVTRVLKSGFRWEKI TRV_05294 MTTNRPFLANFLAAFRAQTAYKTAPSKPPSPGPSSSHIGSSYSP PSSSSNTTGTRTIASKATANPAPSNPQQPNTNSIATAAAASSTSNHFSSHHHSHHHHH THAHAHTHSHTSSPSSRAPISSTSHTSSSAAAQTPAVSNSTTSPIPVPTPSSSTSAVP FSSYDRNRRGSDSSSGSGGFRDALGPEKWYIGGRTTSGEERLYQLGMVTKGGGRLGGG MRVGSVDQLSL TRV_05295 MAAASQTIKQQIWEGCLPLQINLSKSECRVFDKADPYFISFPRL SYLPFLLPRLLDFFKPYLIGSDPVYPYQGWFSFEGLPLKWHYPVGLLYDLYASTEPAP EQGDDKDIRYPCRETLPWKLTLHFQDWPDQELVGLDEQGRVMHDFFMNSVKEADFVRN GTGKSIMTLSREDSNKLWTSIQERSEKPSIKVVQSQFPPIISTALAGAEKQIQTIGTA LHSVVPSLFPDVKDTSMATPILHGAAVPMSAPLEEMARCAAYADGWLNIVVWMHG TRV_05296 MEARYCLEADGGRTEAAAAIGLGSANISTPIHRMPMATTMGAAA GIFAIFFLGDVPRIREDILMNIPVIGSYWDRSIAPEDNVRLFPIPWPLLILTFTLFLF DADDLMFQ TRV_05297 MPSYLGQPFRSDMPRYPVTSTHDVYAASTAPMATHAPVNSLPPA SFLGQHHQQQQQQQFPSHGLLPPTTGAQAYPQPIAPAPPRDRPHEYGMPGAPFTGAEG KGPMWAAPDGMSASAAAYPPKDAPRTQVVGSQGRRGILPSVPGRAAITNGVNGTAKGT AIPAKDADGKFPCPHCNKTYLHAKHLKRHLLRHTGDRPYMCVLCKDTFSRSDILKRHF QKCSLRRGNPTGISHLSHPHAHLKKAQAAGVVPKPVNQGDVSNPISLPNGIGGTTFGE APASGHGVTMAPSHQPRFAEHQPFSYPMPSHAPAVQSGSSGLARSPLDQIPAGTTTAQ YPPHQQQHQKTWMAEPKHNSSSFHTQSGTDSVGQSTSIALPPIDAKNTSDNKQAPPQH PPPQHPHPQQPQQNIDWSVLQPGSHENYMPGPPVYPTSMTPVNDGMHAHVDADRKYYP ATTAGQQENGGLNGLYLASTSLSGDGMYRFVHSSTNQNGNMEFHANTALTGTVQPARQ TRV_05298 MKKIDGERRVADARFCIHNGKRERERALKKNLRGAREEEETEPS PSLFFFSFFSFSLFFAPLPFFPFNMTSQERGKMMLRIHQAGKGGSSSIQRDIRDIYVF LLLLFFFFPYILALIGEGVDLGVKEKR TRV_05271 MVLRIVPYIVTGKVDISKLLGSPEDEEKNTIRLQDSRTPANIHT SIRKAHSEGFQSPVIEMGIPNSSVEEWSYQPAPQDQISMQQQQQQQQSIVPSKRGKSS PTGKDRIKKQSKWSADEDALITILRGKGMKWEDISKRLPNRSPISCRLHYQNYLERRS EWDEDKKNKLARLYERFKADMWAKIAEEMAIPWRAAEAMHWQIGERGMAQRAGVTPFT FSNVASSPPQRARRASQPTIGSGRPNYPPQVTQLPSVAELTAGIPAYATTHPSGVMHH TTSPPRSPPSPTTLEAYRTNPRPHERRI TRV_05272 MAGHKRRRLNPGVADPFRRYPDIPESLQDLPANRLSTMTPVDGN WSCSFISCIERTESTEWGSPKVAAARAVLEDAVTAGNEKLVRTYHPALSASKVVSIYQ EMSGKIFWLVEASENIIDYTHAAGREIHIERGVYAPHPLRYMLSRDIHISRFIYPRRM LTAMDIAEIQRFYPNCIGIRIIVSGYAVFLFRNMAEMQSAWSNGNPDHVGGLLPRFDI VDITACRETIPLPDGNGIAPTPSSFTSQICLGLKIRLVGGEEAITTVSHGFVKLPQSV PRFPRAASWVIQAKQRLQRFLPNKPLNMFSGEVECRQRGALASSALGKAVFLSGEATR IGTITTTYDNPSPLYPYPAGYTHDLSLFTDSNLPRITSPPGVGMVTGWADYIDALEGK PVFTTTFDVSINKERRVEGQIATSSTKQAMIEGAEYVWESSAITASLLWRTIGKDNQP VDKFSGSVLCLGRPTDENVKAVVFQNYVTPVKEWKIRGEDPLSSTFNPTMKAGFLLPS EIREKLFVNPSNHKSQERGLNSLPTRGENPPCGSQEWKFFTGPR TRV_05273 MKVNAMASNSESYEEEHVHKVYQEIAPHFSSTRYKPWPIVERFL KDIPSGSIGLDVGCGNGKYLKVNSNIFIVASDRSEALTKFARQHQPHSAIIADTLSLP HPDGFFDFAISIAVIHHLSLPERRIRAIAAILNTLKPPALEDPNGGKVLIYVWALEQK DSRRGWDADHEQDVMVPWVLKTNGGKAKNTKARGMNKAESLEISADEAGKTTEPEKKP EPTTYLRYYHLYREGELENNIAAAGGRVLESGYEKDNWWAIACPLPRT TRV_05274 MDPLVSPADVSVQVSVASDSPVEKPSFATERRVTPSWTVSQLKI KLETMTGIPPGSQRLMLKSPGREHQWMDGEEKAISQWGIAKGCEIEYVLTVYQIHDQR PVAARPNYSDVSTTEKFELSDSTYESLPNSVLAWKKAQKLGRFDPNATSPEDKARQQV QKDANEIKTKGEIPDDLAPIWVGIELDEPTGKNDGSVNGERYFTCPNNCGVFVKPEKV EVGDYPPLGLDLDEDMEEI TRV_05275 MEELEGMFSSPEKSPVRTNGFANDGLMISEEMETGGNPSDVLSA RRGGRNAYIPPPRSRSPMKSLMSGSPRRTPGLRSSPLPQSEFSSPTSTHVAAKRTINL THPLPQASKSPLKQARNQSHPDSEEEEEAEQNKDEDEKEEEEEEEEEDKDEEASAGEH AGEEEGTEQEESEVEDGGSEAVPGDAADFSDDANYLIEDEAEDNGFVEGPGDYHEAAD HRDVDAESSEAEQEQAGKSPVPVLNTASKGKKRKESDKNVEEKGDDEPKANHTGKGRG RKPKNRQEDRDQEPNNEDENPRPAKKAKKTAKSSNLQMTVDQEKELQSVVENITKNDG PLNKKRSLYILRRETPSDDTVRHTRSGRISVRPLAYWRNEKCVYGTGEAEVGQRFPLS TIKEIIRTEDPAIDQSGRKRGSKKKSKSKKKGGSESDDEPDENAEPWETQEGVFYGPV KTWDPEKQTGTQEEEMMGKIYYLFFMLFWQKLTHFRLDVAYAPSAIETHEVKDSTFRF AKILSTPFLGSGFVEMPPNAIKKQKNSKRMHMVFFVYYGRIRVDIAGLQFSAGKGCVF QVPRGELIFKPVFANEYKKPAAIFFTQGCIPLDADGNVDTGVAAPPVPEAPSTRTNNN ATEKGGKKRGRPKQGAKGG TRV_05276 MEDSQNELLDEPVPETVGSKGIYVPPSVDHSRLLAGESYSHYSS CPANIIPSVKLSTEEKKAEPTPCVLGIDEAGRGPVLGPMVYSAFYLPIHLHKSLLADE HHFDDSKVLTPEVRSNLMRSLCTQGHPLHDSCGWAVKVMSARDISSGMLRPSMAAVYN LNAQALDATIEIIRGVVETQGIDVKEVYVDTIGKPETHQARLLRVFPGLKITVAKKAD SLYPCVSAASVCAKVTRDAALEACYESWLNQREKQRTLETGEDEATTADEILTWGSGY PSDGKCVGWLKKDMNRLFGWGVECRFSWGTSKELLEVKSGLKVDWPAEEDDSTMLVTQ YFTSKSETEGPVNDLRDWYGNKQEEIF TRV_05277 MRTATSFRDQIPEVLQPAKLVEMYEEFVTKNASSVGQVESALRS LTYIIPVHSGVQLLSLYHDSLVSRVIARLPLSQPRPTPTPHTRYTKYWTSQSSIYHKV ALALQMVKYTELLWEMAARRKGEKLRWRVIVFIEAVKAFCRLILLRLTNSRPIVSPPL PEREVDPRQSDGDDNGDFNGMETPPSEPSEAIDLSWSMPRTGMSLPNLPDANDISAYL TSKVLTADDVRSPQTLLHRVSGAGQLAEVLYILRPLIYVIALQRYRAKKKSWTPWLIG FGLEFTCRQLAKKEFRDRVAGGLRGLSKLEREELSKRGWSMGWWIMRGAFYENVTKCV SNYYYSERTEKTNIYERSWIKSITNSLRDKPLLGLVGSVVEDYEYLWDNYYFSTATM TRV_05278 MDSLARLTENLSQCQHHYSEALRLMQETSKEYESTKQEFEAVSQ RLKEYDNTQARNKEQIETLSKENCALKAAVDDLKQELRDKDSAHLHDDDSAFEKNLLD KAKKHDPEVIIYHFESSPGIVDYDLYSRVAGFYGELYAENINLIKSFCKLRRNHKASK EKLVLWNRLLEKDSFETTVNGEAVTFKRVSPEHVGGMIIAESPIPPPLRSYIPQHTEY QNKTPPRSQVREHHSDLASTQSQDLRYDECQLPQTIESPDTPVVVKERPVRKQQVPSP TYCAVKVEESPTDIGTAEKPFHIKSEPTPSPPGLVSDIRHHEDHGKHNHDPVSSPTLD PIESPLPRPKFTVLPDRHSPSPELQDDPLDLPANKQTEPPSKKRGRQVLRPVDTNVTP TCKLNESSIPQSKRRKADFSRGAHAIPSVAEDGEAEEYLAGRRSSRPSMTGSVKKSTP ATKMRQTRLQDLLETSHTPRPVLLSTPQTVIAKPDRSVAPHTPNNRTEDAATPCAPQQ PESQDIIPFPEEVDDDEIRPRSYFRDRPISELGLEHFKLNPNKNQGLDYAFDEVVRDK SMRKQLRGCLRQECCGPVYRSMAKDEIGEEPRPLDTLSRADHDLLKGDLGSNYGKFLE GKSPIEIRDVLIEAKASVLSNKFSKHRNAHVPGGSPPGYWRTDMPNTQETERDRMMAR KLEREKVLDRYKEACKDDGYWKFADE TRV_05279 MAVTANDLEQSCFDVTIGFRNGGMAVHSFELVPDGESTFKLQLW HSGSGTEEAAVTALASHKDFLLAISEDNVLYLYQLFSDDSQQLGLDRNEKTKRRHSIR LIASLVANNIQSPFSLSVRTTSTHAIASVSYAFPRIGCGWSVGIQELYWSKDGGELTS RLATTVDSQYLSSTLTQPAPSPQIKGSRQMTSTLGSSGHVSSSAPSLSYTQPPTSLSY SHPYLLASHADNTLTMYLVVSTVDNLSIKTGRRLWGHTSAVSGVQVSSRGKAVSVSTK GDEIRIWELEEMISSRPASKAALVGDRSVQLSPEPRRSRTNPFSVLSRAVKRQVAAME GVKGISSEVTLSCIKGPVEFDDEQVVVLREQSLGTQLLGCYDFT TRV_05280 THQNGDGGKSQQTSSSGQSTYLVDAGDGGDRRRGGREKKEKTEF RFGSARRKRSGRAVYIYIKIYKYKCIYIKGFEKKKKKRRKEEYILDKDRRKKGQRKGE KGAADIRLLSQKEPSPRAQALETEESEEETPAVRPLFSTGQATENREEALVFLFRVSF LLSPLAIISPIPARAYLIDISVCSRARRASGKHGLHYLPLEATDRNGGGGRETGRVRG GTSCHGLTPARETGRQKKGLGGRVSFSSSPLIDTCLSNREERGKTAFCFYPSGQWLAG GKGGKKP TRV_05263 MKSYTTGCELGDRAEQPVGEKPKDQDEGEGEGDGGDAIPVHPLG VKPSGNGLAAAGDIRPAMGVFGLLPDELVLTVLESFDASTLRRLGMTCRALYAFTRAE ELWKALFIQASPSSFSWQGDWHSTYLNLPQSKAASPDCSQLFSDTLHRPFQCAHLSLA ACYDIPSRNRISRLANMTQAEFGQSWADKPFILTEPVKQWPAFKTWSVGHILQNHPDT LFRAEAVDRPFRTYVDYMNNNSDESPLYLFDKNFVSKMGLPTGPEEAVFQPPSCFGTD LFTVLGNQRPDKEWLIIGPARSGSTFHKDPNATSAWNAVLRGSKYWIMFPGSASLPPP PGVYVSADQSEVTSPLSIAEWLLNFHDEARNTPGCLEGICGEGEVLHVPSGWWHLVVN LSESIAITQNFVPRKHLRSTLDFMKNKADQVSGFRKDIEDPYGLFVERMQETYPDMLE LALLELEAKKKRKWDEVVKTANDSTADSAAGGGGFSFGFGDDDSDAEVP TRV_05265 MGATAPKQAPIYPVLAEQPVGQHITSIYKDRLRQFTATGQYQGH NLLDKFFYALNDDEKYVKLWVYSVPNLERPSFKDAVKNEFKPTRRGESFGPSWSTHWF KIQLTVPEDMRKYDHLEFHWNANNEGMVWTEDGRPLQGLSGGDRTEWIIPKDFRDGAP HIFYIEMACNGLFGNSDGDLIKPPSTNKYYRLDSAKIVAVNLQARALNYDFWQIGDAA REFPSDSWQAHKALIVGNAMMDAFIEGNGSQESIIKARKVAQEYIGTKVDSPDVYKTE GEPIVFATGHCHIDTCWLWPFAETKRKVARSWSTQCDLMERYPEFRFTCSSAQQFKWL EEGYPYAFDRVKSWVKKGSFQPIGGSWVEHDTNLPSGESLVRQFLYGQRYFESRFGKR CTTFWLPDTFGYSSQIPQLCRLAGMTRFFTQKLSWNNINNFPHTTFNWVALDGSQVIC HMPPSETYTAEAHFGDVKRSITQHKSMDQDNTSLLVFGKGDGGGGPTFEHIEKLRRQR GMSDTVGLLPRVTIGGSVDDFFATLEKKAVEGTKFITWYGELYFELHRGTYTTQSNNK RNNRKGEFLLREVELLATLASLRSSSYKYPKKEIDNMWEPVLLCQFHDCLPGSAIRLC YDESDAFYAEVFRIGAKVRNEAMKELGFQSKESGSDGKLVALNTLPWPRSEIVRMNNP GVSSGSPQYALVSGGTGVMKVEPLSSTQSASLSVKEVDAGVFELRNDSLVVKVEKGVI TSLFDVKAKREVIAKGGKANQFVIFDDKPLYWQAWDVEVYHLDSRKELPSTETKIAEQ SPHRVSVVTETKISDKSWAKTTISLSAETKDSMPMVEMDCEVEWRETMKFLKVEFPVD VRNTEASYESQFGITRRPTHYNTTWDMAKFEVCCHKWADLSESGYGVSILNDSKYGFA TAGNMMRLSLIRASKAPDDTADIGHHRIRYAILPHSGPLDYRTVRAGYNFNHPLAIES ACGPETVQDFQAVRLVDATPALVLDTVKRGQDDEDVSNDDLPRRKGKSVIVRIFDSMG GRSTGVLETDLKVQKVFKCNLLEDDLEELPIEGSGPRRVNIVLRAFEVATYRLQL TRV_05266 MHPLLPSSSLEGLEQVIPPEPNSPKIYIPRWKLDKPLPSPPKRS SGSSSADEQYLSSARYQRPNDGYRESAVYKRNLGPSHAHSVDELRLLYRQRSPVPRSP GWRRQDRDHLSSPAKRARHSTDPVFQTCNGGLVRASTRLEDSRSHDDRETPKRGSSSD MPRRGTESLRIRAKGIDEQVSKGENDQLVVESPRTEDNSGLCFCHLPHPRHSKILLNQ RTSKSSSYHRGVQASDLPDASSYIELIQQHSIPDVPEVSVEDVDDEQCSPKTKQKPQN RTQLYSFTTCASTSMINEITESSSRKETGGFGLFRSPPLPPTGENGRRPKQLAIPPSD YQLYGVKALSKNKPKKKKSSLFYLNKLIPKVIRRHASEPQIQSLNTPRTAPPCSGEGN RREEWFGLGRNGNAWRSTVEFSREAFGSSSNKLAEQRRKEELKSKIVMVGPTPHWL TRV_05267 MAPLSQESKNAIERLRRYTPPPTNYYSMPSTRQASVLLLLFADR RGDLRVILTIRASTLKSYPGQAALPGGKADGPSETPFQTARREAYEEIGLPNIDQQMP SPFWVEHLCELPASLARTELAVRPCIALLHSYNEKTGEDADPEEAFIPQLDAKEVAAV FTAPFHNFLKVTDEPREEGDDALPGKPSDWYEGSWTQWNSTQWRMYSLLTGSVHHFFV AITNQRVATPKKHSKEQDDAINQLEEEKSSLGLERFRVFGMTARILVDAARVAYDEEP EFEHNSHLGDEDMISRLRSLGRFSEVVDREDTLTPEIFEKAAKLT TRV_05268 MAVRNLGQNGLLLRRLMQSADAGRLSIARYSYSAFRTQSFHPSR PSVGLELAQLRRGYSTGPSTSQGSNNPNNFPPPGFDVEKAKQPLTKETQSRATSSKEP PTSSSILRQLDSIELESKELERRIHDVAVSEAAEKGQVEEKKEPKKLTIGQKIKKEIQ HYWDGTKLLAAEVKISSKLALKMAAGYELSRRENRQLKRTVRDLARLVPFSAFVIIPF AELLLPVALKLFPNLLPSTYEGQKSKDDKAAILRGTRKEVSNFLQGTLRETGLPLSPM NAKKEEFTEFFRKVRATGETPSDEDVIAVCKIFKDDLTLDNLSRPQLVAMCKYMNLNT FGTDAMLRYTIRVRMRQIKRDDRAIAYEGVDSLLIPELQMACASRGLRTHGLSPARLR DDLSMWLDLRLKQGVPSTLLVLSNAYMYTQHSQEYEISSQMDALRSVLSSIPEELFHE IELEVHNAEGAATNRQRLEVVKEQQELIEEENEQITENGNTGMPAPKDHEDIDEKEDK HMDASSGAESTSQPEKTENDTAAEKESTKQDQTEEKKQ TRV_05269 MERPDLQRVKSEPVNLPQSQSAPISGNVETVVVAQEEPPATTPE GGRSPLALSPLSRISSEGSSSYQEDWEPLTPVERVTVLDLLGNLAISQKLEKWQASLN AQKERVKRHQEKFRSTSQQARQRVVGEFKKRMPSSEEQLQKYRKRMKSSVERLAVRWN DTVTVTAIEKISFIAGVLNVFISGYLLGAFPTYFYLWFTGQLIYFMPQRYYRYCKIGY HYFLADLCYFVNLLTILSMWVFPNSKRLFLSTWCLAYGNNAVAIAMWRNSMVFHSIDK VVSAPGSPEHYTLGAMMIWASVPYAIWQLSYHFFITVRQRDKIAAGRPTSFTWLRPSY AKTWIGKFVLHLSLPLQEPAFMMIHAFLTVMFIWSIYNGATFYIDVFGKRFQKELEQL KRDVAKWQMSPEALTAVADPQDPDGIKAASLTGVVMTTPKQPYVEPSQLITNLDLNAN SKATSTGALVDEPASHNTTNRRPQDASV TRV_05270 MHIPKAWKAVFILLNHGFMLFSPAIAAGTIPPLAAKNSLVFGTA AEGIQDEPASVNPGLVLRAASPNKNMRKCDRCRQRCKPMMIHDRKDCTKCNRCPPGMH PDRAQKKCLRDSKDDKKKKFEDKKDREKRDYNFKKNYHKFRPKPLRFQRKVSVERKKY KEIQNMKRDALNRMKVRRLGKCALLTPLAISGEAIEKYSTGFFDENFMDSMELLDLWP EELKDIEPKYLDQSVFTDEYLDKYVDFAQEHYTKRSIDDAAPGDQVQGENEQETGLII SPSSRDLSIRSIGAVDIDRRCPFCIFVVIARAIAAAVARVAGRAAARAASAGARVSKI LDDIAKNIKLTKGKGSKTYREQKDAAREISKNRNWMRCLRGNNPK TRV_05261 MSRHCCKVQRNCVAESEGMSAAGSSPASHIEDLCTVTSQPFNDD NSVISNDTQLLYPSTEDTILFPAASHNDKAIIPDYTLPHLTLFDCVHEADVTMAGFTN NTDIGSYLQDYTGVEDGFPFNPCSSSTIDHLSLSSGLGTSSLVSAGMSECQSNDTGLS LPNCSVMTVRLTNPAPEAVHEFIRILMAHQQQVTIDIEN TRV_05262 FSVWKDELTIYVPPAGVVPVMTFLRDHTAAEYTQVSDITAVDFP TREYRFEVVYNLLSVRHNSRIRVKTYADEATPVPSITSLYDGALWFEREVYDMFGVFF TGHPDLRRIMTDYGFDGHPLRKDFPLSGYTEIRYDEEKKRIVVEPLELTQAFRNFEGG SSAWEGIGQGIDRKPDNVSITDLISSLNMGFKLPTPKPEEKPEEQKK TRV_05239 MAVATSSEGHNAGQQPQTHAQQQHQLHHQSSHSNSRSSMQAANA TSSYGVNVPLSARRSAPLDLSTVERREQVPAARDPPKRNRPHDLQEAPTFYPTEEEFK DPMAYIRKISPEGRKYGICKVVPPQSWNPAFAIDTEELNSVEGGTRANLNYLDQLTKF HKQHGTSLTRFPSVDKRPLDLYKLKKAVEIRGGFEQVCKLKKWAEIGRDLGYSGKIMS SLSTSLKNSYQRWLQPYEEYLLVAKPGVQHQLEVEKGGPFVPSPNQSPAEKRKHPMQN GHRTPSDSRAEDNSSTRRASTAPNTSTVDVEEGSGNAAETPREATPAPVQQPISSGFT AVNSRPSGFAAINMPSSFTAVNRAAPEVKRESDETAGNSSHANSIPASGKPSPEQKRT KSNSAPLTNGQNGHDEHSLKRVHSSGGNSQTENDDSEEGGNGRRSKRLKKDGMPNVAG SNLNLLRPSPSQPKSKNGTCKTGDKCENCGKTDKESTILACDGCDIGYHMHCLDPPLT TIPDYDWHCPNCLVGTGEYGFEEGGIYSLKQFQEKANQFKKNYFGSKIPFQATSAPTP QLYEAEDSVEREFWRLVESLTETVEVEYGADIHSTTHGSGFPTVERNPLDPHATDPWN LNVLPLHPESLFRHIKSDVSGMTVPWVYVGMCFSTFCWHNEDHYAFSANYQHFGSTKT WYGIPGADAEAFEEAMRQAVPELFETQPDLLFQLVTLLPPNQLKKAGVNVYALDQRAG QFVITYPQAYHAGFNHGFNCNEAVNFAPSEWEPFGQSGVDRLQEFRRQPCFSHDEMLL TAASKDTSISTAKWLGKALRRMCDREMEQRANLLARSREVDNRNGIQNGDQSTKHADL PVLPVSVEEVDLLEDEYQCSYCKAYSYLSLFRCHKSGKQLCLVHAGITECCGSEPAHY LRGPDHSVRYRLSDEDLQKIVQKVEDRAKIPEAWAERLDRILEDEPKPSLKALHALLS EGEKIPYHLPGLHDLSTFVQRCDKWVEEANNYLTRKQQNRRKNEKIWRKGNPAKAAQM EERDRELRSIEKIHSLLTEADRLSFDCPQITALHEKVQEIERFQRDAQAVFISAHTAS AQAVEELVELGRNFCLDIPEVDKLERVLQQMKWNEDARRRREQYQTLEDCADFIKQAE ELNISEANNHLLYFREMYCSGVAWEAKAKELMSVEAVHYQQLEALSAQAARFPVSPDT LAAVDAILTKQREAQRQISTMYEKSKSSDFRERPHYRDVRDLMESLVQLNSKPNGTID LEREQKRHEDWMRKGKKLFGKANAPLHILKMHMQYVQKRNSYCFDLDDRFRPPVEPAS REATPDGSGESQPWAGSRSKKKDVFCICRQQESGLMIECEVCHEWYHGKCLKIARGKV KEYDSYTCPICDWRVKIPRDAARPKLEDLLEWQSELSDLPFQPEEEEILTSIIDQATS FRDFLRPFTNPACMTAEEVPTQIFYLRKIEGAEVLLAYETNYFRQEVHKWNPVAPEPP PILEQSLSTRKPRPTKQQKIMAQLGIEKPEDIPLHLRTKHHIFPSKRKSIEPQNGRPP PLQPASSNRSSTPVDNPRSVSVGTDQVPSSMAATQAPQTPASYPFSHPFQLSSNEQNA TFGAGSGPFLTQENGDQSPSFSPGSPAARHSGLDPSFFSPPSFERSHQLPQGSESENM KGNTGVGVDDVDAHNPFGSSPRANMDELFADLTNHDNEPEHVEEISHANEALEALKSA QACGSRTGSISEPGNNGTNGDPDLHQNASHHGDNEHQNGGGLGEEYLS TRV_05240 METKVRICSKTGRRLATKSSNPRESMTSTMARLHVLLRSPYFSS WPLQVRFFNTDIHRVWRGWAESASTFVPEHISFETDFDDGALSGEGLPLQNTLRKLDV SGKNLRSYREKTQFLLDAGDKLDCGVCRSRLRLNDDFVVVCSSEMCRCTSHLLCLSSR FMHSEDSSNDLVPMSGRCPGCESIIEWHILMREMTLRIRSHRRGTFDNDDSLEEEDEA GVELSEDARIQSPIDLLSSEDDDFGPSTSTYDLNVDYRRQHQPISNTPGKGKIDDWDD VDIVE TRV_05241 MINQYLRGEIQLDDHAVHLLFSANRWEAAAQIRQDIESGITVIV DRYSYSGAVYSAAKENKELQLDWAWRPEVGLPRPDIWFFLNISIEVAAARGGYGTERY ETVNLQKKVGKLFLSLTELKGNEDMRGR TRV_05242 MLLLKIVRERPELLSTTFKCLVTNLSACWGSSVIERNSLKEIPP IYNTPTGKTESAIRKFLSFDDEYLLFLGPRRIPPVATASSNSVNSVTILTSVGYQQLQ VEQYQDAIKSLKPDIAIGLADVLDRQPGVKRREKMVDRTHAWTQSAVDILFKPADISN RLGETSFFAPILPLEKERQTVYLRDLEEEMADAVSGFAVWDPATIELIPQALWHLPRL SIGESNTPQDVLRGVSLGLDLSAIQFIGTASDAGLALDFFFPKGAPQDKSSPYPLAVD MLLPAHSTDTSALVSDCQCYTCKTYHKAYLRHLLNAKEMLAWTLLQIHNHAIMDRFFT DIRTSIERGTFEEDVKTFECTYMSEFPEPSGQGPRMRSYQFKTGPGEKRNPKVFGRLD GVAERLLESQSSVVTPDAEAEELEARGFAEKMQ TRV_05243 MSAFSGAFGGLVANGISLIHTTIGHWRVLFLVEGLPTVAFAFVV LFFLPDRPETSKFFKNEEERQLAIRRMNQGQQSEGHNSLVMKHVIASLKDWKVYGVCI VKMGHDASLATISIFLPTILHSLGYSKTAAQYMTIGPYMAGWVVMVSFSLLSDRLRTR GPFIIGGTALAIIGVSLMYTFPAKENPKAALGGVFLLVIGIFPCIPLEVQWVSDNAGA ESKKAMALCMIVIAGHCLSILASKSFPEQEGPMYIRGYAIVLSFLCLSFVTSVVLYTR HQIVNAQRDKKYGKPNPLHRVDTSILADKAPMFRYIP TRV_05244 MEKISDKINALPKDAHYFSLEFFPPKTQMGSSNLQARLERMSQA LRPLFVTVTWGAGGSTAARSLELAEICQRQLGLTTCLHLTCTNMNRTLIDEALEETKA LGIRNILALRGDPPRSEEYNIDGEDDSNKDFNYAVDLVRYIRKKYGDYFCIGVAGYPE GHADESHPEVQDPLKDLPHLIEKTQAGADFIMTQLTYDIDAYKKYEALLRNHESGTFK TIPIIPGLMPIQSYHTLTRVTKLSHAKIPPQILAKVEACKADDEAVKRVGVDIVSDLV EDIKKIATPGHRGFHFYTLNLEKSVSFILERCNLIPPSSEAPSDSELEFESAVYAASG ASNGVATPDGRSTNVNRLRASSMNSQPHNRVIVDRLTRASDRSERSSTVHETSAQTAG YPALNPPNRNTALQISEGLGSLGREATWDDFPNGRWGDARSPAFGEIDGYGPSLHVTA QVARKLWGTPTSRDDISKIFKRLLSGELHAVPWSEGGAGEEGGLNPETATIKAELLKL VEGRGWWTLASQPAVNGVRNDHPVFGWGPRGEGFVFQKAFVEFFCSGDDFHSKLKPLL QSYGHDEFAWFATNAKGDFQSSTSPETPTQPPTDPTEAPFDADPLTEMDNGSVNAVTW GVFRGKEIVTPTIIEAVSFRAWGEEAFGIWEEWRRVFPRGSPSEKLIREIKDDVWLVC VVDQRFGAGGDVGKESNEEDGKLLWKVLAGEQTK TRV_05245 MALSCVAWRATPTTSSYIYNSRQLLRPILRRRVHSEPSSSSRMR LRLQSFNNRLPKFLRSYTTPLFNAPVTHITSFLILHELTAIIPLFGLVGVFHYGGWLP SLGNPDGTSSVDEGVRKFGKWLRKRGWVQDVEDMSTMDRGAIRVEDSASELTAKDRQG LRLVLEFATAYAITKALLPLRIAWSVWATPWFARTVLGPVGRAVGRLASTNKGR TRV_05246 MKLVTPSKEHLETHYADLSSKPFFKGLVSFLLGATNPADSAPGT IRGDYAIDVGRNVCHGSDSVENAKKEIALWFKEGEVLSYKQSQFDWIYEKA TRV_05247 MAPSRASHPRWSFILVIVTIVSFLAVPAVMVKHENFKTCSQSGF CQRNRQYADNAAAQASAWTSPFHLEAGSLTFSDGQLLGTVIKTVGNDEKVRFPLVVSF LESGVARITIDEERRMKGDIKLRRDSTVRKERYNEAAKWAITGDMKRSSSAEFTTDET SGTTKVQYGPDMKFEAVIRHSPFEVYFQRDGQTQVQLNSKGLLNIEEWRPRNDVIEPG SESTPDVLDDSTWWDESFGGNTDSKPRGPESVALDISFPGYEHVFGIPEHADSMSLKQ TRGGEGQHTEPYRMYNSDVFEYELNSPMTLYGSIPFMQAHRKDSTVGVFWLNAAETWV DIVKSTLLPNPMSIGVDAKTTTETHWFSESGQLDVFVFLGPTPDTISKTYGELTGFTQ LPQQFAIAYHQCRWNYVTDEDVKDVDRKFDMYQIPYDVIWLDIEYTDGKKYFTWDPHT FPDPLSMQKQLDASERKLVYIIDPHIKVEANYPIVDEMKKKELAVLNKDGDIYEGWCW PGSSHWVDCFKPAAMEWWANLFKYENFKGTASNSWLWNDMNEPSVFNGPETTMPKDNI HHDRWEHRDVHNVNGLTFVNATYNALIERKKGEIRRPFVLTRSFYAGSQRMGAMWTGD NQAEWSHLAASIPMVLNNGIAGFPFAGADVGGFFGNPSKELLTRWYQAGAFYPFFRAH AHIDTRRREPYMVGEPYRDIITQALKVRYQLLPAWYTEFQRASVNGSPILRPQYYVHP SDEQGFALDDQFYLGHTGLLVKPVVTQGSTSVDVYIADEEKYYDYFDFTIYQGANKKH TINAPLEKIPILMQGGHIVPRKDRPRRSSGLMKYDPYTLIVVLDSKGQAEGELYVDDG ETFDYKQGAYIHRQFSFSGSSLSSKDQSTSGSKTNQYLKVMAEVHVEKIVIVGAPSSW KGKDSVLVLEDGAKSGIKVSMNWYDAESGKAAFAIVKKPGVSIAKSWKIDFA TRV_05248 MSQNGALEKLDNAACIDAYTKTYQNSYVNVLLVTDTPHNKSEYR YVGYQEVYKPVTGSPFTWICPNDYEEAIKCQSKLLSTKPEVVAGNWEVLAQPIKYCLV EVAQPHCKLQYSLPLTVVVMVFILVKVITICYVAVTIYTPILTVGDAIASFLEKPDTT VRGKCLLSMKDLTGPSTFCAFLLYIGMSSMSNKTGIWTAGLQALDFRTLISTNFWPTS LIANTLIANLPQLVYSLIYFAYNGILTAMTMSAEWSQYATQRKGLRVSAAPNAAQRSK YFLSLPYRYAIPLMTTSGILHWLISQSFFLVGIEAYDQNLQRDPASDISVCGYSPIAI VCSISLGTAMVSCLVGLGFKPFKSGMPVAGSCSLAIAAACFPDPGTYDPEKQAGSTPD MACLALKWGVVLLDESSVGHCAFSSRDVTMPVDGEVYH TRV_05249 MSQVSYFHRVKSALKESYLLTDINLQLEHYLAPLHLAARSSEAS SLPFKISLIPFPSGTGHMITSLRQNEIDVAIGLTEGWVAGLVGKPQLEKGERDGGYKL VGQWVETPLRWAIVTGHDRKEIQSVSDLKGGRVGVSRLGSGSHIMAFVLAQQQSWPSS SPLIPTILGPFPSLRDGVTGHNPEQPDAQPAPTADFFMWEQFTTKPYFEPTTAFPKPP LKKIGEIYTPWPSWQIAASTRTFPSPESDSRLTQLFSLLDSGISTFLADSEKVIQQLG TGEFGCTYSESDAREWLKDVRFVKGSTRGAEKHMIEGVINVLKTAGVIPEDISNDEAV NRVIGIQASQ TRV_05250 MNISMMDAHNLSWKLAYTINGLHPDVDDPGALLKSYEVERYSVA QQLIDFDKKYSTGFSKRTTGEENEVQASLKQNQEVYEQSISFASGCGIEYPETYLIDR SFPDGYQNPICGTDYFHGILRPGRRLLNITIRRFADGFHRDIHDDMVSNGRFRILCMT STDLLDPKGTSAQVILSISNLLSLFPPSLIEVVVIYPRLPRELDWNCLPKELKQMAEM RFYSGFEVEDAYKVYGVDPDDGVLAVIRPDGCVGVISRLDDTPRLETYLEKCMKKQGK AAPTMHNLN TRV_05251 MCGQADGLQPRTLEVLKTMGLADPILQDGCYLWEMMVRKPSKDG TIERFSVIDNKVGPARYQRAITISQGIIEQVFDDDLAHHSQRGVRRNSRLVSVSLDED SDPDFPVIATIETDGVPQTVRTKFLVGTDGAHSTVRKCAGIQLNGESIDDFWGVIDFV ADTDFPDIRRFGRVHSRKGTIMVIPREQTVDGDYLTRLYVQMPQQEESEDENPRTEGN GTEPRRSRKSQITLEKIFQKVSEIFEPYYIRPKAGVDINWWTVYQIGQRIAERFAMKD SKGLGRVILAGDCMLSLFHSV TRV_05252 MGAIAQILLACSLVGGSLASYSLVDNFEGSTFFSNFDFFTGEDP THGFVKYVGEKEARSAGLIDFESRYDGSTVVRMGADHTNITPDGRPSVRISSKKSYNQ GLWIIDFEHVPGTACGIWPAYWLLGPNWPTNGEVDIYEGINLDLSNTMTLHTGRNCTI SKDVQFTGRLTTPYCDQNAPPPLGGNGCTIKADDPTSYSSGLNIAGGGVYATEWTTED LKIWFFPRIAGIPSDIRNGNPDPSNWGTPAALFTGNCSFTESFRDMQIIFDITFCGDW AGSPKIWEDTPCSAVTSECKTFVENYPHAFKEAYWAIKYLNVYQKPTEAQLGQKLHSQ WQHRRTHHRRHLLR TRV_05253 MAATPRYLAPLSRGVLSFSLRPQVIAPSFICPLQQQQRYASKSS KSKDSKPKKKKPMYTQYDIRDAIQFSLCDAMRYLRAFEAGRNTSSVKYEVHVKLRTKR DGPVIRNQIRLPHAVRSDLRVCVICPPGSRAAKEAKAAGADIVGEEEVFNSIKAGNID FDVCICHTNSLPSLNKAGLGRVLGPKGLMPSAKLGTVVDNVATTVRNMRGGSIYRERS GVVKLAIGQLGFSPEELKNNLGAFIAAIKKDAAGMSDQISKDIAEVVGFLILPFFPLA RVTA TRV_05254 MSRFYFGDSDGSDNDLNDDNLPFPKPISRASFLTPDFDATTFLA SLSNRHQSLADLQTELRELNEALNKELLDLVNENYQEFLSLGAALKGGQDKVEEIRAG LLGFQRNVQGIKDQFEAKKKEIRECLDEKKRLRSKISVGYELLDVAERIELLETNLMI RPGKDATAKENGAQAEGDDESDGLSDVILGSEADDSDEDGEESENEGGNKARISLRRL EAHIQQYLSVKVMADRIGEKHPFISSHAGRIDAIKSALLLDLSTALKETSKLGSKRDE KISTILRLYDSLGHHDEGQQCLKRLGTITE TRV_05255 MDSSSDRPSSLASIEHLSSSLPTPQPWANQSLSTLGTRGRLFNP ALDDPTLARRTTALRQLHGITRPPQRKHRQSASIASRASLSSQPVIVRTYSGGPERES TMSRRRSSDREQQTTNAPPARLPSVDEFGIEGILQSIEPNIQVTLDAIAEICGRSKLS LANEYGSHRPPLGEIRAPARTSDHGLLTVEEASSSTERLADDNVLVVGDDISTVDGHG RYSSTYDYLNPIPRPTGLFDFRNSLPQAWAETNTTQQQAESHRHTVRRELVPAHEETI PPTRNHHPVDKGRLPYLPWALDTRLESGDYNATGQSMMTRPVISAVHLDAQADRSYHL TEPDRPWPSTDAIVDIPDYDELPHINGHKQKSHSRKSSVLDELQGFLSWVGKMSRAHG KSSQEVTSLVSAQEKLRDVLEKQNARLSHLPDD TRV_05256 MPHLKTSTIALLLPLLFSPVNAEDVKLVGCDAVGCPPHYDSDRC TVENTTFIGVGVSPIPDVPSELKGFSLVKAVNVSATPVQFNGSRVRPIRSFYYLGTPE HTETNSLSGCAVIFNDPPANKFTPPSGADTFAATGTCADVIQQKCIDTITQRARDAAN RAGGNANACNALDRELKKDDFSGCSLFGGHGTSLGNFTIKSIGNLHAARNSSDCWPVQ RKSDGLMQIAEVISNVS TRV_05257 MPLDGVKNILLVLSGKGGVGKSSVTLQLALSLTLRGRSVCILDI DLTGPSMPRLVGKENAKIVQASGGWVPVSVYPASDSDTTTPAPIPSTDNPEGNDNSIA RPYGPLRCMSLGFLLRDRGDAVIWRGPKKTAMIRQFLSDVIWGDTDYLLIDTPPGTSD EHIAIAEQLLSQATTSPTSGLTQPRLAGAVLVTTPQAVAISDVRKGVNFCAKTNIPVL GVVENMSGYTCPCCGEVSNVFSKGGGKVMADDMGIRFLGAVPIDVSFGEMVEGPRSDS GENKEEQKAEKLETNGSQPLESPLVERYQKCWSQPTFESLAKEVIQLVETT TRV_05258 MASAEDEMISHFCAITGATPAVVSNNWDLDMAIAEVYPEEERPE SDYDDMDDSRQAEATAGAQGVAASHAQGAGSKSRAGAKKFATLGDLGSGDGGGPPSHD YDDDEPQDLFAGGEKSGLAVQNPDDIKRKIIEKAKKAMPPVERNPTPAKSHFTGTART LGGDDTPSRIIEDPNANQPQPLERVHRTLHFWNDGFSVDDGDLYRSSDPKNAQILEGI RQGRAPLSIMNVQVGQDVDVQVKQHDSDYVRPKGKFKAFSGSGQRLGSPTPGVVSAAS AAVPPAAPAPAEASTGPEQPKIDESQPTVTLQIRLGDGTRLTSRFNTTHTIGDVYQFV AAASPVSQQREWVLMTTFPSTELNDRSAILGDLKEYKRGGVVVQKWT TRV_05259 MLSRAGIRHSRFSSLNPARNIQIRSSSLRGNGTALSALRLSRSS GPIKISPRFASTTATSSAITSTPSEPATAPVTGGITPGSDALSGGSLADIDLSHIPEK IGYLKELGLDYGWGPSSMVQFLLETLHITGGLPWWTATVATAVLIRVVLFNSIVSSAE VSTKLKALKPRTTPIRERVMHCVRENDNVGALKAKNELALLNQEHGIKPWKAFLPLLQ IPLGFGCFRVLRGMSALPVPGLDNESVLWLQDVTMPDPYYALPFATGALMFVALRRGG DTGLSNLMNSTLGKIMVYSLPIVTALTMTFWPGILQLYFLTTGALSVCQTYIMTTPRL RKLVGLGPLPKNPTSQDSSTPAPSRIQTISKPVTSQPTPETHIPPQDISAIDRAMDGL KSAYKDTVKDVKNKLGEMTGEKEESDPTGRMPRLNKKELENAKAYEERRKAEIRAERE LKNQQLRARHMRKRGQKE TRV_05260 DKDGAASVKGGADGSVGGRGDTGTSVKNGTNQGDEDADNDDDDY IEEPEIDGGAAVDAAAEKKNLAILMDAFNPEQSSRYDCFKRTKLNKSTLRKIVNQTLS QSVPPNVVTTIGGYTKVFIGEIVEKARTIQAEWAEAFDAGAIADAEAEEAAQHRKRQE QADKEKENNSTQTSLQPVHGDSSGTLAVKPESSENGPASSAMEGIQSAPLPASTPPHT HVTTQSTAATEGASLDNSTLAPRKSIKLPPNPHRGPLLPSHIREALRRYKLSAQGDNV GFSGLSLKGFGVKGPFAWSVRGTGGRRLFG TRV_05189 KGGKGVAMRSVMPLGKNGVLNTRLSDVDVISGAFLTSLIYTGEH TFVQTQAADNLVPPPVGEQHLTEKPRVPYNNSEEEDQKLLADMHPMACCVEGEVSPLE RKAWAPPLHLSLHRWSNEGRSQRRPVKATEPEPTPRQY TRV_05190 MATAGVGPDGVAQPHSSGIKVIIVGLGVAGLTAAVECHRKGHTV IAFEKVKEMKPLGDGIGLASNAARVISKWGGGSIQETLESFTSVMNPSAIYDESGTLK ARAPIPGYGKGEGYLLHRGDLALTQFDHVKSLGIDVRMGVYVTEYWETETAAGVIVDG ERIEADCVIASDGVHSQARGPITGQSPRIRASGRAIYRAWFDASALEGAPAASWIFEP RTEGADNAHIFLAQDVVCIMTEVDDVLEYIKDWPAKPKIEAVMRRIPSGNLIDYPLLT GDPIENCVSKGGRMTLIGDATHPYLPTSGQGACQAIEDGAVVAIALELAGKDNVPLAL EVTKRMRAQRTAEIHKLGLSALESLVNPDWSESEKNSKLLSMPNPGWVLNHDCQKYAY EEYSKVVASIKDGTEYKPLNTPAAQVSN TRV_05191 MDKKPGAAPSPYSVISKVTQFENLDQASWWHDVAPMTERVLQHS GYSNESQYQYLLLLSQVLVPSLGPYTPPQRTWRSTITRSGVPVELSVNYRKQGQSAVR ISVEPCTHLSGLPIDPFNQVPTKELMAKIANYDFCNFDTELWDYFSSCLTVSKNEQRH IAENNIDVSVFKTQMAPGFDLSDDGQISVKGYVYPRAKATANGVPIETLVFESLQYFE KKNDCSAALGLLKEYILANNLGSNIGFLAWDCVAPSKSRLKMYLGSADVTMGMIKDLY TLGGRVNDPVTLEGFELLSKLWKATGIAEGVRELVPYFDDPMQVPSKGQKAPVLINYE ITPGSPHPVSKVYLPTHGENDLQIAKGLCDFFAQIGWTELAETYVDKLQALYPNLDLS RTSCVISWLSFAFTEKNGVYITVYYHSSSEYMWKLEDEQK TRV_05192 MSICRRGLRALLTFKYPALCDYDPRRPKPSITITSVSRSRKLST TTPFVKLDSSRKIEEEELPEYIAEDFYPVYIGDVLASKYRIVAKLGFGSTSTIWLCRD VQSHQYYTLKVCTRGRRPDRELAVSEHLNNSGDHFGKKLVRLVLDSFELDGPHGKHTC LIYKPLGMSFTEFQSMSDGKELPKAFIQRSVQLTLISLAFMHENNVIHTDISSNNLLQ GIEDTSMLAQLEEDEKRQPVARKVLDDRHVYYSRPMPTATGLPVLSDLGEARIGPQNH RGDIMPGIHRAPEVILGMEWDSKVDIWSMGTMIWDLAESSHLFFAKKNRVLNDEQHLA EMVSLMGPPPAQFLRRSQKSNEYWDSQGNWKGSIPIPKQSFEIRESRFSGEDRELFLG FLRRVLRWLPEERPTAEELAYDDFLMQEILRSRNSV TRV_05193 MRELDPLVSEFQHDKHRPREAEALLTLRKVASMVKPIMRQRMWK VGTLCEFYPSTQNLLGLNVNHGQKICLRLRQPYDERHFLPIEQVVDTMLHELCHIVHG PHDQKFHALWNQLRDEHEQLLSRGYTGEGFLSAGHRLGGRRIPMDEARRLARAAAEKR RVLSSGTGKKLGGASALPGADIRKVLADAAERRATVTKGCASGTIEGDRLAEEATRNG FRTKAEMEDANEKAIMEAYIDLIQQEEREQYGDSYTPPSSSNPMGPRALVPPTPNPAA ASSKLQLSDSTVFSSNSDINDIEPWTCSTCTFINKPLFLACEVCATERTSDANFSPPS KVTSKLAQEKKIGKQPYPSGRHGGTKTRKSAVQAIIELEKNQPPKPKRSLGWVCHCCG AFMESQWWTCSACGTMKLTD TRV_05194 MERAAFSTWLSIEFARSLEYFVTLVGTQANYIVRAIYISILAEE EVIITRHGSLTSVLTSIQPQLSSPLVIYNLVKLRRLSKQSRVGELHKNFDDEKTDSAA VSRPRSGINKNLDLDKIRFFALLSFSSRVDVDSSLAQEDREEDQEGQGEEKGEENGRS VFSRLWFTNPGTEMTSVNSEQANHLDSQSIFFP TRV_05195 MNTVISGSPHEPMTRSIACDLEKRGYIVFVTVTSTEEQHVIESE AREDIRSLWFDLSQLSAVILIPSLNYPTGPVAAIPASSWADTINTHILYPILTTQFLL PLLTLKSNPASVVFVSPAIQSALSAPFASPEVATVRAISGFATSLRQELNLLVSSNGN SSGVVDVVEVKIGNIELGRQYRSGQRHNSKGTELLTWKPHKRALYGSPYLSSIDYRLG KPINIAPNSSPARELHYAIFDAIAPSKKTIFGWRKKKPRTIHVGRGSIAYSIISRIIP GGMIGWILGLRPSSLDIYAEPPTADEGNASSSEAGWERVAGA TRV_05196 MRSFMSLMGADPSQDHLHAFETSWLFSPFVLGCIRAAFSLYAFV TIFFIIGWRAVHHQVDNMKDMFVYFTNLSYWGVAFYFLFAAIHSFVYARTGRSVFFDK APRFLRALHSLLYSSIIILPIIVTILYWTLLFGGPWFPEVFEAWSNVSKHIMQTGYAI FELVIPATEPLPLLHLPFLIIILLLYTALAFLNHSINGFYTYSFLDPGVNGERSNLVT GYSFGIAIGTALLFSASWFIIWLRKRLTGSKRILATPPVRVDEEIAMGPEK TRV_05197 MAPLSLLRRSSSEPHHLAKRYYHYSYGPTVGGIIGGIIFFILII VLLFRLRRRRPATTTYVAPQASAAATATSGAQGNQTNVYVMGQGGPLPPQQIPLQNTS PQPYPQQPFPQQQPYNQQQQPYPYPAQSPPPQEQQQQQYGYMPNQGPAPPQQTYAPQG QPGYQQPYYQGDGISSYPVGAPPPSYKDPNKA TRV_05198 MKTPFTILAALTVAITVVARPFPTNGTELNKHAMIGYLHASFAN GSGYLKLADVPDEWDIIELSFGEPTSVDSGEIKFAMCPKTECPNVESEEEFKAAIKAK RAKGKKVLLSIGGQNGQVQLKTTEARDKFVSSVGGIIDKYGLDGLDIDFEGHSLYLDQ GDTDFKNPKTPVVVNLIAALKSLKENSYGPSNGNDARAGSYLPVIHAMRDDLTVLQVQ NYNSGPIIGLDDQYHNVGTPDFLIAMADMLKAGFPVAKTNNTFPPLREDQIAIGLPST VSAGNGFVDEKGVQDALNCLMKGESCGTYKPRGGKSPSFRGLMAWSINWDKFSNWGFL NPHRKYLDSFP TRV_05199 MAAPNNELPLPDSLSTSQQSTSRLQASKPQARSPSPSQSPTPTQ LHPSRPLVSPRLQHVAGEIPPALSPLDAFAAQGRLLAKQFDESRKAGRRLSRLPPASV ERSLSQPRGGYHRTLSDEDTRQAQTPMRAGFNFEQRGRGRGEAERAPFSNGMSLEEPS FRPKSQHPRISGLPLNTSTGNTFPIDDSWDTRSVGNSAYGIPRANSPDTFWNRDGSRE RGRTPSPGPGPTIDNRLHVVRSHSPQPGGSPTRFYATQQQQRSNSNNSGQQHNHLAPP PLLPSYSSPAGSTQESSDDDGSSAKSTFSLPRKMSSSSGISMLHSPQPSQMSRAYQRS PSPNSEAGTGGSMTPNLTKPSFNFSRPMSRSNTSHSVHDSATSSPATGNTATANSFLN RENKPAPITVPAPLATPSPSADEATEPPLSAGQSYIYAKFSLPRGRLISRDSKTFNGG ELQGEFQPPQFERSITDPGSTQDQTQTQTQSQTETQTQTQIRTETPTPIQNPNTTSPA KSIKRVRPSLEQEQSSTSIASANAETKSVSVQSQSTVRATPAEEKEKDKDAENEPTTA EEHVTRGIECHESGSLKESTYHLRLAAMQNHPTGMLLYALACRHGWGRRADQTEGVQW LRRAVDTAGLDLASSNPPSPETCDAALQKLLSSSGSGKMNMSEQKARQAQLALAIYEL GVSNLNGWGVGQDKALALRCFEIAGRWGDVDAMAEAGYCYAEGVGCKKDLKKAAKYYR MAEKEGMSMVGSSWIYKDKYMDDDAVSKKKGGGSVKSTSSKSRTRSRSIFGRKKPSDD TRV_05200 MELISVRVKKNKVLPRHYIITAELAPPQENQKRRKKEEKKKKTK KKRRKKDEEDDDDDSERKTERQRRRCQSRLPEEGKSRGAADREREIEREREKDMRELA KRFPRPMLFLPHMLFPSFLLLLER TRV_05201 MTGKHNIETIDTVPKRSLGSRIGAFCRRFWWLLAIIFVIVVLVI VLPVIFVAYPKLAQEDVSASTLTIADMQTTNPRPDALHVKVTQVIGSKSKYHPTLDPF EAKVYLEGQQESFMTLHTPQVKADDGVKAVIDQDVKIENSDGFSAFSKAIMLSKEVKL NIVGTTKLKLGGLQKTDVDYDKTTTLKGMNHLEGFNITDIKIGNIPNTDFNMKGNAFI PNPSVLTLDMGNLTLNLAVDGHPIGYSVLHDVVIKPGDNNIPMQAKADLAYVLKQTGK ESKYSNGIVPVTILGNSSVAHGKELPYYTKALAANTLKVDLNVPAILSGGK TRV_05202 MHARAFSSSFTPDRYFIFCWSRVHLPEGASLNTNPACFSLPPPS LSLSSPAPLLLLCRLSFGKDRSRVFLRLLSPMCFEMFRFKPSSWFSSRDTMDSQAPQV GAAASISCIEQSLCQIPIFSNAVYYPNWRIYKSSPPSSLKLGYVSHVFYAFAWVKPDG TVYLSDEWADEQMPVDGAKGCIRAFTQSKRQYPQLKVILSIGGGGAGSENFAAVAADP VLTANFVETAKNLVDKFSLDGLDVDWEHPSDPQQGINYISLLAALREQLPAPQYILSS ALPAGQWALQHINLHLAQYYLDVINVMTYDFSGPWVPQCGHQSQLFAPEQPHNDAAHL SCSSAVSYLLAQGVPPHKILMGIPVYGRGFPGTNCVGQYSCPAPDGSDKPVDDGAGNE KEIVFDYCDLPVPGSIEQHDPEVGNAAFCVDNEQNSGFISYDSPQSVKLKAQFVKQTG LAGLFYWHIAADSTTAARSLIATGYNTMHDL TRV_05203 MAEVQRIADRIVSPGTPRDPNFTKFAFSDFVAKSFSFALASDVP VCKAYREGHCPLGPLCAERHPTPSRISTSSSPAIAPSSTHGSLVCKHYLKGLCKKGLK CEYLHEYNLRRMPECQSFSRNGFCPNGDDCLYQHLSGDAKLPQCEHYDQGFCPLGPIC AKRHVRRKLCRFYLAGFCPAGKTCTEGAHPRWSENLPKPTVRVEKTQEEIESERARIR EEQEREEEREREWRRENRGRDGRYPRGRYRGKR TRV_05204 MSPNLPFRDINLYASPHCYAFRSASASTTHSLVIDRPTGDLRLE KASTHQAKRVSSIAGILGIIKLKLDKYVIVITKEQPMGRLRGHMVYKVVATEFLPLRE TPLHDPDEDAYLALLKKLLATGPMYYSYSLDITNSFQRQSQSDLSLPLWKRADDRFFW NRFIQTDLIDFSTGLSENTGIRSGQSSDVDPYILPVMFGMLRITTAKIKSTPFTFALI TRRSRFRAGTRYFSRGIDEHGNVSNYNETEQIAILNDSNGAVSGFAGGVGMGDSKIGE KSHKELQVLSFVQTRGSIPVYWAEVNNLHYTPKLAVRGVDAASSAARKHFSDQIETYG ENFLVNLVNQKGREEQMKRAYEQMVRLLLSSPTEAKESDMLSPEKMHTLESSSKEQLM DRLHYIYFDFHNETKGLQWHRAELLLNQLNEGLLRGQYFSGIESLGNPSGTLEARRLQ SSVVRTNCMDCLDRTNVVQSMLGRYTLTRQFIDCGILMPGEKTDDDLVFRDLFRNMWA DNADVVSKSYSGTGALKTDFTRTGERTKAGALHDGNNSITRYILNNFMDGPRQDAFDL FHGTYLPSTTASYVFADRRPLVIQSIPYILGAAIFMILVATFTRQLPDSTGWPLRIFL IFWIVVAAWCLHFIHSHGMLYVNWPKLNTPATGAEGYAEALRRASKDKLIGDFIPTTG RQRGVSNARLGFMEEGKKRIE TRV_05205 MRQSGRVLNEQSIPETIEWCKKIGHKPSDFDRLNIIHIAGTKGK GSTCAFISSILSQYLTPKKDADTSTLQSTPKFEKIGLYTSPHLRFVRERVQINNTPLT EAQFTQYFFEIWDLLEEDARARGEDPKGPNMKPNYFRFLTIMAFHTYLRENVDAAIIE CGIGGEYDTTNIVVNPVVEGITSLGIDHVAMLGSTIEEIAWHKAGIMKPGTIAYTAPQ PETAMDVLRKRAEERKTQLHVAQGHPDLAPGKISLGLSGEFQNKNAELAVAICSSFLK KLGFPDVPSYIDKTPLPEKFKAGLEGVKLGGRCEIRKEKDLVWHIDGGHTADSIEVAG TWFSSLPYTQTRATEGKRKPCILIFNQQTRDSTALANTLHKALTTGNCVFTHAIFCTN VTFKEAGYRPDLVSINTDASDVEKLSVQNNLAKVWRSLSPDTEVEVKATIEEAVETAR SIAAAHNEEDSSSESVSVSVLATGSLHLVGGLLEVLETNPQVKGA TRV_05206 MADKQDAEPAPGQQNDVPDSGAGKNGSTTVSSGDKGKGKGKATI ELSTKAAQALMDSNPALKGELAGLDSEKAAELMRKMDIKDLLAGLAANPKNKKDMASY KFWQTQPVIRFDDKGEIVDGPIKEIDIETVPKTPGPLIDGFEWVTLDLNDDKETQELY ELLTDHYVEDESEMFRFNYSKDFLNWLVLQTNELLVVQ TRV_05207 MEAKDVSAVSSLLNRYMKRFELSQTFSEEEIQHLFINKENTSET VVYSFVVEDKDTHQITDFFSFYSLESSVIQNEKHSNVRAAYLYYYSSETAFAEHEKGL KERLQLLINDALIIAKKEKFDVFNALTLHDNPLFLEKLKFGAGDGQLHYYLFNYRTAP IAGGVDSNNEPDERKRHGVGVVLV TRV_05208 MGAGQSTNTSGHGASPEEMSRILAHRFASKCFTPLELTHLKDNL YSLALKQGDIHYWNEEVLSRFLGIPDGDEKFGLGTLDAGPVIFRMVSYLGAFPFQNTL APSVLTFDAMVKVIVLLTDRYGKVLRRGKKDRIKLLFGSLADIGRKEASPITSGDKSD NDQGRPETRSDEAGFSVDKPSNDADDYNDDDDLVLAALESLDAIEVFKHDQRIDRTVY EAHISFDTFQRLLMLFVVIAPLQSCEKTSEYFSQLNEESLKPARYCVENILTSFDIGD RTKGIDYETFYWVISTSLPYMFDPLTPLFEHLLFSKNLDLSRRKDSGTCSVSEEKQTK TESYFSPSHPPVILPGSFKPCILDSAVISHLSFFLSTSSPTPNLLQNGTRLHGVFSSD THGESLTSFSHHVLTWDAPSILLVTGSNSHSSGDEADIITVGAYIPQPWKLPASTSTS HYDTSHQSQLPCLFQLSPRHAVMQGSPSINSLKSNLPVVSFSTKSGIAIGCKIPPPTR TSMDTSRPTPAGSGSLWINPALENAEFFMSNGLSHEEGVFLPPGIARWSPSHPPSKAE SETIKISIYNIEVWGVVQTAPTPAQSPYHSRTSTANGDIPDAVARQQANWNFEAREAE RRREIHLNVGGGDSEEQSGRALLEMAGIIGDSARRR TRV_05209 MAEKRKQPGPGKNRGGRPRKKRLEDAAEAAPSPKRQRRREPSAT PSKKATATPAPSLPAELPAEESLPTKISENQTLPTLPRPQTQSSLLDYQSYADSGVIS AALHRSRTRWLHDCLFEKYWTKPSKKKNQPQPTFQNPPKDSMTKLGPCTMVIEPHYFD IMLYAVRRPQSQQPNQQQQQKPMVQLQYTPPSNSGQFHEYNPRPPPVQVPPNRSPNTV PPPQPPRHPQQQYQHQQQPPLPPPPPAPPQQQQQQQPRQPPPLPHHPPHSQSQAPPPT TAPPPGPVHQPPTSQPHGHNIPQKPPNPPPGKPSTDPVIQLLANRAATNPDLRALMRI VADSQADQEQLRAFQAHIDEINAIIASRNSKDSQPNQSQAKPEPPTQMGAPPTSASAA QNRVHTPIASRNPAVAPGPVPYHPQNQHPHPPGPQYPPGPPGQQGPNSIKTKHTQKVP PPQNGYFRPQYSQPVSQPARMDIKNVVFEFILPAGSNNGPTGDRYLFPENMILDYFPG NTTVIASFLAIKKIGPPGSSTESDKKAPVKVRGKKAKLLQAAAASNSGDSPSKPPASQ SESMKDTKGTDATATVENSKTSDAPETPDDNASSTSGTKPKAEESSVKEYYQPVTLRL HASNPRTLEPLGRVVKPPGEVRDYMNGVMDRIERADIEYLAFRLPQEHRRARGAAAGD ETSKLAGPARGASKLNGTESESGVGTPRNGDRDDNGSKSAEVLQDHYDLPSGLVPLRP TRV_05210 MELLPIFKKAYWLLAASGLLYLLAVLSLTHPAIQRGYLSKLAFY HCMSHILIDTLSSVLYSNGINPSKCLDLNNVECFGFLKSQVQPFTLTTPDNETLYSWH IIPTHLYKDNEDLLLQNPPSGPPDDITKTPAFQLLAKEKNSRVVVNLHGNAADIGTGY RPKVYQNFLSASTPSRPVHVIAFDYRGFGRSTGKPTEEGLITDALTVVNYLTSPPLSI SPKRIVIAGQSLGTAVASALAERHTFGNPSGPAPVKAKESFAGVILMAPFTNIPQLLH SYCIMGFIPPILSPLLQYPQLKKYILDRLLDRWDTAARLAALTGIASKQAQNHELLPF DLTIIHAINDRDIPWREGRSVWDAATGGEMAVELGSFTHNHTSEDGVIQSTVWERMDG PSKALKRVRWERVRYGGMMFFMYSLNLLPSTRTNR TRV_05211 MRAHTLMRRPVRAFTNLSRTSIRLQSTTPFAQRCLFNTPLASNS TPLPRFPLSGRWEPRRCLATAAEILEKAANPEELTEATIIENMKPDEMKHMSRMRNIG IAAHIDSGKTTSTERVLFYTGRIKAIHEVRGRDSVGAKMDSMDLEREKGITIQSAATF CDWVKKENGKDETYHINLIDTPGHIDFTIEVERALRVLDGAVLILCAVSGVQSQTMTV DRQMRRYNVPRISFINKMDRMGANPFKAVDQINQKLKIHAAAVQVPIGKEDEFKGVVD LIRMKAIYNEGSNGEIIVEKDEIPEDLLDVVQERRNKLIETLADVDDEIAEIFLDEKV PTPEEIKAAIRRATISLKFTPVFMGSALADKSVQPMLDGVCDYLPSPAEVPNLALDQK RNEASVKLVPYADLPFVGLAFKLEESNFGQLTYIRVYQGTLRKSMNVFNVKNNKKIKV PRIVRMHSNEMEEVAEIGPGEICAVFGVDCASGDTFTDGKLGYTMSSMFVPEPVISLS IKPKSSKDLANFSKAINRFQREDPTFRVYFDPESEETVISGMGELHLDIYVERMRREY RVDCVTGKPRVAYREALGKRVDFDHLLKKQSGGPGDYARVVGWLEPSPKLEVNEFEEQ IVGGSISEKFLYACEKGFNLACEKGPLIGHKVLGTRMVINDGATHMTDSSEMAFKNAT QQAFRKAFKEGEPHILEPLMKIVITAPTEFQGDIIGLLNKRNAIINDTETGVDEFTIF ADCSLNSMFGFSSHLRAATQGKGEFTMEFSHYEKAPGQLQKELISEYEKAQAARHK TRV_05212 METAMGDQLPSGAKTPTRNTLSLTEYTATPSPPSKSEECPPEWD IPEGFLLPNGYPDTWHANKWLQYIRLILTSRVYDVIQETPLTHAVNLSNRLECKIMLK REDLLPVFSFKLRGAYNKMAHLQGEKRWKGVIACSAGEIEAAIPVYAFAQVVGVAYSA RHLKIPATIVMPSGTPAIKHKNVSRLGGTVILHGQDFDAAKEEAQRLEKLHHLTNIPP FDDPYVIAGQGTCGMELLRQANLQNLEAVFCCVGGGGLIAGIGTYIKRIAPNVKVIGV EAVDANAMKQSLETGTRITLKEVGLFADGAAVRAVGKETFRLCREVVDEIIEVSTDET CAAIKDMFEDTRSVVEPAGALALAGLKKYISKYPSKNPNRELVAVASGANMNFDRLRF VAERAGLGEKKEALLRVSIPEQPGAFAKLIDVVMPHSVTEFSYRYSGKEFADIFMGIS LSTPSDAHDLQALTAQIRKGGMEASDLSNDELAKTHLRYLVGGRTSTPDERLFMFEFP ERPGALEKFLTTLRPNQNITLFHYRNFGGDVAKVLAGIQCPPDEREALGAFLHDLRYP FTECTESPVYQMFLRQ TRV_05213 MSDKPLPSSFDDDPDFFQDNAWKKLGRRLKEEPLVPLGIGATCY ALFRAYRSMKMGDSVQVNRMFRARIYAQAFTLLAVCAGSVYYKTERDQRKQLEKAMDL KKQQAKRDAWLKELEIREQEDKDWQSRHATIEQAAKGVEVKPFVADSAPDAAGRDASE EPAKESGDKKDGGSGGVLSAVKNLSWGSK TRV_05214 MLIKKRKRNAEPTLSAETPQLTERPINIAKTRIKVESINSAPFS EFIHRYIPPYALDLTIRLPTTSSDDTHGEPGHISYSLQFYTAASIPEPYMTACYDLIY LTSSAAYKQSASGWSARKKKLEMKLLDMRYMVLVLKKNNETEGTLDMPTVGGFLSFMV TEEDEMQVLYCYEIHLAPEVQHKGVGKQLLQIFEDIGKNIGLQKGMLTVFKSNTSAIR FYERLGFTEDANSPKPAKLRNGKMKEYDYMIMSQPFTNDSSEKMDISTPDFN TRV_05215 MLICANRNLWPGQKLYYKNSGSEKVADFTSRLQATMHFQGNKKK ASKSATANTTFYYTRDIQYLLHEPLLNKFREQKALSKKIARALGRGQVGDASRLEKNL APKLTLDHLVKERYPTFIDALRDLDDALSLLFLFANLPSTATVPPKTIARCQRLCHEF QHYLIATNSLRKSFLSIKGIYYQATIQGQDILWLVPYRFVQQVAGDIDFRIMGTFVEF YTTLLGFVNFRLYTSIGLVYPPKFDVRSDEQGAELAAFTLEGKKIDDGENTAIEAVEN KETESVSNEVQEKVNTILKSVKIDTNSEAPAVETPTEREDAIDTFEPAAPDADTLPQP QISGNEAAALFAPLTIFISREAPRAPLEFLLRAFGCKRVGWDSVLGDGAFTHNELDPR ITHQIVDRPPLTESALPPLPQNPQESEESIPRVRPGHRIPGRIYVQPQWVWDCVNDGK LLRPDLYAPGAILPPHLSPWVKPSNGEYDPRVSLADQEAEGEAERAAEEEDESEEEEE GEEEENEATAEIDEEEDASDGEEESDKESLNGGMEIANSDDDEEDDSEVEAEDSFGGF DEEPELDEESEDEADAAQKQHQKELEAEALGLQPVHEPESSKKSKKGQGAKSKKYAAE KKKAEEELERQKMMLSRKKRKLLDKMLYSNKKKEEEAEKLRRKRRKIEQGLMK TRV_05216 MSLPSSSVVVSPSPRKYTSLFNPDEIQSNQEAQYAATGGNSSYP DFGNGDKLEDDRGPSEIRGSSDEDSEISHTNDVGDSDDEEYEPPSSLKELEGSPPRLN KFHGPPSTWRGWTRRERHEYAALETMRSRDLSIHLYNSFMLKNKAKKAKARKLATGTF NGASSGEQRDSIPPFAPNRGWTAWPMPPDEVPRADERINKDPDDVWTLSMVPDNRPSA ELEACIVAHMMRISRQRFEARPWDWREAQRFEREGSTSRHDSESVLVKDENGSDDLLP PNPDLHPVIQTDDEESRKLLCPEARNILERLDNLLLNLQAARSTCAAVSRRSKRESKL PNTGARSSTLNDEARSPSQINYVHSVVESEDGANSEDDKITSTSPLQDRGNRAERFES HLPSQTSSQKRPVRFGLRDWSEVLGVASLTGWPTAAVMRASRRCADLFGEDQVFRTMY ENTVQLGKTEDGMPCWKHAESDQSDTEAKLTQNRPMFSGIDNPKQDERYCPVAGCPRQ LRGFSRAWNLNQHLKKAHADLLAESNFSLPNGCINDIIFSSFQ TRV_05217 MKLHTDPSTGKLIGTCPEFTPADTHKAIDAAVSAFPSFRKTTGR ERARMLRRWHQLMIDNAEDLATLITWENGKPLADAKGEVNYAASFFEWFSEEAPRIYG DTIPATVPGNRTMTIKEPVGVCGFITPWNFPAAMITRKIAPALAAGCTVVAKSPGETP FTANAIAELAARAGIPKGVVNIVTALKNTPEIGLSLTTAPEIRKVSFTGSTAVGKLLM KQSASTMKKLSFELGGNAPFIVFDDVSDVDAAVAGAIASKFRSSGQTCVCANRLYVHR GVYDEFTSKFAEKVGGFTLGHGFNEGVTHGPLIHSRAIDKVHEHVSDAQAKGGKVIIG GNRAPELGSNFFFPTVVTEMTKDMRMASEETFGPVAGIYPFETEKEVVDLANAAEVGL AGYFYSRDVQRIFRVAEALEVGMVGVNTGIISDTVAPTTLADLKSRFGGVKQSGFGRE GSKYGIDEFLTIKTVTFGGMGGDLQG TRV_05218 MQQNLSFTVVLESNHQFPEQSCEVVVWHNICSDAWTGLELKSSP DSQLTVISENTSNKCFRKAFIAEIPLPTEGKHAEFTIKYKARADCAWQWANETFKSKN GEIIIEPLKPLSNDNTHSLQNYLHSINSNIDVKPGRSDAAGALLWNISCQVEAAVNEE SKTSRILFGTPKDYVRTFSLVRASASWLAPRHGTTSYNLNEDALLSSFVYRDGIVLVL VSVSGIDNVLTVFQSGNEGEIIISSRNDNNRVAKSEAVAAIASSFEIAMAAAIYEARK KSQNYSVALQHIYQAASDQEPAQTAVDNGLTPKWQPEWYDGLSYCTWNALGQNLTEQN ILNALQSLKENGIQISSLIIDDGWQSLDNEGQSQFKRGITRFEASQGGFPHGLQQTIA KIRQENEGIKHVSVWHALLGYWGGISPAGEIASKYNTIEIERTGEPASRKIRIVDPDD IPSFFDDFYTFLSSAGVDSVKTDVQSALDSLEGASIRQRCITTYQDSWSRSLSRHFQA RSISCMSQTPQIIFHSLLPTNKPRLILRNSDDFFPDIESSHTWHVFCNAHNSLLTRYL NVIPDWDMFQTSHSYASFHAAARCVSGGVIYITDEPGKHNLAIINQMTAQTTRGDTVT LRPSVAGYSRDVYNSYDDGHLLRVGSFTGWARTGSGFLGIFNIASEDTSALIPVSDFP GVLSGNDNEYIIRSHKSGNVTKPMYQADTHAMVLVTLRPRDYDILTVYPVYAFDVLKK SKSCAAGTKSRLKVSVLGLLDKMTGAAAIIGSDISMVPGNDLRFNVTLKALGRLGLWI SDLAERSITDNFMVLIHGLPVPVETVQRGRDRESCILSIDVLTAWKKMGLDSGRSNEV VVQVLMM TRV_05219 MHMQLKKLGVSFERYGGKHGYSWEWAWRRALENNNTWWNKETLI DVLGTLGQHARIGTMLGREPVKTRLESGSGMSFTEFCYPIMQAWDWWHLYQKGVMIQV GGSDQSGNIQLGIDTIKQVLKTSPIETKPGEDPELSMPFGLTVPLLTTSTGEKIGKSA GNSIWLDKDMTSTYDLYQYFMRQPDQDMERLLKMFTFLPTSRIPEIIEEHNKAPSRRV AQHTLAQEFVELVHGPKEAEEASKAHKAIFQPQGSTSTKSDAKSIPLLPSGIPEYINA GVNKKAPQMNAFTAPTHHLVLPRSLVVGQYFHKILYSAGMVSSKQEGHRIIVNNGASV GCMPSGAEQMGDALSYVPLRTWPADVTEKFLIDGSLLILRIGKWRVKIIKVISDKEFE EQGLTVPQSEPEKESIAEQQKDRDLFAKKRRIAGRQVQRPGIAGGSAGGRKPKIVSLQ EWTDQKEREEEAELAKEKEQRQAWP TRV_05220 MGSSAQTTPAPSRVLKRSTPSTQNMNKTQKSILGFFQPKSSPSA PSTSQRSSTLPASSPSGASPLSETTTSSVRAKFSKQRLDDDQNITPVPSSDAIEPPEE DTPTAVRKTSAGNHEFSTSNTSSRRARTAVKSYEESEDEDVVQPGRRNARGRSQKRRR PGDLSSDEECFKPDEDDDEDKYIDDTDDFVVPDDESEEELKSSRKRKRPSKAPSKKES SSPPPIPGDDMDLEIPQCTSASTQKWRYDPDNVEPYQPRKTLVKPETHSSSKQKKAHM TEPENRYPWLANIMDMDKNPLGHPDYDPRTLYIPPLAWTKFSPFEKQYWEIKQKFWDT IVFFKKGKFYELYENDATIGHQLFDLKLTDRVNMRMVGVPEMSLDHWANQFVAKGFKI ARVDQSESALSKEMREREDKGNKMGKAQKEDKVIKRELACVLTTGTLVEGSMIQGDMS TYCVAIKEAIIDGLPAFGISFVDTATGQFFLSQFVDDVDMTRFETFVAQTRPQELLLE KSAMSTKALRILKNNTGPTTLWNYLKPGKEFWEADVTVRELDAGSYFVSEDKNHASAW PQALQDARDKDLVMSAFGALLQYLEMLKTGRDLITIGNFTWYDPIKKASSLVLDGQTL INLEIFANTYDGSSEGTLFQLLNRCVTPFGKRLFKQWVCHPLMDIKKINARLDAVESL NADSTVREQFSSQLTKMPDLERLISRVHAGTCKAQDFVRVLEGFEQIEYTMGLLKEAG SGHGLIGQLISAMPDLNGLLKFWETAFDRSKARESDILVPEEGIEEEFDASKKNIEQL EDELEQVLQRTRRELKCSTIVFKDNGKEIYQLEVPIKIKNIPKSWDQMSATKQVKRYY FPELRALIRKLQEARETHAQVVKGVAGRFYARFDKDYSSWLAAVKIIAQLDCLIGLAK ASTALGHPSCRPTFVDDERSVLDFQELRHPCMMPNVGDFIPNDVKLGGDTSNINLLTG ANAAGKSTVLRMTCTAVIMAQIGCYVPCEYARLTPVDRIMSRLGANDNIFAAQSTFFV ELSETKKILSEATPRSLVILDELGRGTSSYDGVAVAQAVLHHVATHIGALGFFATHYH SLAAEFEGHPEIAARRMRIHVDDAERRVTFLYKLEDGVAEGSFGMHCASMCGIPSKVV EGAEVAAKQWEHTSRMKESLEKRKGGGLIGLGWWSDVSWILKESAQATDEATRSKNGD RGVEILLKAIEAL TRV_05221 MDTLTAGEQRTFMLGLLCSTDGLNNIKVDYDKLAEKAGFKNAAS ASVLFNKSRRKILSALTEDGTLAPANGSPKKASDKVTKPRKSSAKGKGATITTDEESN GNEETQANPVKAPKARGGKGKAGGRVKADPMAISFLTRHRMVPIKGEVFVKEEPLHED FAKGIIDGTLYSYSDVSLNTLWAVGAFSSGNGTTATGSPLNSEVDDETL TRV_05222 MTMAVSERPALALLTMLLYLPFLGLLFSPVNCYTPVSDTTLRSL PRPGSDFDIHNGAILAPILRPRVPGTPGSIAVLEHFVKFFKENLPDWRLEFQNSTSKT PVTGDKQIPFINLIAIRDPPFAKVGDVSRLTLAAHYDSKFTPEGFIGAIDSAAPCAML LHAVRSIDAALTKKWKAMQLSPTDNLDIDAHQGIQIFLLDGEEAFGEWTATDSLYGAR SLAEHMEHDYYPALSTFKTSLSAIRLFVLFDLLGSKDPIMPSFFATTHWAYKHLAQLE QRLRTLGLFKSDPDPKKGAPKAWFTEGNRPSDRKFLSFISDDHIPFMQRGVEILHLIP WPFPRGIWHTMADNADNLDPPTVEDWSTLITAFAAEWMDLEGFFDTKKSKQTRLDVED KSEL TRV_05223 MPFTPINTSLGALLLYQGSYGLLQNNGRVFGISSLLSGCVGNPN TQNVPVILGMVSSIVPVYLLAPSLLPPYATPPATWGAALALAGIGFLVGWGTKNGNGC TSGHMLCGISRISPRSFIATGIFFITALVTANLGIGATVSPPPDGRPAYLPVYPSIDE VAFMFSTVAISQAFNSFLVPALLPRYTNSNVVYSCIAGLQFGLGLLITGMANPEKVLG FFHWFDPSKFDPSLALVMVFGVGPSLLSYLYMKTEYGNEDGMKPPLLADRFSLPTATI ADIDWRFVVGCVAFGIGWGLSGVCPGPGLLRSALSPLWGAPWLAGFWLGSLLGI TRV_05224 MEEGSHKSRTIENPFVKPENKRWSVSLHRSLVRSVSPPPARARK RRREGQTPPRVADEDDTKPEDQPQSELKVDIAAIEAGKEDVEDHLEVFSARLRASTRP ELPQVPRLAHSAWRDLYQRNQHEDGRHFVVHQHDHPIAGPHYDLRLQFSRTSSLSFAI MYGLPGNPNSKRLSRNATETRVHNVWNHLIESASAASGSMIIWDTGEYSVLPYYGNDA LQTDKSDASLSPEEQPTESEKLRRAFRQVNGQIIFINGKTAENPIKKKKKKKKRGSEE HEERPSSDQPSSNISPSHSDQEDETIRQTNAYRGATNSISSIHQRTWYLTLDRVNSGF DRRVDGTRAVHWTRKEETSPGNGASPLGFEPFFVRGPDFERSILTGRLGSDVLHDEAV VGFRGRKGWRPVLE TRV_05225 MFYKLSLLALCATTATASYGSNLNYRSPSLHHPSLGISVPKVLK RTDPAIEFAPEKLNFTHGVASGDPYATSVILWTRCSPQFDDVNDNSTVSGDVPLYNPV PVHKDTDEHKPVSKAPICLEFKVATDEKFKKVIEKGTVYTSSDVDYTVKVEATKLKPF TEYYYQFNICDSDKKSPIGRTKTAPAEDDLVEDISLAVYSCSNYPFGFFNAYGNPVRK DSVDYVLHLGGSGKDIGRVPLPDRDIFTLYDYRKRHATYRTDLDLLASHQRFPWIPVW DDHEVADNTYRDGSSKLNNTEESFVADGGISTDQRKMNAVRAYFEWMPIRQVEMDDNL RIWRNFQLGSLVDVIMLDTRVYDRSITDLTWNKGYVSQLRGDASRSLMGSRQENWFYR NLIESAERGAKWRVIGSQVVFSHINESAAFGAARNLNNDAWSGYQANRNRTFKTLYDH KIPNNIMIAGDSHANWVSDLVWLDEVPYDPKTGEGAIGVEFAGSAISSPSPAGSKINL KNSIEKSKLLATTNKVLQWSELYYRGYFELHFNQKEVQARFFGTPHIRDRNPDEISLA NFTVKAGANRLDRGKVGVPGGGVVENGWLKGGKVVQTNLTNNTESGMWHINK TRV_05226 MNKEKDLYQSLCQLYQDEDGHATELSSEAFSDRFQRSLIALASL TGVLASLAIAVLDTDGHGVYSRPIILQWLIFTVWVSGQPVFTIHCNYIYILEYQISIL TYNLIQGMLLIQAAALSVEPFSTKRYELGLYKAVSCCFLLFVLSGQLWSIKSMDGWQY LSSPHGIVLLVQILAGLSSCCASVSLPRRPELYLDGKPVDHQFTGTFFGRISFSWAGP LLKFAIKNQTLEIDDLPALDYATRSMNLRDVFDKAKKPGEKLWRTLVRVYASSLISQA LLVVCTSIFNFAPQYCLLKILRSLESRNSVSWHPLDAWVWVFALGGSILLSSTVESWL YWVAQYQLGIPVYEQLSAVIFAKAIRRKDVKGRQQVNGQSEQGDKQDHPQGPFEEDGE DDRKTRQATINHIAVDATRIADFAAYNCIIILIIVKLTITIIFLNGLIGWKALGAGLL VSMVITPMNIYTAKRYNSSQNTLMDHRDRKMGVVAEALQGIRQIKFSALESQWERKIF AVREAELSAQWQTFICEIFLVTIWIIGPVMLSAVSLGVYTILYGSLSPSIAFTTISVF TAMEFSLAVLPELIADFIEGFVSTERIGKYLESSERIPTIIPSEHISFEKSTVAWPTE NIDYKAERFTLQDLNINFPSKGLSVISGKTGSGKSLLLAAILGEADVLEGVIRAPVPP PLEDRFDSKATKANWIIDSATAFVAQVPWIENATIKENILFGLPLDKERYEKVLFACA LEKDFEMLPDGELTDIGANGINLSGGQKWRISFARALYSRAGILIMDDIFSALDAHTG RHLHIYALTGELSEGRTRILVTHHVGLCLPQADYAVHLENGAIKYAGTLVELRRTGTL SEILAAEDTKQEAKKSSPSPALHKQSAEGGSANAQTGVQGQTKEPPRKFQQEEGREIG AVKLINYVKYLQNGGGLPFWTVVMFLFTLFATLGVLRSWWVSIWTRSVEEMAEQKLDI MFHVTNRILTPKELPSDKLWFYLGIYVALSVLSCVVGSLRYAAFLYSCIQTSRNIFRK LTYTILRTPLRWLDTVPLGRILNRFTSDFNQIDSKLPFDLSGMVQNILQILGIIAAGV LVSPFLIIFAMILLLLCFHYSNLFLQGAREIKRLESNAKSPIFEQFGSALIGLGTIRA FSKGQAYIERMYAKIDRHAQAYWNLWLFNRWLGFRMSVIGAAFASATAAFIVSLSSID ASLAGFALSFSLQYTSAVTWMLRKYANVELEMNSVERVFEYSDLEIENQDGLDAPAAW PTEGRLEVSDLVVAYAPELPPVLKGLSFTVEKNQRVGIVGRTGAGKSSLTLALFRFLE AREGSIHIDGVDVSKIKLAHLRSRLAIIPQDPVLFSGTIRSNLDPFDEYSDSELQNAL ERVHLVPPADKMSSISSIEAPETPTESGVSTPTAVESSASSTLASRAGTNTNIFRNLN SSISEGGLNLSQGQRQLLCLARAIVSRPKIMVLDEATSAVDMETDALIQQSIRSEFGR NSTTLLVIAHRLSTIADFDRILVLDAGKAVEFGTPKDLMNIENGVFKSLVHNSGEREA LESIILA TRV_05227 MSSANDKALQLRNLHIPGKPLVFANVYDASSARIVAANPSSTAL ATASYAVAAVHGVSDDDLDLETNLASARRIASVAAEFNKPLSVDMQDGYGSRLEESIE SVIAAGASGCNLEDKDNETGKLFPLDVAVDRVRRVMAAAVKAGVPNFVLNARTDAVLL HKDLEDAIVRGKAFLEAGATTVFVWGGLSRADVERLTKEFGGMLNVSLLPGGLTVQEL ADIGIARISVGPRMWRLAMNTVEEQSKKLLDDYATMRAK TRV_05228 MYLPNWGSDIFTTSADSLPMEAQPSGQKKMNLVLPEWKHDEESV SNEKSANIAPINGLDEKTELKVPEQAVHSTKEKL TRV_05229 MWSLSTDLRSNRLRLFLRHLSTSSDMLVAPPEVIASWPEPNYVN PEHQGPHLTIIVISCFTISTAVVALRTYVRVKIKKNAGWDDWLMLSTLV TRV_05230 MLTWILVVDFPPASTTFRDLYKEQNNGRPVTIHGYIGKRKKIGK NMTFVRLSDPTLSRSVQIVVSSPHSLNALKSIEPNSAVVISGIVKSKESQSQFRESGD KSELDGVEIELQDVQCLNEFPRDIIITPDTVFPPSKRHLQIRNDPSLRQSLAFRAKAN AALRRALEECEPPFLEVETPILFKSTPEGAREFLVPTRNQGLAYALPQSPQQYKQMLM ASGIPRYYQFARCFRDEDLRADRQPEFTQLDMEMSFATAEDVITTTEALVKKLWASVM PEPLGPEPFPRMSYYEAMASYGSDKPDTRFDMKLHRVEQYLPADFIGKISSLNSPVVE AFIVKGNGHPEETMRVLRSFMNTPEAKPFLENPDGAPGTFVIDSSKPMGGLSAAGFEG AWDLETLFKLEHGDLVFFQCRKDEPISGGSTKAGELRLAVHQYMVEKGFLSPPVGFNF HWVTGFPLFSPSVETEPGQGGTAGIASTHHPFTAPRTAEDAALLLTDPTKAIADHYDL VVNGVELGGGSRRIHSAAVQEFVLRDILKVPPSRLQSFSHLLECLRAGCPPHAGIALG FDRLIAVMRGTPSVRNVIAFPKGNKGEDPMVKTPSPMTEDSLQTYHLQLRRGGQS TRV_05231 MGLDLEANGDEHPFLMNETVEHLSWKGVTVSVKDRETKQPKAIL RDATGYVNKGELMVLMGPSGSGKTTLLNVLAGRANSLRDGVNGEVLVNGRAASKETFR HLSSYVEQEDVLIGSLTVEETLYFAAQLSLSRSIPKKDRIQRIKYLLNSFGIQNQAKT LIGTPIRKGISGGQKRRVSVAAQLITCPKIIFLDEPTSGLDSTASYEVMSFVKALARK NNPSTSMFESFDKLLILSAGKTCYFGPGKDMKAYLDKTGHPMPVQINPAEFVLDLVST DFATDTEEAEAQLAKIHQEWEDSEESSNVNLEISRLTTLSEKEENITLSADQLQHVNI VSTIVTLLHRSFIKGCRDVVAYGIRVAMYLGLAIMEGTVWLRLGTGQENIQPYINALF FCSAFMSFMAVAYVPSFLEDRATFIKERANGLYGATSFVISNFLIGMPFLSDPIAVMI TIIFSVVAYWLVNFRSGADTFFTLVMWLFLDLLAAESLVVMIASLFPNFVVALALTAF TNGIWMSVGGFMVAPAILNVFWRYVFHYIDYQTYVFQGMMVNEFSGRVFDCGKSCQCM YASELASQCQISGKGILNSFGYATDKQAQWAGILISITAVYRILGWIVLYMKKT TRV_05232 MSFATTNRSGEKPSSLACLACRRKHLKCDGVMPICGRCRKGQLG CHYTPSRRGYKPGSRNQYAVSYTPVPLLTPSSEYTAPATLQLTPQLSTFSFVSTPLPG TVSTADDFSSDQSSSVPTWQTTDHHSNNTHSSSNGNNSNHNGLYNQTPICVDKPVAAA AAAPGLAGGSQYGVTDLQGDSYLIDFYYAYFHDSHPILPPAHFLPRLYPLPTCLEATL KFIGAHFVSGVSPDAYRPAVISSIAKSEVSCYKVQSLLLLSITLHGRNERAEGVKAFT EAAGLAMKLGMNMRTFAPSVVGEDAVLCENIRRTWWEVYMIDAMFSGFDQTPCQISSS VIMDVPLPCDDLSYSAGIYLTESPTAAQFYDRIFEDEDGSSEYSSYCHAIEASRVLKR TLDLTYAPEDPEYDQIESIDASIGSWFHHLPPSRRDILAVDDQVDQVMFRAFMIIHCA SIYLHTPQSNLLSTPVAMSSIPCARRWIRLLRTNPSSNLTHAIKSIKAANGLANLAAL RSPSIKHSPFFICGMVLSALVQLCACSIRASDTLEPRRDRIALLIGELKALGPTWSIS RLVMRHIKMVAREVLDIGVRPPSYSTVEDTGPDIATIVNNDIWLGDIPIE TRV_05233 MSAIQGKCHCGAVEYTAKLGDKSHILCHCDACKRINGSDFTLNQ VIPKADLEIKKGDLTKYTYKGDSAQLDIDYEGNSVHCFFCPTCSTHIYHHQTVLGDDK FILRTASLEGNKDWPASVEIYCKDRAKWQPDVAPKVCQAGPE TRV_05234 MATTDIPTSFTGTFQLHYFASASSYTKKNTETFPAPYPLCRLYD LLESRYPGMKEKILSSCAVSVRLQYVDGEELDVETAKDKTRMIECNDEVAIIPPVSSG TRV_05235 MAEQVEKSFANMDLKDRGRSTVALHADDTMNNVTDVSPPIHLST TFRYTENPDELVPESEAHGEHEGTNSFVYSRCGAPSSTRFETILSQLVNGHCLSYSSG LSALHAALVFFNPRHVSVGQGYHGSHGVMGILSRLTGMKKIDLDCPAEQLEKGDVILP ETPLNPHGTSYCIESYAKKAHSRGAYVLVDSTFGPPGLQDPFKWGADMIMHSGTKYLG GHSDMLCGVLVTQRKDWYSSLANDRQYIGSVMGNLESWLGTRSLRTLEIRVQRLSQNA TNLVAWLDAALHKGKPGAPETTATVTDEEASLVQKTVAKINHSSLQREDMEWLSKQMP NGYGPVFSIMMKEERIARALPSKLHFFHHATSLGGVESLIEWRAMSDASVERELLRVS VGLENWQDLKDDIVSGLKSFV TRV_05236 MRTTRKKRTLEEEGEKEEGEARGSQEEKKWSTEKERWESKYRCL QLVVWMMKRDIVESRVDMSDGRTEKKKG TRV_05237 MFNSPETSSLTAAETKVARKWRGKLFSREKETKQSTRDQQIDDF LASSRPPVQQQQQQQQQQYQQQFPSARLPPKIDVSVSQRWPGAAQSPTAAAVDVHVNV TRTNSKNNNNGSTAVAGPGGLKKRRRRCEGLRVRFCDRPPSVMGEGGEEAEAPTMEIS LYRARSNSSASQFTDGADGGSSSDASDSQARYLDNREPTLPSFAVSGPDGEKKCSSPS KETQLQPSPELRNAQNSDFLLSISQQPRGSRLSWRDTSEESSFAERIRAKMRDEEGLA LQRAREQATAAEQDHHRQHSPEQVPSRDYPRDYRDNNDHARDYGREYRDRDRDRDRDH GRHVRDEQQESRDADRGSPQEPAQSGKSGLSFWGSVMAALPEENKKQQQRQGLDGYPP PPAVPSNPAHTKIAKPPTSSPPPYVRTESRQAAYNDPQLLQQQQQQQQQQQSNRLQPD KPAYMGHHSKTSSGSSGKLKNVANMVGDAALAEFSAYVDCYIPLFSRAAESVKPIMET SLSEWVRASVWWFIKGRADLEAFMRARPPHPPGLNSANGRQSPITEQSQQAIINLAKA WWINQHLVPQHPELGEFSRMKPEAILPMVQSMGNDTLASHLSIHLAIISHLRALSMSM QRNNIIPSSSSAGQLPALSQNIDTSIWIRYPFFAPGISSVLSGSGSKSMLIDHSTKKP DIAETMPISDTNRHFCYGRMFVEVCLGSSDDDTEQYAIPCMLSITRDRSDWNVIATLT SQNELVNLTIQSDKKQGPTWADIDWQVRLHSLTLRLPRGFELDIKFQDADFKMLWKIV EYTRKVQADMQPAAGERLVFADILNEFQYTESSPNKSFPSEPTQRCRIQLFEKSTKIT EGTGTRESHRGFRFFAVTSPKVKTLSSVSHTLGNGSPIVFGYLRGDDGAPAMMLKHFE NGVEHSMLLTFQDTEIRASLHSLLIGITPSESESKSPDLALQSFTMIQGVDPLGNSGN ALNSRKTFLKFDKGNASVINERPDHKHSVPSTVLSEKLRVFISSSWGSVTDRINIGPG DLKISLDINVPTTLSVIRPPQDDLSVAVAENMVPKEVPAQMKELLTVSKTQPIIRKYN FATLQDMHHFQQGITGFKVLFDGYASMFAIARRRMVVPIYKKWEASRARLQIIQQEKN VQLVAFLAGFSHGRSMNFVLKSTDNFESFNRSGKYGIKIIDAKFALPKNSEDESADFV CLDMPEYPGEHDDITIGFDSENGKTTYHTTVHAVVNRVAN TRV_05238 MQRSAAKGLDALNTESWTRHPDFLVYLLSLTHNITNNNNNNVND NNNDKRQTTSPPPASVLRPSSLAVSLEEARSSKPPTDQRPAPNHPSGCPWTRDQEPPR ARLCQLGSSAGQWPAGQADRIKGEMVELHAGSSSTITITTTTAAAAARRTHNNRRDAG SAASTVLQLKAMASSSSRRAAAMPASPLIALLLVLLLAVQAGRAALVPFRNCLPDAIT KSSPVKLQFIPLYVAAQFNSTRPDLNLNVTVYGNVSGTETKEPYPPPDDPRWSNPNET LGKIVSVNPSTNTFTTLFPRLDVVSFSAAGGSVQPFCDSLTQGECPLGPVFNFTQDST ADLRAFSTTYNITSSYAFASLLATLEVKAGDASKTPYTCVVADIAPDLGDPLRSALAY VPLAILLLVGVATVYAAIYSPWGTTDIFRWTCNYGRDEDLIRLVTPGFADCLQYIQFI ILTGSLTLSYPGYYQPVVSQIGWSVLMFNQSFVSHGNGTTPLIDGVYSVNGTYGLDRM GQFVGMTRAADIWAGTVIWLLVILAAVTAIILLGFGLRWLHHSVSHIAEQDLQSKNTA FTMGNVVRIVFNFFLLPLVSLSMFQLVEAGSSPVYVVALAVILLVLLVGFAAWLLYLI FCTRPRSYLFDDLPTVLLYGPLYNTFSDGAAPFALISVLLTCMRGVAIGAVQPSGIAQ IAILAICEVTMILTLIAFRPYESPTSMNAYHTIFALVRCLTILLCVAFVPSLDIPDPA KGWIGYVILILHGMVLIFGFFLNAIQTLIEVVARQAGAGDVGVQGEAARGGLVKVCSH SIGLFYILSVSTSVLTNLPLQVFGVRQLSRRTPRKRHIPRRSTNSEAAMLNVDLERPS TQLDSERPRSFSGSSAVLLNRPGLDQASTGFESGAGSLFNASNPRGPGSGPYTPTTLG AFSAGPGQASGGGGSPRSGIMHMHLKHETADPYYRPPRQRRATLEGIRHSSWNSSDMG NGQSSRVANTPDLDPDADHDADVLANHPVGNGSTPTPAYLGAPREDPDGDGDGDPSLR TDYAVREVDFYYRVRGPALSHSATRKLKTGPADPTGPVSSASGWLKNLFGGKTKDKGK GFEVVRSSRAPPQAAMQRPPPPEQDKFTEPYKDDPDSAQDPNTTATTSGPAPNPDPKA SPVTEKAKAAATSDNDKPLLPPILLEDAIELPSRANTRNVTPDATSAHSRSQSQSHPG AHGGMRIRPPTIPRKSSKRGSSVDLKDVKPGAAGSFIGGPHSRNSSRDYEATNSEALV PQRTFSNRVIQQSRLPFGSKSSSTHSAPVSLDSNDSMAQPHATADGTDTTDQPSSPGP RQRNTSTATFEATRRGKLKGMGYVQQHRASDHIHETSLSGGSTAEFIGDHPLPPTSAP SS TRV_05164 MIVTIPTRHLGLGCRLLRLGVAAREASTSATADAETFFRDTSRR WICNEDARLRERHVRFNVAELQHAAVKAVDRKHCTNIVKFAEGGFNRVFLLTMDDGSE VLARIPTPIAGPPRLTTASEAATMRFLRDVLHISVPRVFAYSATSDNVVGAEYILMER LKGEPLSSRWLSLSKAEMVDLMMQIVDIEKRIFNFRFPAYGSLYHRVDIPKEARVDIE AGGGEFCIGPICKRQFWHGERADMKLDRGPWTSPADCVAAAAHRELAWTSQYGKPRPR RAFLLPTEEDISPREHISLLSQYLQAASFLVPTQPEMATPILRHPDMSFPNILLTPGT NKIEGIIDWQDAAILPLFMQAGYPAFCEHDPSRVQSLKEPKLPENYKDLNEVDRMKAD IKLRLQKANLYYYAATGLENRLHLQALRLPGLGLIQYLISNAGYPWDADLINLKAALV GLTKIWEDMTSDPCPISFSPEVERAILHDAAEWKECEEILSTILENLGVDKEGGTHPD DFGYALEMAQRLRVKIYTDAEENLRRLFWKSWIFKDDNDISPPP TRV_05165 MTFGLQKKIILSSASMISQKPTTAAEEDPEFLRKQLDRISSHPS LTPYRRRVYRTLLSVPKGQWTTYAVLSAHLSSSARAIGNAMKTNPFAPEVPCHRVLAS NRTIGGYKGSWGNGGSYAVEKTKLLRGEGVVFDAKGRAGGEIFTQFADMGEVLEGKES TRV_05166 MTQKIHRWNITHRLEKRSLLIAINSVAALSIFFFGYDQGMMGGV NNAKHYIELMGFGHTEAVNGSKHSPVITDSLLQGGIVSVYYLGTLVGALAGGMVGDRL GRIRSIALGAVWGIIGAALQCSAQNHVWMIFGTGILNAIVPVWATETAEHKSRGQFIA IEFTLNIFGVVVAYWLELWLVKKGRDEEARYILSKLRGTESEEDIARADAEFNDIKQV AALEETYSTSYLSMFTGRGSGKLHIGRRVHLVVWLQIMQEWVGIAGVTIYAPTIFRIA GFDAIKSQWISGLNNIFYMFATLICVFTLDRIGRRWTLYWGSAGQGIAMFLAGGFSRI GLDATAAGDGAKAASYGAAAASMIFIFTSVFGATWLTVPWLYPAEIFPLAVRARGNAW GVVGWSVGNGWLTLLCPVMFDAIGEKTLYIFAISNAITIPMVWALYPESNQRTLEDMD LLFAADTPWTWDAERTFAELKAQNTSPEIKQSRANRFGQPAQFQRKKNFDFNLRLCFT IVTFLSIFILQSARELPIDCCRSLYFLLEAVLSPSKLLSPQSCSVRSFLAVPFRRLGL RPNEPPQPLTRPLGLTFSPDQGEDTLQSQADRLPFVIPVEEHDLVIIGGGVAGYVAAI KAGQEGLKTVCIEKRGTLGGTCLNVGCIPSKSLLNNSHLYHTIMHDTKKRGIEVGDVK LNLEQMMKAKESSVEGLTKGIEFLLKKNKVDYLKGTGSFVDQNSVKVELNEGGERVVK GKNIIIATGSEATPFPGLTIDEQKIITSTGALSLKEVPKKMVVIGGGIIGLEMASVWS RLGSEVTVVEFLGQIGGPGMDAEISKQAQKLLGKQGIKFMTGTKVVSGDDSGSTVTLN VEAAKGGKEKTLEADVVLVAIGRRPYTAGLGLENIGIDIDDKGRLVIDQEYRTKSEHI RVIGDCTFGPMLAHKAEEEAVAAVEYITKGHGHVNYAAIPSVMYTYPEVAWVGQNEAE VKASGVEYRVGSFPFSANSRAKTNLDSEGQVKFIADAKTDRILGVHIIGPNAGEMIAE ATLAIEYGASSEDVARTCHAHPTLAEAFKEAATATYSKAIHF TRV_05167 MSKLCASLGIEGIITVPEQEQPDPDFSTVKFPNPEENGALDLAM KTADNSGVTLIVANDPDADRFAAAEKVKFTGDHIGVLLASHLLDLWKNKKSEKPMAML NSAVSSNMLSKMAEKEGFHFEETLTGFKWMGNVARQLETRGYEVPFAFEEALGYMFTK VCYDKDGLTAAMVFLAAEAKWKEQGLTPFGKLEQLYEMYGYHENLNTYFVSPDTKSTT SLFESIRKNTLDAQGTIGSFPIHRWRDMTRGYDSDTADKRPVLPVDPASQMLTIWSHR GIRFTIRGSGTEPKVKIYIESCGASRNDAVEAVCDLLTAVVENWIKPYAPAMTYFNSM TTSSGHILKLA TRV_05168 MEPLDTLIQRWLEWDQDPSTRGEIEKLLADKDDAGLEKRLRERI QFGTAGLRGRMQAGFSCMNSLTVIQASQGLAKFIKTTHKGTEQPSVVIGRDARHNSQK FAFLAANAFEAEGIHVWWYDGVNPTPFVPFAVLLKKADAGVMVTASHVSSASPMLEV TRV_05169 MAASRKSTVLTRNEIGKQIADGRHIVIFEGRVLKLDAWLPFHPG GDKAIQHMVGRDATDEMNALHSAAAKERMKSFQIGRIEGAWVNFLPPIQGGDFSIYTS GDLSAAIESDDNSSGNGQSMPPSPLFEPADKKDSGLRRRGSVSTSISSVGTASPAKKD SETRPFFLDARTQEEIAFDTAKYPPVTAVEQERIVQKYRQLYKRLLDEGLFNCNYSAY LVETSRYLLLFGLFLYFLHCGWYGTSGFFLGCTWHQLVFTVHDAGHLGITHDFHTDTV IGILIADFIGGLSIGWWKRNHNVHHIVTNSPEHDPDIEHMPFFAVSHRLFDSLRSTYY ERVMEYDFAAKFLIRFQHFLYYPLLCFGRFNLYRLSWEHLIRGQGPRKGAAWWHRWLE IAGHVFFWAWFGYGVLYRCIPDWQSRVLFVMVSHMITAPLHVQITLSHFAMSTSDMGV HESFPQKMLRTTMDVDCPQWLDFFHGGLQFQAVHHLFPRLPRHNLRRAQKLVIEFCEE TKIPYAIFSFVDGNKEVIGHLGDIAKQARMLAECQKSIAEKGVFSDHHH TRV_05170 MVETTLLPLAPVPPPSVHKFIPDDEWKACLDAWIRSVEARLRLN DREFELSASKDDAAYLFLTSYFSGGLPRTHGPTSKAASLLQRLCFLLSRRILLAGSHG PSQLVNVDFLGNLCFFYTSTLPLRNLMLEVWERDSEVLVSSTHKVKADIIKEMTSKDE PYTKIERRLRPLTLLSSNLYEVGRVLMAGDDYIDAIFEAFHKSKDEHLQKALVAHIYV GLISLMKVNPPATSALLDQLYGLKSSANVESKGPRTQPTLLSALLCSTNFIKRLENVL VGDQQQKRGDSLVSSLKTYRSECQALHKSYQRPRKVDKGKGRDISAGIQEDVHVHRIS LATQVQDLFPDLGSGYIVQLLDFYNDDLEATISNLIEGTLPEHLKSLDQGVQLSEQQD VVDLAPRPTPPRSESPVPHIERKNVFDNDEFDRLEISPSKLHIGRANADLTADDLLAN KSGSTNKAAILAALAAFDSDDDERDDTYDFADVGGTVDTYPTATDGDAAESREGGGSM AIELKLYDLYKSNPGAFARDQNTRRSQHRISLRKETGMTDEAIEGWALMLSRDPKRVT RLERNVALSGLRAHQQPDLQPTAYRRPAGEDGSGEESGGHDGGRGQSRGRGRGGRGGG RGGGRGGASTAPESAIERRRKDENKGSRANHNRRNQRAKKMARGGL TRV_05171 MSYQKPEKEFGEGPKIHKIRITLTSRKVASLEKVCQELIDRARS KSLFVKGPVRLPTKTLRISTRKTPNGEGSKTWDQYEMRIHKRLIDLHAPTETVKQIII NIEAGVEVEVTIAQ TRV_05172 MIKIYSLLNRFTDDGVYLTIDGVGDKQDPREKYSLTATDIKNDL TDGQGRPQWIFSAYGPGRNAPVQLFGGPEREQSFEEMRALHYAAVAEGKPQEAIQNAN NLFAATEAQMKNIINNLDAAIQYVVDGENQHPNRHDIVNGKITAISSSNTQPNPFSQQ SQPQNPVQPSPFGGSNSSQQSGGFGSTFGKPTMLGQQQPQQPAFGTPSFGTPSLGAPA FGTPSFGQPSAMGSSPFGQVAASANQQQSNPFGKPTASPFSQAAQTTNPFGQPQAQAA QAQQSSPFAQLQSSTQSQPGFGTNPFGSGNSLNQQQSTPAPFGQPSPFKGPATSVQPQ ASAVNVPPPSGPILPVKNANPNLNPLPKTSGQTVQDPMTKKLTVWKGLRVQYIDDEPC YQHPDDPQFYAHIFFPDGPPKADTFKYSVASPGEYTSAVEEAYKFAHENGAFKDGVMP SVPPKPEWSRYDI TRV_05173 MAPWEEFDIAFAFKRDFSYDHKLVDQIISNRRVLDHVLFVDRLL KILGIEAGKRFLGPKMESMSKANVYGVASKIYPPRSNQSLRSLFQQIISSSSPNHHKQ SLIYYILKDCRGTTEKSGALQFARTCYLPDKYRLFIDGLWYLDKLEFRVSIQLVLKMD AEANPGLQRALEYITEPSLIPTFSDEILYVLSASSKQDDGLAIAYYVTVQPPLASQKA LNAYFGSLCRTGVPEAFYFLRQQPEENSSSLLEQLITFVLSTKAGELRAKRAMDLINL PFSETEEECFNDLLLRGNAKNLHGARDTVMMRRVATGRVENLDSELESLGGRRLEGLN WDDLKRNLKSTNSVEA TRV_05174 MASASSRPRRSVSRKSFVLEESSDDEPASGRVTPSIPSNDEDED EEDEEEEYTPVPKRRVSRRVSKAPVEEPTTPTPAMGRSNRRRSRMSGRESTVSTTDES IVSVEEEPEVRSRRTSKARQSIPRSQSSRHSSIEVSSLPTPAPSQSPEADRAQSRRLS GTPLADITENAVNQTPPNAPDVEKSVIERVDPSSSVLERPMDIMLKSRSAPQIVEEEP SGPKQRMVITHLVLTNFKSYAGQQFVGPFHASFSSVVGPNGSGKSNVIDSLLFVFGFR ASKMRQGKISALIHNSANFPNLPFCEVEVHFQEVIDLPDGGHEVVPDSQLVVSRRAFR NNTSKYYMNKRETNFTTVTEFLKGRGIDLDHKRFLILQGEVESIAQMKAKAANEHDDG LLEYLEDIIGTSKYKTPIDEAAAEVEVLNETCNEKNNRVQHVEKEKASLEDKKNKALA YIKDENELVEKQSALYQIYIDECNDNTNVTQEAILQNQDLLNLELEKHQGNEDEIKQL QRTYKKAAKEYEAMEKETQGIMKEMAKYDKESVKLEEKRKFLNNKQKKLEKSMNTSRL AASECAGLVEKHSDDFEKKSAEIAALEKEMKIEEKELAEIRESLKGKTQGLSEQIAAK QTSLEPWKEKINEKQSAAAVAQSELDILREKGNAGAVALEEAQAKIVSIKEDMSAKTA ELEQCRAEKANLEHEVATCSANVQKFADKEPEYRSRLSHLRQKADEARASLSSTQTQG NVLSGLMRLKESGRIEGFHGRLGNLGTIDEKYDVAISTACPALDNLVVDTVEVGQQCI DYLRKNNLGRANFILLDRLPRRDMSSVFTPDSVPRLFDLVKPVDDKFKAAFYSVLQNT LVAKDLQQANKIAYGARRWRVVTLDGQLIDVSGTMSGGGTRVARGGMSSKQVAEVSRE QVAKLDADRDAMEKKFQAFQERQRELETELKTTKDAIPKVETAIQKLQLEIDSAKRNL ADTQRRVKELSEEHKPSAADEKREASLEKTIKALEKEIEKLRSEMTGVEEEIQALQDK IMEVGGVRLRGQKAKVDGLKEQISLLTDEVSNAEVSKSKNEKLRVKHEKSRADAEAEL ESVQEDIEKLNEEAKNQAKAVSGIKQKTEEAEEALQTKQEELTALKTELDGKTAELNE TRAVEIEMRNKLEESQKALVENQKRAKYWHEKFSKLSLQSISDLGEEEEAPESLQIYT KDELAEMDKESLKAMIAALEEKTQNTSVDLSVLGEYRRRVAEHESRSADLATALASRD AAKSRLDTLRSLRLTGFMEGFSTISLRLKEMYQMITMGGNAELELVDSLDPFSEGILF SVMPPKKSWKNISNLSGGEKTLSSLALVFALHHYRPTPLYVMDEIDAALDFRNVSIVA SYIKERTKNAQFIVISLRNNMFELASRLVGVYKVNHMTKSVTIENRDYIEGRN TRV_05175 MAEGAQAPGAAEDAEKAQQQEDEEPRPQPQPQSQSQQQQQQQQR EQREHEEEPEAEQQHEKEPKKKRKRRTIACLQCRTRKVRCDLEFPSCGRCAKGPWPDA CVYENAGPTTWSSTGTLPATRSLSNEQSRSRADADGRDVRGDASSSRLSEEQRIRELE RVVLSFAQSQAYAKQGMAMGRLHSRAAADSEIQHTPSPRSTQYSRDEAGSITTTTTTR PDAHSRPLLGVKGNRTRFYGLSNVASLVTEFGDLRAYTKDLKSRFPALANIRNLKALK KDLDQIRRPLSGESALDAVFLTGLLPARPVTYNLVQYYFAFSSSTYHVLHKQTFYERL QDLYQHPQQAAASFVVQLLLVLSIVWSVDAPEPVAPSSDKSVTVTRNMAMEWIHWGDT WLFHSGIKRPNMVLLQTRCLLVLAKDANYTQKNQAWAATGTIVKLAMSAGYHRELGPD AKVSHFDREMRRRIWSTIVELDLNASFDRGMPPTIQAVDYDALPPININDEDITESST QYPEPKHWQIPTDSSFQVTLARSVDLRLRICALVNAPRIAISAAQLSELDDELTRHLS EVPRTWESFESTDLRQRQRVMLWRALIDTQLRRSQLCLHSCCALSDFPPSVSNYSWRA RLEVAMELLCQQHQVVEQLGRLAWPLLTNTTFQAALTLCHYMYRSDRGFASYLIQATL PAMTESLIALVEKTLPHLESKFLILEKGIREYCYFCFIISMVKTKRWPESALSHQRLA VDRITSMCYSILSRGNGDRTQDGDIAKASVPSVSSIEREL TRV_05176 MLGSIFSRAREALVVPERRDGGSTDRYLFPTVDPRVDGDGCDHD CASCTIRYPSRFKVDEGRNLYGTVKPVTNHVLVATGKSDWVSKVENEKGSLMEAFSDS PQPKGERTVVLASNIPVDPMSDDASSTVLLLPAFTYVDHVSTADIPELNARFVSKDNS DQAQAPSVKSSLQSRPCPRDYVVLLCSHKTRDARCGISAPLIKKELERHLRPLGLLRD DDDERPGGVGIFFVSHVGGHKFAANMLIYRKEDEQMIWLARIRPEHCEGVVKHTIING KVIHPETQLRGGFDRKNGLTSW TRV_05177 MTEKRARRPDEAQSEKPARERHRVKRRRVDEAADEREEAIQDTP EKSPKEKSKEKSPGKAEEKPIEGVPETSTPSKSHKKKKKDKEKNAVAKTAESAIKSND EKAIARQKGPNGWRMSPTTGGRLLNLDPVFTKDEDHIILSLESAVQVYSTSTSHAVRG LRSCDNTRISGCVLSPIDDEIAYVSTSGGAIAEWNWTTGETQRTMQLEGSYLLSIKVE QGPQKDGNDEEDVKDVAVFALVMRNGKREISINSFDKSGDQPQNDGPKHHSVILETST QINDFRLAAGGSVIIAIAGSSLIIGHRAKGSEREPEYTWREVQLPFTTTSFDIREPDR ESTTKKGNKKPVVDLAVGQNEGAIIMYSDVLNTLKGLEDRRMAEPGASSLKFHWHRGP VKTVRWSKDGNYLISGGLETVMVLWQLDTGRKQFLPHLTSAICNIVVSPKGSSYAIKL QDNSAMVLTTSELKPTASISGLQVLEDSRERHHKLPCRLPALVHPIDSNHLLVAASTG IARDATNSSYIQTFDMRSNQQVYRQALTRTNASVLNVGPQGTQLTTPDITFVQVSHDG SWLASIDEWQQYPDDVKALHPGIEHQSREPKREVCLKFWRWNEPTTEWELVSRVNSPH TSTTGEALAVLDLAVHPQGYMFATVASDGMLRIWTPDSKSTRKMSSRGGSTNQVKSWR CSHVIPLEEPSDQPSASLCFSEDGSALAVCWSTVSRCGLVYLLDPETGRLHLSRQGLY TDPAQGCGFIDRYLIIVSDSAFVWDTVSDRVISHIPLRIKKAKDNFQSYLAINPRSRT FATCFSRPPVDHSNPMSKKGKSARNQLCVFSVESSSPIFQSSIDSTPLALLPDTKTGE YIIIDSSASITRMTSGVASVLPDSAFAESTLPLKSGLEDIFGSYRRLPKASTENPSEE QETAGAVEKNKSLADVFDIAPSFALPSVDTLFKSVVNVFAKGIHLHGYKNKTEVSHCC IYKIVKTSGVQLILSIRPALCTFNINRQQGGNMDSQQVSGTVSKPSPTSQKTFYKTPS HFEQIIGYYRGVRHGQHIFISGTTAVNPNKASSAAEPPQVLFPGDAKKQTIAAFQECL RAVTSLGGNGVQSVVRVRMFVGRHEDCGAVGEAFTEIFGDQKSNANNTNAEIGTAATM LVVQNGFINRDMMVEVEVDAMAAE TRV_05178 MIAVQSQASVSPRSTAIYSSADAPSPLRSNQRSPLMPVSNPPSH DPSQAEDVPQSAEEQPKTKPKSRSNTPSSLNLTNGNGRRSLSGSPSSLKKASPRPEET RDLSLQTREEDLRRDDLEDDEPEPQSVIHAPVGFDGFKSGASPISPPPITTSVAPTGH YELNTPRAQTLQEIENFKRTPHQANLDGQALLLSFTQSRKSTNITVDIPESSDTEQSQ DGHYDDGEQRSVWTKTRSNVPDNDIEIRALRTALSECWTLCNTLANLSYNHRERLFNF GGKDDMQEQAWKTCWKLCQNLYETRDDDHSAQVRPTLDMCRDFCQALFEVRLKENEIA DSVLRVSFELNNHLYNTHDRNLPEAFRERTLDFYITLCHRLMKQRTRLTEETDSLLRA CWSLAEMLFSLRQGKREGKAPDEELLGSAVQACWELCDLFREGWTQVRPDRGTPRPSQ TTFTQAFYQAKRAEYPSEDTDTDTDSLLGLQNPETPTTIFDDTATTVSPDQAPIPNIM VLGFDNPPHHPRWSSNSSTLSGYSRTSSQTASSTHTVKSPGEDQNLTALKLLLVRAAM NSGFQRGGSESLPTFAKTLSSDAFGSLPWQMSLLVNYKKLITADPAFRSVPPPTRASA IDVARAVQAMVQYNSQYEWLLDLYRLVFGFYTDDAVNATGMVIQS TRV_05179 MAGIISAMPFNTVFPETKDNPTNQGFVTAIYEIGCLLGAVSIIW SGDMLGRRKSIVTGAIIMAIGAIIQVTSFVGHQPYAQFIIGRIITGVGNGINTSTIPT YQAECSHASNRGLLICIEGATIAFGTLIAYWIDYGASYGADSFSWRFPIAFQIAFSIV MVTGMIWLPESPRWLCMRDRSDEGERVIAALHGVPVTDPLVQAEKNAVMESIRASGEV GKPTPLSVVFTGGKTQHRRRMFLGVFGQFAQQLSGCNAIIYFFPVLFEKSIGVDHNMA TLLGGVNMIVYSIFATTSWFLIERAGRRKLFLYGAAGQAISMTITFACLIPNTPATAK GAAVGLFTYIASFGATWLPLPWLYAAEISPIKTRAKANALSTCSNWLFNFFIVMITPV MLAGIGWGTYLFFAIINVCFLPIIYFFYPETAKRSLEEIDIIFAKGYCENKSYVQAAR ELPYLTEEEISRMDAEYGHGKPSETASPVNEKESDSDQ TRV_05180 MSFDSIPILDLSAARDAATKPGFLDELRHALLEVGFLYIKNTGI DDKLIEDVIAEGKKFFDLPMEKKLEMEMKNAPSFLGYSRLGGEITRFKTDWREQIDLS TNHPVPGPSDPLYHNLLAPNQWPDESSIPRFRPVYEEYMEKMGAISMEFISLVAEAIG LPANAFDRFFDKDQQHKLKIVKYPDLEELGVDGEAQGVGPHKDSMLTSYLLQATKHRG LQVQNHRGEWIDCPPIDGTLVVAMGQGLEAITQGVCQSVPFFQGVSYDATFESMDVPD QVKKLRQEVVERNGIRQDDIEFTFSKGRWGHLGEAALMNRIKSHPDVGERFVSLRDIS SMIYHF TRV_05181 MSVTSIELASPARVHATPKSSQLVLEAIGSSAENVTSHGVHRDP DDSSDEEVASTFSVPPVSRTKAAVIIASASSMVLMNSVLTGILTVGLPVIAADIGLGD SLLLWPASVYGLTCGCTLLLSGSLADVIGSRPIYLAGCGILSAFTLGCGLVKTGIQLI MFRAMSGIAMSLCLPSAVSIITAAFAPGGRRNIAFACLGAAQPVGFSLGIVLGGVLIA SIGWRYGYYIVAGVNVLVLIVAFWQIPADPRKVVPVTWKRLTTEIDWVGTLLISASLG LFSYALSTISVSIRELAKPGNAVALALSAVCLAGFVFWIHRQERLGRVAMVPPNLFKS TTNAPRRARNFTCVCISVFFTWALFNSFQFFTTLYFQELQGNSALMASVKYIPMVISG LVINIVTGFLVKRVSADILCTCAAVASSIAPLLMAIARPEWSYWTATFFATILIPVSA DTLFTVSNLVITSAFPPKTHGLAGGVFNTISQIGMSVGIAITAVASNSVVEHELPHTD RKTALLKGYRATYWISFGAAVMMVALSLWGLRSIGKIGLKKE TRV_05182 MEGKDDEVLPDAPPSDDIPKTENKNAVTKDNKEEKDAEVNSPKE EEDTSVKKSFKLENIFDDDDDDDDDEEFPDSDAIQIPDKPAPSLAQTDPEFMSAFYQR LFPFKYLFQWLNHGVKPSTDFGNREFAFTLPNDAYLRYQSFQTADAYVYTFLPQSGFK LISFHSLHKDVMRLNPSRFEIGPVYSTNPRDRKTLRKPGAFKPISKELVFDIDLTDYD DIRTCCSKANICLKCWAFVTMSIKVIDAALREDFGFQHILWVYSGRRGAHAWVCDKRA RNLPDDRRRAIAEYLEVVRGGSQSGKRVNLRRPLHPHITRSLDTLREYFASTVLVDQD PFSRPDQAARLIELLPDKTLRAALQKKWDSAPGRSSSSKWADIDTVAKANSRGLDTKA LLEAKQDIVLEYTYPRLDAEVSKKLIHLLKSPFVVHPGTGRVCVPIDIRKVDDFNPLD TPTLFQLLDEINSWNPSQANQRDQMPGSDSGSGHDETDTAAKPRRIQDYEKTSLKPYI DYFRSFVAKLNSDERPAKRERTDDDRNAMEF TRV_05183 MFSIRSLTRSVPRTLSRSIATSAARPLQRSAFFQPTWKLMSRPS YAAFSTSRPRFEAAGQVDVELAAKFQEELALETESGETEELPESVKYFLDNGPFEIID KAGEEEVVLTRKFGDENIRVSFTIADLQNINPEEDFADSALQDELEMDQSRSNKDASP EENIDEQPLEPGYLARVDVTIEKPGKGAMHVDAVARDGLIQIENVSYFSKAELATAET PDKEWERQSLYAGPPFGNLDEELQTLIERYLDERGIDTALASFVPDYIDFKEQREYVR WLGSMFLLPLTIIPL TRV_05184 MGINSEANGPSCSLPAVIGKQETRRLPVTLLSGFLGSGKTTLLE HILKSPDHGLRIAVIVNDMSKLNIDAALITHHKVSQTKEKLIQLQNGCICCTLRGDLL SELANLTKQNEVEYVVIESTGISEPMQVAETFTAEFSAAMLEAEDQIADADEDSKKIL AEIAELGGLHTLASLDTTVTVIDAFNLLSNFDTTEFLSDRYGAKEIVPEDERTISDLM VDQIEFADVVIINKIETVNEDTRRRIKQLVNLLNPEAKVLEASYSKVDVREIIDTKRF NFLKAASGSGWLRSLHEMTIMNTGNGQRMAPKPETLEYGINNFVYTARRPFHPRHLFA LLHDKFILLQHSEGGDEDEDNEGNEDDKMDLDEEEGDEGDEDTEKQGVSGFEQPDPAV ILANKRSHVFGPVLRSKGFFWLATRPLQFGEWSQAGGMLTVSCGGPWFAEVPSDAWPE DEDVRKSIENDFKGDWGDRRQELVFIGEGIDTEKITALLDNCLLDDKEMARWERVMGN KELSRDEKAELLGTMWEDGWEDWPPFEIEGEDEDEEDMEETKPKHYISDYLGPAKKDG HKHHHHHHHHKNGERKKSAKAA TRV_05185 MTKNLNKITKKLSKKKGKLDSLHEKSRDAVRLRRAGGREEKLAR AAATTMKGRQIYVDRVAFFRDHTKDLEAPLTSEGAVSLLRQYLDRLKPELQEEQEARR KGRPPSKRQEVLTEKIEAEEKEYQTGFWMPDLECEDSLRRLRNWNEDWSAMSNLKFVR LSKAGDKRPSLFPPKGLS TRV_05186 MGISGLHGLLKSIQKPCNIKKFAGQTLGVDAYGWLHRGTIACAY ELVLGKPTTKYVDFAIGRIRMLQHYGVTPYIVFDGGMLPSKEATEASRAARREESKKL GEEHMRRGRTTEAYQEFQKAVDVTPYMARVLIEELKKHKIKYLVAPYEADPQLVYLEK QGIINGIISEDSDMLVFGAKRLLSKLDKNGDCIEINRGDFTACRDISMIGWTDENFRH MCILSGCDYLTNIPKMGLKTAYRSIRKHKTVDRVVRMVQFEGSNRVPPGYLENFKRAE LTFLHQRVFCPIAKTLVMLNPLPDGGNGEDMPYIGTLLEPHVAIGIACGDLDPITKEP IELKRSYPERERLVRTGRQSITLSDEKKPVREIQEFFTPKRIPLSELDPNSMTPSSGP NRPLMGRNGGRYSLAANRVSTPPSVTRSAASIPNTPTDRARRAEAFLRSASELPPPQV QKRQRLCSDASEENITGAMTEVSPFFAGSGQSPLSRKDTTSKKRKSSKLHVFSDQEAA LSPSQGVAKEIVAAPSSTQASSSSRPLPPTFHAESTPITNLQDSSTKSSKRDSLVASA SANSQTVDADSDPALFESVLNYNIERHNLNLREKYAFKPSGQSEPSNSSPGKSLKRTL SSQLRATPLQRLKQNALSRSKSMTCLQPPKPTDDKPNDATESPKITATQTAATLPALK NSISQGGSEDMIIPNSDNSVEEDDDSQGAAYSFDFSQYAFKKA TRV_05187 MSPVKRSRWIFLHKGFLVKTENRGREKKRKKKKKEEKKKSLERK RLSAAMRWLEGYRRRPGL TRV_05188 MVGYHHVLMLFVAISILLLSLLLAGCSSSSPQIPSIFLISMFYD KYPPVMSTAQADPGLSSTISNIVGGAHLEVRVGYFGICIQRNGGGFLCNQNATILAES FGVESDPLNLIWVASTFKDAVVFPYLIIVALIFAFLSFLLLATFPGWHEELNNQGSDI EVKPFPSRPVSQVALALIFVASVFILVSVLWQHTASVAASTIAQDLGNGSVKSGVGTS AMLLGWFGFAFFIIVSIGLLCMILSINYFSQLTDD TRV_05158 PSPLAAKGEDGHSDGHYLDSWLTAPSLSQSQLGFGPAVFVSVST HLLANPNLISPSLFRADILHDSAGVLATATTEHEHHGPASEKEAESVCHAKDTRLPLH FPGFDLDRVVVRRLIPRNPNLDPPHDQTCRLYKNTKEADITSHLAVYYPHAAQEKDIP FYHPLVRGFALLYSHSTSTGQGTLSLHFLPFTPGIPSTVSNRLHRTLLNLLSIHVRLA SGPAATSHPSGSQPRPFKDNLIPQHTVQNTYTRLKSKYAPSLVRNWVEVTEPSKHVFE DLSIAAFLIELWNVMYHIPSCSSDYSDQEEVDKQPDVMKRDAPVMRTNFPGFVDIACG NGVLVYILLAEGYRGFGFDARSRKTWSIFPPWVQQQLKESICVPQPYQDVLAPAHSDD STTTTTTISGLFKSGTFIISNHADELTLWTPLLGVLSDPYQPLPFLAIPCCSHALSGA RYRYPPPKHPKTNTAHGEEKEEEEAESDRYEHQPQSGDLKALRKKKLEAQQNPASASS TYGSLTAKLVCIAEDVGYQVEKTLMRIPSTRNMGVIGGLEACKTAFNLRSETEDATTT QITRLADQGLKLDADKRREKVYSVVERETSRDGGISVAATAWVERSTSLLKGQGRGRL HGSRSDHHD TRV_05159 MPDTLAPPRRRQRAAESDSDDDEASSEGRDGTPFSHASNGSKRV RLSTGRNDRESEASEESSSEEDDSDEDRKDETLVTRALRDTSENAASSSNGHHGGSRN PGMGNAVGKGLEHLPGSIVRVKLTNFVTYTSAECHPGPRLNMVIGPNGTGKSTFVCAI CLGLGWGPSYLGRAKDVAEFVKHGADEATIEIELKARADMDQNPIICRTIKREGNKST FSINGKPVRQNVVLSLAKSFSIQIDNLCQFLPQDKVSEFAALSPIDLLHSTQRAAAGP EMVKWHDGLKELRSGQKEILEESKGQREHLANLEKRQQMQREDVERMKQREEIKKRLK FLEMSRPLPRFNSCKKETSEVLEQKQRLLREQQELERKLEPALRAVNSKRAYYSKIEA VLKQKRVLSQRGEEAATAISEKLVKIDDKIKDLSNQIEAEKQNGGRQVEECKRVQQSI NRLQRQMEEEAVDFDGAAYSEKIRDCVRRIREVEEKAREIQARKSDTVRKVETHKRKI ANAEQRLIDLKSQSGQQEEKLKRLSDHSFKAWEWIKQNQDKFDKRVYGPPIVEFSVKD PRYASAAESLLQRNDFIAFTTQSREDFRKLQRFLNQELGLHDISIKTCTVSLSSMSPP VTDEDLRSLRFDGWAKDYLDGPEPVLAMLCSENRFHQTAVTLRDISDEEYRKLERGTI TTWVAGKQAYQVIRRHEYGPSATTTRVRQLWPARIWTDTLVDSSSTERDLMNCISEWK QELSEILASGEEERSTLQRLKGERDAASGEKDELEREKAEKQSAMVNYNALPTKLAQQ KEKLKVCNTRVEGIRDRVEALRDKQDELAVEKAAVALEYSAAVVSLAKTLEEVARVEI LAIEAMSDVSTLEERNAEYTGELSEKTAAVEQVVRKLDELRDKLRTSKAEVRAVVSQM GSTPGLREVSEEVKDHTIEQLEADIDSEKARLELTHEGSGNVIQEFEQRQLRIDQLKE QLSLSEERLAAIEQSIKEIRSEWEPRLDAIVSKISDAFADNFARIGCAGQVSVDKNEG AGNDVGPGSDFDQWSIKIQVKFREHEELSILDSHRQSGGERAVSTIFYLMALQSLSAS PFRVVDEINQGMDPRNERMVHERMVDIACGQADSGTTGGQYFLITPKLLGGLVYKPGM TVLCIFSGEFMPEDYTQLDFQRCVLRMKEVKAQQGLSEKARGKRAMVG TRV_05160 MNYLLYVERAAENLQFYLWYQDYIQRFAALSESQWALSPPWTEE QRKRVIRLNNQSKERAKRAPSVTGAFVPAPAFPPVGETELDDLTPFATRPSSSHVDLE TSSITRGDWFDWDGGSKAAECQESIDESSAFDGINPLEPCMTASSINYLLCQPTIANK LQFSVTIQPHRKEINRIISTYILDNAPRQLNLTSIERIIVMQALSATTHPSAFAGVVQ EVEWNLRQQSHPQFLRWSLNNSNSSRLLCARVFSMLIIACGLALAIVLVFSKVHRGWR MFSALLLFPGIASLIAAMEGTCMLFLCFYKRQLHPWEIYGATNELPKNSCRLDRSAFD AFGSTNSYDNEPWRQKNKKRGFFSKVLDPPVRIQDPTLCQLQTMTIIRSTTLGTAITG VLVGIFMTLPNGNYF TRV_05161 MATLHTTITSASFFASAGFHLSTVTLSSVTSFSQTLLSTLDAIL GSTESSRAIASIITLIRREFRNPEVIPLGETVGVGDLLVGSIGFVLLQRWGRRQTDKE TRQNGNEETIWDVVILDNGLRADVVGTHQTAFGEDMDEGDSSSLRPSSFLIPDTSGNE SLDTLERGSRSFSHLVPHVSLHADCEHDLGDDEIRRYIAEQLPRGCHATIKVDTVTAR TITVDVFDSGRVNISPPPGTTKIEERVQPEYNNAIVGSELIGESLPKHTVVFKTVSNS FHDSELRMPSQVTTPDAQYGWSQTGFRENTSNDDARFTQSASIETSPRMRPKRTHRRR RTSASDTSGSETPRTVGTRSFARITSKAKANVEEKPGNNLAKRLSKGASTAAQGNPSV SPFHETRSRHKSGLKKVLSSSKEREKSNTSAVKNKAVMSDSTNRIRPLSPKKEKSTPR KVEREASSNEANSGNQLASSRYLLREKGPDSFTHTDPYSIRSPETQPSSPSFPREHIR SNSSLSKARSEKDMSLFLNFTSRPGTPMMSRRNSSKSAVPSLYSIATGSETSLLLAPR TGKSAYDDNSALSSLSRTGYVPGLYPSNNLVRNIRRFSRFSSASYGSNFLRIMGISNA TTQLRYEDPVDHHEHNSFSDYTGLPASTILLSSFVDTAGGTNAAGINSEGFPLVHYLS IDHESKAAVLTLRGTWGFEDILTDMTCDYDDMIWLGRTYQVHKGMLASARRLLEGGGG KVMATLKSALEEFPDYGVIFCGHSLGGGVAALLATLMSQPQHPDLPGPSFVTSSSQQG FTGLLPATANEATQGTHQPMGQFSLPPGRPVHVYAYGPPAVMSPPLRLATRGLITTIV NGHDVVPTLSLGVLHDFHSVALSFKRDVADAKSQVKARVWDAITRSIANKLNMDNPSS IHAGDGLGEDSWAWNTLKSLREGLTATKLLPPGEVFVVETMRVLQRDAFSGDMVAGDG YPRLGRPATRVQLKYIRDVEKRFREVKFGSGMLLDHSPARYESSLAILARGVLEDE TRV_05162 MLSSQAKNKILAGAVAIITASGAVWGASLKMSQEEQEKVRKSLD ATPEEKINSLLLARENLVAKREMLEKQIKGIEARQAEKAELVRQKEQYQKQQQSTQGY GYQSPNDKQR TRV_05163 MNPNNGQSTLSPAKMRQYSHLHAQLAQLNANLADTQNLMRMTSA QASDLRFLGGYVGSLFMGAAKVLGEEGINTQDDSSKKDGDKGKSASQTNDESYNYEDG DEGHG TRV_05152 MSCSGTRRLASFLSALDRELKPPRFADKMASTALPAGAATRRQM AASSSASSTTASPLDTPQHMSASDTSLSSESEDQQDSGVGKLVDTYGNEFQLPDFTIQ QIREAIPAHCYKRDGFRGMQYVLRDIACLATTFYIFHNYVTPETVPSTPARFVLWGLY TFIQGLFGTGTWVLAHECGHQSFSTSKVFNDTVGWVLHSSLLVPYFSWKISHGKHHKA TGNMQRDMVFVPKTREAYASRIGRLVHELDELTEETPIHSIIDMVLQQLFGWPMYLFT NVTGHNFHERQSEGRGKGKSNGFFGGVNHFNPNSPLYESKDAKLILLSDLGLLIVGSI LYTLGSKFGWFNMFVWYFVPYLWVNHWLVAITFLQHTDPTLPHYQPESWNFTRGAAAT IDREFGFIGRQLLHGIIETHVLHHYVSTIPFYNADEATEAIKKVMGRHYRADTKDGSL GFLRAMWTSFRTCQWVEPCETAKGEGKGVLFFRNRNNIGLKPASLKPVSN TRV_05153 MDLSLTLPDLSTKAYTHLLPLLERAGITLKELLVLDALEIAKAS RAPVTDIRKFTAHVLDALHQDLGLGNEPRNNGDNNKTYLLKPGGNGDRSARNETDCSS VVGNGASKVLHPPVTGQISTLDPILDSALSGGISTGYLTEVTGESGAGKTQFLLHLLL SVQLPAPHGLSKNALYISTESDLATNRLSQLINEHQTLQALHPETPRPSLDNIYSVTT VDLESQEHIINYQVPVAISRYNIGIIIIDSITANYRAESSTESVSGLLERSGQLKKLG HFLRTLAVTHNIAVVVANQISDGFESSLHDPFPEESRDYSGSPLEASSPSAVPSFVPA SQPYQQPKSAENHLPRGPSVNLPQVNLGTCGQEEDRCSIPGFNLLLSLDYQQQFFTGW AQTPHSYSSGGPLGISKGFKVPALGLIWTNQIGCRIVLKSKSSFQPTSSYPPMLAVQN SNVDMHSTNQDDSTEPVTDPGEKSVVKYNDPDPSGKGQENEVQKAAKHDSTQPLQSSQ ESFAILEDESWSDYMSVPASQYRGRLRQMVVVFSPWTSGNPEQNSSHGSRNRDTHEED QLSIKTIFDPMYNSAEFEILPQGLRGLTRSATHI TRV_05154 MEFRTVEGTNMVPGTVQIVDLKGSLNVKHQEGGKNDIILVPQPT NDPNDPLNWSKLRKEYHFWLLWIWGFIAAVSVNWTQLTIDLNTTFSLLNVSSALGYLF LGIGCVLLQPIAMKIGRRPVYIFGTLLNIIGCIMGGVQDTVEQFFGVNVLTGLGAAPV DSLVQISTTDIFFAHQKGTRLSLLVFTLYAGSYLGPAAAGYIAESQGWRWCFWYLTIF FGSLMVIQLFTMEESSFRRPLSRNELPGSQDTDQNTLEEMSTTLESKRKEATENVEPA PDPVPPGPNPYWKRMGLFNTAHADSRPLWLVTLSPFTLITYPAVLWGGLVYGVQIMWL SLITVTQSNLFGAPPYNFSISNVGNINFAAFIGGILGMMWGGFVSDWCILYFSRRNKG ILEPEFRLWTMIIPAIVNTAGLLMYGLGALNGVMWIMPAGFGMVFIAFGIGSGAAIAI TYAVDCYPKMASEALVFMLFLGNLIGTGFTFAIQ TRV_05155 MEERALIPVTLPWEKPTTSYWQDPPDGIADLRSTAELPPDTDIA IIGSGISGACIAYNILTRSPHTKVVILEARQACSGASGRNGGHTKAASYTSFATHAAA VGIDEAVKIARLEYDTIKQVHAFAREHSIPCNSCELETVDIIYDQKAWDESVQAIDAM RKAMGEDDPASKYTLWNSKDAEKKFLCKGAVGAITYEAGSINAYKFVIGVLKLSLAKG ANLQTGTPVTRITSSPGGSNKPNWSVLTPRGQILASKVVMATNGYAAALYPPLQGRIV PLKGQVTAQRPGVSMPKDGLAHTYSFVYAGGFDYMIPCSQEPRSPGDIVIGGGFTKGR GRGLYEYGTTDDATLAPEISGYLTSCTEMFFGDNWGQDSPEGRVRQEWAGIMGYSTDG FPWAW TRV_05156 MAEEQKVAAAVDATADNAAAEQDVKEVLAELKSDEASKQDSADA EKAEEEKIVAAAKKLGEEALSNETAKEASETQKGRGGSRGRGRGGVRINYRDNIKSDA SSLEETDDPVEIRKQVSVVASALVISHASLDLLTLGQVEFYFSDSNLPMDKFLLSKVG GSENRPVELSLLHSFKRMRRFQPFSAIVEALKGSELVELVDDDKAVRRKVPLPDTIKE TADASAVKIFEDKAMHRSIYAKGFGPEEPSTQFDIEAFFTPYGPTNAVRLRRAMDKTF KGSVFVEFETEDLQKAFLAIEPKPKWKGTTELLIKSKKQYCDEKIKEIEAGRLKPSDR SSGRGGRGGRGGRGGRGGRGGRGGRGNGRDRSDRNGDQVKEEAQAKRHEPEKDSRGVP VIQVSSNKAESQQNGGANGQKRSREEDSGPKADGNTEERPAKKVDAKDS TRV_05157 MVSSEELLTEWPVQDIIYVAIIGPVMLAAFLEWFLWLAAFLYCL YKVFVKAEHFSVKILAIVMMIAFTALRLVISPWWTSQAVRSNNGELEYFPHELVSFLQ WFAFWTFAVLLIVPWLFCVYRLVTNSLGRTKRIKEVLDDKTAPKTVVVMPVYKEEPEV LLKAVNSVVDCDYPPQCIHVFLSYDGDEVDESYLAVAGFLGIPVGLKKYPTSIDVSYK GARVTLSRFKHGGKRHCQKQTFRLIDKVYAKYLLQNDNLFVLFIDSDCILDRVCLQNF MYDMELKPGSKRNMLAMTGVITSTTKKTTLITLLQDMEYIHGQLFERSVESGCGAVTC LPGALTILRFSAFRKMAKYYFADKAEQCADLFDFGKCHLGEDRWLTHLFMIGARNRYQ IQMCTSAFCKTEAVQTFRSLLKQRRRWFLGFITNEVCMLTDARLWYRYPLLCVVRFMQ NTIRTTALLFFIMVISVITTSNKIQNLPVGFIAVSLGLNYLLMLYFGLKLRRFKAWLY PIMFLLNPFFNWLYMVNGIFTAGQRTWGGPRADAAAADDNTSPTQAADMAEAAGDELN VDLNTFPPAAEGKDPVPVQPPEGAEGRFAAPTLGPNGCYQNTNASGLTLPTHFTNGAG LESRLSLDSAFTSASRATSIGWPCGVEYLIAQDRRSVFLGLEDHKKIEIARRSAALGV GEVFTGEFEMGHFPSQPASPVRSSPSSVRSVSYEFPATDGAQRKAPFAGLERPCRART ARSPLTRRYSDGFVDGRGRDSSSLLCESLALETDEEGTSEEKRGRDRRRLIKPPPVDT TKK TRV_05133 MNTPSAVAAKPILASSLLPPESEDEDRSTSSSEDEDGWRLASEI YGDEGSKKPAAAIVSAGRVLGISSLILSIDPGEKAPNGREYVQEWVDELSLHLLISTI TRNATASRPRAFIIQFKGCRPLSSSVLQGFIREKMPDLSPKEIENILRNVNIFQAFEF GQIQSAVDQVSDLLFKIEQGQQKANKGSGASTSAQPEDQDDTTQSENRPGKRDPFEPP ILLLIEGIDVAIQETIRSSDMDTAGDRLCSFLRTLTLLCRTYNSMLAVIIANSITLRP EIPKAIQMAPDELRTQVRNGLTPAETALTIPYRLEDMNFPVESVFEPPEDRRLITGSP YPYGLQLFSFADELDEGIDVHLVVSSVDNERVVEVVKDREGNNLGRWDIF TRV_05134 MSPGAVAQPAPAAASEDVKTKQEHEKQHIHSDERGLKALSHGGI PIPALRSLNIYIYNTKFLSPGIPSFTSHELKRQWQLEHMAGAFRIWARQGYTEGLSGH ISVRDPEFTDAFWTNPLAVHFGLLKASDMILLRLDGSVIGGNRSRPANAAGFLIHAAV HKRRPDVHAICHAHTIYGKTWSSFARPLEMLNQDVCKFYKAHGVYSSYGGVVLAEEEG ELITTALGEGKAAILMNHGLLSVGGTVDEAAYLFGCLERCCQAQLLAEAAAANGVEKV YISEEQAAYNFAVESDPEVLYCEFQPDYEYEDAMCAGAFKK TRV_05135 MHSVRQACRPKHQVLVLKCYPRFQKGVQVVRPNSSELSYLLHYA STRRSKLLKVGAFLEKRAARDVWRQKLGNVQVTMQLLAALIEKLPRDLPLYASSVLAI LDTVLQHSNDIAMVEETIPTFQVFCSHQDATALSADIDYINQYRDVVRKYASFTSRNT TTTNTTTTSTSTATDLRWRNVGLQAIRAVVGSEALGADWSRQLNIVLPVILHTLYHSG DPGLLRLQQRAVCSENLEKEHARRRRMSIATVQTVDTVEAGDPESASGTAADADKAAE VEAQVLAFRCLEKVFTPGSNRLQIRLATALVLEFIVSRNPPRPKNDTSWATDLLEVIV NWAPVQDRFVVLVTLVEALAERPLVDGQLEPQLGLVYMIEWLLSSSINLIGLSVMDVL IALLRFIVLLLHLGEGRVKVVPPPSKTAFAHPLALAHTDDTALPTTATAATSNAEEGE TATNSRPSSGSMRQELLVLLQSSVANLANHVYYTDQVSDMVKTIVSRIRPASAPASST NNSDHDESERAAADESSSVVSDTYFSTPNARIIALKAVKDTLDVANRARAGSSSSRAG GGGTHARHPVPVHAWEGTQWLLRDADRDVKYAYVDALLCWLKLETNDSDLRAPAEPLK VGKRKDRGADLSDGAEKLVRKALPASGPDDNNKVAAAEVSRFLQHLHFNIYEIATDPA VSEADILLSHLLLVSLVDRLGVNAIRYGLPVIMKLQSYCLDPSTPASPLKAGSLVHGY LSAIVERFTLEGTKLGRDILNEVVRRKKNGLWLTKVQLPPLPAARILAEQPLNPSDTN TGPVVQSNKSAYTPFTSIVELVSQIETAYNQSCLSPASSPASSPGAAVQGLAATLSFQ PPARHLAATTLLPSYIKEQMLSSWSREACLASIEQERTRSSSITGSKSGSVPARNHLN VTATAGKNGTASSPTGTDSSHGHGQKWHRPVSASAAAAGTTATAPGTRAESRKDRRQS LTEIPHPRSSTTASSSKDSTVRVNELRRVLSVMNTSNVRHPSPLRGHPRLCSDDASSA ESMVTDTFSTSDAGFSSTGPTGNADAVLEKVQQTHAPQLDKDKDNKSTPPVPPLPQHR QSRKQPSRSSSACQSRSNSRSRPRSKTRTPSTSRRAASPQTSTTRSRKHSRSAAENPV DAWDPSLTQNPILLRSVSQSRRAEVDKLLGGIATPTPTTPDADTVVPMPPHDGVKGLL APSRSISGRRGIGPPPY TRV_05136 MGSRIVRVDEENKRVKRIREGDEEEKKKKRSGEELLAQELLFWL FWLFGSLELPLSLLLYYSQALSLSTLLLYCLLYHYYSTTVYHYSSTTVYSTVMMNPPA PSSPRFTLELEFVLSLANPHYLSHLAVAHPQLLGITSSRDNDSKNDSKNRDSTNRDRD SKEKDDSEAFAAYLAYLYDYWRRPEYVQFLSHPGATLRALRLLQEEAFRVAVIRPQVI DMLIVSAGEKKNNITTRKTDGGRRTTGLDRGQKRQRDRQKDTQRILRGYTEEKREEKR REEKTERSMGRP TRV_05137 MSLAPIPVPGTDRQASPSITLGSNNPFRNRAASPSTPNSLLSPG LRPQRPLSTNPFLDETESFSPSSLPSPGMADASDLFENLSLEDRKRRPPPRPENIPPL SSSSLNAGRPLPQHRRPSNHEASRSRSTKTGQLIDVFADPSESTLVGSSAASHSAAAA RPERRPRRNSDSSLMERPKLLDPEEDRRRRERRHREREARHRDGKSSRSRRGNNYKLD IIDKLDVTGIYGPGSFHHDGPFDACNPHRNRKGSRAAPMQAFPKDSRNMAIGGAGPNN NKLDLNQIHGRGQEGYSDFSTGISSGSAISSSAMKADAAVAFNPTSQIEQVHSDISMG LGTSTFLEGTPASRAAIQRKESESDTMQAGLQRKKSLAQKIRGINNRSISSGRVMSPE PLLHRRPSEAADNPPRHAPPPPPPRATSSRRANTNDKNPFFSNNTNSTSNNNGFGSSS QDYDDAYDMKSARIQNHRLVDSDDGYGGRPRAMSSPRGRPERKESSDGMTSPTAEDPK PASGFISRVKSLRKPRAR TRV_05138 MYRVKGAIIAGILLVSVISWPRPTNVTYFPHSALGNDSFDFFKK VVTFHKIESTLVQQEWDLGQAGGQFGLALITFLYVDILDATGTMYSMARFCGAIDERT QDFEGSAMAYTVDALRISIGSLFGSSPVTAFVESGAGISEGGKTGLTAMTTGVCFFVS IFFSPIFASIPPWATGCTLILVGSMMVRVAADINWRYMGDAIPAFVCLAVMPFTYSIA YGLIAGILTYALLNTLVKVVEVLSCGKIVPENKEFQDPWTYKVPGGVLPGWMRRLARG KRDFWREDDEGELGGAGAGAKAGTKAGAGAESESQVELDGVVKGGLRVKPLSASEEFD KLS TRV_05139 MPPKRRATDDDDDGEQDEYEDTPARTQTQRRWSATPESENEYQP GGHLQTSVSINVMVKKLVRLALASEYSRQPIRRADISAKVLGDGGSRHFKLVFLDAQK TLKEVFGMQMVEQPGKEKVTISQRRAPDSSTESSYIAIYTFIISLIMLSGGSISEDRL NRHLRRVNADYHTPLDKTDKLLARLCKEGYLLKVRDSDTGEEVIEYFVGPRGKIEVGV AGVSGMAKEVFGIVQGGEGDEDSEQFEKRLKRSLGIRENNAPEEENAEPEAPRRRGRR DDDDSD TRV_05140 MDYLLDIYHFEVDPTNRVDGDTPLHLAVQYGNEKDIELAMSMLE MMLEAGCDPRVRNKKGQKPADCVMPQYNQMRTALMKVEYMMQEGQGVEGQGRDDDDDG PSDGPSDDEDNGQEKKQ TRV_05141 MPEFPPPIDPSYVPSVSLTRIPANAPIEDILAVLERDGGLILTD IISSQDVAAINDELDPYVQKARAESHAAYDLIPKQTIMVPGIVGKSPTMAKIAEYEVI DKLRTMVLQKKCTATWEDRTEEFTIGPLLNSSLTYNVSYGGPRQRLHRDDMIHGIYHH DGEYSLSNETMLGFMFAGCKTTRENGATMAIPGSHKWNHTRVPRTDEVCFAEMEPGSA FVFLGTVYHGAGHNSVPGQVRKVYGLFFISGTLRPEENQFLAIPRSKVLGMSDKMLSL LGYKKPETWLGIVNNGDPAENLKEVLDMANS TRV_05142 MSLRNDEQTEKGAVVGKVDSHLSGPVQEEIIQVQTQKPFTTLSA IGIGYGVTNTAVGLLLVLGTGIPMGGSPVIFWGFLAMAAVGLATATTLSELISAIPHP GGQYIWVHKIAPERYRRGLSYATAMISWIAAIAIGASGNLAVPVNAFTIVTLLNPNFV YQRWMGFVVFQLINIVTCFGACFEYFLPKISKALLLVNVLSVSAIIITLFATAKTHTS AKDFFKVVNVSGWPNGVAFLIGLNGSNWCFSCLDVATHLAEEIPSPSTNIPKALIWTI VIAFSSGLLMILAVLVNVGPINTPDYSGLTVLHHITGSKAAAIGLWVPVLFLVFASVW SIQTWQSRLAWSISRESGFPLHRHFSKIFPAPFYTPIWSLIGSAIGTALFGCLYLASE LAFNSLIATGILLQYASYSIPTILVLYRGRSKFQHGPFWYPKLGFMANIVMLAWTLVA LIFYCFPVYSEVIPSQVNYVSAVLILIGILITSLWFLYAKKHYHVVEI TRV_05143 MAVVAVAGGTGGKGVPGAANEPRRYAVDYDNVEEMRNVLKENNV EVVVSALLLSDESVAKSQINLIRAAAESGTVTKFIPSEYYIDFHSPIPGSDLFTNFQI EAEEELMRHPQLTWTLIRVGIFLDHLTMPFNPKPTYITPYWVFVDIEHEECVFPGDGS QPLVLTHSTDLAAYIECLVGLPSNEWPRESLVASNKIQVKDLQDLIKKTTGTLCGPLG ICIRTFLILAIIGKEFKVTYDSVESIQKGQITPLTSNRPVFNDPQKGKLFQEVEVQVM LSMLSNAHDLPGKNLAELFPEVHVTNIEDFLRAGWEMKQGLKP TRV_05144 MHLELEKAHDVLLEDVRDTVNIRQTHFSAPKRLANRWDHRLSDE SLSQGASPLKNSVKTATTSVPIPLGVGRPASLFYPWQSMTMTGTKESNTRNPMTCNIG EAAFDLSSALNYADPSGSTELVACFRENTRLIHNPPYQDWDTTLTCGSTSAIDIVLRM LCNRGDWILAEASTYTGTVMAAKAHGLNIQSIEMDEEGLLPVDLDNKLRYWDTSRSRK PFVLYTIPSGHNPTGITQSTARKAAIYQIAERHDLLVLEDDPYFFLRLDGSFSSLDIN PPYSSFEKLRKSLPSSYLSLDKSGRVIRVDSTSKILSPGLRCGWLTASKQIVEIFENF AEVGPAPPSGPSQVMLYKLFVESWGQEGFANWLNHLSGEYKSRRDIMIAACSQHLPKG LCTWTTPTHGMFLWITIDLARHPDYSEKRANLSLNLEDKIYERAASHGVAVAKGSWFN VETCLDKVFFRITFVSTTCLDDLENGVKRLGAAVRDEFRFTQA TRV_05145 MDKKYAAARLLPPIDASYQPARSVTKIPATSSLEEILEVLERDG GVILTDFVPKETMDKIDEELEPYTKTIPDSDSYDDFIGKKTLVIAGLISKSDTLANIL DTNETIDQLLKIILEERYPAVFEGHTEELVIDPLLSISMAFHVGHGSPRQALHRDDMV FSSKHHPNMKINEVDGFSCFIAGSNVTRENGGTMVILGSHKWEHDRRGRPDEVSFLEM ERGSAFIFLSTMAHGAGYNTIPGEIRKIMNLVFCRGTLRQEENQFLCNPRSKVLKMSP KMQTLLGFKKPAGTWLGMVDNDDPAKDLPAIYEKIMQ TRV_05146 MLNHKRTSSLSDQDYQSLVYDFNAAEDISLLGGRLDQLFEKIVD TFPKNTALIHRDTEMTFSELNESANILARSLIKRGLKHGDLVGLAVSRSIDLIVVVLA VLKLGAAYVPIDPLFPAERINQMVSDAGPKLILLSGSPSKGLASWKDICISVDEARDS SIVDTTNLEADIQPHDLSYVIYTSGSTGKPKGVEISHGAAANFLSSLRKYEPGCNEHD ILLAITTISFDMSALELLLPLVSGTTMVIADTTAVKNPRELLELMRRHHVTILQATPA TWTMLLESGWKGDPRLSKIICGGEPLTRQLADRLLAAADSVWNVYGPSETTYGSVGRV GEGDIVVGKPVVNGRIYVLDDNLSPAPVGCEGEIYIGGGSVSNGYRNNTELTRARFLA NPFHGGLFFRTGDLGRFLAPGKLQVVGRIDGVVKIRGHRIDVGDIEAVLLDHASVSAA VVISHDDRLVAYCVLDRALSSDVSLDTILRPWVAERLPAYMLPAFFVQMDALPLSPNE KVNRKALPNPLEAIQSQASKQPTSELEQQLLAIWADILGHDRFGIEDNFFNLGGDSVR IIRMQTILERLLHRPIPTPKLFEHYTIKELAAYLTGIGKKSTSNQELNTANDRFIGSH EDIAIVSMACRLPGGVTTPEEFWQLLQNGGDTITDVPKDRWDAAKLYNSNPDIEGTSY CTRGGFLDSVYSYDASFFGISPREAQAMDPAQNLMLELGWESFERAGYTKERLRGSAT GVFIGVSNNGTTNSTPPDLKGYSITGSASAAMSGRLSYTLGLEGPSLVVDTACSSSLV ATHLACNALRQGECNMALVGGVSLLLTPGIHVEFSKLRGLSADGRCRAFSQDTDGTGF SEGATSIVLKRLSDAQRDGDTIHAVLRGTAVVHGGYSAGLTVPNSPGQVKLIRSALAQ GAMKPCEIDYIEAHGTATKLGDPIEAIALAEVFGNGRTSSDPLRLGSAKSNVGHTQAA AGLVGLLKVVLSMRHNIIPKTLHVNEPTRSVDWKGANMELVLAPQPWPARDHRLRRAG ISAFGIGGTNAHIVVEEPPKLLTRKNGCTLPTLVPRAIPFLLSGGSESALKAQAEKLR LHIDNGIGKEDRLIDIAFSLATTRTHLQRRQVVVSRDKAQVLDALASISSSSDKLFNV NEVGKPKLGMLFTGQGSQRLGMGKELYSVYPVFQTSLDKIAGLFTQLDIPLLDVMWAE PESTHASLLNRTDYTQAALFALEVSLWNLWQSWGVQPDFLLGHSVGEIAAAHVSGILN LSDACRLVAMRGSLMQGLPSQGKMASVEASSIEVEEVVNELSKRDEVEIAGYNTPSQI VISGNSEAVEAVTAHVARMGRKTKLLDTSHAFHSAHMNGMMDAFRAVTQDLQFETAKI PIISSMTGSLAAAGELQCAEYWVQQARRAVRFSDAFQELIKQGANIFLEIGPSSTLCG LGAACVSDISQMSKALWLPSLKPRADEVSVVQNSAHELHMRHVPINWAEYFKPFDCER VGLPTYAFQRVSYQPTTKASWLNGLTQRNDSQTVVSGAENKMFEINWCQLSVGETWPR GIWGLFNLSIETTWVIAAHRALESSGVQLVHIAKLQDAMQLDGVLVFWDSDADVVQKA HAFTAAALAHLQEASNIGFAAPIVWVTRHAVGAGAGDQPTGLGAGPLWGLMRTTRSEH PELHLRLVDVDDEISLAALGAALAADSQTEISIRKGQLLVPHLERTNLASAPAGKPLL RTDGAVLVTGGLGDLGKRVSHRLASCHGVRDLVLLSRTGKVSARADAFVVELSKLGAS VTIARCDVSDLDSLRIFMQKFTADRPLRGVIHAAGVVDSGVLSSLTPEKCATTFAPKV DGLWNLHQLTKDMDLDIFMMFSSISGIMGLPGLGNYAAANSFIDTLSYLRRSQGLPAT SVAYGVWGGDGMATTLVSTTRNHLSQLGLGFLEPEDGLKLFEQGVRCGKPLTIAAVLD LERLKSYYGEQGGIPPLLRSILGEQNDKLAVNTDMNLRDLLSNAAPAQHGRIVLHIVR KAIGKALGYAQMDEIDPSQPLKELGIDSLTAILVRNHLATLTGMTLPPNVALLRPNLK SLGEFILSLFRDSTDIGSTSSPKANGASRSNGVSSSNGTPKTNTANGTSTNGIHGTNG TPDSNGVSHTNGISKSNAEAKANGGMKANGSAAKSVPHVDMAAIKRGVLDRSFQFENI TKHPLSCLNTPKAVFVTGPTGFVGAFMVHELLKRGIAVYCLIRSSNLDQAQERMTQTL REYGLWKPEYASLIHPIIGDLSQPLLGLHEDMFDELADVVDAIIHSGALVDWMRPLED YIGPNILGTHEILRLASHGRGKAVHFVSTISTLPIHLGYGLTELDGEYGYGTSKYLAE RMIVAARFRGAVASSYRLPFVAASGANGRFRLDRGDFLNNLAMGSLDLGAFPSLNTTL SSVLPVDYLCSTIAMIMTEDQGRIGEDYDFVNPRAPTFDTFFGIMGAASGNLEVLPFS QWHRRALEYAALNPKSPLARITTVLDGYTDETAGDLLKGSPVGKHVFGLDVYPAPLIG EEYIHKYLDAINSTRQIGGYE TRV_05147 MLSLLNGFFIPSASRLSRLILKKPNPGIIHRLSHPNAAPPRLKS HISRLNSVHKRCSTAASSSNDSKTQHHGNNITNNTDGPRGRSRYSFGRNAALDTFLFT IQGLALFIVFREHVLDVKWISGASMSPYLNKGYNIDNIDSEMVLVDVTYATKLHLKRG MVVVFPTEPSKLSVKRIIALPGDVVTTRPPKSGEGDQKTQIVPWNHVWVEGDATDPDL SFDSNTYGPISMGLIKGQVMCVLRPKWRTLKWWEWENENDPVESGGDYGKSQRMRVKK DAVTVYDPNMN TRV_05148 MALICNKVTTASGATLMSLSTELLCMVAAELGMSDVGRLVRCNK RLNVTITPFLYRFKVKPYLWKKMADIKPGQYAYKSPVATWETARMTSMLVTDTPLEWA AVMNLGNAITRMIGPRPDRKFFVDMHFGHALELASMVGSAAALKSLAATHTGRWPRGK WGYYPMHQAAFNDHIDAIQVLLDAGCPINKFDDRHMTPLAVAIARKKIATAGFLLEKG ARRTKLCRDAQLALATLLGEPLPDQESDKATN TRV_05150 MASAILPLAEIPTLSLLYRLRHLTAAATPQVSTPSQRLNDIISH VTHDITRLEVDCIVNAANESLLGGGGVDGAIHRVAGPNLLRECRTLDGCQTGDAKITD AYELPCKKVVHAVGPVYVMERFRGGPGRGDVRRPEMLLRGCYQRSLELSVANGVKSIA FSSISTGVYGYPSVEAATVAIKVVREFLESHPESLERVIFCTFERKDCRAYDMLLPQY FPPTEQDLPPSTTTKAEETETGAGVPHTPEILAASLPDAPTSEPVTQGSGSTAKKTKL AQDNSTITARDLEDDDDWEKVDQPVNTKENLDDDPVEVDNGPTAADVQSVSSAADLES SHEGKFR TRV_05121 RHRKHVNASVSSKDALMKQNYMLALDSAILTPKVWETLFDLFQQ HFATILPFLHPATSLAQIRHLSGGGGSASTTTGAATTTTTTTTDGQHATDPSQSPAPR TEVSPLILLGVLTLTARFHPQLAQHHSPASPVSPCNPTAASEFYANVLRARLAGTDGA DITITDLSRVQALLMLSLHEWGMCRGKNAWIYLGMAVRISQTMGLSFEPTEDDGPYPS HSLSISTSSHPYSSRRPSMHAEFDQQSQHRDQLNSDDVIEQETRRRTFWSCFILDRCL SNGRLRPRMLRVREIGIQLPSENAFAFGERVRTSLLSDGNTGRNGPSRRSQSYDSRNG SATTTTNGVQIPSLRQSIGYADDVKSKPWPPVSSHRSDGIGDRIDRWEVGVEECVLGR LVRIIRIWGSVAKWACEGGRRSDQCPPWHHESRFNQLRDQLHEFQEGLYRNLQYSARN TDTHIMYKNSLAPYALMHIIYFLSVIVLHRAYLPFLPLRPMDPQGPLDEPSYPPERYS VPDSFWKDSARELFRAARQMVELLRTCHERGVLMETPLVAFAIYNATFMGVYAAHFNH MDQDGYLCLKPHSGDGGTASSPGRTAVTMMGAGSLAQADVRKSIEILGEMRPRLKMAV GWFRTVHRLHGYFCKVKRDQKRLWRKLEMNGVDGQQQHPSPYGNGNRVVGGAQQHSSH SHNHNRHTSASQIVQNNLYEELRQLDKVLTDLGSTEDQTPEMSGSDDDMAAMLLANPT EHSSEAQSNIVKSEPGEGPENSVNATGPHGGEGHAGSLQRDSWVPVNSSGSPASHSLA PISNAATATTSGGAAASTMGIMANGCKENGEKQSSDCDRWPILPAPQQQAGPPSGSPY SLPPFQGTYRDTPSTGLPASPPSSAGTTLPPPVPSSSAATAPTTAASSPYFPATPSPS NSNSNHRLQAVQPWPTSRQPPPPPPPPYSQSLPSLNLAAQQNFPLPPLQPSLTHSGQA LGAHGPGSGPAQHSHNVSHGSSNRTPPLSQTRLDLGSFLAPPPTQQQQQQQQQQQQAS HHQHQHQPPSRHVSPYSSYDAVNANPLWMSSLNGEDVLVFIEADSFDKWSTMVPTPNG PPSPASLSNMNGQYQASNGYSSTTNTGANASTNANGWLALIWSECTH TRV_05122 MTDMERVKEPETMHGAVPSTAGTDGVQGGTESVTSTAPLTRAAG DIKADEQDVHRKSGGSESVDKQQETTPGEDAPAAGHAKQFTVAGQLRATLFSSWINIL LVAAPAGKTKNADPLDRPLAAMLGYGTEEIAMRTGETVGGLLNATFGNAVELIVSILA LFKNEIIIVQTSLIGSILSNLLLVMGMSFFVGGVTRMEQNFNVTVAQTASSLLALAVG SLIIPTAFHTWSGSTSVILLIVYGAYLFFQLKSHASIFNTPSEKVEKRSKSKKVEDGD ASKGIAQIGAGFSASMGGQNAQHIPVVVPEEEEEPQLSIYVAVFTLCASTALVALCAE AMVSSIDAITTSGGISETFVGLILLPIVGNAAEHATAVTVAAKDKMDLSIGVAVGSSM QIALLVLPLLVVIGWIAGYSIIGKDDMTLYFDGFQVTVLFVTVLLVNYLIQDGKSHWL EGVQLMTLYIIISVAAW TRV_05123 MDDAGSAPSHSRSVSIPPAEAASRHLELALQRVVNHRAKSNRAA HTAKMIEQRQAATETAVSAPGKVLLAGGYLVLDRDYTGTVCALDARIHVVVQQQSVKR RPSAAETQTEGHAQLNSGQAAGDGAVGTAEIPPAGIVNGGAQEEGVQDTITVKSPQFV DAVWKYKIQRSDNGGGVRAVQCDDGPRNPFVETSLNYALTYVSYVSASHNIGSLVVTI LADDDYYSETTASGSSNSGYAGFKKFGVRLQDAHKTGLGSSAALVTALVSALVVHRTV QPEKLSQNKAKLHNLAQAAHCAAQGKIGSGFDVAAAVYGSCYYRRFSPSVLAGLGEPG SAGFEDRLFAVVEDVNAGAPWDTECHDVGFKLPMGIRMVLCDVDCGSQTPGMVKKLLR WRDENREEADIIWANLQLNNEMIRFELRKLLHSPGADFNELRNLLLKSRMWIKTMTKK SEVPVEPMVQTELLDSLGKLDGVIGGVVPGAGGYDAIALLMIDDPEVYNEVRAHLKDW HSTVEDDFGGKIERVRLLGVGYGSEGILNELPVRYSGWI TRV_05124 MSSILDQLMTSEADGGPMLGSSQRSDAPPTSSRLPLASESNAPM SEAPEFADDQVVGAGSRSSRRPRHPMYGTGPPPVRDVAGEKVQQAFEELLETHVEDPM SSGAPPTSSEMLSDKYYISQIHGMQKLQLSTLYVDFTHLTSNQVLADAIVNQYYRFQP YLTKALHNLIAKYEPQYFRAHKQLGSHSSQAGTSTVALDSTEPDSLAGKTRYQQTDKV FTLAFYNLPLVSRLRQLRTAQIGTLSSISGTVTRTSEVRPELALGTFICGNCNNVCPD IEQSFKFTEPTQCTSATCGNRIGWRLDIRKSTFVDWQKVKLQESAHEIPTGSMPRTMD VILRGEMVDRAKAGERCIFTGMLVVIPDISQSGLPGVRPEATRDYGNFRGGDVGGNGV SGLKSLGVRDLTYRLAFLACMVTPDLSTPGQSSNQNLTGQAPNILSSLNQVEAPDDVE EEAQTALLHSLTPYEVQDLKQMVHSDYIYARLIDSIAPMIYGHHQIKKGLLLQLVGGV SKRTVEESMQLRGDINICIVGDPSTSKSQFLKYICKLHPRAVYTSGKASSAAGLTAAV VKDPETGEFTIEAGALMLANGGGICAIDEFDKMDISDQVAIHEAMEQQTISIAKAGIH TTLNARASILAAANPIGGRYNPKTTLRGNLNFSAPIMSRFDLFFVIRDDPNEAVDRNL ADHIVNVHMNRDEAVKPELSTEQLQRYIRFARTFRPVFTEEARALVVEKYKELRADDA QGGMGRSSYRITVRQLESLIRLSEAVAKANCVEEVIPSFVKEAYDLLRQSIVTVEKDD VDVDDEEVPPVPANQPDTEDHNMMDEDENTQQNADAPQANGENRSQKVKITYDKYMRV LNVLVRRVNDDENRSGEGVEEEDLILHYLEQVESELNNEEELEQERSLVTKILRRMVK DNILMQIRGDGLMETDGGEQPAEEPKVVYVLHPNCAVEEM TRV_05126 MNPRHRSSSSSAGPRSQDRSSNPPIPSNLQARRASSYSLPTHDH AQTAGGAATSTGVDVRQTAITEEISEIKRYEDFTTIDWVQDAVQEQARRRIWRTEGAR FWDQEGAYGWRRKLDEAYDAGQAWLVMTIVGVAIGLNAGFLNIVTEWLADVKLGYCTT GFYLNEAFCCWGADDGRQPLLIYVILILFAFCSAKLVNAFAPYAAGSGISEIKVIIAG FIMKGFLGARTLVIKSLALPLSIGSGLAIGKEGPSVHFAVCTGNVISRWFGKYKRNAA KTREILTVTSAAGVAVAFGSPIGGVLFSLEEMASYFPLKTLWRSYFCALVATGVLAII NPFRTGQLVMFQVQYDRSWHSFEIIFFIILGVFGGLYGAFVMKWNLRAQAFRKKYLSK HPIIEATALAGLTALVCYPNMFLRINMTEMMEILFRDILIEGHTNSANRAKNRWSMVL SLLGATVLRIFFVIISYGCKVPAGIFVPSMAIGASFGRMVGILVQALHQRFPDSQFFA SCEPDVPCITPGTYALLGAGAALSGIMHLTISVTVIMYELTGALTYILPTMIVVGVTK AVSDHCGKGGIADRMIWFNGFPFLDSKEEHIFNVPVSHAMTSNPVVFTEAGLSINKAE QMLQKHKFQGFPIVQDLDSMTLVGYIGRTELQFAIRRAKREGLLAPNARCQFVRQQSS TSGGPASSSPTNDLFGPSTASSSSHLENEWRTGAAPVTFDDIASSSGIKVIDLSPYVD MAPITVHPRLALETVMEIFKKMGPRVILVEHRGHLSGLVTVKDCLKYQFKVEHQEHAD ANAATAGDVTASIHAGPEEGIVEKKAWQLILWASGLAKKIFIGKGTTSGTGGYTQVSQ EEQRRHESVEIIDGTEDVDTHFELEERDH TRV_05127 MFVQYLTEQGYNVVKSNNLKNLRYADIATAVSRIDNLEFLSDVI PKTTTYGKFKEKRAKEKAKEDGQVANQRTLKEMQVRQNGTPEHSREESMVDVGEQNVN ELSPRPPMVIHASRSRSRLVDEGSAQKRPSKDNDDDDVEMAL TRV_05128 MIPALRQKKTQNRRNFIETPTSTSRPARPSKPPPPPPDRPTSAC KSTGCAQLLVSRLAMSPLAASSASSLRACARHASVVPRALAASSIAQQRRGGASDASA SASFDSPFAKSSGSSSTYKIPSFGKYASPRRESTNKTFSYFVVGSMGMMTAVGAKATV QDFLLNMSASADVLAQAKVEIALNSIPEGKNVIIKWRGKPVFIRHRTASEIQEAQETK WENLRDPQPDSDRVKNPEWLIMLGVCTHLGCVPIGEAGDFGGWFCPCHGSHYDISGRI RKGPAPLNLEVPIYDFPSDDTLVIG TRV_05129 MPGMRSTPFSLFRKSLRPRPLTQSRSFRAPSQLQSFPPQPIHRP PGQQLWPGNNAFVCLICQLRAHSRLYSTHNDKDTQEGVKKATAKDGKEDGAVADVPSP AKPPAFNKSELPSQEEHLRSNLSKKFGELMDNLQSNIFVAGQHLNDLTGYTVIEKLKQ DIRAQEELVRHTREKVRRAKEAYSSAIHCRSASQREVNELLQRKHAWTPTDLERFTSL YRSDHANERAEIETQEALSNAEREAEEATAELSKNILTRYHEEQVWSDKIRRMSTWGT WGLMGVNVLLFLVFQIAVEPWRRRRLVKGFEEKVAEALEKENSRHAKEISAAAAQEKP ISEPEKDAGDVASDTSDLPEATDKSEAATEIPAEGKHTQPSVEAESTIPELPPLTPML PPDLDLAPEAWKKTSQAFFTERRVTILQRDLTTVVLESAAIGAAVMGGILFAISRLS TRV_05130 MLSSRGNKITAVIDEPWRALFGKPAYDAKSNPSGLISLNVAENP LAFEELTTYINSKIQFDKSVLSYSSSAGGGPKFPAAMAAFINRYFDPYVPLKSSEILA TNSIPSLSEQLAFALAEAGDGMLVSRPIYGRFKMDWGNRAGVEMVYADTGALEGFTPA CVKKYEEAIVAAEARGVKIRGLIIVNPHNPLELFKLCQKYQIHLISDEIYALSVFDSQ ESGAMPFVSTLSINTEGLMDEKLLHVIYGMSKVSMILFAIACLITADDNAQKDFCAPG LRVGCLITRNPLVVKAIRSTSRQINPSGISLDISATILNDEKFAATYLQNTQNRVLKA YRFVTGLLRQHDIPYLQGTNAGCFVWLDLSKYLPPSTPSQPLTQKDKEFALAEKFSEG GLFLHPSEENGMEPGWFRLVYTNEEELIVEGIRRYGIINRPY TRV_05131 MESLYTPSCSQDKKLIPDDDTKNVLESSWSPNYPFGEKPRRSKS TRKAMLASIPSRDVADKFVQSYLDVIETSHRILHVPTFQLQVQAFWDQPNAADDSWLA QYFTILALGCDAARAEDPESTEHYSELRNTLLTAAETCLRLTPFLYRPTLANLRTLCL IVLAKQIHTMSCSEHEACWPLTGLTVRLAMLTGLHSSNSNKFYSPFHAEMRNRLWAVI CFMDLKQSLLSGMPLQMTIETFTCVQPANINEEEINEWFSGEIPSRLLPERTDATVLV ILHDVFPLVAEILRAVNSADSSFQYEDALEYDRQLRFKMKEYETILQSPPRRLVGPTG CTPIDIDFEKILLNVYFRRVLLTLHGRFARLPQAFIEYSVAYWSSLECSLALLVQQRE LGDQAAHNRGVSTWFSGIFKQDFFTAAMTLCFHLIKNDSPLELPTEHLCQIQARETVL ETLRSCRDLWEKEKDLSGCHGRACDLVTKLVNFLEEAA TRV_05132 KIAAMPEDLAATPLPKHLLAVDEPITSGTSTPIANAAVVSSPSR AHQFGTNPPLLYSHLHLNPLHQFHTWFKDARLPASSAPETCTLATVSMPAGRPSARIV YLKELDERGWVVYSNWGSRAGKGGQVFGNDRDGDGEAMSLFDGSDPQLKEGNKWAALT FHWQSVERQVRIEGLIEPLSKEESETYWRVRERGSQIGAWASQQSKRLWSNDQPGAEE AGDDGRSVLENRVKEMEARFADVKEIPLPPFWGGVRLVPESVEFWQGRKSRLHDRFRY VRQHSADESDGNEKTFKWKVERLSP TRV_05112 PSMSSTEVNGVGGEKREEKYVRFPCVDDDAIREGKPVLNKYSHF ITKDHDFPGAQAMLYAAGVPDRESMKNSPQVGIASVWWEGNACNMHLLDLGKAVKKAV TSLGMLGWQYNTIGVSDAITMGHEGMRFSLQSREIIADSIETVTCAQYHDACIAIPGC DKNMPGTIMAMGRHNRPSLMIYGGTIQIGYSKVLRQPINISTCYEAAGAYAYDQLKQP DDGGDQSKTKDEIMEDIERHACPSAGACAGMFTANTMATAIESMGLSLPGSSSTPATA PAKMRECVKAAEAIKTCMEKNIRPRDLLTKRSFENALVMTMALGGSTNSVIHFIAMAR SAGVELTIDDFQRVSNKVPFIANLSPSGKYYMADLYEIGGTPSVQKLLVAAGLLDGDI PTVTGKTLAENIASFPSLSPGQDIIRPLDNPIKATGHLQILRGNLAPGGAVAKITGKE GTKFTGKARVFDRESELNCALSRGEIPRTENLVLIVRYEGPKGGPGMPEQLKASAALM GAKLTNVALITDGRYSGASHGFIVGHIVPEAAVGGPLAIVQNGDVITINAETNELSMA VSDEEIAARLKDWTPPEPRVTRGVLAKYSRLVGDASHGAMTDLF TRV_05113 MAARFQVPTVGLSASDSLLESIRESCYGQASRLVHYILDLKERV DLGRYVSFGGYYQISATIALLLIGGRIVSWRLLNYGPDGRRILNSRTISVRPRKPRER DDAAWAYATSLLRRASFQRQDDNVLLNIPGDIAFSDPGILKKHSSYHSYTTSVCTYPK IRTFYYPHPHTEKFPTKPKVLPLLVFIHGLGGCLAQFNHLLHSMITVGPCFGIDLPGC GLSTFAPRKWSAYSIEALTELVANAIEKHRDASRNQDVIIVAHSMGCSIAALMASSES ESMAEVKKHIAGIVAICPVSEPPSKESVNLFKRILYLPDFIFNVWRWWDRIGGRDSPS VRRLVSHPAAPETLDLQYRYNLQSRTPVWRRMAWGSLPRYGKLGQPVSGIPGVDTWES IHIPLFFVAGESDGVTKPKEVSTLLRYFILARPAAGDDGGEASARAISNDLPTGILLH NFVQMPETAIDRRIHHEGATWLANPDGTQVLDAYVFPAPASHSLLFDLNTYRTLSGLI QSFVAANIDLRLSAGWQLQHLSTSGKWDVKNLAKWQAVTPVSEPLGHTFVAMKTLRGV DTHHSPEAFIKGWGGKIYAVIDISHESPVYDPTQLELGGIKYFKLPTVSKIPPSIDEV REFMKIVRKLREEMVMKSRPINGPASLPKIAVHCHYGFNRTGFFIVSWLIEEEGYLIS QALEEFERVRPPGIRHEHFIDALHARYHLLDHTRRNYQQRSRVEARRDY TRV_05114 MSLSLLYRLKSWVDTVKTSNSKELPPQSIDWYYVRAAAVARHIY MRKTVGVGSLRKVHGGRKNRGSAPSHHVDASGSVDRKIMQSLEKIGVLEQDEEKGGRR ITQSGQRDLDRIARTTIKEDEDDE TRV_05115 MPSDTQLPPKGPMYVLPAFLTDSRGFTDLEYSKPNQLPTPRGEK RNADGTIKEQPGQVSHLGMAAGDTTLPSDTLALAPEGQDRDMSEFSGGYDTEGEFGAD EMEEDEEEDEEDESERDDEDKQQDTEGKKNGRRPDRDFGPGNGNGNGNSNGNGNGYGN GGDAGDDGYEGDSDDSGASTDCNFDDDESDGAPVFEPNNDGEVPSLDSVDPRTFGEIV FDTIESHMQTRVWVPDEESIAMIENETEGNSLFQNNAFQLPGEGSSSSESVHYYDLDD LYYAESVEETSDESNEDSEGNGSDNEFEAQIEEARNEDGDEAATSSVSTDDTHADDNS NDDMEYNDDTPYPHPIATEDMNTWYTDPILANVISHEAFNRLFPGRNITGSQQNAASR TRIWEDEPEDTPEDLPEQPTSPIIIDDLDTPSTSPGPEEENKENKYPESDTPEMESEV ENGILEESAPGPSSSSNPQPWLNRYSPVEPRERQPMFFGADLRPVPSLAGRVPVLNVN PNGPRTQVHEWFGGVGANIQDVPE TRV_05116 MAARSNIQSTKKMNSGYEIPVVGFGVSGQLPSRTVWLLTTLNRC TKPKAYHNEAECGEAIRKSGLKRSEVFFTTKVPWRSLGYEPTREAIESSLKEAKVDYF DLALLHAPYGGKEKREGSWKALVEAQKEGKVRSIGVSNYGVHHLDELEEYNKAIGGKI DVGQYELHPWLTRSDIVEWLQKRNIVIEAYSPLVQAKKMDDPKLQEIAKKHNKSPAQV LVRWSLQKGFVPLPKSATEKRILENSDIFDFELSQDDMRALHTDEYHHVCWDPTTHKD GDNIP TRV_05117 MWEPVSIPFQSDALSLPLPLPTADEIRTCPNILWECYSSKVVAV NDAVVVKFGRMVDASEGQALIYLERYAPEIPAPRLYAMFKESNELSLIMQRVPGIPLD KIWPSLTESEKNDISTKLRQIFDNTANRYLGPFYSEAAFIAGFIGNHRAVVRCSDRPD FKVRFYETYLPRVLQGHRPTLTHGDVQRKNILVAENPNHIDDQSGRSFDIVLVDWANA GWYPGYWEFFRAASPMGFEYGEDDWFWRAQEFLQVWPAELALMRMIDKDNRGY TRV_05118 MSFPTVQWHAYNLIRPNDLLKASAIRRVTTTSATGTTASSRVHM NLQIRVKSLDFDIQSSQLHVSGQIVVETPYTKIGQHHTLDLELQRNFTLEKEVEGSSL PGDGPGTSAGGWDSMAIEMLKDAVDEGGKRRAEAVAVVMQEGLANICFIGQFQTVLKQ KVELTIPRKRQGGSDYDKALSKFYQLTLDNLLRLIEFNSATGSSLVTPASGSDTSAKP ILLASPGFTAAGFQKHVQSVSNTTTPSLKPLLQSLIVVHSSSGHIHSLNEVLQSPAVQ TRLFNTKYARETALMDTFYTHLRKDTNKATYGPSEVESAVDQGAVGRGGGVLLISNRL FRAPNVRERQRWVSLVDRVRDVEGGEVRVLSSDHESGRRLDGLGGVAAILTFPIEDHD EEHEEDD TRV_05119 MLTPISLSDSVLLDPRHSPCPQPHQDPRYPPMGAGGMQHGLGIT GTSALNYVQPGIPSYDPFHRPMMGNVTEHESTDYERERGHMRASRAPSHTPAHTPILM RPTPVTIAPNPVGLRQLEQERQICQEEQPQRNPKRRRRTRRRSTQLEEETDYAINLRN DGLPWKEVVSQVNYRYNSNYTASRLQMRITRRWQRAMKGWSEDDVIPIPTPLVPPPSS QTAGGLIFSQIRALQNAHSYWETEKFEIISQKVQDFGATKRWTPSQCEQKWELLQTHS RALETSPRDVEEHESGEEQEAEAEDDDEEIYSKRSRTQ TRV_05120 MSREKLLLAGLHAWRRIGRLRSYSCHCHAAPHRGQPWLGLYPLL LLLLLLLLLFVSLASYHRLLYSAPPKYLPLCPVHANTGALGRRLPIALQAVLGKKLTG CLKMEN TRV_05094 EKKKGKKGRGIPDGASQPDQEEKATMGTNPKSKKSEKEVKKKQS KKKKRESRKNKKKANKRRRRSSSRRRRTDMWMWMWMWAGKEANIVQKRGWMFAKKRGG EEEDTDTTARETIDRRRKKQNQPRSGQGEEREGGVGDLRLLFVSRTETNVEHQTEQAD SLVGRGMVYRTLTWGMDTNCLPPLSLSSPLR TRV_05095 MAAAGVTENTKPRGTLKREKLEVEDDETDDETGSLAYLPRKRAA RHRGKVKSFPKDDPKKPVHLTATMGYKAGMTTIVRDLERPGAKMHRKEIVEAVTIVET PPMIAVGIVGYIETPRGLRSLTTVWADHLSDEVKRRFYKNWYKSKKKAFTRYAKTHAD AATTTRELERIKNYCTVVRLLAHTQIRKTPLKQKKAHLMEIQVNGGSIADKVEFAHGL FEKPIDVDSVFEKDEVVDVIAVTKGHGFSGVTSRWGTKKLPRKTHKGLRKVACIGAWH PSHVQWTVARAGQDGYHHRTSANHKIYRIGKADDEGNASTEFDVSKKRITPMGGFVRY GEVKNDYVMLKGSIPGVRKRVVTLRKTLWPQVSRKATEKVDLKWIDTSSKFGHGAYQT PAEKRAFLGTLKKDLASSSAGRALSSRLSLPSLSLRLDRLNRLDRLALQHQYQQYQLC QLRQLHKMSSDSAYAAFLDKANQGLDSTAATATDKADKKDDQDSGFIASKTLDVDEQR VPPSLRVDAFYSSETDEPFEPVVLALDHLPSKGILSLSLSLSLSLLYFYDFYLAIEC TRV_05096 MDPLGPGNGSGHPPNRLRKTPTLQINSQVQREPSNPFADASSSS NNNISSASTLAGPSPITPRALISPQQRTFSPSPAPADSLSRNESTERLAVPGPGGSLP RSRAYVDDSQSGYPYPTSQNQQQLQQQRYSGGNGGGGGAYGADYTSFSSRRTSWSSDA ASRHASYGYGGYTYSSPFDDSRVPSRAGSSDDEGGITTQTVTEKFAIFPDGDLIVYPH DVEKDDELHNPDPNDKERKCDIWHKRGITNIGALIFLIGGVLTLFIGYPVISYIQGLT SIKGGCAGDPMCIDADIPLLKNIRTGLIDPDTPKSAMKKKSANGKDLELVFSDEFNLD GRTFFQEDDPYLQAVDIWYGVTQDLEWYDPDAVTTKNGKLELRFDAFQNHYLNYRSGM VQSWNQMCFTGGRLEASISLPGRGDVSGLWPGFWAMGNLGRPGYPATTDGMWPYSYHD KCDAGITANQSDPGGLSFLPGMRLPACTCDDADHPTPGKSRTSPEIDVIEASVHALHG EKKPINVIGDVSQSCQVAPFDVWYMPDYGKGKNQLTKADFTELYDPEITIMNAYRGGV YQQAISGVTNLNNNWYNGKEYQVYAFDYIPGDKGEITWYVGKEKSWKLDARALGPNGN VGQRVIPREPMALIANLGMSNSFAALNLTGLAPLFPATMRIDYIRIYQEKDKKSVTCD PPGMETTDYIRKHKDVYHNPNLTTCGRGRAGGSYIHPSYSNKSLPRIPDPPPPSPRPA FRLFCSPIQSPKSEHATRQATRTFRAPALLTVGFLFLFLQGGYGLSLAEEQLRAWLWL SHLAGTAPFSRAVVDGPGKYISHLPPRQTRDVYCWMTRRCLDPCLPPTSIVQLGATWL KTHHTSSSSTKNTTHATSRRHAMPCHAMLCHLKPARIESSLNNRETRTSTTNNHTSTP ENAY TRV_05098 MAWRASERLMETIRHYASFPATGVSLRQMVQFGDKPSTGDLPDG LNEMPSIKKVQDWYAQSFEEITKLERPKLTEEVRSRLLNSSRMNGREPRMLEQATPNP SIKQGQYRSSPTTSILNSIYSNGNSSVIGNNGSTTTTTTATNGAKHRVAGTRRYFVAA DDGADWPPELIDYNARLASTLDTIKRRHDSVVTTVAQGVLEWKRKKQRLQIDSGIQAF LDRFYMSRIGIRMLIGQHIALTNQHHTFHPNYVGIICTKTNVRQLAQEAIDNARFVCE DHYGLFEAPKVQLVCRDDLDFMYVPGHLSHMLFETIKNSLRAVVETHGPENDSFPVTK VIVAEGREDITIKISDEGGGIPRSAIPLVWTYMYTTVDQTPNLDPDFNKSDFKAPMAG FGYGLPISRLYARYFGGDLKLISMEG TRV_05099 MVGYSLALVAALLSVAIVDAADLEHMVPVATPAGLIALNGRSPK PTPPPGLPDGIPLELVKRQASSSASLLIPFPPPAYYCGLVDGDPENPLTCVNARATCI YTGNAAGCCLSKNINDCTTIPTTCYPSSVSCDSACSRDLLALRCSISTLPYCGTYVFN QNTKLYGCYSYASVSNNISPLSQYYSSVLGPDYASKYSATHIPSTTTESYSNQPTNTG TDGSPQPSNSTSPTPSPTPPPPPSGAGLGGGAIAGIVVGCVAGVSAILIAIWWFVLRK KPDNAPAPAPATAYTQNNNMPPPSMNQQGYYQTPSAAGGYYAPVEQKPPVDDQLPTYP NEQKISPFSQPPQQPPQTQHAEMPGSNVGSPQQMSPIPSELASTPMSATHNGPVPEQI YEMGPGR TRV_05100 MAIDYLQELAENKDELSVAYHYCGSPETHKGGLGKLLGRLVSQV LRQAKSGSALSDTFETLSRLKFRSRYPPLEQMKKTLNTIGNCLSTVFMVIDGIDELAD SDGLLRIIREISVASKAFKFLISSRSSAMVVDSLSNFRHISLSCDLIDGDIERYVRFR VSNFRWHDVPDMEEIIQGLVKSADGMFLWVAYQLDSLSRIRTAIPKSALKSLPRGLGR TYEMILSKLSDEDKHLALRILQFILCSERGLHPAELVEAIAIDPGQTNPQQLKGNKLR NEDDVFEICSNLIRRSKATGHIVLAHHSVREFLISPSLESGELNPFYISDIGASIKIA MSCLTYLNFQNCHTLSFSEDISEDALDRLVAEYPFLSYATCNWSRHIPTIYAESHCLL EPIIKKFFNPELGGLKFWIAFAQYTYGRFRIPSKLTPLHICSIVGACEEVIQLASPKE NADIRTERGQTALHLAIDNGHPELIGSLIKAGVPINTQDGYGQSALHKTIELGDKNAV ISLLSAGANVNLITHDGTTAFSIAADNNWTAIMYLIARVVKTKLTLPDGRTVLHLAAQ SGDIESAQELIKRDNSLLHQRDPNQWTALHFAAHHGQTRMVNFLLDKDMRPNLDINEW SPAHSIIQRRDTTTLKRLLECPWSADYESRVDYSDVLRREAHPRAPMYLSHSQKYGYT GRSTQQEPTSSPPTPQMPSLAHRYQNISRDSASRYPTASPFGSASSGSTERGIFGQPP PPLGFPQSPFTFGTFGSATSGSSERGLFNQPPPPLSFSQNLLGERRTSGAYGHSTEPR LPKFHSIIRSLLDLTISLNYPEGFGCLIECQGEYTVMVLGELRQLKLVLEVPGGSKLF RLLFLESPLSVQFDILLFALTESRSDFRPTIQNAIQNSPYRVHGALLLHSVDRLKAQP LVFLLETLSPGQRLHVLSWVIDQYYDVDLMAQTVRRHPQKESFLRAFLRVLIYDKHAD HISSSSGCSINDGLRLQNDHFTFLLLEEVVFQGPAQIRNVFSNFTHFSDESPSLGLVT SLIDYANRTRGFSDGDDRFINDILSSTLLLAKTDGRADIAAMLLEAGADEKTVVGKAG PAIPTELTTLQIEDKLEQLRLKLATVEDGQ TRV_05101 MDPLSITASVAGLITLAGVIISKGFQISDRFTNTEKELNSLLNE VSSFSGLLVGVQSHFEQHQASTPFSIYSLNLPRLSERDQSPTTPAGHLYTALNDCKSI LEDVKRLVEKISITPSFQLVLRREVFLKEAVKHAAKLERYKSFFILAFQINSWYERLN TRV_05102 MGAPRNAVYAEESAEVEVLYADLEKLNTLTKRIQGSLSRLEASG KVVKNAIGPIYGNTQALHATNTNIDRVNDAIERMRRPLDVKGQEESIIRAGPQNAGLP QFIGALKRIDLALTDLSATKLRSNQKAVSEFSSLLSSGSSKLQDLFHSTLRAEANTIE PLHYLTKQLPFPLLSQDAFSELTPVAGAITAASKHIPQQGHIENPAISIYAEVRGPYL TNSLQNLATASINTAKRRAVDGPYKQGTNGIGVYSNVIEGMFVSEYENIVKIFPPDQQ GKALQATCRPPLAEFSKTMRELNMYIKSNLINDCFLAFEIIDIVTSLSYRLDSKTGDL KNLFFEALRPIRETAKSSLTELLEETKRRSAALTTLPQDGSPVPLVNEVMSSLSTLTA YSKPLASILTSLGDGNWKPSAVPNTAPLDVGPDSTTLLSHFILDMIDTLLSSLEARAR AVHKSKATLGAFIANNVHIVDRVIRSTPELSNCLSTPENASKLEVWRKKGVSIYLDAW RDPSSHLLDVQYTSRGGARPTSGGPVDSSAIVKSLSSKDRDVIKDKFKAFNSSFDELI AKHKSLNMEKPVRTSLSREVQAVIEPLYARFWDRYHEIDKGRGKYAKYDKGSLSAQLS ALS TRV_05103 MGAARFLQARWRQALKGALFVSGSASIALLGKQSVRCDAGRSTA VWNAKKTPQTPEESALDKLVKSGIQSGEKENKDDADAGGDAEPKSAWETMTDRLGTAE GYFSSSDWSADWSAVFKDYISPGWTFFSPDILRNLQRELSMAPGSVADDVWKEACDPS FNPSIVEEASVRIGGTLCSEEEAFLSDRRKVVARNLASYLGLPEDEVHPDDVPVIAMC ASGGGLRALIAGTGSYMAAKEAGLWDCLTYTAGVSGSCWLQTLFNSSIGGQDFGKMID HLKARLHTHIAFPPEALDILTTPPTNKYLLRGVIEKLKGDPKADFGLVDVYGILLAAR LMVPQDTAALNDSDLQLSSQRAVVKGGANPMPIYTAVRHEIPKPSKPGASEDELEEIS KRESWFQWFEFTPYELFCEEFAAGIPTWAVGRNFKNGKGIAAAHGYAVPELRVPALMG VWGSAFCATLAHYYREIRPAFMGLAGFSSIDALIEGKSEDLTRVHPIDPATVPNFVLG MEGKLPDSCPDSVFQDSHLRLMDAGMSNNLPIYPLLRPGRNVDLIVAFDVSADSKEAN WLSVVDGYAHQRGIKGWPIGAGWPKSNASPEETADIIAEEAQLTKEEADKKVAAAQKA SKERTPDSRGNDPDLTFCNIWLGTTEERISSAEPPPSKRLFHEAALNEKDSEFQLTQP HAGIAVAYFPLLPNPACLALPDPDKDEPDAERKILDPLEEDYLSTWNFIYTAEQIDSA VHLAKCNFSEGEDQLKRVVRGIYERKKRERLQREKDTARTSASYLA TRV_05104 MPGSYTAEGSQGKEQTGSKSKSASSRHRTPRPREQKTVRKLLRQ SKIPSDLSQRTEGNLENILQNVVEKLPNHTAALDVVTELVRRRHVRPQTRHYRAMILA NTQCIRGSAKQVEALLDDMKENGVVVDSGTLHAALKALAVHPDYLLRSEIIRKLRERW ISLSPTGWHNIVTGYIREGQFEMALETLEHMKLQHVPVQGWLHSLLLYNLAEYGEFEE VLHLLRSRVEAGLTLSPNLWHRLLDISSAAMHPELTQFIWEQQVELGHLNPPYGICDN VLAISARTGNARLAASVFKVLGNRNGVLTLNDYESLVDTYVESGDVESAIRILCSMDS SSIGVKTGSTRSLLSHLIMAGSKPTDVWDTFKRMKKEEDLVFPLPLLNVALELCAHLG DAKTAWDLYRELHTLCSSSVETSTFNILFKACRGSNDADLAGYFVQEMIQMKILPDRK TYENLVLLCVEISRFETAYKYLVEMSGSGFELSRQAKEDIRAKCDGQEDPHASKIIHD SAVRKPASRGIIRPHRVRRSFTIPARGKGVEPEEVKRIDATGQYEHGD TRV_05105 MYHTYIWINALYAAMYTNILNYIAVIATSGPSSTYPKRAYRNPT EDLVRFLDSKHGEDWSIFEFRAEGTGYPDDEVYGRIHHFPWPDHHPPPFGLIPSLMAS MRNWINGEGKGKRVAVVHCKAGKGRSGTVACSYLISEQGWSAEDAMKQFTERRMRAGF GAGVSIPSQVRWVNYVERWKNVFSKIYVERPVEIVEIHIWGLRKGLKIDVEGFVDEGR KMKRFHRFGKDEFFYQNGGSVPQDTSSSGEEEQDKGADKPDVSSSNASSTPVQSAREL TEPEPVTEAAWSALASAPADSTDNVVLRPKNPVIVPTSDVNIDFERRAVVSYTDWSMI TSVGHVWFNAYFEGGHDHDSGVFEIDWEAMDGIKGTSRKGIRSLERLKVVWRYHKPAK EEESPSVGKEPEAQVPGAPPPGKVISEPGPGEEVPEGRAADWRGQEEDVEGGEERELL EPRRQQEGEKKESDSTAAQSTPKG TRV_05106 MGSMLTFILVGSAGVTDSALTNHGMLQVEKLAGYLSQQGVRFTR VFCSPLQRARATADALKSTYGDTMGKPFVVPCLREKDYGSLEGKSWVSPNVNVKEKRD SFTPAETFDELSSRAKEFVKDFLLPLLRDGSDNHTVAVVSHGVILSVIWRTLKQMRVP PCSSSSSHGIPGDPLVMWSNTGYLELDLSMAMATDQNQTALSNLEISVLAINSRQHLT NLKRTRGIGNSKHDPKQQKLESFFHRRQ TRV_05107 MGSSGKETPEGDSMLLIGLPEGTPQIQYTDMNRLYNSVERRAAT CCSDDILSPFVVVRDIPRTILQDLDDKYPDKPPKVSGDIPGNIIVVEVMAKPPHETAA WALTGYIDHEVINMDLHEDIIITGASRVENEDKSFVKGPDGSFTLRHHHWPILAIEAG PSETEARLVIDARRWLEAKGSETETVITIQVDREHPHISFKRWQHSERQRVTQSTQQP AKVVEQVDVSYHNSVTNVTGEMAISFRAIAGREPQSSKEKSIVITKSICESIARRVWV AQEFL TRV_05108 MDSSPACPGQEKHPTLTSLPVEIQEIILSQLDSFRTLKHIAATC RTFKDLVLYHPQGIIDRILQSVIPDEVFPEAITFFEASRLPTTNAEIVQELLGRYFTS LKGKMGPRPRFSLSEVTALEEYHQLIQRFTQIFISTALSKHPIAGDEVKPPWLVTKRE QYRIQRALYRFELYWKLFKRLEKKDRSQRHPQLAITLQHHSKLYFDHFAPWENEQIIC IQDFLLRIVDEAFNDVAAHDVEWGEWGIKYNEGYKALSINRFRCNYVRLRLQH TRV_05109 MIGMCGLSSIAILALWLPGTLVNPGSAAIYIVFCLLYGFFSGAF VGMVPALLSQVSPDVSKIGVRQGVLFTCVSVATLTGNPIAGAILNQQNGAYWGLQVFA GLMMAGCVVFYIVARVVTGGFSLIKAV TRV_05110 MGEPTDKANPEVPNTIVADGTPVQLEATGDTDQGLRAWTVVVGA WCVNLCGFGWVNVPPAAVLLYLQHLLDSILTAIFDNYGPKLLLLIGTVLQVLGLMMTS ISNEYYQFILAQGICGSLGASLTFYSSIASTATWFDKRRALAFGLVSSGSSFGGAIFP IILSRLLPGIGFGWTLRVVGFLVFVLLVIANLTIRSRVAPVPRPVKFHDYISPFSEVP FVLLTVGSCLGFFATFVPINYVILEAQTSGVDPNLAGYLLTILNAAR TRV_05111 MNWFTSRSESSHTEPSEEFINPARPDPQPRYRLEFMQNGALVKA WPSRDPTYPKRCGGGKWTKLATIPELDFLGLDRFQQREASTDKTKEDAFAEKMRLIGA RWQAHYPDNHTIDFGEREKVALYGWPSAGGLWVYPYDASDPVKLRTFIKLSGMLRLAV TMDEQSRLLKDHGAQFYEDPREYPPFADLKALKGRE TRV_05080 NSNQKITKKHGKTLENMSAPETATNVPADQLEAPTEAPANGTAA AAAPSTEAAPEAAGEPSGTSAPATSQPHSASLYVGELDPSVTEAMLYELFSSIGQVAS IRVCRDAVTRRSLGYAYVNYNNTADGERALEDLNYTLIKGRPCRIMWSQRDPALRKTG QGNVFIKNLDTAIDNKALHDTFAAFGNILSCKVAQDEYGNSKGYGFVHYETAEAATNA IKHVNGMLLNEKKVFVGHHIAKKDRQSKFEEMKANFTNIYVKNVEQDVTDEEFRGLFE KYGEITSATLSRDNETGKSRGFGFVNFSDHEAASAAVEALNEYELKGQKLYVGRAQKK HEREEELRKQYEAARIEKASKYQGVNLYIKNLSDDIDDEKLRELFSSYGNITSAKVMR EAIVDVPAETEKDKEADKEKAKKETGDKSEEKGESKSESEDKSKSEEKTEGKTESAKP EKKHLGKSKGFGFVCFSNPDEASKAVTEMNQRMVHGKPLYVALAQRKDVRKSQVSTKV IHFLRSQVTNIYQLEASIQARNTIRQQQVAAAAGMAQPFMQPAVFYGAPGQQGFLPGA QRGMQFAGQAGMVMPGMPGGRPGQFPQFPGQQGGRGMNPNQPLPPNFAMSGQGIPMGA MQGTGIPNGLNYPQLGQVQSFGRGGGRGQGPMQGMPPAAGNAPQMRGGPGAPGFAQGR SMPIQQGGRAGPGGRGQGAPGQGGIPRDEAAGSGSINLAVFNAAPEPQQKQMLGEAIY PKILAQQPELAGKITGMLLEMDNSELIGLVDDDVALRAKVDEALTVYDEYVKNKNDAP TGEAAQPEAGKPAEETKS TRV_05081 MYLLMFAAIIYDAEITLHTPARLWLSSAVRNLRIGQQLSISQYA KEYIQVRAIDHAVELQYHPQAAEVPTKRLCRTAIREIFRLLPLCKKDPEDPKIRQELQ IAAYSAFPPFYFTAPIGLSHAIGHVIGATYAIPHGITSCISLAKTIHFKATRNPEEAH QIAKILPYIGQACSGDNAKDANAVGDAIAKLVESLELKSTLTEVRKYPHNFLKIFTGC TRV_05082 MLYELCEKQNIPHRNTKKWIVAQDEEQWKECLKVFLHAKEIGVP IRFVSAEEAKRREPDVRAEAGILESPTTGIVDAHSLMSYLHGNYEERGGDCVLLTEVY KIEPLKNRGGYEVFTRSGERKDEESSFTAETLINCAGHFACGINNMILPPERHRTPHF AKGTYFSYAASSPKPSTLLYPAPRPSYGGLGTHLTLDMAGRIKFGPDVEWVDSPDDLI PSPKRLTQAIKEIQAYLPSVNPDAIGLDYCGIRPKLIRGGSVSSGKDFQDFVIQEETG FPGFINLLGIESPGLTSSLAIAKMVENLLYR TRV_05083 MWPDRGAAGQGGPVASASAGSLPYSPARRQSQLSAANKPGRPSF SPRSSSLSFSPTPNASTTSLPGAARAANGLSRQPPSAMRNQSYPDPVDVLNGIIGQRR SSSTSGDEAASPPEKPDVLCENIDFGGLSLEEFAHQMETLPARRNYGQGLQSFEQYEN DRNIFEELHNSITVCHISRFHSDLSPDTTKRPPQLIIFALQGCDEILKSVESYLTKFQ AELGAISSKIESLQSRSFRLSSQLENRKNVERILGPAVEKVSVSPHTVRSISDRPIDQ EWVKALSELDTLSSTINADTSTPENIKAIEDVRPLLKNLQEKAVERIRDFLVTQIKAI RSPNMNAQVIQQQSLLKYKDLYTYLSTHHPTLSEEITQAYVNTMRWYYLSNFTRYSQA LDKLKLYSIDRNDLLGGDASSQRTGKSYFYIYILQAHGGSGGRSPALAAHDPLALGRR MDILKSSSHMALSSYLAEEDKSTHGLEIVFRNFNLALIDNISAEYSFMAEMFSAKTIH YTSQKVTEIFEPTFASGQAITKQLIDTTTDCIGILLCVRLNQQFAFEMQRRKVPVADP YINGINMQLWPRFQMIMDMHFESLKRISTASTRSGLSVLALTSTDAQSSAPHFLTQRF GQFLHSILTLCNEAGDDEPIFNSLGRLVNEFDALLTKLSKSSGDAKRRERFLFNNYSL ILTIISDTKGKLATDQKEVRAYYKQFIVVFDILTIS TRV_05084 MADDEQHNVTFESPDAGASETFPMQCSALRKNGHVVIKGRPCKI VEMTTSKTGKHGHAKVHLVAIDIFTGKKLEDLSPSTHNMDVPNVRRQEYQLLDISDDG FLNLMKDDGTSKDDVKLPPGEVGEKIERLFKTEEKDVSVVVLTAMGEEVAMDAKEVTH TRV_05085 MAESVRGTCGQEGCRETRYYIENGLWFCRQGHQQQGQEVVVDDE NFGGHGRTARRKKVQAERRSKSKTCKTYIQTVGLTTPANAHLLKLIMGVMHSSCSWKP ISFCCGNNVTLWFMSRGFPQNSSSQTGVSSELDKEEYQYHKRKLSTSPRVIDSLALCY LGTLLLRLPVSIGYMQEWVAEDEIPFMRPLRFIPSDMKDRLPAIYHIAFDTKNLPVGD QIHRAVADLIVLYHRDFSIEFPAINSSLLLLSYVKQLALPIEVYSAVKQLMKSVEFTY TFPKEITRRFHRIYLPEVQLMCLVIVATKLLFPFDNVKRYPRTLNDPSVQVIDWEKWA EAQSTFDRRGISQGFLTNGAAMQATEMDAMEMTQQQLDEYMDWYDRLFVADKGAKASN PFAEMFPTTRAADTETDHQARQTDDEAVVEKLQAVLSSLRVRRVVTEEEASRLMRPVP RPGCDYLRFRYEYQLPDIARNFYDTAAKTIGVSLETLVLAVYQTEGRIHVPKGKSQR TRV_05086 MRKADYVTPFVSIDSFVKNLSGNTLTLNAEPTDTISAIKSQVFE RELVSVDEQRYVFGGKHIRDEFPLSEYGIQKVCLNNSTRKTKDINSIRDYESTLHLVL DLPGGIIEPSLKALASKFNCEKMVCRKCYARLPPRATNCRKKKCGHTNQLRPKKKLK TRV_05087 MANRGYDAVVNVDAEGDLGHTDLQEDLEFHSSNFDETPRNAKGH PDSSSFLAGSSSRQGGGSGGAGSNAKIWSIAYYNRYFDVDTSEVFRRCVSTLYPRSNF LDVLDGNPDLYGPFWIATTVVVILFLTGTVSQYLARKGSHHFEYDFRLLSGAAGLIYG YTFILPVALWGALRWFGSSSADLIECWALYGYANLIWIVVALVSWSPLTALNWALVGV GFGWTVFFLLRNLYPVLSATDMKTSKILLIVVIVLHAALAIAIKVLFFAHGSYAKKHD DDHDDKHDKGNKHDDKDKHSRF TRV_05088 MANEEPKAGDINPGRLLADSKAYRRKRAEIVKASRKRDVRLLAK LATSEGGLLEDDIRKQVCKIGACSVFFAFFADGLTKCFLPGPILQGYVRATHTLELPP LSELPCHGDEDQVKLDVDRSFVYYPNYKTEKHLKDKRDELLDLIVSVLRRNPMLCYFQ GYHDIAQVLLLVLDRKHAYQALEHISLFRIRDYMLPSLSPALTHLQLLPAIITSVDQK LGQHLSGTKPFFALAATLTLYAHDIEEYAHIARLYDFILAHEPVVSIYLFATIILSRK DELYDIPQDEPEMFHGVLSKLPRPLDLETLISNTVRIYQDHPPEKLPCGAWKSIPSYS VLKTLRNPGLHSTPDQARGYFHQQIRGLQREKMRKDAALLIRKHSFSIKLVGATVAVG ILSYWMIKRDPDLVKHLWRYLGPLKTTMGL TRV_05089 MSLQTTVLVNGTWATRTVDIGELLFRNRESDSISGRAEKAQTPA TGILSRTLAQGPAVQWILPARLRHQDQNDVVFIGDKFIQIKELVPSGHLEEVVTKSDF DSRIIGAKIINSQPGPELEDQIKQGGRISSHSTQESQDEGKVPPHILVLVLSSKEILF MFTKPGSRGVPEFVYSRRPLPADTSALEEYGRHIAVEPR TRV_05090 MLTLVFYEQECFFRADGDILRMEFLYPTPPDNDRIILLLLIAQD SESYYVTYDWTSMETLRSVDPRINSLRLSNHFRLPTVLIPLIHSTSFMVATHSTMSIY KNVLNTDGPVVPMNCPFPTLEHRPRSRNQVWTHWARARRNRIRNSQFDDIYLCREDGM ILYLEIGKSGDIERQSNLGSLGCNLDTAFAILPEGYQAGDVLVTAGSMCGGGLFIEDA RKPPRCIQRVPNWGPILDTAVIKARRPTPNPLSRDTQQGMGLPFDRIFTCSGVGPDHG TISELRYGIEAQIGLNIEHGACSSITGVWGIPVPRGEGTLCLLTDPMTSSLIFIPISG TEEAFAMDEERSGLDLDHPTLAASITPDGIVVQVTDASIRLSHVVFQQRSSITWDDPN DRAVVAFTSGPLSLVVVAIRSGSDVRVELRSVSSDENGCKCTLIGLPLALDQEPICFD VEELGGKLYLFIGTSEGKLLIMAINSLEGLTPHLERGIMMGKDDLNSPVFESLKLIST VGEEKRLMVLFCGLRSGHLIPFRVTQDDTSFEIHQLPPHKLGETSVRIGGYESDKTLA VVSCGPGLWRVSHSPIEASLHYSLEKVWITDQSKPEKMQPIVDIFNCVDLPAQAPATG LSGSLLCITDNTLFACSLEKVAKAVPREIRVRGNPKRLVYSEYLNKLIVAYNRVDFDF TSSTEGTKRWIWPKIGFLDPDGETLISAPIDLRARGNESTTPTVLTRQPVGASGEKVT ALVDWKFYGEGNEYHMIVVGTSFPQVEYKAGRDICVEYRGRVVYITVRQNPPGIGNID CMTKRIHTYNQPVRTITSFGPSSLIVASGDDLLMQTLDPSTRKWRSLEPHHLESHAVS ITVKEPFIYVLTARHSLIVLRATQEGLILHAQAGVDKGGLDHVNLDGELKTIFTANRG GAVIGLREFGLKDDRLVRPVFSAITPSAVVRVCRSFRPHSGGCEIAYGTTLDGTLYRF QMLTENEWRILRFIQYSCLADTNICPFRRKRRMAADELCPLPDKPEYMHIDGDILARL ISRGARYLEDMMRRTTPDNAPPAYAEKKVERFIEFARLVVGETNDPFTDILMWMENML RIEI TRV_05091 MAPKKKSNKKADDDWEAELGESIAPANQTEKKEEPQADAAAPEE DDDLGGGLLAALRKNKNKKAKKGKPTNDFVEGEDPVSTIESKQPEEGTFDDDDVFAGK PKPAKGAAKKEAAKPAEPEEGGKLKSKKEKEREKKEREKQRKKEQAAKKKASAPAAAA QAAKPEATKPTPAAETKPAPAPAAEPAGKKKKIPAHLAAIQRQQEALRKQQEEEARRL ELEKTLAEEERKKLEEEERRKEEIRQRKKEKEREKKEQLRREGKLLTKAQKEARDRNE LRMKQLLEAGGAVVAGLEEKPTEKKKPVYENKKKRGGKKQEEDLEAAAARAKAQHEAE EAERKRLEEEKKAKEAAEAAKKAEESDDDIKESWDVSSDEEDEAKPEAKEEAPKAAGK EEPEAGTPEESESEEESEEESEEEDVTSTQKALAQRKAEAAERRRKQHEEAVAAQSKD NLRSPICCILGHVDTGKTKLLDKIRQTNVQEGEAGGITQQIGATYFPVDALVQKTAVV NKDGKFDFKVPGLLIIDTPGHESFSNLRSRGSSLCNIAILVVDIMHGLEPQTLESMRL LRDRKTPFIVALNKIDRLFGWKKIDNNGFQDSLAKQSKTVQSEFKDRVAKTKLAFAEQ GFNSELYYENKSMARNVSLVPTSAHTGEGVPDMLKLLVTLTQERMTNALMYLSEVECT VLEVKVIEGLGTTIDVVLSNGVLREGDRIVLCGLNGAIVTNIRALLTPAPMKELRLKS AYVHNKEVKAALGVKIAANDLEHAIAGSRLLVVNHDDDEEDLTDEVMSDLENLLSKVS RDNRGVAVQASTLGSLEALLEFLRTSKIPVANISIGPVYKRDVMRAGAMLEKAKQYAV MLCFDVKVDKEAQAYADENGIKIFTADIIYHLFDDFTKHMEELAAQRKEESKLQAVFP CVLSPVAVFNKKDPIVIGVDVTEGSLRLLTPIAAVKTNPTTGQKEVISLGRVMSIERD HKQLPICKKGQPSVAIKIEGPNQPLYGRQLEEKDTLYSMISRKSIDTLKEFYRSDVTM EEWALIKKLKPVFDIP TRV_05092 MFSNRTALRLCSRASRPSTSAVAVPARRLQGIRVRAQSTIAANT RQAPSHKTIYTRPARHARLLSNTARLPQSNGSYEPLSLKEYKFEDINSALPTQDSSSP SSKPNIILIDVREPPELEATGIIPSALSIPIGSQTDAMFLTPDEFLTRFGFPKPGTEG GDDNTTAKMVFYCKAGIRARTAGELAVRAGYDADRIGVYDGSILDWEKNGGRVERWDG PEN TRV_05093 LSWQLVLDRKRERERERELSSRPPISRYQTTTTATTTTTTKQPS TSESMASVNGSFASPAADASLHAAVASPSGLFMALVNNMSGWGAVLALFLFAVAYDQF KYIWLKGSIVGPRFKIPFMGPFLESVNPKFSEYKAKWASGDLSCVSVFHKFVVIASTR DMARKVFNSPMYVKPCVVDSAHKLLGKTNWVFLDGKDHVEYRKGLNGLFTRQALSVYM PLLDSVYDRYFSMFIKESADNNHKPIPWMPQFRELMCALSCQTFVGDYMTNEAVVKIA NDYYLITAALELVNFPIILPFTKTWYGKKAADMVLAEFSKCAAKSKVRMAAGHEISCI MDGWVKAMLDSAAYREKIAKGISVPDAEKPKHILRDFSDYEIAQTIFTFLFASQDATS SACTWLFQIMADRPDILDKVREENLKVRNGDRNAPTSMELLDQLTYTRAVVKETLRYR PPVIMVPYEVKKDFPVTPTYTLPKGSMIVPSVWPATHDPEAYEDPESFIPERWITGTA EQNAKNFLVFGTGPHYCLGQTYAQLNLMALIGKASMALDWEHHTTPQSEDIKVFATIF PQVWCRLS TRV_05044 MASVTPVPKTGRSVNQDVPASTLTLQTRPTPVPNFDEGEHLIRV HATALCAGELYWHTYVTFTKEETVPGPDVAGTVVLAPPSSPFKPGDDVYCRIPYSRPG GARDHTIALTSELARKPKNLTWEEAATVPLSALTAWQALFDQSGLWEGPEDERVKGKR VAVTAASGAVGMWILQFARIAGFEAVIGTCGDGNEDFVKSMGATDAVNYKTTSLTAWA AEKQGRKADLVIDCFGGKSLADAWGCVKDGGVLISMVGYPEQEKPAGLEVKDVKSHFF IMEPRGDQLQKVTELVEQGKCSFLMDSVYLLEQFQEATDKVESRRVRGKVVLKVL TRV_05045 MRVTRLLWSSLVIPAAVGFQVRFKPSEDTALDTVDDGTLQSLLD NIGLNGSNAWDTRPGLVIASPSKKDSNYFFTWTRDSALVLKCITDAFAAGNTALQETI HEYISSQARIQLLNTRSGGLSSGGLGEPKYHVDETPYNEDWGRPQADGPALRATALIA YARWLLENDYYDVAKSIVWPVVKNDLSYVSEHWNTTAFDLWEEVNSPSFFTTIVQHRA LVEGINMARALDETCPHCESQAPQALCYLQSYWTGSAVRSNYGQGRSGLDVASILGSI HTFDPEGECDDTTFQPCSARALANHKAVTDSFRSIYKINGGIKQGQAVAVGRYPEDVY FNGNPWYLATYAAAEQLYDAMYQWNKIGKITVTDVSMPFFKDIYPEVQTGTHESSSPE FGNIIAAVKAYAEGYIEVAKKYTPCTGMLSEQFSRDNGTPLSVADLTWSYASYLTVMA RRNSVVPASWGEKNARDIPSTCVPSSATGPYQTATITYWPPNLTPTAQPSPCPTALPT KNNVRFRLLATTQVGEDVFLVGSIPELGSWDVKKAVPLNADIYADNCHQWYVDIELPT AVAFEYKFIRKRGGEVVWEQDPNRKYTVPQTCGVSGAIKRDTWR TRV_05046 MVLHNPNNWHWVNKDVSSWARTYLEENLVGISAEENGVTAKINK LVSMDGDVDVSQRKGKVITLFDVKLQLDYEGTTSENEDVTGSIKIPEVAHDTEEDEFV FDTSIYSETAKKQPVKDLVRAKIVPQLRAALVKLGPALINEHGKDIQHAPGSNPSSGF ATPTTLKSSTPQPTKAAATTTTTTTTTTTGKSAVNTVSVNASDEFRTTAEQLYTTFTN PDRLAAFTRGAPRRFDGAKVGGQFSIFDGNVDGEYVQLEEPSLIVQKWRLAQWPAGHY STQEIKFVQNDVDGVTVLNVRWDGVPVGQEDVVKHNWDGYYVRSIKQTFG TRV_05047 MPLPPPPPGPPPASAPRRTSFSSQSAVERNNLPQNREERLRSGS GSSSIEPSLPTIPATPLGWVADQVNAPITPRRASASPHDQNRSVPASPSLSNAVANNH HDASSQPTPSSIRTQSQKGIRERRIQSRNNRTENNAHGQEPKDENNDMSWPTDLVLSS PVSGGLVRRRTLTRATPRSARSNPGEEPLHSARSATSPFSNKINTITSPYSATPRLQT FPHEPLTNRGQTPPFSPGREQKSPTMASESLHLPPKALPTPPPAQQQDAALLGLEPRS AGSDRPLSHLLHLPVDVSPLEAQPLVPVKAPSRRASPKPAPYAPIDGKFIQDAECRYH EHLRKESEATNATDALQAFCNFIIAESGIRRQQYGSQWSDVNFDPKTVVDKLFELSSN PLKVEARPRDSSIGPSEPPQTPDRATPKRRTSVIESGLGNGYKPALSPIASMSMSNEE SSRGRAPSRWWESQTGSDNGDVGGKVSRTKRETKYMGLPREMREAMQMDHLTPTQAAY DQQYSGDQNPYANYGPNEYPPEKVGWNDLDAPQPPGPSRTLSAINCEARKLDISRLVT LPPPYPRHYPGVNNNHPDMAFYRTTVRTISDLSEVHATKASFEKKIKSLREKHQKEAQ EELRGFRARMNQGIESGSISYADAADAEAGRRAQANEKEREIVQVEFDMYQVEVLEPM QSILKDRIKIATACIDELRGKLFDSAQRQTPDQTQEEGDEEPELVEKLTQLKWLFDGR ENLFREEYDILSQRNELFRAVVSLPYRQAKNTEKLTETDNFFVRDAQDRKLAFVAGTL KRFESFMDVIEANVSRGVETQLSAFWDIAPSIVTILQDIPQSLEGFSVRIPQKEYDEN PSYYQFPLQYLYSLVTHAGKSTYQFIESQTNLLCLLHEIKFGLMNANCKFMEVQRIIS GEPEEDVRREMQESRSEEERILTLDLKEKVGMVEGQWTEALGQQLENVKERVRHRLAS EGGWEEMEQMEQT TRV_05048 MQFGLVQQGEEKKTEEEKKEKEELYLRPVSNSPSSSTNNVTN TRV_05049 MADATNGNGAGAVGNENINTDIITLTRFLTEEQSKHPEATGDFT LLCHALQFSFKSIAYYIRRATLINLTGLAGSSNTTGDDQKKLDVIGNDVFVAAMRGSG KCRLLVSEEEDQAIIFDESPNARYAVVCDPIDGSSNLDAGVSVGTIFGIFQLPDEILG AGKTVTAKDILLPGTQQVASGFTMYGASAQLVMTVKTGTVNSFTLDNALGEFILTHPN MRIPPSRSIYSVNEGNSMYWPEWVKKYFEELKYPETGKKPYSSRYIGSMVADAYRTLL YGGIFAYPADSKSPKGKLRILYECAPMALIFENAGGLAINSKMERLLEIVPEHIHDRS GIFLGSKNEVEKVMGINKRFEAAGSS TRV_05050 MLSSQTTVLLIIVAEVLSFLVLLGLLVVLYRILIVRYKEKKAST PRDIDKELLHPALRINTNVSTSNSPPVIQSTITKPSATAKPTNKPTYTPPEPFQSASA QLQYKYLLSRLHTRPQRTQALRSESVPRHYSSQVPRPVRPIHTTLLPPKKLPVIQESV ARGSVNVVSPCSDAVRPATSADQVI TRV_05051 MMGKSFHVPDQAAASTAASGNQELCLPRKYRFVPSNMPDGEAEA EAEAEASDDEGEASVSINPCLVDPLFQDLDRASRFYISHFTDQMCRDLVTYDVPRHNP YRGIVPLIRDHALLLHVIVANSAIHISNVMSKGPGLLNGVPGQYPHHDALMAKQKTLR LLNQAMNDIDAVDPEVVLTAILLSINYELVSSGKDDWKVHVEGAQKLIDYFGLSKRSD LSSAMSALRDHVVSDCLIYYILGSTFSARQPNMGSTTSRYPFLDVLPLLERAEANSYM SCPAFLLQIMLSASHLSNLDMITGFEEEQARYLMERARTFNIDAWAASVQGISSHNDF ESRVHVASAHKSAVCLYIHQAVPSANLMDDDSRKRTVEDIIGHLSFILPGNLLLKGTS WPTFIAGAES TRV_05052 MGETQSTNHGQGQAQCTLSRPAHSLPYEAVIKEIGSDTENGLNS SEARRRSEQYGRNDLGNTESVQPAKMLLRQIANAMTLILTMAMAVSFGINAWIEGGVL AAVIIINISIGFVQEYQAEKTMGSLRSLSSPTACVVRDGRQIIIATAEIVPGDIVEMK TGDTVPADVRLIESVNLETDEALLTGESLPVRKDADATFSDDTGPGDRLNICFSSSIV TKGRARAIVFSIGIHTEIGSIAAALRAKGTRHRHAKRNPDGTASFYRYIQAGSLTVYD AIGEILGLNVGTPLQRKLSKLALMLLGTAVICAIIVLAANKFSNHQEVIVYAVATGLS MIPSSLVVVLTITMTVGTKRMVKRHVIVRNLNSLEALGSVTGENGGAQSMDHTRSKDT SECEYTKIENDRPLDEFLKVASLANLAVFREAATGDWETHGDPTEIAIQVFATRFNWN RAQLISGEKPAWTQLAEFPFDSDVKKMSTVFKSSTGDMFVFTKGAVERVLSSCSAIES VDGSELEAISPEIKDNILANMEAFAAQGLRVLALASKPLSAMDCNNLDLDRKEVEHDL VFRGLIGLYDPPRPESAPSVRRCHEAGISVHMLTGDHPSTARAIATEVGILPSHTDML SKGTLDSMVMTAQQFDKLSDTEIDTLPELPLVVARCAPNTKTGDGVNDSPSLKNADVG IAMGLAGSDVAKDASDIILTDDNFASILNAIEEGRRIFDNIQKFILHVLSQNFAQAIV LLLGLVFKDADNLSVFPLSPVEIIWLVMITSGLPDMGLGFEQATMDIMQRPPHRRGIF TWEVMLDMVVYGTWVAALCLGAFTLVIYGFGDGYLGTNCNKVYDGCELVFRARATTFA CLTWFVLFLAWEMVDMRRSFFNMRPSSSSSGITPQWCRDIWQNQFLFWANISGFVIVV PLLYIPVINRKVFRHTGITWEWGIVLVGTVLFFAGAETWKLAKRVYFRRKARLVLGVG KQKPDIESSMSVYREK TRV_05053 MPQQKLADSALTPPRLDSPPIQPLGRLLKFDETPSWFEGNQFIL TGYRHESRSAIRCLHSWTYLHNESCNIYSHLLPAVAYIALHWYLVNIYLPSRYNTLTK GDGLILSLFLSTVVLCLGASSLYHTFLNHSAPIARRWLLCDYMGIITLIQGCFISGIY FGFYCEPYLQRLYWTMVCLLKVEIYTQLDTSANNRELQIVVLGSLTATILLSSKFQDR KWRGFRVAVFVCTGLSAFAPITHALFLHGLKRSMNVGLPYYLTEGALIAFAAFIYEVR SFHAV TRV_05054 MKLSGMPMVYAIYAYAGCAIMFFGYDTAVMSQVNINPDYLRTMG VAGGTDRDAAAIGGLVSLWFGGFAIADRVGRLKTIQVGCIWGILGAVLLATAQNISWF ACARVISGIGCGYLNTIVPVWTSELAPANMRGAFVAVQFTLAMVGSALSFRVYWLEYA CVKTRSLSFAWRFPNAFQIIFLLVLLAFGPFYPESPRYLAKTGKIDHAKSILQKCRTD PNEENIDNEMEETLDAIRLEASESNPSFYNMLFTSDNLHTRRRVFLGAGVQIMQKFTG IDFIAVYAPNIFAFSGFKGDTPALLAGGNWFGYILALALSIYLCDHVGRRKMMLSGCT LMGIVLIIGGILSQQTLKYAELDPGKANKFGAGVAAILYVYTFIYGSTWLTTCWVYPT EVFPLATRSKGTALATFAFSVAGGTINMIIPYLISAIGFWVFILFALINLVMLVPIYL FYIETANRHLEDLDLLFASKSSFVWRAEQEFADAKGSQGGSHGATN TRV_05055 MSPTQDTLFRSADMSLTQLYIANEIGREVVSALGELGQVQFRDL NEETTAFQKTFTNEIRRLDNVERQLRYFHAQMEKADIEMRPSEEFSNNLAAPMASEID ELAERSESLEQRVSSLNESYEALKRREVELIERRWVLREAGGFFDRAHGQTDEIRQSF DNDEAPLLRDVEQQPPRGQNGDAHQSSFSVMDIGFVAGVIPRDRIGAFERILWRTLRG NLYMNQSEIPDPIVDPATNEEIHKNVFVIFAHGKEIIAKIRKISESLGANLHAVDENS ELRRDQIHDVNTRLTDVGNVLRNTKNALDAELTQIARSLAAWMIIVKKEKAVYHALNK FSYDQARKTLIAEAWCPTNSLGLIKSTLQDVNDRAGLSVPTIVNQIRTNKTPPTYMKT NKFTQSFQLIVDAYGTSKYQEVNPGLPTIVTFPFFFAVMFGDFGHAMLMTMLAITLIL FERKLGKTKLDELSSMAFSGRYIMLMMGIFAMYTGLIYNDIFSKSMDLFQSAWSWPED FNENETVFAELKGSYRYPFGLDWGWHGTDNNLLFTNSYKMKLSIILGWSHMTYSLCQS FVNARHFKKPIEIWGNFVPGMIFFQSIFGYLVFTIIYKWSVDWNGLGLPAPGLLNMLI FMFLQPGRVDDELYPGQATVQKILLFVALIQVPILLLLKPLYLRWEHNRARALGYRGL NEAAHTSAVDDDDEHQNLISGQRDSMGDGEEGIGMVTQDMGEGEEHHEFEFSEEMIHQ VIHTIAADLIILEFCLNCVSHTASYLRLWALSLAHQQLSSVLWSMTLGNAFLQTSPTL RVIMTVITFYLWFVLTISILCVMEGTSAMLHSLRLHWVEAMSKHFIGDGIPFTPFSFE AILQEDPVD TRV_05056 MSVLSVSASGSHDFSKSTVPNITLVENVGVKGDAHSGAHVQHLS RLHINPPPQNLRQVHLMPYEVLKAVSVNPGQLGENITTEGIDLLALSKGTKLRFVDQK SPTVDCPVVTVTGLRNPCPQIDKFRSGLKEHFIVRDANRTIIERKAGIMGVVEAGGDI RPGMTIVVEQPAVFERMECV TRV_05057 MNTDRFPDVLAASLAVVGNEFSRATSPGGESAQLNGAVPEDDRS KKARSRPRTYPYFEHSPYELEDDTLRQNNFEEILKHLYVAIESGDFNSGAVHWTRELR GWLSLKFDPTREQRTKLVKLYYELALAPGIEPAVAERFSSMFMVLTKRKHYLRPTKDL ILDWKPLYRELKVFVLPSEAGLVHSMSNKRNIKTLIKLCSFAQFYFDPLELPNMLQEF LPYFTTSFTEGAFIVVGLMNLFVSTAPAPPERLDLLPQHYLPTYFHLWSLVNRSRTFD MSFLDILSRLARDSLPAKHIPFSEFGIFTEEQTSLIFTAILRLLEIPVGQATSSYSEV VDVSAGLAIMLDRDSRNHPVTHDIARWTVMSLSPACAESKDSLLSRLEGLIQAVETFF HPSNSGSWTRTLSELVNYLADFFVMRWNRERNGEMEVPPERRLNDAVKRRFVLCLRDV IFMGIYAKSGTAMNFSLSTLQSLAYLEPNLILPGALQRIYPSMQGLVEVHRTASSLRS LQVLSRIMVRTKGFRCHITTLLGLALPGIDANDLGKSLHSLAFIQSVCYNIPFEDLTK GRDDVNGNMLAVEWVTGELERMEEQGASVEMNYDTELSDEDEEKILRSSTTEFGEFLI SFLGRVFTLLENLPDAARVRSGSPEENVLNTLPATFLPLLSTLSPELYDIALNKIVNF VSDRVIHQARDAMAFICNSLCKVNPEKALKRFIPLLIRNIRTEIDENGAASTRTTGTD VLPRDRGLVWNISMLSMCVVHVGEAILKYKQDLLDIALYMQRKCKGIPTIHISNFIHH LLLNLTMTTIVDYSMYEPHLYPKGIQVEQWGQKQDLSNLSVNWHVPQREEMEFAVELF TSQVEAALSSLTSLISDTPSIKRDGSGKDWSDEVTRNIVLLRLIIAGVSALFDNRAAS KCRESEVPAQGGAYVSRDDNMTTKGEEAADDTDSSLDISEESAIRPSYQYPAGYLLTE DDPLYTAIHEIRERIGHVLHNVHRFLVDKQEDDVACFSSLYTAYRCWFIDVGIERSAH LLDRVSKLYGADIHPYKMSGIRKDYPRPLLVRRAYVYHLQRLRHNAAPRPRSKLDTVL LLDLAESSVSIYTDIRRNAQSASESALRSIWGSRVLLIRPLIKAYQMALKANEIPRIQ GAIYSLMYSSLAKPVGRHWKYTPALIRAFLDTTAVDKPSIQKLCSGSLFQIVEYGHTT NRMAILDQDIIRAIVPTDEIMASQIEAKRKSLEKKWHSVEKRKLEMAEELVELAKASH WKKTIRTSAIAFTLGLRFDHIASENLIELIIKGSVDSHPGLRWMYAQSLTALFTIIEI RAICHHNYRDYILGNYCLPSKIQVPTNPEDPNWTASYLASFANPEAEYYIDHDHPGFL VWNKTMPAYKANVETDIVFDSLEWNIRKFMGKLFTREWISTYFTYLKQEPRDASTDKF RMSTSSLLVYIFQLLLRDELTAVTFQEIKKEIEIVYEDGSDKHQHRATAEILAGLVCS ATDIKVQKRTEIWEYAFGFFQKIFSDALTPENSDYWSSCLRMIMQCRDPRRSWPLVDW LSSFRLDMTSNAAFKESSKINLLQRCIVDSGWHFQLEKPILRDFLAHLDHPYKGVREA MGNTLACIYRTRYHESYASVDQLIEHQKKTSSTGSRAYKPTDEFVATMTEVFDRIEQW RHQRKPGQQTPSSYTSGCKTVLLWLDSTLYSYECTQLVQFFPDLFTEQLLHMMDVKED PELQSLAYHVFRHLPNIPHPSGEDTDFINSLIRIGRTSPSWHQRLRVMINMQIIYFRR LFLLSKTDRENLFECVASMLSDTQHEVRAGASATLSGMVRCSPVALRQDMVMKLLDRF TTSLIQHPLPKKRRIISSGFSSATSTGANTPTPEHTKLIITRHAAVLGVGALIQAFPY TSPPPSWMPDALTTLSTKAGGDPGVVGQSVKTIISDFKKTRQDTWHIDVKSFKPDQIE DLAGVLWKSYFA TRV_05058 MASTVDRIGMLDQPIYTLTKCFCLWKAVLLLIVLASPGPGYDTS TALLLSSDGEGPGNPGPGSMAWLISHIGLRLARWDSIYFLKIAQRGYLFEQEWAFGYG YTKFLSFLLPESLRSLQNLAVTGVLLSNLCHYLSVLVLYRLSQATFNSSRKNYNVVPF LAAALHIVTPAGAFISAPNGEATFSFLNFLGYYVFITALNDERQSSYFLRDLKFLFAG AFFAAATTVRSNGLLSGLLFVYDAVSGLHQIITHGPSWHIIRRLAMVCTGGSLILIGI VGPQYVAYKDFCLARNPRPWCNRLFPSIYAWVQSYYW TRV_05059 MQLNLGITADAAAVHRHEYSASPETQQGASSTFFPSARSILSLG FASLTKQGASAPSSTSKSESQAPMAQNTNTYRSIVPSGSVIFQEPTAMEETVAHTESG SDSPDACGIVDGSVDGASKKHAHRSKTILRFAHPPPTTRHKRLRIRPRLLLQLQQVSN TSRPISVLDVVPSSLFKGRAQRKISGPFAARDRVCTSDLIVLPSDTYGPAGAGDEEQR PEGDSANENREPIATISQIRKDDSKSKPTAEICLADGLCWISESLPNGSYEFTATDEH GIKKCVRWVVRGKRNRSTSIQGAGRQSPGPEGGKRLTFSIIDPQTRRHPIIGWLCRTG IEVLDQESLSSVYADRKTNQRPESAPAETATRQSPVVIDDKIRQLITITGIWVAFQEG WVGNPLVTSNIESSSSNGLSVSQLPPSSVVAAERPPNAGVGETQPDELPTRSNSNRTN TRTSLTSRIRQKGRRATAPHTRSSSIQKQSPRRSCSDDGVSKGSGPSTPTHTRSCSML CSANQESSPQSHPHRPVQTIHDLTDLETPDEMNDPLHYSNLHSSQGQTPKHNSRQSSP VRGATSPGRDRASTPDQSTTSSAGSREKKGWRKLGTLLGAVRAKKTPKAR TRV_05060 MKAHQGTPARADTKLLRNSCDTYIPSYYNKASENDIDALLAEGK AAVVSSHCGTSRDDNTGPVPPYETSDGSLQIRGAASAQQSYRKGIEDNSDNTSASDAT IRNVEHNRPAIPLPEKPPAPQPPCTPPHGSLQQPSPGKIKSSPTYNQLMPLISPILSS LQGGKETPPSTTPPNDLQSLCIPQPEFQNLDHQTQQDIHLWLLFTGYYDEEYRIETIS RRREIVAVHEKLTELMNEEWRKRRVFTNISSSRLSQSLFPCMMPAGARSATPSDSSAM RSRTVELPVDSPAERTPTVSDECLKAKAENTSSLQPTNNRVQPSPLKRSNTPPPEDSS CQRKVARSNEQTSTSREFKKQGLFT TRV_05061 MRGSLWSFFLCPDICFSLWSWHWPQKLPWPYQKKTLLPVYTHSF FSSSAAQEKKRAEGQGRGVIIIQDNTHALPSALFLFFFFISALNYLHLGNFMGKTQLT SASPFVSFSWAFANQPSVIRTLPVSQRIIPCPTPSLATRLADLPSAPRDSFSQRQQIK PFSSTRPGLKMSSSNNSNSHPIPSMAAQNEQNTAPDSYQLAMAKNNIWATKLDEEQPD LFPKLASGQSPEILWIGCADSRCPETTVLGLQPGDVFVHRNIANVVQYNDISAATVIE FAVVYLKVKHIILCGHTSCGGINAALANKKLGLLDTWLMPLRRLREQHMDVLKDLELK EAAVKLAEINVENGLRVLRENSAVLDAIEERGLKLHGVIYDVGSGKLRELEITESMDS ISRRIASFKTVKNEE TRV_05062 MTVINYGPGSGVFILFICIKGAGSFLVALYCIVSADIALTAPTL SKRGNKRPQQYKHQPHFALLTLLLFFSLPTPKQHQHLLSSCLEMLPDLTLSLLFVAIY VVSLLLVDLVAPRLTSEADNASIGRRLILVVYVRAPLKYTGADRKLISCDIHCSCIST LDRAIMATSLCDVALRGVWNASTEGHHYVDLDMTLQMKSGPDSVLPVLKLDPEMEVEI LHQGTYELKHEPSNEPDYGLGLWPHDEGPDDQTYNGGKEEESEGSVSITCETPSRKLI TRDMRISPSPVKRLFPPSPDTCEGYDADDGGCDFDDNDSSGSSAGVRGISIDGNDVFD ASGHEDCEQRMQDSPGNAQSITIKRGLQPRSSFPKFDIDALQDIERIDEIPNDKENDV PKGEVGCERKCSRDHLIDITPKRDSTALLISACSPDKEVEPDTTSHGHTTPQSRVPNK TFVIPKRTLRSPQKGALSKIPIKRLHKEVSSESDELVRVVSVVRPENTLSPAKMTHKR NSPLSQIVMMTGAISNAKPSGIEPDYEAKDEDIDATSVEESSCHWPDMTTLEMETPTK PQFSRSLPNQGSEMHHGNEGFIWPAEGHRSGAMPSLGQDNQNEDSSNDLCLESSKNEV YLTPEEATSGNFDVSEFYVDDDEPEGSNVEEDYPYEEENNTINLPSPSTASQRSLKWH RPGQLSEREGLGEPPVLEAFGGMLRVRTSSKAVPANLGLISTIRVTLTGGLKHDSEMF KASLPEDDGHMSIQWELRLKPPQVDGWALELFAMLPFDECDPGYDSYVAEHQPLEGRD GLEENEHQENPEEVHGSEMEVRQEEANAGRCPCCLYHSRHSPLVNIDRLMDVANGLAR ELKGALRHGLFKPCGKAIRFFGDMLQKFCGEEGLIQFIVKCVKFTILALLVLCVTGFE CKIVGWSADADSWEINIRPRFLPLMPQKPAVCGGMEGAAGGQAGQSVDIAGKEVAGDR WPSTAAWAVLQPDSGEATSYTIGGANSTENGSLTTAADTASTRAPSEAQLAAEDGDAL VSKPDAGADAADEGTNVGSGKPDKANDADKASLRDRVDWLLGWRGPLFD TRV_05063 MAATPLAAYDNNYPEQEPEIDSEQDAEGDEDPEFYDATAQAGIV TTYENSTVTVDGTYHGKGDTDEGGAVDENNVNHEKEPSLIDDGNDSKEKVDQDAAVIG SASEDGKSASEADELDAEFEELSNESNAVASEASSESDSEAEEEWEAESNEQEDADTE PPVENNCIVCKEDEEHDPSEVFEEPLICDTCSVHYAGKWKCPLCKHLHSQPDTRNSST ARQKSTVSSVTKDLLPADNDTQDPNSHSVFNSLIIEDDPLDGSRSLRKRKSSSYEAEN RRSTTRKRRKVTPPHGQALPTTRASGSRSRAPSQNQLAENDVTTVHENRAPRQRRTRN AQKYYCSVVQKQEGRLILSFNLDQEKIQKILNSKPRPRSQRRRPLPKPPTIPEPPLVS HFAPTNPTPYAAPFYSFHDRENDELKSKPYGGILSEAEADTSRTVPLSTDRDKFEAAR QKAEEEWRKKMMANEEDSDNALLSSQKLSGPPSKIKYINFGGYEIETWYAAPYPEEYS RNRVLYICEFCLKYMNSDFVAWRHKLKCPAKHPPGDEIYREGSVSIFEVDGRKNPVYC QNLCLLAKLFLGSKTLYYDVEPFLFYVMTEYDEWGCHFVGYFSKEKRPSSSNNVSCIL TLPIHQRKGYGNLLIDFSYLLTRLEGRTGSPEKPLSDMGLVSYRNYWRLVLCYKFRDK KSPTSITAISEQTGMTPDDVISALEGLSALVRDPVTKTYALRLDYDFFERYIESWEKK GYVKLNPNSLVWTPYIMGRSNKSHYDHAPIHTVAPREEIDADEPTAATNSIPSNGYGL GISASDADTANSVAGSGADTVNTNGKGFSEASPSLFDAPGPPSTSALSFADVMAKQAP STNGRQSSSTPSNPAFGIPPTRFEIFPPPQGASTSTPTVKRRPGRPFGTRKKVRSTAQ SPARTSGHNTPKKSKPLRLGTPSTATRPLRFSVRRARSSMLGDNPDTKHDTDPESPGG TKRFDYPNPFASTSNGPADQPEANGSPGSDKNDEETHINKIPESMEIDRQLLNGIEDH TRNHQPQLVDGTNKNDTELTNGLTKPMDDTSQTIATQVGNPGENGASDAPSEKKIPLQ CS TRV_05064 MADVSTTTCQPTFSREQLVEYFSRLYQCKRGDGEKKLEDIELLV ISDPREALFTLQRRHLVTFPFSNLVLHYSQHHSISLDPDVLYHKIVERGLGGYCVENT GLFSIVLRTLGFKFYPGGARVSKSLAPGPANAEFHGLYAKRRTKVDVGFGPQGPTQPL LLKEGQPVLPGVVQMSLIRKNLSINTDPDQQPWLYRFRKDSDSEWVMAYCFYEIEFTP EDYEIMNYWTSVHPASGFTQGIIFTKFTLNEADNGIDGALMLLKNIVKRNQKGNVEIL KTLKSEEERVAALKDFFNVHLLPMEIRGIRGRSTEIKQVSDD TRV_05065 MFGGRKAAAYKAQHPRYPTPSAPFFSSSFLHIAASRDSLYTVLS AYTFTSSIMSATYQRLAQISKQVTPGKSGSSAILEKNPDDIVVTCALRTAITKGGKGG FKDTAAADLLVGAFKALIERSKIDPSLVEDIAVGSVLPPGGGATEFRAAALAAGFPET SAVKALNRQCSSGLQAIVDVANAIKAGVIDVGIGAGVESMSSQYGPGAVTEFSELLEN HMEAANCKVPMGILSEDMAKDLKISRKDQDVFAASSYQKAIKAQEAGLFNEEIAPLTV KFVDPKTQEEKTITVNRDDGVRPGITPESLSKIRPAFAADGSIHAGNSSQISDGAAAV LLMKRSTAEKLGQKIIGKYVTASVVGVKPLLMGQGPWKAIPVALEKAGISKGDVDIYE INEAFASQALWCTKELGLPFEKVNPKGGAIAFGHPLGCTGSRQVSTLLTELKRTNKKI GVTSMCIGTGMGMAAVWVAE TRV_05066 MALEHCKSKISNPHLQTTKNAEELCQSQEVEVVLVASNDAYHVP HTLLALKYNKFVFCEKPMALSLKDAEAVLEAEKLSSGRVMVGYMRRYAGAFVDAINEI GGIGGVTHATVRDILGPNSCFIAQSGTFPKKFSDHNENDAVELATMTSQALEQALSVE LGIPVNPTTANMWKHLSGLASHDLSAMRECLGVPNRVLGASICSDTGPQFWSALFKYP GFAVSYESGWDEIPRFDASIEVFGRTKTVKICYDTPYVKGLPTTMVVRQLGPNGEYIE STVRKTYEDPFTLEMKELYEAVVNQNKIIKTTATDAVADIKIFQMIMKTGYEQSL TRV_05067 MTPSIFSDSRPQSSKVSALRSILPSGGHKRSKSAFEAAVRGGPV HHNNPLAASCLLPADHPHYRPQQHHQQPYPDREANRNASNSRAPLQDNSNPPGKALHK RSKSTLSLKSLLKDKNSKDKDQAQKEPVKHEPAPRRNKPKRTKSSTSLSSLFRKHQPR QKDNDSGSRDKENFSPTDIFDDSASTAPSVYTAHLPPDNGSGSRYVPENRRTVAEEMS LYTPQGYSPSKQRNFHDHHKPSLTRADKARRKSDSIPSTSAGVREMLATGRKPSPLGP HNSNLPERKTSSSSNSQASTKSNEAPAKRRVSNGRRSSRVMAAIAAFNAKDQQAASSK QNDPKDTAIENAFEQLLESRNIPLNMRDKMRALDTNIKADFVNKHEMTPTTPSGTSQK KFGFFSSDKEADKGSENGSRKSATKTRARSRTFTKNDSSNSKKGKGETTPSKRPKSVD FSRPSSSSSRGISASVLSSLQYIRTPKDADEPSDFVHYLKEVQKPEIVEISKLHKLRI LLRNETVAWVTEFMSCGGMDELIQLLYRIIKVEWREEHEDTLMHEVLLCLKALCTTTT ALNHIRSIESHLFPTLLNMLFDDEKKGPSEFSTRAIIISLLFTLLSNASPGERMSRAH TILSYMRDPAPPETAQPLSFIADIYQPRPYRVWCKEVTNVTKEVFWMFLHHYNVVPVT TSEDGSVDPSQPYSERHFPPPHPPVPAAPYIGGVEWEATSYLAIHLDLMNGIIASMPT TEERNKLRTDLKASGFEKVMGRSLRTCKEQFYPALHAGLKVWVAAAAEDGWDYQFVRE GPPRDAPPSSPIKTAGNQSPKKRPGIVSEAPPRLDLNVGVASSDQRKSSGDWL TRV_05068 MNRLFGTKNTAPKPTLEGAISSQQVDARISSIDVKLAALNAELT AYQSKMSKMRDGPGKMAIRQKALKVLQQRKRYEGQRDQLSQQSWNMEQAGMMQDNLRN VMTTVDAMKTTTKSLKQQYGKVDINQIERMQDEMQDLMDMGNKIQDSISRAYDVPEDV DEADLDAELEALGEEAMFEQAMGGEESVPSFMQDEVAPPQFIDEPPEQNKMKEVAGG TRV_05069 MFWKYGGYANVSPINSLLDKADVKVEEILDESDLMQEIKQHNTR LIEYLRDDHVLRRLFDLIISPTLIIHHDDDREHDDYDNNEGGHDETTDDKKEPESPES TDEGQIQLSEFPRISPKTSREPKDLDEAEKNRLNYAYIASEVLSSPSWSVIEAMVENE DALRSFWQYMYRTGELDSVQTSYFVKVNEVLLDQKTDAMIAFIMRLDNIIPMLLQHVD NPLVMDLVLKIITLDKIEEQNVTEVCTYTPLSCYLLLNSNLRQWLQSQDLIPTFLSYI SNDHPPETQTAAGDLLKAIVTISANAAQNEQPCIGPNSLTRQLVSEPCIKTLISAMVQ GGNPLTVGVGIIIDIIRKNNPDYDPEMTENRNSTPTTHDPIYLGTMLKEFASHVPQFM ELMNQRSRSDDSKLDTAWGETIEPLGFDRFKTCELMAELLHCSNMCLHNESGSHGDML ARDLERERLRASGFPQHTEDGFVYADSTNGISDSRMDATSHEEFRRGEQANTGEDEPF EEITSSGVLVDRVKDSESPAECDTKPTADNTLSSAPKLGQGDDFVDEPLTPPKLNTSK NDSSATEDESTAKESVSSAIQSDLTEKVDEIHLDKKEEDAKEPEADSKPSDAPVSAPT AEQPTTSETIPTSSALTTPETTPTLSEEEHPQPSHAEEPTFEESKYESDPFIQREPDG KPVVGDYMKIMFHEHKVVPTVLSYFFRFPWNNFLHNVVYDIVQQLLNGSMEQGFNRAL VIDLFESAEITTQIIEAQRRSEESEAKHKMRLGNMGHLTLIAEEVVKFSERHPREVLS PQVMDKLTHPDWIDYVEHALSDTRERENAILGGVKPDITMSHRQAVLNAISAPTGIQG TSSALADAGLNGGFSSGFSLSNLTFDNQSSASGGAFGFNSNLGTGTGTGTGVSLFSGF GSSSDDDDEDMEDRTDNRDSQSIGSGLVSPKYGDDDSFEDIDMSDE TRV_05070 MSPCMSHLHASIFDNSETQPIPILPPPPAPLSTGPSRARRQLAA RLALQKQQALDAAAANNEGSEEDGKNPPLNFGNNRRFSTADHVLNTVFTKGESEDSSG NAMHDADTTGDLDHQSSSFSGFQDVSGYSDSSSDEDGSLPMESVERKFRLPVDAFDDD DEMGDMVGPSTSYSDEDDETIIQEALGYSTFLDSDHHGLDGSVYPEERELTPDSARGQ DNSDGEDDGLVEILVPGKRSVTK TRV_05071 MHYGKQQFDSPPASLSEKLQADQELVNWITTLGGGLNPAVEIHR DDKQGSCLRVRSNEALTASTVVARCPISATMSIINVKNLDPNLPSHDFRCSDILSQRV RKSIILAFFMVHEQLKGRDSHWWPYLATLPRASEFTSALFYQDNDLEWLQGTNLYQTH QAYRNAVQEEYDSAISILRDEGFLAVESYRWDIFCWAYTLIASRAFTSRVLDAYFSNH PTLKQDEEFQIMLPLVDSSNHKPLAKIEWRAEATEIGLKVIEPTSSGEEVHNNYGPLN NQQLMTTYGFCIVDNPCDFRDLNVNAPPGTPLANARQFRYQEFHEPHGKSLDNKCFLF NIFYPFSSESSTVEERIFSRDLLDALGLTRLNTRESQNIEVTEERTYANFYDSGSRVV LNALCQGSIELAFRIVRVGRGGYLQKQPSNHKQKLAQTYRETEWLIYMTGLVVCEWAI ARARTSDSKELDTLLEKYLSYIPSPTVLERLGHVIKGSKSIVCQPGELFLGIEILELL ESSDAKTLVQEFINGISSAVDSLVDPSDRLLSSNTVTYILFLLICLRASKAAVNVSNS PEPFHKTLTDVFSKRLDAYVVQLIDWYPLDDKQTLFENMDEEVEKEIATIFEAIKEEK SRQSYNLLLGASDEWLSADVLRWAVYVVQEEGLTVLRNPLEMISREPSDGPVHMATDS YFYVPQLPAS TRV_05072 MYANRDILPISLSSLLPQSLNDFDQHQPHEGRKSRSITSFKPAS SIGLNWSRATKGDLPIMISRAAVAPPAHAIPYVSSRALTSAAAAFLPRLTSAQPAVKQ STAPQNQQQSQQLAQQQPQAQRRGFATVQDGAAAHPPRVYGGLKDQDRIFQNLYGRHP PDLKHAMKCGDWYKTKEIILKGHDWIISEIKTSGLRGRGGAGFPSGLKWSFMNFKDWD KDTKPRYLVVNADEGEPGTCKDREIMRKDPHKLIEGCLVSGRAMNATAAYIYIRGEFY EEATVLQKAINEAYAAGLIGKNACGSGYDFDVYIHRGMGAYICGEETALIESIEGKAG KPRLKPPFPAAVGLFGCPSTVTNVETVAVAPTICRRGGKWFAGFGRERNQGTKLFCIS GHVNNPCTVEEEMSIPLRELIDRHCGGVRGGWDNLLAVIPGGSSTPVLPKHICDDQMM DFDALKDSQSGLGTAAVIVMDKSTDIVRAISRLSAFYRHESCGQCTPCREGSKWTLQM MERFERGQAREREIDMLQELTKQVEGHTICALGEAFAWPIQGLIRHFRPELEGRIRQN AQANGGKEVFAGGWAPNTRQEGKLIAPGQ TRV_05073 MHVEYLNICGSANLFTTTGGGFGSNTQTSAFGSSGFGTGTSGFG STSNTGTGGGIFGSKPSGGFGSTGNQTGSIFGGTSTSSPATNTGTGFGATTAASGFGG SGTALAGEVPPSQGTANPTFSPFTEKDPGSSNTSNYQSISFMTPYQKYSFEELRVADY EQGRRYGNASGQPGAFGSFSGFGQGSSGFGTTAASSSPFGGSTTATSGFGTQNQTQNT GFGSNTSNPLFGATKPATGIFGQTSSTPSTGFGTTGTTGGFGTSTTNAFGGTNTGGGG LFGNTQQKTTGFGTTATPTLGTGFGTQTNTTNSSPFGNTQTSTTFGQQGSSGFGSFGQ NQNQAKPAFGGFGQTQPQQQTGGGLFGSTPATSGTGSSLFGNNNQQQGSSIFGQQNQQ TNTSGGLFGNTQQNQQQQKPGGLFGTGLGTNTTTTGTSGFGLGTSQPAQQTSSLFGNT QQKSGSLFGTTPTQGSSLFGNNSAPASSSIFGLGNSTQNTQQQQTGGLGTGGNSLFGQ SQQQQQQQQQSPSGFQASLLDGNPYGSQSIFAGLPAPNTPSPGPLATPLSASMKQKQR TPLPMYKISPLAANRLVTPPTRQGYGFSYSTSSTASTPGSSSIFGGSLRSGGSLGRSF GKSLTGSSSLRKTWDPETDSILSPGAFSAGNSRQSGSLKRLTIDRNLRTDLFSRSALT NGDEAAPPSSKLKKKVSFDDAVSPGDSADKTNGAIVRVESESSEPTPEELGFLRSARK QSSANSTPTAPKSVELPNGTPSASSTPQMEQVRGKELATVPENGEQPALTTPTNKPLV IVPNVDPKPGEYWMKPSRAELSKMSREELKQVPNFTVGRVRCGSVTFDRPVDLTTINL DDIFDKIAKITIRSITVYPDEATKPPRGKGLNMPSTLRIENSWPRGRDKRSPSPFTSG PTFEKHIKRLKEVTNTEFIDYEKETGTWVFTVPHFTTYGLDYDDDDEDEGESFNQSTL SAAPDSVASNDQTPTQSSGMRNFDTSMSIEGSLFDDSVSGLEDDTFEFKKKKPVPGAY ARQGVNGMGALPEDMESEEEADQDSFLEDGSAGSVTNESEEQLESMSPSASELESDRD EDMEMAGSFPVPDQTVELTLTGPLKKNNLFQSMPRFGTPTKSADLNLQGNWAEQLQRT ISPRKQDRQALREVQDRAFLDRDEDESPTATSKATEERPTQFTTSIDLMNSLFRQPKR QGASPAPAQSLKAKSGLEWPYPKKPKTFAGKSSEMAEIEASFHHSTKARWGVLDEVVL PNNRPEVPVYGEKRLVNVSKFDHETAEVRLFRCLQLWPRSLTCDVFKAQNPLQLQKTK SIVRLVDNVPFASLALPNFGALAEAVIDTTKAADTERWLWQLANILFNDDLEDDISEG VPAGLLDNYKHRIKKDRLSRLWETMIRAHHPNETGELKTPEERAFSYLCAHRVEEACK LLIDSGNPHLASLVSQIGRDEDTRKAMQDQIESWRKAGISCEISEPIRAIYELLAGNC LRSEGKPSGPPEERISTFNMSDRFELDWFQAFGLRLWYGISDDDPIEDAVTLFHHDVY HEGEPQHPLTVTTKDSNQKPDDDPIGRESPFWILLKTYTLAVNDGTHPEIAPIQMPAA ITPIAVSGHMLHNRLSFQLFHHLSKVVGHHDVFAVDQSRADQLALDLSWELTTAEQYA PALFVLLHLSRPSDRERSVKEILSRFASLIPSPTAENGTPSSLWTYLTVELQVPPAWL WISKALHSRAVGDIASEVECLIHAKHWNEAHGTFYRIVAPKAVIERDYDTLASLLSGF GESPERRVRDWADGGAVYQDFLQLVSAKGGWRDPAPLKRLLKALAHLGGKVEKSATSS LYERIAFREMSRLVAGWATKDVGNNIESSTILNLPLTRDARLTHTAEVSRRYYNAIMA GGST TRV_05074 MPRSSSSRTDRGHKGKKSLGATPREKKIPPPCEALTHSFVPCKN RANTKASRKIPVCWAHRKQGETVTRCQAVLENNRKCLKKIPWSERRQVCTKHADSPLP CFIIRLPIELRQQIFSYILDEYQKEYVPLYTYFSILHMARVNRQIYQDACDLLHRKLV CNISLRNENISILKKVCLSVRPGSWQRFKQFHFQFYDEMQEATINNLTLIVALLRDTC MKLSVDPSYTIWWHSHYGLERAIDALPSYLDIFRQLRGVREARVEMSPNLTTALSVYG WNSLNPEAGMAIKSKWESYYKQWVKDLERGHSAERDDS TRV_05075 MAEVDAAKAPVVVEAHQVETVGVPAEYHQHSTKTHLKSFEEYKT LYEESISSPDTFWARLARELVTFDKDFNTTHIGSLKGGDNAWFVGGRLNASYNCVDRH ALKNPDKVSIIYEADEPGEGRSITYGELLKQVSSFAWTLKQQGVKKGDTVAIYLPMIP EALVAFLACSRIGAVHSVVFAGFSSDSLRDRINDANSKVVITSDEGKRGGKLIGTKKI VDEALKQCPGVTSCIVFKRTGAEVPWTQGRDLWWHEEVEKYPSYIAPESMDSEDPLFL LYTSGSTGKPKGLMHTTAGYLVGAAATGKYVFDIHEEDRFFCGGDVGWITGHTYVVYA PLLLGATTVVFESTPAYPNFSRYWDVIEKNKVTQFYVAPTALRLLKRAGDQHIHHDMK DLRVLGSVGEPIAAEIWKWYYEVVGKKKAQVVDTYWQTETGSHVITPLGGITPTKPGS ASLPFFGIEPAIVDPVTGEELKGNDVEGVLAFKQPWPSMARTVWGAHKRYMDTYFNVY PGFYFTGDGAGRDHDGYYWIRGRVDDVVNVSGHRLSTAEIEAALITHCKAAISVEDGA SVAEAAVVGVHDELTGQAVNAFVALKTGNEIGEEIRKELVMQVRKSIGPFAAPKAVYV VEDLPKTRSGKIMRRILRKILSGEADSLGDISTLSDPTVVPKIIEMYEATKKK TRV_05076 MAEVEETKTQRAARLRREKRAAKIAATGNARLDKITGISGRSMS FREDSPSARNTPSPPRYASPPAQSPPRQQPPLGTSTGLGMPPNAGDSSPQSIKEQEEY IRALLRSQQPPPSAGDNADPTTKLLSNLLGMPPPGAGMTTPGGTPSFQPTTGDAPELS PNDIASALGISPSMANIFLQAKAGPASPSAQRNNSIWKAAHIVFATATSLYFLMLLQS TINLYGGGDRLPPPATVQNPFLILVMGELLLIGTREIFMNNDGNGAGSGVIGVLKTGR RVLSDLLRDGKIMIFILGIGSLWMNNWGKVKTE TRV_05077 MTTQKKPGGYWSEANPVPTIQQFIAELDAGKAERDRQIDEEARK KPTPQKQPTGAAVPHRKPVPKTKTKGRVVTDPTTGTEVEIDDVDENFKKAVRDPQVRF YPFLYPRMVKEKVLSVQTKPSQKLEEYKHTQDIVSPPEPIAAGTTSDVPIHGERTNIL FHPTPSISYEPMFKILEKRAGVLCSVIFGSILIAGRFAGGELKWIFPLACCVATGVWL WTYDVIRRGRTFEWHSEKLRGQTATANLLPESAEWLNSFVEIFWGLINPDMFASVADT IEDVMHASLPGIIENVRIAEIDQGSNPLRIISLRSLPDGQCSDLIEGLRNYNLENKDE QQAAAEEEGGQPYNLECTFAYHAKPGENTFSRAQNMHMMVVFYLGVKGLFGVPFPIFV ELSELVGTVRLRLQLSPEAPFAKSLTFSLMGMPHIRAGCTPMIKRGINILNLPLISNF VNYAIGAAVSMYVAPKSMSLDLGMMLGGDDIQKDTSALGVFWVRIHRAKGLSKQDKRG SKGGGSDPYINLSFSKYGKPMYCTRVICDDLNPEWEESAALLVTPELIKADEKLSVEL WDSDRNTADDIVGKVELSMQNLLQHPGKMFPITSKLQGMDAGTEMPGELFWEVGYFRK PHFRHALRTDGKDETLPKDLKDHPALQDEKGNVPEPEDDAEAVTCTPPDPLWPSGICT IVIHQVVNLQVQDIKGTLKNRKGNEYEAARSYGESTGEEGKQFPTSYCTVHLNDEPIF RTRAKAVSSKPIFNAGTERFVRDWRSAMVTIAVRDQRNREHDPILGIVPLKLSDILQA SSQVTRWYPLDGGIGFGRIRISLLFRSVELRLPPKLLGYDVGTFSITSNKIILQNCAS RGKLKIRTSGVHYSVPRSAWKPDSIEENGGFFDLTSDLKEEIILPTKHRYRSAIIFEV QHSSGTAHALVWLQNLVDNEETPVDLPVWTAKNAWRLVQNYMTEENWEEAKNSPGLED LEMVGRIQFSCRFTPGFHQTHEKLVNNNDSREAFETWEASVSEGVRGEIRSEVPPTVQ RLHEKSLVQERDILRQASAKLRRPRSKDSNLSSIDDIIRQSMTGNASSRMEGGAASEG QHENQSRNQSRPTTAGSANANANVNTDADAGVTANRTVLAPMTVGGDGMPESHNNPQM MDYADGPTTTEAVDRPLGEGEVAGAEVAGGGAMASHEYDLDDGRVDGHGENDNNDDNT DTALADGVLSESSHETTEERRERIGNKENRRSEWRKHRGVMQWRPARNAAFVKHEASF AMKKVKDKLMGDMTGREPDIQTEVG TRV_05078 MITIPMASEKPINLTSVEPEATSPGRSSSPALSSSSSSYSSTAL SPASFSSSHSSATAYSPVISPSEDYHQPPTNMLKVATQPAVYPTVPSIAPPLTPIRNQ VGPNINKRPKLSLQTSCVPVTFGKSSTALSLAVSSNSCQSPTNRNTFKNAYESFHRIN SPICRSPLKGPSRNRQRAPSSPARAGPVRAAEPPYKLPKGIRGILRNSPIPSPAQAEE QLGSPEATASTPSTKRVKYRYPLDEIIKTVKFTAKHSDIESTDASGASSPLDTYTYSS SEEDSDSSDSASSGSTPSEDESENAVKSPKRTRKQTRSKHKSFRSQRQILAAGVRDGI LPSREDSLPPQTPIHQRTVQREWRWTLGPIKDGHVQSSSPPSSDLATPPAEASYEVPS TPHTEKKNDDTPLAFADMTPPPSQGSGICSSPVVKPGSLRLTC TRV_05079 MTRASKEKSRITSVWKGNNYAEQKLQQERKPPVGRYVWRDESSK YNHNSFDESLGAKDITPDVILRLGEAPSSSSSHPPYPLSLAK TRV_05032 NAERNGRDSPTMSDQENRPPRAYPPLSAAVSHGPSQPHVHHGQQ GAAAQAPSQHQQQQHYASQYPTGPHIASQKPPPPHAQMQRSPSYQAGDDTGYFDSRAA PPVAAGGGAGSAYPYHDGRGGSLHGSHSSNFGSRHSQHSTVSQTSSHGSSAMSGYQHQ YQGPNTPSPGQQPPSYNPQQFSQQQQQQQQQPPPTPQQAGYNPQVFSQFSSQQPHHQP YNPAAYQSSPSVGSFQSSPAGNWSAGTPVQTSYPSTSPSFFPPSHAVPTSPGPMSARP LDTYNDYSLPYGNNTTHHHPTYPPMPSQSVAATPVSAHPSSYSSYNYPSSNHSQSTTV SYGTEATNDRIRRYSSAKPPAQTSDYQYMPNPPAHGSVHHDAGYRTATRHASYPASPQ PPPPPAHQNSQSTSPRRTDTLTRHPQARPLPGPPTESEGQNGREQIEPSYDDIIKQVE AAVMEGRPDTTRRHSSRARRPLPQQPPIQESDDGAYPTSAVSPGVQLLPDEVQTHTNG GNISSTGTGQYVNYNAYSDDSDAEVAAGLEALRVAEEEEAAMRARRNTHTSTTSSFGY QHQEPPPPPPPQNQQHHGESSSDSDFALHDIGLYGGGYSADMHYGEDALMHGVRTSSQ PDGRGSTPNQYDYPSIDQDSIHPFPEIEPTARVDTVGTGGLSEPSAYRRMSFENGDEA ALRRPSSATSSLSDLPGEGEPQDLFFHPGMSSRPLPPPPVPVATQNLLPHLMPAGTYR SSEHTGQIPLDHYHMPPYPTSPDTYSYSNSTSAVPRSTSLSDHTNTHKSADAIIRSKT DADRAKSKQAAYDSSLSTPSVTLDLPVLPTGKRKKFHPGKLSSEQFRKCTEPWAISAI VAWIRDLAEDEADLKEHSIVDAIVALLTHKVPTMNTADAETLSDRVVKSMFAAGALIK DEEWVKFGPGTLSGVLWQITGQGCYSSRLHNQEYDIFGRCYSHHCMRTLKKINLKMVE AQKKAEDWATFYKIGKEVFSNHSKKEIDRQNNLHEIVTTEDSYIAQLDVLRTLYRDTL ATAQPSVINPKRLDRFLRDVFGKVDAVKRVNEEYLLAQLKYRQKEQGPFIVGFSDIFR EWIRKAKAAYIEYAATFPNANYLVRREAESNMLFRSFLNQARENRLSNRLSWDTYLKA PITRIQRYTLLLNTVYKNMEKDSEEKSNLLQAIEEIKLVALDCDNKVGEMTKKMDLKE LGAKLQLRPEMKSIVELNLEHLGREIIYQGDLQRPRTKRFNWVDIRAILFDHYLVLAK IVIHRDAAGMKHEMFDVSKLPIPMDLLTLVSTNDDPVVRSAVKGIAPANPRAGAPTGL AHAATAPQITSPTPGAAGRSVTTTVLENSRDEKILYPFRIKHLGKRDVYVLYAPTAQS RQDWCEKIYEAKTKHAAALYAQNAEPFRLRVLSDSAFAYSDQAVPPKSAVIKGTPLDR AIREVEKKYERNLSRPLPVCRAAVNCATVFQQPPGKMMCAIGTDYGVYISAYDDPRGW TKGISMLRVTQISVFEEFNLFLLISDKSLIGYHLDSICPVNGAVQPDSQRRAPQKLSG NREVGFFAAGRMKDRALVFYKKRDGISSTFKVLEPVLQKSSSSRSRFLSRRGQTEFFR EYDEFYIPAESYGINLFHTSLAISTSRGVEVLTLDKKQPWSVPNLKSEQADVQSHLTS IANRVKDLRPLGMFRLSDSEFLVVFQECAVYVNKHGDVSRSVVMEFVGIAHAACLCGK FLLLFNEDFVEVRNAMNGRLRQVIAGRNVTLLDDGGNGNSGIDQHTNAVGGSNFNEAA STPTGTGRNGLGISTGFGTISRTVKICMQHPERERSQIVLELLENEGQKD TRV_05033 MFQISSLVQKAQSLVDPSNFGFPTPAASDRNPSKAALFRQQFRL PDSQNPLQEISAELVLPLPYSSSSSTHGERAKDAGRSGNRYIGNLHLSERFICFSTQP TSFLQSSSFSASSAFTGQTHGTGPSGNGFTLPLCAIRRVERLNSHGHIFSLSITTWNG ALSKGAPAKDTPTPVQKFTIHLDGSRQACERFCDGLKRGLRDGMKDVEGLRQVVAGCH SEYMLSGSRTVKKEGVEVETTREPPDTGLGLVFRYPGDARKLRDRSKMRLWGEYMREN GRNATMIRQPTFHKLIRVGLPNRLRGELWEICSGSFYSRLRAPNLYEETLAKFSGRES LAIDEIEKDLNRSLPEYPGFQSEEGINRLRRVLTAYSWINEEIGYCQAMNIVVAALLI YTSEAQAFFLLSVLCDRLLPGYYSTTMYGTLLDQKVFESLVEKTMPILWDHLVKSDVQ LSVVSLPWFLSLYINSMPLVFAFRVLDVFFLEGPKVLFQVGLAILRINGEELLDVTDD GTFISILKSYFSRLDESAHPRSDNPKLRAITRFQELMVVAFKEFSGITHSTIVEERAQ HKDAVLSNIENFAKRTSIRNLGPESKKLSLDDLGATYDRFYDVLYDRQQKIKAQEEEE RRRNKAGSKNPARYSAILPKVEAQVSRVGLGPSPSLMDYDGFRQFLAATAKWATSDSP PRKDSASDRNASIRSRNKFSDRSKYPAPADHDFLKRLFRKWDVDGNGGLSLQNVVNGL AQIKGGRDIMNTINYFFDLYDDDGTGQVDREGILRMSEALLFLSRRGFEGLINIRTNG ESDRLSTSTQEGDLRGRPKLSADEKFLSSVSDFIQHCFEYADPSHPQNQEHTEMESKL GAFSIGDDEDDDDDDESHPTPESDSTQKEKSLEPTSEEAPTTPLSPTTKEASEAANAA LDPAHPLHITLPTFRMVILADELLEQFFESYFPESFHLSDRPSHETSAIQRSTSLSSN LTTFTNLGYNRSPGTATAPSGATLAGASGGIVPPGHKGLRGVLDNIVSDGMRMAAEVR KKMEEAQREMERNALGGRADEEDDDDDDDAAYYERRNADTSKLRAPSIREGDRDLLEG AEVASIREREGPETEGSAANPSKAMLSPSPGSLVRRFSDCSVDKVEFES TRV_05034 MLAKLLATSLLALQGAAAFLIVPEIDSPHATKQQAPDAHKLTIS ANCPKCPFPGRLDATEVSTVDSYMLFDLSTEAGRLYINKKEVYPNPDTSVDLQTSLIR KSDEKSSRNIPTGYVFEVLRTPDSPNDPNGPELLSFYFTPVQLNGLPAAADTLYIPVI KTSNGNLVIVNSRIEATPATHISSKQCGRNAECWKRLLLARLTATIRAAKIRAIQFAA KLKSAFKGCHGKNKASAIQGQDNDNEPSGHGPHRHQHPTFSRAFAHALRFVIIPAIIG LCLSLVVCSIGSLVGHCLVALWRYTRRQRTSPRHNIDSTQEDGEAHEKEGLIAHEDDH EEDKLHSQIRLPADKA TRV_05035 MAPVQQKDAKMSAGQKQQLSNGKRPDIIGDANVAVPRLTPEQNA QGQSDLYKSKWATPVDEYQTAWEKILAGKGGPSASQITQAAVPAATSGAKQQPPTSTD KPTAGKQESKGKQRATTQQPSTSPQKIDRADDGHNKPSSQKGSSGKTLSANPPKPAST DKKNMAVGEGPSDSRRPPPVTSQQSQPATSLPPASENDNDNDAGSDAAKKRRRRGRRG KGGNKDKDNEAAASAIAPQTSGQSTSQPSPAKGNGPKESAAGGADKKTSKPSTTTASA PPKTPAAQSSSAGAARKAADSKLAQPALALPPAQPKQLQQPQQKQQQSQQQKLQQQGG VRGNGQQAPQHPGAAKGSLATPPPPPPSTTAATNAASKPKSAPANVPRANETTGNDRK VAGTPATQQRPAVQAPRFGPSAPAGGAATTGVAARSMAVQRPATGPVGFAVTAQKAPV QLGWSPNMMGDSRARRSGVMPRLAPGWEGYQDRINVSGAIQDIYLYAGDRLDVEKFNA YVASPCHSVEAKVNCLKDIVVTMDRVVSEKHASAMSIKAERDAFRDDYDRAKAQADEY AERLAAEQLQREQLEEQIVSLRHSVAGLRKEVVTKESHLDSAEVEILRLKDDLAANHS LLDKAVSKQAAQAAETASHQEQVASLHGEVEKLSGELSGLRAKFTLADSERKALAEEI AKSPLQSENEHLRSQLSEVRDQLDSANQIRNDLEAERAAHICCQPVESGNPTSATLSM ELEGWGVGQDSRSQTDEEDGRQTRSRQPSPPASSASLYGGGNTVSTSTQTDEVEVIET STQTEEAVVSQLEASTQTVCTEVVTASTQTVSVEVSTSEASAQTALTGDVLSPAEVEV RVAGVCQKARTKDAQVQTAMVVEVPRGRVASLRFLLALLLLAWAMIMLWSRYSEISAW EAANDTSRATVAGIRSQQAVEEVVHQQFPPISKGLGVFDGIQISCFLPFFSFSQRIFT VGRLSLLRDRVDAHDTIVVTSSSALSFLDLLSNCTSCPAAPLADA TRV_05036 MKGFERQAANVVFGEVGFVSNGGEIPVRLEKKNVDVQVDFFGMV TVLADSRRELAVIKPENEDGEVVEDGDSTNELAGNERIKRRGHRFKRDAGSGEMAETV TEGVTKLSANTVDGG TRV_05037 MLVWVEFYRLDAFAVCSLRARNPPSRRKQAEARMVLASTTPAEQ LPTFLCGLITACRRSIPQANEQIDNKVVFCTGGAGTICSAQVRALVHLGANACIVGRN VEKTEGMAKDIATARKGSKVLGIGSVDVRSFESLKKAVDRCVEELGAIDFVIAGAAGN FLASINQLSVNAFKAVMDIDVLGSFNTLKATIPHLVESAVKHRSDGTTPSPTGTGGRI IFVSATIHYTGMPMQTHVSVAKAGVDALSNSVAIEFGPVGVTSNVISPGPIGETEGMK RLATEDAKKSNEAVIPLGRYGSVKEIADATIYIFSDSGNYVNASTVVVDGGAWRLQAA GISGSGFSYPDFLLSGMEVTGVSGQKQKAKI TRV_05038 MSSITLPALQGLPTASVASQALTDIQFRTRRAALTLSHITVFTL ITAFSLSSPRRRHPYLLWTSAFALLGGLGLELYTNHPSFIQGTESPRTSTCSSYLSSL CSVSTSGEPRSSCCCAGSILNRFFGYAPKPASPEKKAEDEESLATSSEIELVEPPSEA ESMGTSRSTEKPTPASSVADVNGESVELAMKKERNLHMLRTYLLGIGFSMGVIGIWGE RTK TRV_05039 MDYGSLKVTDLKAELKKRGIPQTGLRVKQNFIDRLIQDDNERAG TSSETPAEPVEPAPAPAQQPTNAAYQEPPPEPPQAPVPQAAQLPSKPVEEPPAQQILA TEVQQTVVPEPAPAPVPAQKVTSISQLIEPVSAPPVAQAPVPTPKPAVAETVPAPVTE LAVEDVTPAQPAPAPEPEPVKEPAQEPVQEPVQEPVKDESVNKTPSTDVEAIKEDTDE SRKRRRRSQTPPPSPRSIALKKARLEEERQQSRSTQEEIKAEKRKEEQEAPAAALAIA EPPARDTDVEMKEGTPPAPEPKQETEEDESKDRVETQAPGHEEPSDRNEKPHAQRKPV GDARFKDLFPATNGAPLRPESPPPAEGDDRMVSPALHPATTALYIRDFMRPLQPAALK RHLCSLAVPPNSSPDPDVIVDFFLDSIKTHCFASFTSIAAASRVRAALHDAIWPEERT RKPLWADFIPEEKVKEWIEIEEAPGNSGRGGPRWEVTYDETEDGIKARLQEASSITSG PPRPYDSGQGREPPTGPRADRMGPGGRQGSQQIGPSGSKMPEHGFKALDDRFLSTVAK PKLYYLPVPREVSDRRLDQFDDLARAGPVSKRGGDEMFSSSFKVQSTALGAAVEEGEV GVAGEEIGEAAGETAPGEVDDEIKHLSVFSDISLLSLLSSMPCITTGFLLSPFSRILR PDGAGFTLVEINLPPTTNLPSYHFPYFLLLFPYSSLPAIFDWL TRV_05040 MAEASNTLISSKSSHSSLHALLHPLVLLNISDHITRHAVRQQKG LIVGVILGQQNGRSITLEHVFDCNLVTSPSGEVLLHQEFFNERLQQFKDVHKSPALDL VGWFTVTPPSGPLQSQVSIHEQILRDYNEAAILLAFHSSDVENTSSTVGKLPISIYES VYEEDTADDGDRPMQGDDQQRTLALKFRPVPYSIETGEAEMISVDSIATGGGNATAIL DKEPSKTKTETQTQDKEKRQKLSEPTEDLVLSPEDEDLIANLTTRLSAVKTLQSRIHL IKCYLQSIAEDLSADTSMTQQSTPKPTLSHSLLRSIYCVISHLALLSPENSNAFSIES LAQANDVLLTALLGSMGQNISQMRELGKKFAVSELTARNIVKESQLSSRMGGDLFHT TRV_05041 MSRPLSFDQVTNNLEQILADPSTPLDVHLIDKLGAEVVAQTDHG LSKKLIILISRVLPVLQEDPSPVATLATKVAQYLSFSELQSIDPRIDFVAGIRAGSPA INTLVLCLIVKASESPNHVAEVAQNADLVRSIVELWLSTDDSGVSQAAFDVLWSLLEA DHLVSNNANGGPKNESLQNGPMWQRIFADKSIYRLLFSICSLRTVGQDGQISARQKTV AQGRLMEFVVKVGSVNWNAISSSHFADVESTFQSESLLSFAALQMVDTSDLLLHMTLL QFFRQLLEINAPGLHYSPSKASSSIPSFSSPSLEFLITNALHRKVINYYLDPSTLDPS TASFLAAPVMAYVSAYAALYPNHLLQEPQAQLDRLCSRILEGFKIPSAQWAHGPVPVG DLDILASLPRVMLVESGKRGLNPLLAIPSKPLHIETLMCLGKIFHGPPPSGDAMDIDQ AISKGPNPTSSRAEAAASRILYFQYLNSHPGFWSNIVEAAEIIAMQETAIASINLIKS VVTANWTVLSSAEDARTLTSGRFTLPTEAVVSQLGPSSQGNLPVSGAWALLVPPALTV VLPYLFKQPPSYANFVAGGAGDTESAVWRIATAKYDALVALQSAVQKMESSIGSLDDI KRTLKRRVAEGPMGAPNQIGSRIEALEL TRV_05042 MNFFPKELWSTLDPKYVGLPGTFANGGGFSKATQKRGFEEDEDE DEEDEGRRKKRNQGDEDDEGSDAGGRRRTGVREGEDALDDDDEDAEDEIVDDDFEEDE EEMGGDYNAEQYFDGGDELGDGFDEGGGDDNDVY TRV_05043 MAQHSENEKEPINIGYDAWQGLGDAVVPGEDGVTPRYAMEYFID SICWGRVIEKCYYLRQGVYCFLSEVHTSGETSHIRKITFEDGVQWVIRLRLPNPTTGE EDIGVRTCYESLKYLRDETTVPVPEAYYCDASLSNAIGAPYILMDYIHGTTAEKLRKN LGYRENEFGSVDENINFKRGLAMIQVELASKKFNRIGRPRPAPQGGYYVASTPTEAAH DTSGAFYRRLTSNLLREARMSGRTVAQDLTLSIPALFEQLIARWSTHRGPFGVALTSL GAHNVLVDENFRVQAVIHPEGLMAVPREIQAQMPLSMGLQTSPPRSRAESVAQMTTLM EEMERAKSYVSYLKEADRIKYAGVRRDADDAPLYTAVLGPAALIYQGLVEFKNFRENV DRRWLTARDLFVPGQMYFLSSSIIFKDTVDEI TRV_05025 GTRLEGTFLPWSLDLRKHLLDKFPLKEGQHPIPDDVRLPPKWIL DHYDLKDEDGETRPKQPSRAKEANSPDHDTRPLPGSISATLTDNTRMTPSDHWQDVRH LVLTASESIKYAPGDILHITPRNFPQDVDRLISLMGWEEQADIPLQFVTGDGSLASAS VSAPSIPFLLGSPGFTLRTLLTDYLDIMAIPRRSFFSQFAHFTSDEMHKERLLEFTNP EYIDEFYDYTTRPRRSILEVLAEFDTVKIPWPSVCSVLPVLRGRQFSLASGGKLKTSA RGGTRFELLVAIVKYQTVIKRIRQGVCTRYLASLQPGSTLKVQVQKGGLHSSAKQLSD PSVLIGPGTGVAPIRSLLWEKAAMAEEYKKNQNRGPDEQPLTLGPVILLYGGRNKAAD YFFEGEWEELKTQLNLRVITAFSRDQKKKYYVQDALRENSSMFYELLHEKGGSVFVCG SSGRMPQAVREALIEAFQAPLGDSAESRQMAEKYLIDMEKVGRYKQETW TRV_05026 MHVEVPEAKEGFYSGLEPLTVAKMLKGVVEKEKINLVFLGKQAI DGDHGQTGQMLAGLLGWSQATQASKVTVKDGEGTVEVTREVDGGVETLESKLPMIITT DLRLNTPKFATLPNLMKAKKKPIEKATLADFGLDESRRLNTVKVTEPPTRQGGSKVED VDGLISKLKDLGAL TRV_05028 MSQTSTSTSTWERTKGYSKRGFDKAWHQLDRIGRPVNRLSNRLG AEAFWPTTLDQESEKAARILRSFCRDGFYGQLDSDRDGGSHTSSPQMKPAEARASPQR SRFSWRFSLQPLQSPKSPQQQQHLQQQQQQQQQPQQQLQPPPLTLPPQQGEQGPRGTQ QRAIKKIPASVIRQAKGLAIFTTMRTGLWVSGAGGSGVLVARLAETGEWSPPSGIMLH TASLGFLVGVDIYDCVVVINTYEALDAFKTLRCTLGGSLSAVAGPVGVGGVLDSEVHK RQAPIWSYLKSRGLYAGVQVDGTIVIERTDENERFYGQKISASEILSGKAKHPPPSIS TLIQTLKAAQGDQDIDEALLPPPGEAPGDAEISLAGTFGVPEEDDPDPYGVRALEREG VIIREAGTKRTPTLDVFDFRPSPTSPISPRWSNRSSWRSSTQSAMSTDKGTQTNLDEL DRGRRSPSTASHTSSRSHSIKDVSSSIHEHTSDTEHSSTGEPDPASIPIPASSATSRA HSISSKVPEAIPESSLPESLPESLPETEFSVAVAEQAIPQRINSKSSPFARAKLVTIP KRNPPALPARNPGRTLSTVATLSEARDSGLLALSLESDTDLPQPLAREVSHESDVSEG ATKRRGSNLSLHSHTSHTSHTSRRTSTSPKSTSPLSKSVFLASESDAFPETDLTASKH SSSSNAELDAIEEPAEEPKEEPKEEPKEEPKEEPKDEHKEEPEKVSEKTVEEHSLAPG AFPSDDHI TRV_05029 MSKPLAGQVVVVSGSFRAYNHETIKYIVEQLGGTFSATVTDACT HLVTSKAAHPADQQAIALGNDIKIVSLDWLTDSRDKQTHMYESPYSLALPAPGKPSVD GTGPRRSGRTTQSQSQSQSQSQSQSQSQVQSQPPAQSQPAKTNGKAKKRAKNKKDEDD EDDAADQPPAKKQKADPADTKTQTKAKAAKDAKDTKDTKDTKDSKPTKATKDTKAAKN DESVLNVPVDDPVPNKSSYKVWQDKGVVFDAALNKTDSGANNNKFYFIQLLEGPNSGD FSTWTRWGRVGEKGQISHLKASSFDQAMNTFEKKFKDKSGLAWAKRNDAPKNNKYTYL ERSYEDESAKKPKKDKPKDNKPKKEIKKAECTLVKPVQDLVALIFNQTHLNAAMEDMS YDAKKLPLGNLSQKTLLQGFEILKQLDALVHDPVKAAQSPADINRLSNQYFSLIPHAF GRNRPPVLRSAPQIKKEVEMLEALTDMEISSKIMSASEEDDDNPVHILDRQFLGLGLN EMTPLDHASTEFTELAEYLTRSHGATHSIKYDVSTDSNNATGKTTELTVCDQLRNIFR VERHGENERYEKSPYANIPNSCRRLLWHGSRTTNYGGILSQGLRIAPPEAPVTGYMFG KGVYFADVSSKSANYCYHDLSDNIGLLMLCDVEVGNSMLELTSSDYRAGDLVKKANKL ATLGRGQAIPSGWKDAGCVHKDLAGVLMPDCSNPLASDSSNGVWLQYNEYIVYDVAQI RIKYLLEVGMKY TRV_05030 MEADVGFCFPIRELTSALVKLKLFDPVRHSKTFIEQTADKPEIF AHMPIGPFADVEEFQTKYFYPQVCRSNTRVAFAIIDKTKPGPSEDGGALAGIVTYSYA SVDNQLLEIAFMVVFPEFQRTHVTTHTIGLMLQYALDPPDGSDPTKGGLGLRKVLWQT ATTNAASRATARKMGFEQEGILRWDRVFHDAVQRKKQGNGKEMPAHADKKAVGRDTVY CGLCWDEWEDGKRRMVQQLMDGFLHK TRV_05031 MRLAASIAVALPVIGAASAQGFPPPVTGVTVVKSKYDENVKITY KENDICETTEGVRSFTGHVHLPPDNDYFGVYQNYSINTFFWFFEAREDPKNAPLSIWL NGGPGSSSMIGLFQENGPCWINDDSKSTTNNSFSWNNRVNMLYIDQPNQVGFSYDELT NITYSTINDTISVADFSSGVPAQNLSTLVGTGSSQKPWATANNTVNAARSIWHFAQVW FQEFPEHKPNNNKISIWTESYGGRYGPSFASYFQEQNEKIKNHTITKEGEMHILNLDT LGVINGCIDLMFQAESYAEFPYNNTYGITAYTKEKRDAIIRDIHRPDGCFDKLAKCRE AAKEGDPHFYSNNATVNAICAEANSDCDKYLMEPFQEANLGYYDIAHPLQDPFPPPFF KGFLSQSSVLSDMGSPVNFSHYSQAVGKSFHGVGDYARPDVRGFTGDIAYLLESGVKV ALVYGDRDYICNWLGGEQVSLGLNYTGTEAFRKAGYADVKVNSSYVGGLVRQHGNFSF TRVFEAGHEVPGYQPETSLKIFERIMFNKDIATGELDIAQKQDYGTTGTESTFQVKNE IPPSPEPTCYLLSADGTCTPEQLNAIENGTAVVENYIIKSPAASKGNPPPTTTSSPTA SPTAGSAMLKAPVAMLAISALTVLAFYL TRV_05010 MLSHVFLFFWQPWSTGLLSNPWSPFSVFLRFLSRLFGISEGRPE KYNGDIEQCKVSNQCSSCRAELPSNEFVFLQFQTPTVVHPTAPNYSKDTTTLIIPGLW MRELNPDLPDDYFKPPANTRADNIYVSKGVLLDIGGTAYLERPPSGEVIKTPLPDVDP RCFRENCRNMRIEARVYKILGNHPRVPKLLSWDPETCCIRMEYVAHGSLSDYMDKNEE NLSPDIRLRWAKQAAEGLTLLHSHDILHCDISARNFLLDENLNLKISDFGGVSISGAE PTATAGTRFRWPVMDWDIPPTVEDDIFSLGSLIYFIMSGVYPYKDTASDEVERLYMNR EFPDVSDIVCGDIICRCWYRKTTAGEVFNALEHISHQHIARVISGL TRV_05011 MSYAKAAAQNAKQTAEEVKREENNTSNLVDVDSPHVSTVPSDFN AQEIKTKTQEARVELEKEQEELKKKYREGKQKAKETAKDVSERAQEAAAGGYDKFDRN KSNPVVIGNMVLLTAASAGLAYGAYQKHLRGALTWELVAAWTGGIGALGLFDYYVSRY VEIYRMIKAMGVY TRV_05012 MSFINVVRSDASTGNISNAYNWPSLCRFPQRIRFPAKLNKIISV SEQTQSRRREISRCPRSSHLQLLLSSIDLAPLGQLVCSLLSHPLIADSIARFIPSRQI STMASAAVLSRRLLLSKTAQHGLRESRNVLRLSDALSDIAYPPHTIISMPALSPTMTS GNIGAWNKKAGDTLSPGDVLVEIETDKAQMDFEFQDEGVLAKILKDAGEKDVAVGNPI AVMVEEGEDISPFESFSLEDAGGDKAPAADKSPKEAPKPEEAETKAAPTPTFEENKPE AREADTTGEKLQPSLDREPFVSPAAKALALEKGVAIKDVKGTGPGGRVTKEDVEKHQA SAPAAGAAGPAYEDVPASSMRKVIASRLAQSIRENPHYFVSSTLSVTRLLKLRQALNE SSEGRYKLSVNDFLIKACAVALKRVPTVNSRWIEQNGQVMIREHKTVDISVAVATPSG LITPIVKGVEGLGLSNISSQVKDLGKRAKENKLKPEEYNGGTFTISNMGMNPAIERFT AVINPPQSAILAVGTTRKVAVRSELEEGTEIEWDDQIVVTGSFDHKVIDGAIGAEFMR ELKRVVENPLELLL TRV_05013 MADSEERPPEAVQQPEAHAEPDTTTADETAVAEEPQPPQEDTVE DEAEQPEGEQQPGTEDGGDEDDDATETDAREGAGAEGGGDNATLRGSEQTPSVVIEQS RSRSDSRSTVATQSGQQRSTPLSSAVFVITALDTIAASKEARKSKELEDAVKQAQANI KQSDHHPIDPESIFLPLQLASKTDSIPLQVTALDCIGKLITYSYFAFPSVPDGSESAD QPPLIERAIETICDCFENEHTAIEIQQQIIKSLLAAVLNDKIVVHGAGLLKAVRQIYN IFIYSKSAQNQQIAQGSLTQMIGTVYDRVRMRLDLKEVRIQETETRENRNGSTVDSPP NAAEDGAVTDVEGQSDIGSSNVVDQPVAKEPTEKLTLQSFETNKDDTMVNDSAPTMVT RAKVVRKTSKSGEELDPAADEEDEIYIKDAFLVFRALCKLSHKVLTHEQQQDLKAQNM RSKLLSLHLMQHLLNNHIAVFTSPIATIRHGSNSDESMTLLQAIKPHLCLSLSRNGAS SVPRVFNVCCEIFWLMLKHMRVMLKLQKELEVFFKEIYLAILEKRSSPIFQKQSFMHI LERLSGDPRALVEIYLNYDCDRTALENLFQGIIEQLSRMSSMPVSVTASQQQQYEQQH SKAPSTPNDWHNRGTLPPSLTTAKIDQTPPPTNNQHYPPEYAMKQNALECLVEILRSL DIWSSQDSEPKSLGRGLMSRSSVDVSRDSMDTSQGGPIIPSPRVDNADSDTGASSPVP EDDPNEIEKVKQRKIALTNAIRTFNFKPKRGMKILLSEGFIPSNSPTDIAHFIFRNDR LDKATLGEYLGEGDAENIAVMHAFVDCMDFTKRRFPDALRDFLQSFRLPGESQKIDRF MLKFAQRYVTQNPNAFASADAAYVLAYSVILLNTDLHSTKMKGRRMTKDDFIKNNKGI NDNADLPVEYLSGIYDEILNNEIVLRTERETAANLGHLPAPQPGLASRAGQALATVGR DIQGEKYAQASEEISSKTEQLYRSLIRAQRKSAMKEALSRFIPATSVRHVGSMFNVTW MSFLSGLSAQVQDTQNRETIRLCMDGIRLAIRISCMFDLETPRVAFVTALAKFTNLGN LREMAAKNVEALKVLLDVAITEGDHLQSSWREILTCISQLDRFQLLTDGVDEGSLPDV SRASPSTDSRSQKSLQVPKKPRPRSGNGLASFRRDVAIESRSAEMVRGVDMIFTNTAN LKQEALVDFVRALNAVSWQEIQSSGQSESPRTYSLQKLVEISYYNMTRVRIEWSKIWE VLGEHFNHVGCNANTAVVFFALDSLRQLSMRFMEIEELPGFKFQKDFLKPFEHVMANS TAVNVKDMVLRCLIQMIQARGDNIRSGWKTMFRVFSVAASEPYEGIVNMAFEHVTQIY KTRFGVVVSQGAFADLVVCLTEFSKNLKFQKKSLQAIETLKSTIPKMLKTPECPLSHR RTNSGSSQGEVVALAAGQSPEEQFWYPLLIAFQDVLMTGDDLEVRSRALTYLFETLIR YGGDFPPAFWDVLWRQLLYPIFVVLQSKSEMSKVPNHEELSVWLSTTMIQALRNMITL FTHYFDSLEYMLDRFLGLLTLCICQENDTIARIGSNCLQQLILQNVIKFTPEHWEKIV GAFVELFERTTAYELFTAATTAPGMAPERRNIEEATSQADPGSPSAKPDRGQESTRPS EDGDETHQAQLPAASSELEDYRPQPDLQQPPTVVTAARRRFFNRIIINCVLQILMIET VNELFSNDAVYSQIPSKELLRLMALLKKSYQFAKKFNGAKDLRLKLWKQGFMKQPPNL LNQESGSAATYINILFRMYHDEREERKNSRLETEDALIPRYVQLDEESQQRNITAWRP VVVDVVEGYTGFPLETFEKYIETFYPITVDLLSRDLNVEIRLAIQALLRRVGECRLGI TPQTPITSPTSPRSSTSHSHFNGRRQSVGR TRV_05014 MSSEFPAIQPGGSLIVAWQVRDKRVLVVGGGEVAAGRILHALNA DAKVVVVCPKACLNAEVAFRVAEQQVGHVDRKFQPEDLVGIDMVFVAVDDPEASTQIW KLCREQRIPANIADVPSECDFYFGSVHRDGPLQVMVSTNGNGPKLASIIRKKIADSLP DNAGAAILNVGVLRKKLRAAAPAPNQGPKRMNWISRICDTWSLDELVSMTEEDMDNLL RHYEAGKVPTFEQVRLKQGDIIAPFDGSMGWSS TRV_05015 MRGVLVFSGTSHPTLTEAICDRLGTLPAPCELRKFSNGETSVNI GASVRNQDVYIVQSGSRKINDSVMELLIMISACKGGSAKSITANYAAFQRYFPYSRQS KKKSHRGAITARMLANLLSVAGVDHVVTVDLHASQMQGFFGKPVDNLFAEPLIARWIR MNVSRWNEAVVVTKNAGGSKRVTSLADALKLNFGIVTTDRRRQRQAKAGTMTDSAIFF DSVEQGFTTSHDGEQKFDRIPSTTIRRSVVSATTESGILTPRNSTSDSSANPAQESES YRTPHPDSMLAPSTLADGFSSEYTDERAREVITGRLVQGHLVDDDYPSPETASTSASA SNHGADAYEGSIPDPMSMSAVSNVSGYQPGHALGGSFDAAASSDEEDVCPQRSGQERM ITLVGDVKDKTVFIVDDMIDRASSWIAAAETVVKKGGAKKVYCIATHGIFGDDSMEQM ENCPSIDYVVVTNSFPLPIEKVRLMKKLVVLDLSALLSESIRRHHNGERFASFG TRV_05016 MGAKQKPGLSTVLKQQKKKKTRDKDQTARDSPLSLSYQSFPLSS GRQKRADGVPGRASGESSALLLPDRKEKKRDEKREEEEKKKKEKNKVTALTMFPGVYK YTD TRV_05017 MNAKQEKPQEVDIHASVDITEIKMAALDLSLPTVLLIIDNHAAF DKEAYWGKRSNPQYKENVTALINAFRDAHARNPHIEVIHIGHSSTNVKSVLHPYHPDG GPAFYPFTEPIPDELVFWKNVNSALVGTDLEKTLRAKGVRQLLLLGLTAEHCVSTTTR MAANLGVTNAPDGSTDGRVILVEDATASFPCGRFDADTVHGVSVATLREFAEVVQTAD VLRDLQPLLS TRV_05018 MCNPPFYESHEEMKQLAEEKQNEPLSVCTGAETEMITPGGEVAF VKKMIQESLHLREAVRWYTSMLGKRSSLLSLIDELQSLDNKNWAVTEFIQGDKTKRWA IAWSWKDIRPTMGVSRSVSNIPKKYLPFPSEFHFSLPCKSVDSLIEVIETEINSFRIP WKWDNQRSSGLGFTMENAWSRQARRKRQQQEAAQDGSSQADIPFDTENAVFGFIIQVR RPGLKNADVTVRWVKGVDSVIFESFCGMLKRKVEGR TRV_05019 MATTIAWKSHYRALLREASYLPDPVAKRYMAEYITSRYRKFTSA EQQKSMNAAKIARLHTRIKKNMKLLQHANQGYTKSLERVLLMSYGRIGRRKRILLRPF LQSGSGSKTAFSQHWRPSDLLLALLQSQSENSNIGAQKVKRPVRTTDLEPRIPELNAL ARPLADIRKIHIRQKWYAHVLDKTFPPLPQHDWETLQRLVEGSEPWTPPVRRKGPSQI TSVDSTSASEQRYLSTDFLIKGATKDPTFAQYVRGRPHQITRRLMRHMWERICALTPL IQWDSSKNKWWFSWGVRQPPAPIYRQVDPVKGLALFEGVHQKTGRVIISRRQSSKPGE GDKNQKADSENPLEAFQSTTSVPRMLASANN TRV_05020 MEVNGVAMMRRRSDSWLNATQILKVAGVAKARRTKTLEKEVAAG EHEKVQGGYGKYQGTWVSYERGLELCRRYQVEDLLRPLLEYDMGQDGVSAAGQGQLDT PTKEQALAVQRKRFAQGLDAHSGPQSGGTFFQSISRTAATAVNAISKARFDTSIRGPD GRQPSMTRKSSQMSSQDAQYQSASQQSIQTVVSDSGFGSNLQNSQRSFAEYTHDENLE PPRKRMRSSSTAQQMSFANNTQPTSSMAEPTPTEPNDSFYPEESTNNRIPDDPRHGIP ALPAATTPERFQKMKLIMTLFLDKRMKDFEHHPAFLTLTAEDLETPLDEFLNSALHWA AMLARLPLVNALIAKGVNIFRLNAAGETALQKAVGTRNNLDYRSFSKLLNLLGSTIEI IDLHGRTILHHISMMAATGGGGHVAAKHYLESLLEYIVRHGGTTNGASGFSESPKFEI IGLGRFMSEMVNIQDDQGDTALNLAGRARTVLVPQLLEVGADPDIPNNTGLRPADYGV GVDMVNGNSQGLQIGSGGDAHMSQLARTKKEILESIEASISSFDKELASSFSEKQQEF NEWHAKIRESAKARQIEQNRLDEMKKKTRERIELQRQIKNLTVSSEALVEQLRQSKNG DRASETLAGKDRVKFDKRKIDELFPDGARMRANTVGQGRFIFSLPRLEQLKTRLKCCK EQTASILAEVEKLKTKNVVLGENYRRMVMACTGWSAEDVDNAADGLTDCIKDLNKNPV PQDQAIEILMKDRGQDW TRV_05021 MSGYYGGPPQGGGYDNYGQQQGQYYQGQGQYQQPPQQQSYGGQH QGPPQQGGYYDQGFPQQQQQYGYNAHQQYDNGGQHQYGGGYPPAGQQQHQGESNAYYG GGPPQHGGMQGAPAYPQGPGGPAGPGGPEGERGLGSTLVGAAGGGFMGHKLGGGALGT IGGAVIGAVGANVAGHAIKDHKKKHKGDKKHKKDKKHKKEKKRGHGSSSSSSSSDSSD SD TRV_05022 MSKTTEQSVRFDTASTPVEVTVHPVPAKINEKTFDDDVERALRS SAPRVRPGPIPSGNSSLTMITGWADDIEGSSTSGGGIVQHFMRDINKVGTEILLITCA FISGVIDSAAFNAWGSFANMQTGNNHADWLNLGNVVFLALGVSGQPPTPPNRWAKSLI AIGSFAIGVLFFRYASTILRPLRRSTLVASFALQTTAILVSSILVETGVVDSSIEVST RGVHWIQVLPISILAFQAAGQIVTSRLLGIDEIPTVVLTTLLCDLLMDKKLFSLRPRW KLTDFRTRRLLTLLAAFSGAMLSGGLSKVSGLSASLWLAAGIKAAVTLCFILWRGEKD ENFGDN TRV_05023 MAPSLPATYDTLFSPRAENAPRAAYDSLHPTEQDCIAILSHPFA QSITCHDAPGEQAKSVADDTSFAKLVSDRISALVNDPASRSRALYTAYAALYAFLQSN VTGPPLNGGAGINILPKLGSASALREGMIRELSVDGEAVYKRIPVVELFVLAKSIFNH SAIVPEQIEHGDDILGLTGRMRVNFMHQKMLGENADGLWADISADIERVARIVLDGPA GNADVSVGEKVRFMLERAVMYTHHGLDGKAREDLLRAAGVNGFEFALTGKLGRRTKFQ DRDISQLVVLARSKDTDTRDSSETEKAKGGEAVEPKNLELNDDTLLESIAFKQDTTAS VDVRDSSNLPASLASLDAANQPRLNPLDSIILLSLASAITNTSPEDGLTREETIPYAV RVLEGGSSNWQVYSQALLVRSRIEGYRTRTVERSVLQLQALVDQVIADTASDTPTPAL SGETAEPSTQQPTTFLPRPEASESAPAAERLQYIWLLNFSTRWNLESELAQRWVGLGA LRTALDIYERLQMWAEAALCYAATDREETAKLMVRKQLYQRTNPDSTGDDDTWQGAEL STLPPDAPRLFCILGDIDRDAAMYERAWTVSNKRYARAQRSLARLYMQEKPPNLVKAE KAYKLSLAVTRLNHASWFAIGCIQLELEKWEDAIDSFTRTVQLEETDAEAWSNLAVAL LNAPPRTSTASALRDIPRPKPLDDEEEDEQESHEELDIYKQKRDALAALHRAARFKHT DHRIWDNILTVGASIPPPSTPFRDVLLAQTRLIELRGEKDGEKCLDIPVLTALVKTLT TPREGSSISIAYDPAAIRPGSIHAKLLRLFDENIIPLITHSPELWLLVADLEKWRGRP SQALAAHEKAWRATTASCAQGAYQMGEEDKWNDIVKATETLVRKGYAVYGGMDKETED GSKADEPELVAKDWRFKSRSAVRGILGKGKAFWDGTEGWSRLDTLLKEVSGN TRV_05024 IHISVYPVYRLCSRLSCRDLVITTSSPTPTSQPPPPPPPPPASA SASASTMPAVAVADSTPAQSAKEAAKSVAVLDELLKSLSLSKSADEAKAAAANIASLL NGPTEEHVVPARAVESLKKQLGNKKDTQAREKALDAILAIAQHSSVSPAMEPYILALL GPTLAAVSDKMNSVKELAQQAALAIVKSINANAVKAALPAIVKSILTAQKWAEKMTGL ACIEALVESAPTQLATRVPDLIPVVSESMWDTKPEVKKAAYATMEKVCALISNKDIER FIPELIKCIAKPENVPETVHLLGATTFVTDVHEPTLAIMVPLLDRGLAERETAIKRKS AVIVDNMCKLVEDPQIVAAFLPKLMPALNKNYDTLADPEAREKTKQGLDTLIRVGAVV DGKIPEVSKAGDIETVAAILRELLEPKYKAQIEPAAATIEYIAAIAGQLIDEKVVDVA DWTENILAYLTVIVGEEEARSMADNLRKRASPAAAAEAEVEPDEEEGEDLCNCTFNLA YGAKILLNQTHLRLKRGQRYGLLGPNGSGKSTLMRAINNEQVEGFPKKDEVKTVFVEH DLDAADTEQTVIGWTEKKLRDVGITTELSAIQEQLVEFGFVREQLESPITSLSGGWKM KLALARAVFEKPDILLLDEPTNHLDVKNVAWLEQYLVNSPCTSIIVSHDSKFLNNVIQ HVILYDRFKLRRYRGDLTALVKRVPSARSYFELGASEMEFKFPEPGFLEGVKTKAKAI VRVNKMSFQYPGTSKPQISDITFQCSLGSRIAVIGPNGAGKSTLVNVLTGELIPTTGE VYQHENIRIAYIKQHAFAHIDNHLDKTPSEYIQWRFATGEDRETMDRANKIVTDEDEK AMDKIYRIDGTQRRVIGIHSRRKFKNSYEYECSFTLGENVGMKNEKWTPMMTADNAWI PRNEILASHAKMVAEVDQKEALASGQFRPLVRKEIETHCSFFGLDAELVSHSRMRGLS GGQRVKVVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKALKSFEGGVIIITHSKEFT ENLTNEVWAVVDGKMTPSGHNWVQGQGSGPRLTDKGDDEEEKFDAMGNKIAATKKAKK LTSSELRKKKKDRMARRKRGEEVFSDEDDE TRV_04963 DYDCFYASVFEAENPALKTLPLAVQQKQIVVTCNYEARRRGLRK LQLIKDAKRICPEVVVVLGEDLTKFRDVSKGLYSFLKSLIWGDQAERLGFDEVFLDVT SMIEYNLQWLAPNVKNSFFLLDKDDFTVGFEYDPTVYCGPTYPPLDDKLQPSRGSSPS DLGQRLILASHLANYIRRQLEEKHGYTSTVGISTSKLLSKLAGNVHKPRNQTTLIPPF LDDADQSDNYITQFMDGHEIGKVPGIGFKIASRIRAVVLGRENDLELYRELREDDKVT VGAVRTFPGMGPLMLDKILSGGGWPKDIGSKIWELINGVDLSEVAAARMVPTQISIED SFRQLDTLDAVKKEMLPLASSLIRRMHTDLTDHDEEDNAKDHVSALPERKRRWLAHPR TLRLSTRSRVPPSSTNQAQSLYNNRISRSCPVPQFIFSFHEDVNAIAERLVHESLVPT FKKLHPEKSGWRISLMNVAVTNMVDSAGSTKNSAGRDIEKMFKSQNQVLREWRIHDTD VDTACHEGAGMQDGQELEEPAADEIWEDDGDSVMDTGTSCSECHVCGASIPNFALQAH ETYHSITD TRV_04964 MRNMTRRRIRRRPSFSRPSAPEPAAAKLSRRANELLVDGCLAVR LPGDDEEKEALTEQEQAPSLLRTSPTKTRARRDTRQEEADGCERTTRQAGCSMVDGVP GSDGITEAQLALFCPGPSSEMRVLLLLMWHRMASTPSSLALLLSHAQEKTTAKKQLCG LWTLNRLLSTCETDTRRRCLCWRYTDNACLHAMTCHDGGWCWLLTDAGWSSPGSWTSD QHLACVLHPGWRFSLAN TRV_04965 MHRDGEDPLFEGVFTVMHDHHQVIAKSKYVRKRHQQDPPLDNTP GEYMLLFRGSDIAQTQSTGNVERSIMSSPSCDADTLAYDSNSNFMFPPLPEENNTSIW NYFMTSIGKRQMTDTGGVVPGSRDLKETIGSTSGCPNTRKVALIGVVADCTYTNTFAS EMDARADIISVVNAASVVYEHSFNISLTLGEINILPKNCPATASSATPFNQQCDDRAG GGSFTLADRLNTFSAWRGKKTDDFAFWTLMTDCTTENQVGLAWAAQLCVKGVQGNPDS RNSSSQAVAGANVVSKTDNTWQVFAHEAGHIFGAVHDCDSMLCQNPANPDNSRCCPAT ASTCDARGRFMMNPTSGSQITNFSPCSIGQICSRMARRTILTNCLTTNRGVDTISGQQ CGNGIVEDGEDCDCGDEESCKGNTCCDPKTCKYTSGSQCDDANEECCKGCKFASSSTI CRTSSGPCDPEEKCSGNSGDCPHDIHSKDGGTCGTDLQCASGQCTSRDLQCQMHLGNQ VAGSRTVAFDSYGCEVACKDPDRPNVRYEGSLTFLDGTPCGGGGTCKNGQCSGSTFGN EVSDWVSRHKPIVIGVAVGAGCLLLLAIASCICGRSRRQRPRNRKMPPINMRPMAPAY NGWNGAPPNAQQSSPGGHPPYNNIPPPINAPPPAYPGHMPPTRYA TRV_04966 MASSSHPSFAPRSVYANAGKLSDRPLNTNNPLPFGSSVLSRRDR GDFGDTAGKSQGLQAGAPPAHSQIQGQGQLQGGGVMGGVGPNAGNNNVNNNVNNPLND LSEEQREEINEAFTLFDLDRDRHLDYHELRVAFRALGFTLSKPDLISLLTTYGVPRTP SPAQQQQQSRQQQPPPHPSSLLMPLSSFQTITARKILERDPREEILRAFELFDEGGKG YIDLEDLRRVARELGETGLEEDELRAMIEEFDLEGVGGVTREGFVGICLQ TRV_04967 MGPSCPICSKPVNASKINEHIDSGCEDFVDSPQSSQNLAGDGAS QFQASPSSSIPSFFQPASSRKAAAVQKLTERPNGAAQTQPSKASTGKRLFSQEEPGTP TPGAGSLRVPVSPAPLHDSGSDHSAKRVKSSNALQKSAPLAERMRPRTLDEMCGQELV GENGVLRGLIERDRVPSMILWGSAGTGKTTLARVIASMVGSRFVEINSTSSGVAECKK LFAEAKNELSLTGRKTIIFCDEIHRFSKSQQDVFLGPVESGQVTLIGATTENPSFKVQ NALLSRCRTFTLAKLTEENICAILNRALRLEGPNYSPSALVDDELIKYLAAFADGDAR TALNLLELAMDLSQRENMTKDELKKSLTRTLVYDRAGDQHYDTISAFHKSIRGSDPDA SLYYLARMIQSGEDPLYIARRLIVVASEDVGLADNTMLSLATAAYTAVEKIGLPEARI NLAHATVALALAPKSTRAYRGLANAMASLEEPGIAGLPIPIHLRNAPTRLMKEIGYGK EYKYNPDYKDGKVVQDYLPDKLIGRNFLEDLDLGTKVDPALDS TRV_04968 MLSAFMGFIEPGDEVIIFEPFFDQYISNIEMPGGKIRYVPLHPP KDGAQKTSSASNWTIDFDELEKTINEKTKMILLLLTAIQVLNTPHNPVGKVMSREELE KVADLCVKHNLIILSDEVYDRLFYVPFTRMATLSPEVSKRTLTVGSAGKNFYATGWRV GYLIGEEHLIKYVSAAHTRICYSSVAPLQLATAVGFEKADEMGFWDESRKEMRGKVER FCEIFDELGIPYSDPEGGYFVLANMASVKMPEDYPFPPHVACRPRDFKLSWFLIKEVG VAAVPPTEFYTDANAHIAEDYLRFAVCKPDQVLETAKERLRGLKKYIQ TRV_04969 MGDQQNISQILAALAAVRPAAGAQYASPTGATPSTAPPPSTNTG GYSLPQPDNTGSLNIGGATSINAGSVSIADAIAKARGIAAEKGITYKPTPAQTRDAGR SSHRRSRSPSRTPPRNSTARDVFRDGYNPYRDERRGADRRGAGDRGYGRERSSSPRPG AYSPPSNRHYRAGGDRSPPPRNRGPVSDENNETIEIDNKHVGLIIGRQGENLRRIENE TGARVQFLDSAEHNKTIRLCRLSGPKSIRDKAKAEIDRIVSENNQARNDGRPIGQDGR PVDADGSETTKIMVPDRTVGLVIGRSGETVRDLAERSGCRINIARDGESINGLRPVTL TGSQQAIQRAKELILGIVESDTRTSGNQGQREPRGQGSGGENGGGGEKLNDKMFIPKE YVGMVIGKGGEAIRELQTLSGCKINILPLVAREPEREVTFYGVQTAIDAAKKAVLAKV EAAKNRSQGPRRDDSYSQQSQYQQQQQPYSQDSNSQQQPQQQQQGMQAGVGDGTDPYA MYGGYENYMAMWYAALAQQQQQQQQQQQQYPQYQGGGAPPQSGSDQQGPPGVS TRV_04970 MSSKSHPFSFGIEMELYLKPKSTSLKQRLQKLGFKPNDMDKTNQ QLIFRKAMADEFTQNNILTRTEKSSVYDRWTIAHEAALDDIGGGYLLLRSCDVHLTKG CATHVHVAPAGGKYTLSQVKNIVKGTIYYESPVMRIMHEDRKENPWAQPNANIIPGCM TKIKNVSQGGWSPIFDEFKDHKFVATIVTAVCPDRNVSWNFQNLTDSGTMEFRRPRGV DNPDAAKHWIAFTVGFMANVIREENWDAISHTKTHPSTDRLRAVVVRGATSINLPVHS FLVPALMADNNKAATVLTKEERAIIRQKMAKKKNKRSLFVEKVH TRV_04971 MPLDYYFASAIVIYYFYTTSTSSISCYCFRQTLQSKKLSTHLLP PSATQYPFITNQLLQSTTMTNSEDEEYQRLVRWKFEQDKKRKGDESWKIARWQAYWED VRKGTVKHYACLVLITKSGPITSPEKLQEIAGRPSLPEVYTTVKLKAFVEEPPAEPKE EDQVQYCTLGNLQAVKAATYGVTQLVWFRDGPAGAWLAYSLKSDNRQWEDFREACRTG AILYTYGTYSIIISNAGPFTSPEKLQEILGLSTPPELKEATRAELTDDIHPKLKEGDI VQYCDVDLRYLDKVEEVSEGEDILIWADLKQRCGWLAHSVKSRAGGEDVEASNGKENA G TRV_04972 MQFSRFIPRAGTPSLPPYGAHDAPSSADGARGAAPPDAITPYLG LRARLSQVWINKWTILILLVLVRLLIAVGSLDRNMASAEREALSACTSVESMGSAMAS MPHYMSMGVNELTASGVEKAVNGLMSMLSLVVTGVEEIVLFVIHVLTSTYLCLITLVV RGSLHVALKIIEDTTEFLNKTLHDIGSGITKGVDGFQKEVNDFIKGINTVTSIFGDDN KIPSLDISGEVNKLNDLKLPSSINDGLDKINSSIPTFDEVQELADTVIRKPFQLVKKL INETVVDYHFDRSLFPVPEREVLDFCTKDDGISNFFDGLRVVISTAQKIFIGVLVVAA IAACIPMAYGEVRRYRRMKERSLLVRKDAHDPMDVVYIISRPYTSAGGLKISSWFRSG RKQVLVRWMVAYVTSPTALFLLSLGIAGLFACLCQYILLQAVKKEVPALTGQVSQFAD KVVHSLNNASKQWAIGTNGVIDHTNNDINQKVLGWVNQTTGPVNDTLNAFVEKTSEVL DKAFGGTILHDPIQDVLHCLIGLKIEGIQKALTWVSDHAHVDFPHVREDMFSLGAIQS ISKDNATNPDSFLANPGDKTADKISSVVIRVTEAVESGIKTEALISAALISLWFLLLL IAFIRVLTLAWRRDRVRGDGGIDAAYHPPAVGTRAVPNIELNNFHDVPLNSPAIGTGM ALGAAPKYSATPNPPGSLYPTAPSNEDDYQAQKLGYAGQRDYEMALQKDMRTSSHGQV EYGTDVKHG TRV_04973 MAPAIVEEPQPPASKASLAAVTEKYDDTLRFYLNGTKVTLDSVD PEATLLEYLRGIGLTGTKLGCAEGGCGACTVVVSYRNPTTKKIYHASVNACLAPLVSV DGKHVITVEGIGSSKNPHPVQQRIAVGNGSQCGFCTPGIVMSLYALLRNDPTPSELAI EEAFDGNLCRCTGYRSILDSAQSFSTPSCAKARANGGSGCCKENGGSCNGGAKNGDCD GITPKAITQSFNTPEFIPYNPETELIFPPQLHRHELKPLSFGNKRKRWYRPVTLHQLL EIKDAYPEAKVIGGSSETQIEIKFKARQYTHSVYVGDIPELKQYTFTDDYLDLGANVS LTDLEEISTASPISDLNPVFVATGTILFAKSLKEEVQIPMDQFFKGYRTTALPANAVV AKLRIPISRVNGEYLRAYKQAKRKDDDIAIVNAALRVSLSDSNVVMSANLVYGGMAPT TIPAKKAEEFIVGKNWTDPATVEGVMDALGQDFDLPSSVPGGMPTYRKTLAFGFFYRF YHDVLSSIQGVQVHCEENAVPEIERGLSSGVKDHEATAAYTQKIVGKATPTVSALLQT TGEAQYTDDIPVQKNELFGCLVLSTKARAKILSIDFTPALDIPGVVDYVSAKDLLNPE SNWWGAPVSDEVYFAVNEVITDGQPLGMIVATSARLAEAGSRAVKVEYEVLPAILTIE QAIERNSFFKHITPAIKKGDVEAAFASSDYVYSGTTRIGGQEHFYLETHACVVVPKPE DDEIEVFSSTQNPAEVQAFVAKVTGVAENKVVCRVKRLGGGFGGKESRSVQIASICAL AAKKTKKPVRCMLNRDEDIATTGQRHPFLCHWKVGVNKDGKLQALDADVYANGGHSQD LSLGVVQRALSHIDGVYKIPNVHVRGYLCRTNTVSNTAFRGFGGPQGMFFAESFVSEI ADHLKIPVEKLREINMYKDHEETHFNQALTDWHVPLMYKQVLEESNYYARQKAVEEYN RTHKWSKRGIAIIPTKFGLSFTALFLNQAGALVHIYRDGSILLAHGGTEMGQGLHTKM VMIAAEALKVPQSSVFISETATNTVANTSPTAASASSDLNGYAIFNACEQLNQRLRPY REANPNATMKELATAAYFDRVNLSAQGFYKTPEIGYKWGENTGKMLYYFTQGVTAAEV EIDTLTGDWTPLRADIKMDVGQSINPSIDYGQI TRV_04974 MGIESGALQERLERWAQRLKNLTISPLTRDYPESTSAGSTKRAI EAFESLKLSPNEQAAVKKLCKSKESTFLVFLTALVVIVSRLTGDEDIALGTSSENDGR PFVLRIPINHNETFSQLLDRVTKIYDESASDIVPLRSLRAHIQNTSKLEQEPVLFRFA AYDAPAASQDYPANTFETTDLLLNIASSDYEVGGYYNQRLFSSVRIATILAQIVRLVY NASINPEETIGRIEFLTESQKALLPDPTIDLHWSDFRGAIHDIFSRNAEAHPEKLCVI ETKSRYNPHRQFTYRQIHEASNILGHHLVQSGVERGDVVMVYAHRGVDLVIAVMGILK AGGTFSVIDPAYPPERQIIYLDVARPRALINIEKATLDSGELANSVRAFIDQNLELKT EIPALVLNDDGTLEGGLIKGVPVLEKQIPLKSTPVGVVVGPDSAPTLSFTSGSEGRPK GVKGRHFSLAYYFPWMAKTFKLTPDDRFTLLSGIAHDPVQRDIFTPLFLGAQLLVPSR EDIQNERLAEWMREYKATVTHLTPAMGQILVGGATAQFPSLHHAFFVGDILIKRDCRS LQSLAPNVNIVNMYGTTETQRAVSYFEIPSSAEQVGYLDTVKDVIPAGKGMVDVQLLV VNRFDRTKLCAIGEVGEIYVRAGGLAEGYLGSPELNEKKFIPNWFVDPQIWKDKEKEQ ASSSAEPWREFYAGPRDRMYRSGDLGRYTPTGDVECSGRADDQVKIRGFRIELGEIDT HLSRHPLVRENVTLVRRDKFEEPTLVSYIVPQMEKWSSWLEHKGLKDNTSAEGMVGML TRFRPLRDDAREYLRGKLASYAVPTVIIPLRRMPLNPNGKIDKPALPFPDTAELSAAA PRRRSSVLQELSETEQEVAKIWAKLLPNTTARMIGPNDSFFDLGGHSILAQQMFFELR KKWRSVDISMSAIFRNPTLRGFCNEISRIQDSHSLTGDSQNQSTEGAQVEKTVNNEYS RDAKELVSKLPAKFAVSAEAALKEGSTVFLTGATGFLGAYILRDLLSRSNPSLKVVCL VRAKTSQLALERIQSTCKAYGFWSDSWVNQLECVQGNLGDENLGLSADHFNDLANRVD VVIHNGALVHWVYPYSNLRGPNVLGTIDTLRLCASGKAKQYAFISSTSVLDTDHYVQE SERIIAEGGLGINESDDLAGSTEGLGTGYGQSKWAGEFLVREADTNTDDFLIRMIKGC IQLSSRPNIHNTINMVPVDHVARVVVASAFHPPKPKLSVAHVTSHPRLRFNQFLGTLQ TYGYDVPQVDYVPWSSSLEQYVNDAQNSVSQHALMPLYHFVTADLPSNTRAPELDDTN ASISLKSDAEWSGADVSAGSGVTEELMGLYLAYLVAIGFLSPPAAAGVKALPTVNLSD AQKAALAGVGGRGGAS TRV_04975 MTGEILVVGISGPSSSGKTTLARLLRSVFTPTQGSNINIKTLLV HEDDFYKADDRIPITTTSSGKLVQDWDTVEAFDIKQFVSCLSHIRETGEFPPKLKSKE DLNDATDSGVHEATIHALQERIIQQLQRQGLEERDPNLTVVFVDGFLLYAPPNDPTHP LRPVHDQIDLPIFLPVTYPLLKQRREGRTGYVTIGPAPTPVLREEDNSQDQPGDEEAE DSNGKDDAPVNFWTDPPGYVDDIVWPRYISNCSWLLLPESTSDENMEFDKMKELVGDG AFVREDLGIVVAPDKGEGSMSRLLDWAVDLIFNKLKEIKKIDK TRV_04976 MTSTDISPLASPAPCSPADSHISACSSSDTSASPADAEGDQSDC DLNHYIPIGVLWWKLPDATRPDAPQEYRPEADSLQLLEDAGFIKLSVAQEPGEIWTRI QIYLIPESRVPRLPRRHQRHRRALKAVMAKIDASADAWEGRRRNPVQVNNASEAGSGC ESLFYIFNTLQSPKPEAAHVSDPWSKIAITNLIYSGDYEDDAGDGNSGIDGLKTRLYP YQRRSAALMIQKEAEPSLSLDPRLQALQSPTGRTYYYDGEQGIVFDEPRFYSNVSGGI LAETMGYGKTLICLAVILATRGHMPSIPSEFITSTPIRSETGSLMTMAAAAAGTKSLP WETHFRNLGSNGMYFGKCIDMCNKHRGTYTINLAPKYGNRSGSYLRDSSVTVQLTSST LIIVPPNLVDHWLNEIAIHTEGLNVLVLRDSSAKTPPASDLSKYDIVLFSQPRFKKES GVYTGSGPVYFSPLRYLRWLRIIVDEGHNFASSGGKTNSVYMLDKLQVERRWIVSGTP SKGLYGVEVTLAAEQSLNSTSEEEKIGGILEARRHAGNILNDEVKRLDSLRSMVIDFL NLKPWANSRAADPASWTTYMTPTGPDGRRAMSPSLRATLQSLVVRHRSEDLHRELPLP SLHNEVVYLEPTCYDKASLNLFILRIVINAITSERTGDDYLFHPKNRKHLSQLMNNLR LAGFWWPGVETEEIQNVTLRVAKDYLQKNIDRMAGEELNLLHQAISIGEKILGFSTRN VLCEKEEVGILVDGFPEYAQGFWEIGERVGHQEPMLLGLSLAREAQKFVVSKLCSLDP GEGLAGAGIKARRQRYQKPAQVEKPSPKKKPEEVINTPHRPKPEKISAPKSSAKKSLP SDSSLKKTKVIGTVSAKLSYLLEKVLQFQDTEKIIIFYESENTAFWIAEGLELVGTDF RIYAHTLKASQKSEYLSTFNEGESVRVLLMDLRQASHGLHIAIASRVFIVNPIWDPNI ESQAIKRAHRISQTRPVYVETLVLKDTLEDKMLRRRKAMTSVEMQHAEKDMLNDGTMS SIIQSEGFLPLPDNFASPSPAILSKPFGLFDRHTLPIPEDYVDPTKPAQDHQPELVAS DIVSDSLTSDDKRRLANFLEFDSPAASQGRKSPKRRKESHAEEVVNENGIVFISPRSR TPRKQRRGPSSASSSPSSPST TRV_04977 MHRTPAIVCKEKLAVRRKRQQRKLQGEERKSGCKSNKLNMTELF KKPRINKGNFSFPSSIPSLSSSFFFLPSSIFVVVAVLCHIHNYIMIPMNKNKKDENTF YESYLSRLLSCFPGPIQRIFKLPLCQTIDFSQSRPYIMTTRGEMVITQGVSSGFEVEE NMSSPRALPMTGMEAISHAALSHYQDNISVLSTLPVVAQADTTRKSMEVDASVVKSEA ALRECSTSPNSPKSDFAEESNVQFCLCGPEPKIPRPRNDMPSNFDLLAFILFRQHLQS SVVAENPGLPNPDISKIIGKIWKGLPLKEQEPWKKHADEEKARHLQKYPGYRYQPRRP GRKRRNTNTVGPTVKVDVSGTSICSRCGGKPMKPPSTPSTPFLPNFNDSIDNVQVHSS LPEEVQRTQGHRLKLENAPSPINATYEESWRPAPIQDENIPPQSPCIKRRRSEEREMY PSIRHHMGPDNPYLSRITTLPRPDLTQRINSPNYIWGSQSHYSSSVPGSPHDPSLVLP PLKIAASNHPHCLASLEEQPKAISFLDKINLLSKGSPPFMSCSTPMSGQAEGAVIAIE GCDSESVACVTKYLNNSLSCDDGGNVKIFKGPESGNSAASEDTRKAAGNFLETIFSWY KISGTIIEFLNDRILPVTTRSTPGESGSNSSLQSILPKTEDLSICSTERSASDETVFT PEPEEAVHTPTSSSCNNNSTFRVALVPHYQLATTESHAGSTPRNSSHDAIDHWERMAM QWGSCVGPDITVYIRDCDQIELSKYGTGNPVENRLNDVRTLVIRRLAGSTEGIDEKAL RRVGFEVKEFLRK TRV_04978 MKLNVSYPANGSQKLIEIDDDRKLRPFMEKRMGTEVAGDSLGDE FKGYVFRITGGNDKQVLLPNRTRLLLSDGHSCYRPRRTGERKRKSVRGAITGLDLSVL ALSIVKQGEGELPGLTDVVNPKRLGPKRATKIRSFFGLDKKDDVRKFVIRRTVTPKGE GKKEYTKAPKIQRLVTPQRLQRKRHRMALKRRRSEASKEAANEYAKMLASRVHEEKAK RDELRKRRASSMRK TRV_04979 MASNSIKLLTGNSHPELAQLVADRLGIELTKIMVLQYSNQETSV TIGESVRDEDVLTIIFYEVFILQSTKPNDINDGLMELLIMINACKTASARRITAVIPN FPYARQDKKDKSRAPITAKLMANMLQTAGCNHVITMDLHASQIQGFFNVPVDNLYAEP SMLRWIRQNLDITNCVIVSPDAGGAKRATAIADRLDLQFALIHKERARPNEVSRMVLV GSVEGKTAIIVDDMADTCGTLVKAADTLMGHGAKEVVAIVTHGILSGKAIETLNNGCL SRIVVTNTVPLNEKKEQCSKIETIDISPVLAEACRRTHNGESVSFLFSHAVS TRV_04980 MGRIEKVASSKHEATISPAIAQYSKKAIEISVAELPSYLATFPR QWPFPRGDLYHWIGVLNRFDELLARVVTKYGLDEGPQSKPFGRLVLVEDSVSTSLVSN DEEGERKLDQLGLGPEGDRELVESILDFSRLLLEKCGNRSLFSSSERLNAFLNSTSLS LIQCTLRLSLCLAQRYYFRQRSTSSTHFHQSLLAAHYNIELDRVQKLASPFPRPPSFI ETAEGTSLEKGKDKSAQPSAVAGDKCNANDLISLTKVPSDKITMTDISPAAEIGTAEW KDWGTVRFAYYPRDATVETSGGTLNTSSQVQMTPTPLRHRSSEYQSSRLLHTSSVDDS PTPTTQSPATRQEATRGMKILEIPNSKVLSTSTEELLKENLGDLPKESAYDLLNRLRI AAALAKSPETRRQLLGIRLLALSNLAYIYPEAIFQQKILQQDSDEPKRLQLAYQLSDF VHLGVAGDISASTLNQSFALTCLDALAKHKTRSADVCAALNLNVNHGILMFLVRKTVT ELAAEDGLVDDIEGDDWRDALFGLLRTLPSSGTRAPETLMPAGLIPMFVDMLNLRTEK ARRVYPRVLEFLDNYVHSVRDALATLATAKGFDAISDLIATETKSAFDMVGEGKGISE EFKTPSTDYSIPYFQQQAIRWLFKFVNHVMQHNSGGFERLIRNFIDSPPLLSALRLVI ENAKTFGSHVWSGSINIMSHFIHNEPTSYAVIAEAGLSKSFLEAVMCKPLEVPEESAE GDKKPAKPQNLFNPASTSSDNKNLLDHLSKAPERKGSDGILPSTEAIVGIPLAFGAIC LNSTGLDLFQSSDALERFFDIFESPVHVKCMKNDSNLLRLLGNSFDELVRHHPALKAS VMSSVLRMVARVGLLGKYKAWESGLGAKLWVEDQDGKESISGGVSATIGDLCSDFFQN EDYWPSASVPNLGNASTSYRELFGEPDTSKWTTKDTDADGLAVTGYIFPVLKFLGAFF ENQAICANFIENGGVEYVLDFAVLQSLPFSFHNNEANHQLAQVIHLMAETKPHLVLPP LLNRTLSALDKLEPFWSSDLGSVGFFSPFTSPLQAFESSPEEVELKMKGTYYAKHLVA VGTLTNILREVYTPPIYPTRPAQQPLPFHQVNLADKYALLSERLGRLHAACVWEEILL QQDMPEAWNEVTKLPGFSIEALGEGRETPPAITADGGSEAGDVAAESHAEDTQTAQGG RAKKSPKVDNPASTQLDKGANVKNVKTLRWLLGTVPTCITGFLHSLGRGLVAKRRVDT YQRQKAAVVAGAIASMCLTMILVAFKRQNGIQKMKEIADIFLQEVKELAPLEHSTSSP RDRPARLASAYGGIKIILTFFSEMTSARYVLDSAQTLAMASNDRDRERFDTFLPQQFL VELRMEALPLVKSMWTSDFVETASSSIVKSLIEILRLVLEAEHENNAYRRGDNMPRLQ VAALRAFAIHPERLGQLVEKGYDESLAREALYRCYNSSSAAEEYCNAQKGVRTPPRSA IPDYDAEAAVAKPGITSQGDHVSGSRTGNDTSPGPSAQSAQDLVDPIASILAEIHAEA TEAMETEGDTSSEASPPSNARAESSAAAASTESKASEAASAGSPKKREFVTIDDLDKE RDIIRSNLIERCLEVLNAHHDVTFELADLINSASKVENPFNFRREIGETLLHFLASLQ MDENFLTGGKKIAAHASLLALLLQEPQFYESTLEPLQDNFYVLLGFVKVPPPTSEKSP DEPCPWIGQVLLILEKVLAEDASPKAIQWNPPNADTPEDFDVAELGEPLVSLEGKTQL FDALLEVLPRIGKDESLALSVARVLVMLTRERVLATRLGERRNLQRLFVMIKQLSNPS NEKLQNTFMLILRHIVEDEDTIRQIIRNDIITSFDSRPSRQTDTTNFVRQYSHLVIRA PRLFVEVTNELLKLSRYDANQRPQTLVLKNPKKIDGLQSSEETDKQEEAKTEGGQSPR AAKEADQHEPKESKEKHKELKTPIVEHPDGVVHYLLSELLSYRDVDDKESAPAPPPEK TGNRAEDIEMATGESSSSSQATNRETSSKKPEKPQFKAEDHPIYIYRCFLLHCLTELL SSYNRTKVEFINFSRKADPLATTPSKPRSGILNYLLHGLIPIGTMEHDESIPFKKRVN TSGWAMKVIIALCTKTIEYGGRRSLPSQEEEVESDLVFVRKFVLEHALKSYKDASASR EPLDSKYARLMCMADLFDKMLSGGSGPDGTPRTSSATRQIAKTMFEKNFVNAFTASIA DIDLNFPSSKRVVKYILRPLNKLSQTAVLLSESGSIEAKPNQSDSDEISSASSVSEFS SSREETPDLFRHSTLGMFEPHEEETSSDEDEEDEEMYDDEYDEEMEYEEDMPEHDGEV VSDEDEEEMNERGPIEGLPGDTPMDIEVLIDGDSEDEDDVDDDDEDDADDDEDEDEDD GDDHLDERIVAGEITGDNDNNSLQDGEDEWESDEGSEEADDELAMMNQLEIELGGGMG QGEHNRPGPPHIENLLRVLEETGATVERLDHEIDHGMDMRDLGEDFVEDDLNEEEANF SPDDDEEVDELEEEVDEFDDEQAIYHEYDHDDGDLSNSPWSWDEPVLTQNRVPSRNIS SWNFFPGQMASRQGIIPVPDYRTHRTQVTQPGNDDGVNPLLRRYGRPHEQPAQRRGQN AEAFSDWVTAMDQNSHGRLLSIDSPVTFMNAIMQAIGQGGPEFGIIPRPDGFHLHVER GSVLPGRLQDLLGLGRAPAVTPRSRDDPGQAVAFPTGSTLNRWQEEARLHFNNLYMER AQRIVQSILKLLIPPAIEEDKQRQKKLEEERKRLDEEKLEREKQERIAREEVEKEKKR KEEEEEARKLEEERRAEENAQAGDAEPMEDVQPTPGAAEQPSTEAAAPEAGPSEPVQR VHTIIRGRQLDITGMEIDPEYLEALPEEMREEVILQQLAEQRSQAAVAGEEPSEINPE FLEALPAEIREELLQQEAADRRRREREAARRQAAANGGPHAEDMDPASFIATLEPSLR QTVLADQPDDILASLGPEFVTEARALTGRRLPRFGDPVLDPPPPARQTQEPKKPQRRQ IVQVVDKAGVATLLRLMFMPLQPNARHHVNDILHNVCQNRQNRSEVISLILLILQDGS ADISAVERSFAHLSLRAKTPTSQRTPQSLKRALSLPAPGANHDVTPLIVIQQCLGALS FLTQYNPHIPWFFLTEHEAVSALKMKALRKGKAKENRANKFALNSLLSLLDRKAILDS PNCMEQLSGLLSSITHPLTILLRRESDKQEDSEIKEAETKPETVTQPQDAGETQASNP PDTTMAEPTAGTTSDTAGQQEQAEDGNTEGDKSSKEDRKKKVRTIDPPVVPEYNLRLV VHILSARECNGRTFRETLSTINNLSSIPGAKEIIGKELVGQAQTLSKSILVDLEELKP HITNAESGTDVQGMALAKFSPASSDQAKLLRVLTALDYLFDPSRDKEKISEAEASEKA NTLKALYESVTFGPLWTKLSDCLHAVQRKESMLNVATTLLPLIESLMVVCKNTTLKDI PLFPKQGREFSVSSPPPDSGMEGLFFNFTEDHRKILNELVRQNPRLMSGTFSLLVKNP KVLEFDNKRNYFNRKLHSRGTEVRHPHPPLQLSVRRDQVFLDSFKSLYFKTADEMKYG KLSIRFHGEEGVDAGGVSREWFQVLARGMFNPDYALFIPVASDRTTFHPNRLSGVNQE HLMFFKFIGRIIGKALYEGRVLDCHFSRAVYKRILGKSVSIKDMETLDLDYYKSLLWM LENDITDILTENFSVEVEDFGETRVIDLVENGRNIPVTQENKEEYVQHVVEHRLTGSV KEQLDHFLRGFHDIIPADLISIFNEQELELLISGLPEIDVNDWKNNTEYHNYSASSPQ IQWFWRAVRSFDKEERAKLLQFVTGTSKVPLNGFRELEGMNGFSKFNIHRDYGSKDRL PSSHTCFNQLDLPEYDSYESLRKCLYTAMTAGSEYFGFA TRV_04981 MGDVGDHLTLREEIEKGVSDVTRAISASLRPLPVASGDGTYLPT MHTTGILDDLARMKLGDAGTLAEVAKLAATGQPIDDKRYIMERIIQLAASLPSTSKDG SALTEDLLSQLWNDLQHPPLTTYSARVLGLLGRGMQRLFSQNLYNRSTFQTRGFFLIR SWPERDLNHTLARSLVCYSISLQSSFMIFLIPSKDLFKTDPRNPTVSKTSSYLDLSPL YGSNQAEQDSVRTFRDGKLKPDSFAERRVHGLPPGSGLLLVMFNRFHNYVVRNLAAIN EGGRFSKPQDGDAKAFAKYDNDLFQTGRLITCGLYINCILKDYVRTILNINRIDSDWS LDPRAENAKPFLGSPIASATGNQVSVEFNLIYRWHACISERDVKWSESIFRKIFPGRN PETIPMEEFLRNLGKFSSNLPDDPQERGLGHLKRGPDGLFNDDELVQMLTEGIEDCAG AFGAKGVPKLLRPVEILGIMQARSWNLATLNEFRKHFHLKPHETFEDINSDPYIADQL RHLYDHPDNVELYPGVVVEEVKEVMIPGSGLCPNFTISRAILSDAVALVRGDRFYTTD YTPKALTNWGLNECNYDLKVNKGHVFHKLIFRAFPHHFKRNSVYAHFPFVNPWENSKI LSDLGIARKYSWDKPGRMNPPVMVNSHSACRTVLGNKRDFKVTWGETIEYLMKRDGHP FGKDFMLSGDRPANSVSRRILHDALYIDRWREEVRVFYKDTTIKLLHSKAYKLGGTIN QVDIVRDVINMAHVHFCAAVFSLPLKTEENPRGVYTEKELYDIMALVFKCIFCDTDPA KSFALHEAARENSQTLGLLVMTNVELIKRTGFLAPLIDRIDRHDNILADYGIHMIQRL LDTGLPPQDIVWSHLLPTAGGMVANQGQLSSQCLDYYLSKEGSVHLPEIRRLSKLDTP EADDILLR TRV_04982 MASQGILLEVKPRGKPISKLPEKISIGPEDSLAALYSTISRRAG FPVTRLRITKGSDVFIIEYLGPLIIHPLVLYGLRPYIYRSPKPLPPISDLQALTCTLL TLHFIKRELETLFVHRFSVSTMPFTYVFRNSAHYWLLGGVNLAYWVFSPSSPTATDHP NPALIYSGLVLFLIGQLSNLSTHLTLRSLRKPGSTERVIPTGFGFDWVTCPNYLFEVM AWVGVYLVSGLNWSVLLFLVVGAGTMMKWASQKEKRYRREFGDKYKKKRFVMLPGIW TRV_04983 MQFALPPRKTSRPPIYSRSSPTVLRRRQLKSFAVIGCIVVSALF LIFHLFSSGSSSVDTPVANGPPVVIVTVLDTDFFSNTYIQRIKQNREDYAKRHGYVNF FASTADYVPVMNLPSGAVVPRSWALVPAMRHAMTKYPGSTYFFHLSPHSVIMDPTISL TSHVLEKSKLESLMIKDTPVVPPDSVIKTFTHLSGNDVDLIITQDAENLCPGSFIIRR GEWANYFLDAWFDPLYRSYNFAKAENHALDHIVQWHPTILARLALIPQKIINAYSHEA PKPGSNGLYTEGDFIVRLGGCETTPARNCEKEMEPYWNKWSKAYAQV TRV_04984 MKQLISAKPARSKTRVPLPPERIHSKRKKNSSSLSEQRQKTWFS LRCFAPGRAAFFFFGVEMRLALALSLKENKPGVAGRKKAKRKKLEAAEIKKKKKNRAT LHGRTDKPGDEDGLELGLPRVSLLTASFSNLSLQATRSFSTTLPTQRAATLPAEIPPY PYGPRRTFKQADSGLYGGATIIFGNKISKGRNKGKTRRIWKPNVRKEKIYSKALDEEI ELKVTHGVLRTINKVGGLDEYLLGDKPARIKELGMFGWKLRWRVMTSLAMKEKFALER QQLGLQEPETFEQFLARHSESEKIEAATEHDLRRQQEAHEAATPLTAASMGEMNAPEL RTNPA TRV_04985 MAGRAVLLAGGPGTGKTALALAVSQELGTKVPFCPIVASEIFSA EVKKTEALMENFRRAIGLRVRETKEVYEGEVTELTPEEAENPLGGYGRTISHLIIGLK SYRGTKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAYATEFDLEAEEY VPIPKGEVHKKKEIVQDVTLHDLDVANARPQGGQDIMSMMGQLMKQKKTEITDKLRQE INKVVNRYIDQGVAELVPGVLFIDEVHMLDIECFTYLNRALESSISPIVILASNRGNT VIRGTDDIVAAHGIPSDLLARLLIIPTHPYNSEEVKIIIRLRAKTEGLQITDAALSSL AEHGNKVSLRYALQLLTPASILARVNGRPNGIEESDIAECQDLFIDAKRSASIVANET GAFIS TRV_04986 MANTLDTDAGSELFSSYEAELKLVQADLNQKLDQIAEASGEERK AAIRQAERALEEANELLDQMRMEKENIPSSTRSKINSRFRNYLTDVDNTKRKLRSLSD DRKALFGERYTDDPNSTHDQHLEQRQQLLSGTERLERSSARLQDSQRVALSTEEIGRD TLADLYRQRETIEHTRAGLLESEGYVDRSVKTLRGMARR TRV_04987 MKIKNSASVKNMNSRREEMEDFNRLPLELELLLAIRFSASIPDI FLDIPDPNSTTVAGLKRLVRDRLPPDLTSRRLRLIHAGKSLDDSTSLATQIKVGRNSH LRGHHGNGQRAPSSGSPSEPGSRVASPFLKGGYATSGSSSTVSQTAPDPSGKGKAPVR DQGEPRIYIHCSIGDITLTPAELDAEARAARSGQDALKSNTSANDSAGSAGLDSSAGP SRPLDGGDASTAGGDGSNITPTPAPQGFDRLLSAGFTPAEVSSLRSQFLALLSLSHTP DTLPSGTELRRLEDRWMDEGSTEAGVAGLGGNNEDSNIFGDMNTDSTGGSGPFGSGTS RALDDMLWGSVMGFFWPVGCALWLLREEGVWSWRKGLAVFVGVVVNFGFGAVRMLS TRV_04988 MSLCHTSVKPVTSSALRVLLRRQQQCPRGIRLFSSTRPIYSSSK RELQTATAYRPYSLPESVVPPPRNAGTPDTSISHAIPGLNTTPTYPPPKDTVSSKDDG NASISSYSLPENEAQKSQPVSKNGATPSSETKAKPRKSKLRARKAAVSLTPTALSKLR DLVSQPDPKLIRIGVKNRGCSGLSYHLEYVEKPAPFDEVVEQDGVKVLIDSKALFSII GSEMDWHEDPLSSRFVFNNPNISKYLSLLPR TRV_04989 MAIPVVSPESTAAVADLIRLREQFVKERLIFVESNRMIPILKLL GATHEDMEKIKTVSNSLPDDPTLPFRKSKNARFCFDFEQSKVRRLEFQPFILSAEEDF VRHDSGQVRRFAEVEDDLQGNSTLQALFVFKALIFHGVPFKQRPRLNYETENFVCTLF NLRTVTSPDILGEPALEGVHSDGVDFTMTTFLGSENMAGDSATTFVHDMRAKNALRWN EVNPEHTLGSHQHREFLDTLLFVDHERKHSLSPVYAIDPSRPATRDMLIFFTRKPVVE GHPSHPFDSLNSHTSLSMEVGLA TRV_04990 MDLSREDQLDSRLPANQYIQEPQVKPEKIGGESIMGRAENPLEP ATWPGLPKIEEGLAYITRQSKKTFVAFVGLLTLFNSVFDSTIPSGGIRFIAAALNVQG ESQLALPTSVFLIGYVTGPLAFGPMSEVYGRRVVMIGSFVLFTIFTLACAVAPSWLAL IIFRSLCGIFASTPIAVTGGIFADIYRSPLTRGRVMALSMAVTAAGPQFAPVIAGFVA TAGWKWIFWVSLIVAVATLVPVLFLPETFQPALMKQPQRRGEGVVTKALARPLYMLFC EPILSFTCLYLSYASAIFFAVGAGIGLGIFLAYDSFLQRAKSRRTSWSSIEEYQRLPL ACLGGPLYMVALFWLGWSSSPDIHWIVPMLAGIPFGMGFFLIFVALINYLIDAYHEYA ASAMAAASCCRSIFGAVLPLAAVPMFRQLGIAWGCSLLGFLSLLMSLIPFVFIRYGDK IRSRSRYGH TRV_04991 MLLAALDQSALLSKIKGEHFSINFASKRFEIRQLEDTDLGHAGM HIAVIKTHYKFVARQRCYQNEADQTSDTTMESSPLTQQTRPETFQPKIVKLYETLLFN SDYAHPTEGFWREFFLLQPDRVYLSKMIASISSHDILHLQTLTAFLASALSKKYTNPS SDIIAVLAGLDEVDYICSEFVTVLDSLIRNGSSCKHSNTNIPHLKHLVNYGAVDVRLK AIDTAMAMVGGAYRTGVMSYFIHRDLFPSLMKALLTDSKFIHDSDTHTQVLRPFLLLG LLANYNKFDFQNPYQLRLNDFVNETAIRKVVRGAGVACASLRNSYISVQDDLPEGWNW NTTLSFFSFGFLAPGRRHQSQPLTVEEMKERFKALPSPEAAVLLAIYDFVNANKLFGH TLVTTSAEKRSEEAPFASFLSLTSYLLQHAYRSARVALYAEANLFSLRNLVEDPVICK QICSDENNARVRLCRQRAPHLPLVNGERVLATVIFDILVDTINHNLRRSLDTYLYSHT IIVLFRLLTYASSNKVRFSYHWSELWRALLTLTRFLTTYSMDLMHSPHIQTVTADLID LITFCISAGDTFLPDPSSYDDLFYKVVEADSVLKRFRDVYKHLSVTSSHSTNSTLSIN ALISVSTHFHSLLFVADSADASRPGSKSGESTTASSPPPARKKRLSPREVHQIIKEGY STLSIRANDDLINWEKWRDADWKPQLKRIARTAVEDVTTIISQPEQPNILMATKHTSG G TRV_04992 MPLNHPIYHKDETVLCFHHDILYEAKIIALRLSDPEDRKSPYEY RVHYKGWKHTWDDWVFQDRLRKATEDNKELAATLRREAEAASRKKSKKKKTTAASDPG STLGSDDRQSSIPARGTKRGRDTEIEKEDEFNARPSIRIVIPDNLKALLVDDWEYVTK NNQLVPLPAKGPVSTILDHYFEEEKPKRASASDIDVLEEVVAGIREYFEKSLSKILLY QFERQQYQMISNKWESGAEGYVDKGPCEVYGAEHLAPSLPELIAQTGLSQQATQRLRE ELSKFSMWLSKHSDRYFSAKYDAPSKEYIDKAKGVNSQDAPGTATARLFEMDKQEKSD TRV_04993 MAPSEESILTNFLLSPSPLPTVLSLEQFTKLFPRRLQSHPQIRT LYRDLQYLRAQDIDLVQGNIQREIKNGEKQKEELRNAQLDSGVTNMSRGDKTEADMDI QLFGQQDGLVTRPEDRHTLKTLLVDMERACGAMQSNIQSLDSETSELASQIAATVGEL SDLRYGKLNATGAGNALRDDVILGLKNLEDRCSNAMPR TRV_04994 MSHTTSKTWVSEETREYEAWIRVRDSMSYVAPRSPFVPTTFKEW LVLRLLKKMEDKHKIAQSLAIKQQKLSKTATKTDKLFRGRRLRDRLGLVLAAETIWGP LSDPGSGRQNAYWPSRDEFKHEGDDRCRSGYSRFPPLPRVLANETVNWKQRKPIAQYE FDKVGKVKTKDDNHATEISIAIAVRYIGKSFIRDLDFGKI TRV_04995 MPTVPLHISLSSNRNGCSTETVTNPLPQLLQTPSGLAILELQGT INLPESDDHAMQDTIPDVSQHTCKVETPIGRIIFADYDPAADPSDRGWMKRVYLTVGQ HQRLTGEVKKLPKPIAVIQRRKSTGRDAMSSQSVAQDGYILPTPDQLEIVEIIKYKIM FSSRPEPITNEGF TRV_04996 MTFIPPQEDIVPSQLGPEYLGFDHILWYVGNAKQAASYYITRWG FKSIAYKGPENGSNHIAGHVIKNGDVIFVFISPIISFPCNKLDYKTTSEEKQQLQEIQ DHLLKHGDGVKDIAFRVSADVKPIFDKAIAAGGQAVHQPTTIKDAGNGVIQTCTIGSY GDTTHTLVNRRNYKGCFMPGFQPVDENDPIERYLPQVDFINIDHCVGNQPWDGLDPTV SFYEKCLEFHRYWSVDDKAMCSDYSAMRSVVIASPNEIIKMPLNEPAEGMKKSQIEEF VDYYNGAGVQHIAFLTNDILKAITTLRERGVKFISVPSGYYDAIRDRLAQAGMTLNED IEALKEQNILVDFDERGYLLQIFAKHVADRPTVFIEVIQRNNFDGFGAGNFKALFEAF EREQALRGNL TRV_04997 MVVSPENTDYEADTFEDARDTAQDSDNPSSSKPPRSLTDRRPSS SSARSPSTPIATEVPPLPVPSSEVEEDGQSSVITSPVSQVGEDTPRKQRRPKSPLLTT HRLSSSSLDDVNLSNSKEDEETNGTGSPLSPDQHSDAPPALPSKDSPPSQTRLQGLSA SVPSVPWGAPPATKALPPAPPNAPAPPSRKLTSPFSWLSRGNTKDSKNSSSTNGARRN TGASVSTIMSNSERLGRLHEGSDGETGSLDSRGQVRNSLKDQFKLLRLQDEGVPVGTD DQASIGSGEGKGSRSSVGIPGLDAGEAATSAAPLPSPIATSPLPSTVNPELPPGTVSG FSASATDASAPVDWELWQQVVNNGPEALAGENSEKLNAAIKRGIPQTIRGVIWQVLAD SRNPELEHVYRELCARGTDKDPSRSLSSTSIVSINGNSAGSPKERESISSSRSSIRSD SSTQATSSIHGIATGSASEKDSDSVAKAKAALEAERKRRSKEDAAALQKLEKQIRRDL GSRTSYSKYFMSQRNQEGLFNICKAYALYDSGVGYAQGMNFIAMPLLFNVSELVSTLA TIPTNINLMQMDDGEAFTLMVKLMNKYGMRNMFIQDMPGLHLHLYQFERLLEDLQPAL ACHLHRRGVSPGLYATQWFLTLFAYRFPLQLVLRIYDLIFEEGLESTILRFAVAIMQR NVETLLAMNDMTTLTNFVKEKLFDVYIDQQPTPSSILESGFFGSSGANDKEIYRADIM VDDACAIPLTPEMIKTYTEEWEQKTRAEKELADELENYKHTIATQASRIRSLEEHAEK SDKEHVQIASELVRVKVENEELKDMNESMKVEVVELKAVIDKQPAELEEKLRTEMDRI MQRNIEVQNENRSMEEQMAEMEKDLVETKMKWAEVRIIV TRV_04998 MSSHGVLRQPAANQRTEAQRQAELTAITEYQALDTLVLQKKAKG EFSKEAFDKTSELLLKNAEYYTVWNYRRMILQSMFSEDSTQEGQPTDHTQQLIQQDLG FLVPLLQKNPKCYWIWNHRLWLLQQATERLSSAVSRKFWETELGLVGKMLNKDGRNFH GWGYRRAVIDALENIPDGPSEATGKEAPKSMTQDELDYTMKMIGTNLSNFSAWHNRSR LILKVLDESAADDEKRKKTLDNELSPQTMAPNLTTKDRLQYLEQEIEAIEDILEDTND CKWVYQALITYTLLAAKINKHVDDVQQQRISTWLSELMRLDPLRKGRWDDLKESLATP DALISKH TRV_04999 MHPIRLDESRVLKRKFDDSGERQAMELIAKTTTIPVPKVFEARY VDREEGKNFYIVMEYIPGKPLNVAWNDLSESQRKATCLEIDGYLAQLRKLTGDRIMAP DGGALDVGLYQRRYLGPYDTEQEFHHALGKGEPHDLGNNHTIHFAHADLAPRNIIVDD TGHINAIVDWERAGWYPEYWDLVRMYTDPPFKREMNGYTKLWKTLFTRTYEDECNAMV DLVQRTIPPYPDGVRGERRPGVLASYSSHAEELRKTLEAKFG TRV_05000 MPLSSDELEAPLLSRERPSININTLSTEAEPLRQSTQSPLITPA SPTDTEPSALFSPADSHRSQRTAASSISSNDVFLIQDLEESFGLGVDTEDVRASHTTS SMLSYNPLRSPDRFLPIRDPHNPSSLPFRLSRHPALLSPVERSRRLHDPANIFTYPYQ AVQRGVEIGDPRISPRQLPRYINHGLLSEHPSNPGEIAHTNRSRAYAVWSFQGGLGVR PSPRNMVCDGRGGLFGSGTVAPLYIADFLTDRSQIQDDLAYETRLAYAINVDHAARVL HSTPQSPLQDKRIPSFNSPDRWSNNVWKTDDSKSPISLTYSAVSPKKLGPKPPRPVPS TPFRVLDAPLLRDDFYCSTLAYCYTARVLAVGLGHRVYIWSEGTAVKHPPFKDYPPSN YVTSLSFSSHTGGHSILAVARQGGRVTLWSTFDSEPRFELKLPHGASSACFKGCTTRR TSSRDGLTSVDVEELAIGDEFGQVWYYSVEWTNAKWRKRYNWNGAIRLLAKISAHTQQ VCGIAWSPDGRFLATGGNDNICLIFDVVELTKKTGSGECVVNSPGKARSLSTGSTLSS KLCLLQSYFHCGNSCGSTLSAGTSTPETGAPSPPKGAVEDQPSTKPIYIPAESEKHKF THAAAVKAIAFAPWQPTLVATGGGTNDRCIRFFHAISGACLAVINVHSQVTSLIWSKT RREIAATFGYASPEHPYRIAVFAWPSCQQVVAIPWAVATGHERFGSLNPGDCGRALWA ISYPGGPNEMARQLADPVSHGHHGHGPNRSDTSSDDSQSSASNKEGDTWWSRTAEEGC IIVASSDESVKFHEVWSGTPKNIASTSGVLGGSAILESLEGIEKETEVIR TRV_05001 MGKKSSSRAVSRVSSTAAPSAASATVTIKKSSIIRSAFSPSEFQ LALFASVIQGLDAHHIRIHSTYSGHLQCEHAVNPKESVTSLDWGYYGEASRGDQPMKK RRKRHSGVNGIAAGVEDDAGAIVVAFGTSTSEIRMYCPSEDKVTGVLTGGHENGIRDF KFTLKRPAQEGWSIGGDGKLVQWNLRKGIYVRVISLPSTSVSALARPVPAIPPVLCAS QTPYLISPYDEATNSEPSFSSMKNIVHSLISSNTDYTDLSGPFLASDSDRYINVFNPQ HKQLLGSLVADKEIDLVSLYPGSHKGSNESENSGQKQQLAVVTRDGAIEIFSNPFHKF GDSSASGTAGASLKSLRKSMTRRPDAVIKLVKPDKARSPVPVVSVCFDGADLVVVWVE GGIDLVFDRIPWLDETTQELMYNGETELVRGKSSSNIGNAMMNGVKDLGKTHVDESHA IVEQGGFAEGVDDEAEEDEKSENDDDSDEELDDADPTSNALTNTNEDVEMADHTDAED AAEPSFGELLASAPQTISVSADFMDKTSLLQSKALPTESHQLPAGLSLATVLSQSLKT NDNTLLESCFHTKDMAIVKTTVQRLDSSLAATLLQKLAERLSTRPGRYGHLLMWVQWT CIAHGGSIASNKSVLKKISSLFKVMEQRSASLPSLLLLKGKLDMLEAQLALRQSLQGR DGRVIDPDEERVIYVEGQEDIADEDDDDAESMQLVAEGEHVPSRKEARDILEDNMFAD KDGDEDMPMMNGVESDEEGGQDDEDEEEELLDVEAEVSDDGEDEDDDEEEDSDEEPNY EEEASLLEFLANSDEESEVSDTPATKSKSK TRV_05002 MGTPPNEGTEAIFNPLQLDSQSQPEAQADSNTTISKGLPDRAKS DLEQAEAPTANGSSQPQLNGHSSISNGVESKQDSSAVHVPSEADLLSPVDQPSNHKTA GVESETAALHLGEGTKSQDVNGQPENRPAVESTDPDANMDASKEDVKKEDTANADTAM GQVDENDPLFSSTEAPEPALSSEQAPVSEPAPATEPTSAPPEGQEKGQQDVDHDMDVA PAPAVSQAEPLKTEDHTTPTAVSESREKDKEDHVMEDVPEVAPSSTGEVATTKVSRER EDDDDERAAKRTKTEDISSDHPVAGTSALPPSSAGEPAPPATPVTPAPGTTNGVPDLH RDLTKVQVKFLIRTIQSLRRISDGQMFKAPVDIVKLNIPTYYDFVKHPMDLLTMEGKL KSEQYRTLQDVINDFELMVNNSKTFNGPAHVVSLAGDRLYEHFQKHLKKLPNHDAPEI TPAEKKSKKAASVPTKTQPPRRETRPPVPAPAPAPPAAVVPAAAPAAPRASNAGSPTF ALGPEGLPLIRRDSTTTDGRPKRSIHPPKNRDLPFSMKPKKKKFQQELKFCQEVLNEL HKHKYYAHASFFYFPVDPVALNIPSYHNVIKKPMDLQTMQKKLSEGQYENAKEFEADM RLIFKNCYKFNIVGDPVYSAGKTTEATFDAKWSTKDAWVRNHEPASGHQSAGTSEESS EEEENETDDEQEKLTMLQKQIAEMSKQVEAITKKKKTPPASKKLGKSKSKKDVKKGAA RTADKKDKKSGGSKVKGEKGGRWVTYEEKSLISNGISSLSENRMQEALAIIQKHVPSL RGVGEDDIELDIDELPNHVLVILLKFVKKHAAPSILEGYEEPSEPEPLPSLPQPKPKK SKPMNKTEQEKQIRSLQSNLSKFKGGAGAAVAATGGPSYEQAPKSESSGDDEDSEESE EE TRV_05003 MLYKVGSRGALRSLLSSSRAHRSHITTAATRTHSRTIRPTVSRS IPQRSFCSSPASFKGITPGSSDPAPPNPEPNYIGVKQVTEASYISEPEYRDRSEEYIN ALMAEIERTQEEQGSEVEAEYSAGVLNVVVPGIGTYVLNKQPPNKQIWLSSPISGPKR FDWVVQGDEMTEKEGTRDYVGGQWIYLRDGTNLTDILNAELNLELRAKIHE TRV_05004 MGNSRRPGRGGGGQGNNNRASSKPPLTHFLCIPLINEQSIYQLQ SSLAKFKSLIPLVPPSGPAAGHAPDAPLVPYGALRPLGTLHFTLGVMSLTSQERLDET LSFFRSLDLASIMQEVEEEAKSKDESDAKGPLSIDLVSLHAIPRTRAATVLHASPLDT SGRLYPFCVKLRDRFIEAGFMHRDMVKAKPRNKVVPGESSKDNEGSDENGDQGEEEED ASASTTPAVNPIVPEFKPRPLLLHATIANTIYLGKRKPASQQGGKGQRGKDKSLVKFD ATELLEKFAGSRHRQHTAGSKDVKGKDAEVEREPFIWAKGIPIDRICICEMGAKPVSH DEAKGGPVLGQEYRIVHQRRLD TRV_05005 MNERRKVRLSLLPGSTPSVAQRRAAFEQKKDAGAGAGAGAERPQ IRRTRSSRIRRRHEELQGIAEQPLQQEAQGGIQTQIQETEVEVEVELPASGSVDTVES DSRPVDAGADINIARQPQPQPPLQPPPLQQQQLQQQQLQQRRYSRWIAAAPRRPSTLR TVTVPSPEPPLLTPPLTSSSSDSLCFAAPVQAPAYHDSEPPCKPAAQERPQLILHTPP TSTRTSSSTASDPDMADENGSDGSLVVPRLEDLLRHPEDLEKISVLKAEYTRKKENVD SQLREGLRDQLELVQRSLADLAEGRRQIMDAKMGPQGIDRLWAESQSALGDFAQIDKL AKIQRNFEAVLFMKKGLETFHADVAEVERMLREDDMDMENQPNLLNIHMALSRLRDFR DEAIDQIRRAKDKSSEATLTELFEGLDPAIEWFDDHLGTACMNIIPLIQADNRSMVVR LAVVIANEEKNDAKVRALQDAQKDHEYLASRFKSMNIGPKTIRGYKENFLKSIELYAQ PNFEDSKQQFLDDPDRLEKSFKWFFNDLFTVQQGMQNLVPKKWKIFKTYTDIYHRMMH DWLIEFVDDPQMPAANMLAIINWSEKYYKKMKKLGWEASDLVPNVLDDREGELVQDWR NLIVKALDEWMDRMFNTDKRAFLDRDMDSLDTNPDGFFRTKTLGDMWRMLHEQLQAAG ASQRTDVAEGVVDAMFRSLKTRQSMWQTMLDEECAKYKTSTIDQPESLQQFQDWLIAV ANDQIACIDDNDASGQLGHLTRFNRDFEPLVTDKYLTAQAANEVATIRDGYVDLGTHC ISVFIDLIFSVDFRTTLPEFFTQKWYGEFAMKRMISTFEDYISDYHAVLHPSLRDILV EEISDELLVHYLSCVRNKGAKFRRQDPYTEKFKDDILTVFGFFQKFPDSFGGGIKDRW RLVDWLVRLLEADKAGVVVVYEGFKTEYWDLQLSWVEAVLRCRDDFERSMVSAIKAKA AELSVEMGPDTLMGRVR TRV_05006 MSYFRITLLRSAIGLPRKTTGVLHALGLKKRMATVFYPVSRDVA GQIMKVKELVAVTEVDKPLSREQLRLQRKPDPGYYVERRAEEVWREKREA TRV_05007 MAQALPTAMAMQAKLMALARSSSSAVFSLSNFDIARNIVFFVFV LRLLRRSFYTLRGHGIFGTLRNFYTYLRLVFYSLFLRAPGVRSQVDRQVKTALTKLEQ KLAPQEPGMVKFVSLPKKGMSNDQVMAELEKLGGMKHTMWEDGKVSGAVYHGGDELLK LQTEAFGRFAVANPIHPDVFPGVRKMEAEVVAIVLSLFHAPDGSAGVTTSGGTESILM ACLSARQKALAERRVTEPEMIIPETAHAAFTKACKYFGIKPHYVACPAPDYIVDVSAV RRLINPNTVLLVGSAPNFPHGLVDDIPALSRLAVTYKIPLHIDCCLGSFAIAFLKKAG FPSPYEDQGGFDFRQPGVTSISVDTHKYGFAPKGSSVVLYRHRSLRTYQYFVMPNWPG GVYASPSMAGSRPGALIAGCWASMMAVGESGYIDSCHQIVNAARKFERAVRQNPSLRS TLEIVGKPMVSVVGFASSTPEVDIYDVADAMTNMGWHLNALQSPPAMHVAFTLPTANA VDQLIDDLTTVVREEVLKAEQRRAAGVKVEKKRGDTSALYGVAGSIPDKSIVRRLAEG FLDTLYLN TRV_05008 MLSRIAGRAVVGRRLLVAGTRQSSTWGAVPQGPPDAILGITEAF KADSFKDKINLGVGAYRDDKGKPYVLPSVKAAESKVVSSSLDKEYAGITGIPAFTASA AKLAYGATSPLIAQDRIAITQTISGTGALRVAAAFLQRFYPHSKTVHIPTPSWANHAA VFKDAGLTVEKYRYYDQNTIGLDFEGLLQDMQSAADKSVFLLHACAHNPTGVDPTQDQ WRKIAEVMKQKGHFAFFDMAYQGFASGDIHRDAFALRYFAEQDMPLLLCQSFAKNMGL YGERVGAFSVACASPEEKKRVDSQIKILVRPLYSNPPVHGARIASAIMNDPQLNAQWL VELKAMADRIIEMRALLKENLEKLGSKHDWSHITSQIGMFAYTGLKPDQMEKLAKEVS LFFFFFFYYYFFFFFC TRV_05009 TGEVGSKRNYGDVLRSDEEDGDEGRRGRDDSFTLCFFDGFDPVA VSSDRKIVDSGVLLRVKLALVMVMVMSGDSRDVGDSRGDGDGDGDGQDGVSLSLSLST YDHYDYDHDHDHLLSTSTSTSTTILY TRV_04956 MAYKRSRAAYEDDLPGSPFVFYGTPLPPGDRDARDDGSYVPLWK QEVRDERGRKRLHGAFTGGFSAGYFNTVGSKEGWTPSTFVSSRKNRAKDSKDQQQRPE DFMDEEDLREAEEARELQTSTRFDGIGSTATDAIRDTGLVDLFSSSGDTVGVRLLKRM GWKEGQGIGPKVRRRANLDDDHHQEDENDGQTYLFAPENTPLIAFVDKSDRKGIGFEG EQRLDKAITSRSSDHTAATVPTAKPSTIEKKTQKTKPRRLGGGFGVGVLNDTGSDDED PYEIGPNISYNRTIESSKKNSKAKRSAEISRPAIRSSNPLLDTKPVFISKRAAAGGGG AARDKDRSGFRKCHDGRLPLDGFILSVQIDLTPRNKRYDPPKVPEGWVPAKTASTQNS QTTTTTTTITTTSAPPAQQVSLAEAARASTLDPKARAALLGEAQLPGKSVFDYMTPEA REKIALATGRTDLPPALGEKAPQGFEASDSQKRRDIWNLIPSLDKDAAIQALSRGASG WMPYAEDESKRNRYRSFLEVRAGIVTDRLPDRLPGSSTDEWITELREFARAAEVFKPI SGLMASRFTSSTSTSTQPGMESGSSGSGSTPLLTRREAKPEDPTESAAKLGMYGPMTR LLRPFAPARLLCKRFNVRVPTGTKHDGGGIAGLSDFAGLEAHAEPPPPLVSKETMHQL MMESGRKIIPSPASGAGSGSGSGPGPAMPAEQPPAQPAAVDPERNEALEGERPGEAVF KAIFGSDDEDEDE TRV_04957 MSAAAPSTPTAVRTPSSYRSPPADASSPARTLSASGRPHHRPSH SRSGSRSYSQEPGGGHSRTSSSARRDYDQPQPSAPSSRPGTTGRSSSRDRPREASSSS YRPDPARVHRRTASRPTTTASNHNHNNGDSVDMSRANPAAAVVAAAAAAAAGDAPSHA TNGATLASGPKRRTTITTPSGQWALGKTIGAGSMGKVKLAKNLETGEQVAVKIIPRHT NDEQRSTRENERADRSKEIRTAREAAIVTLLNHPYVCGMRDVVRTNYHWYMLFEYVNG GQMLDYIISHGKLKEKQARKFARQIASALDYCHRNNIVHRDLKIENILISKTGDIKII DFGLSNLFSPKGQLKTFCGSLYFAAPELLQARQYTGPEVDVWSFGIVLYVLVCGKVPF DDQSMPQLHAKIKKGVVEYPPGLSSVTDPKQRASLAEIMQHPWMTKGYSGVPENYLPQ REPLKLPLDQEVVKKMTGFDFGPPEFISSQLTKIVESDEYQLAIRSSAKEQQQSLNAA NEKRRGVFDFYKRRNSMSKDNLSITPSFEAMHSFGDPSCGFHPLISVYNLVKEKQDRE NPQLHPGGVPGPVDPSLKQLDLPMPEAAYTNQNAFEVPGEKDTGGRSRPRARTHGDDD ITEGIKNLAVSKSPAHHAASPTIITPAEAAPPKKESAAAGLLRRFSTRRTKDRGRETE REKYPAHQAPSAHAHLAEDLLAPRKSFSVRRSRRGEAAPGNLHPSGSHSQYPDIPHGS NPGLSPSRANNFLARSTSVNSGENRIRRNNRRGPSGYLSSPPNELATGSDQSNLHVQR TIKAGSEQPAETKHAYPPQSRTKSLGHARHESVQVRRSRREEAREAQVPEETDNELLE GPNAVAGTGEDLSKPVYLKGLFSVSTTSSKPLQFIRSDIIRVLKQLGVEYVEIKGGFS CRHAPSIDLNRVVDTNPPSPERQGPVSGHRRRISFAGLRAHATGEESQDHKPSPPSTK ASRRSTQRPPDQSFITNSEGSDEYVAPRDSTAGGERVVGETTTKVQTDTGANLVLRFE IVIVKVPLFSLHGIQFKKVSGGMWQYREMAKKILDNLRL TRV_04958 MTLGRFFSDSRDAGREEDAEMQAPRDEASVLPVGVNALLTLTTD SLIIQGKAIPGCHSYFFFFLSLICHIHCHILYIFLKYISVARVTRSIPFFNILWAEIS DNHININYANPRNKLDVGLATVCYSIERTQLSRAETWAASLLDLAYGEAQKKKRIKVL VNPFGGKGNASKIYYKEVEPIFEAANCVIETQITEYSGHAIEIAEKIDVDAWDVIAAA SGDGSIFEIFNGLGKKENAGEALAKLAVAHIPCGSGNAMSRNLNGTAGPSMAALCIIK GLRTPLDLVSISHGQRRTISFLSQAFGIVADSDLGTDNLRWMGPARFTIGFLIRLFGN TVYPCDVALKVEIDDKKRIKEHYNAVVQNKSNAEPREEIPESGGLPPLRYGLATDPIP DDWMRISHDKLGNFYSGNMAFMSQDANFFPASLPNDGFLDVIMIRGDISRLTAVQMLG ALEDGELFDLPDVHALKISAFRITPRNPEDGYISIDGEQIPYEPFQAEVHKGLGTVIS RSGFKYETGKGS TRV_04959 MEVPWSLPTRLPLAVHIDEVILARKIGRNGLLISVWTLFAITTL LLISRFAIRLRVHRQLFWDDILAGLAYIFLLCHNILATLAAPTIYLLLDLFTDNHGFM QPDILGKIDRLVKLVLSSNFLFRICIYLVKASLLALLWRLFRSLPKFRRAWLGIIVVT VIGFALSMILPPVACSDFTALGCISPRQIRLAAIDIYVSTVFDVLTDVLIVSLPVAFV LKSSLPMPQKTGLIVLFLLGLAVVIISILRTIETDGKTKLSPPSWLLFWSSMEATIAV MVSCFASYKSLFSARSRPSTYNPHGHTASVVAPTPAEVRKTRILNESDSREEIIHRTE FEVSYEMAPAGSSNRANPYSIPSNKSLQALPSSLA TRV_04960 MASYLDHSYTLVHQDNSADQPTVQELKTQLEKGTDETKMETMRI ILIMMLNGDPMPQLLMHIIRFVMPSKSKPLKKLLYFYYEICPKLDANGKLKQEMILVC NGIRNDLQHPNEYIRGNTLRFLCKLREPELLEPLLSSARQCLRYRHAYVRKNAVWAIA SIFQHSESLIPDAADLLLAFLETETDPTCKRNAFAALVTISHESALVYLSSTFDGVAN ADELLQLVELEFIRKDAVQNTQNKARYLRLIFDLLDANTSTVVYEAATSLTALTSNPV AVKAAAAKLIELCIKEADNNVKLIVLERVHQLMKRNEGVLDDPTMEILRVLSSPDIDV RRKALFIALEMVSSRNVEEIVLLLKKELAKTVDEQYEKNNEYRQLLIQSIHQCAIKFS EIAASVVDLLMDFIADFNNNSAVDVITFVKEVVEKFPKLRPSIVERLVSTLDEVRAGK VYRGVLWVVGEYSLEANDIREAWKRIRASLGEIPILASEQRLLDEGPDAGAAGQDSVN GHAKSTTGPKVLADGTYAQESALTSESAAAAKLAAVKAAQKPPLRQLILDGDYYLATV LSSTLTKLVMRHSELSQDVARTNALRAEAMLIMISIIRVGQSQFAKASIDEDSVDRIM SCVRSLAESAQRKELESIFLEDTRQAFRAMVQVDEKKRAAKEAVEKAKTAVQVDDAIP IRQLAKKSEEGAEEIELDLAKATGGDSTLEDLTSKLSRVVQLTGYSDAVYAEAYVKVH QFDIVLDVLLVNQTTETLQNLSVEFATLGDLKVVERPTTQNLGPHDFLNVQATIKVSS TDTGVIFGNVIYDGSNSTETHVVILNDIKADIMDYIQPAHCTETQFRTMWTEFEWENK VNIHSKAKSLRAFLQQLMDRTNMSCLTPEASLEGDCQFLSANLYARSVFGEDALANLS IEKEGDDGPITGFMRIRSRSQGLALSLGSLKGIKANSV TRV_04962 MPRLVVGGERGVLEYVGTVSENHRRDVCFSSSRRPVCLLSFVVV VSNLSTLAKEEDDGDDGDEEEEEETHREDEKETRRFKMPGDNETFYLRYYASARYANN SNYRNDSLIRKEMCVSSLLIQEIKRIIKESEILKEDDSKWPQKNKDGRQELEIRLGNE HISFETAKIGSLVDVTESADPEGLRVFYYLVQDLKALVFSLISLHFKLYIGPFTVDLA ILALPRDRMTPFARSSGAALLDSTARLALQLLRLCYSACAPYLRLPAQSILCPTVKAV SMPTHSDISAHTQVSASSNAPTRPTCPPENDRITSWIESAEGRINAAADNGSLTAQSP PTTAGERPDSDSAMTTDEALSPQQREQGQQSQGSEPATGGGSTSTDAATTMHSPGTEN IGAAGSGIVESLATAEEVRSPVSDSTAITDDNDETDEPMTPTTSVSAATEIKDEQREP AATAAPAIPDILATHRTSMSFEYSDARSEQQIYTVDVEIKNVDMSESYLCGYLKIKGL TPDHPTLTTFFEGEIIGTKHTFQTRHEDWGATEKTDMHHWSRFPAWRPLSRLAKQPDF TFKDYAQRENIFMRWKEAFLVPDHRVKTISGASFEGFYYICFNQVQGTISGIYFHAKS EKHQQLELKPVENYGCCAAIEFR TRV_04934 MEHLPSLCTVAKESLFTVPGIVCVGAAYLTAVQLLRFRRIRSLE KQYGYHTRESMSKMTNDEAWAIHQTMMTLEFPFFTLKGLQFALFKTYGIPTISRLLVQ TSELSNPQTSSKRYMDTSVLINEFVANPPTSERALEALARMNYIHSQYRRAGKILDDD MLYTLSLFALEPTRWVNQYDWRKITDLERCAAGTFWKSIGDAMEIKYDKLPSADTGFR DGIHWLEEIDAWSREYERRCMAPDKNNHETANETVELLLYNAPKFLHPVLWKGVIFLM EDRLRVAMMYVLLNTPAVTHALAITSSSPPNQIVTRYERPEWIYQFIFANTLALRQFV ARHLLLPRPYWRRSVRHSEGGDDGSRPHSVLAWDNAPYYVKPTLWNRWGPEALYTRLL GKPVPGDDGDKYFPNGFVTPSVGPQRFLGKGEEYHGQMMKKLQAERTGQCPFMR TRV_04935 MPPVPHLPTKSQNDISSGFHLADGRGYMLDRGYFASSRLNFQFY LWKESLHFKLHPSISTPIENLRVADIATGTGLWLFDLARELPESAQLDGFDISLANAP QKQWWPRNVTIKQWNIFDDVPEEMIGKYDIVHLRLLILVVENSDPRPIIQKVHKMLKP GGYIQWDDLNYPDTHVRQPLSAAAGSTPAFDSLREFVYSNGRHDWVLQLPRILNSEGF EGARQFNYVDRPDLTKANGDQHLLTMEEFATTLAAAGNRQASEKIFNLIGDVYQESMT GAALSMPRVVNIARKQSGETPHSVL TRV_04936 MSKRETIHRSIKEEKLAWRNRQKEARLKTKDKPHLEDTGLFLAS TYGDKSQPRGLVTQENSSRQKPSPSFILINPSAKMHGTDALRVGVAIGHGTGPELAVV FENVLRELASRYSLRIEFVRSDRIYHSYNSLPLSDESQNAILDICDETVNDITHYQQF CEREASRGLKVIFRTAISAQSLYAVRQQLEAVKVECFDRGTSSLLVIRDQAQGFYTGT NKLESDQKSVSRVCEFRKEVFQHIIAFSLERAREKWGDDLGDIKTVFLVYKFHLFDGL LLSWAKELTEEFGIKVEFIQPDTMNRNMIAFGVNRCLMVAGNEYADIMQTIFLERFNM RVQETSCSENVYLKPVLNRLSEYQTTHGSADDITGKGIVNPSATIRAAAAILERFGGC TGIDAEVDETLNILQQNKSVTPDQGGNLTTNAFVDLFLQTLPSPSVGTPTGSEFSQCS SLADDPADICPAPDFAAAFMKKATAVVVVDFQNDFISLGNPETVSCITDALVRVLAFS RSHAQEIVFIQHLGDQRYQNASWRHRNRVQSRKELCVEGTWGADLSPALSPARGEHIF TKKAQFDAFQSPEFEKYISEKGIEHIILMGLYADICIDATAKAAFQRGLWTTVVQDCT TALHLKDEDILGYMQKVYGTRVVESEKLLRMHA TRV_04937 MAIDTSVWYKPLIGRRLKAPTRYVFEKWSGVSGKELIAHLHSIR DKAWPLGEYPCVGEWIFLLPSISAFKQFPEIVHRAKKGATILDIGCCFGQDLRRLAAY GAPTESMYALDINSSLWDLGYELFKDADRMKATFLEGDFLQDNSALSCLDGKTDVIIA CQFLHLFGWENQIKAMKRVVTLSRLGTMLIGYQQARLQAREYIRPWGMMFYHNVESFH EMWEIVQQETGTRWSVTAQLVDLHEWGMEDEDVEWMPQDRMGINFVATREE TRV_04938 MMKPTIAVVPGAWIPEHFYSPYIHTLEKAGFETRYAEYPSLEPQ NPLTADCATDAKAVRSILEPLVETEGKDVVLVMHSYGSMPGCAAAKGLSKTERLRAGK LGGILGMILFSAFLVPEGLSCAGVQGGTLPPWIMLDNPAPGLNVPKDPINVFAADFDH GLSKKTEQELKPHSSLAFFSPQPPTACTDPAFKDRLAYVVATNDLAVPKDAQYAMIAG TGQEWKIKEVPQSHCAPFKVDLDENVKIVGEFIEAFQGV TRV_04939 MSLDFTPPPGIDLSESRQPQLYAAFISTFCLSVVAVGLRLICRL GHLRPGLWWDDYMICASLHIYPYGLKGFGDFFLNLFVIEILYTLSICFTKYSILLFYW RIFNATSIRIPIYLITGLVTGWGIGVLTEQIQIGTTIFQCLPIQGFWDKTIPAVCGVD VNSFFIGNAVPNIVTDWALLLLPLPYIWRLHRNTVQKLAIYATFLLGGFICIISIIRL TIMLDAYKVPSIDVTWVFIGPSTWTAVETNIGVVSACLPSLRPLLRHFGGSTEPKPSY NNREGAGFSGGSGYSYGSAWAKKSPYNRNGTDNTTTTEGYSSDQNLTEINVRTSVDVR GEEIVNGNTGYNLTAYNNARP TRV_04940 MADLPPQSMPAPVEHLNIKVTDNNNEVFFKIKRSTQLKKLMDAF CERQGKQLSTVRFLFDGTRVRPDDSPETLHEKRSKPTSQVCPYPFSQYMLTSRCFFFH SLSFTSSTAAVPFYLPLDVPLRSVSFKQV TRV_04941 MSSVSESSVEESDSMYSDVSPAPPSHLHDVEIIEIDSSEDDGYE RDDGRQAIELDDGDSEEQDSDIVTDNEFEARPGFGDDASMEDEEDEEDEEVDGDDEDE ESRDAEEDEESVGDIGKPNGVHHNGINGTAPAPAPVSHPSKLRTGRLTQRNQISLITF TTLTLTHVSTESLTLSETPEPPLLLPTGVKDALHPLQHTADRVVKQIEAYAQSLDNFR RQGLQPGDTRAFQEACKLVKKYQQIAEDTAKELSQPTGARKSLRSSTLVNLSQSYKPN VSVSKDEEASIRRWQLEADTWGLVFHLLAVGDPESHMQAQLNQKTALQTLHRYSTDQD IWETFLRTDHFAVENAVMLKWLENTARAGIEVMDSAISKLEMDANRGEGLWAHGWLYT KEAIKGAKRLRSWPQPLEPDDPSVTASLLSSSHNEPLITQLDPDAVTRQGLGLQKQDQ SFEEATWLECWKMIRTGKDWLSIREWAKERLESWRAISLCGSAGDSSLSAKDPSHSSL LRMMNYRSQESWRAACSVLANNPNSNRYERAVYALISGETGPAYEVCQSWSDFVYVNC NHILLSRYRDFCKQLQRKLSYAPKAEVELRVDPPQYDSIRNFLDTLVKDPRTAKESKN PYCTIQAAILGQSYDQFFNLQANALTKVAQESGEPTLIPDISSMRTFDQPTLIAAKDN DSLRLTAHLYLILRAVGLVRSDSHYSATASINVVRYIDFLREYGATRDIPLYASLLPR DMGNEVLGKVLIEVTDPSERESHIQMMKRLKIDTSAMIQSQWRWVLKEADKKFNPKPI KLVRTVTTGGDRPGKIGHIMKGFISKSKVSPEDENLIRCIDWHRYISGQWSRICILGT HLYKRFITAGKISTAREMYKRAKLHQTLVDMTNRGQGPLLEPLTENGVSSPTSDGTSE QQKAKTTEKLTREQLLMQAETMLELEQLIQSFDAIEKWGDLMDDYTNCSDATQKPAIK KKLHPALDAITHAIEPLCTEWLIQPIDDAEAKELAFIRSTYLPEIILAYHTALYYAGH ILGREILAQCMTLATVVSSSAIITESFMASGRMGELVDALTLSSFAMMGTGQSKLKRK LPNGGTNDIWRIRPIKLDENEEDGQDDVHDDKKVKLNGGENGIDGNHVSGISTPTAVP VV TRV_04942 MEPSNDDAPNPSSPLRRERAPTITIDTSAVSSASNVGGPEITTE EPQGESRQGGGEGDNGKSTDTHGQHESTLNPSSEREREPRPISPHNISSPTSKTADPN SQNFLSVPGTRSRGNSLDSEDANSPRSLGSETCVPSSPSTGEHPDKGASTKDVDVRND PNALKPDPKTEDDFEVENNKFAFSPGQLNKLLSPKSLSAFYALGGLAGLEKGLRTNRS TGLSIDETVLDGTVSFEEATSASTPEHTPKASGRTTSMKYDAEGVSKNNDRFVDRKRV FSDNRLPARKTKSIWELAWIAYNDNVLILLSVAAVISLALGIYQSITATGNEARVQWV EGVAIMVAIIVVVVVGAANDWQKERQFVKLNEKKEDRNVKVIRSGKSVEISVHDILVG DVMHLEPGDMVPVDGIFLEGHNVKCDESSATGESDVLRKTPGDVVYQAIENQEPLAKL DPFILSGAKVSEGVGTFLVTSTGVNSSYGKTMLSLQDEGQTTPLQLKLNVLAEYIAKL GLTAGLVLFVVLFIKFLVHLKNIQGATAKGQAFLQIFIMAVTVIVVAVPEGLPLAVTL ALAFATTRMLRDNNLVRLLRACETMGNATTICSDKTGTLTQNKMTVVAGTFGTWPNFG ENGSSSTQQDANENNQSSETNNVAPADCISSLSPSVKELLLNSISLNSTAFESDENGA TTFVGSKTETALLSFAHDYLALGSLNEARSNAEIVQLVPFDSGRKCMAAVIKLPNGKY RMLVKGASEILIKKCTKIIADPTSELAETELREEERSGLRTIVEQYASRSLRTIGIIY RDFEQWPPQGAPTQREDRKQAVFERVFEDMVFLGVVGIQDPLRPGVADSVLQCQKAGV FVRMVTGDNIMTAKAIAQECGIFTPGGLAIEGPVFRKLSSHQMNQVIPRLQVLARSSP EDKRVLVAQLRKLGETVAVTGDGTNDAPALKGADVGFSMGIAGTEVAKEASAIILMDD NFNSIVKAIAWGRTVNDAVKKFLQFQITVNITAVVLTFVSAVASNDEESVLTAVQLLW VNLIMDTFAALALATDPPTDTILDRKPEPKSSPLITLTMWKMIIGQSIYQLIVTFILN FAGKDILNFGHSEREDRVFKALIFNTFVWMQIFNQYNSRRIDNKVNIFEGILRNRWFV GIQFIIVGGQVLIIFVGGQAFSVERLGGRDWGISLILGLLSIPVGILIRMVPDSFVRM LIPSYFRRKQDKPQVYISDEEQRYEWNPALEEIRDQLTFLKKVRGGRLNILKYKLQHP ETLIPRSRSNSKSSIPQTPQGEDHENGNTQPPTPSSRTRSRSNSAFGPAAAMAGVVAG SIAGWSPVGRSTSEQESIKFSGTGRHSGLDQQEGIEVHPGTREDDPILTDYSHTSKVP PSQNPDLTPEFSHTRPTAGASTERRS TRV_04943 MSWLRELPALRGGMVSASPSWPIFESEREGRQDFEAGTEQFFNS HSLAWMLEITSQRTDVITAAYHCLPTALLLHLLVPTSPFRCHIYISTPASASPPERPE SWLKAVQLGSGTNIDSHTPTPRGGVRLNKIAESWEDEDLSSEDEASDAETTITAPPAE PSTHQQGEHHHQHQVGRSGIRAPPPTPNVSRQSSCRSTTSSASCTSSGYSKRPEKQIA VATRMIGNALGHRVARSDSQKEYDRTVIANEKKRREKEKLAEEQKREEEEKAKAAIWD E TRV_04944 MAPRWTQSSPGRLFGGQSPSRSLLQRHVYSSSPFSASAGSRSYE DTLPHLKIGSHTRVLFQGFTVINMYILTGKQANRYLNSASRTSELGTNEVQATANVKE SLAWGTKIVGGVKPGVEGEHLGLPIFPSVRVAQEKAKPDASAVYVPGNQTAKAIEEAL EAEIPLIVAVAEHVPIHDMLKIHSMLKTQSKSRLVGPNCPGIISAVGKCRIGFQPLPC FAPGNVGIVAKSGTLGYETVASTTRAGLGQSLCIGMGGDVLAGTDFVDALKVFEHDDD THGIIIVGEIGGEAEMEAAEWIKGYRRRISEAKFRPIMALIGGIEAPPGRVMGHADAG AWTAEGEPDARTKIQALQSAGVVMVDHPEKFGEGMKVLLAGAGRRPKPIIRPSKPARS PQRRMLYIKSSDAFGLLKEQDISVVESKGPANFTLAIAIDRKTCGPCITVSNSTDGNT HKFPFNYHARNFDIASVKDTLGFTKYPSMATESLEKLLHGLMNIFVTKEAFLLEVKAG IAPDTNNIQIIDARFGFDDAAYRSSGRQGDIHVLRNKADEVPAEVEAEKDGIVYITLP GEGRIGTLVNGAGLAMNTVDALTLHGGSCANFLDTGGKATAETVKSSFRVILSDPRVK GIFVNIFGGLTKCDMIAEGIMMAFRDLGMKVPVVVRLRGTNEELGQKMIAESGLPLHA FDSFEQAAKKVISLSK TRV_04945 MIKARPQRELHTLQDSAWPAQRLFRQVTSSKQVVDFAGRREKRR SSLAADLGILLNLENDAGIAALPASHAQHGPSSPRAKAQLLLIPIEVPVTSTSPCFSH IHPGSSEGLFVCLLIYTYIHIYIYTFLRIHFTYLIFSPGHNQTASQGAYSYTYPPPPP PTYKKRSLFRRILGFSAIALGSFLVGAMTSPELVDMGSEIASMRQLSKTEVLYIPPDE ISKEINDYIDTHPLAVSLRGDARYTESRPHLEQPSMTRAHSLTGGTLTGPGRIVVPPF TWSTTDGSSFVSIFYLGSDVCGHPGIVHGGLLATLLDEGLARTCFPVLPNKIGVTANL NIDYRAPAPAGEFFVLRAKVTKVDGRKAWVEGWIEGLPKDGTDPVKYVEAKALFIEPK MAAVSSIILPPSVILVLKLTCLNSYLPKVKRTPLPKLHPPSPVDTHIFPVF TRV_04946 MSKQARYILLSLPNSISPSHHRDDALEAIRSIVADNGNSFPFTV PEFKIGTLDALVQQADELGKAEALCENVVSKVGDVLSSVLEGDEAQISRMKMVNERPL DQYLQSFSWNKVKYRADKSLAELIDLLQKEINSIDNDVRLKFTQYNGVKSNLASLQRK QTSVMVYVSVLGNLSTKSLASVVDPSLLVQDSEYLETHLIALPSRDVKDFLRAYETLS PMVVPRSSILLASDDEYTLYGVTTFKKHSAEFIHKCRENRWTPREYKYVEDGGEEERK EIDQVAGDAKRLWGEALRLGKTGWGEAVMVWVHILALRMFVETVLRYGLPLDFTSVLI KSTGKNAKKIKDALDSSYSYLGGNAFTRDKKGRVRKDDPNEMQQVGVPDTAAEFTAFV YYEFEVE TRV_04947 MAAFLHFHESEFTRETRAAHIGQKGFTVWFTGVSGSGKSTIAVA LEKELITKRKLNTYRLDGDNIRSGLNKGLGFTEADRTENIRRIGEVAKLFSDSGMVTL TSFISPFAADRAAARKLHELSDAEKERGETPLPFIEVYVYVPENLVERRDKKGLAVLA SKGLQKGVTAVGKKGDARYEEPKSPEIRIDNDENMTIDRAVKQVIDYLEEKGLLTSPP DIETAEAESAKRVKEGQEIKEKRLLRELDERDAALKAAKASGDNKEIIDAYDRATKAA GALKAFYTTKHRVTAEAAEAGKLAEDMQSEIAKLAEQVATYTAGTEARAVAGKIYKAK VEADDAEARAKAAATEAATKAEALAEAEAAMAESDSTVAN TRV_04948 MKTIARVPQFARSGVFQARVSSRCWSGVTHGAQLSRHYRQIHGA PTVRYRQPLIASSTLGKPSGLRFASSSSAAESDIKRTQFYDFHVEHKGKMVPFAGYAM PLQYADLSHVESHKWTREKASLFDVSHMVQHHIIGPGARDLLMKITPASLDSLKDNHS TLSCLLDESTGGIVDDTVVTRLGPESFYFVTNAGRRKEDLEFLTKEIEAFRNSQDPSK RDSVINWTILDNRALVALQGPASANALQPLIKKESSADADLSTLHFGQCRQLHLNFPD GSSTPSRLLISRTGYTGEDGFEISIPTDSDANLPRRVAELLISNPDVKLAGLAARDSL RLEAGMCLYGHDISLSETPPVAGLGWVVGKDRRDPSSPLSKFNGASTILPQLASPAKT LTRRRVGFTVEGGAPAREGAVIVDLADGKTEVGVVTSGLPSPTLGGTNIAMGYIKQGL HKKGTEVGILVRKKLRKATVTPMPWIESKFYRG TRV_04949 MALDPEHGVSKDLSTIDTSPLPTHARGPSQIVARGGYGGVGDET SSSEDDSSSAMSVSKQVELEAGHAIKYRTCSWQKTAALLFSEYICLAIMSFPYSYSVL GLVPGLILTVVVAGMVLYTSLVIWAAWYLTAIMFLLNNTFIQGLHCLVGAQYLNTMTN HSTCTVVFVAVTAVISFVCSIPRTFNTLSKLATLSAFFTFISVLLSMIFAGLEAHPAK YNPDPNHKGPDGKLMGGEPIVTAFPLPGTTFVAGMSAFLNISYTFIGQITLPSFIAEM RNPKDFSKALWAVTIAEIIVFSIVGAIVYVFTGTQYMTAPAFGSLSNEVYKKVAFSFM LPTLIFLGVLYASVSARFIFFRIFDNTRHKTEHTLVGWSSWAGILAVLWILAFIVAEV IPFFTDLLSIMSSLFDSFFGFIFWGVAYLRMQSADEAEKPGKPRSIRGWIGWGVNIFL IGVGLLFLGPGTYVRCISYSPSK TRV_04950 MVGSWWDEFSNNLATDLAPLISLFGEAPTKQYLSECLTKTDIFI FSMAPLGIITTIVSAIRVCGTPSLRAFIGRAQEGAGNAEAKLCSSTSREVCELYNNGG IARVFGRPKLLEIVHDRNATIEDFYSDNPNSQATAGIYPFKDYLKKDNQEWREVGKRP SDEEDESARDDTHFAINPNLSLNVGIKQGSRHWFTAAAIFGGLVQSSVLVWATIARYK FNDVKQDLQDNYAIPLVVIGTCLLCFGTGLCAHLIESSTKERVFERNPKEDKASTSRL YWVQPGTQFVGDQAFDSFAYTHPEGEFTRYITSWKTEKSKYNGIGVWIAISCTSLGFL LQFLGLRACHSSVAVAQLGATLVMSVVRSVLRANRLSDEEVYLVDSPDLYKGHELDWL ALELIAGPQSGSRANGANKFGPKWTVSPIQHPAVHFSEVRWKVINPVPAENGIYSAEN IYFIRMSAHQGDNYILSGFRLDNCEPGELQAEQIQCQNPEDWWDPYVAIPEKWTAYLQ SAPDRWRVVAQSNASLDKAPDNLAKVFMYRTRLHDMTYDWNDKLVPVRAIAQRLADAV ESTMNIFDTIDITWKEGWDSAFTMFWAVPCSLNEGDKGADTSGNIYISLKREIGDDGG GMSRWCANIAELEAVLGLWLWSFRHFNTRPGACFKRILAMKPQLSAGENLISTFNLWS NGRNFRIEETEVDLNQHTCPLFGRHNVPSVFHSKRSMVLATASHMTTLPEICAQEIYS LFFTSMVHVIQSIGGRTDVQNSGDLTLVNSNLSRIQDAFMRCELGSDRDFFICTLPAL IAKGNLPTILEVLPTTEKTAEAYIKDSLWLKAEKLLSWALLHTQQLKDTSDHDDGRTK DAEYLNRRRLLTLSLCECYRKALLKGEVEFCLNGITKMFDTLDEQEDVPLKVIDSPRL EGMANFKDNSNGKANQELTAKGNLQTLADAVNSYGIAVNEIVYSKSKEMVPTLGARLT ILQSKLDTKRNPLLHINTDLPTRQMTNIEQKGALLLKAVGDGFLASTLSLLDEESAVS DGANGGQTLSWATKQGWISVVKALVEYGAALQWRDKDGRSTISFAAEMGDINSYDYLL ARGAFPSVADNFSRTPLFYATNQGHIAIMNSLIFTGRVDPDARDKDGKTPLSIAAENG NIEAVRFLLSTKRVKVDNLDNALRTPLSWAAAAGHIAVVKLLLADGAMLDQADADSRR PISYAAGNGHEEVVKLLLTSSECKPDLQDNAGKSALNWAASNGHVNVVKTLLSCKGVN PNLKDAEGRTPLFNAAFSNHSQVVEILGNEEAVDVNSEDASGDLPLYFAAKGGCETVQ ALMKTKRVQVNLKGNRGITALMRAVKSSDAQAVEILIGIEGVDLETQTPEGLSALLIS IGLFKTRVFELLADTQKVNLQSTEYFGVTPLCYAAAAQQYQVVDLLVIKYGVDVNLCG HIGPPLLLAANNGRLDIMTTLLSNKEIDVNKKNEIGATALFSAVECIGNDSNAYKMVK LLLEHGADVNVQLLEEGWSVLHIAMIRGYRELFEILMEVEGLELNLKTPARQTPLDLA YLHHHHELALELSSRGGKRGWELLGTK TRV_04951 METDDDTPHNSTTDFGPVRILAQTFTHLVPGKGYFPRSYFILNK LCQKHWNCDLDPRRHRWHSYGADFAFDNRRCYFLIDYGEASGDKDIPILSYEWTGQTL ESKPEIAQASKVRRILCKYYPFSGPPPESQGEWTFRESVRSSLHQEMGLTKKELKYLR ERPEDAKWLQDNLEPRFWSEIERLTQDMDERERLVAAGILKPSPGPMSQDLDDDPAEG VPIPPSEAKKYRKLAKELGRPVEELNVPWKEWKKFYEYNAQAAEEDREYMTWEEWERN IPTEKLIFVGSD TRV_04952 MICQYLRKPVPQLYYSEIKKHGFQETPINHFWAHVLPLYFTQDR LCGIELENRSLVEEIRNRAKIKILSVRNGVQGDFFKKVVIISASSWNDITSQLLDYLK VVRAEQKYSGTIYGICAVGSHVSFYSFNFEQQMLESYPDRSNDKRYELLENEQEIDEI LGGLANKICEGS TRV_04953 MFDTTAAHNELDTIHQIQNALVERLKQERTKGPRAISAAIDNGM TYLYNLAVFVHANFNSQVESIGSTPPSKALFQNVRASFQQVQQTTAGTLDDLARIQAE EAKKSTEMTLNDLGTRIAEASQQEQTWIEQVKKNEELLKTAEEKLRSDEEAQRDTNIG LSILIPFWGLAGLIDHRAAPGNVLLSSAVGAQRQALQDANNSLMSTRNYLIQTQKEEA DYINERNQLDVLTEQLQNLTSAIAVTAGNINDMVKATGQLKENAIHMVQLGNKMYNNA TIASGDAFFRDEFVNPILDLCSLVVIEFNAAPKIETILDELKHAYGNDPIPQPINQKF EDIMARVKRFLPKQLPAL TRV_04954 MADDQEQVTNAVQIQKKMDYGSGPGDLPGMADIAVAQIKASAMF AHPWQQLLGSAPVAINSTGLAFVAATSDTAATIELDPPQGGFKYLHYKSLRANLTECG DMGTKAFLAADGGMLYIHSVASMIPDRVNSIMEIIIDPISAQRMLKGALLSIKKSADD CYDRAKTIDKGFNDWMLYATELYASCVEKESSAEEQLAKTKLQMLAAQNILKADEINV KNQKAATRTFKKQLQMASNVYKKASDSFPNTATPSNPLSGSKHLHAYPHLKGIRLLLP QKADTIQQNRWDLVGQEIVGKLGDTLVTALGQLATAYTENMNYTARAEEAEKMFKDFN KKGNDGSGNGNAPLPTPEPKAKVPLPQNSTDPAYVQIKPAIVYLRVLYSILFKGNGGG INWDEVSTTTGSGSKSLLYTLQMLKNARDQFIEVATDKDPSVKFKQVLQTSIQIATEV YNLGGKGSMNDLPGPDDAKVKKWQQDFNPVYIDANELFAVSKALPGSTSTETVMANPN KQQTQDPQMAQGQAVLEAAKDRLHTTQQAYIASSKAYQEATKALTKDQSDLAAAQNKL LQLNTDEKNLTAIKTILEASIRLIAVVKTRVMDLVTFFNSISSTIEVIVEEVVEEFLR KIQENVANNDPDGELDDLKVGNYNFTDLARTQIYKSAIFMRAYFEIFGEVAKMWSDLS IENIMPGIQLLVGISSDFAGQMGKDPKESRDKVRDSVSKLNSWFEGAKAKIEDLAGKE QEKILGQMDSRIQQVAEDTTKLPPPAPTTAEAINAGAGKVTDAAKSAIEFNAEKNPMA VWVRRQP TRV_04955 MTSVLGHLLGHEFEPRYKSWTSCDPSALFDAPIEVFVSSDGEPV ADNIKRHARRADVLFIWTDCDREGEHIGTEIRSVAAQSNQALGRAGGTVRARFSNIER THIIHAAHRPVNLDEAQADAVASRIELDLRVGASFTRHLTLSLRPLLQRGNLSEASQL ISYGSCQFPTLGFIVERYWRVRNFIPEPFWSIKLMHQKAGTWVNFSWARNHLFDRRTA VILYERCLTSQNARVQKVKTTPTSKWKPLPLTTLELQKCGSRFLGMDSQKVLQLAEKL YQKGWISYPRTETDQFDQGMDLRGLVQKQAQGGRWADYATALVEGGGGFSWPRNGHNN DKAHPPIHPVNFVNPATLSLEEQRVHEFVVRRFLACCSKDAQGSKTDISILYGPETFH ASGLVILERNYLDVYVYDRWSNQELPPFIEGEVFEPTEAMLSEGKTSPPGYLTEPDLL ALMNANGIGTDSTMADHIVTIIDRQYVEARAQPRQARHGHDEEEESEELPTQARGGNC RRGRTAVASRRGGRNSGAGRGGAITGVREFIPTTLGVALVEGHENMGFETDLMKPFLR KEVRLCS TRV_04923 MARFSPGFELPAASARDSLFLRSHILPGSEQSAFPSQLGLEISV LCYEGCFIIMVIITLASMVYKEAAGLEQSVDEETPLLRSDGGDEFPGYDIARVQSVIS ADEIEPTFQAVMTPEDNRSPCTNHGAAADSQKKEAHPHFINVTQREFWAVFSGILFAW LIAMFDSTLMASIHPAITSYFNAANSAAWLSTVFLLTSTAFQPVFGRISDVFGRRPVY LFAVAVFFITTAWCATAQSITSFIAARAFCGLGAGGVVSAGMIISSDLIRIEYRGMYQ SYINLCNGIGSSLGVACGGYIADTLGWRAAFGIQLPFIFVYLIISYFVVPTSLGPELA RNEGWTLTQAAKTLDIKGSFLLVTGVTSLLLTLNLGGNVLPWSHPVIIVSLLVFCACL IPFLKIEARAKRPVMPLPLLSTFPQANLILSNFFGTMVINTILFNMPLFFQAVKLESL TSSGLRILASSLAIMASSVFTGFFITWSGRLKPMLIIGFVFFIIGCAVTSSMNRDTPG WLAMLFLLPSGLGQGFAMPATLVSTLAVSPQAEQAVTTTTLSLFRNLGSVFGVSVSSW TLQNALIYYLTQSVTGNDRQDIIKRVRQSITEIHLLDPMHQGEVIQGYEQALHLTFIV GVAWATVSLLLVLPVRLARLSRKA TRV_04924 MRRPIRLAVLECDHPLPQTAAKYGGRFGGVFKALLGQSAKTLNR PDIVDPEAGLDISEYDIVGGDEFPALEDIDAVLISGSKFDSFDTTTPWINRLVEFTKQ VLAQDRVRLIGVCFGHQIIGRALGARVGRSANGWEASVHELTLTDQGREVFGVEKLNI MEMHRDVVYELPANTVALGHTPKCSIQGMYNPRRFISVQGHPEFNRDIVMEIMQTRKA NYPPDVFDEAMKVIDNKQDGVVIGEAFLKFLAEE TRV_04925 MAMFSVVLPGRPCLTEAVPIQPDPNTPPTNFAFTFPAAPKFSHI VVFLLPGVTLPPDVAAAVYIQFPNQPGNGQPQQQQPEFRFLGAIANEKPSAIFKVNLP GSQKPMTEAEQENEMMDEGAAAVDPNAVITLGIAIESTQVIREKLATLQQPSTGMELV KRTGQTGMTTKVLAQRIIGNAFNFLASFAASDPRAQGEEVVPLKSFRDWWAKFERRIE ADPGFLEREGS TRV_04926 MGKSILDLVNECDVFPYYQDDPAAYKRYREKYYEFKITGYGQTF GLVLNSIVDKFPWPKDSWKIDSETKSITLLAPEDATEQQRSALLAQTLEIGAKSGKID VLKGWRNELYPIYGPKKELVASVERAGSSLFGILSYGVHMTVYTKDEKNGIMIWVPRR ARTKQTYPGMLDNTVGGGIATGEPPFESLVREAMEEASLPEDIVRQDAKSCGCITYTY VRDERAGGETGLLQPECEYVYDLQLDPSVVPKPCDSEVEDFRLWSVDEVKKAMSDGEF KPNCALVLIDFFIRHGFLTPENEKDYLEIIARIHRRHEFPTV TRV_04927 MSDPILFEDTFSITSINAQKYDRVSRISCTSTDHLITFTLDVNT EIYPCAPGESLSLALASTLALDGKEEATGERAVWRDVGMGENTLANDYDYVCYGKVYR FEESSTAGNMAVFVSFGGLLLYLDGPYNKLSPLKIDYVYLLMKK TRV_04928 MSFRRRNIGLSSGGPRDPSANAQQPAQQVKPAESTPGLRPSPID GRQTTSTGTPTLDSLLAGHAGLALGSSLLIEESGTTDFAGALLRYYAAEGVVQEHRVH VVGFDRQWAATLPGLIGAAEASESSPSRNKEDKMKIAWRYERLGGFGLGIAGSRVPAA TTETAGDAQQASQGNTPNVFCHTFDLTKRLTHPSIQTMNFIPLTKPNASTNSPYSSIT KQLAEAIESSSPNTIHRLIVPSLLSPALYTTHASQPEHVLQFLHGIRALLSTYSSRLT AMITLPISLYPRSSGLVRWMELLSDGVIELAPFPHTLDPSSLASSGAATSQEEPPQGM LKVHRLPVLHERGGGMDKNVGEDWAFTLSRRKFAIKPFSLPPIDGDNDGQQQDASSAP KKEDLEF TRV_04929 MDLKPGVTAGEEPELPIPISLIAGRYFLFSIDAVTYLRREHHIC GVLVGTLPQVPQQNVFLGLPLELMPEEARLLVEKDVAYVVDDTQAHTQGITSLQEEDK RRYLNELKQKGLQATLILADKKAERREIALKRQKDKEVKKSKRKIGGEKAEVVEGDTP SHVEPETEIDDGDGDDELLFAPPKRPAVAGDTASRACGEQILEVTPATSNGLLPRAAP RAPPYLPEAPSSYPLFAHLHSKGYFLSPGLRFGCQYMAYPGDPSRFHSHFLVVSTKWE EEFDLMDLAAGGRLGTGVKKGYLIGGTEKSDDEGSEQVVRTFSLEWAGM TRV_04930 MAQVHMAHQLLEQAHPPETAEQLFADKVKHRPLFLRPTSPTPSD NRSRRRMQRIRKKEYFLRKQKPKPLSAREKRDLEVHKLPKEEMKYEIFKGLNQLWTEY MWQVLDLAPRPAADLTGKTPTPVMEKSNRITAASHGSKLASADFHGADIQVLTWFYHD LAVPKEHTIFRFEIPHPSDPNTEARTGFEAKKFVFEIHGNQFENRAPERANKKFKWKN LDYL TRV_04931 MVATKSVLSAVALAGVAAAETIDVMVGQGGLKFVPDNIKAKAGD EVVFHFVMGHHDVTLGRYDSPCMPIQGESIWSGVVDTVEKGKGVTFTVPIHDDKTKWI YCSVAKHCQNGMSLVINEPSSGDNQADYKDRAKIVPQSGSPTQVKGGTLGGSGGSGGS SSSSASSSGSSSGVTSAPTPTGGHSSSHPSSTSGSDSSNTQSTPDATLIPSGSIPSAT GSGSHSSTPTASPGAAAGLKGSAVLAGMVALGAWIGLL TRV_04932 MYIIADHLGPRDLLSYIRAIPSIAHLLTKKQINRRDRDGSSLLH LVVSERDIQIASIIVTSSIDPNVPDGERATAFSLAVNLGYEDMVKLFLGCKQLDVNAV DNQGFTALMVAVHKGYAGIVDLLLQREDIDFNVRSEKGDTALVIAIGKDNSSIARALL AREDLDASFPGHRPAFRAIYHPDASRLRLLLDRKEIDINCTTETGRTMLMQAVEFGHF EVVKLLLERDDLNVNAADNAGDTALIIASFRGFNRIASLLMERKELDMNFQVHDGTAL MHAARTGFGDLVYVLLNRPDADINIQDHRGRTALMFAARDDMGYTTSDNAAEVLLARE DTDVNIRDSRGRTALMLAVEAGQRRNVDCLLRRADIDVDIQDANGATVLTYATTGEHD IIREMIQNYVEAKQTMRFLTAEGSSNLICS TRV_04933 MDVKIDNVPARASFTTIQLWSLVDSSLAFLRLVSVAFLTLRNER LAIFYLISVLASGFSSILSFGLIQMEGVAGFHGWRWIFIVEGAMTQAIAIAAYFIIID FPDKASKTRFLSSNEAKYISHRLEKDRADSVPDPLTLVKLRIHLADWKLWTFSTMFLA TTVPALLTAPPTIFACVVAMALSWVADKYRMRAPIIAVQAIICIMGLMITAYHPNNNI RYFGFFLGAAGCQGNIPAILAYQSNNIRFQSKRAVGSALQIGFGALGGIIASTVFRQQ DAPRYVPGLWVTTAFQLFILVAVACTTLVFWKRNNEVEEGRARRPIEGLEGFKYTL TRV_04919 MAAAGAKGARSERRNGGTAQASGRWDGGGRRRRRCSSSSSQKAR EPESQRARRESKVLMLFFFCFCFCFFLHLSLSPCSLQTLSHCLAGLSQQGSLLAVSRV KSCPAVSDFGLVYSRIAREPAQKKKKGTPVQRGSFLALRHSSRRPSPSPPAAAKKEKK QQQRTKRRRSRRRKRKALPGRPCLSSPRPLPFPTPRFRGLPSLSSPGVGTTLDSLIGC ARFSSAQFWGVVLAAGVSLSLTKHPCFSSISIILRPPPDSFTLAGCSLTNAPPVVTAL VGGHGGLQGPREARRRQGEGGYSLQVHPAHEDRPQGASQSCRHGQEVSLPSSSFYLSI YLSTYLVMLIYTGCRPQLAARPRAAAPADADLTTDPATASPAPGQAQGQAQPPPAPRQ WTSMQEERARALFAKYGLTLEPGEWITGPATGLTSSPAGPTSHPTTNGLTNNISASNN LNQVERVEKPIRMRVRRNCHRCLATFGADKVCVKCEHTRCKKCFRYPPSKSETASSEP KRERAQKKIVNVAAGAGTTNTAATTAATTANNNNNNTATPTPTATTGAATAAASAGGG TASAGGTRDRAREEGRKTCPEKIILTIPSRTGGQDLVRKPVRQRVRRTCHVCNTLFEG AATECLKCHHLRCKKCPRDPYVFASLQGLATA TRV_04920 MDETQQTAQTYSVGDIRSRRSLWYRLHVYVYDLRNFRTDSAARD RLDSIVDITYLGKPYFDEEEVEVLKNTISNSSGSKGGTETLAQKIESTLDERLNRRMK KRVESGDFRVCAAHDLAPIFEAFFDIPPKKLAKKLVSLISLRGLRLQDEDDISCILGQ TKLQALAAKHSAGSKKKKGRQCSREQLGTLNFSDTSNRVNIFSSLQATSEYLDVIYA TRV_04921 MREKREKKNNERPKGKKTSPHILQQFREPGGVIIRSTPRSTAGG TKRTINSHGLVGGPGEESVADTETDMIDAKSQEIRPVDGEVYSKMEDLADDLPDSSPR FILLSYPLTIVSLRHNYLILLLLAVLLLLVLLYADPAGWPSRRPLRPALLPARELQSV TENELRRCGGADEERGGGEPGDRGRERDGRHRDREEASITITALPCLVR TRV_04922 MVQLPTDKTIPLEDQALFIDEELWVPVTVVNGNVYILPGVPSLF KRLLAGLKPILLPRLVDPEGKGMHRILISTPLVESSVAAYLTDLAARVEPKGVKVGSY PRWGKRRNTVTLVGADREYLESLVPEVEKNVEGRRVQREDEDDPEDVEEETV TRV_04842 MASVVNGGPMSAKAPSAPSAIERATLTIRNGPIFHGKSFGAKTN ISGEAVFTTSLVGYPESLSDPSYRGQILVFTQPLIGNYGIPSSERDEHGLLKYFESPS LQAVGVVVADAALKYSHWTAVESLSEWCIREGVPAISGVDTRQIVTYLREQGSSLARI TVGEEYDADQDEAFIDPEQINLVRKVSTKSPFHVSAANSTSHVAVIDCGVKENILRSL VSRGASVTVFPYDYPVYKVAHHFDGVFISNGPGDPTHCSQTVHTLSKLMQTSQIPIMG ICLGHQLLALAVGARTIKLKYGNRAHNIPALDLTTGRCHITSQNHGYAVDASTLPSDW KPYFVNLNDNSNEGMIHKTRPIFSTQFHPEAKGGPLDSSYLFDIYLDSVQKYKANQAI HQPGRDNRPSPLLADLLGNERVGVSIDRESHMTSAPEEPAQTLAAATA TRV_04843 MVGSQVEKKRKRASDRHDRPSKKPAIHSNPEPAKVQYLKNTAGH VPIIASSPGLTVPETLPLNTYIKPRQQRMKHTENANLAMTEALLHTSGHPKLNFTGRE GENQLDNLLNHYLAVYDPEDNTVQLLEARKMMIRGCPREAIEEVEEESEGEGTVCLYF YPSVVAGYMLTSWKTQKTVLSQKAALAAAFGTKLARKAVAAITENALTSNASAPSTQA AESALISSMPQDDMTNAAAERSAQAEIQASKPLPQPNLSATQPADVYSIESLVPSGLL TLRKIPVQDWQAAVAASEGITTSSRFVANRVEAAVQSGDKTVVQLLRFILILIEFSRS LKRSPGGGRGLDSRKLPPREDLRRILSTAVYSETNTTPTITDSFLDSIRRKFVPQGSF LSRNDITLLHTTICAMSLHIPPASGKTGGSNVSELATDPADLRDDLRLENDTILKYFR ELGCKVDKPRESEFAKWSIKGGKAEAASRRIARLKIPVEFPKMSRGRAPRR TRV_04844 MESLLQQSRALCPFLKKTAPATLRALSTTTRPSSSVVGGTITNL QVVARRCPVMGKALAVQSARIALRSGEARAFGTCPRSAGAAGLVRENLHRAHGKALCP VSKKGLHTTAAQAAVVDQQAREKGRAVPVPPRATVEQLNCARETFAGPKPAIPSATAK FDYEGFYRGELDKKLKDKSYRYFNNINRLAKEFPRAHMASPEDRVTVWCANDYLGMGR NPHVLKSMHDTLDNYGAGAGGTRNISGHNQHAVALEKTVADLHRKEAALVFSSCYVAN DATLATLGSKLPDCVILSDSLNHASMIQGIRHSGAKKYVFKHNDLEDLEMKLAALPPG IPKIIAFESVYSMCGSVAPIEAICDLAEKYGAITFLDEVHAVGMYGPHGAGVAEHLDY EAYANAEADIRGTVMDRIDIITGTLGKAYGCVGGYIAGSADLVDTIRSLAPGFIFTTS LPPATMAGATTSIEYQSSYTRDRTMQQLHTRAVKSALDANDIPVIPNPSHIVPILVGD AEVAKKASDMLLEDYGVYVQAINYPTVPRGEERLRVTPTPGHTKEYRDHLVEALKGVW ERLGIRKTSDWKAAGGFLGVGSAHEEKNVPLWTDEQLGLAAGEKLEAAIERELKAEAA HREQMIRELAGECAAEAKESQFQHTNQPISASA TRV_04845 MVGNEGDFSALSLSWMIQVTSAPVYGYGAPAITTWKDSRAGIQS KKGKPWAVPLPGGSGDMIVLPHHCGKEFFNLPKDNLSMRHMMSDEINFNHILDAAWKV PVEAMQACNKPSALKKLDPLIMKEADNSISQAMGSSKSWNEDSIFNISLQVLIDTGVL LVFHPGFGREAGLAKQMGEYVGQVEARTHLYESMPRIMGPLLELFAPECHQIRSSMKS MKKTIVPELRRLLKQKRASPPTNDDHFYASSMIELALKKGPLTRNGVTKDEEHHIDMM ADETMFMFFEAVEPTTMILAAFIARFLRHREFLEPVRLELEQALKLNDGKWNFDLFNH TPRFESFSREVLRMDCINMVAGSRQIVKEPVTIKSLGMTFGPGTNLTTSGAFTHLDPD FYPDPTRFDGNRFYKPDSAASDILRDTISPNEQWVSFGLGISSCPARLLGTRLCQIMA AKILLGYHIELAHEDGKCPDFNIYVDAVGILNPEIRMRYKSRQ TRV_04846 MLALLALACICLAIYYVAPRFFSRHLPLPPGPPSLPVIGNLHQI PKNFRWKTHKEWHDKYGPVITVKCGLKTMILLGSHKSARDLLDRRGGIYSSRPHFAGL GDYGFGGKSITFLPYGPRWKQRNRIQTALVKPSMTKRYRVLQDIESKHLLLKILLQGS EFFDIFELYHANLLLVLMYGRRIADLGERYQEEYIGNITAVEHAFQRNHVVEPFPWLT EVLSFGKWKARSQKAIKNQERLLEQLTDTALMSRSWNWCKALAGEKERNNIDKEDIIM TSADLFEAGHAVPMTPCVFVMASVLHQDAVKEAQEELDRVVGPDRLPTFDDMPNLPYV NAFIAEVQRWRPIAVTGMPHAVIEDDEYMGCRIPKGTPVAANHWSLEHDEEIFGDPSN FRPQRWIDDSALPTCPFGFGRRACPGQYLVRDTLFSVISRMLWAYNFEYAYDEAGNKK EIDPDNVSQSPDSQPLPFDAVFSIRSSRHQEIVENESKACEQNLDIILNKIKPSEKEL TRV_04847 MTDFNLHCSINNYLHLPLTIPKQVKATVSFVTQALLYAALGNHV NSLKRQVREPAFLCGVAFTKDRRRIFQLRLQYNSRARSKWQKPPTSRDIPPVTLTTIP PVEPLVFKNYLSQIGPLFDTLQRMKLEYENRGTQSFRQDTATDKHEEFAPREKSKIGV SKRGQLAPTPLSTIPSVYFDENFRLEDPRTFDVVSEHAEVVRQPLSTIGNRNNDTDGI VANGDPHPARKALTTDAILQEKLSWYMDTVEIHLIYSISKASTSFFAALGSLRELQTE VSHSVTKIQKLREDLVHLDNAMIEHGFEIIRMKRRQDNLRKLGEAIMQHQCVLRGVSH CEELVDSGKLETAIQHISYVEQLVSGTLDPKIGSELCWFLPNSFTRLIDLRQLHVLED PLQNIGQLRLRIWKGYEARLLHVLLCDLRQHVSSIPPRDTLSRWTDISKRTHSSLSTP APMTTAEKLREELVPVLQGLGQSQYLAAASTTFREAVIREMKSLIRRHLPSSTDDDNE SMVSASTLTSGRCPSQQERLSILSRNLRALSPEDAHVFFVKVYCRVGEALRRLSVQIK VLLDITSGIKKSPSNVLTSSLPERCNLQEDMTQTLDMSSLLVQAVDKVESEMTKVLRV RTEQTVRLGPTEFLRYFTLNRLFINECEAISGHSGTALRGVVNKQIHDFIPLLHEVEK QKLAQKMETERWEPVNFKPQDALILERVVQSMTTDSSVWLSYTDVTTAALETGERNLQ TNYEESSNTAKQNKKDPALAVIDEEKFMLVDSAAFALRGIEQYLILLASIPSMANEIS MALLDYLTLYNSRTQELILGAGARTTAGLTNINTKHLSLTSQSLSFFISLIPYLRESI RRRPSIVTSALAEYDQLKRLFQDHRSSIHHKLISIMSFRATLYIREMEKIKWDDEDEI QRSVSPHMETLTKEALTLQRVLSKYLPGSSMSMIIGQVFESYREQWGKAFEDAVIRTE TGKSRLLRDAELLQAKLDKIDGAEELGTRMIDIVRAKQISSQPVSKNAPSSGDIPDES NSPSIIT TRV_04848 MASVQQSANMPQQNIGLPPNLTPQHIQEVYQEKIYDTDLLKKFR QMQEQGVRHDDPEYLKAHNLLSAVQRQQAYQKQRQMQMLHAQRQQQQPQPPQQVPQAA PQAPQQLQGPLNGIADSNGTDANSAVPSASTPSQKGASQGSQSFTPEQLATLRNQILA FKMLSKNLAIPQRVQQQLFAKKATGVDGVLSSDQSVDGTGRDVSGESEAAVEKLRTMF ETFKNPYDILGATINYNDHAVRRNRLRLPCITPMGIDLDKVREDREMILYNRINARKA ELAKLPANLGVWDSGEGDSPTADDSLKLKALIEYKMLNLLPKQRAFRRQIQNEMMHFD YLAMSANRPAHRRMKKQSLREARVTEKLEKQQRDARETKEKQKQYNQLQAILNHGADV RNAGTEQRGRIQKLGRLMMAHHTHLEREEQKRVERTAKQRLQALKANDEETYMKLLGQ AKDSRISHLLKQTDGFLRQLAASVRSQQRMTAERYGDEDQIETDEDIGDSDEEEESRK VDYYAVAHRIKEEVTIQPSILVGGTLKEYQLRGLTWMISLYNNNLNGILADEMGLGKT IQTISLITYLIETKKQNGPYLVIVPLSTLTNWNLEFEKWAPSITRIVYKGPQVTRKQH QQAIRWGNFQVLLTTYEFIIKDRPILSKVKWLHMIVDEGHRMKNAGSKLSFTLTNYYQ SRYRLILTGTPLQNNLPELWALLNFALPNIFKSVKSFDEWFNTPFANTGSQDRMELTE EEQLLVIRRLHKVLRPFLLRRLKKDVEKDLPEKQERVIRCRFSALQAKLYKQLVTHNK LAVSDGKGGKTPVRGLSNMLMQLRKLCNHPFVFDSVEEELNPGKATNDLIWRTAGKFE LLDRILPKFFASGHRVLMFFQMTQIMNIMEDFMRFRGMKYLRLDGATKSDDRSDLLRR FNEPGSEYFCFLLSTRAGGLGLNLQTADTVIIYDSDWNPHQDLQAQDRAHRIGQKNEV RILRLISSNSVEERILERAQFKLDMDGKVIQAGKFDNKSTNEERDALLRTLLETAENA DQINDQDEMDDDDLNEIMARSETEFALFQKIDAERQKTCEYGPGHKLPRLLGEDELPD IYLTEENPTAPAVEEVAGRGARERKNVKYDDGLTEEQWLTAVDGDEETLEETIAKNDA RMERLRANREKRQRKAQGLDSSPEPSRETSATPQQKKRRKGPVPKRKADEPIEDAPAK RKKGKANKAADSLTNTERATLQRILNSVYQTLMDLVEDVPADSEDSDEEPMTRSVIEP FMKPPPKSQYPDYYMIIQTPIAMDMIKRKINREEYSNLKEFREDIRLLCTNARTYNED GSLLFQDANNIEATCTAELRKETEKHPEFADFDDSGSSVGDE TRV_04849 MNVNKKLGRLKQWAGERMGGEIKTNVSDDFKLLEMEMNLRQEGM ERLNKAMAAYVRAISKRSEGEDKEKLFPIGNLGGVMVAHGEDFASNSEIQEQYVAAAT SSWLESLERSLNQLKEYQATRKKLENRRLAYDTSQAKMQKAKKEDFRVEEELRSQKVK YEEATEEVLRRMMDIKESEPECTADMSAFLDAQLNYHEKCREVLLQLKDEWPAGYVST SSFFSCTILFFTVLTNYTRPTQQFNSYGRRSTLTRSNTSFNDQMAGEDTYQSSSQQPG TSRSREPSRPGLGGRQPTFEGPTQLRTRRPSPSPAPALRTPLRTASDSISPATIGSSA AGIANARSQLRVISQGYNGGNNNNNNSNTHNDGYYDSTTDDGASPVRNSPDQYYSSTS TSPLRTVPASGQVPTPASGSGSGTATPVKKPPPPPPPSRSKKPPPPPPPPKRSVSAYA V TRV_04850 MKQKKRREDEEDEEEEETRQEPSLLFLLRCCFTASQSFAAVAMG IINKGSYYYEIIIIEGLKKTVDQPAPFRLFFPPWPFKERSSSSSPTSSSSSSPLLLVS IVLLAVRNSPSALHGFFSSSRPKLDRPTNQRRPAPTAPGPQPRLPTSSLLQAFSFDYN TLTHAEKNHADDGGSVSSGRSGRRPGVGTGWLSASAGFETGDAARMQLTARFFLCLTC RDAIILVVAHTTAVLLFIWPGWMGVCTSVPPWPSLVQRGTAPFCGLAGMCAHDEDTRD TITTYS TRV_04851 MTAETDNKSAYATTSARWRAIVNRDAAATGFVYGVRTTKIYCRP RCPARLARRANVEFYDTPLLAEKAGYRPCKRCKPEDVRAPTDPHIRLAQRACETMTLA ALAGGDKQRPTLSDLSGEAGLTPSHFHRVFKKVVGVTPGKFARELMERKSLGKVVVPS GINARICWPPPHLAPLVGMSSPVQDSNNNNSASSLEPQVQTPPLGDCDIDQIIGQGYS DPQAVGPINWNEFDLMLAAASDPRQQQQQQQQQHHHHHHQQQHINFSRPPTSTPASTS AAVTTSSSPAVGGGSGICMPQTTASHSFDPNSLNNIHSMLTLDPNSTSNINFAQYMAE PIDFSPMNPPTTTNSSTNTTTGHSPPDTFAHPTPSPTSVPSLYSQQSISPTDSPLMFD SYIGLTGSWPPLLPEDTDWLDNQVLLPPDYTSLDFCARS TRV_04852 MGRVIRNQRKGRGSIFTARTRLNKAPAQFRTLDYAERHGYIRGV VKKIVHDSGRGAPLAQVSFRHPYKYKEVTETFIANEGMYTGQFVYSGKHASLNIGNVL PLGSVPEGTVVTNVEEKVGDRGALGRTSGNYVTVIGHNPEEGKTRIKMPSGAKKVVSS RVRGMVGIVAGGGRTDKPLLKASRAKHKFAAKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAVQGQKAGLIAARRTGLLRGTQKTKD TRV_04853 MSDSDSSSSLSSVVSTADESIAESVNRSAGITKYFKKAEKSAPA PPSPKRPPSPPHEYVLADNPNIAFLVMFRSRFTDVFPKSLPHYGPQDIEKGVVEPTPG DQVERLLCALLSLVLNRKKDVEYDLPYTVLQHYDSQRGHYQRALEEAIQTHQSQWPKA WQGKSPLANGGGYMTMTPEERIQLLSTLTLWALSSSESVQAKLKESYKQSRHDGDKNQ PLSVQPWGSDTLKRRYWLIEGLDDTHFRLYRESNPALKTNTWWSVAGTIPELRSVAES LATEKSRASRELSQKILNSIPRFENSEEKRKRRDYRIARKAAFARPEPGFSLYEGRTR GKRIKYTFSDDDDFSSGAFPSRRSTRNQSRASSPPENAGPTFTASGRQVKSRVGGIYG QTVLGKRSHEATDDEPSNNPAGGGSGMEYGRSRRSMRGLQGNGWDGLTPSDEDNNTEG DDEASSGNDWDEDAADDEDEDEAGLSDADSFTADLQLDRNRSMIVKLKYGKGNAAKTP QPEPALLQPSKEEPLHNGNLPTSTSTAIPINSHILNAATEPTSEPAKEPAKEPAKEPA REPAKEPRIAIEMTLKPEVPNDGLPLKQGTHTPTVPEKQEPQVQPPSYNGVV TRV_04854 MNPLGRDQSTLDKYEVKANDAILAEEKHMGLYEDLMQNHNAKLI PGGAAQNTARGAQYILPENSVLYIGCVGKDKYADILRESCAKAGLRVEYRVDDVQPTG RCGVIITGHNRCLVTHLAAANEYKLDHLKQPQVWDLVEKAKVYFVGGYHLTVCVPAVL ALAEEAASKNKTFMLSLSAPFIPAFFKEQLSQVLPYTDFIVGNEAEALAFAKSQDWDT EDLCEIAEKMAKLPKTNSKRARTVIVTHGTEPTISAVSNTAGEVTVTQTAIRKILKEE ICDTNGAGDAFAGGFCAGVVQGKTVPECVEMGHWLANLSIRELGPSWVPLTRINSLHL LLSQANLTIPYILSTKYLPTAHTTQQLRDGAQLDPLIGVESGFDDKLMTISMTLFMKE KNVE TRV_04855 MATSVIHNGAGHLNAEETFERCKAALTCALKEKEPTFAEVERLL AETRVTGQVSIFQIFTEGRRGSRHYHNDGGAVGRPDGVDIRNPMDAVKLEGGLWDAHL EINGRFRKWLSNIQEDEKKGKKRPVEKRKLINRYSKFIKSSQEFYEKYIHHLLEDFKA YNFPQFEEVARRKGYVFEKEVEGPRDSAGTLDPQLKHAVLSSCHATLIRLGDLGRYYQ TELVDQQKKNWERATKNYSMASLVNPNSGNPFNQFAVIALAEEQHLDAVYYLYRAISA QEPHPNAYKNLTKEFKNILAGKKKAQPSTSISDPQAVLVSSFLFLHAECYQGDSPEHE ERENEIMHQVTVDLKENVLERSLLEKFALINIASESHAKDTNAQVFLRRLNVIFFFTL LQVFLAELECSADKVTSVAYSILPALRHYSSWLLVNSPVLVSAPESKDTPLCIQIKEL WKSYANTLTVLTSTFDVINLPDVDYLLEEDENTLGFKPFAHPNTMRRYGPPGATKPRR HETTASNDKEMLFRIRQFVIDGLDLERNERIPIVMVNKEDARLFVYKEEGLPQFLNSR SQTRGHGHTLTSTSIDLDEIQKPAPSSSALEDGASISGSASMHRMVDNLVESEPAESL VDGDNAPSYYASVNSNHRRSLAHQGLENGVSGAQGPSHFQSYSPGPPLPSIMNTAFAP QPGEAMSPTSRPSTAIPSTAGGNNSTLFSSSFLSCFVDESPSSLPYMQTPRLGHATSY AGGTSLGVQPSESITAFGQYQPHQPYNSPSYFNNMPYGTNRGSMLHYAGVIGEQSPPS GQGG TRV_04856 MAASTRIPSLIQPFVSERARKTLDIVEEFVEKECIPRDTLFQAQ LGEGQARFTKHPPVIEELKAKAKKLGLWNMFLPKNHFKEGAGFSNVEYGLMAELLGKS RVASEATNNAAPDTGNMEVLAKYGNEAQKREWLDPLLEGKIRSGFLMTEPDIASSDAT NIRLNIRREGNEYVLNGEKWWSSGVGDPRCKLYLVMGKTDPNNPDPYKQQSVVLVPAG TPGVTIHRMLHVYGFDDAPHGHGQVSFKNVRVPVSNIILGEGRGFEIIQGRLGPGRIH HAMRALGAAERALEWMIARANDENKKPFGTPLSSHGVILEWIARSRLEIDSARLVVLN AAARIDSADAKAALKEIAEAKVLAPQVALTVIDRAVQAHGAMGVCQDTPLASMWANIR TLRIADGPDEVHLQQMGKRENKQRKNSTMAKIKAQKAEADRLLNANGFGALKGRL TRV_04857 MAALRSSYVERFTKETKTQISLCIDGGPLDVLPDSHKAFESSSI PNQKEEHHACQFSATQHIWIWTGVGFLDHMLHALAKHGGWSLRIRTVGDLAIDDHHTT EDTFLALGEALSTALGDRKGIKRFGSAYAPLDEALARAVIDISSRPFFVGVFDFKDAK IGNLTSQMIPHGLQSFAHTAGVTLHVDVLKGENDHHRAEAAFKALAVAIRDATSKVAG KEGEVISTKGVL TRV_04858 MASITSPSKQPLSDRPTNTHLTMQNSSTEDCEADLKAATTKSME YHRQVLKEKMEGDSQQSGHFISPSDGIMSPCTKKLSNLKGKKFKNAGRPQMLFAKALG KKSYDKMSNENAADGDGTEDSGPV TRV_04859 MAVDKSNTICQARVSDLGAEYIRYPICLETRWNAAASASASAAA SATTGSEGPSTTRSASGIYADSKDTSGSVTVPVPGTASSDSSSSKETGSSGNGDDADV ESPLDNSNFLSFEEWKNQNFAKAGQSAETMRRHRQDKGQQARRRHTRSPQMNDPLDGL GEESEIDLEFGGFSTDESGVASWERKDGGRASPDNIDSVTAPGGAIVGGKEDKHPSQP IFELDGQDAENMPRKGIGRRKHAGTTCKERFNYASFDCAATVLKTNPQCTGSSAVLNE NKDSYMLNECRAKDKFLIMELCDDILVDTVVLANYEFFSSIFRSFRVSVSDRYPIKAD KWRVLGTYEAANARQVQAFAVENPLIWARYLKIDFLSHYGNEFYCPVSLVRVHGTTMM EEYKNDGEAARADEEEDANAQEEAEQQRQQDEQQQQLEQKEADVVVHPDVSVPEVVIN DQMVPLSNLSDRELDELRCFVERNETESILLGLVSSKMCAIQERAAHIASQPVTATRV KDEAAAPASGSITSTNTPEQIRSVSSTRTPTTSDREETRRSSTGSSIAANGSHTEPTR MNSATYSPSPASPPPNPSTQESFFKSVNKRLQMLESNSTLSLLYIEEQSRILRDAFNK VEKRQLAKTSTFLENLNSTVLQELKEFRQQYDHLWHSVFIEFEQQRQQYHREVYSVAT QLGVLADELVFQKRVAVIQSIFVLVCFGLVLFSRSSGTPYLEFPRNIVTRTRSFRSSS VTYGSPAPSASPSPPPMSRMGSSILSRSEADDDNLHHNHSRHHRSPSEQTDYEVGNPT FTYSPPTPTSRTTTPERTRKLRFSSEPQSGLAASETGSPATMSDPELSLRKRPIKSVE VKHESESDAEQAEGDSFT TRV_04860 MGRPGGATNSPFEAVLNIERLCFLFSRKGGYTTTTFFLAAFVDK HLHFFSHPSSSCRSLVPFTLYNLFLSTLVHPRTHHFAHRYYFSFYFFFIIPFIKSYII TQALDASHLEKGYDGPSPGSAFTASFCVCTHPHTPHPHRSLHVRKKKTKVRVGAFDFA PAATSPFSPSTILRVGGCSGGGRKEWKGT TRV_04861 MDCLRDKFIQGKLLDGRFETVAPLNHGSFGMVFLANDALTGEQV AIKCLTKPSSADPSSPLSVDDRSEEMQCHMKLGQHPNLVNLVSSFETQSHIFLVLEYC SMGDLYEAIRNSRGPLETEHVRDFMLQLIDGVEFMHSKGLYHRDIKPENIFLCQDGSM KLGDFGLATSSPWSFEACVGSDRYMAPEQYEPTAAGYSPAKADIWSIGICLLNILFAK NPFVTPTESDILFADYSRDRQSLFDVFPNMSQDTFEVLRHSIALNPEKRSLAGMREAI MRAVTFTTDEESLDDFCTEDRDVVAASAYREPLRTPSIQTPQVNQGESFPWAKALQVS PQQQGGRRLSVIPDTESYSEDLFPASEVDGTSWYSTHAISPSIGSTLDSSLGASFKSI KARQSALRNAPRPAPISESLPTSAARPIPSMSLVFGKGGSDQVSKSWSDLWDEEESEL EEARLRNRQAQNSRTWSKESNTPDVAGLGLSEIEEPSSMLNVQPIPIVKDEKKKNIAV TPVTPSKPRSVLRDGSNTPVPHGRHSPSKNNILDKWAALGKKRMNHTPEKDYRAASTP KSKAPSWRKEPQYDHGTWTKKKFQSKDSRGHNGHQQQPIVQPYMLSKDWRRDKDQTIK PKRSQHYPHIPIYSPAAIDDEFDFVGGWQDLHP TRV_04862 MLQMLRLNSSRHRYYEVTGGSQVLISLYHVFLVVCLLIVGHPPS ASSTWLWISLSLSHHPRHHRRYPVVLVVVVVVAGLFVYRSKVPPCHPLAARPLPHRPL APPSFHGLFVASSHLLAFFPCLVTRPPFSDLPLSSSSSSSPARPPLRHLISSQPPTFD LTFTFRLHPRLHLFFFFFLRPAVTTIIYRRLPFSSRLDLAFTLDSLLDFASWTVLESQ LLPLTDVDSPRPPPPPLLLLRLQSTLETVEYR TRV_04863 MHTSPPHLVFFFMQRTTLIGRGREGGWEFDFRPSIYDLSLFSLL LRLFFDCARERQVYLSCSSQSSGYYSFAVRLQLSLALSTV TRV_04864 MHAVCGMHGGMRGRQILSEVNAEKYFVEAEAEEDIQLDEGTTLM SNIMPEKKKFCSDLSPCARHPLSSSRSSAFADAHQAITASSL TRV_04865 MALDGSSGYVAASSLDKLAESSLPIFSVKKVQLKFSIAADFVAA QVANDVLILALATGRILRIDLNNAEDIDDIDLPKKSSEIGVIRRMFLDPSASHLIIST TLGENYYLHTQSRQPKLLSRLKGVMIECIAWNPALPTASTREILVGSTDGNLYEVYIE SSSEFYRRDERYVNTVYKVPSLAVTGVWVDFVGGRKDLRQIIVSSNGKIFYFTGKIGR HGKDGGGGGSIYIDLFRKETPVIHELTSATLSAPSLLAMQPDPLEEGHSDGRAEEKHF AWLSSLGVLHGTVPNSAPNQDGGSRIFDKAKMVSRSILPATESARGGRKLIQDPIKGM TMTQWHILTLVEGRIVAINRLNGEIVYDQAVLEPGESSLGLVADQKMNTYWLFTGQEI YEISANDEDRDVWRILLKEQQFDSALRYAHGVTQKDAVATASGDHLASKGQYLEAAAV WGKSSKSFEEVCLTFIDKGEHDALRKYLLTQLSTYKKSATMQRMMIASWLVEVFMSKL NSLDDTIATKAELVEGGNEDDAKDDIQSIRSEFQQFVNKYKADLDPRTVYDIIGSHGR EQELLYFATTINDHNFVLSYWVQREKWSEALNVLKKQTDPEVFYKYSSVLMTYSASEF VDILMRQTGLDPQKLIPALLTYNKDTKTSLSQNQATRYLNFIIANHPNPSAAVHNTLI SIYASHPSTSEGALLHYLESQPSSPPPYDADFALRLCIQHKRVQSCVHIYSMMGQYQE GVTLALKHNDIELAALVADRPEGNDKLRKKLWLLVAEKKIHQPGIGIKEAIEFLRRCE LLRIEDLIPFFPDFVVIDDFKDEICTALEDYSRHIDTLRQEMDNSAHVAEQIRLETAA LGSRYAIVEPGEKCWICSLPVLSRQFFVFPCQHAFHSDCLGKKVMDAAGTGKKKHIRD LQAEMSKGTSIGAKREKIIRELDGLIAEACILCGEHAIKQLDEPFISPADNKNEWSI TRV_04866 MAGVAAYEADAAAAAAAAVVAAVVVVAAAAAAAAGRKKRRQSQR RGDPATASRRDSEPAGTASARSTAELPSWLLELLEGQFSPSGGGSGAGNEGAGSLCFR GHAPWACWLAGWLAGWLLGRGI TRV_04867 MGDTVMHSPKREPDIPLDSRSANPVYSSSSSQPPMAREARATTP KEQKPARATDPMSFASILSGPAEERPRKASVPPVKASEPPPQPVATPAPKPAPKPASK PEPRVLESDKRRRHHNYDATYSDIAPKPVANGTASAKSAPPLASAKQSSSSHSRGESN NVTTALERLDNLDKSDLEAPGFEDEWQRYIIKGRKRAREAEALDNQKRKRRRANFLGK LARVFEKQAIAGTERFARLHEAEVIEEVQQKEIMDEKERKKDMQRKRRRENTVRAEME KIQAAQKKVSKMEDDAEKEKLQREIARSRKKIKHTKHVLETGSAPQEISEVAPLSPNL EGGTTGSFHTRDKPSPNKKRPSKGGSAARPKKTKEQKQAEKDAAEAAYAAMEGEEPSA TASKDKKPAAKKDAKASKEPTPAPVATFDTKGYAQLYEQIWRDMARKDIPKVARMKTT SLNIRQENCRKTAQLANRTNRSTKDTQARAKRTMREMMSFWKRNEREERDLRRMAEKQ ELELARKADADREANRQKRKLNFLISQTELYSHFIAGRSKPTKLNSLPADGAKEPDTS LNVPDDLGDLSAKVTNFEDLDFDAEDDTALREAAMANAQNAVKQAQDRAKAFNEQENK MAAFDEGEMNFQNPTSLGDVQVAQPKLLNCQLKEYQLKGLNWLVNLYEQGINGILADE MGLGKTVQSISVMAYLAEVHNIWGPFLVIAPASTLHNWQQEITKFVPDIKVLPYWGSA KDRKVLRKFWDRKHITYTKQSEFHVLVTSYQLVVLDAQYFQKVKWQYMVLDEAQAIKS SQSSRWKNLLGFHCRNRLLLTGTPIQNNMQELWALLHFIMPTLFDSHDEFSEWFSKDI ESHAQSNTKLNEDQLKRLHMILKPFMLRRIKKHVQKELGDKVEKDVFCDLTYRQRAYY ASLRNRVSIIDLIEKAATGEEADSTTLMNLVMQFRKVCNHPDLFERADTSSPYSMCHF AESASFVRAQPSVPYSTRSLIDYDLPSMLCSSAGRLDIPGPNNSKAGFDDKYLSHLMN VWSPENMRHSLGGDDAFSWLRFTDLSMEEARSISEKGVFERALERHGVENRLARLSIV YDDDETSTNSLLPHSLFKIVDRNSRSELTKHGSSGYLRELFNVTQTVIDNQGILGFEP CGKYDANAPPIMISSASSVPDLEAQNTFFNVRARQALIGTTTRGLDEDILNHKVNPLP YSYPPMLPKPGSTKRGYTNITVPSMRRFVTDSGKLAKLDELLRELKNGGHRVLLYFQM TRMIDLMEEYLTYRNYKYCRLDGSTKLEDRRDTVLDFQQRPEIFIFLLSTRAGGLGIN LTAADTVIFYDSDWNPTIDSQAMDRAHRLGQTRQVTVYRLITRGTIEERIRKRALQKE EVQRVVISGGAGGGVDFNTRSRENRTKDIAMWLADDDEAKILEQKEKEALEKGEEAAA APKKGRKAAPKKKRDVTLDDMYHEGEGHFDDLSARPSGAATPLSADVEAPAAGPSGKG GRRRAPAKGTSKRAKTAKERLQFIDGDD TRV_04868 MAANFLGGVILKVLRKREEEEDPDDSPEAGEREFWTSWALFILI VLLITALFTSYLLKQKRIQAVHETVLSIFGDVIGMLTQLLGMVVGLVIRFTPGTLIQK SVSFDYQFFFNLLLPPIILASGYELHQGNFFRNIGSILTFAFAGTFISALVLGLVLFL WTRIPIEGLDISFVEAFSVGATLSATDPVTILAIFNVYKVEPKLYTVIFGESILNDAI AIVLFETAQRYKPGSAAGSLTVLSLFEAIGIFLIVFFGSLLIGVIFGIGTALGLKYTH VRRDPKIESCLILLIAYACYFFSTGIKMSDLLSFTDVSVPGIVSLLFCGMTLKHYAYY NMSRRTQLTTKYIFQTMAQLSENFIFIYLGLDLFTDENLQFKPLSIMVSVVGICVARY LSVFPLSQAINWVIRYRAASRGRDVADELPYPYQAMLFWAGLRGAVGVALAAGLEGTN APALRATVLVVVVLTVIIFGGTTARMLEILDIRTGVVEEIDSDDEFDIEVTNGGTYYK RSGTGMGHTPRRSDFQLDSVAREHEINRTGHGFSSGSNGRLTPQAPEGPGGLTRKKSS YSRIDRTRSRDGASTQELLGGRSGSHSGSSVDIDMSHDRSRAGRNARGTGDMGMNLDD FDLEVDAAFSDDDLPPAASQAQRSRNHSQPRSASAHTPGDGPYANTAQAETSSSSRPI AAATDAFRDLLSGNRDHATWFKHLDDEYIKPKLLLDQGSGSGSPSGSGRKGPDAIFHV LLAFCYGVAGPNNILINYRAFIISFINIVPIRNYTVSFSRLPTPY TRV_04869 MKFIYLALLSNLLNSPSLAHSANVAVRKIGKDAIIKDNKVTWMG EDGFQDSFSCPDTHVLQPSADQKSASCCLPGLTLKGSSTTSFDCCAEGHDLAGSEAVG YICCPTGQIYDGKVCKNGKPLVEGKCACSEDKNVTSEGCCEPEECSSGLKTGKCYTFK ESNGYLLGYLRTGKYYNAAPDSRDRIFGKFQLCRDQLCNPGRPINAGDELYIKDLHGT PMDGADAGQWMNNAADGPHIGKTPNFAMAGRFAITKWPCGKYCITGAELGLGPACPAD QPALTFYTRSSEPCVPYELTEVPCSVRSIKNNCIWYNGKDQCCDKIDCSERKCHK TRV_04870 MAYPGDREDNSTSPLLDDPERRSGEIWDDAAAVAKQAEKEEEAK SSWYLFLLALSIGGLQIVWSVELSNGSPYLLSLGMSKSLLAFVWIAGPLTGTLVQPYV GIRSDNCRISWGKRKPFMIGGGIATVFSLLALAWVREIVGGILSLLGAPPESSGVKVT VIVVATLLMYCLDFAINTVDNAPAHQQEAANAWASRLTGIGNIVGYISGYLKLPEIFP FFGDTQFKVLCVIASLCLGFTLLASCSYITERDPRLEGPPTSENPGVLAFFVQVFKSI RRLPPRIRKVCEVQLCAWVGWFPFLFYSTTYIGQLYVNPIFDQHPHLSKEEIDAVWEK ATRIGTLALLIYAITSFVGSIVLPLVIVPGYRPPVASEASSPPQNRPYLSNRPSTSTL SFTASAGSAIEPAVIEPIHDPSRSDERGWGRWSSILSKLQVPGLTLRKLWLISHILFA LCMFSTIFVSSPAAGTVVIATVGLSWALTLWAPFALISAEVAQRDAAHRRQRRSLRPR TASDPAPIGPRPRPLQSDVGAHERDVEEESSASVVDHDAGDKDETVDQAGIILGIHNV SISCPQIVSTLISSVIFKALQKPRGAAWDDSVGWVLRFGGCAALGAAWFTSTMGDGPS QGTEDEAV TRV_04871 MDKSSEIATQLRSSKAGLRALVSWPDSPRRRAFKKREEPYIKQA LEKKFYRNPFFPHAYFSPSEAAEGHEAEVRSLTLSHGENGLNPKATSCPPAFAVH TRV_04872 MYPHGAPMPPPQKPETFMLSNEAQQSLPQDVQVALQQVDNLKYF LISAPVDWTPDQLIRRFLLPTGDYVSCVLWNNLFHISGTDIVRCLSFRFQAFGRPVKN TKKFEEGIFSDLRNLKAGTDASLEEPKSPFLDFLYKNNCIRTQKKQKVFYWYSVPHDR LFLDALERDLKREKMGQEATTVAVNEPALSFEFDSSQSLYEQLTKAQQANSSSFSAAH GSSAFASSHSASPMPRATDSMPPPQMAPPAIPVVHDEQQNTMYHGSVSSDPMGGQIVK TEADYPQFQYDRNGVPVSKVHQRHTSMPTYMEYSPAPSFVSSHYDEYANRGISFEPLT PPQHTMHLTSEPAYIANEDTGLYTAIPDIGSASSFNPMMQLPPSNVAAPHYSTASRSF PSSSVYSVIEGSPTYKQRRRRSSIPPSITNAITAATNNLHVQSHSQDMHQPQVPVQPH AVHRPSDLRRSMSTAIEGDASHEDSPPRAMYSQGSVHHSRTTTPLPSLEENTQVSVPI SMGETTTVSSLSNMETIDGTDAMHLMNGHQGDRPGPIRRARSATMMELGPYPQKSHSC PIPSCGRLFKRLEHLKRHRRVHETQQSSNTGSQPPSFKEDEQENDREQSVAVSQHEQQ PQQSQQVPTSSANYLPTTVVNMANVTSMHSMGTHSNLPSMVAPQIIQPQMVQPQMLQQ QI TRV_04873 MLGKRKREVTVASRKQAAAVKDESTLDTSAQDAQERLRKYFESR FQPLELPGSRSGATLDGSSNAYNTDDSDQGEWDGIEEEDSSEDEDSNGPVPEVVDYSK TPKVRTELVDKATVKKFMSSKPPSLLSDSTKPATTAKTRKGGEEATDDLTTDAANLKN DLALQRLLKESHLLDSASDLNPTGVNRHKALDLRAQTAGAKTSIFTQSNMPMSHRKGI SAKATQKEERRRREAQENGIILERPSLAKSKPTAKKRERGIGGPSVGKFVGGTLKLSR RDVMAIQGPKSSKKGGRGSGKGKGKRR TRV_04874 MTRFRPCIDLHAGQVKQIVGGTLSTKPGELKTNFVSDHSAGYFA KLYRDNGLTDGHVVKLGPGNDDAAIEALKAWPDGLHIAGGITDKNAQYWLDAGAEKVV VTSYLFPDAQFSIDRLQSILAAIGGDTSKLVLDLSCRKRGNTWFVAMDKWQTVTNMEI TQGTCCMIDIKKPSSSSPKSRYDEACSDQCYPRTLETISMLEPYCSEFLIHAADVEGL QQGIDQELVSRLAEWCAIPVTYAGGGRGIEDLERVKEKSKGKVDLTIGSALDIFGGSG VTFEQCIEWNTLNAG TRV_04875 MATKTMTMPKHKLPKNIKLPPENERYMRACSDIANALIQDYEAQ RDNSKSKKDINLNKLRGTIAKKHYLSNLPPLTAIIAAVPEHYKKYILPKLIAKPINSD FEYSTQSYTGYEPTSMRAIRARYDPFEQARGRVDQIKSLGHSVDKVEYIIMGGTFMSL PEEYRETFISQLHNALSGYQTDNVDEAVQAGEMSNIKCVGITIETRPDYCLDTHLSSM LRYGCTRLEIGVQSLYEDVARDTNRGHTVAAVAETFKLSKDAGFKVVSHMMPDLPNVG MERDLFQFQEYFENPDFRTDGLKIYPTLVIRGTGLYELWRTGRYKNYTPNALIDIVAR ILALVPPWTRIYRVQRDIPMPLVTSGVENGNLRELALSRMKDFGTTCRDVRTREVGIN EVKNKIRPNQIELVRRDYTANGGWETFLAYEDPKQDILIGLLRLRRCSSKYTFRPELT GQPTSLVRELHVYGSAVPVHGRDPRKFQHRGFGTLLMEEAERIAREEHGSRKISVISG VGVRSYYAKLGYHLDGVYMSKMLDPLDDTEDSD TRV_04876 MQSFRRSTLSALQNASRVQRRGFAGLTGYSSTVKNLRINADTKV IFQGFTGKQGTINACIGTNVVGGTNPKKAGETHLGKPVFASVEEAVKETGATASAIFV PCHQELTSKKLIHSIVSLKEFLSMGQCKIGIMPGFIHKRGRIGIVSRSGTLTYEAVNQ TTQAGLGQSLVVGIGGDPFSGTNFIDCLRVFLEDEETDGIIMIGEIGGSAEEDAAAFL KSENKANKPAVSFIAGISAPPGRRMGHAGAIVSGGKGGADSKIAALQDAGVIVERSPA SLGKTLLAEFVKRDIV TRV_04877 MEKATQSRRADEQTSRRRSKSRESINDGRKHKMALHESMSQLPR ALSRRFQPVFSSQLPAYRRNASSESSSPSPLDDLEPSSSLSTPPLPEEKIKEFDPVAR SKARKHQLSRSRYQYRSPKYDRGPLNPHRPPPTSHPSSRLFVPGPFSLTRVEQTYNST VASDILTLCYVHKPHGFKAPPPAPRLRQWDDSSPYHKNRPLRGPRGGDVLRLLRKPIT FNNIPELSGVTVHSYVKEAVSSSSFLHVAGMTLQAITNVRVKTHKVRTGVPKWGLIPG HKHVAATAELEGEDMYHFFSKLVDVVMPKFKDWKGVKVTSGDGSGNITLGLEPSMVAM FPEIEVNYDMYPPRMIPGCHITIKTTARTDKDARLLLNALGVPFNGKLLK TRV_04878 MISSQPALYGQSFPMAPQQAGLPPSASAASSSYTNLALQKPANG VASRDGLFTSPTESEFSEGADQLDPVRSASGCIAYDAGNMNPSSKVAPSYIYIECIYQ WMVLTFIANHFTGDNLLDCDQKILQEMGIKKVGDRVRIYVAVKQLRNRALTYRKKKNR DSLAALDASRYTPPSSESSRPSNNNSSRYQPSGRQWSRNEPPQHTYNATKPSSRPSSP LAEPDRQLRQYRYNGASPMESIRREQGSNYFGGYTHSNSSTSRHTTTSNDPPQPPRSV AHIRQNPSNDGLTISSLPTHSPVIRVIHTGGQTKALNIKQCKTADDIMVSVLKKLLIP ESHYKNYCFYVLDGLDPNPANCRRISDVELLQICDGSNRSERGRLILRNIHAGEPDLD ELELAARLATDESQVAHMNAMNTTNLRNQIKLQKLTGESWDHLRQPMSPITDRSREDH RRQQHNDTAERDQSNKLRSFFGARPPSEMIIHELTSYFPSHQKEDIEKTMRLSVRRSQ RMSRAASRLSVMSGVSLASSMKDAPPIPSIADTWLSAGTPSNPPSRAGGRPLSVSRFN IPAASFRDSIASSALEPLQEESPIQDSRKSYVSFDDNSDNPAIEEPERQTFLDETLSV TATDGGGSMNERLSMIVAEDGDEVDDGLADFLAGNNFGNKNWMKGSLIGEGSFGSVFL ALHAVTGELMAVKQVELPSATKGTEFDQKKNLMVSALKHEIGLLQGLRHENIVQYLGT STDDQYLNIFLEYVPGGSIATMLKQYNTFQEPLIRNFVRQILAGLEYLHSRDIIHRDI KGANVLVDNKGGIKISDFGISKRVEASTMLGSGAKGPSNHLHRPSLQGSVYWMAPEVV RQTAHTKKADIWSLGCLVVEMFIGVHPFPDCSQLQAIFAIGNNQARPPPPENASKEAM AFLDMTFEIDHEKRPSAHELLSNPFLETIA TRV_04879 MPCPSLLYSCHPLYSSPSPSPPSTTVYCLPLSTTVYYCLLLTAS YFCCFFCFSFSCSSSMTKLAPSPATKLRLQHRRDKDEDADEEEEDEDDDEEEEEEEPP CPPHDINTSINTQDAILTAAYRYQGEAVYLADRRAAGAAAGSAVSTSVTSTATATPVP AATVAAVPVSVPVPGTAATGPGPGTGTGTGTADHLNPSWGYDLNLLSHAASHVALEGQ GHGHGHGQPQLQVHGQGQLQVQQQQHDRKVAPVYERPISENYGVEPSILDLADLGDPV QDFTTFLESVGLSSDWDSGLFNSVEPEPLLPTPIPALDGSTKQQSFGTAPRFTQELDS RNVAQLAQTTTTPTGPAAAAAAAAADEAPSFSNFGSRLPSLQPEAQDSDAGTSEHNSQ PRPAWDISTQEHQLFTARLEDFAHVLPKNFVRPSRHALSRYMAGYISGLNEHLPFIHV PTLSVARCPPELTLALAAAGSHYRFENSRGIELFHAAKAILLERLRRRDSRRTTTLPP NPQWAPNSTPRASSSVVSNPSNSPFPQQQFISFMDNTSLPGEDAEGQMEIIRTFLLLT IFASWESHPDILRDMLALQSTLARLVRDHGLKESPATTTPPESLSWPDWSRLEADRRT KLVVYCFFNLHSIMYNIPPLILNSELNLNMPCSADEWKANTASQWRRVRRPSSDTNTT PTPSSFQDALANLFTKSAAAAAAGTTTPPPPNPVSPLGNYVLIHAIIQQIFFARQLCL SAPSMAGTSLRPDDLAVMGNSLSAWKRGWRQTPESSIDPQNPAGPIAFTSTALLGLAY IRLHLDLGPCRRLVTQDPVQIAHALNDSPPLTRSSRLIMALLHSAHALSIPVRLGIDF VARTHSFFWSIQHSICSLECAFLLSRWLLSIPATQHDQGLSDHERKLLLWVKGMIDET DMSSSISAATEAELITDPALMRRLSVAVVRVWSRTFKGNTSWPIVDLIGASLEIYADL LDI TRV_04880 MVLAKSKNSVGLGNSLMNDRFGKGKRSDRKKVSHNVAAIQRKGT DGETYITNPTEEASWVKMRSITEQAALDEFLSTAELAGTDFTAEKLNNVKIIHQDQKN PYLLSAADERNAIRKHQKNRSRLTVPRRPKWNERTTRNELDAMERESFLDWRRGLAEL QEVQDILMTPFERNLEVWRQLWRVIERSDLVVQIVDARNPLLFRSEDLEKYVKEVNPA KRNLLLVNKADMMTLKQREAWADYFEEHNISYKFFSAALAKELNEANGVGSGSDDDDD DVDTALAEQTSNAHIQDSEEDSEAAEEAGEEEEDSEEDDDGGGLPLPQESESKRTEII TVDELEALFMSAAPPRDPNDEKGKDVTTIGLVGYPNVGKSSTINALLGSKKVSVSATP GKTKHFQTLYLTPKIVLCDCPGLVFPNFATTKADLVVNGVLPIDQLREYTGPAGLVAQ RIPLHFLEAVYGMKIPIRSIEEGGTGVPTASEILRAYARARGFATTGLGQPDESRAAR YVLKDYVNGKLLFCHPPPKDPPFTDPKERSDYELEFNEGLYDMAHLPARRKEALLKEH AAAIRSDSVDGISDDIDLDSDMAPSHVSGNSSIPAQSARSKKLDKGFFGPGGAGSAGH LTMPFNYKYSEVGKQKAITGRKQRALIALEKNIDVSEVRGPGKKHFKANRKVKTKKKG NSFLAEEE TRV_04881 MPPTKQWIVKSSSSKRETRTLRELDEEFKSATRKNEGEAANYGI FYDDTKYDYMQHLRGLGEGVGDAHFVEARTKGKGKKGMKLEDALKEISLDGDGAESFT YGDANDDILSTASSYIRKATYQDQQDVPDAIAGFQPDMDPRLREALEALEDDAYVDEE CDEDIFDNLVAGGHDAEVDPDEWRDTYIEDDDEGWESDATEKAPVQHDTSTDSQLPTA SDAKGSSNKSSQPPNDDQIPDMEEHEGDWLKDFAKYKKDMKANKAAAKVDDSASELRT TASTLFTLGGTPVRKKKRKGAKTNPSAYSMTSSSLARTEGHRLLDDRFERMEALYALD EGEEYEGSSIADDMSVASGVSRFSRFSKLSQAPSLVANDRNTPLRSDFNDVMDGFLDK WDDRRVHAKRKGAQGRRGKNGNEVIGMRMLDEVRQGLGPPKLSTNAFGKV TRV_04882 MFHTPNLVGMKKKYVPKRINEGWGLQHMKLYGVDDEIILSGANL SNDYFTNRLDRYHVFQSKELAEYYSQIHHAVCRFSFQVLPDTKSKQGYVMNWPVTNAG PSPLDDPEDFISAASISLSKLIQPPATQRVSTSMADNQTYVYPVAQFTPILKPDQSTE FPAVTSILSTLANSPMLKNSRWHFTAGYFNMHPVLSSLLISSTTPSPDSSSSQGTVLT ASPWANGFYGSPGVSGMLPAAYTHLSARFLDRVAESQRTNSIELKEWRKGTVNEPGGW TYHAKGLWVTLPNEKYPSLTFVGSSNYTKRSYGLDLEVGAVVVTTDNSLKERLGQETE WLQADSKPISREDLRRVERRVSWNVRLAMWIVERVGGAL TRV_04883 MTVITKPSITAEALFDQISETYEDAYGGNDGLNTAFARLNDYHE AGSSVLDIGCGPGGPASRLIKAGFQVTGIDISQKMVDFCKKSFPGTFHKADMTKYEPS QQFDAIVSLFNLFQTSYTTTYSMVFKMASWLRPGGTLILGTIAAEDYIQDEAALCTAR KHQYIENYDAKFMGRVIPVTFLTMSRWLNVVQQAGLFIQVVDLCDFEIKGFKHKENHL FITARKMNLEPLFGPYPLPTFRRRPHLLSEGAWKPFAERLTRHEFDAVLKAVESNKEV LDIGSGHGETNLLAPELPVAIAKQLGKAYAIEPNGDRNEVLINNSAQSSVEIRQGTAE KLPFEDNKFDAAVALWILHYVDDLEQSLTEMARVVDAAAPNARIVIVQGAPDNEVVNL INKACAPIAQQGMLPGESAIDHQGFLLATAARVFARHGFGDISVARVDAHCNFPEEDL SVRCTKAADVLTNFWYKDHPRNSDMKEAFQPVLKEHFASRPLELSNNDPEKSLFLSHS TQLVNHLNDEVASWNVGSCLSVNTRRDLSQAEQKEPWGGGLCEVVQEFTVVLLAWPCC GPKSSPFIPRNYDRECTWDEVVSSPLSPDTDRMISIANPAYGEGPALYKLAEHGAMQS ELARNTWLGLVQTAQVEAQIVEYCRKRTSLGSAANEQAANSLRECIPDLQSVQADEQN TPEDTFQAQICLAWLFWELSDPEEAAANLPQEFERTVRSLTTGEQSLSPWTQVCIVQG GYLKGAAEELSSGKEHALQTFKSTFSWAATPASSDISNPQLLFWSEQYLARASMLAYE LFISKAPNYDEANLEFGLRSLRLWASHPDIKGSDPAVAADITPTNSSSKTSLWRAYYD LLSIVLQNDLQYPPMSDAPKRVQLSTEFRRIEATCENVLLRHSKFPKANVSNQEVELW VKQVIHNWEVFCSAEWCDDDFGEGGQGAISRNVLDILYRAATKTFHSTLILRELFHVH AALAEFDLALHALDTYIHLVKDAKERSEHSSHIREVEDPALFIYTLAEGLIMLCCFGS RREAEKVKRFTDLLDEALSERIQTNGHGPVQSSTQPGGTSIPTQWVSFAYRAIGIGLA TWARWTPISESRAELQANAICALEKALVAEAEQEPNPATVFALAMLRAETRDLDGAIE LVRTSLSSSNVEDDAEQADSRSIINYGERDIVPLWHLLALLLSSKEDFKTAANACDVV FEMVSTEKLLHKQSSVRPLRNPTGKEEASVGFAGDGQHSRFPDIETRERESMVELRMT QLALMDVMRGPEAALNYSDELISLFGRLFAGVGLVDIEGKVNSDRLTPPKSASGTVRT GRASIFGWKKNQRPPVDQAGLLHGDTGTAPGAASGMTDKEAGIIAPAPAIQVTNNDEK ASSPVQHRGRSRQGSLLRKENKLTQKIHRLEGSLTNTAPEKKLASNEQSDSARQALPP VYHNMKHTEEPAPAGHPHQPPIQDVRMPTARQSGPLNKALTRFPRIQEQKHSLSLLVK IWLFISDLYRKALLLEDAMEACNEASTHATRIEGLVAAQESSTRAFADEGWGGTKSPD EIWGDIYAERGYITQAQSKPYEAIKHFEEALMHYPDHPRATVGLATLLLDIHDQTLPA EESSSPPLPDISNISLHSPSRQQETNAPNGISANVEPTTTSPDDTPESLNRLAARDRA YGLLSTLTKLGTAWDNSEAWFALSRAYEQGGQIEKAKEVLWWCIDLENGRPVRHWWNV GSGGYVL TRV_04884 MSTSYPPDADMLLAMSNGITEQVRKYADMQRACNGRSSDFTSQQ GQMLQNQAEAVARECRKLQALVSEPKDWMVQVAW TRV_04885 MAGHYDGPLDMPIELIYPFDGLPDGSTIVDIGGGNGQNAIRLVK SYPQLAAIVQDHVSVISNAENMVKESYREEIASRTTWEAHDYYAQQPQKGADIYLLSH VLMDNSDEKCVKMIQEVAKVMDPAKSKLLIHDFVDLPRTVGEGARLIEMLDLHLIASL NTHSRTELEFDSIIERAAGDLGLARVKTWPGRGSAVLELRLQSTDDVTSLRSMTGPNI SNSLTAAISGTALPLIDKQAIVNYLGALAAGVIVLFLSLIAVHHLFTKLHAYIILRHI PGPPLAHLSSWLHRRAILRYTPAQWYHDVIEKYVAKIAPNLIVTSSPEVWMHVTTKPG YPRSEWFFRAMRVDYQHDHLFSLTDTAEHDRRRKLLGPGFHGTECSYELCINERLEEL LLLIRSKYLSSDSKVVPMDIAAKVQYFTHDVITAITFGKSFEMVQKDADTNGYIKSIK EGFLTANILVAFGLAKISQSSLIGPALTPVATEETGY TRV_04886 MLDSFIRHGVKGDALRSEVLDALVIGSFGPCYSICSVILHTLAN QSVLTKLRCEINEAVATGKAPRTGEGLISFAQAKQLPYLQAVIRESQRLLPPVIGLFP RDIPAGGDFVTVASKTIFLPGGAYIGRSVYSMFHCKETYGQDADKFRPERWFEAEDAK LANMIRVNELIFNHGKYQCMGKVIAQAEIAKAVFEVSAEIVSRHRHIANIVDEQLLRE FDFDVVSQDKDPWKTVDMFGICGVSDFWVHVKSVEGKTQT TRV_04887 MTAVMALRAAVHNLCAIPVKELTSTAEYIATTISESSAILSVPA NQGQSAGEPDKAVLLQKLKARITSLLQDKTVEGRWAGVVIMKATVESGRWEILRGCEP WARYILAILGVCSDQKLLPKADIEDWRNANQQLH TRV_04888 MQLHTLVLPLVASSNTQNVIPPSTRWAAQQLFISLHQCAPKNTG NEEWAKAIRATILSAHRTTDHLFRSIVEHWQSVDSTLPQGTCNRPSHSVVGDFGIGPL ELPIWSGIQQGTGRLVGLLELLSSFLKSRSNSTVSIPVGSILDLTCRLGSIAPPSISG EHGASINPEISRDEREALLSAIPPMHAASLGVLQSLLETFGSENPSIARSCLEQTTWM FTSSNAARSVREAAYKCFVASLTIMGPSLTKPDVLSLVPVIQAACSDIVPPKDSAIVT GNTTSGAKGTSTSTGTTNADAFLQNKSIISPGSSYETGPLADSAVKLLLATITYVQIE HIPLSLRAKIDRTSILAGNSDLMLACVLNPIPSTESQRGHPSILPFLARCQPSNTQVE GLLRPRMPVIFTGAGRKGRVEIEDYENGAEIETHGGDSQNPDVRESLAEKFGPRPAPA TTESTALLSDAGRNKRRHQDDVDNALPADSPQPDKRPRVQNDIPTSSNALPSGKVAQA LDVLSSSLSGSNDQNTLKMNVFPEPEISHPTQDKAQEPSQPPMITKSHETGTAADAES DDEIPRLNIESDTDEEGED TRV_04889 MDTSYIDTVKSHLQRRNELFDARIKSGEFQPLVFPRDFASIFIV IFALVIKWPRDGPVKYIRHLLYFHSLYLNIYVIVHCRSLLVLGGYGVGLIFSWLSIWS ASLLIFNDVQGTYKRIERSFVPFDTSKENLLQGRGGKTGRTPGILKNSASEYDSSNGN ANSKATCSDPKIVPRFKWQGFPEKLGHRFTWAFDLFFSHRGPHWNWRPKDFAPLPKQV QLQLSRGENADLDVDVLWEEKNTRGVLVGSIYKSVLYFFCLDLLKVISTGDRHFLGHI ICPPPPFLAFCGPLAPTIAYMYRLAMSGAAVYCAVLFPTTISATIFLAISQTPLGLKT RVPFEASFLYPPYFGDILIPILDEGLVGFWGKFWHKQFRAGFLAPGNWIKSKLMSRNP GRRWPQSIFWGLQVVISFTLSGILHFSGSYTQNSSTNPFNQFLFFALQIVGIFFQISI EKLSGRVIPFRIPVWLKRLSKCVFVLVWLACVGPLLCDDFTIGGVWMEEPVPYSPIRA MGFAKGTGGAVRWQAEPLRWWKGTRWWERGIIL TRV_04890 MSTKRRINLVDLTGDGEPTSDSTRKSYTAPTDGQELRARQSFVD LTQGEPSTQMEHDEELNAMQAVQDSQFDETAYLTSELYGHLETKIVGVRFYNGHATLG ECVLVKRDSNNKYDSNAVRIDNVMGHQIGHLPRVLVSKLAPYMDSNELLVEGTLSGEI GAYDCPITLHLYGTSEPGAREQLMEKMQRDRLPTTVVKAAIRKHKQDLAKKAKEDAAK MRANARALAQQKKGNPMFANLSQGAEPSQQTESLDELLSQSIAFNPRETEKIVEKFGL DETELSQMPMAECPPQLSTELLPYQRQGLAWMLDRESPSLPKEGSDDIVQLWKRVGKR YMNIATNYSSSTAPPLASGGILADDMGLGKTIQVISLILANATPKTPKSSKATLIISP LGVMSNWRDQIAAHIHKEHALRVLTYHGSGKKEAANLSQYDVVITTYGALASEYGQLL GATGKFAKTKRGLFSVRWRRVVLDEGHTIRTPKTKAACAACMLEADSRWSLTGTPIVN NLKDLYSQGKFIRLSGGLEDLPVFHSALIRPRNAGDENASLLLQALMATICLRRRKDM SFVNLRLPPMESHILHVKFLPHEKEKYEMFEAEAKGVFMDFQSNKKGKKTTYSHVLEV LLRLRQVCNHWKLCHDRVKGLMELLEKDKVVKLTPENVKALQAVLQLRIESQEECSIC LESLDNPVITPCAHAFDYSCIEQTIELQHKCPLCRAEIKDCSELVSPAAELGEDCNQI DVESDSSSSKIQALIKILTAKGQAAGTKTVVFSQWTSFLDLIEPHLVLYNINFARIDG KMNSAQRDAAMSKFSRDSECTVMLASLNVCSVGLNLVAANQVVLADSWWAPAIEDQAV DRVYRLGQTRPTTIWRLVMENSIEDRVLDIQKEKRELMSTAFQEKAGHKDQARRSRLA DLEKLLR TRV_04891 MEADPFFWSIDEVVAYLCHGSFNDWARSSLPRPDPIQLEGHLRE NYVTGEVLLTEVDREALPRYLGLKALGHQATIFKAIQYLRSRSSEFRNGVNNDFSNTQ NAVVTSPARVDTFPGPPVAENRSIPTPLRSSPQSQAPLAQLATPQPAESTLKLVDPPA PQQKERDARKRRRLNPDALSTQLLANVPENPHRKRYLCFQKHPVKDVFYKGIDSDDDN DTFGYVRPANCSTGESRFINRRILHFLQQVPQPFPEDKEGSLAIFPYDENVAPDVPRY FTLYNKNNGRVSVRMEEVSKWPHLREKSPLDYLAVKYPPQSDELPLYGESGSEDYDSE TCRDMDEARDDAKSDNEDVASSLMSAADLNAIIDQFITDTIEKWRNTKLPIYERTAYA LWNKSRKKGTVSSDIRRAKVQITHFDKRLASWIKGIKSNEYETGDRGKVLRQCASLEV TVTQREIQKWRVSVLELETCPSKPSAPPARPTASRPRFRDNDEESIGSDTDEAVESDP DFVVSDDNPMNTQGTISPERNRNVVPSPSSILEKENTNPLPDSAAQIPIRGPFEDVDV LDLTEADIEGEQEIEDADGSGSLDIHTPPLNPTGSSKDGLVFSPPPPPALPNPVRDRS PSDDESSRQPDITDVTALNKIDWETVIERGDRRLLLAKIIYTLSKDERAKLTALLGSV EKNTWRRRLREALKAIQKKGYKITSIPGEDVYLYKRMATLYVSWFKVVLIRGNVGIPT AYLREARRKDEVFPYYNDLTEILCVENRKSIKRETSCEIINSSQNTLRNGSSVVTDPI IIIDDDDEEEPSGREAQTQEIVRSTPRKKRKRAVAESREAMETQSRAQRRVEEQATRQ RILQEEGGIENDDPEKKIISFDDPVICLHRDLGRRIKEHQLSGIQFMWRELIKDEKNE GCLLAHTMGLGKTMQVISLLVTIANASNSSDPKIKEQIPRRFRTSRTLITCPASLIDN WEEEFAKWTPPDAVTRDNLGRVRKAISQDPIQRLRTINAWYTEGGVLLISHELLRRMI LYNPQKTGLQRLSTEQCEMLKGQLLDGPNIVVADEAHKMKNGASNLSKACSMFKSKSR IALTGSPLSNQLIDYYQMVNWISPNYLGTLKQFKAKYEEPIREGLYYDSTNAEYVKSR KKLEVLKKVLEPKVNRAGISVLQKDLPSKFEFVIYIPPTPLQKETYNQFVALTMEGKG EFEFTRLWVLLSYLTLLCHHPSCFLRRILEKKKARSQVIEREKAIEGGPGVVVPSESE PEANVDDSSILITVEDDVLEIEGQTAITDEVVSQFEQKFKDIKDLDSPENSHRTQMVG QIVDESIKAGDKILIFSGYLHTLSYLGSMLEARGHKYCRLDGKTPIATRQAATRDFTD SDAHVYLISTKAGALGLNIIGANRVIIFESEYNPTWEEQAIGRAYRLGQTKDVFVYRF VMGGTFEELIHEKGVFKKNMALRAIDKKNPTRSTGKSTSEFRRPIQSCKKHDLSEFQG KDPKVLDKILAQTNFIHRIVTSENLHREDGVQFTPEEKNEIQAELDDERLERSNPELW AKKQHERRIAQEKRIQEDMMKVLQSRFPVNNSLQSRPGHYSVPMQQAAPFNHNGNSVI NTQNMRPPWPPASHSPQLHLQPQTAPVTHSSNGVMNTQNTLPSGPALPRSPQLQSQPQ TGPVSHNSNGVMITPNMAIPRPPVPRSPQPQPQLQVASQAPNQMQSPSQISASSQGRS ETVSPQAPPTQSRPVQGPASAPVYSVPPTLPTPQAPVPAPRAQDPATSICRAPSDPST PTLAPAAASVSQASPVSQQTQQPISPSSVSSANRGNLGEKATQPRSTQPSPLPPLVTQ PVPEPRPSSPEMIHAGIRETFGSFFLSHERTLLAPSDIESVSRSARPPPAVLELKFAP PVASLRSLASKVFFFPLSLSYICAAFLHMAIY TRV_04892 MDLAACYPPELSSEQKQHLVATIKDWCIHHGLAVRPPPAFVQGT SDPQGVLATNAPVTLFPSLFPRSCYEAAVSVQETYNQLYASITTDTDWLGEIIEDLVE VDDFVAGLWKVHLAVKNEGYVQPLSLGLFRSDYMVHDPSGSSKEPVLKQVEFNTIAAS FGGLSGLVSQMHTSLLSSPTGQPIVYPSHPAFRGNIPPENTAVPTLAGGLAAAHKAYG PSKSSPNPLPLCVLFIVQAGERNLFDQMELATCLSQSHNITVFRVTSDEILELTSIQE SNPARPIIYTPRYSPSTQFEATTIYLRALYGPQDYPDESAWKARTHLERSTAIKCPTV LNQLSGCKKVQQILATAVSGPEMDYLTRFLPCTNPGRIQQIRSTFAPQYDLAEGGRGR ELALNPETAAFHVLKPQREGGGNNVYRDSIPSFLKSIPEKDWKGWILMELIQPPPAKN VALRSDGQVLSGDVIGELGTYGTILWNTNGETLHNTQGGWLMRTKAKDSDEGGVAAGF SSLDSILLV TRV_04893 MQDPRFLPHLVRQAPISVDVFYEDLLSLLNRVSWYHATNDGTLL QEHTPAKSPCKQAPEEPFIRPAVQHLMDDNAAPSTKGSNIIRDFPFLPRYISIRVPGW LLEYWMRTDSRLTYRDIKARMTAPPADIPSDNALNMRREREARSPLGLSCWTTRRGSI TKAEVERVERLSQSQISFNTTTTIEYASGANGKKIPLHLLMKRLDGGPPHRYPLDTFM DGKQGPHLPGQRLESTIQLLCQLQDYANALGEDDWRSLAPEYLPETWARRAANRLRRQ AEKATDSEENLTHATSSGDTETKVDKAMEKKESDTNCAKDEVKETGANGSEDKPLPKG DSPGGRNISQKPSISPNKNGPLPQTPSPRSESVGSSAQSQKSRYTNPFVKSQLVLSCD TQDLLEDCDGICCRPLASQESQPALSSHSELEDSPRETPGVASLSDGDSDDNQNSDLE LLSELGLHPQHRGPLSAAFNGGGTYPIRNNPNHRLNTHTDESMRRNDPFANYLHLPSG TSNLFGAERA TRV_04894 MAYFQGSSMNGFEMSMDMAAGGPLAPNTFGSSNGNAIDALSFFN LDTLGAQGPGNTGNQGTAAFVNVPAVPATSAPPVNSYPLIHDPIAANTTPIAPVAAPN PASQQVPVTANIGEAKQPRQPCRAGTDDPENYTEVVPSQSSWSFHNLPDILYVFRGPD RPRGNINVPLKPLPWPEHPPIRAFDLLPNRISSNVEEFRVEAWMRLDRRIQLRDITDR MNPKYRIYTNTLQQRGVRFRQAFSLASWGVSSKQTDTAIEIIRSKFIERGIDLNLNTT RGLTPGTYTDQNGITQRIPTPKQYSKRPDTTVRRGMAARDLILAPAFVQGTQNHTPNT TPERKAAASKFILVTPPSVTSSAEATSVTPPPATMPIATAPGSGLPTPSSSPANTMPA QAVASAATDAISDPQAAYIVASNLSASGEIRARAPNMETASQILAGTTEDAHQECQKD SDFEEAILRTSIMKDYLRRCKEEQPQKPHEAESYSHEDDAFWQPLTPVSDYSYLDSDT ADAMAYLTTWEPVVNSARKSTRSHASTQDSQPMTIWNPEKTLRKVQNRHSKRSISPRI HKNPIWKDRRSPPTWKRQQLQRLHNSRCNTSTRETAQTVNNCLTVAAVQPEQQISETQ IPSETSDNEDIELLYTSDDEDIELFDASDEEYFEDLHRQSGPGQDDQDYHTLENLNGD IVRNVIQNNPDVKTNDNFLHLCREYIKSHMDSQMQYPQNSELTSAPGGNASTSDICNS STSNVSNASITAASPIENIKHIQTLKDLVKICEHQANQPEKQSPQASDSLGQDSANED TLQRLSAEAEEILTQPLHQYFGMTEAELIEYVDKYV TRV_04895 MVKEKKRRKKERKEKKEKKI TRV_04896 MQNSRTLARIIWIHKTEREGEEGKEDIISKLTGINLIVAFAVAL KHKLRFEPGSGYEDISGLIDHLDTFAKAANDPNAASGKKPGMMKALGQYLSIPMAMSN PRKQIKRSDKPLGNLPAEILNYLSAYIHESLINGSIPMPVHQSQAGACLNALEEVMTG NERVLNTPLPLAYTILISQITWLYVLALPFQLVNKLEWVAIPGTIAATYIIHGIASIC AEIENPFGDDVNDLPLDVFCQQLAADLDIITSTPPAKADDFINREHNYVLYPLSKSSV NMWKDRSVEDIRAALKAKATLTPARLNEAGSRDIALAVKGQDESIA TRV_04897 MSDEISHKQEPAPQPQNLRAQSKASSMETKCEPPKTGQSTPSKI TPRPTYISGAAAASDLKLPQQMLSKRNTIDINDYFVSGPFILPPFSRHGGKNGHSANC VELVERA TRV_04898 MASILRSRAGVRAAVQARCFSSTPRHAAASAFFTNEPAGPTVKT AIPGPKSKEAISQLDRVFDTRSLNMLVDYQKSTGNYIADLDGNVLLDVFAQIASIPVG YNNPALLAATKTTDMASALINRPALGNFPSHNWASILESGLLRVAPKGLDQVFTSTTG SDANETAYKAAFMYYAQRQRGGPDVEFSSEDISSTMVNQAPGSPNYSIMSFTSAFHGR LFGSLSTTRSKAIHKLDIPAFDWPRAPFPQLKYPLDQFAAENAAEEKRCLEEVERLIK EFHNPVTAVVVEPVQSEGGDNHASAAFFQGLREITKRNNVLLIVDEVQTGVGATGKFW AHEHWNLSTPPDIVTFSKKAQAAGYYFGNPALRPNKPYRQFNTWMGDPARALIFRAII EEIERLDLVRHTAQTGDYLFAGLERLAEKYPGQFNNLRGKGQGTFISWDSPQRDAVLK RGKEVGINIGGCGESAVRLRPMLVFQKHHADILLESLEKIVKS TRV_04899 MSQSDIEAATALKLQGNKCFAQQNWPAALDLYTQAIELYDKEPS FYCNRAQVHVKLEAFGFAIADATKAIELDPSYVKAYWRRAVANTAILNSRAALNDFKT VVKKAPNDRDAKLKLAECEKLVRRIEFEKAIEVADPPSAFEGLNIESMVVDDSYDGVR LEDKMTQEFIDDMINRFKNGKQIHKKYAFQIVKAVRDIVYNEPTMVEIGVEKGSKLTV CGDTHGQFFDLLEIFRLNGFPSDTHYYLFNGDFVDRGSWSCEIALLLYAYKWMRPNSF FLNRGNHETDDMNRAYGFEGECKAKYSERLFKVFSESFSALALATLIGQKYLVLHGGL FSDDNISLADIRALNRHNQRQPGQSGLMMEMLWTDPQTLPGRGPSKRGVGMQFGPDVT KRFCEKNGLEAIIRSHEVRMEGYEVEHDGRCITGKSNRFVCAREALLIIVIVFSAPKY CDSTENKGAYINIGPELKLDFHKFDAVPHPSIKPMVRLPFSCL TRV_04900 MSTQFALSKHWKEYDVFAQQKMSTFRSSRPAVLELATRYRDLSQ DLKQIHSKRDDMTPEQQQEADKVLFMEMCEICLWGNATDLSLLTSLTYEDIQKLQGAE ARKKAEANVLVNDLPAAFELLNKARTERKNEERRVDIVLDNAGFELFVDLILAGYLLS SGLATCVVLHPKSIPWFVSDVLPRDFGDLIALLSDPQSFFSSTGEEETGEEKKPQPLT DKEVDELKYLFQQWGDFHAEGQLIIRPNRFWTTASSYWRLPHEAPSLYEDLKESELVI FKGDLNYRKLTADAHWDPTTPFDTAIGPLGQGSGVRVLALRTCKADVVVGLEKGEDER LRNMPNGGGDSGSRKWAWSGKWAVVSLCDRKKKE TRV_04901 MLGTPAPEVDEDKDLTIPIRPLDPSSHKKNDDLKKAAQNLSSSE DRKMEIPKLEPKTQEPAHAITSPSKSILLTPGTATTRRKTVSFGAGVKDNERKPVFLD YERDLELSGGNISSQWSMSVPSGSGRRSKLTQSLLEAREEKFGQKVDGFDDTPVKKEE RKDTHEKDEDEYRDSDQSEEEEEDEGDVTINLEAPHSQSGKYWKSEFENYRTKTEKEI RKLIEYRSVAKSYAKKKETEALRLTEKLKQEELKVAEMERRVSELAAGMVGSGDGENS NKDQMFRELSRQTAAALQYKQKTATLRKTLEDHGIVNKEMLAEDLSAERTAAKLREVE EALCEANARLRESERNPKLKELQELVRSSERKAAELKRENLLLQRNLDRVKTELLHVG ERRKAQEERAKKREERLESRAQEYSKRLTDSLRDHQAAEEALRKSFDAERKQMQETID LLKGTLALRSDNSQPQTSTRSTRREEITEDILERLKKATSNYHARVDSYDSGDDQVEI TLPSDKDVLNSPTKSLTKSRENTQSKVPESPFDEETIGALLKTLRGGTGSPTKQSIQQ GTSEHIREDTEASNSVPTASVRRKLQLKSDAKASGNSSKHSDEPLNLAEELFIRLGGS ARNLESLRQKDGDMTNTTLGSVGDVKSTPLSKPAAKQRTSYRPGHSPRPSLSYLSSGR VDKLPKRPKSRTSSHPERHRTTNHSDINRQSTTKSDGPAQSKLGSIPSDRLAAAKARL RQRQLHAKVEAEDKENMLSA TRV_04902 MPRKQRKGSTKTNGSPVEDKQQTASNLALATNYEEIHKNEADAL RSIYGDEFEDVETKPSAWNQSSTISFKLQLKASSNPEVRVCLLVELPTTYPKSVPILK LETVQDVRQSAKERLEGVLKSKPVELLGSEMIYELAVAIEDVLEDCAQAQAQDKDLPS LEEERIVQEAAALQQAEKLKQEELARQQEATVEEEKSLQLLVEDKLKQRQRENEQLSR RKSRTNAEDFGLLESVIDVPDAISFDPPMAITGLHDRPLWFKSVSGKNIIGKSHYKET FLVRPIVPGNTPLVPLLVLKEIFIQESEHKDIDLRFQISVSEDKLEFLKKLRHPNLVD FIGFKIQRPIDSSSSQTGTWHIYALFEHANKGSLSELLDIVGSVPADNARAWMIQLVE ALEYYNRHGVVHGNIHCGRVLLFRSPSSTTTVKLLGNVEEVLPLSSTARRRSLTTPKS PFWLPPELAGEGMKPTIKADVWDLGILFLQMGFGKDILQRYTSADAVINSMELSPQLE DMLVEIFRSDPKKRPTPFQIQPFEFFRVDTPLIVPTGTPGSSSLPHRTRHDSQGHLAA VSRYSHDFDEVGRLGRGGYGLVVKARNKLDGRFYAVKKISQKSPVALKDTLSEIMLLS RLNHPYVVRYFTAWLEENYVAMSDDDGSDAILSSDGSGSHSGDNVEFGYSTSGLDFIS SSGYPKVEFGYDTDEEGESDTISDGTGAQKKGTNASTTEEGVSLRRVRSGSQSRVIPT TLYIQMEYCEKHTLRDLIRFGLHENIDSSWRLFRQILDGLNHIHSHGILHRDLKPDNI FIDMANNPRIGDFGLATSGQLSSTDRSPALENIGAGFTQSVGTTYYVAPEVKKASMGQ YNEKVDMYSLGIIFFEMCYPLETGMERDRILQGIRQKEHTLPEAFNLPEKVAQGEIIL SLISHRPSERPTTTELLQSDKIPLQVEEEMFRKAVMGLLSDPESPDYKKILSAIFSQP QKKFEDIAWDIDSRASPTATELLLHGVVKDKLTALFRKHGAVETCRQSLFPRSSHYGP GAVRLLDPGGNLVQLPYDLTLPNARALPKQDLSIEKAFSFGTVYRESVHRGEPRGHKE VDFDIVSYNTLDLPLKEAEVIKVLDEIIDEFPPLRSTQMCFHLNHSDLLEAVVAFCRI TPEQRPIVKEVISKLNIGQYTMQKIRSELRSPSIGVPSTSIDDLSRFDFRVVSYLEKF KVKRKVYISPLSSLNDKFYNGSVLFQCVFDKKRRDVFAAGGRYDSLIQEFQPILRSNR PRSHAVGFNLGLDKLNGSMTNYLKGSNKSFLKPDAEVGNSWRKNKCDVLVASFDPSVL RTVGVGIVSTLWAHDISAELAADTTPSLEDLLTRYVDDNYSWVVIVKQDSIERGLRVK SVQRKEDFDVRSSDLVPWLRNEMRARRKFKHDRPPDSPKLVKHPSQVEASLSGRERDS DVRILTPLHKSKKTNRRNIVEFALRRSREVLDKGLDGPIAAIDVRDDVLESIRDTRLS DPDSWRNAIQSAPLVERKYLGNIHELLIDLASESVAPDGTVKYTNAFIYNYRTGNCIY YDLKRGN TRV_04903 MASADSVEAQEGVAMAEQPSTDLQVQEADATEVTETALTTTDGA DKEKKTKIIRRKRRPARVQVDPSTVKSEPPPQTGTIFNIWYNKWSGGDREDKYLSQQP AESRCNIAKDSGYTRADKITGSFFCIFFARGLCPKGHECEYLHRLPGIHDLFNPNVDC FGRDKFSDYRDDMGGVGTFMRQNRTLYVGRIHVTDDIEEVVARHFAEWGQIDRIRVLN QRGVAFVTYTNEANSQFAKEAMSHQSLDNNEILNVRWATVDPNPAAQKREARRIEEQA AEAVRRALPAEFVAELEGRDPDAKKRKRLEAGFNLDGYEPPDEVWYARSRELEQAQDG ARELEPAAQPGLIEAPPPAQPAAGNGILSGSTLAALQSYGGSGITTKPAETKSSGGPL VAYGSDDDSE TRV_04904 MHNQEFNYQTNLYPTIRKLARLRAQLLEPTGGSGSGGGAGFDVS KSGDARISLVGFPSVGKSTFLSKITKTKSEVAAYSFTTLTAIPGVLEYGGSEIQILDL PGIIEGAAEGRGRGRQVISAAKTSDLILMVLDATKKAEQRALLEAELEAVGIRLNREV PNIYLKVKKAGGMKITFQSPPKYLDEKMLYNILRDYKVLNCEVLNLFDSSNRPIPSLY VYNKIDSVSLDFLDQLAREPHTCVMSCELDLGIKDVVDRCWQELQLIRIYTKRQATPW SHLFVLNVIVIANRSVEKEWTPTSTRL TRV_04905 MVAETKLYDSLGISPSASQDEIKKAYKKAALKWHPDKNPDNPSA AEKFKAGGRRADNLCADVSQAYEVLSDPEKRKVYDQYGLEFLLRGGNAEPPPGAGGAE GMPFGAGGMPGGFQGFGGMPGGGTRTFHFSTGGGPGGFSFSSPDDIFSSFARSGGAGD DDLFSFLSGGGGRGFGGGGGGGPRYRREARRPPTPEVTTVERQLPLSLEELFHGVHKK MKIKRKTFDERTGKRSMEDKILEFDIKRGLKAGSKIKFKGVGDQEEGGTQDLHFIVAE KEHPHLKRVGDDLITTIEISLKEALTGWSRTVNTIDGRQLRVSGAGPTPPGFEEKFPA QGMPKPKQPTLRGDFIVKVDVKFPTSLTQAQKTKLAQAL TRV_04906 MKTRLTYIHEGDSDFDPSQTTVNQTTLSIRALKAAKQERILFDY TELPHEIRQVLKKCRDLRIRWASERPYTAVAPFSSRVSPGLHVVFTPASSGSSGESLC RLLQKSFSSQIDCTISPLSSSPSSFIPTTDPYARLKLQTLLPSLHQLITYLDRYICQE NTECHNRIASISSASSLDIDYNDSTAHLMVAAYWPDAPEKAGWTEVINEPKYDGDRVE IGILAEQTPLKPEEVRVGGMLADIGRKAELTPTLFSFGSRHHPIQTTYRTQFTSPTGL HPTLQLSLRKSSLYPPTKLPPDTTCSLYTYLTLPSTIFADKYQLSTKDRLFLQSHNLV SLHSIQGETDLEAPNWITQQWGSNLLLELATPSLEAASEYPLANDEWNITVPLHLRYL HPSPSGYRDISVPWPVVFWACASDDGNADDKQKINPFDRVSLGWESNFPARTTYYHLQ PEPEPASSLLVETISVPVLNMSRDTQRMEIQVGTAMIIIGGFMWILWKLGLITNSPAP VQATDEPEESRKDQ TRV_04907 MADTSCLPAQTAQKRPRSRQSTIEPMRSRSNTASTKSARLSRSR GSTASIHSVITQYHPDVQQQQQPAPQDIYATYMRSQYPPSQVTYHHPNPEEMILRYGE QFGHATAPPPAPRLDEHTLQQQQESHNVSAVRQQRPDLQLQEHLLRNRNISVQPSQPS PPPGIIPAEFASAHFPQMFDTTENHHHPTPDRMLEDNDGSEVGPRKRRGTSSSIANDN ELRRLLRQYDGYSLQDMASEVRKNEGAGGKSEKVKQVFAMIWLRENCQRGDGSVRRDR VYCCYAEKCGNDRVSVLNPASFGKLVRIIFPNVQTRRLGVRGESKYHYVDLSLIGQDT KAECDDKMDKVQDHGLNLDGAASQLESSFLPDSQQTQKSIVDAGDATSPGLNILQQSQ PSAPTHRCACADTNVSDINVGVDPMAVKTQLKIKHLLQFEPTDNTPSTDSDTLSLPNI HQYLPDNSDPSAADALAALYRSHCTSVIDSFRFCKEKNLFRHFTAFLGTLTVPVHKLL IHPNVAPWVEECDWIMYQKMLAFVSPLTTQVVPDPVLKAFQSISCKLVTHIAETLKSQ PEHVSTARLVPCRLFCHLLKRMLDVNQSAIAAAAWLCHQQNRNKMWEEFSTFVDPTET VIKANIPPCSLKTAITILKEHMKSLLYPLDNCPPPQTDSIDQELAGFQKFPLQQTNNE EYTNFPDRWITFILQLPAMFPNHSATCIIEKADALWTTALHRLTLSNAESFSAWWMTK VFFLEMMHWQAERGGFMATSPRSLREHSANLLNKPTATVAAQLASFASTNHLSSQQTE TEQSTQEAAPNVNQATSNTAAKTIKADVPNRPAVDTSLEGNQINNDDSAIALEEDSVL LGTTKYTDMTLSDPADAEGDVIVV TRV_04908 MTELIGEGGGATSFELPARTNNNNNKKPTKTTTLLFEPANSLIP HRLRLQHPASLSLPHKHTHIQATSKMSFQDRVQHQIAQIDKELSRYPVLNNLERQTSV PKVYAFLGVAGIYVFLVFFNIAGAFLVNLAGFAIPGYYSLNALFTSSTADDTQVGSAM LWLLSLSLFRRLRFVICARANVLFYWVVFALFSVVESAISASYWFPFYYLFKFFLVLW MALPQTSGAQIVFHSFIQPVFARYFQSPSTSSNLRAQAEQAAKEHSS TRV_04909 MDPRKPPEHILEIFADRASVKEIVKGVIHAIFFHRYFPCVRPDS VDVLDLTLPVVDDLEVETLIDARVNALIQQHLSTASNSATGGVRSRIAVQFFEKRRKK SGAWFTGLTGSGDEEVCWEIWTLDITIATPRTELDRAKVRKAMEKMLRKAALKIVAIA NKNKDHIPPITSESNPFPYQIVLNPKLDNWGNRLGLY TRV_04910 MFNSTKQWLRRHRSGIAIGAGVVGVGYLAGQYVLGKISEARERM SSERIAREKADEIANDYTPSLRRRFEQNQTDCTFTVLALLPTATENILGALPVEELTN ELQQKRAERLAKQLNGGEAAGSEISSDPLSTVDDDGKSLSSLRSQGYVHTSQMGDSVS GDGTPRKKSRTQLWNELKVNSLTRSFTLLYTLSLLTLLTRIQLNLLGRRNYLSSVISL ASPQADPAIISLEENDGDNAFGNDFETNRRYLTFSWWLLHRGWKDLMERVEEAVVEVF GPLNPREDITQERLSELTLEVRKKVEGATSEERRVKQWLPYLLPAVEQEDYVLRESGV LLSSEEVSPQTASNLRHLLDETADLIESPQFTHILSLLNNEAFSYLIDHKCAIDAFKK PPTVPATQAPEASPANLFSSSATVVPSDSQQPPPNPRVKLATILAVVSRQAHTIGQGS NPPNEYLSAMEEGVRELEAFAAVVYSSNFGLEGTTSPGGSVGGSFVVVPLSEKAEKVS KIEMRDVEAEDEAGAGAGAGAGADVEEINFESAWGKATTDEK TRV_04911 MTSVTGSLVAAAAVASPTSTHRASPQGGIFDKANPTHYDSKNPL VIFIIQAGLIIIFCRLIHIPLSRMRQPRVISEVLGGILLGPSVMGRIPGFRETIFPDA SIPNLNLVANLGLVLYLFMIGVETDLRSLISNWRVAASVSAAGMLLPFGLGCAIAYGL YHAFRQEPGLAPIGFGTYLLFIGIAMAITAFPVLCRILTELDLLSTRVGVIVLSAGVG NDVVGWILLALCVALVNASTGLTALWVLLTCVGFTLVLVFAVRPVFLWYLRRTGSLHD GPNQSVVTLTLLLVLSAAFFTQIIGVHAIFGGFMIGLICPHDGGFAIKLTEKIEDVIG ALFLPLYFALSGLNTNIGLLDSGTVWGYVFGVIFIALIAKVTGGMVASRLNGLLWRES LTIGVLMSCKGLVELIVLNIGLQAKILSPRTFTIFVVMALVTTFVTTPVVSYLYPPSY QIKVERWRRGEIDWEGNVLDSEHDPYSGADSISRQKSQGASVRKLMIYLRLDSLPSLF TFVSLLGAGDGRDPVASRTHHAHTNTNNNDGEEAEEEEEGRRPESARRASSRPIEVHA VRLVELTDRDSSVMKVSEVQDSNYSFSDPILNAFRTFGQLYKVAVSGGVVIAPEHAYA ETLVNKARDCASDLVLVPWSETGGMSERQIPLLDDKSEKFSTGPHSSFIFNILKNSRS NVGIFINKGFGGAGLARPKPGEISRTFSGHNTYRTNDLAMSPSPDSGHHIFMPYFGGP DDQFALRLVLQLANNSSITATIAYMNVINDAPTPQQQQEKDADETFYRSIRDSVPPEL RPRVVFQTVDCASSSCNALLATTRETAAQDLARSTSSSNGNLVVVGRNSLAMTTNSAS APAAAAAAAATGSPSVAPRPSSSSAASASASPEFGHEAKKAIGVLGEAMAGKAYGIDA SVLIVQASH TRV_04912 MGVKAEADVSPDLSIEQDSELPQLKNTTERKLMAKIDLYILPML SILYLLAFLDRVNIGNAVIFGLKKDLGITSGTQYNTALTIFFIPYVLFEIPSNLLLKK FKPHVWLSLCMFAFGLITCLQGITTNYAGILATRFFLGLAETGMFPGCFYLMGMWYKR SEAQKRFSFFFSSTTLAGAFGGLLASGIGKMGGLRGYNGWRWLFILEGVFTCVVAVIW FFLIPDFPEDVKWLTEEEREFIKAKLAKDVGKAGVDAKVTFKDVISIVSDRESYRSSY PSSNCFYTNLGFTAKVLIGGFMYLGMIVPAYTKLFAAIETQLYSIPPWAVAFVFAMLM AYVSDRIAHRVSFATLPIAFAIAGFSMLLTVHGKENRHIQYGALFLITCGTYSAMPII ICWYAMNLSGHKRRAIGTGWQIGFGNIGGIIATYSFLEKDAPQFKPGHSISIGFLCLA VVSSVLYLFLILSRNKKKDAQGAPTQALDQEDGDLGDLSPNYRYQI TRV_04913 MSNNPPPPSFDRDSSHDLTSFQTIAARIRQLRDIISEMDEDRSF FLHALDRSLQEYNTADSTVDPQAISNPSSEPLPTLSQTLQRHVISQREARRQARQAQQ QQQQQQQQQPPPPPPPPPRPPLQRQESLASLASLQRAERQFRQAIASIGAVESTPRQP ERAPARSPPMDSMSDHRQSKRRKIDADDSRDTTKGFSYSHYGQVTSVPLEMEVHSCKG GSAEPDRRGNSPDHILVNDGVAYRAQGGFCNIVLKHRGEIPFSLKKLIIKTPKSGCHD ETNVREGTVSIAMDPDSRFLRSVASCTADSMPGFPSRRPRRRNEQLSPFTAAAMGNTR RPETSASILRARMLRTRASQQPYQAFDYPFGGIDVEDKSDDESTQLDAARDQLGLSDP EPSNSRDSSPNHDGSDSESSDGISGVAEALAQNLDSRQRQLLEALGVRVPYMQTRPSH RHRALSPTTVFARPAAETGQNQESDTMQPVARFAIEHERAAVQIRFNPPVSARYILIK MWAPYNPGRMDIESIIAHGFAGPRFFPSQEPR TRV_04914 MATHETMATNGNSGISADEIALYDRQIRLWGMKAQERIRNANIL LVTLKALGNEIAKNLVLAGIGTLTIADDGVVREEDLGGQFLVTEENLNQSRVEAAAPQ IRQLNPRVKLIVDPSSIKLKPPVYFEQFDLVIATDLDFETFSTINAACRVANRSSYMA GVHGFYGFIFADLISHTFVIEREKSNVAPQTNETPTRTVLEVTTKVENDKTIEMVTKR ETYTPLLLANTSPLPEEFTRLPRKRKQVTPLLTCLRALWDFERQHGVPPSFSRQDLEN YTRLANERHLELQLDPSTLTAAVLRSFLQNVGSEINPVAAFLGGSLAQDAINVLSQRE QPLQNFLIFDSEKSVAPVYSLHPFFPDTTNGL TRV_04915 MLGSIALGLALSPVVMAHGDHHKIPDGKVISGDPLVRYLPELET EVDVFEATVANDFVWLIGYYAMDSHSADDSRVRPHLSNWHGFGLVGTAVAILAYFLGH LHKGRQFAPNIHASFANSLMLMLVVQVVLGVYLKLHIERGFHGRIRRYVVVTHGVVGK IMPLVSWIQMVFGGITALGFCRADHLGQCLAHFIMGSAFIAYGIILTILLLVGQFWLR STGRSQEFFDSAVITAWGFVNTFTEHRWGSEWSHSDMQHTTMGIIWWCAGLLGMWLSR KRNGRPKRNIFPAVVILLTGYAMSSHAQHLMLSTMVHSVFGYTLMAAGAARIIEISFV LKDRSTLSPDGSDPNSFQYLTPYLLFASGFIFMGATEEQMQLLHDAGVGHVSYLLILY SLACLLFLSPFGLDGASPNADIHSGMNGGATAAAQERNIRDAQEFELEGLIGAADKDR DDEEAPANGAVKL TRV_04916 MDTWKKQVGEKTPETSRNPSPDRLQQRLNRIFDESRTNNSQPSK GQQETAWSATVRAATYSAEGGRSLPSSPTKPSRLRPQEDRPHSSSQSIAASHRRAKTD LPGLVNGPSFPIHGIRTTSHYADTDPSKLVDVDLGASTEKANEPKSNRSSRLSSGLFQ GESTPMRLGLISSPRSDRGASDDIMHDTSPSLERSSRNSSPSSPTKLSGNMNVSASLR QVASGNPFSFFTSKSQQAQRESLPELANDKLLNLDIKSILCHPSFADLPPEEALHSFR ANAENLLREFQESYKLRTFALHGAVAEKNAQEDELEDAQSRIKNIKSQLDGMAARVVE QDKALKALTEELKAERQKYQDEIKTKQQQQQKQPEDAATPTRHSKGTHSKNSSGVTIT SDSGFDSGDESIAESVFSRENDDGASVNTRFSAVSMASPSATATQPSPAVSAPTSSPS TPKAAQPAPQRESAYGRVLKGISSSALGTSFNALTSSRLKCPDCRANGSSDASTVTSI LREENRYLKNRIIDLENAVEECISLVGG TRV_04917 MITLDLGSLCAECVSVIKDIPLSLLFGLLLSCCMGLFSLVYLLL LILAPAPRAPFPEEKKYKAILEDGSLSKDQQLPCWHDKINLDTYKQSDLEEPTLFMSV VIPAYNEEKRLPGMLEETVSYLQRAYGTPERTGQAEKTKTTSLQDGDLGETRLRHHAN GKTRSTTDKDSGVPLKGWEILIVSDGSTDNTVETALAFAKDHQLSTHPKGHAGPWTPK IKEGVKIPAGSIRVIELTQNRGKGGAVIHGMRHVRGQYAIFADADGASNFNDLGKLVE ACEKMEDPNRRVVAVGSRAHLVGSDVVVKLTFLKRSKLRNFLMHSFHLALRLLTPPAT ARINDTQCGFKLFSRASLPYIIPYMHSEGWIFDVEMLMLAEFANIPVAEVPIGWKEVS GSKLSVVWDSLGMAYGLAILRAAWGFGVYTRAGN TRV_04918 MPFTERQLHVLHITERVSSTISLAGCLFVVLTFVASRRFRTPVN RLIFFATWGNLLGICATATSRSGIVAGEDSALCQWQALFIQWFHVADALWAFCMACNV YLILFHRYSTAELRKLEWKYMVICYLFPFIPAFAFLFIKNEEKGKVYGDATLWCWIRP EWSVLRIGGVYGPIWTTILITLGIYLYSARFILASRRDIERLRNISLTLSGVDSTTAG VTDASSYAEHDISPGLQQHAQKGVQHADRQHQQKKQPKQDTYHLENWPEPALRQDHLG DDLEPAYFPPASPRHTTHGPELGGTRDEIDIEHAPGNSQSLAGIRGTTGDKYRIRKAS WERQSAAWAYSKFALLFFCSLLVTWVSTPPFPLLLKPTLPLLYHFPNNYQ TRV_04830 MGAVACAPLQPPLIASSSGGQQHRRASSSAGSMLSAGLKRELET AAASSAEAGPVAAATASRETAESAPASISQHHHLHHHQQQQQQQQQQPQQQHPQQPHP HASTTSLPSSTAAASSIIHQRLPDRQRMNGHELPAASQPAFRPAQGSPTSTSTSTSTA TSTSTRIKVRDLSHIQSFASEEAAAGSSQHRPGGLQRQYEISSMPVADVIEMVAGLLT KITTTNDRQHEHLHRHIPRAEQRSLPPQTTSVLAFHGKNVPGITILNYLSRIHKYCPT TYEVFISLLVYFDRMTETVNSHLLQQMHRRAHLRPNPSIRTMSTSSSNRPHTSSSSPF FSPANANPNTNTNTNNNATISRRFSSRRSTETSIARVAVSKQHLPPTSPVDHPMRSPS VQDEHDQEAEHDLSDSDDDLSDVSDELNFSHFLVVDSYNIHRLVIAGVTCASKFFSDV FYTNSRYAKVGGLPLIELNHLELQFLLLNDFRLAVPVEELEAYGTMLVQFYARELVAQ QQQQQQQQQQHQQQQGTPQPGSNATATSAMQHQGDPPAQNDRFTPTHT TRV_04831 MLQRIWDDYVFPDWDRVIAEPRTRELWWRGITPRSRGAVWQRAI GNELALTEESFVKALERAEQLRSKTGDGYKWAHERFAAIRKDAASAFPALNVFAEGGP LHDSLVQVLDAYAMYRSDVGYIHGIHTIAAVLLLQLHTASAAFLTLANALNRPVALAF LTSDPGATARAYSLASATLRLKFPRLSTHLCENLCLSDSQIWEPMFRSLFTNGLDVDH VSRIWDCWVFEGDRILFRAGVAVLGCLETQLLGLVPGEEGQRGATDVLGWGSKRLSRR GRSRLSGRQEGDLSSPLLLFFSSSSSSTTSSSFVLSSCTSASLILICNNQCLINLSSI NVCLLYLISDITPLSVHPGIVAEKQRSGRVALAAFEASSIKVEGRRAVQQLSAPPAIQ RHFPGCDRRVLLLLLACLLLFLPVCLCLVVLFVSSGELGDGETDGEQMAYMSTWSISR REKSSKSRYPFGSEL TRV_04832 MSTISAAVPDSPPDLSGSKSSKSSSFHTSSHQSNPDGVFADISN FEDIALEDDLVAQFGSNALDHRHFDQGPYPVRSTSVPGKKQSLRHKQHHHHPKNLHSK NPEVAARTKSNTAVMTMRDLTGPASRQSSGVGGPHGSHHTANINNINNNNNGTYGRRG FAQSTPHVNGINQSMQALSIQPPSARRALSSTSDPSLLLAPSRNRQKQQQQQQQQHHH QQQSRSPSPSGSGRSRSRSRPPPPFARGDSGISGSSTASIPRPPSRQPSRKSVKELEE EYHDSDEDLPEDATLWNVPISPRPQDERPRGRDSSRSQSRSPGPRPIPLHESAPQLPS SRSTSPPPGSATPKYRPKHRRGRSRSMGPSPRVSRGTASPAPSPDRRGPKANTWNVVM SELSEEARIITEALEFHADEAARDHEARVQAGLKPRPVPSGKRASGGMIELPPLQRPN IMIDPLPISKEKEKVLSRTRPSWLPPKDQKEEKKHLKEYKRMMALSREAGAYTYMYFA ICVWEGQLTVG TRV_04833 MNGRVVRELSALNTERKEMPQAEPELKGQENTDDLAGGGVRDEK LARSNFPLGSCGGDAAGSAGGRAAARETTDNSTKRQRDKRETASAVDEQKEAWQSAKV AMSKLKLKSKSKKAREKSKSEEMLT TRV_04834 MGKRKRSQGHGDGDSNGDGDGEVDGGDSAPQDAKIRQAVLAFYY PRLLSLRTYLLELLPACSTARRRRIELVGREQTQPQTRQRKKQKQKIDGVPGGGDGRR DAGDERLADLLDSTIVGISKPASPAVSVFRQRELAAFTQSQAMSSNVDATDVGASTDI ADVVNFTIYSLFNPAGPQVTPQHILSYGYQRAAEPMAAHRECGVASGIPGIVPKLPNR SVSMLKSAPWTDVMDMLGDNGEDIMLHLLLDCGLFIRLQGQSYYQLSGTGTTLSSMET LDAVKKEDAPRKPNEVVFVRRRMFYSRPALNAKGEPKMGLQHIPTDVLNRYPETSISH TVHVMKHIFPRQFGLHNAFTSTVTRTETTMPFKDYSLREQEIALKPGDKIPRRLRGRL VELIQRMQKRHARCSYVELLRHYCPKKTINNGNEKVSMTDYATPTHAVSAFCRAVLQK VIPHELYGCGEDGLHNRAVVMRNVDRFLSLRRFETMSLHEVVQGLRIGCVAWLSPPCQ QGGCTDQGQPGNHNHNHNHNHNHHNHTNHKLALSDYRKRTEIFLELIYYLFDSLLIPL VRSNFYLTESSTYKHRLFYFRHDVWKRLSEPVMADLKSTLFQDIKHSKAERLLNGESL GYCHIRLLPKSQGARPIANLRRRPVLKNNKGRSGHGQSFLGSSINSQLDPLFQVLCYE RDQCPESVGSGLSSTAAMYPRLKRFKEQIKASPTRANGPLYFVKLDVQACFDTIPQAR LMQLVDTLVVDDSYRLSKHAEFHPSIITSGPSREQVKQQPRESNRNKPTRRFVWRAAA SDDFQPVFDAVSSSSSTTPGQCSSSNNSSSSSNRRSRCGGAPAVYVENGWHRTHTRDD LLALLEKHIRNNLVKIGKKYYRQKRGIPQGSVVSNLLCNFFYGQHEEERLGFLREPQA SAPTLLMRYVDDYLLISTDRRLAERFLQVMLDGDEEFGISVAPEKTLVNFDVNIAGRH IPRLEGRQLLFCGALIDVKSLALTRDRSATSNIIDAGAGSRADRAKGKNAAVSTHDSL TVNMTRPPGQEFTRKALKAFQMQAQTMFLDTKHSSSAVVLASLFRCFAECALKTRVYY QVMQRYSSARSCSCSPGLLISTITAVLEYGACRVRTAPAASGVPAGFECSVTPRQVRW LGATAFRRVLSRRQSYYAPVLRWLDALLRACRPSTDREHFRLRRAVAGP TRV_04835 MSAMSAIAAARECDQDEPADVLLPQTTYQPADAFADPHDYYLQH HHHQSHQSHHQSHHQSHHQRDSRPGSSSIGRGRGSSLAERGLPDFSLSPSKTRTATAT PATTTPVSTTTSAYKSRQSSLQDLVNRFNQTPDEVVPKPSAVSAHAHARPPPARRPLF GEIIPKPVTAAPVITTATTTPALLQTGSGSRRARSGSDSCMHTPKQLHFLDSAFDHLP QHHYQNSQHHQQHHQHQTLQTQQQTHLQTHQHIQVDSPTAWYLPALSHRRSQSDLGSP VSPQLNMNPHPISTSFSSRQYQHQQLSRIPLPSRRASQASDSGNSSPSTRTNSALDRY PPVSGPLPPKGISLLPKPTSPPTASTATFSPRYRNRSCSTNTTATTATTSSTASSRRQ QQQQQQQQQQQQPQHQHQHPHHQHNQHPIPRDQKSPTLQAFISAPLPKKSPPLRSSRP RQPVSSATTSASRARLVDRISGLQSKDGRAASASASAASHPTTAGSRSASASKKPPEL GNVDFAARRQRIQQAFNRTVEENAKKEERAAVRREREIARKMARQEKLQPQPQLDQQQ QQQPGQQNQQLEQPQPEASTVPEPQPIPEPEPEPQILDQVEKPTEPEPQPETESGTGT GTETETEQTAADPTLVPEELSEPTTTTTTTTTAEPAKIIIEEEETEQTTTAGDRPVNN DCVEPQFTPEEHNNDDSFVTPQEGRSPVLLPVTYQPDQQPEAQQPLDMALHSPYRPKT PESDNTDAVPQSAVTVGTEITTFDPEPQDGLLTHRTMLNHIMQMRESSPSISGTSDGH DDEHDTEHDDTEHDDSTADDGESIRIMLRRSRYLESIARQHEVGNPRHRWSMSSWDSS FQGHPPQGEAEAVSDLGQEDEALTKNQEQEESCESSHSLHENATTPQPIFPPSPPCEA DEVHNPGISPIKQQQQQQQQLHESHDVDDDSSESPVLGNDDHAVIASLERRFSMNMAN HYTTIAKQACWDSKRATQLYLQELAKAGYERPRVPILTPGSSESEGKRLSAHDSVSRG TDDGDGEDGVLVADSSTIPTAEFLPARASLHLRDDWETSPSIADWMHLAAGEDEMQPP QSQSQSQSQQQPHLPQPEHEYQQHQRQATDATEIPHPSTSTSTSSPESPSASDQEGAE TPRIAGPPQFASIDGTVEGLGLEIRVQSPQDGDSPTIPSPFHMHLPVPSQPHHPPPPP PVTSSTSTAAVEDQHHQHQHAVSVEVSPSVYANSPPTSPMPALPTFHDAPPSSRSEDS SLHITPPHTISSSTSHTQDRPSSLAPETTSAFHDILDPASRISPTPEERRLKKRRNVI KELVDTEYTFGQDMTVVVDIYKGTSSSCLGLSPEDIKTLFGNSEQVVQFSMDWQDALK QAARSVYILPKSQRWSSKRSSKCTQNSSATSNSPEPQQIADEENDRKTAIGEAFMANI ERMEAVYSDYLRNHDAANRTLEVLLKNKNVNIWLKECREWAADLTSAWNLDSLLVKPV QRILKYPLLLTELLSATPSDHPDYTAISNALTATTAISVRINEMKKRADLVGQVVGSG RKRKESDVRAGLSKAFGRRTEKLKQHVGITEMFADKEYDILSQRFGDNFFQLQLVMRD VEGYLSEIQSSMNKFNDFIVAVERYINLAPSNYPELESKWCRFRLAVKDVMTVALVDH IAQVRKSVITPMVTLLKLHDGPQRVMQKRNKRLMDYVKYKAIKDRGDKPDKKITEQGE QFVALNMALKDELPKLLSLTGKLMEACLNAFVQIQATWLNLVQSRLGYSIERLPQDVN QIITDWSGDFSFSEAQVLSLGVCNGSILADTALVNNYSSPPPSHGADASSSRRPSTVN SATGRTFSGESGNSPKGSHEFLSRSPENMIQTPPSTGFMQHGNGSYVFPASSGSRTRA NSTYSVRAQDVTSSHIPSIPSIINSSTRSSVTAQSGSNASFRTSDASPKLPLLSLDTP RLEFFPDHLMSSSRYNINVNGNSNSNNRNSNHHVAPDPADHPSSPSATRYSGFFSSAM PMAENPEPSSRFHSHASIPMNRSAKRVICGLPETKTIPRTRSAGYGRSTSPNWQADNK SKNRKEKKKAISMIYIHRYVFLFIFDPDISLSIPETNSFPFISFSLVLSCLFVLSIIS FVIWAIILNSSACFSPFFFFCLSVCFPHPYILSVSFLSFVFLFVFFSMVTMVLGGFGG GILYLHSCNLTTYTPAPGTYTNNNNYNYYYNYYYYYIYNLLSDYKKGKNYAARYNLRA REEEAAGKRDESANPSLYPPPLFSHYLHLHLLLLLPLFYAKNYDFILHVTSSSSYRKS GEKKRLFVEAKRKHEGSK TRV_04836 MFKGPDDIYLTFTYEDYNTLKSDWLTDNVIGFWEEYLEHELLSF YKTRIILLRPSMSFLLFQTPDPKTLGNALPDFSRASHIFLPINDCQNGMQAEGGTHWS LLLVSLADQVAFHYDSLPPGNITEAHAVTEKISIICEKPIKFMQMPDCPVQQNNNDCG VFVCMMMRYLLQHRLLQANSKEYITMALDGVPLDPAEARKEIVQIIHQLKRDRDRRRS YVTSSADPAAASRIFAKPHSIIARTQSSPAHRTPATASSADSPASTRPRHSRVSLFTY FAICASVIVLIAAALAFYLPDINMTSLYHGYTHIQSPVCGEYCHKLDSALSSARSQLS PYLLQMHEQLNELHDFGREYFAKVYDAFSRLRRI TRV_04837 MEHGSKQLQCSVKSRLFSTSAALYRQNRIPASYYRGGTSRGLIF QKKDLPASQDDWKPILLGTMGSPDPHGRQLDGLGGGLSSLSKVCIISPASDLSRAQGA QVDFTFAQVGIKSTDIDYSGNCGNLSSAVGPFAIDAGLVKLDEEELSAGKRTATVRIF NTNTQKIIDSTFPISVSPDGSVEAEASGDFTVDGVAGSASRIQLDFINPAGAKTGKLL PTGNLIDTFDGVRATCIDVGNPMIFVPASDLPVDGKISPDQISSTPGLLERLEKIRSQ AAINMGMATTVDEVPASIPKINIISTPDEEGVDITVRTISVGQPHKALPITAGLSLAV ATKLEGSIVRPFVSKTNEAAGDPVVIGHPGGTLAVGAEIKDGDSKVVERATVYRSARR LMDGLVYWK TRV_04838 MASTSIQGLEALLQSLKVSTPIPQFPQANVLFAPADIYRSYIAE VIQKLVECDKDTAYDAVQWANAATNADLMLITARLKLKGINPKQLAEDIVSKFPSTSL LSQPTANGIFIPLSFCPDTLPKLILPFIFDRRASYGSNPLQGLRDPHDQSSGKKKVII EFSSPNIAKEFHAGHLRSTIIGAYISNLYESMGWDVVKVNYLGDWGKQFGLLAVGWQR FGSEELFTKEPLKHLLEVYAKINSLFAPEKEASEQARDRGEDTSEIESKGLFAERNAF FQKMEDGDPDAIALWKRFRDVSIERYISTYARLNIKFDVYSGESTVKVSTVEKAEALL KEKGVYTEDNGTWIIDFKKHGAPHLGVAVARTRIGTTTYLLRDIAAALERVEKYQFDK MIYVVSTEQDLYFQRLFKTIELMGYTDVAAKLEHINFGKVMGMSSRLGTVKILSDILD ECGSAMHDVMRKNPAKYEQIENPAEVADTLGITAVMVQDMAGKRIHNYPFDIAKMTSF EGDTGPYLQYAHARLCSIVRKVDIDPKEITTANFSLLKEPHAINILRLMAQYPDVTCN AVKTLEPTTILTYLFRLAHQVSSGYDVIKVIGAESHEVTVARLALYEGARQVLENAKV AAKEYFRDPFQV TRV_04839 MLFVLSVAGLLAVFLYYVGRCQADERARAIEYQQRLRKVRPVSS DRVRCWPSRSRLRRRRLLLRLRYPVVEPVAVELPATPSPVSSVASSPFILSPVSSAVS SPFILSPVSSAVSSPFLLSPVSSASSSPFLLSPVSSASSSPFLLSPVDSVVSSPFIVS PVESGVSSPFLSSPLSERSVSSPVPPVELSVRLAALRARVLALSSGPAADHTPLPASA PSRRVARIRQGVPLVVRPTRIPTPVPSRVFSALPLVLERDARPFAETLGPVAATPMDI RNILRAQRLVANVAAEARSSNSLPRKSALRTTTSVENTKRVSFHEAVTVVNVEKWVVP GVHSALN TRV_04840 MASSCCWRCVSQIRSVYPSRALSLPALSQQSTLLRTLPTSSSFH TSAALNAAPAKKGKNQNPGPKFRESRSTRTLKKGKAGRPARRSPDERRALSHRIVLSN NNALEVTGLQELSVANLSDPELRTQVVGLPMNLVDRLRAVEAFRRSQGWSLFRRPCTV VRKETVELGRLIEHINDKEKGAEAVMKIVTGGRGTGKSVHLLHATTMAFLKNWVVVTI PDAWDIVNGTTAYAPVPNSNPVKYFQKNATAELLKRIAEGNKEVLSKLHISRSHPNVK DSGISLFEMANIGIQQPDLSWSIFRSLWSELTATGPAMDTNAAKGTKPFTARPPLLVT VDNLARWMAESKYRNPEYKLIHAHDFTIVEHFLSLMRMEPKKALPNGGLALYATAASN CMRLPSLELGIKQVAARQAGISPSSPDYPVMYGKLDDRVVSLFSQAKEMGYIELSGLG KDEAAGLLQYYAKSGLLRDRVDGRLVGEKWSLSSGGIVGELELLGRRLRALPLTVKEQ TRV_04841 MLQPTNFLFISNVQSRPITVEKPTPYVFDLGNLMALDANPIDLP QSAKSSPLILNQTLKATARDGAQCLVNQLLTTCPITSSMQDGVLLTLPRPTTMVPRFK PLPTPKPPTKWELFARKKGIGKYNTKLGSGLADTERKKNLVYDEEKGEWVPKWGYKGK NKGTEDDWLVEVDESKWKKEEQMNNEGKSIRNEGRKERMERARRNERKMRANERKART GKAK TRV_04816 MEEEEDDGGGGETDEKTTGEAEDVVRPASTALNNTNKLERSPR TRV_04817 MEEENQRPKDMTPETWALVEDLFPRSLNRSSAHPHLPSSSGHAS DMATYDGSEAAASDGDQSYSVVDGISSTSETPSLLTPPLTNTDDEEFEDIEDEYEDED GSSVATPSGWGSTPAHSVDSEHDQEVYDHDEYEDESEEEEASEEESEDERNYVQSRGV DWPESTSNPAQFDRVEQQNNHNGVPRHHPCSDATHIFDEPESYDDHETNHHDEEMPGD ESREAPANTSPQNTPSHLAQTHADVLRRAIETITQQNEMISALSQSRPAYTQPGAVPG QGKPKKKEGKQKLPRSQGFSNRLAQLMEKYPDIFHVLVLVILSLVATALTFPYIDTCL GISTQVQEGAVTGRVQTIITPVATPVICAKTPVVSTSPEGVQYFESHSRAPQNMDDFI TQLTCAKAQGMSESDDVQVHVVGDHHMVVRLPNRLVSVRDGNKFDIKVTRENNGLPFD LYKLFDGVFTLRLAPEDAYGPMNITVSALSKPKLTKVTGVDFGTPWLKIASWKRATQS LSQTLRGDLADAKTGLSKVYIKLTFDFRSMYDAWGMYTNKTSADATSNRISNLFDRAV SRAKKSVARSREASDGFFKKAKESLRQSSDQLRAEAQLMRRKARRSASSMMSAAQERA QQLRAPAFSFDLKAKFRDLRKNLTAST TRV_04818 MADEQKPDPTTAAPPEAVTEDKKEETTATEKAGEDESKKAEGEG EKADKPAAAEESKPTTTDSVFSMFGGGPPKEKKEQEDDADEPSGSSKKKTEGDDEDPE AEPDVHFEPVIRLTEKVEIKTNEELEEQTFKMRAKLFRFDRESKEWKERGTGDIKLLK HKENHKTRLLMRRDKTLKVCANHYVVPDMQLSPNVGSDRSWVWNAAADVSDGEPEAQT LAIRFANSENAALFKEAFEKAQEENALLFGKD TRV_04819 MALTLRNWNWLLTILAIKSPPFRIEEEGWGEFDMQIVLSAPDKD HSITHDLNFQSSKYESKHVITFKNPKPPLLAQLRESGPVPGDENGVKKRGQEESKKKK RVDKNVDMEKLADGLQKLGEDDLLQVVQMVHDNKSSDSYTKNDIERKDI TRV_04820 MSPAQDEADALLDPKDKQPAAHPEDLAHFSGDEYKDDDEEEEED EHNEKRTNRIRAAHAAAGDDSDESEIDFSGTMVSKPAAYHIPATVYDANTGPKGVIAD AQSFERAKKRSFRRTLTSASAFDYNPFSRSGHNSPGASEKHSKSGSTSNFIHRGASPG DSAEESDEDERFMRQWREARMLELQNKSTRRVSPSKRRYGNVDTVDATGYLDAIEKVT PGTVVVVCIYDPESTESSIVEDCLTSLARKQATTHFVKLHYEIAEMDHITAPALIAYR NGEVFATIIDVMKQLPDGRGCSSASIEALLMQYVNIPPIHIYFFH TRV_04822 MAPLPDADVDAISASSLRSHKSPTLALMSWNIGLASRQRYITLS YYHSSIRLPTYASIAAAVSTIPPPAAALLSILYVGLRLHVQTFISTRTNPPLAVGQQG DEVSAVMGMPNSLFSAEGAPVLPCFNPDLMEFFGHNLPLVLKSSAGTEILLSRAKARN FGHHFPFLPLLLGKSHVVSWI TRV_04823 MKHCTGSEALMAKHLSSQACGIITKPRYAEVDAGTLIPFLFASF FFSVRITSKAMHLGGGWGADDYTISVAYDRVLTTYLVIHYGFGKNIWDVIPQEDLTIA FKVFFAYVLVYKSLISLAKISVGFFLLRIFRSTPFRYATYSIIALNAAIGITWILVDA FHCVPVHLAWTSWKMEETGTCINFMTSTYVNGFVNIAVDTVMVTMPIYEVVKLKLSRR KKVGVAVMFGMGLLLTAIGIARVIILFQHDPTTNPTYEMAPLNYWSMIECQIAIVCAC LPAIRTLLIHYVPEVFGQTTEAASQKRLNASSTGNSKGEEYTSNSTLVESGDGYISKT ISYSVNTTIKSENSPTEPSINLVQVDRRRV TRV_04824 MAQQKPKVLCLSYPEFTDKAYLADFQTKFELHSLTSPARAGLVP ELAARVREDGPFDAVIVRIGTIVFEPFDAEMLSPLLPDCRIIASASAGYNEFDVDWMT RNGIWFCNSRNAVSECTADMAIFLILAVLKNASVAERCAKSGVWRQGIEGVSRSPRGM VLGIIGMGSIGKYLAKRADVLGMKVVYYNRNRLAEDVEKQYNARYCSTLDELLACSDV ISVNCPLSKETTNLLGREQFEKMKNGVYFVNTARGQIVDEEALVDALKSGKVKMAGLD VFPNEPNIKLSELECFENIKAWYATGVPISPVNEIEKQT TRV_04826 MADSSEQMQAKIVAARREAEVLKDRIRHRRDDLADTTLRQVAQN NTDPLPRIGMRPRRNLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYTTNKVHAI PLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLSSRDGPTRVARELSGHSGYLSCCRF INDRRILTSSGDMTCILWDIESGAKITEFADHLGDVMSISINPTSNDVFVSGACDMFA KLWDIRVGKAVQTFSGHESDINAIQFFPDGNAFGTGSDDTTCRLFDIRADRELNVYQS DQVLCGITSVAFSVSGRLLFAGYDDYECKVWDVLRGEKVGSLSGHENRVSCLGVSNDG ISLCTGSWDSLLKIWAW TRV_04827 MRRTVRPLGSSTGFALSLVGGPVGGRLSSVRDRLGAKRKREEER GREKREEKREPEMKEKKRRRSWTRAKEEEEEEEERREREREGRKKVERAKKRRQKKKK RRRKKKKRGRQARKGKGEGVKTLRDGRKGKEEGEKRARRGREDGCRRRAIRGGYDSDT LMIHDDTRRYSDTDDTDATEEEKKKKKK TRV_04828 MLSNPWKQKRSSKREKKVTVFFFFLFSSSSSLLPLLFFLFSSSS SLLPLLFFHSSSLLLLLFFYSTLHYRLAPNCCLLTRLLNRQKKQARSLKSVILVCVIL VSLSADREEEEKKKKKRREEEKKSGRVEVGREKSRKKSKRESKE TRV_04829 EEEETGEGGKSDLIEGGPEKLRAREREREYSQAAMMTDGGGEER RRIERTASVRRRWNPEGDEDEDEVDVEEKTGEVKKKLKVEKSKSRKVEKLTSKAKNSK KRE TRV_04814 MFVVLYKTTTKPAASVGFVPVSQQFKPTPGSPSTNPPTRTYSIS SRCCCCCCCCCCCCCCCFISRLDLSTLSASPSPLFYLELDPLYPRGFSLHPGSYLRPA KRKRKRQKRKGCDLSTSRFFRIALSLSLPVSLYILVLQFFIEVGLHFSHLVACKKRNG KGDSRM TRV_04815 MDHSQNMFAHLNGQSPFYSTIPGMGMHHDMTSPLDDMLSPLIPA ATTSVDPSVISNQPISMAGMQQPYGQPMAHQMCTMAGDHIDAYAQPHAFPPTAMLHRN SDLGPLSQDNNSFAQLQLRRGSASVATDQKQQQLKEQEFYNEDFRFHAVLRAATAMVR DPDEIPITYLNKGQAYILTIFDSAALSSPRRPTKYRTYIRVSFEEEEQRSKPASCWQL WQEGRGANEAHHRDGKLLAVEHVDPNQGGDGDLRHQQVQLESENFDGFSVVWTPNRIN GRHECAISVRFNFLSTDFSHSKGVKGIPVRLCAKTQVVSGISEIPVPEYAEVSYCKVK LFRDHGAERKLSNDATHVKKQIEKTRSQILQAESGAASGEKRKRSGSISRGGSGNKVS KTPKSKRSWSQGQGEKAPFEDDLHSRLQSFMDMFRSVRHASVLNLKGDPEDDPDAYPV RIDQPLENNNNSNTSNTSNIVTTTLDWDPSQATTAPTTNDGANSHSFSPASSHRSITS SGHSFDVKPNLATNGVMFGDSRHGSVDWSGFASHDPEVHPKHGQILNHPIKIQRQGAT DANDGWFEAMGVDPNYNPPSDAVIVPKHCFYVKASQNDDEKTANYHHAIYIQQRNSQE FVEALARKWKLDPANVIRTIQVRDDGLSVIVDDDVVRELPEGKDMVAEFLQLREESEG PNMDVDSPVSPGAAGPVEIRLKF TRV_04793 MHDEKASWLYAHDYGCNLAGSGAGWRPSSSTRREEEDNDDEEEE E TRV_04794 MIPPQQRFFVNEGMCSPVPTGPCTWEVIDWDRRRWLRIHGPKNA FPEDDYIEEFAARYADQVGEDEQTLTSNEHRELIGVSSDDPTWEIRYPHYVGPLDEET VIFRSNLKEVERLDVATDLVEDSQTDGVTKQLVFKYTLIQTRVENVWQELHILKALQG NKRFVPFYRIVLDEVTHNILGFTTKYIPGGTLEQYRGTFYFRWLKQLTDAVDELNLRY GLMHQDLAPRNILIDPTTQELLVFDFDRSGQIGGKGASRGCNDVDALVFTIYETLTLD ESFREGLPWEQDVSKVENMKKWELKLPLEDGVDIAVYRDFLARWADERRTTRTIKHFS EASEPLTWPEYGKLEMIEVPADEYGVRMSLRRKRKQVEGAGGYVTRWERAAQEAIKGY N TRV_04795 MHFRLGAEELSSRAGETPPYPTVNDMITDVQKGIDHLSGLLHLV TDEPHEELTYSRLLHALVRVSTSAAAVTKHIDENLGTWKAKDIASMDDEVERYELVPG VINVTMTEGNDHYVLVPETTRMTLEIRK TRV_04796 MAKNHEELDQYGSMSLDPPASPQYYEGGRGSEGIEERDSIMEES DSDTIGRSALEVRILLHVYRPVLQQPNAT TRV_04797 MAEGKTQDIQLHARNSETMATAAYTTQSTRENKWNVECGVCCVG KAEGGREKKKSWLVFEPTAKKSEELGDERYPAQGWSDLTTPSCPS TRV_04798 MDAKEIELKSKALTKATASGESSATIVSLLKELQKGIQPTEDLL RSTKIGIIVNRLKQHKSPDVARLSSEIVSKWRAEVNKQKKTASPGSSDSPKPTPNGTG TPASATNDKAQSSNVPPDKRNWKADQVNTSVTGVKARDSCIGLMYDGLCLGSTESSQA VLRKAAEVEAAAFKAFGPESKEVYRTKMRSLFQNLKNTSNLSLRTRVLTNEVTPERFV NMTHEELKSDERREEDRKIQKENMDKAMVGQPERSISKSLQCGKCGQRKVTYTEAQTR SADEPMTLFCTCLACGKSWRQ TRV_04799 MAYVKDAPGLRRQLESLPAAEREAAVKPFLMPPRVRKSSVRGRK ASSKPVRRFLRSKLYYLLYFLIHIVFSIYSRIRQSYHAVVDRVLAILYYHHRTPELIR KDVRGLDRLPEHLSVVLTLRREEDALETLMDEVAELTAWSSCAGIPALSIYEKTGILK SHIPALHRIITSKLDTYYGPPSHQPSLGVFAPHHPLYAPKLVPQASKSNIENITVLLL SSTDGRETLVDLTKTLAEMAQHGKVSPQDIGIKLIDAELAEMMTIPASSPQDPGSETN GTDSPSGSLPTPLIKAEPDLILIFGPYVKLDGYPPWQIRLSEIFCTGDHTTGIAGEVE AVEYQRFIKGLWKYARAEMRFGR TRV_04800 MPDQNYQSDMRRSIFNKGPDFTLETFSSRDFIVKDFIESLSDAA IQRRSGGIGNQPFDPKPLIRAFEHAQRRLTELSGDLEQRENELSAAVRKAEAQHTQNA SNLGWKLNQAIESFQKLNTSLNGPRRPSTRDGPGGNGNVAVETGKKLEELDRQRRKAL DAHFLIGCWDEVSNRGELTMLENLRRSGSAEGKVRSARIARQLLRISQRLDPLSWSES NGGTDTIPPSPDDHAGTNGNTPKRRNTREIIEKFSETLEKDLLKQFDHFYRKANFEGM KDCASVLQDFNGGASVIALFVNQHQFFIDRSQLISEEVSGDSEAWEQLADPDAPSPGV EPSLQSLVDEVQVVVQEESAIIKRAFPYYEQVLCKFLQRVFQQSIQQRLELVLDKASS VSSLAYLRTLQSARSYISALVDDLKAHGLTEHPDTISSQTAIFLDQQLEELFIPYLVG TSYIDREKNNLEELYTSLMFKFATFHSRRKKTPTTFMSSLAKSGSELLASARDAYINS LDSSDFTPTQRKMLLRVAGLKENGEMQKQNEIELMEEDGQLSVAFAKRMLRWLAEGVG RSLELSVSSETPKDVSTLLNMLLSRMGEGYIEMALDASLESAHAQESGKAEPDFNYLT TLRTAISVTYLMMTCINAVLVPLAASNITIKRDMEKKTNLIMHRIEEKINSIEQKTVD VTLAWVARVLSGQKKNDFRPKEGVADETGWLEMLQTPTCASISGFLTRLHNITLTSLP SSGSNVRVFLTEIALGTRALLLEHFKKFPVNAPGGLMVTKDMTRYTELLRSWNIDESV KSVGGILDVLLEVGSLFVIGPQALKERVRAGTAGGGSGTSTGGGGGGTTSGSQSKSNA GLTVQEVRAYVLRREDSGSAAMQDVFNAF TRV_04801 MIPQCLIAMPQISPAAQRALSEAGSDIRTGLVSLMIPAALLYLA LYALVKKKIGVFVMLDWMAIFAFAANGTLVVLECSVARSLQLFAASDLPTAYMILMMV TVAIGSMKALDFYARRKSPPKYTDPNPPSDSIIALLLFTELRYESFTPNYLRTAPAPA QLEADTTAEYVCYQKRDLKGGKQIFTTFGKRVASLQTPGRKTPHRFSEAFDLVIHVAF FLATQFLFPLSNPTVQAIQILLAIYVIWESLQLLLRYKTSPPLFGPVYTASSLASFWS ETWHTAFASPCRSLAYDPLRRHLPAKYGVPESFAKGVGIIASFSLMGLFHAYSLAPVL PLDGILRIIAFFLLNGIGTVIETAIWGRNAHWGKALLAWTFEIVIASWTVEGLSLPKG LQNISWDSICNVGGVKRG TRV_04802 MASASLFSIEGKGQRFDSAADLEPFIKPLVETNDVITEIRLGGN TFGVPASERLASVLRTQKKLHTANLADIFTSRLLDEIPQALSFLLQALREVETLETID LSDNAFGLNTQAPLVEFLKAHTPLRHLILNNNGLGPKAGNLIADALRELHAKKEEARA ANPKVPVPYLETIVCGRNRLESGSMAAWAKMVKDHGKGLRSIRMTQNGIRQDGIVLLL DGGLQHAPELEVLDLQDNTFTMTGSRVLARVVTGWPNIREISLSDCYLKGKGALRVAK SLAKGENKKIEILRLAYNDITAEGLKEFVEAAKTSLPVLKRVELNGNKLNEEDSNLED LRNLLEERKEKLGKEDEDESAWGLDELDELESEDEDEEEEEDEDEEEEEDQEEVEEKA ERVVKDAERAENEKVAQEPDSKVDELANKLAATGL TRV_04803 MAPLTLSPRRPRKKRRALSGSGLNTDLVVSKGQDAYVPALPLVS FFWPARSGVSQWLILPVVLMIVGLFRWGTSLWGHSGYGVPPMHGDFEAQRHWMELTIH LPTSWWYFYDLQYWGLDYPPLTAYHSWLLGKIGQLVDPTWFALDESRGLEGPLLRVYM RATVVVSEYLVYIPAVVIFLRRYAREQGVGPWPGSIALCAVLMQPSTILIDHGHFQYN TVMLGFIVASLESMYAGRPLWASIFFVAALGFKQMSLYFAPVVFAYLLGICFSPRIRP NRLLGIALITIAAFAVLFAPLLAGSLADWYRNIPGPDNLPPLMKLLPIQIHETSWLYQ VVVQLTQCIHRIFPFARGLFEDKVANVWCAIHTFYKLNKFDPSILKLAALGATLITIM PSCLIIGRYPRTHLLPYALASTGWGFFLCSFQVHEKSVLLPLLPMTLLLGSSGGLSKE IRAWVGLANMLGVWTMFPLLKRDELRIPYTVLTLLWAYLLGLPPISLDLYRSRSPAQN SVELFTKTKLIHISYYAAMLGWHLLESNVSPPPTKPDLWVVLNALIGASGFGIIYLWC NWKLIQAVIFEPQPPSLTPKRKRTVPGKVPLEEVKEQNIVVKGATDSKTDSKTQKGPK S TRV_04804 MKAPKVKSAAKEDKVLTKVKEGGVKKSTSKSKVPAEVVAKKVEK LKKKAPTPSESSESESSESSDSSEESEEEEEKAAPVKNGAAAADSSSESSDSDESESE DEKPVKNGAKVANGKKADSESESESESDEEDSEDSDDEEEVKKAPKETKAAVKKDEDS ESEDSDDSEESESDEPAKAKKDDDEEDDDEEDSDDSDSSEEDEEKEAEKPQKKRKAEE DAEPVAKKAKVDVPEGASANLFVGNLSWNVDEEWLRSEFEEFEGEHINFLLNVKSLLT KLIRFGYVEFVNVEDAVKAHTAKKDAELDGRKMNLDYANARANGNANPRERADNRAKS FGDQTSPESDTLFIGNISFSADENMVQELFSKYGAIQGIRLPTDPESGRPKGFGYVQF SSVDEARAALEAEHGADLGGRSIRLDFSTPRQPGAGGDRGGRGGFGGRGGRGGRGGRG GFGDRGGRGGGRGGRGGAGASFAGKKTTF TRV_04805 MALTNTSPERGIRPQAAREGHPRRSEALPSLHETPRLCIDLLDI TKASKRVTMYSFRYYIDSWIELSSQPSSSSLSSAATTDDIITTGLRVQQLRRRRATHH HHHNHNHRAGYHVPPQDLEIGYSHRSSSTTGSSQDENEETESESDRLSNDDFPTRLQP DMNMLPEISSQSDGTLSTDDDEDDEDDTSTALGFNPQPNAFSHPPASIRTGQSRNSLD SARLPQNRRNSRSSLPGMGSRRSSVQHSPFNMISPSHQADHDAALRASLSTLLSCAAA ARGLPKNDSQPSVAERSPPRAEPSTFRLLPGANDTEEEPFVQQMHAPPPPASAPSRFQ PSTSPSPSPKRSRSPISAAAHGKARRRASPSKDRASTKKAGRAMLSSSSSSLSSETAT SSSYRSISPTVMTWVISAGVVVLFSAISFSAGYVLGREVGRVESGQALYGNGLSNVSE PATSSFATLKSGAGCGREAVRGGLGRFRWTGGSVSA TRV_04806 MGRKRETPGRTPVTLEVGEEIGDELAISNDGDVGRRRKGGRKED EEEFITKSRRWGSKKADEGQQKTKRIIGREQAGPDLRLRNVAKGSKGLHLKKYLEDAE EVKLYWQIGYSKGALLRLSK TRV_04807 MSTDKITFLTNWHATPYHAPLYLAQKKGYFQDEGIKVAILEPND PSDVTEIIGSQKVDLGFKAMIHTLAAKARNFPVLSIGSLLDEPFTGVVYLKDSGITTD FRSLKGKRIGYVGEFGKIQIDELTKYYGMTAEDYTAVRCGMNVSKAIIQGDIDAGIGL ENVQMVELEEWLVSQNRPRSDVQMLRIDELAELGCCCFCSILYIGNEKFISENPEKVK KFMKAVKRATDYVLEKPEAAFEEYIDMKPIMNTAVNRKIYERSYAYFSKDLKNVARDW EKVTKYGKRLGVLDESFKPNYTNEFLTWEMEPESADPTGDQKKMCALQKKVAEEGGFK RIQVSA TRV_04808 MKLENADNCPFTICFMSLHVYFDFLNFSQQSPGPPDASRDTFSE TFFFVLSTASRRRRSKKREFLQFNSAPSPQFAAAPAAPAADAASPASPKTATKAAPKI VSSVPAGTKLAGLNYEKNKQDPIALEDHEYPDWLWTLLDKTAKKSETGAGSVDVSNMN KKARKKHEKKMAALAASQPRAIPVHEQATDITPAEYNAATTGEAATDNLETATAGLEA RSEITKSARNARRKAIKESNFLRGL TRV_04809 MIPTESITSTFTSSTPSSSSSLIPATGIVTLPIPEATIVHSGPS RGAFIGGIVGTVVIALFLFSGAFFWFHIRRKRKKAGKWRGSCAVLLCRHQKETTESIT SPTIGKAPEPEPCSEPCGELDGSKCRAEMFAPSEGSSFAGSPRTYVDSPSIGSSTTIT PSTHSMAWSNEKIVSQGTVSTPLRGLGTYSSVRPGNAITTISELPATTNPPQPPVPRE LEGSSTAESSPQNTLLSPTSQQVSQGVKLTVTTPEGVVLRPNLHDSPAQQHPQCQELE TPPHVMSFMDYPDEPHKRDEPSS TRV_04810 MLKDMAVMHPAAKMLVDLSAAQDVEAGDGTTSVVVIAGSLLGAA ERLLAKGLHPTVISESFQRAAAAAVKILEDMSQPISLSDRAILLQAASTSLSSKIVSQ YSSLLSPMAVDSVLRVIDPKTAENVDLRNIRIVKKVGGTIEDSEMVDGLILNQPVMKS AGGPTRVEKAKIALIQFQLSPPKPDMENQIVVNDYRQMDKILKEERTYLLNMIRKIAK TKCNVILIQKSILRDAVNDLSLNFLSRVKILAVKDIERDEVEFVCKSLGCKPIANIDS FTEDKLGTADLVEEISSSGSRYLKITGVRSPSAAQTVSIVARGANNLILDEVERSLHD ALCVVRCLVKKRALIAGGGAPEIEIAQALAKQARLLAGTEAICWKAFASAMEVIPVTL AENAGLNSIKVVTDLRHRHEMGEKNAGVSIRSGGVKVNIADERVLQPLLVSTSAVELA AETVKLILRIDDIALSR TRV_04811 MPSATGQNWEKYKKNFADDEEPEKKITPLTDEDIHVLKTYGAAP YAAAIKKLEKQIKDKQASVNEKIGVKESDTGLAPPHLWDVAADRQRMAEEQPLQVARC TKIIQDEKDAEKSKYVINVKQIAKFVVNLGERVSPTDIEEGMRVGVDRNKYQILLPLP PKIDPSVTMMTVEDKPDVTYGDVGGSKEQIEKLREVVEMPLLSPERFVGLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAIGGARFDDGAGGDNEVQRTMLELITQLDGFDSRGNIKVMFATNRPSTLD PALMRPGRIDRKIEFSLPDLDGRANILRIHAKSMSVERDIRWELISRLCPNSTGAELR SVATEAGMFAIRARRKVATEKDFLAAVDKVIKGNLKFNSTATYMQYN TRV_04812 MSFSGLRSIAPTRQVCGIIYIYWGLCEELTDFAARIVASQPLRA KEASPFVSQKYPVIDHEFDAVVVGAGGAGLRAAFGLAEAGFNTACVTKLFPTRSHTVA AQGGINAALGNMHKDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPQSVIELEGYGCPF SRTEDGRIYQRAFGGQSQDYGKGGQAYRCCAAADRTGHALLHTLYGQSLRHNANYFIE YFAMDLLMEDGECKGIIAYNQEDGTLHRFRSHHTVLATGGYGRAYFSCTSAHTCTGDG MAMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSNGERFMEKYAPTA KDLASRDVVSRSMTMEIREGRGVGPDKDHIYLQLSHLPAEILHERLPGISETASIFAG VDVTKQPIPVLPTVHYNMGGIPTKYTGEVLTLDENGNDKVVPGLYACGEAACVSVHGA NRLGANSLLDLIVFGRAVSHTVRDNASPGAPHKEISADAGAESIAALDKIRTADGSKS TFEIRNAMQRTMQSDVSVFRTQESLDEGVTKITEVDQMFADVNIKDRSMIWNSDLVET MELRNLLTCATQTAVAAANRKESRGAHAREDYPERDDVNWMKHTLTFQKQPHGKIDLT YRAVNGQTLDEAECKAVPPFKRTY TRV_04813 MKLDRMRVGSRINDEEAMPLTAPESRARDSIDSSSTASISLTLV EGASHATTEPSKPAHNHNGRTQGNYAEKYRDDLEEDWEENNYIPTNGKSSQRRTLIVF WLLVALCVGGWAVAFLFFVTSPGNKTSTSPHSGSNSPEGDVTKPGIPATGKKIPLDDA IGGVWSPAEHTISWIAGAKGEDGLLLQKSEGGTGPYLHVEDVRNIHGTQSNNNSIVLM KESVFFVNDERISPEKVWPSPDLKTVLAMTREKKNWRHSFTGLYWLFDVETQTAQPLD PDAPNGRIQLATWSPTSDAVAFTRDNNLYIRNLTSKSVKAITTDGGTNLFYGIPDWVY EEEVFEGNIATWWSLDGKYISYLRTNETLVPEFPIDFYLSSPPGYSPKPGEESYPYVQ QIKYPKAGAPNPTVSLQFYDIEREESFSVDVKDTLKDDDRLIVEVIPGSKGKVLVRET NRESYIVKVAVIDANKREGKIVRSDNIDEIDGGWVEPSHTTTYIPADPSAGRPDDGYI DTVIHEGYIHLAYFTPLENPKPKMLTTGKWEVVAAPSGVDLKNNVVYFVATKESPIDR HVYSVKLDGSELRMLKDSDKSAYYDVSFSHGAGYMLLKYQGPQIPWQKLISSPSNADN YIEILEENKKLAKLSNEFALPSLHYSTITVDGFELPVVERRPPNFDETKKYPVLFQLY GGPGSQTVNKKFLVNFQTYVASNLGYIVVTVDGRGTGFNGRKFKCIVRRNLGHYEAHD QIQAAKAWGKKPYVDKTRMAIWGWSYGGFMTLKTLEQDAGETFQYGMAVAPVTNWRYY DSVYTERYMHMPQNNEGGYENASISNATNLSQNTRFLIMHGSADDNVHFQNTLTLLDK LDILGVHNYDMHVFPDSNHGIYFHHAYKMVHQRKYFNLSFLGH TRV_04774 MEFKFDFPIASSPVPSSSFSQLTSVSFGEAEDLDRQCRERFQKY VPVNAQDDVVRLLAAFMDHLPKDGSVRLMQDIIALDGDSKLRQLRNHLVDAVLKSMQA PGGKTPAPTPSPCVDTQVQIESLVVTSMNPSSRSEQSILKKDCLKRDGYRCQATGLWD DRSVERGLIIPNDHKALTTTETAHILPFSLGKFDGDKAIETENKARIWQCLYRYFPGL HRVLSPETINTPANAITLDHSLHPQFGSFNLTFKETDDAHVYKIIKHTQANHIAFFFL PSNGLMALRCEDSSVLMPSPFLLSVHARVGKILKVSGLKDRLEKIMYTLFLPSEVDPS GSTDLGTIVSNRLLILTDV TRV_04775 MPPLILSIITGFHYHNEVKPVLPLSEHDPSPIGDPTTYYPDQHD CPLPCVDYANIHSWIPYFSVDRLRRCQEPLLVQLSVTQPLDDLESTILLRTCTSSSNI SHAFAAKQINNPKKSEELYQRRLDTVPACVTTGTEVQDRLNLAIGASNERKITHATRL LDGMEKFFSAKDNCDENFLFAYYNGTAVGLYIGPGLGKPTAKSALSALSSYIRTRGAV SNTAVQLCGDDRKSDRVFGIYIDTTGDLTAVQKTVSEWSKGNCMTGLKSLGDLADVKV MDIAGMRITSGNDTTNNGTHDSTHSHPRLLKIRHNLLHTNFLGKRDVCRYIQIMPGDS CTSLSMRCGIRGADFLKFNPKQDLCATLQPNNYICCSAGDPYTPPTPKPDPDGTCASH IVQNGDSCDAIARKYRVTVADLENWNKAKTWAWTECKDILVGYKICISDGSAPMPAPQ EGAECGPLVPGTQKPTNKSISLADLNPCPLKSCCSNWGYCGVFPGHCTINAPEGGGPG SKKKGYENTCVSNCGNEIKQNSGPPASFQRIGYYEAYNLKRDCLKLKAKDANTDGSYT HMHWGFAEIDPNTFKPIIKESKEQWEEFKALPNVKRIVSFGGWAYSTDAATYNILRSA IITNRDTFASNLAQFAEDEGIDGIDIDWEYPGAPDITVGGKPIGEKRDGLSYLRFITV LKQKMGPDKSVSIAAPASFWYLKAFPIDTIARAIDYIVYMTYDLHGQWDYGNANAFDM CPSGKCIRSHVTKAGVPNNKIFVGESSYGRSFHMAQDGCWGPLCEFTGSRTQSDAQPG RCTKTAGYISFAEINEIIKRGDGVNVFHDDASNTDVMLYKVLTHFGYIRGDYISYMTP VTKDTRRADWKDLNFAGSIDWAVDLQSFTSTDYESTPERASSGMGCIRGGDKTLDTGS LCEYSCYLGFCPESLCTCLEMGPLRNLPKEHSGVNVVAEDENDVEMTRLCIFSCKYGF CPGDICITPVDWSNDGPEMVGDNPDAFNTSDARWQNSHRCFVYKDAPYDHIGLEQCSR ACKPEIDAAKEAGRMYNVGCLGFWPLEKEVPWVRDPSSMHEVADGMCLCDSVFLNELA TTFLEALPAIGQIGCYILLSTIKLVIDIGTAIIPPAGRAISAGLDAVMTAAQLASYVY SETEDPAGAFEWWLSPCGGSDLVPDDLKKAFDILSGVAEGISSFRKPKNIGRGSGKKG DEGNPRTPTQPRPKAPTKPKPTGPKKKRCYIPPSKETVRLGRAKNTIRVQSCVSSRTV RQELIITSISYGPTPTATTADCAKSLSQACFHYSSAIRVNPHWATLTCPPEAAVTAND RKEGSAVAAWSSQHSGAGWQDGANRAQTKCQRDEYPPAYFLGPNDPARTNSGLNAQGQ LIRWLPGRENGGGGQIWSGACFIGPVKALSDQEFRRKVERASGVNRRVLRAGDLTQTM ALVDVPTRPEFSFTSWGHSANPPCRDGLEANPCWPSGIAALDPGFTLLTFDPFYNGQS PPYDYKAPYVKGSNGS TRV_04776 MYLKESDILEPPEGGWPSVTPSAIQQLGKTNEVAALLRHLPYIR NLGNGKPLTFPCSQFENWEESCMSINQGRLELEGIKECSEGHGANDVPPHVIGLTSGG RINYPLLLDTELGVIYWPECPGDIQLDSNAPCERVWDDPYEYASMSEAEWRAEGAYWS IPDFFEIIKDQFRRLLFIPISTGTVIDVYNYRDSPQFIQFLQEIYREHGWPDLEKYNK LECLKDIQKRLTEKYPEKVDYDEALNE TRV_04777 MCSGLRRCSAEKKLAVIIIYKKRDELYSAPFHAAQPISISFTRS YIAFTAPIRKMRATFTLLLAAIAAAKDITDDDITIILPNERPTVTIDPWQCLTQNLTE YFDVPRPTGAFYSAVYSHGSELIKDCVAATKGVDCPFPESSDWCSLSTSIPASVLPAY SAYGSVASVWWKSHSSAVFTAAEMCPLGWFDAMLSIPGGRAWMNLTRIYGGCYAHAQP TPGSEPSKRLSTTSGPTAMPDAGASATGHSQPTATPMKNSIFGRAENRPGSLVAAGAG LAAAFISSIIL TRV_04778 MDPKLTDDEKSRVKDLRAAVRRARQGSSEEVYGSEDYWKYSKEE LDGEDKISDLRFRALQRNSDLSDPSFEQAAQEYKSQQEQRSAKRLSILEKEKLFDKRN KILQQSSSTTTNLTSMRAAYVDLLLTIQSKTSRQKQSDFSKDVLRAYGGTTKTNNTTY CFSVLDGTRRERDEFVAAHIFPLSIGPKAMEYIFGRDARYEINSPRNALLLPKKIEKH FDAHQIVVVPAKSDNPDVREWQFLIVDKSGLWNEYVFPGGEMKFSDLHERKLIFPNDF RPRARYLYFHYLTSMIIHFRAAQKTGIVRSELPDAEWPELTRAWATHGEYLRDNMIYG FMEELGHDLPEDLKQNALTHCAPIRSNSEAEELEEVIDSLGMIQIGSDDDDDDDDDDD DDDDGEDGNFDEDDEEGEAGACKKRRDRKDSKKGINFTSTSGDVALDPLLLLHWRSER N TRV_04779 MIRHTRPGRELWVSISLYALCILLLVEGSRAAPTSTLPAAGAKL EPRGIFGPNETAAGDDSVLCVFPISGQYGFLSRLLYYGSLVFAIIGRTHRWLVLGALA SALAFAGSTSIHMLTLVTSKTPAFDLDILAAWSILTTGCLAFAALIHWSSSVRNSDSR VVLILWGMMVGIGCVTGRALLLDVNSQAEPACRSSNGTLLKAQSELASGMFNCTYKCF GVRTPLRDPSEITVMPAKVFVGTYSTLTVALMAPILAAAHKSMSVNLSLHSPSDLCAH WVLGYLNHSLNARLSQHIYNAACSTWYGGYILLLRYASTAKFQSNKRRLIAITLICPL LVIDLVLDILTPFIFIANILINEINLMINHFPVEEGIRSIGQWSPMVSAALVILAAII NQLTERHRILKEKKKAAKSSDPHSGLPVWSHSQTCESQTSASPLVYDHRTRHGDTDRK PGHHHHAQEIGVIERDFSRQETLHTELEDYPKHSPKAYIRP TRV_04780 MFAARRTATLFQRRAFSATASQASKVSVLGAAGGIGQPLSLLMK LNPRVSQLALYDIRGGPGVAADLSHINTNSVVSGHEPTPSGLKEALEGSEIVLIPAGV PRKPGMTRDGRGFEADLSDLFATNASIVRDLAKAAAEHCPNANILVISNPVNSTVPIV AEVFKTKNVYNPKRIFGVTTLDVLRASRFVSEIKNTDPADEKIPVVGGHSGVTIIPLI SQSNHSDIAGEALDKLINRIQFGGDEVVKAKAGAGSATLSMAQAGARFADSLLKATQG EKNVIEPTFVDSPLYKDQGIEFVASNVRLGPNGVEEILPIGKVSQYEQKLLDNCLVEL KKNIQKGVDFVKANP TRV_04781 MAGSEPLPPPQSSTTASSTIDGSKRDTPAASLTPSTPSTSSAIP DDSNSNTTTTTTAGSMGDKGDSLEIKQQDRTAENLAAKTEVNPSLAADGANTTAAAKK PGCGKHTHSHSKSDKAGKNKKKSKKRSKKKVETDSSSSDSSSDSDSDLDEEEVSSDSD SSDSDSSSDSDSSESESEQKKSKQKKKKTNERTRRVKEKKKSRSRKQEDTEDSSDSDD DDSSDEDQGSKKQKESKKVAKKIVKKLLKKQQQQQQQLQHHLENPQHMPSSIPGDTGG YDVVSSNAANITRDMHKRAQQINHINQLDRRTIRRARLMNQPVADNTSQRQLKPRTKK NKRASKVAFKRVDQLWDSTIHNYKLTETVKDPGADEWDQYIFTVRRKFDWENRYTDTV VDIKSKPLRDALAHIMDGVKGVSLVQDTPVLDPNLLFLYLEETREYMHELKAQSKSEK KKRNRKRAATKAAHLKILVKYLDKDYAETKNALYPLLDNNTITYDLLWALFKPNTIAY TQTYGTPDEPRAFKIEYATKESSFMRGQWYSIEGRYLEYDGKSFGMGTMSAEVDHFKG PRKISSLACYPLKYHRDPEALQAQLIERGKKFVSLQGMNYRFHKGMAFVKRKRSIIKV NINGRIMVDPALHRRINPNYAISTVKPKEPDLIMEVGGDDDSDDCCCGSDSGSEGGEG NDETFKPKTRLKVVQDKEGKTHVVEMECDENGNEIPKENITKLEDGGTVEYEFTEEEL LIASPVVLGFAFSEKLWLEFTVSGITEIDWNSGAFDSLVLPANQKSIVKALVESHTFH AAENIDDVIQGKGRGLVAVLHGPPGTGKTLTAEGIAELLKRPLYMVSAGELGTDSRTL EGELNKILDIAHSWGAVLLLDEADVFLEKRTIQDIHRNALVSIFLRLLEYFQGILFLT TNRVETFDDAFQSRIHVALRYGDLTTKAKRSVWKMFLDRVQTKEGIEVVKFTDKDYDT LARHNLNGRQIKNSVRTAQALAINEKVPLSMQHIMRVLDVAETFEQDLKGGTGYLDAM RSYT TRV_04782 MPEFTPLNEVETEPFDVKMEDASEGEVSEDKKSTPASEMSEEAP EPTDADDVKAEDDEGEAPKAQVANTKAAKGRGANGKKATNGAIKTEEEAKIPVTPRKR GRKAKAGDATGAATAEEADDEAETPSKKKRATPAKGTPSKAGKRALPSNFDEASEEDK MLLRMKDEENKPWSEIKTAWEKATGETVGGSTLSNRYQRIKANFTVFSPEDEAKLIQC KKDIEDKFENEKWCRIADAMEAAGGKRYPAAALQKKFKDLGRKMATKEADGIDE TRV_04783 MNVSEGLSEQFRERLMNLALEYLGRYQLRYHRLMVVRRYSEGLN PSPADNTLYISLYTPPNDNWVKALEDILLAIKPLHFTGRVEMIDYRALGGMKTFSPNV PDQLVQEWEQIQSVIIHRLASTNINWKAMMLLKRGYWEEVAVNTIVIKASIPSRALAI STRDRVTFDLDNILERFDLQVEIVRSDLMWGLFAEPPSTDPRNVSLGWPFTNIYNLMG MSIARADTPYMTGTLGGYFCFTDAAGCEQLLGLTCYHVIGTGPDGEVDSRSDIQATID YTMQKMCTPSANTRVLSEEYREIAIQANEARERELNEIRLFNPNIGTVVATSGLGNRS LSSGVESHLSLMDWALIHIKNGRKTFNYIAETAPASTPSWKPESLFVIRRAPISNFQD IVVKKGRTTGATSGILDGLVPAALRIPGFPVGVHRRGWVIVSKDMPFAENGDSGAWVL NMSGQVVGMIFAGDKADGTALIAPMDLIVKDIEDKLGIPRGSLRLKD TRV_04784 MRVINLILVALMSIFVDSVLGAACFSEKASGSCLTKARLGTAIN TYCKNNWRQTTTNWRPWKGANGAMGQIGHLGVFKSEAACRRLGNHVVDKCYGGPKGGA AEKAGAAINIIWCPFSSQ TRV_04785 MKPASSPKRPQDHPPLPPLPTERPSRPVDLQARRSSSSGSSAAS STASNQTALNVSKGTLYGLAQQQQQQQQQPHDQQLPQAVRPPVMAAGSVNGSNTSLAL RPQNEPVQRRASPLAQGPSASSSSDTRGFRSRHHSQGFFEPSLPTASHSSSAAVSASR IAAQTAMQHQTDQHQQYHHIRNRSQTIPPPQESGLAARRGARDSPPPIQTNNLRPPLP VPGQLGFQSSPGGGRAAGAATTAANVAFPRTPTPQNALPSATGNTTTTISGGAADRAE PGGQKEKQKSEKSKMKLFSKPKHIGMSRDKEDKKEKTMPSPSKLASRLVNASTVSLND PTGGVAGAGAGAGAGGDININNSNNGLSANNLSLYSLANSSATTVVPIERHSLDEDRG KEKEKHRHHFLSRQKLKLKDKEDFHLPLSSASSNSRPLDPSAPQSLYSFAPSSPAAST FGKSMSGLDLRHGGRALREKKKEEKASAAAAAAAAAQAQAQQDAMMREGTDHPADWSG AASVTGSTVTFGGPSSSVGSTQGAGIMPGSNAAIQEILQGFGLQNMTPDDAWDFLKAK LLVLFEGEDVRIALEDLNKLVRVHIHCCVQKHAPHQVVEDLRDLLQTGFASLNYSLAK VPEENIIPHLVNVWVFAFGNVLPFIQATFLPLDLEFKGHGSIMNTREAREFWGAQPGA SDASTSAGDALDVRKIVLTSFRDNVILTRYDVLKAAFSRLSLEKMNANINGPPTATLH SSTTASASRPSTAAGYETSFGGGSYASQPSSSHLNAGSFSSESAIASLTRARAISNTS SNPEHTYPSSYSSFSPSQPSILAQTHSHSTNIPAPPQPPPTDPSHVTETAGRMLQCIC VLASVQSDDDAQQKIEELSKALKHNWLGRGRTGRNRRGFVGTKIRPPPPPRKDTSEEQ ANYQSTDETPRGTDATGW TRV_04786 MDPASAFGIVTGAMQTVQIIGSTVQGLRALQGKYADADMTIHSL IVQAATIKSAITQLQDWATHGTGRAEHPDYLDGLDIAIDGCKTSMECLLAQVSKVTSV LDARELPELRVAAKIRAVWDDHLMQDYQQRLQSQVLALNFLLQVCQCRTVTEQVELLR REENRQLLKKVADDTVTLRSSVKQQADLSTMSISQSTISETALDVDDIIVNATAYRRA LAHSRSTSNRARTEESNHSTTGQPQTAGSATTDEGYASGTARSQSFKGINTLSPPNTF LEPPAEELSPQDQGEMGRSASMAVGRTSIKPTNPVMRRWQSNPIPLHKSGSKREKIRQ VLGKLDTSSRSSLKKTSPRLGPKSFGSFSPIPERGAAPRFDRTRSNTSIDLSSSGSAS IPPIIKAAQSGSLVQVEMQIGEGADIDCHHALTGRTALAVAAHCGNEEVVDYLLQKGA KYDTQEIDGSTPLHLAASRGHTAVIQVLLSVVENVDVKDGLGRTPFWIAADGGHIDAT RMLLGAGCKITARAKGQMTALHQAAIRGDGEMVAFLLQSGADIEAKDASMKSAFHHAC ENSQYSLCRSLFQYKADIEAIEINKRTPLICAAIAGDVRIVEYLIGKKAYILATDEGG MNPLHAAAANGHVEVVQLLLEKKISITSTNKLGMTPLHLAVMSREFAVVEFLLRKGAP TEVRSSGGFTPLHYACDLVDLEIAQHLIGCGASIEAQGEGQQRPIHISVARDSMELVE LLCQKQVEVDAADASGTRPLCIACRKGSASIVERLLNQGAATSCSSSWNGTREEHSPL AIASRAGDVRIVSLLLGKGASVEQYDGRGWNPPLYAAHYGHVEVLRLLLSKSNSLLKI KLGQMFSQTGFHPHLSIPAETAQTIQRLVFEDTQPLLSQGIGNPRMMMRDVNEFSGHS RFMQGYVPMLQSFHQPPSSTITPPIPSFPQTAIELPAFEPITLPGRGFLGSIEPSSGG IQQDQPPDIRPGLFEAPQNTITRSSGGQSSNNQNSHVSIERPNLNHGEQPNIVSALGE PLPTIPSRIATPLSIFEYPRHQPPSQPVSQPQDLPPRDIPARQDIGVSPLDTLGRNAT VSPAPHVPDEPSMNNQSQPWYAEEIYRQSPEDESDDSGLEDDSDSESAVSVYTAPESL DPEIPELPSAELGAAIYELDGTGISMQGVFIP TRV_04787 MAESEFGSQRRVELINKIAAGAGGEIDQPLWPFLWLADIPQLEE ISKQPDLITYLAGLAKRLEDSQLLARCKLVHADVCAQLLTFLVSYCLYRDPENLRTYT LPDGLSCASDVKAFRDGSTGRSAWLTNLKRNGCVVTGADDPVEAAHIFPFSMRRLQAP DIVNDTYNPWSVFTVDAWYNAITGPVATETVQNLMCLAPSVHKYHERAYFALEPVEED TQSHTLTVRFHWLPHMANLHRLKVDTRPSFARGIGCGRRIRLWEVVSGDEIISGTLIN ITGYDRIPLPDPALLQLQWMLQRIVALAGGAEVFQKSNDDGDDDDYSDYSEVYEDR TRV_04788 MASDPNSSKDPVLKAVYQAPRTSQETAEPSAHTFAQQLSSSIPP PGENSTAERTKYLAELRGSIVSLQADVNAFLTEKMEEDKLRDAQGAGTIDDKTEEDNY GEEVVDDDE TRV_04789 MLTAFTARLLVEFRPRDKSKIESILTYGDRLLVGLNTGSLRIYR VNEVNVDEAETRAGDDTEAQEGSGDGGGVIQPSPATKPTDLLRELEKFSRYKIEQLAI IKEANILLSLSNGHVSIHDLQSYELHEQLTRTKGATTFAVTSNIEKDQDTGVPSIVSR LAVAVKRKLMLWTWQDMELEDDATEITLVSGIKTITWANGTKLLAGLSSSYVLVDVVT REVTDIVGPGSIGGAGGSDTGRLGGVGASMSYIGMGGATPKPLATRLCEGQMLLAKDV NSHFIDTDGNGLKRRQIPWTVAPEAVGYSYPYLLALQDASKGILEVRNPETLSLLQSV SLPSAAMIHIPQPNISLAHAGKGFLVASGRVIWRMSALDYDSQIDGLVEQGNLDEAIS LLGMLEDALLNDKEGRLREIKLRKAQTLFEKQKYRKSLDLFTEVSAPPEIVIRLFPKL IAGELSSIEEEPAQESSESSEENPSQPENGTSIHSAEPTTPDDKPKVKNGTYAPSVTS FLRGRPDDASETGSMRGKPADLKEPDKRLEGKDLKAAVYELQGFLADIRRRLSRFISP DGTLKEPSVNVDKSDDTTQSMLKTLGISSDDLENIDMSQKLRETATLVDTTLFRALMF ATPTLAGSLFRIDNFCDPEVVMEKLEQTARYGDLIDFLFGKRLHRSALEQLKKFGQKE AGEEISPELQGPKRTVAYLQNLPPDMIDLILEFAEWPLRSDPELGMEIFLTDTENAET LPRQKVLEFLNGIDAKLAIKYSEHVINELNDLTPDIHFRLLTLYLERILKSKKSKDVF PTEDEREECKNRFLKMLETSEQYSPAKMLDRLPRDDPEFFEPRAVTLSKMGQHRQALE IYVFKLDNPAKAEEHEHKLTGPVQRYCNRIHLGDSTSTKQRAVPYGSCPAVEDEEARP SIYHTLLSLYLSPPHGYEPRYGPAIEILARHGSRLPASSTLSLIPGSLPIHDLEFYFR SRIRAANSILNQGRIISSLHKIQSAETEAALRLGDNVGSNKGRNRCITVSEDRVCSHC HKRLGGSVISVFPK TRV_04790 MASGESGRRQSVYKIFGEPVRINVCLLSRHFAAAMAARLCWLLI WTGTLAKSPLRLPHCSRHLALSTLHLVFLLSVSAVLVFSVSFVFIIVFILRRDEEQDG EDEDGDGGVKPLLSNTASGNMECGGSRVYNKGESPFSPVHEGVYTYPRPTEEGRKTRR QEDCSKNTRNACKEGTSSLWTTKRMNSPPVVLGSIQEARRASYIKAAALYPDPLLYTR ALSVSIFFKASSAYHPCPEESPAAHDGKHRTLERPANSKKNDDAKICVVMVGLPARGK SLIAGKAKIFNVGQYRRQDTPRPTAAFFDTSNLDGERLRRAAAEAAVTDMLHWFSTTG GQVAILDATNSTKERRKWIRDVCQSAGIEPLFVESKCDDRELIMNNIREVKTTSPDYV GQDPEVAAQDFMERISNYEKVYQTIEEDEDVSYIQLINVGTSVVINNIKDYLSSRLVY YTINLHIKPRSIWLSRHGESEFNLSGQIGGDANLSSRGEAYARALPSLLKESGVPPGT KIVIWTSTLKRTIQTARFLQAETGYDKLEWKALDELDSGVCDGLTYEEIAERYPEDFK ARDDDKYNYRYRGGESYRDVVIRLEPIIMELERSENVLIVTHQAVLRCIYSYFLNMSQ EQSPWMEVPLHTLIKLTPRAYGTEEKRFKANIPAVSTWRGKGSSAKHQEPACQPVAK TRV_04791 MASRSMSREDIAKQYENYSIYVMLSSRGANPGFHWGIFIPIDTP AGHIWHATDREGGWKLDQRPSNNVPYSLSLVLAHKIGSVNNSNWQTCIDTLNGIPAGP HPSPNTGETFSCRTWVKDAIIALEKNGIITLSKSIAHIEETLLDAAADYKDDVEVGAK IAKVKNSQI TRV_04792 MEAHEYMQKRLANAPTRIGLKMYPPTLSMTVYKLPFGLYLRRGS PSLVPKYHVEAHTLKMIEQSTNIPAPRAIDVTQTSRYSYLLMTCVPGRPIGPSMNTMT DEEVEQVVVDLKGYISELRKIPRDPSSEYLICNSQGGGFLDWRIPDSQSEELRFKSEA DFNKYLTDPFWEEIRTRAAKSHEIPHEIVFTHGDLNPRNILAENGRITGIVDWENAGW FPEYWEYTKMHYTVRGVERWLVDVVDSVFTGYRQELWVENMLSDLLGPF TRV_04769 MATEKVEGVSMEESSPGVQKFASSGSDMALEEKGEELGFWTRLG CTPESFKRRRLTGGNQLNQTLKSRHLHMIAIGGSIGAGLFVGSGSALAKGGPASLVLD FAIIGVMMFNVVHALGELAILFPVSGGFYTYSARFISPAWGFAMGWNYVFQWLVVLPL ELTVTSLTITYWKVDVSVAVWITVFLIAIVIINIFGVLGYGEEEFWASAIKLTAIVIF MITAIVLACGGGPKDGLYGQYWGARLWYDPGAFRNGFKGFCSVFVTAAFAFFGTELVG LAAAESRTPLQSLPSAIKQVFWRIILFYILGLFFVGLLVRSDDKRLLGANTYSDSNAS PFVIAAKDAGLYGFDSFINVIILISVLSIGNSAVYAGSRTLTAISEHGYAPRIFSYVD KAGRPLFSTILVIVFGGLAYVNVSGKGPVIFDWLQALSGLTALFTWGSICYSHIRFRA AWALRGRSLDEIPFKACSGVYGSWIGLFIIFIVFIAQ TRV_04770 MGTDTVRRFFLFLFTFFSVALIYILKYISEWPTDIQVKKTSSKP PKIKAKKDIKLKTPSKAPLKTDIGPSKATKAVKVGMLKTTSKEKEKEKKQAKLSTTTA TSPPLASTSTSTSATTPQPLQNTNNDAHQPKPGSSDLLDKQAKAVQARLKMNHYANRA RHVPAGRGGPMNYLGPNGRVPGAFPPGIYTQSPHKPYPTMLERVKPSHLAPHWISWES LAVTVSNFSPNVNTYTLWKSFSACGTVDFIELFEDVRGKREGRGRIRFRGPKNHVSSI SCKWPVFFPAHPNGTGLTDNQKEAVGRSLDIGCLSGEQSMIIMRTITASFQEYIRFVA DLHRREINIFFQMVKTETRLPPAIPHTVHSYRIRIPFVHLSRINRISENDELSFIFSL DSPPSYHRKLQDLSNTFSEADNIWRSDDTWYRQTDIVHNPLDLVHSKTSLKKAHPVIN IDNTHSLDTLTKILKDFNVEIQNINSFTLKRHPPTADLAWRWIDPPSTHASKRTSSLE DLAENEYTHISYAVRYQLEVCISHGFLSEYTIDKDFAKKLESLGERDGKDLLEYVAQE KTVYHDPMEIFNIQFFSGVTNRRIPKYCCYMRSATVTPSTIYFNTPTVDTSNRVIRHY IEYADRFLRVRFTDEKYEGRINSTYNNCMDEVFTRVKRTMTNGITVGDRHYEFLAFGN SQFREHGAYFFASLPNLTAANIRAWMGHFSDIKIAAKHAARLGQCFSTTRAVTGCPVT VREIDEVERNGYIFSDGVGRISKFLAQMIMTEFKLQTPSEEPPSVFQFRLGGCKGILT VSPEAQRREVHIRKSQYKFAAIHNGLEIIRWSQFAAAHLNRQLIVVLSALGVSDNIFI EKLRLMLEDLEQAMTSETKAMSMLQKRVDPNQMTLLLAQMVHDGFQGSCDPFVKSLLE LWRAWQIKYLKEKAKVFIDQGACLFGCLDETATLKGYYEKKRPSIEATYEERLEYLPE IFVQVFDNEEEKKYRVIEGPCILARNPSLHPGDIRVVRAVNVPALHHLKDVIVFPQTG DRDVPSTCSGGDLDGDDYIVIWDQDLVPLSKDWFREPMDYTASNAQSLTRDVTVNDIT SFFVTYMKNDRLPQIAHAHLAFADYLEDGVNDERCIQLAQLHSAAVDYNKSGIPANMT RDLVPRKWPHFMEKKYKPKEAQYQSKKILGQIYDIVERVEFRPKLEAPFDERILNCDI SVSEEMVLAAKKLKGLYDADMRRIMAQHEIKTEFEVWSTFVLGHANMSKDYKFHEELG QISSALRERFLSMCQDEAGGRDFQHLAPLAVAMYRVTAQDVAEALGKEDSSKGHDIGN AIKKEYKHLRNDQIPLISFPWVLQPVLGKIANRHFDDTGIIEGAGKLATWSSVAYEQS RIRRINGIRGINDAPRDVETAGGIQHAGEVLELFQEDLGFDPFDGLGDAFDQLACHPN EDDKPAVDQDSRSKAKCAIPLLDTEQSDSLVEVDDDIFTPITPCTIDSSRRGSVPIEE QSSLLDISNENIETETRKNDNTETKRPVAHSQPKEGQENQPPLQKSDLFSSFLDGSTG PDEMIDEDKEEVEMMEDDGDVDPSAVNQLEMLLNI TRV_04771 MSSATAPLLAPYTAPPPYQSLHLITSVLGSTSNWLVLRCLCDTL QIPLQRRGNLDGLEDTADLERDDSVKRSKKRKVVLVSFLRGWEFWRAEARRVGLDSNK LSKEGRFSFVDGVTNLFSPSPALQTPKSVAPGEALAASTRARKSSISSPSFPLPDRTP PKSSSQAARAPKPGPISFSGRANPLLARPAPPTPPISSAPPTAFATPETSARNDNRYS QNIRMLHWAPSAGNSGGLDGVEKVITSAIADTCKGQETPDQVGDNDVLLVLDQPDFLL ASTGPSMQVGSTEMGELIMGLRRVCWATQIVCVVLDGY TRV_04772 MSRRPTDVANKERNEYIPSFISKKPFYVDDDSSANDYLEHQRLH KEKEDQKWYDRGKRVGPAATKYRKGACENCGAMTHKAKECLSRPRKHGAKWTGKDIQA DEHIQKVELGWDAKRDRWNGYDAKEYQNVVEEYEELEALKRKAKDNASKEQKAPGEDG DDDVDGDGDGTSEAKYAEESEMGRQQGKGTRNLRIREDTAKYLLNLDLDSAKYDPKTR SMVDMGAQSDQVAALVAEENFMRASGDAQEFEKAQRYAWETQERGDANRQHLQANPTS GEYYRKKQKAEMDAKKAADKKALLEKYGGGEHLQAGPLRDMAVIENERFVEYDETGAI KGEPKPAAKSKYAENVLINNHASVWGSWWSNFTWGYACCHSTVKNSYCTGKEGIEAFE QAQNMLQIEAGDDDQKQAPAKDTMEDKSADEGRNKPASNAQKKRSRHELEMGVTEEEL EAYKKARTAVADPMAAFLGKDELVS TRV_04773 MPAFRVLLNCVDHYQATPTELDPQFTSDNNDPQLRSGSIKVPVI RVFGATETGQKSAKHRIVNAAIRSLHASIDHALALSYRRNPSDHSAAFVAYISLVKGI PFYGYYVGYRYYFKVYLLNPLHMTRLADLLLQGAVMKRALQPYESHLQFIPQWMCDYS LHGCAYINCSKVKFRPPVPSHISLENPDHLWHDESIPQRDISDPSEFPRQSHCAIEVD IHVQDILNRHEIRQREIHHDFVVESNQGFITEKLVPSLRVLWKDEERRRKAKLGLTGS EGTPFSQKDLASMSSNPHQTHSGGWIHEKEFREQIDAIVAEERRKRDNSPTRSDFVRY PEFHESVKTALESVQELYPQNLLSSQADAIQSQISTEANNGSVEVDENRIDSFGVDTF FDTGDIDDSFARDRQELDDVIGRKLASNTNQPNKSEPLDPNIFLQSSQLEEVGIKRAS DPINSESDLFEIDPEFLTLIDSKLRKRDRNEESSPEVSRDKKVKVTEIPQTRSSLKAK FHVSMAGSAQETSIGKGVSSRSDSPASSQKPRASGGDNQRLPFPVVKDSANAATVLRL SQQNLQTKKTQQIFIKEGFLPEGSTSQPNIMNSPNDPKVEPAATNSQDGSENITSYQP PKASKVYCFTQQCPLPSLVRETISDNSRPSVIYQGAYYGNESDVLERALEYAGKEFKL ESNSLPFLPTFDPTGTSPAMFGEKPALLLDRTAFEDQNKKLRRACSLRTWEFAVKAPI QSDVVGWFKKEDIQKKRLKNGSADTNARDVPVSQIDTSTQHNKHGFKYSQRTKSSAVQ HEANYMSVMSLEVHVNTRGTLAPNPEEDEVACVFWSVISEEEGRHRGVVVLSETYGLS SKLKPEPGIEFQEDMSELDLMNRIVGIVRYYDPDILTGYEVHNGSWGYLIERARKGYD YDLCDEFSRVKSQSHGRISRETDRWGFNHTSTIRVTGRHMINIWRAMRGELNLLQYTM ENVAFHLLHKRIPHYPFEDLTRWFKSDRPRDVAKTIQYFSSRVDLNLEILDANELISR TSEQARILGIDFYSVFSRGSQFKVESLMFRIAKPENFILISPSRKQVGQQNALECLPL VMEPQSDFYTSPLLVLDFQSLYPSIMIAYNYCYSTNLGRIVNWRGRNKMGITDYEREP GLLRLLKDKINIAPNGIIYVKQEVRKSLLAKMLTEILETRVMVKNGMKEDKDDRPLQR LLNNRQLALKLIANVTYGYTSASFSGRMPCSEIADSIVQTARETLEKAIALIHSVKRW GAEVVYGDTDSLFIYLKGRTRAEAFDLGEEIAKTITDSNPRPVKLKFEKVYHPCVLLA KKRYVGYKYESKNQEKPEFDAKGIETVRRDGTPAEQKIEEKALRLLFETSDLSRIKEY FQKQCSKIMRGKVSVQDFCFAKEVKLGTYSDRGAPPPGALISAKRMLEDPRLEPQYGE RVPYVVVSGAPGARLIDRCFPPEVLLQDPQLELDAEYYISKNLIPPLERIFNLVGANV RQWYDEMPKYQRMRRTEGALNTPAGRSGQDGNNSMFKKTLECYMRSSSCAVCRSKLNS EEQRQQNQAICNVCLRQQPHLSLLKLEYRVHESERRVANLQD TRV_04739 MLIYSRSGIAITATYAIRQSSKLLKVSYLFASAYPILPVDGAER EELRSLKIRLQSKIQIISPAIDMIELIAARGNTSLESAVNLTKTLRLDIQNLGQRLAR VVGNEEAHGKGASAKRRAQLDAEINSVIREIRTLLDRIEDAVPLINLAITTSGARLST TLPSTVSPSRLLQASTFLTAGDTQFSLTPNRSVQVGPTFTLSIYLLFAGHVRPTDEEG IRETTWKEVIHKARVKLCRVPIDVVLADQYGDQRNLRGEARADEFAYQILIIEDLDDG RVHSFEDDEPKPGPFEGVAQAGIRDVIPVHQISKIFYADTGKILNIGTEGEANNPVLL LKRDINAIPPRGMIEREDLAGYFDPEDNHDHEQEPYDDEQAQVNAQLMASQGIHNPSD PVQYQNDIPEHWRFPPSLDLEWIALEVHQEEPESEDDDNEEDEPEAASATITEEDELS ENLAKLRIPNKHESSSSHVAVPLSPDHPMSSSTVSNPLFNNIRTSLSLLETLLRLTSL QQFQQQSHLSITDELLTFFLEESSATGAGGDEQHRQRVRSEARRKVGWDPYDESPLKP RGEDYQYQNEHGSVASTPSRYQPQSVDTDEGFGEIPAQTRSKYVGRYQDSPQVRGQRE SSQALSPTTPRPLTPASPSPKENYPENNDRKPSSLRRQVPTNKQAKNDG TRV_04740 MAGNSGEPQQAVDGIDGAMASSAQESYSFPNDRLKKVMDDPSKT PLLLVACGSFSPITYLHLRMFEMAADFVKFSTKFELIGGYLSPVSDAYRKAGLASASH RINMCRLAVDKTSDWLMVDPWEAMQKEYSPTAKVLDHVDKIINHDYGGIDVEDGTKRP VRIALLAGADLIHTMSTPGVWSEQDLDHILGKYGTFIVERSGTDIDEAIAGLQPWKEN IYVIQQLIQNDVSSTKIRLFLRREMSVRYLIPRPVIDYIEEHHLYEDEGNNASSPNPQ EKGKGKSTPAS TRV_04741 MSALDSWEDDPAAQDENLSKEAQQSLNLNQAPQAQQQQQPSFRP QVASFQPGAATFQPGAASFVPGQQFQQYGGYQQQYYPHAGGYGGYPQQQYPQYSQHYM QQPGGYQGGYQSGQHAGYNQGFNQYQQQAPPPQQEQWQPPSTAEKSNPAPSDATTDAT TDATTDAAAAAATPKPTPSESSKPSPASQPPKAKVLTIGGDIPKSKAPAASATSATEA QKSTGPAAAAAGAKVTATKAIEKTEKKGASSPTPSSGRSSPGRADSKAANRDADIVAK EQAADVDEATLKEIYGEKREHVNLVFIGHVDAGKSTLGGSILYCTGMVDERTMEKYKK EAKDAGRETWYLSWALDLTNEERSKGKTVEVGRAFFKTSGDTPDGPMTRHYTILDAPG HKSFVPNMIGGASQADVGVLVISARKGEYETGFERGGQTREHALLARNSGVKKLIIAV NKMDDPTVEWSKARYDECTTKIGKYVEALGYKKSDLHFMPISAQKTIGIDKPVPKDIA PWYDGPGLLPYLHNMKMPERKINAPFMMPISAKYKDMGTVIEGRIESGVLKKGSTCIL MPNRTEITVTALYGETEDEIQTATCGDQIRARLRGVEEEDILPGFVMCSPKRPVHCVT AFEAKIRILDLKSILTAGFNCVMHVHSAIEEVTFAELLHKVQKETGRKSKKPPAFASK GQTIIARLEIASGGSAVCVEKFEDYNQLGRFTLRDQGQTIAIGMITKLITDPTTNA TRV_04742 MLRPPGSNLQRSLREALERRESKGTLRSLKVVPTGAVDFSSNDF LSLSTNPTFRSRFLSNLARASSSLPLASTGSRLLDGNSLYAEQLEQQIASFHNAPCGL IFNSGFDANSGVFACIPQPGDVVIYDELIHASVHDGMRLSRARKLAPFIHNSIEDFER VLEALLIDDPLLLAGQRNVFVALESIYSMDGDFAPIREVLDMLERKLPHGNGHVIVDE AHATGVFGTHGAGVVQQLGVEDRVLIRLHTFGKALASNGAIILCSPLVREYLINYARP LIFTSALGMPSLAAVRTAYELMEEGQTRKLQLHLQDLIQLFHEKLMQLSPSDTSLFEA KHSPTSPIFSLQTKYPRELSKACQDAGLMVRAIMPPTVQVGTERVRVCLHSGNDVEQV LLLSGVIEKWLKEKSHHATENRLEAAKL TRV_04743 MLSSSTVAAGLWRSSRAYQVYAANTDIGKTVVSAVLFNAIPAYR PWASSKLRFLKPVSAGPAAEADDRHISRFTKGVTTACLYGYDRPVSPHLAARDLKIPH NDELLESIKSTLNYWDQDGPSFALVESAGGVLSPGPSGLVQADLYRPLRLPVILIADH RLGGISASISAYESLCIRGYDVEGIILFQDQFYQNHEYLKDFFSQRNVKVFSLPPPPP RKEKLDSETARLRDEEAMASFYEKTARHDEVFGLIDELSSKHTERLNRLDSMPAKAKE VIWYPFTQHNGMTPKDISVIDSAYGDCFQTLARPESSTCEHVLRPTFDGSASWWTQGL GHGNPELALTSAYAAGRYGHVMFAGTIHEPALSLSEQLLKTSGNPRLQKVFFTDNGST GMEVALKMGLRVSCTRYGWDASKEDIGIVGLKGSYHGDTIGVMDCSEPSTYNKKVEWY RGRGYWFDFPMVRMTDGVWKVSVPQGLKKELGDDVSFRNISSVFDLNARKESSIAGRY RNYIKKTLENVVQSGQKLGALIIEPIILGAGGMLFCQCHQQPPTSPDSWSGLPVIFDE VFTGLYRLGRRTAASFLDVDPDVSVHAKLLTGGLMPLCTTLASNEIFETFDSPHKSDA LLHGHSYTANPVGCSVAMASLREMENMEHAGYWDEFVQDWKRTTTTPAGNNARSLAQP EVWSCWPQALVTDLSYADGVESVFAIGSVFCITLRDQHGGGYTSNAASGLQKKLTAGE GSFNIHSRVLGNVLYLMASVTSTREVLSKVETLLRKSLVEENRECSVQEEVAVRYATL RC TRV_04744 MAARSLPRSLSRQLALVRPYGTVQSSIPKPVASASSPAAVAPSP TSSLPAALQDAIAASAPRTSWTREEITQIYQTPLNQLTFAAAAVHRNFHDPAAIQMCT LMNIKTGGCSEDCSYCSQSSRYDTGLKATKLSSVDSVLEAARIAKENGSTRFCMGAAW RDMRGRKSNLKNIKAMVSGVREMGMEVCVTLGMIDGEQAKELKEAGLTAYNHNVDTSR EFYPSIITTRSYDERIQTLGHVRDAGINVCSGGILGLGEEDSDRVGLIHTVATLPAHP ESFPVNALVPIKGTPLGNRKMIEFDKLLRTVATARIVLPATIVRLAAGRISLTEEQQV TCFMSGANAIFTGERMLTTDCTGWDEDKKMFDKWGYYPMKSFERGEYKGIAENQSSSV SNVVAEPAQPAAAPAS TRV_04745 MGDSDIDIKNISNTPAPGISYFTPYQSPPAGQAANPQSNGSAPP KLFQPLKIRGLTLHNRIGLAPLCQYSSPDGHLTDWHMAHLGSIAIHGAGFVMVEATSV LPEGRITPEDSGLWKDSQIEPLRRVVEFVHSQSQVIGIQLGHAGRKASTFAPWLSSGD LARENVGGWPDNIKGPSDIPWGPRLGKPKAMTKADIEEFKEAFGASVRRAVTAGVDFI EIHNAHGYLLSSFLSPTSNNRTDEYGGSFENRMRLTNEIVDICRKNMPEDMPLFLRVS STEWLEESRPDLPSWTVEDTVRFAEVLAEGGKVDFIDISSGGNHPDQKVRGALPGVPY HAPMAKAVKKAVGDRMLVGVVGNITTGTTANRLLEEDGLDYALVGRWFQKHPSLVWSF AEELGVDHKVANQMSWPFGGRGSTTYLKAAQKN TRV_04746 MPGSTDIISFPVNKGDWTSAATKCKLKNESIHSKTCNSASKISE EQYLLLRSFWVTRSPGTIESWGIKTISKATEWLREYDDWQKYLKNLGSQATMPVPRLG SFSYVCLSRLQVTRLPEEYEEEEEDKNVNFSPIASRLRSSDYKVFLESPTKAAAERKG LDQSMGTNKFAQMLQQLSIKEGAGDNSSDEDIKGKGKVKDDQDESASITGSDSSHAHS WVQMSPNSFRKAFPKVEDEQIVNGFLIAFLATLCMHHPEVELEWSPVRKGFKFGKSPD TAGETKSFLFEARTDGHLSKPVLRKTDIRSTVIVEVKPTLRAYNNRVIYQATAQMAAW IYEEPDEPGSQEPYRRAMILQERQEIRLVIAKYDSNYVNYLKNTANAVATISLLEMHE VRVWDIEKENEMKEFGNILLALVLQGGKLLH TRV_04747 MADATEPDFATLRAIVKRKVDDHEKLKPLFKYLRGAHDEESIYI SQEKMEQFRIRLSNMDLDEGGRFFRPITMSEFKSWNYDERHEREIDWDCENWRMRDAE AIYHKCWDLIKSEIPEHAQTEEKILFLDYTPWRSVIIPHTRMGGNFANFFPDERTDWV AQTELDLYESRPSDSHVISFSTHGLRPDKSVESRLARSEILVALDIMMQRMLWLPHIT NHIFPVLMISCFNRQFRANEVYLENGTLHFNCTPFINLETFDQYKYQLLVRWSLAQPI GTTTTYPNLQKLPDGFEKFIALGDGKRKIKKQNAKPTPKPAVKRLEELKRK TRV_04749 MSSSNVKFQLYTYFRSSCAGRLRIALNLKSTPYEPIFVNLLKGE QLSDEHKEINPNATVPVLLSTHQENGKSTTFKVTQSLAALEYLEESLPNTRALLPPVS NPEARAQARELALLIATDIQPVTNLRAQKKVKQLDADATKWALEFSVAGFTAFEKTVV HSAGKFCVGDEITLADVCLVPAVWSAERVGLKLADYPTTKRIYDLMLEEAAVKEAFWQ NQPDTPEELRMK TRV_04750 MSNASWLQIPRDSPFSLANIPFGIISTAALADPRPAVAIGDYAL DLFAFSSAGGFSKLSSFSSHIDVFTKPTLNDFAALGRPVHREVRGYLQDIFRDSTPYP EILKTNEDLKGKALVPLKDVTNHLPMKIGDYTDFYAGLNHAFNVGVLFRGPDNALQPN YKHLPVGYHGRASSVIVSGQPVRRPNGQILANPAATPKVPIFSPCKKLDIELELAVFV GKGNKLGEPIPIDQAEDHLFGVVLMNDWSARDIQAWEYVPLGPFNAKNFATSITPWVV LMDALEPFRSTGLAAEEGLDNLLPYLREKRAENVYDMQLQFDLKPANSSCTSTVAKTN AKNLLYSFAQMLTHHSVTGCNMNTGDLLGSGTISGKETGTLGSLLENTQGGKQPMKLS DGSERVFLEDGDEVTLKGMCGEEGSYVGFGNCTATILPAHVIN TRV_04751 MSQIADEEDKISRTLFEEFICALVSGLEREKLVVQCVTRSSSYP IQSPERAEPGISRRGKVPRTWKGVLAPNRRQKALAIRNPAGKKQQAGGDD TRV_04752 MIADLQRTEAVKGALPVGQNSPQKPPFGLYAEQLSGTAFTAPRH ENLRTWLYRIIPAASHRPFEEVDASTYHTSLSKDATSLRQIPNQLRWNPFDLDEKVDW VRGLHLVAGAGDPATKHGLGILLFAAGKDMGKEAFYSADGDFLIVPQHGVLDIQTELG RILVRPNEICVIPRGVRYRVTLPEGPVRGYICELYQGHYQLPELGPIGSNCLANPRDF QAPVASFDDEEEPSEYTILSKFNNTLFRATQPHTPFDIVAWHGNYYPFKYDLGRFNTI GSISYDHPDPSIFTVLTGQSDHPGTAIADFVIFPPRWLVQEDTFRPPWYHRNTMSEFM GLISGDYDAKTAGGFKPAGASLHNVMSAHGPDAASHEGASNAELTPKKVGEGSMAFMF ESSIFLRSTANVLTSCLMIGVSEWGLKTCQKVQDDYNEESWTPLKRYFKNPNKK TRV_04753 MAPTPESIAAAKPSLTSNDSAVLQALFDAESSPSNGIKTDSTLP QLPNIADADVQSLKKRELDALRPLQTTNENLTPEIIQTAIAQLSGIIEEHPNYAPAYL NLAQAIRLSIDTGSQNQPDGPQTTPAPSNQLFDNLAKTIALLTPSSSSDAISPFHSRI LANAHTHRAYILYKAARSKDEKEKEHHRRNLLPLQLQDVSDERLEELASYDFQVGGRC GNEAAKQMAVGTNPYAKMCGAIVKDAIEAEIAKWNESRT TRV_04754 MAPAADIPQAAAAAPADLSQYKGYDHVHWYVGNAKQAATYYVTR LGFKKIAYRGLETGLKTIASHVVSNGSVTFVLTSPLRSLEQAARYPAEEKAYLEEIHA HLEKHGDGVKDVAFEVDSVEAVFDAAVKNGAKVVSEVKTSEDENGQIKYATIQTYGET THTLIERKAYKGLFLPGYRDETAAPDPITQFLPEVKLERIDHCVGNQDWNEMEKVCDY YEKVLGFHRFWSVDDKDICTEFSALKSIVMSSPNDIVKMPINEPAKGKKQSQIEEYVD FYSGAGVQHIALRTENIIDTITQLKARGLEFIKVPETYYDDMRMRLKKQGMVLEEDFE ALKKLDILIDFDEGGYLLQLFTKVCFSRNNFPCMCMHTLLTLRQHLMDRPTVFIEIIQ RRNFSGFGAGNFRALFEAIEREQALRGNLI TRV_04755 MTNQGNNEIPKVQSGELYFVPEDEKLLLKKLKQSKQLKKLKKPK SQQSRELPGHISIKRDTSKYTSGLLAAFRAQPSKPVVPRSFFTTAATPAVGEAVSKSR FPDISSEGVAYWLLASAASVFGIVVFGGLTRLTESGLSITEWRPVTGSIPPLNEDDWE SEFAKYRASPEFKLLNPNMTLQEFKSIYYMEWIHRIWGRFVGLSFVIPAAYFVATKRV SKSMSLRLAGIAGLIGFQGFLGWWMVKSGLKDDLFAPGSHPRVSQYRLTAHLGAAFVC YVAMLWNGLAILRSHRLLADPVKGLEVLQALKNPKLAVFRRSVAGLALLVFITAMSGG LVAGLDAGLIYNEIPWMGNGLTPPKEELFDEFYSRKEDRSDLWWRNMLENPSFVQLDH RILAMTTFTSVMALWAYSRMPAMKRLLPRAAKKGIHGVVGFTALQLTLGISTLLYLVP IHLASAHQAGSLFLLTWVMVLGSRIWHPSRTARLLQQAVKAKPLSSTSHAASPLANKG TRV_04756 MFPTSGQGLATPERTSSSDTNPFRPQPPKINTNVPHITVNGKQV EVIEISSSPSPQDTIPASAQGIPAPGPPQGQPAQQPMHTQHGSPSSFAAAPAGSSQGP HTIFKPIKIHTAKCDVCNKHNKSTLQRCIDCGWQICTPCWNARGGNGAHGSVRKFTGT IYRSSDDELPQKKPRKKGKNVNNGAKDTPPDKGKAAAKDIASTSTRPNPDIEDNRNPS VVPIFSTVSANSSPGQLPMAAGDSRVVLQSGLGSFKIPSSSGPASVKPASSRNDLPRQ ATAGCSKAPRTPENIRQAIADNLSSSSSLTSLGALEEDCGYRGDEENENEDDDATDID PENEDVLIADGRAYQKRVFKGLNPKAQTRMNWLLIAAEQALKEREKDQKQSRERNEGP KTPVPATRKFDPPARSWILTPSQHSSPAPKQDPAPEPSTVAVASQPPPSTPVPTQIRT IGATTVVRPVPISLYFRSPSPVPEHMRGIVQAPKRRILVQPLDLIEYVAPPAVPVSEL IPRPDPMDIDQPRPGSNPSAARHPRMPLHQREVPFGLRDILPGDRETKKNETSERERH EAEKRILKAHGRAWEL TRV_04757 MADQAAVDQAGASVANLQLDEITGERVSKSELKRRQKQRALEEK KAEKAAAAAAAAPAEGKKKNKEEDESQLTPNQYFEIRSTKINQLRQTKEPNPYPHKFQ VTDDLRQFLKEFDSLKKGEQKPEKEVRIAGRIYTKRSSGAKLIFYDLRAEGVKVQVAC QAQEATGDVPFEAQHEQLRRGDIVGIVGFPGRTNPKNRDDGELSIFARQVILLSPCLH QIPSEHYGLKDQEQRFRQRYLDLIMNDSSRNVFVTRSKIVSYIRRYFDERDFVEVETP MMNAIAGGATAKPFITHHNELNMNLFMRIAPELYLKMLIVGGLERVYEMGRQFRNEGI DLTHNPEFTTCEFYWAYADVYDLMNVTEDLVSGLVKHVTGGYETVFHTQSGEQYNVNW KAPWKKIEMIPALEEATGEKFPPGDQLHTEETNEFLKGVLKKVNVECTPPLTNARMLD KLVGEFIEEKCINPSFIIGHPQMMSPLAKYHRDHPGLCERFEAFVCKKEIVNAYTELN DPFDQRLRFEEQARQKEQGDDEAQMIDENFCTSLEYGLPPTGGWGMGIDRLVMFLTDN YSIKEVLAFPFMKEDKTAVKDKDHLAAEVVGVEPTPVEGIRKSPYCSPQEYFPN TRV_04758 MITIDITGTVGIEEKNASIDFLSQNMREMVKKIQDLRHLGIENH TLPLPKIVVVGDQSVGKSSLIEGMSEIKVPRSAGCCTRCPLEINLSESDGPWTCRLLL MKKYMYDAAGRTQRATNARPLGPWIEQDPEELYFATLTDKTLLQKHLKWAQLATLNPG RSHTDFIPGEDQETDSTYSQVKFSPNVVRLDISAPGFPNLSFYDLPGVINVAELDEER YLVGLVENLVKEYIKAQNCIILLALPMTDDATNSSAARIIRDIPGARDRTVGVLTKPD RVELKTGNEYDQWREILRGEKFATGHGYFVVQNNPDPRIDHATARADEGYFFSNPPWT TELAEYNDRFGTRRLQTALSKLLLRLIQDSLPRIINQINIQAQYVDQALAKLPNPPSA NVSYILCQKLNSSMNNVQMHVDGGSAQYPFLKQWGIIASEFQQYLTASRPGLKLNTPS EFIPESKGDASASSSKAQKRKNTPQTNGTGDLKKMKVGDLANGSTPDVSEFKVHGHFD HFPMTLKEPARSFTLPEVRNINADTYASGVPGLGNPHAVEALNRISVSHWEEPMKTFL LVTYRLMAGVLLNEMRYVFGQYEQTALFEELKVIIMGFLESIRIEHFQQAESVFNIEC LKPFTMANDLFRRGQEEAYGYFFHKRYEARVSQYTKLIDAQQQQTTGRSSRTDPSKVT EKELGPDEFAKELEIMSGIHIKLFMRCRDELRGEIEQKLGILNENGMNGSTKCDNSTA NEEKPSFRALHGINGRGPRKTVEENASSEGKGETRQSSGMVEGRRKNPGAPFLRLQFL IADSHGYLTQKKLWDRAFNRNRGHVVPVSLIEY TRV_04759 MLAVPSASSNMASTKAVILVGGPSRGTRFRPLSLDMPKPLFEVA GHPIILHCLKAVAKVPGIREVILVGYYDETVFRDFIKSASVEFPQFRIQYLREYQALG TAGGLYHFRDAILKGRPERFFVLNADVCCSFPLGEMLKLFEEKDAEAVILGTRVSDDA ATNFGCIVSDTHTKRVLHYVEKPESHISNLINCGVYLFATECIFPSIRSAIKRRTARP RLLSYPSSENLESSYVAQDDSAEMPEVLRLEQDILPDLADSNRFFVHETKDFWRQIKT AGSAVPANALYLQKAFQSGSEELAAPSASIVPPVYIHPSATVDPTAKLGPNVSIGARA VVGPGVRIKESIVLEDAEIKHDACILYSIIGWSSRVGAWARVEGTPTPAGSHSTTIIK NGVKVQNITILGKECGVGDEVRVQNCVCLPFKELKRDVANEVIM TRV_04760 MISPLSPSSAPTSHIQATIASFSKPLPNISFKMQFKAIALTAIA AITPFTAAVGHAIVENHCDNNAYLWSVGGSVGPQQTITPGHTYSEQFRYDPVSGGIAL KITRVKDGIYNGSPQTIFAYTLTDTNTFYDLSDVFGDAFAGTSGLLVSTSNPDCPDIW CLTVSHQLARSLLLPAKEMPISPSLSALSRQNKFLNLYVLNLAHLLFGYHRLTSIKNE HNDWIGKLILEPLLIGLSIQ TRV_04761 MADAGHPYPSKSEMSSSSPPAYEDVAGEGSQSSAPRPQQRSSGA VTLTIHGKEIYSSASQGGQPVYSLTHALDGHELNQYGVLLTRIEDRTVSSTSSRGTPT TKVVKRDVFALRDAPVLHIGHARYEIDGRRYLSDKRGRMSRSSFGVGSGWTAWGKGLP SFYLQRSESGNAAQVGETTDSSFYEWREKENGPLIAMETRRRWDMVNKVEISAPRLEL MKSWDDFDREYLDFMIAAWCMHNWREAKDIIKEPITWDEFKEQARVTASKRKQVLTGG GRSTAFGLAGFAT TRV_04762 MAFVLAGEVQWHEGEKKMHELMHVPYEENPTAAFLSPGAAALVQ KCPLLAVGTLDKQGRPWSTVWGGQAGFVGPVAQSIIGIRNVIDGTHDPVAETLLGGEL AGTIVKEPPPGRLMSALPIDMENRRRVKLMGRMVAGSMEEESQEGPGNSSINDTTLGK SAQVQLVMKVEGSLGEITISSLAPYIPCPKSTDLRLGNCPKYINKKRITQALPHPKLI SNSPHLPQEALDLLGRIDTLFVASSHGNDSMDSNIRGGPPGFVRVLSNDSTGAVIVYP EYSGNRLYQTLGNLQTTPLAGYAFPDFELGHILYITGKTEVLVGKDAAKILPRSNLAV RVSVTAARYVENGLSFRGEAMQRSPYNPEVRYLASEKPAPGSQLPDNEEMRVILVKKD VITPTINRFKFKASDPSAVLKWIPGQYATVSFRDELDMGYSHMRDDDPTSINDDYIRT FTVSSHPGRNLAKNEFEITVRRKGSATSHLFRASERSGLELSLRGFDGSFRFECSGSN RSVDHESCPLPFIAGGIGITPLLAQLPDIDMSRLKLLWSVSMKDVGLVHDVFEQYSNL PNATTVFLTSGSPAIENDMNEDDKKTLISVTSSGAKIERRRLIKEDLSAVPDAGVWYI CAGTELKTAATNWLTGKKLIYEDFNY TRV_04763 MASYEVEHPTTGDNSSSTNNSRLTSSRRSARPDLSTFFATLNEI SPNQGSERTRPYAVPVPGDVSAAFRSLAEAFDVMRRSGDNAPLPVYDGRDPEMPDAGQ GQLIEEMIRALLQDAEAPPREVEGVSEEFCDTTHHLLFIVLDRVPKTRLKESQICPIC NNPFLEDSHPLVVRLPCHTSHIFDLECVRPWLRLRGTCPLDRTDFGKKERQKEQERLE RLMKKSGGIDDDDEEGEWDGMYA TRV_04764 MASSTVQETTEDGGTQSNPKCTAPELLLKVVLIGTLSHYETRGM IDDKRLEHMLLAGKQILYKSHQESDVRQNLGFSPAIWQGLTDVLTKAIPVLESQSFAW KNPTSANYDGSSSNLIAYNYFPLVKDIERLNDLCTIARNLLATTKKAQNIAAEKGFDQ KILMLIDTCVRVTARGYDGESNARNEERWQKVVSLYKRLLITCLQFLHNFIMHNEHRK LVLWLDLFGYHQNGESSIITHMEPLDQSNNAAQGLAPIVRAGERLVNPPLRTLYDQTA EDLLLETISSFPREPATIKEEAAMLLLANIKDHMEKLLGRNLKEIQQMGKDPERVKEI RAALTAILGAKVDGWADLNDRQAGPSRVEEEPTRKKPILSIDRSATAGFPRTCWTDFP NLDEYGVISAVDAPVTPEDRIMTRSAQSAAETLQEAKDELMTRLQETSPVLTEGEHHY DGMERTGVADDDSRSLEAVADGSVEEEEDEEDDDDDYRGRPGDQQRGLLTDIPLVLGP AEIEALPMLIQAGIVDSFGPKGGPKTGSKNMQAVRCHILLAQETGRNLLRELLIFIAA WDLPDDELYFKMMVQIMEAVLNNGLMSHAYSDFGQAKDIISPAQAVVIKILTHIFRSK YSPPNIASTAESPTGRSSITVTKVDVLTVRYIFTIFRGNIIPETCALIYLQGQIRAGH ALPEDFPLNLWDMERVYEGVYQFLEFFAVLTENTEWKNLLVQWEIVYDLVTLIKELEA SIPKGSLSSLTQAVAAAAPRTPQQNQSSEASPSESDGAVVAPVAVERPYDPNDSEPVD NVTVGPESRVPSPPIGNEDPAEFEWRNLKKLVILVLSSLVWKCPQVQNQIRKYSGIET ILSCTAFDAHNPYIKEHAVMCLKFLLEGNRENQKLVEQLEAREVVQDDSKILEKSGYE AVLGQTGKLSLRTKEVNGPPPPPQGS TRV_04765 MDGSSAHPPGNDADTLQPSSPPLLFKDMKFWLSMTVPQRTHMRR QIEAHGGRVVILEKDADILLVDHLKRRTNPALGAPRGSVRQPTIGPAKRYRNPFTAED DKTLYDWMERYKLAGGAVSGNKIYQQLEQQVPKAIFIYEREQILNFPFSIRTIHGPFT KADRDVLLENAEDILQVSPDAEDEMWSEFAKNEVCPRYHVSAPWFPNMAVFQSRHSAT EWKNYFHTYVRPIHESKLRKKRQAMPRYSSISDTTKGSRGYTSAIDSRQTGGRGSDAQ IPQAKRRRTLPDCFVSSDVNHTGADSRKDSSVELESTLSRSFSIGFSKSPVTLREESH RQHMNSKQQEIPPQSVVQNPRQSPHLVAEGTHNINAEQYNVEDEAEKVAEWIRSRVSR SRGVLSEEQVKMALRCTSLDRILADEVLKYFQAGKGIPLDMKGVWSEEDDAALQGVDP RNIARIEQKHGREEFDVRFEYLTDLSEALYGERHWTFQAYQTYTTSRDS TRV_04766 MPPHIESSDEESVGEAIPFHSKEDEGAEPVKDDEKDDQNSEDDE DDEAEDGDEDVALLIMRLTLRIEQGKLQFEVKWKGYDDPSDMTVEPEENLLDGAQETL EEYFKKIGGRPEKPTKKRKSLADKASSTPDKPTKRGRKPSSIKVGTPDQEASPEDTDW APPAGGWEKELSYISTVLRDPDGDGLVVYLEWKNGRKSRAPIQTCYERCPQMV TRV_04767 MEISNHVAKLLVELSKSQDEEIGDGTTGVVVLAGALLEQAADLI DKGIHPIRIADGYDQACDIAVAHLDSISDKVEFSRNEQENLFKVAKTSLGSKIVSKAH DQFAKIAVDAVLSVADLERKDVDFELIKVDGKVGGSLEDSLLVKGVIVDKDFSHPQMP DEVKDAKIAILTCAFEPPKPKTKHKLDITSVEEFKKLQAYEKDKFTEMIKQLKDIGAN LVICQWGFDDEANHLLLQNDLPAVRWVGGPEIELIAIATNGRIVPRFEDLSAEKLGTA GVVREMTFGTTREKMLVIEDCANTRAVTVFVRGSNKMIIDEAKRSLHDALCVVRNLVR DNRIVYGGGAAEIACSLAVEEAASKVYALDAIPLALAENSGWSPIETLASIKSKQVKE KNSRLGVDCMQTGSNDMRDHFVIDPLIGKREQLLLATQLCRLVLKVNNVIIAGEDNDE F TRV_04768 MLTCHHSIHHVCHLSTAVIVIPSAAGIKGGGKLKTSAAGLWQLS HFHTPIKGHGARLLKYGNFDEKFKRPSVSCIYIAVHGVSVKGEEPLRVPLQLTWPEIS SSATSLQASKQRRSEICPTDMSVVLMTANLGVILAFYAHYLRECAFYIGCRRAANEVT INKQNAIHPHQPRHTFSDGPLAASALHPWLTHIRNRVPAESQRPGAWARARKL TRV_04733 KQKKKGENEEEKRNKLAVKSSAAAAAAATL TRV_04734 MAKDKERTVNPAQAQRKLEKQKALKKGKAEALNRRNEKLARRNP YRIQRQIDDLKAVEEAGKQLKPQQKEILEALERDLRAVNKAREALGDKAPVFGRGDGG PRRDRDRDGDQGHNVLGKRRRDGQREGRNWRQQESSGSETDESVRRIPMPRDTPPPIP RQHHQRRRGGPNNTEEGEGVAAGGERSVHQLPPKPPVPEAKAVYESAPVLRNLQKEAI NKFVPTTVRMKQAAVKGEGQLVEPEEMDKLEKAGYVVSGSGPNAGQLTDTSSAKAAAS SLEEEEERFNRELKSVQIEEVEDEGN TRV_04735 MAIALAEADKYELLERIGSGSFGVIRKVRRKADGFILCRKEINY LKMSHKEREQLTTEFNVLSSLRHPNIVAYYHREHLKATQDLYLYMEYCGGGDLSRVIK NHKLAGTFAEEEYVWRVFSQLATALYRCHYGVDPPEAGSNVLGPTPKSSGLKGKQAQV MILHRDLKPENIFLGEDKSVKLGDFGLSKQMGSHDFASTYVGTPFYMSPEISAGERYT LYSDIWSVGCIMYELCKKEPPFNARTHVQLVQKIRDGKFAPLPDVYSSELRSVIASCL RVNPDHRPDTAALLKLPVIRLMRKEQEVVEISKSLTSKEEAAAQKLKDLELRYAKMEK EKAAMKAAIESSVRREWEVKARLEIDRQVQMELEKLRRTFEVEVEQRVASEMQKYKEE MAQKHNVDLSQLDSAHHSSMGSSDDTDFPSSTDLSELSLDPPTPEQKNAGKKGNRTPF SRSKTTVESPMDVQMAEPSPVCIASLSLSPRRTAGTSAKNLFADGGRTKPRWDDAIAM YSDDEDDIPDLPSPTRAKIQADPSKLAHRPLMRQNTTAMMQKLTTQPDLFPVTKTTAV RPAQTANSAAPQSTDGRHSSADTRNKSPQRRISKIPSYNNLALEGSPSRKTAMMPPPA KAGTNPGGEEMFKAIMQRNMGGRSLVELAQARAGGRSLDELKRPGDSKIPATTASAPV AATTTVAQLDPPATWDPERDEMPSPFLVRNKKVIRNFR TRV_04736 MEAWFRENIIKHKVVIDTDRANEVKWSRDNSIFADVLLRADEDD SENEESQETKKCENGSLEGAGSGIPIGGFSRLSLDTPMKKPKRKSVLFPAHRAMLLRS EFFSLMFSSSFREAQVTDYLQIIPIDCSPDVLDIVLTFLYTEKADFPLEVAVDVLFAA DLLLIERLKTKAAVLISILGSGNITSLSSKPSADTKESSPTKEGKGAKGSDEIIDVYE ILRAAWLMRIQRLEEFAARYFAYRLEAHINNPEFAQLVKESAERIQKRQETDSIELVD DIRYYLSERFRLRFEDSGIDILNSEDNPNEGDPAGNTNTSSNSSPETTSNGNAISPTP LSPPPSSFNRKPSDVDSAREQQGDTHNIVNAGPPKTNGKSEQSHASGVIRTLDGREAG DEFSRDAIDYELLLNKLDQLLEKLGLDA TRV_04737 MESILPSSSSTKEGKTPCFYPQPFDTQICRHDALDTLARPDTRL LSWIPILEGFLSTSLPRPTSSSLRSYPAMPSNMEILLLCMPWKTIALLYLLLSCSQAA SQVVDNDGQQQNQWSRLRTARIAGKRVPPLYTGDFGDCMANSMVKLTQFHAAYYHDDL MVGFHLDGHTSLRNESIMGMTCSYNYINLQIFGAWLLICPPVYIGFFAYGKRRFDLIF DPCEANIRNLCPMDASRHIGDGGLLQVAPTDISEISQTTLRLPDLEGRAVIRILSNST RSQIACYASTVTNGVTMSHPQAVGSLLALVIFITFILSLATIIYGEDIMTMQLHYSHS SSLLLAFTVLHHIYFTGAISANWPRVLVEFWSNFAPFAGMIYSKSMQSSIDKLVGADK GDIRILGANPVGNVSPRLGGYNVTNIYNTSPSNRTFGPFRTGLGAPMDEQGYHASASS VSLPSSFQWQGQLVNDGFPMPGNYSGFAGTLSMQKISAVNAFTTGLLWVLLLIAALTA VIPVVKVVIESLIHWKLIKGRQAQNLAYFRIHWPEFVLAMLLRSLFIGFGMLSFLALF QFGFGGAASVKALAAITFLVLILGSISITAYAISYRNAHFTSVPEIMPLIFERRSLLN IIPWFALKTKGSTVSKESSTAVPQNYPWWKSCVHDTGSSESKFLLRFGWLLARFKDDR WHFCVIWLMHEFLRACFLGGAVANPISQTVGLLILEITFMICVITMSPFEAKRLSIVL YTLSFSNISSALLSIPLLAQHNLNRMAAFAIGMAIAIIHSILVLFLVISMLSGIITSY ISLTRNRRIPADQLGSHPIRMRYLGHVNRGVGYHPGALYSTPGSMSPTPRQASFKVHS VRRCPKIIDESPTPYHRNPSTPTSSLRVSRRTYTPPFPLDPFTPPTTADSAVLGFRDT DSTDPFTTPSTMDLGASGLQRANSFGQNSIVSEPGQGRLCRITSLETVLSRSTSRPEH SQGDSLCLTDTFETARSDLEPLTEFITPLEDREAEGASYSKL TRV_04738 KSEKMTGHGHSSSLSVGNMGTSMWNSRLPFELPEEICSYLGTHD LHSLKSTCNAFSGLHIFWKEELINT TRV_08209 MFVRVRPVLWAVMVMVKEMEMNMEMAQGQGWGTPVRPSLVIMDA PGITPIGASTIGFPGGGRLPIFPSLLFLSPPGSSRYELPQVLSLDLFTCPGSQDGVPP ADPDLAAPRASAPEVLPRSAEVLLRRKYRAPSGIPASTCRIGHTPFQEP TRV_04726 MDTGGLAQMTYNNMSLNTSSLGVPGSGFASRGKGSHIKRLSVPH PSKLDQRDDAHGSISTPRTSRSHLLAGLRTAPKQPTTPSTAPLMQEHPHQNGFGGMQN YAGHPNAYLGGVPQTATGVSFNHSKSYSSGNAAQTYGQMYSLPEHVLAPPALDHILEE NGEPMDEALYAELVSTNLYLAAQQQRLQQQLASVTAAAQQFQALHIGTPMHSTHAQAL QQQQQQLFSPLPTMGFYQQQAQHGMQPVVHPVPGKPGVFSVYNPMTGQQSYVVDNSVY DEVPLSAAPTTSTYEDQIRSPVESHTSNGGFHAHTNGNSNGHTNGNTPSMFRTSARVS PPPTESRQSPVRNHSSTPSTRNPTPPREAQSQVQPQQQARQSPPLQSPAPGIKRDHKK SLSLAVKLINETTRSGNNGASTPKSAMFPQTPASAGPGTFGPGQNRAGEHAIRQPRGP PPLEELVAKPTTKHEGSKNFATRQRRRAVHSLVRARLERNTSTSTSNGNSHSTGSVTP SSEHGNEHEGEGEFSFSPSDGDADSSSSLSGRRSLGSLRAAANGAIGSERKQVTGATN NGAGELDAGRRKMPIMVLSNAEKRKSTVM TRV_04727 MADWPRQPKHFPNSNPFTSSNYAAPNSERRPQQEPSPKTSPRLG PAIHVRDMSNTSDSSETRGRPSHRVQFTLGSDGSDASPEDIPLRDYMDNSPPSEPQVP AFVHRPSLPTFKSSDFLPMYNTRDSLSAQSSPGASPIVTPLGSPGRPPDSISEAQSTE SSKTKNRAIQTAYEKAQSLASQVRRASYFHRRRRSDEESLSQDLIEPRHEGRHYLRRS RTGSKTAYEHEHDRERQFTLRAEEASEIVKNIRAKAEPKDRRHYSIDTQSSGSQSPPV QHGRSILTDILRLGQLHEAEANRTSQQQVPTSQANASPLLAPHSAPTSGKTTPGKSTP RPKWYEKNKNPSALSLNTLGSEATLTGGGSGAGSAGRPELKRSRSSGLMVNAVKKICN KPRLEDEIRLTVHIAETISRQRYLEKLCEALMAYGAPTHRLEECLRMTSRVLELDAQF LYLPGCMFVSFNDSSTHTTSLKLQRCDQGVDLGKLQDVHQIYKDVVHDMIGVEEAMQL LEEVKTEKPRYNVLTLIFLFGLASAAVGPFAFTGRVIDLPVSFALGCILGALKYIAVP RSRLYANIFELTAALLLSFLSRAIGSIRHGPGDDRLFCFPALAQSSIALILPGFMVLC SSLELQSQNILAGSVRLVYAIIYSLVLGFGMMLGTTFYGKIDHNASSAYICPTSPNRN EYAHNFPSVIAFTICLTLINQAKWKQVPVMAIFSFIGYLVNFFTSKAFPHNLQIANCM GAFAIGIMGNLYSRLGHGLAAAAMLPGILVQVPSGLAASGSLVSGLAFANQANSAGQQ TAANQTMSTASSTIASATSAATTAIASALAGHPGGSGYPGDPAFQDLAARIGTNKVYG DVVFDLAYAMIQVSISTTVGLFLAALIIYPEGKRRSELFSF TRV_04728 MDFVNKMTGGGSKEGGSSQNDMLNKGIDAGQKQFLKAGEGANDN INKGIDTAQTAFLGATKSEQKGEEKPTEEKK TRV_04729 MVRLPLPHRRSGNRSPKIGAASSQDDLSASSTGTSETKYPLILK TQVISGRNLAAKDRNGMSDPYLVVTLGHARESTPTISKTLNPEWNVCFDLPIVGVPLL ECVCWDKDRFGKDYMGEFDIALEDIFSNGQVQQEPQWYDLQSKWKTSSKKNNDVSGQI QLQFSLVDPSNPSAPPDQILSKFKNLIRNSDDEEEDATPTTSGDNEEVGESSREDLTR PDAIAQQRQKSKVARLKRRSIAVRAYEFCGAKDGVVGIVFIEINKVLDLPPEKNMTRT SFDMDPFVVTSLGKKTMRTRVIRHNLNPVFDEKMVFQVMKHEQAYSINFTVIDRDKLS GNDFVASTNFPLQTLIQAGPEADPETGLYELLDLPQDPVEPTTPQSSSKSRFRLTLSR STSASNLAKMAKPSLKSKSSAASLASQYQQENQQPAPTSNPTASQADSAATLHVPGSI SPPSPDKTGFPSTNPDTEAFKHYVIPLTLKNKDRWEDKHNPELHIKAKYMPYPALRQQ FWRVMLRQYDADESGRISKIELTTMLDTLGSTLKDSTIDGFFHRFAAENESTGDTMDL TFDQAVICLEEKLQELQKKTVGATMSKLVQFPSSSSGPLESEILPDGEDEEDEDDNGL LTPAGGGGTRLTLNPAHTNLELPTVSTTTSRDESISGSDEGINSPQGDLTDERGEEHV IEIRECPLCHQPRLGKRSDADIITHLATCASQDWRQVDNLVMGGFVTSSQAQRKWYSK VITKLSYGGYKIGANSANILVQDRLTGQINEEKMSVYVRLGIRLLYKGLKSREMEKKR SSSASFYLPPHILTHKYNQPLTQLTCFSVRKMLKSLSIKQGRKYDDPASSSQIEAFIE FHQLDMSEVLLPLDQFKSFNEFFYRALKPGARPCSAPDDPNIIVSPADCRSVVFDRIT EATQIWVKGREFSIERLLGKAYPEDVERYKNGALGIFRLAPQDYHRFHIPVDGTLGTP KTIEGEYYTVNPMAIRSALDVYGENVRIIVPIDSVAHGRVMVICVGAMMVGSTVITQE AGAKVSRTDELGYFKFGGSTLLVLFEPGRMNFDTDLVDNSKGALETLVCWRALLYLFL SPPPPPPL TRV_04731 MATGLTASSSSTHLFHRQSSTMYHSNQPQYNYSPPTHHQPPPPS SRSSAEISPSTRPHRLADVPVSSHPPSVKSLPSIHEALGKSNPLPYPHPQPSVCGPSQ APGHIAPSSNLMTGPSAEGPSGPSNPFSSTNSSAPSLRESILNSQHHPSAPSQSEGSI RSQDSQTRSIHSIGSEKSSTRSSVADRTSICTSHAPSFDMLSTSSTAVSSPTSYVSPV SYNPPSYSSHRSVPTSQTFPQPSFENRPAHSTWNFGTSDHTSIEGVKSMQKNNGGQYC DAVKRHLDDYDVRASLNEVFNFPFRHVAS TRV_04732 KNSLIVYLESAFPAGPLHVYPSAIDIGTAVGRRSRGQQKKTTTR EKKKRNDLCQQTPKPDQQQVQKETKQQRQQTTEREQKEPSHPRRLDSGEEAPDRAEPA SKQASVDEKKQKGTKKKPRPQSKKGRRIRRKKKHGSFACAVNLISDLI TRV_04715 MTGPRYKFPNGQMVDKFLDVKNKSWEWEKKYGKTYRIWAATIPE KANILPGCWKSVITDPADVEVLYRQSTDHLKASQANAGWLLTQLLGSGLGLINGGRWT GLRKALDPTFSHQASMNLLRESLNVGAAEYVADIHKFAFPGKQGIDGDEFIINATESL QRYPFFEVASIFYGKMSKDEQERLWELGRRYSEVFASVVMGGIHRLKLTKYLNTATWK KTRQYQEAWHQFNNDMYKSREIKDPSCAIVTLMDAANKGELTQAEVYDTIAESTFANL DIVTHVISSCIILLADAANVQTELFAEIEKNKGDSEAYIPRKDTLLHYCLMESLRLRP VLTFTFPENPPREKTLGGFVIPKHTTIIVDAFAINIRNPFWAPDNRSYRPSRFATIKQ SQ TRV_04716 MPASLSDDPLSKEEAEATPANVNRLDRIPPDGGAKAWACVAGSF LLQFCSFGYVNACGVFQLYYQQTMFKEQSSSALAWITTLQIFLLFLFGPAVGKLIDVY GCRKTLPPFSIMAVFAVCMLSLCKEYWQVMLAQGVAFGFAAAGLSLPAMATATQWFST KKGLAVGIVSAGSSLGGIIYPCMLPRLIKEVGFASAVRWTALMQGILLIVANVLCSSP FPPLGKEPAAEKDKIASSSSGLQGFKSWPWAFFILGCFFTMWGLFAPLNYLPEMAALH GFGDFAQYTLAIANAGSLIGRIVPGWASDIIGQFNAMCLVTTLSGVLVLAFWLPLEFH TSLPGVIIFALLFGFVSGGFVSLGPPCVVSLADDRVDEIGVKLGGFCLAIALGALTGL PIEGAIKDREGDKFIGLMCFAGATMLLGGFCTGIARIIKGGSRLMEKV TRV_04717 MSKGPLSPLVTNTNPELKSTGMHDEGLSSLHDLDGWTVDLRSII DTSGGRRSEGLEGLATPISSGKAESFTDNGTEPNSPTTPEIQSHHPSAYRPPRDTCTC VQAQATNIAVLHKLANRDISDRFDLAMKSVTSTLDTCERFIACGTCDKSFPLILLTFS AIELIFKLFELLAINNSSMLPPGESHILACCLGDYKVSKEEGKAIQNVLLKMILSKGK QTLDALHNLVNDSSEPSEPNHASFVQRDGSKLEIPDTITRNTQRKLSIVDQDYMNQCI KRKKEEVEALMITVAV TRV_04718 MLLGDHVQGTSTESPSPLEQMIEPFPFSTEVPSDSLAPWAPKTA EECFTLLDIFYSNVDPMTRLVHKPSLRKRFLQYTMEIYGPNSQTSTDNGTTQTPYPAI HTFEPLALAIFYSAINSLSSEAVQSRFATEKGPLLARFQRGVEYGLGREDFLTTPRIE VLQAFVLLLVEIRRRLWHQICYLDFRSAEGRGQEPTIADEDYTTLLPRNINDDDLVEG EPLVAGTYSPPGFTDMTGHLIRLNGIHCFRRIIRSTYRLERRLKSLGINGNNDIYPIT ELQSLFIEIRNMVDEMTAHLQTQYLQYCDPNVANQRLALGLAAVIEWRCWSIFWLRTP KQYREAVVDPEIRETVLTKSISLVESMNMMPDDKDAEKFQWHIGGHACFQAIMHIVSE LGTPEFQTTTHHSLRSRALAVLKKTTDARGSEHSSTWNVINRIISNCLAKNTPSILPS TPLNDTYYIYQESVDLSQSLQESRRVSEDPTTQGLSLSSSFGMGSLDMQDPSLTFDWV SDIVLELYYYFPNIYF TRV_04719 MAISAESLILTPAEVKTHVNDIQGAVVHGKGTTKISNGNNDRPE PTGESFSTPYDVLTAALPLPAPTSSTGYWWRKTGPLMSSLLEKANYPLYKHYKYLLLY HTHILPLLGPRPIENSAQSSDNEAWKSFLTDDYSPLEPSWNVSGSSDFRSTIRLGIEP IGFDAGTAVDPFNQASVARFFQSHNAAEVGVTGGLFEHFRNELFVGPESYTAIRETLP KGEHVTQSFLAFDLDADRITTKAYFFPILKALVTRQSTIKVLSGSIMALAKRSHVWGA QTIAAVSILEAWIASYDGAKAEMISVDCVDDVESRIKIYVRIPHTSLRIVKEAYCLGG RLMDDNTAESLALLDTTWRTMFRATDEDTELPHNSHRTAGTIFNFEIRPGKWFPEPKV YLPIRHYCDNDLQIAGRLQELFGKLGWYQMERDYRRDFEDLL TRV_04720 MKQQVEYLLDHFREEVQRHPASIAIEDGTKSLDESAWPKVSYAE LDALSDAWSRQLSVAGVGPGCIVPLISTRSIAMVAAVLAILKLRAAYVPIDADSWGKG RINGVLARISPQIVVSTVGCLEGGHPYPVHYFEEPGIPNLAMGSDISTPSHYLRSCYS GDDLAYIIFTSGTTGQPKGVMVGLESISRYVNEGGDLPFNFNTRHGTRVLLICSIAFD GVVFSTICNGGTLILADPPTLEAAAKTCHVLPLTPSILSSLDPDAGFDRVEKIFLGGE APAEPLIQAWCSPQRRVYNSYGPTETTCTVLMSELVAGLPITIGYPIPYSTVSLLDAE GLESSEGEICISGSGLALGYFRDPERTASSFVKLNGQKLYRTGDYGKHTKNGIQFCGR RDSMAKNRGFLINLESDVEPALLSFEKVTRAAACMANGKLIAFVTPVEARDGLREYLS VNVPSFMVPDIVYSMDTFPTTGNGKINRQSLVQIHEASQDADDSFLEVGLSGTEAIRR AISYVLQQPMDQVTNASSFRHLGGNSLAAVLRASIIRKAGFAISVGQILLLDIVEKMA GALKEAENAANSPPTSGQFGHCLGETISGANFPGSEALASMTDIQTRMVRASIATPEL SFIKASFTLEHPGRDDFTATLRAAWESIHKRHGILRTHFVLTEPEVQVIYRDAKIAWT ERAIAETDWDTACRQEEDFDFSQFSKFDPEERCSLSKITIVTIPGIRTRFIWTVHHSL IDGWSMSTLIKEMAFYLNNKPLPPAPPQFKHVAVAIDQLRRKYSSKAISFWKAYTKGY VPVQTLRLPPPSDINDYKQATLTQNLTMSVSALEKAARDQYSVTPATLLYAAWGILIS RYTGTDRTILGAVLSGRNLEIPGVENVIGPLINTLPLKVDANESQTAYVFVRGVFRAL CEILQYQWSPFTVIQEGSGYNPAKLFDTIFALQYDFPQMEDMFSRDSLPRDVRYTETT EIPLTVLLDSADSRFIARFIYRRSYFSDTTIIQMCRHFDNLLTGLVDALPAANLSTVT RTMFSAPEYQALTAKALPPNYLSLGESLSDAIEKAIECYPNLCAVEGLSRSLSYHEFG RVTANIAEQLRKSVKLGDVVCVICDGSITWLIAMIAVIRAGAVYCPIDQKLPQARMEY MVENSSASLIIHCNAKQDMVINDTPCFNIIKAMAEIAYTTTTKCIPLKHKGILNVISH EKGRLCSTPGQRNAQMLSLGFDCCIKEVFATLCFGATLVLKDPANPIAHLSRVDATMA TASLLTSLEPGDFPSLAVIMAAGEVLSQSLADKWATGRTLINGYAPAESTLIATVATI SPGDKISIGRPLTGMSCYILDSKQRPAPIGVSGEICLAGIQITSGYLSNEGETARRFL RDPFNPDQAMFRTGDIGRLGDDGNINFVGREDNQIKLRGFRIDLGEVQNTLSQVATEA KDVALVVSNDTLVAFVTPETLDIDQLVKGLESQLPEYAIPSQIITLATLPTSANHKVN TLALKQLINHTVPTASLVELETPIQRTVAAIWADVLGHELGKMPISPNSRFFELGGHS LLQIRVAQAISKQCNIHPMPLRQVIYHQSLRELSLAVKELIDSREKQERVTRFLETSP IVRENQLPLSPLEQELFLNHLISDGSTAGNMIFACKILGQIDPVSLARAFQQTAMNEE IFQARYHIADGFMMRHLVKNGGNIVRVTNTHDPMSLIHKTARKSFDLSTEPPLEVVII PCTTVQAILLIVMSHVVGDATTMATYLEQVSDRYKQLQTPNVSDIHFRTPKELTYIDW AGWVKIPQLSLQSQNFWTKYLSRLPEPLTFGRAPAGSLTYTGSLRSWTLPGSMLQSLT RLATRSSTTMHQIVIAALFLALQCVDRRNDIIFAAPFTHRMEPGTETMAGLFLDRLPI RIQCGPNEVESLLHFLTAVKQSSQRALEHVLPYREIRKLLPYKPSLSDPLFKVMVTYH TASDMKPAFRLEGAEIQNIPCHNTGGSKFPLSIELTEIEDSEVRVDIEYDLGCIAENT AERIQYAIGYTLQLMVLDTAPNRIKHLVISSFGDFDRYKTSDSTDGREEEKRGRRDNE TTLQHQNTNGLERLAIGIRDAMCQCLGLDNKAVLLHQSFWELGAQSIDAIQLQHICSK HGFGIRLRDIFESNNIMELAICTYGSI TRV_04721 MENELEHLSWNIEHALQQLEGPLSEELRSFHDISPTSPGTSVAV YQKLLDVVQALDKLLLILTPPHMLLVDGVFAFTNSKVLLCASEYHLADHVQTLQPCSI SQLASAAGLYEQGLSQIIHYLHEMGYFHRDLQTGRLSNNRLSNLLRKDHWATWINWVD FFPREYYDLLSHLPSQLKSDQPKTATELFYNTDKPIYQFLADTGRAAGFHKVTGTGSV VEAPGLLADYPWKDVKSETIVDIGAGAGDFIRTYLEKFPDATAAAFELPSTAEILRQK FPLDDPLAKRVASITGGDFFKDPLPESSVYLLRWILHNWGDEDCVKLLRRIRDTITIK TGISRVLIVESVLFDGRVGRGARYADIRMLARCRNKERTLDEYRTIAENAGWRLNRVV SPRGCLTQVLELRPVGACAVLPHGDGSNGNGTGPEWESELM TRV_04722 MPHKAEPEVAASNSVYAVDDKMSTNSLKANTDDIRKTSRLILDI IFEYALNKFDDSKDRLEAGADHFLDVIDRFVAERKRVDSCLPAFPFKSANKVYKVLGT LPDMAEQLALERLNTMCARIRDIYPPGAQVTIISDGITYNDLLSISDQDTWAYGEALR KMAIDKKFIHIQFSRMKDLLDFPLPEKLTEITYVANCTTFRRLLINRYGRDDLDIDQE ITANPDTKLTYLGYKRFLESDLKHIFPRGVGRSAHSYKRDCKYLAKQMLMRGYAFAGA VKNAFPNYLRLSIHESVGLNKVSVCLLNTKTGFTTPWHCAVAQLADGEWVSAPMGEFA KDSRLEVVYIDGRPSHYREKLRENGVSAITESTASYLQAARPVNATGYLSGASTPGTA SPSSFSASPPRTTARSSGGTTPESESPPTLHKSGLGVVLGNDMNNHNMPKKSNVLPSV PYGKRLIPQIMDELAVSQPERVVFSLASLSSNDLVFREITARAFNKAVDKTAWWLRNQ VGIPDSVQPVGYIGPHDLRHVLLTYACVKAGYAALFLSPKNSTLGAVSVLETTNCNIW VSASEVAPEPLVEEILRDRPMKLLQLPLLEELLDAGSTEAFPFSKNFEEVINEPFCYL HTSGSTGVPKPIPWSHGLIGTMDAVRLPPPAAGFDGLLPWTSDWLDGDTIYSSFPMCH GAGIIMNILMPAFFNLHCVLGLAGALPNIRLVETLADNVKIDIWSMVPSLCNELGETP EVLAKLKPSKFICASGGPVNPISAGKVNDVVRVLNLTGTTEGLFIGNLVTPREDWFWF CFHPYSGFEFKEIGEDTYEHWIHRNEHWPLFQGIYHTFPEKESINFKDLYMKHPSKPN LWAFKGRSDDLVVLSNGYKITPLETESLVSTHPAIKGCILFGTGKPQAGLLIDLKDPS QKSDELLDSIWETVKKANSMSRHKDQLLKDFVTFTKPDKPFIYTDKGTVKRAATLALY NNYIDRFYSSLSDDPDEMDAVFPINMESTDAIENGVREILASSVQEIQQAPVDTDLFE LGLDSLGVFAAIKKIRMATGLGESIAPRHVYANPTLASLSTTIAQLAEEAKAKAASKA GPLSLPMDDETAKLRRMIAQHQSRQSFKLNALDYVNPNHNMGLVFYFSLRDGVSYQEV FNNLQEGLNRTFELIPALSGKIMHCSEQEIGYKKGDLCVTIPPLCMAAAAGNRLVYKD LSSILPSFDKLRQADFAPSLFKDSLVLRDDPITKIPADISAGQVNFVEGGCIVAVDLN HCCLDGLGAMIALKVWAENCRFLQGDKTATCSWYDPESFNHSLPEILHEQEGWTRPVD EVDPGTWGFLPFFPPDDSPTNHESPLGPRPVFPLHNVWPLPRAERCMKTTSFLITPEK LEKMKQDVIADPEAKGVITSISDIVQAFFWGTAIRARYRVAKEIRRQTFGPDEMSILE LPTDGRPYFSTRLPSTYMGSVLIMNRCSIPVETLCSPDTSIGYVAYMLRQSTARITPS LVHDAFTILQSLPDHSRFSTANMGLEHMHAMISNMMLFPTSEISFGSKFFANGGSPES MRPQVDRANGIFRFLAIYPMKKDGGVELVLGTHAEELEMFKTDAEFTKYADLVDVC TRV_04723 MGLQDIPQPSRHGTSDSEERMNLLNNDTYTNIDGDDTLPNVNNY AETTPQAPQVQPGVQSIEAVTVAWTSGALIFAYVMIWLTYFVEGMLLATTSILTPYVT SAFALFSLTPTVGILSSVIGGVTNLTLAKVLDVFGRPQGYLFCIIFATAGLVMMAMCS NVQAYASAQVFQTVGNNGILYSLTVFVADTSSLRNRGLMQAIVSSPNLITCWLAGPIS SSFLAGPGWRWAFGMFAILVPLITLPLFQLLLINYLKAKKMGLVSQSDGSDRDHPTLL QSLVYYSKQFDAVGIILLSTGVALFLLPFNLYSLQGWGSPLVVSMLLAGGILIVSFVV WERLYAPITFLPYALLLDRTVLGSCILSATLFVSFWCWNSFFSSYLQVVNNLSVENAS YVVQTYTVCSVLSAIAVGSMIHHTGRFKPVCLYIGIPLSVLGSGLMIYYCKIDSSVGY IIMCQIFISIAAGTIMICDEVAILAAASHQHVAVCIAVLGMFGNVGGAVGLTVASAIW QSVFPRKLAEYLPTQELSRLPEIYQNLSTQLSYPVGSATRLAIQHAYRDAQISMLVVS TAVWVVGFFAVLVWRDINVIGVKQNKGHVW TRV_04724 MATAGEAKYPAESPPATPAPASATAADDEKQPAAMAADSKGADA EAPQAGVQQVEAIATVWSMTSLVLTYAFIWIVYFIMQMEMGVVAALTPFVTSALQHHS LTPTVGILSSVIGGVCNLTVAKILDVFGRPQGYGMSLAIATAGLVMMAATANVETYAA AQVFWTVGSNALLYSINIFVADTTSMRNRGLMTALAASPNIITIWLSGPISEGFLKGP GWPWCFGAFSIIVPVLCLPLLGVVLHNYFKAKRQGVIASSTSRRNPWQSFLYYCREFD AIGLLLLTAGLTLFLLPFNLYALQPLGWRSPLVICLLVFGLALMVLFALWERYFAPVN FIPFSLLLDRNLVGACLLGTVLFISFFCWNSFFSSFLQVVNGLSVTNASYVVQIYSLG NTIAGIAAGVVIRYTGRYKAITLYGAIPIYTLFMGLMIYFRDPQANIGYIVMCQIFIA FAGGVLIITPQIAAMSAGNHQQIAAILAILSMFSAIGGAIGLTVAGAIWQSVFPAKLL EYLPVEEQANLLEIFGKLEVQLSYPIGSPARIAIQRAYASAQTSMLTAGTAIWAVGFA AAAIWRNVDVKQIKQVKGQVI TRV_04725 LPFKRANIYKFTLLAKLAHGAALIPRADADTWTYLGCYTDQVGA RTLGQVGYTLGGPGNMTVANCQNGCASQGYSLAGVEYSSECWCDNQLRNGGGPAPDGE AMCSMPCNGNPEQKCGGPGRLNLYQNTAIKPTDTMTTSVTSTETGSPTTTSVPEPTQG LPDGWQYSGCYQDNVNGGRVMFKMLPDSSTLTIESCIAMCVKLGYTVAGAEYSKQCFC DNYLRNAAPQAIESECSMTCSGNTQQKCGGPSRLSVYSKGNLTVLPIPVPQTGGLPGG WKYQGCLQDNVNMKRTFPYQIVDKTNNTATNCLSRCSKFGFGAETGSVTNQQYLVCGD FEDIAAAGAKLVPEAMCSQTCSGNATAICGAGNLITYYRWMDESLQKWNRPTGINAGR YDFLIGGVLVPLITTVGINGKITFQEKSGTGDPNTTGAYEFDPYYEKDFSKAWREMHV KTDIFCAGGLVLPDKVGRQLTVGGWSGISTEGVRLYWPDGSPGKPGVNDWHESPDDLR LQNGRWYPTAMTMSNGSILVVGGEEGSNGAPVPTLEILPRVGPVLFMDWLKRTDPNNL YPYLTPLPGGNILAAYYNEARILDERTFDTVKTLPNIPGAVNNDAGGRTYPLEGTMVL LPQKAPYTEPLGVLICGGSTPYGGDALDNCVSIQPEVPNAEWVIERMPSKRVLTCMAG LPDGTFLILNGARKGVAGFGLAEDPNLGAVLYDPSKPVNQRMSIMANTTIARMYHSEA ILMADGRVLVSGSDPQDPRFPQERRVEVFLPPYILSGARRPTFTIANKDWAYGGKYKI KITSGNQSRIKISLMGMVSSTHGNSFGSRTIFPAFSCSFGTCTITAPPDSHTCPPGWF MLFVLDGPTPSIASFLLEWKILTATFAITTNTKCFDINIEK TRV_04695 MMSKDRADIGRESRPSSEEKTSAQGFLWLFPNWLLLLCPAAVLA DSSTYSSSLYSYLLLSLLLSLYYCCCCFTVCALLSSVYHDASPKEERPPKEVGLFSFF FLFFSSSFYFFSASPDRVLPRIRLGAPLGRLYPYASLPKLLTFRVSSYVGVLPYLTGA AGGRTHFSFLLLALLGFSSYILLALALFPASLFFPPPPSLLLLLLNPPSSLLPLASPL LLSLLALSSLSSSSLQFQPVTATATASSYDQLTTEPHDHPTKKKNPLSIQIRKKENKS FAMADHAAQGQGQPVPPNHLGTAVPSGQFGGEHKDEVQQHQQQQTSAAFDEKKGAMPE DDEEDEDMDQLIADLESQDGHIDEIDEEDDDQPGGERPVPDELLQTDTRTGLTDAEVT TRRKKYGLNQMKEEKENLILKFFSYFVGPIQFVMEAAAILAAGLRDWVDFGVICALLL LNACVGFIQEFQAGSIVDELKKTLALKAVVLRNGRLVEVEAPEVVPGDILQVEEGTII PADGRVVTEDAFLQVDQSAITGESLAVDKHKGDHCYASSSIKRGEAFMVVTSTGDNTF VGRAAALVNAASAGSGHFTEVLNGIGTVLLILVIFTLLVAWVASFYRSNGIVTILEFT LAITIIGVPVGLPAVVTTTMAVGAAYLAKKKAIVQKLSAIESLAGVEILCSDKTGTLT KNKLSLAEPYCVSGVDPEDLMLTACLAASRKKKGIDAIDKAFLKSLRYYPRAKSVLTQ YKVLQFHPFDPVSKKVSAVVESPQGERIICVKGAPLFVLKTVEEDHPIPEDIDAAYKN KVAEFATRGFRSLGVARKRGEGSWEILGIMPCSDPPRHDTAKTVNEAKTLGLSIKMLT GDAVGIARETSRQLGLGTNIYNAERLGLGGGGTMPGSDIYDFVEAADGFAEVFPQHNS VATSLP TRV_04696 MAVSYNNGRTFFSNVHATFLDVESTTPEGSPAPNSFAFGGSGQQ PAPAAPAGGAAVPDTSSILKALAEMAKQNTAAPVAPAVPATQTSTSNVLNAQAAVPQT NAPPVDQTALQSNGQSVNPFAGNLAAQFPGLANTAQNMFQNQPQGQAAGAGAGAGAYP GQNPLAQLIQQQQPQQQQPAAPTPVTPDPLQQLNLIQLLAAQGIPQEQWATALQILNL SNAANAGGMGNMNPAALAAFGQMPAANQNAWGANAQQPENSSRDRDRDTRSPPGQYRR RSRSPGWDRRRDVSPPRRRDSPVYGEYHGDSPGRSRGEHGRGGRRGNEYRQRSPPGRR RRSPSPRKESNLPPPGPKFIDYDYSIGDGNIKVLSRTLFVGGVTSTESHLRSLFSRYG TVQTCIVNNDKRHAFVKMVNRRDAMNAREGMEQYKSGDMQLRTRWGVGFGPRDCSDYH TGVSIIPVDRLTEADRKWLLTAEYGGTGGKPIENGMVVEEPDIEIGAGVSSKGTYKNL KGLELSTLCFACRLTETTKTAISRRMATDQGGKRGPQSSRYSHERFRRNERSGGGGGG GGGGSGGDDSHNSGSGSGSGDHDRDRDRDSASGPNANAIGVPPAVPGFGFSFPGMPMF PPGFILPGTQTSTTGAPSQPNAQGQ TRV_04697 MSSAVAELDGYLHSMLSLKPPGVSGSKINGITSLCTANVQSESV LIQKIYTHFKRAPGTHKLGVLYVVDSVTRQWVELARKAGQQIGGSAPDGTYAAGVNRV TELLPVLMTDIINNAPEDQKVRVLLDMNQTQYLLQGGKRNLLCSAEYDRPRHLPAWRC LKTPKSPYHETPFFPLYL TRV_04698 MVQYDVRPPSEVDHALYIADVLNTYQEPVLRDNHISAVVSLVGN GCSLWQQQKFKRHVKHHLWIRCDDTETQDLIKHMNIFCDFLDEVYATPRNSTASPVCN EYKGYFASNWLPAFAANGFHSTDVNLQLVHRKFERTGGHEDTQAAPDTNVILVHCERG ISRSPTMVTAYLMRKYGKSRDAVLADVKEKRKIRPNPGFMDQLDVWEQVQYQPWENKE KTIPKAPYKAYLERRAVLLKEKGLTGDELPGMQTLDF TRV_04699 MHHRHKKEKKKAMKRLLGPTKYRETKLLLLFLFLQLHSFKFIST LEQVFPSPCTSNSSPVHYLLSAAGMAASLRLGSAALRSTSMASKPVIQAAGRNALRQY SSKAPTLKETFAAQIPEKIEQVKKLRKEHGHKVLGEVTLDQVYGGARGIKSLVWEGSI LDSEEGIRFRGKTIRRMENVSETRRSNKLIGLFWLLLTGEVPTEQQVRDLSAEWAARS DVPKFIEELIDRCPSDLHPMAQFSLAVTALEHESAFAKAYAKGMNKKDYWQYTFEDSM DLIAKLPTIAARIYRNVFKDGKVAPVQKDKDYGFNLANQLGYGDNADFVELMRLYLTI HSDHEGGNVSAHTTHLVGSALSSPMLSLAAGLNGLAGPLHGLANQEVLNWLHKMQKAI GNDLSDQAIKDYLWSTLNAGQVVPGYGHAVLRKTDPRYVSQREFALRHLPEDPLFKLV SQVYKIAPGVLTEHGKTKNPYPNVDAVSLNAIFSLKLNNSNMEQHSGVLLQYYGLTEA SYYTVLFGVSRALGVLPQLIIDRALGSPIERPKSFSTEGYAKLVGAKL TRV_04700 MEQWLKSEQVKSYINACHQRQENHAFEKARCKEYFAELFYQDAQ KGLMECPPALWVLAIFCRFLFASLRKPALDLMESGGHWNWPYWLGELFCCGGMVLQLA IAKNPQLSTTKARVTILVSTFVSHTMDAIAACYLGSRENDAFLNGVAYVSRIGCEFFI MIIGCWATLHTIQPQEVDQAGCLETWDQLPAEYKYWAESSLSNYRDEQNCQGTLRRSS RQRVQAKARASPVDNTKPV TRV_04701 MDSVCKFISEWISASFPSTEETREAEAFRSALRIEHVIDGFEDD VRDLYPDRTDIITVIKKSRQALYDEHGGVPPSSVLCLPPTIQAQGKMTYDRVVERWSD WTSLSKEFPFLTGFPSIEEQADSIDDSEALAVETALAMQKWHVDKYGNALC TRV_04702 MPLGMAMAMEEGEGEEGGEGEGGEEAEEEGEEGEEKAAEAARLR RGIELDRLQILWKEERISILEEGVDTSGAQQGVEQLTLSGDPAPESVSALPDSSPV TRV_04703 MSASGQNKIEKKPVKFSNLLLGAGLNLFEYAPPPIYARLTVTTL GQPLEVIKTTMAANRSDTFAGAMGRIWGRGGILGYYQGLIPWAWIEASTKGAVLLFVA SEAEYYVKTFGANDFIGGISGGMAGGVAQAYATMGFCTCMKTVEITKSKMAAAGVKPP STFATFMDIYRREGIRGINKGVNAVAIRQTTNWGSRFGLSRLAEIGIRKVSGKEDGQK LNSFEKIAASTIGGGLSAWNQPIEVIRVEMQSKTVDPNRPKNLTVGKAFSYIYSQNGI KGLYRGVTPRIGLGIWQTVCMVALGDMAKEAVEKLTGEKVTAKH TRV_04704 MLPRASLGRTAARVWRNGCYQQTTVRGMASQAGLEFETSESAGV KLASREISGPTTTLTVVAKAGSRYEPLPGYSEALEKFAFKSTLKRSALRITRENELLG GQLSCYRSRENLVLSARFLNNDLPYYAELLGEVVSQTKYCTHELNELIFDLIKASQNK IAASPSTQALDAAHTLAFHQGLGNPLTIPAATPLKKYVSAEGVASFAQGVYTKPSIAV VSSGSNSAELSKWIGQFFNELPTSTASGALAPAAPQQTKYFGGEQRISSQAGNAIVIA FPGSSAYGASGYKPELAVLATLLGGESSIKWSTGSSVLAKAAEGFPGVHVSTNQSAYS DAGLFHITISGQAADRVSQAAKAIVDALNNVAAGNVAAEDVKKAIALARFRVLDAGSS LTAGSEATGSALIHGGKPFSIAVNAQEIEKVTDAQVKAAAKSLLSNKASVATVGELFS LPYAADLGLTV TRV_04705 MVPLVARRKKAEKDEERRRDDEGDQKTGRKAQQTKKRGRREAEA DDHFSMPRSYLIFSYLVHHGRQPLMRIVHNTHLSPRQVKHGLAVLIQQQLIFHYTGFE DGVSYYQANWRAAYLLVRSGSILQLTRERLGDYAAKVVSTILSLGHVKISHLESLPEL RPAPLRNGTHEPNGVNGDHTLENGDEETMENGMEDGVNGDAGAFDNTNGEGNSRLGST LRELAAYGYIMRVKDVHFQSPSDLRESARRAVKASGETEGLKGKKLEEKIEEKAEVML QEWMDGTISYGLIPRNITRGIKRRAANGASEGPRKRPKLDEAVETDNYDISEDEDDGD SDITLDSNMTIRINYEQFNVALRNQRLIKLADQATSHVTSQVYEILLSRIELKTRKCR QQDEPVLEGEEGQHYSVPIPLHTIVDDLDPNLDLLSSVAGISATKPKQNGLENGHGED DEDEDEDDFDEEDGEGNPQSKAQSRVFQVAQHLSLLASEPYHFSTRRMDSGIITWAVE FRHLARKLRHLEIERLVESRYGSVAVRVLRVLADKGRLDEKRLQEISLMPSKDLRQIL ARLQSGGFIDLQEVPRDAQRQPSRTIYLWFYDADRVVMMLIEDTYKSMARCLQRLAYE RSKLKALIEKAERSDVKRNMERYLSASDMAALQEWEAKETLLLGEVARLDELVAVLRD Y TRV_04706 MNSGNPKPRNDYFTTSRNPRRDYDYNYDYDYGASNNGSMNSPAG YGRGREGRGGGYGGGTYLESPSPGDSMEQLSPQISVRSRGRDIDDGPSWGGRKDEGRF RGSERQRREENARQRSASRSGRSGGSGREIKGGEEIDGVVQAIEDEWDFMADSECIPV QVGLQLMDTSTLGRADREPEFLQIHQRIQHALRSVVNEHHHGFNNLLGTYHNIQGSLQ SSQHQIRTLKAALLEAKASLLTMKPELKEQAMASQSYDEILQLFELIEHAQSLPEKLE ARMSDKKFIAAVDILKEGTALLQRPELAGIGSLGDLRTYFSNQETSLTDILVEELHDH LYLKSPYCCDRWKPSSDRDGASASASGTSWEKPFYQYLSSLDATTHFVEDISRNPETD TFYYIHLLLEALHKMGNLDVVVDRIEQRLPVELYSVVDKTGAEVNNSHPNFQKDTRKA GPGAVPDSASERRHLLSEFLWNLYAKFETIAEGHRLLYEVISGIVKRDKLANEVHLTS SFQELWKLYQSEVCTPYIKSAVIILMSTQMRSLLHDYLSTDGAESFRATTRATDNGYA HSSVKRDKTKKLFKMSEVDQAASDLKAEQDELAEILRASVPGLVPKSRQMFSTSDNNS SNQQNSGTGHKLLIEPSVFNISLLLPPALSFIHRLKEIVPPSSDIAVGALTSFLDEFL INVFQPQLDEAVSELCAINFISVDAYTEDPQWALHSPRPIFRGTINFMNLIKTFSRML DSIPHDQAFTQLIIDQIITYYEKCCGWYKGKCVNSPIVSAIGSNEARTALMTRISPNH PGGVALKTSAAFAESQDIREVGRKLWECSASEKQSLINREIELLLSATNETSLKGYDM VADPKIVGSLSLLYNSMVNSSLGFAGCDII TRV_04707 MRPKRGDLERPLRLPMTKESVVGFDGAVTSIQNLAFTAIFTLHL DIRCGSIYMISRALKGKNDGQPQEPNRNSSTENNWSYILTVEPTSASPIVLELNNDLI SFGARMSNYLGPNECRYITSCLSRLIDRALVSSTRFIGAMNSYGALRLQLDVLVLQQN LKNIIVVSPSPVSSKSSLSLDKDVAASMPEVVALPQSAKFLDWFLEGPGKTLRNAKEE RDMFKEMGSQVLTEGNGEPFTFDELRVLIELCYSAALKGPQGPEAREEFMAAKRGLDD SLLRLSEVMWDA TRV_04708 MPVSPADEGRKNVTGYRRHVAHVESTLRPLIALLLVWITLTIDR HLLQCSYPRVSRISQLKVLRERLQALENVMATKDSAAFTQPQDMQIAASPITASSIQE IGLPQIYPVESQWYMPELLRFYSESIALQSQGDGSFTQLDTLRNIWMTTSLTDECMFH ATLYAASAYLDLLRGQSGNVITLYHQTETLRLLSSQLAAPNLQVTDALIAVTMALSQT EALSGNVCVSGVHRAGLHHLVKIKGGPENLGMDGLLAKLIYVGDAMDCLLYGTEQSFQ WATNPTPPPMALLSNVLSWETSAHKTSPTCQSSANILGLVQQSMLLIDNLPSASVENV IAPSFIQKFIHLHDEFSSLCRDNTIHSSSDSSAEVYINECCRQTALLHWRLVNDKGIF STEDTILADGKDLKVAMGKMDIPTWSKVAPRVFIWAGVTGAAASKECAERAWFAARLG PVVMAQGKDGIPTLKQGMALYHWLKAPVVGYN TRV_04709 MVTLPLLLSILPLAAVFSSAASLQVRENHDCASIQPPQVPGAEV LSVIGVQRRVEVAPFPPSPSKLNMTIDICSVNVTLSHMGVNDKVVVSVWLPLPDKWNG RFQATGGGGWAAGTFDLLMGPAALEGYSTAGTDAGVTVDAGSADKWALKEDGTVNYDL LENFASRSIHDMAIVGKAVTESYYKKPANYSYFYGCSNGGRQGMVEAQKYPDDFDGIL AGAPAIYWPRFLTSTEWPQVVMQSEKVFPSQCVFEAFRKAGIAACDKLDGVEDGVVSN LDGCEFNPFALVGKKVKCGGESTTITLAQAWVAKKIYDGPKSTAKHALWDVLPVGAYY DGLANSTIENGAPKIAPFVIGSSWIRSFLKKDVNFDLSTITYADMPKLFQQSIDEFDK IAGGSNPDLSALKKSGTKLLTWHGLADQLIHPQGSVKYRQAVERRMGGGSEVDNYYRL FLAPGVTHCGTLGLNDGAAPIDALKVLERWVEKGEAPDTMPAIATDASGATIFTRNLC RYPLVPRYKGGDKNSADSFECAKDFGSHH TRV_04710 MAKSKSNREAKGLIYSKALRTFKEQRRNNGETVRNNHATTKQPP SNHQAIKKQRNNETTKQRNNKATKQLSLLLKKSPGVFMDTTVPVQTSTVQIPRPGLSV CRIPAFNCEILCSHAISCGYRHINTSQESGNEAEIGEAVRKSGIPRQEFFLAIKVSMQ WSSAGETYSKIIESVNKIGGMGGYVDLLLIQSLSHNVQKRCDMWFTLEHVLKKGKTRR IGVCDYTLLPFLQIGSYSEIGPPHVIQLELNPWNQQKELVRHLRHHGVVIEAYCSLTG NAMILDFDLIFLAVKYNKTPHQVLIRYSLQKGWVPLLSSTKLDHITSNTNVFDFSLTE EEMNTLDLKEDGMWGHSPWDKLKLHP TRV_04711 MPDAMGKTIPIWCAVMNRTLFPEKPAYHAVQFAPAYLGESEESQ IENKIDGFIESFKALNLDMGKLKERLGKPIRLVWASRDFFSDNDEHDDSHLLVLCSAS RCVRGAEMSEGGYIQGAGDDSEGWSHGLTPPVFWKHKDQLMAAGESELPGLIQELVEK ECKLPSTNGRYLIKPTRNIYIGKRSGVGDDDASSFDLVIDCAGSVDSSQSGSPQSTKW LNLGCPSGKLGSRQLRKVLAGAESFVSRHLTGDPSLSLLIMCSDGKDISVGTALMVLC SLFDDQGIAPGNNLARPTNI TRV_04712 MREPISLADIQFPAASQNISHLLSDLRRSALSITNRLKSMETDS IFVQEISDYYGLPLVANERCGSWYIPPDKKVGSSYFKSTDGHMGQWDFSLRRLNMQVL DILKKYGG TRV_04713 MKQRERQGKYGSSSSSSSRAWSVRQQGGSAEKWTPSGHLLFFLD VEDGLGDVVRGMPAETNIKEPSPATAQKDMMDTYLGNQYQQAVGA TRV_04714 MQITHILFDCDNTLVLSEELAFEACADIANEILEKHGIEKRYTG EQLIGEFVGQNFRGMMVSIQTKFNISLTPEELESYVKEEENRVIAKLEAKAQPCVGVT EVLVKMFAEKKYGMAVVSSSALRRVKASIQKVDQSQFFPEDHIFSAATSLEKPTTKPD PAIYLHSLKVIGKSATECVAVEDSKSGCLSAVRAGIPVVAYVGSYPTTEKQEEMAKLL LEQGAKVVMRNWSEWDKCIAEVEKMDIPSL TRV_04629 MAAPHSSEPEKVSISPLLKSLAYPDAKHIKAPAGEIAAAFALIF EDRLSAIQTAALLTLLHSTGLDKDPDVIAQCSQRMREAATPTEKGPLLAVLEKRGRKE GSYNGGLCDIVGTGGDHHSTFNISTTSSIVASPFLMTAKHGNRAQTSFSGSADVLMHV TPTAPKIEAVNAGNLAKVLEQTNYAFLFAPIFHPGMMYANPVRRGLGLRTIFNLMGPL ANPVDWAIEARVVGVAYQALGPVFADALRLSGVTKAMVVCGEEDLDEISCAGKTNVWR IVECDPSEATPVTPTQAYDAEGNEKVLKPTKIEKFSIEAADFGLPPHPLSEVGGGKGP QENALKLISILRNELPRDDPILHFVLMNVAGLLAISGVCEADTSNMGPGDDGKVITER GPGGLRWKEGLRRARWAIESGEAYKCFEQFIKVSNTF TRV_04630 MSDLPIQQTNVPLVGDQDAENSSTAGDKGLKLIVVGDSMTQGHE GDWTWRYRIWQWFREQNVTITFVGPYTGTVQPDRPKPPSPPPLYGSPKPRQGVKVDGG YARGVSADFDSHHFAVWGRTAALDKDLIEDVLMAHPADLMLLMLGFNDLGWLQSDALG TLRSIKTLVDNARRVNPFLKLAIANVPQRLMIGGREDLPCSTREYNNLLRCAIPQWST ADSPIHLVEVCEDYGCGPDGCPAGYDGLHPNATGEYEIARAFSLTLVRDFNIGSAPLV IPKNVPKRSLPRPKNFRVVSSPGGVTASWDAIYGAMSYDVRSRISGITEFHTSSVSSN RWDSQWTQEGWVYEVQVRASAGNALKGRWTAIKSAKSTPKTPPGPDNVIVNATTTGFD ISWDSCPSGFNVTEYEILYWDKDKKHTFITSAGFKESPAHVDDLIPGHHYQLAIVSWN GAGGGFPKGVRSVTVGRGTPPIPTDLKITAKDATSAHLTWTGSPAAAGYQLWFRNVNE PDSELCRVNGTESKPSSDQYFLVPGVWNFEWCVSAFNGSAESDRCESVLAPRPSDASI SSSDCSEEDTNSRHESAKDKDINGSLTPEHKHMPCTPTTQCETPLQEEDQGWGVLCGT CGHTEQDDIDCLGSGGSKQLHGDRCYRDECKHADCTNPECWIYVCDNSHAEKDDTVTK CDE TRV_04631 MADIDTTPASDRLLQQSNPFGYQKQQQGKRAASSQITAPAETYK KPNSSSSSGGSSRFGYESNTFSPGESELSTLAHLIQQNTKQSAELQQLLFEFLKQKQE ELNSHPASSSRSDNVQESTDENDGMLSPGPHVANGGGESPDPPHGSKNNFRGHMIEGV ESASDSRSNSDCESKSTVPTSVDARSLADGASYPKDQQEKSLINAIGTLHHLSSSEDS DLSEDSGERKNRFERPPRKGRYESTDADNRRTWQRHYGTGLCQRAPSPGGSPSGKQVE FDFFHRQRKTCPNSSNPFQSILIQALVRDVEPSIFFFG TRV_04632 MSAILSADDLNDFISPGVACIKPVETLPKKQEENPYEVTTEDKL EEQNPPPAQISLTDCLACSGCVTSAEAVLVSLQSHAEVLNTLDANPEIRLDGGGRIEA GDGKIFVASVSPQVRASLAATYGISETNAGYMIEQFLSGPNGLRAGGQHGSGFSWVVD TNIMRQAVLELSTAEVTESLNDAAPTTSHDESDKFSLPNRPILASSCPGWICYAEKTH PHVLPHLSRLKSPQALTGTFLKTIISKKLNISPSQVWHLAIMPCFDKKLEASRQELTD VSWRGEALDTASSPVRDVDCVITSKELLMLASSRNISLPSLPLEPLPTHLSTPFPDQT IAKFLSTNNTLHSTQPAAAGPSGGYLHHLLTTYQSKHADSIIQSQRGRNADVVEYTLV SATGEPIIKAARYYGFRNIQNLVRKLKPARASRLPGSRVNGSGTRARPAPVSNGKPGA PIPPTEFAYVEVMACPGGCTNGGGQIRLEDARQANSSLALPEGSALEPTSKPTPHDQR AWLARVDEAYYSDSSEDGGQVDKPHRMSSAEEIHSILRYWSDMIGVPLEDLVYTTYRK VESDVGKQKGLAGSIDTARVAELAGKAGGGW TRV_04634 MMTSPKPKMVQTVLNFGSSRSLKKDTPQIIRRDPDEASSLGDTL LPDSGMDYEMDNDESSRLDSQTSIASTDWPPSQTASEATQTVLSPAQDAMKRRKILRC IAGETSTFLPGILEMAPWAPPNGYLYSPSKISRLNAKYCPHFPKTSIRVHNADSLDTA IALANCSKFVTVRDKKPVCVLNMANAFHAGGGWKNGALAQEETLCYRSSLSFTLKLRY YPLEDLQAIYSPTVLVIRKSMDDGHGLLPLNKPEELPVVSVISIAALCEPKLAAKKIP VPNSSDVHIKEVFRKVADRDLTKDKIRMILRTAAYNGHRRLVLGALGCGAFLNPREDV ADCFAEVFSESEFDGGWWESIIFAVMDDLEEGKDGDGNYGVLYRKLHGMMV TRV_04635 MPELAEVARIVNYIKKHLVGHTIAKVVANHDDLLFGKVGTSADE FKKHMHGKTVIGAGQQGKYFWMIMSSPPHPVMHFGMTGWLKIRSENTYYRSNGKDENF EADVWPPKFWKFLLETDNEPKTEAAFVDARRLGRVRLVDCPGDEIRKYTPLKENGPDP VIDKAILTEDWLKALVRRKKVPIKALLLDQANISGLGNWMGDTLRDSQIKELHSSINY VCSVSVDLKGESSDFPTDWLFHHRWNKGKKGAAGKLPSGEPIVFVTVGGRTSAVVPSV QKKGGEDDEEETDTKPKKAAGKAKATPKVKAEEKDGADASTPATPSKKRKQPAAKAVK EEDSAATTPASKKSRATKAKAEPEAPKVPLRRGRSAAKN TRV_04636 MKGLMLSYQGQAEEAFALAKMALKNDMKSHICWHVYGLLYRAEK NYDEAIKAYRFALKLEPESQPIQRDLAYLQAQIRDFQGYIQSRATMLQQRPGVRQNWT ALAIAYHLAGNLSEAENVLTTFEETLKTPPPRTDMEHSEAILYKNMIIAESGNLERAL KHLESVGKQCFDILAVMEMRADYLQRLGRTAEAATAYEALLERNPENSQYYDRLIEAK GISKKDHKALKAVYDYWVEKNPRGDAPRRIPLEFLEGDDFKEAVDAYLQRMLRKGIPS TFANIKTLYANPAKLAVVQELVEGYAAGKIDDQSNGSEANGDDSRFKESVLYFLAQHY NYHLSRDLEKAMSYVEKAIELSPKSVEYWMTKARIWKHYGNLTKAAEIMEAARLLDDK DRYINSKAVKYQLRHDENEKGLDNMSKFTRNETVGGALGDLHEMQCVWFLTEDGESYL RQRKLGLALKRFHAISNIFDIWHEDQFDFHNFSLRKGMIRAYIDMIRWENQLRDHPYY IRAAIGGIKSYLLLHDEPDLAHGPIPINGADGGADSVERKKAVKKAKKEQQRLKEAEA EKQRLKKASGTGGGGDAKKEDTDPLGLNLVHTSDPLKEAMKFLGPLLEACPGNIDAQT IGFEVYIRRKKYLLAIKCLLAAHAIDPSNPTLHVQLVKFRQQFDAGAAGELTPPDIIE LINAEFDLLLPRSTDLTKWNEEFLSAHAKSAPHVQAALTVRQMLKPDSKSQAEKDLIS SIDLETTSLEQALAGLSLLDEWGSTAETKASYSTSARRRWAEASVFPQ TRV_04637 MGGWMALLRTWAGEGRKESPLDFSASKELLHWDCNEMNEKNRKE DADITVTVMETPASGPASEAAAVAQKPAPKPQNPALRMLDMDANRSIGLPNIKLKLPS RNWMIFLTVTGSFIGALVYDRREKKKIQEKWANMVAHLADEPLRTTEARRKVTVFLSA PPGDSMRNARDHFRDYVKPILVSGALDYEVVEGRREGDLRAAVASRIRRKRELAGESG PLPEPDLQTADFETKLRHIRAATGVHDEPETKGDLVLGRHAWKEYIRGIHEGWLGPLI DPASLQPTVVEDSTPKEPLLSDTPNQTSTEEPPKDEKKEAEKPVEKPKPSGPPASYLL PSAYNSHQISPIAPTEFDGSAPVAFPHILGFLNTPIRMYRFLNRRHLADKVGEQVAAA VLASSIRPYADSTHSSESDFSSITQSQPQNSTSDGSSPSSDASTGEQQFVLLEEEKDW HKSAYKQPTDPAEIREEREWTDPMTLDPRISSRMRRFVLSPDELARSQRIAEGKEWVI GKEKPVHVPVWKSLWATYGWGEEENARSKVIIGNLDGEDGE TRV_04638 MDRNNPSGGPPNGGANGRLHLNFGYDQQQPYSNNPQSSRAYPTT PSTFPQPMYAGQGNQEFVDAQQNVYNQGYFVNNPYMAPAGQTLQQQQQQQYAPAPHGS APPTGYQQQRGGLQGNDETSGLIQQFSNQDLSASPRPARAAPQGGQQRPRTAGSAAGH HQQAAAHLTPPMPARGANPEDEELVRCPERYSENAHKRGKAAKELVNVFFRENIERAR DRNMRAANLDKICQNATISDAKRKHEAEAMAKKEANFLRFIRTRETPSNFQTIKIIGK GAFGEVKLVQRKTDGKIYALKSLIKTEMFKKDQLAHVRAERDILADSKDNPWLVKLHA SFQDKAYLYLLMEFLPGGDLMTMLIKYEIFSEDITRFYMAELVMAIEAVHKLGFLHRD IKPDNILLDRGGHIKLTDFGLSTGGKKQHDNSYYQALLKNTSTSKDKNRNSGFFSDAI NLTVSNRGQINTWRRSRRAMAYSTVGTPDYIAPEIFNGQGYTYLCDWWSVGAIMFECL VGWPPFCAEQPTDTYRKIVYWREHLAFPDELVLSRDSEHLIRSFLCDPENRIGQEGGQ HGGATQIKNHPFFRGVVWEQLRRIRAPFEPRLTSNIDVSYFPIDEIPQEDNSALLRAQ ARAMPEEQEAEMSLPFIGYTYKAFNAFQSS TRV_04639 MDYQNRAGSKFGGGGVASHSATNADRRERLRKLALEHIDLAKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGRKHQTNLARRAAREQREGRAQDGSGGA LPAGNMGVQVKRNTVKIGRPGYKITKIRDPLTRQHGLLFQLQYQEITPGVKPRIRFMS AFEQKVDDPPDKDYQYLLVAAEPYQTCGFKLQAREVDRREGKLWTWFDEDSKEFWIQL LFKTEREERYSGVPGLAPASTRR TRV_04640 MFDSPTFDFNSYIKYRPPYSDKLYAEIYQYHESHGGQWNLAHDA GTGAGIVAEELSTKFTTVAASDPSAFYLEQARQRLSPGDKFRFGCYPGEDMSWLPDAG VDMITMAEAIHWAEPQDVVTAASKALKPGGTLAIWHYGVIPIFVGSTDAQDAFNELFD HWSSRIIAGAPESKLANLERAVRVTVLRMAEIEFDKSEWKAGVHRIYWNAYHPTVGKT HQDAFKDVQVQENPDDVVERRIDETILSQYADIDWIRGYMNHLYPDVPADDTEQGMFE KLARIMEGRKLQLSWTVTMILATKSD TRV_04641 MFQQILENLTLAMNPGYSKLLIHDHVIPETKAYWESTSLDLVMM ANLGGIERTTTDWYAILESAGLKIVNIWTGRRGIESFIECKLA TRV_04642 MVFERQAEAGNLSSITLFNTKSEAPSPLSPSTARNSLDKAPHAS ALTLTNPNGDEDVELAAKNTPSKTSAEPAYHIFSHRQKWQLVLLVSIAGAFSPLSSNI YFPAIDTISSQLHVSASLVALTITVYLIVQGISPSIWGPMSDTSGRRITFVITLTIYA AANLALAFTANFPMLVVLRGVQALGSAATISISVGVIGDMACPEERGGFVGTNAGIRM IGQAVGPVIGGLLNSKWGFRSIFWLLFVQSIIVLLLLLVFLPETQRKIAGNGSIPLRG FHKPWLYYLQPPKSWAKTKGSEPPASKIPRISLKSTLVPLTYVFQKDIFVLLAWGSLI YTLWSMVTSSTTTVLLHSFPSLTQWQIGLCFLPNGAGCVLGSLFTGRLLDRTFKRIQS EYKIQHGLPDSVNIKNIPDFPIERTRLQFMPYFSLSFIICVALYGPSFELNDLRRYFA ANLVASLGLQFMIAFTSTAIFNINSTMLVDCFPNGSASATATNNLVRCLVGAAGVSVI QPLIGAVRVRNAFLILTGVVVLFLPLVWVQWQYCGKWRQERVRKESEKSGTGEK TRV_04643 MANKSDIGQDTTGQYTIGSRLSFDGALCTVRYIGPVHGTKGEWL GVEWDEPDRGKHSGAHNGVKYFQCKDQLTACRNNHPTAGSFVRPSRPSDKSLSFLEGA HEKYVSELPVFHSSSKGDRVLEDYVSKPIEISGKIVEEVGFDEIRKQLATLHELRILI LDGLRINGVLAGPDVSDNREKELEKIKHTCPKVMELNLNRNLIRKWCEIVDICAQLPE LKILKLNGNFFEEVKNCPSDTISPTVNSLFLDFTLMDWEEELLLDFNDFESLDSLSPL MDLRKLRHLSLRRNKISKIYASTPSDLVFSPTLEFVDVSCNQISSWNFLDALQKIFPG MTHLRISDNPLYALPPAPTKVTGLPEARMTVDEAFMLTLSRLSNLKILNYGKITPLDR LNGELYYLSLIRKEVLAHPPSMEQAIITSHPRYKELCKIYEVPEIQRTEKDEESRDID PRSLAAQLIKFRFHLADSSKGEGKADTHVFEKEIPKSFDVYLIKAIVARHFSLPALRF KLIWESDEWDPVEKGTAEEDEWDSEDEDDEDEDTQPINGKQVRQANKREFVRREEELT DSTREVSHWFASDIKEANVRVEPS TRV_04644 MAEYWKSTPKYWCKHCKVFVRDTPYERTQHEATGKHQGSLKRFL RDIHRDQERGEKESQKAKSEIERLRGIVSNPGSSTGAKRAQNDPPWRKATATGANTTG LTQDRMQQVAQLAEMGVAVPEEFRPSMALAGDWKVVSETPIEEHTDETTVKNIGVRKR KLREDEQEEADMQQEVVRKSWGSAIREYRASEDDSADLDALLNMTTNVKKVKAEAKVD PESRDAQEPAVKKEEDAEDDRANAPVKDEDIPLNIKQEETVARDEAENSPPAVMFKKR KPKNIKR TRV_04645 MTDGETPSGSASAPATTTDASAEAQTPTQGEQSATTHANGQSNT TSEGSQHISAVDNSLNQPPATSNPPPQPSVTQTEAPDPVYQLAAANSALDASQSGAVD HAVQLQALQQFNNDQGPFDSNTNSAPHGAPASVPPTAPAPAAPAPVTHITQYYGEIPA GGFSDGFELVTDESAANRMKRDVKRRTKTGCLTCRKRRIKEHNPFVSRCPLWFVAGGN VFFFSLPCWGVFFFAPLVPASAARLASKKTKTPRNPHLNTQIDIATSSTSSLVHVPVL FCARSLLVTISYFRLFILRFIFLCRAHPSLQVAPQNPHQATGLNQAYLPNNSTAHSVS PSINTGSSASPPQDKLDFGTEPEPTPIAAAQPAQTAPAAPAAPVTAPTAQSRSVTPRV AEGHPLIAASTTLPNSEKMEPRQPKMSELLAIGGFALPEVDTNPLSPTKLEEVKMLYR IYAQGMDRLLECNWYDTHGLTQLLGSPRLLSLYSSLLDGFTDPNINDAPVIARMESFE SNVIWESLCLCRAARAQEPTNGTDTSDSTDPQLIYAVKRLSLLEALLTGTVLENNPVS RHNYPEDDPAVTTSGLNTQIKNRQLGFWESMGDYLSIPDNEPDASDKRDKALFAARAF LDMIESRDVVYSIAVVRNISKFQPRKFKLPVASDEKDPAAKLYVACRFLEDEVRGKAT NQVTRRISQLTVRYWDEPYNV TRV_04646 MFLIIGALRNFNAGMQNLRAGKWKGSPEPIALGHDPQGKVLGIL GMGGIGRNLKKKAEAFGMSVIYHNRNQLSEELAGGAKYVSFDELLAQSDVLSLNLPLN LTMPPLQKNTRHIISYAEFNKMKKGIIIVNTARGPVLDEEALVMALESGRVTSAGLDV FENEPKIHPGLLRNPNVILVPHMGTWSVEVSCLLFEC TRV_04647 MTLEDFERELAENNRKEREREEREHERSRRRHRHRSDRDRDEDS KHRSHRHHHRHRSPHGRHGRREEDEDDDERRRHKRSRHSTSNGEEREHRHKRRHRDRD GDRNRSRSADRDNTKEEPQEPAVESETRAKLQRDSWMEGPSAQDIDYIHRPKEKPQET PAARMLQVDFGQKLHEKELNRHLLDVAEDADTTRKSDPVEVDYTFGDEGSQWRMTKLK AVYRQANESGKTVDEVALERYGDLRFFDNAREEEIELDRRERYGKDYLGKEKPSGELF KARKLDMEPTRRNDSAIPAAELEPSAQGEPVKTESAPRETTALDASALNKLKAQMMKA KLRGASDAAELEAQYNAAVSGAANRKEADIVVLGVMDNRMLAGGNRAEVRAVETKRGR ERGQVEENEDMTIEDMVREERRNRGGAGEGQRFAERIAKDAKFDNDLDYMDENASKLA KRVHKSEINLKNASISEFQKMNRILDNCPLCHHEDTGKPPLAPVVSLGTRVYLTLPTE PELNEGSTCIVPIQHRTNLLECDDDEWEEIRNFMKCLTRLYHDQGRDVIFYENAARPG QKRHAAMEAVPLPYSLGETAPAFFREAILSADEEWTQHKKVIDTLAKARQGGGKLAFR NTLAKEMPYFHVWFELDGGLGHVVEDPNRWPKGDLFAREIIGGMLDLGPEVIKRQGRW RSGTDRRVDGFKKRWKKFDWTRVLTEE TRV_04648 MAAPPVAVVCVGMAGSGKTTFMQRINSYLYSQKKPPYVMNLDPA VHSVPFESNIDIRDSINYKEVMKQYNLGPNGGILTSLNLFATKIDQIMGILEKRALQA VTPEQPAAPKRMEHILVDTPGQIEVFVWSASGSILLESLASSFPTVIAYIIDTPRTSS TSTFMSNMLYACSILYKTKLPMILVFNKTDVKDADFAKEWMTDFEKFQAALAEEEQHG AFGGGEGGSGGVGGGSGYMGSFLNSMSLMLEEFYHHLSVVGVSSMTGDGVDDFFAAVE EKRKEFDRDYKPELERKREQREKEKLERRDVELGKLLKDMNVSGRPASSKDKADQIET ISDAEDDDDEDEDERPNQRDDAGLSQRYSNALADSGDGQAGEDSSFARYLKSSNLG TRV_04649 MADTGPSKLSGPHDLRDQHATAPPTDPELESQLHSLNAVQSLAS PVVDSLQQHQHQQQQQQQQTSGRGISSRGAFDADLNNAAEGHLPPAVRSAGHGLEQIE QMDQVDIAFPSDGMVLSTPHDARLSQHPDDVAGHQFVSPTNNDMQFSPFTQQHLPQNM IPHFQSPGQADMSQGGSSHAGEGHFKNMKCIPNPPDLQKWREKLFNVEDTIVLSEEEF QTYFPHVDNVYSHRSTQRYKRKPFISHYWDCRLKGRPPGTPKTHDPNKKKRKRTARER NLCDVKIKITEYFPGAINSSQDIPSGFEQPSQASSPSDLLVVPGSSNSNLDGSQPFGV LTPSATLPPGHPGVAGARYYTIQRVNGNGGNGRSDSVEGPHRHTLEESDRIKKNSVQR NLLKEEKDKKKSTTQQKSYHSRASGYAYSTVKKHAKESDLKLYGSCFCPFVQRVWIAL EVKGIPYQYIEIDPYKQPDSLLEVNPRGLVPAIRHGNWGCYESSVLLEYLEDLDIGKP LLPPGDPQLRAHCRLWADHINRHIIPCFYRLLQEQDPGKQITITELLKDHISKLVNAS HVHGPFFLGRGISFVDIHFAPWMLRLTRVLKPYRAWPDPERGSRWAAWMEAVELDEHV MATTSADDLYLDSYQRYAGE TRV_04650 MNRTDQIPDAWDADWETIADKPSQAPEPVPEKKLSARAAKAQRR AEHAEFNRQLWAEAETPQTFHFLESRSTVPLKSEYKVPMKVLARKPQSNRSGLESGIQ GLSVQDQGQGDDDDDEDFERKPGQLTYEERVAKAQKEREEKQRKYEEVRERLFGSPTP GSGASSPGNGTPPRQGQHQGGEGKKRGRNNRSNTGGNRENKERRDRDNANASPKTQGR LFDPNYSPRTNSNYVQRKEKERAVGEFSREASSQPQLDMQSQSPQLQAPIRSPRGPDS SGRGGFGGFPSRGGRG TRV_04651 MAGRGSHIPWFTKEEADLYLDDFDSEMLSSTSSSPTPGSPQTPA GGNGTARNSIPAMPELSPPGSHGPATREVAMTGFNENLKDSAPLTSISEKNDEETEDS TSNLPAVLREEPGASWMNKKADEEAKRALEFVVDKDFSLREFGDPFDDRAGVEIVRYE KLWLVMGGLGWHGNGLLAGGDNIIMEKQRRKKKKRKRAKPSRPMTELVQNNKHPIFSF LSHSLLWTWSFIIDYGRIAILKAISISIIVQTVQNAFSKKVPNASTSFKIHWASLPQA QAQIHLRNHPSLMQRHVDSKFTPEATSKKPTRLWDRKPTTPVLPRSKTQKIWKRCTDS TSLEVNSMHDTDAFSTEINLAATLAALRGVKRLRLAHTPECERGRSFLETKWEAQTED RRRKYVAPAESAQTTASVDFLLRAKGGTEGVEVSDEEQVHCSSSYNEPRDIPQPEETA QEVRTMPGEVNQEKPNTVRTECQPDDGREPEEETFFDAVAEPMAVVPEQGAIPLQVSP VRKIAILDGDDAEVISDFLSKARAKRAANTPVKKDASVDRLPESPTPTARRILEAVDG NSPRSHRRQLPPEKLQLPDFCFNRRSPRIVASKSTDQKPPFENVWDGSIAPSSPVVEV TVEHARHPPRVPDQIPTRRRKGTEFVFMQRTDDQQTAILTKANTRLNKGSKPPHKLLP ILNKAIAALGTDPEDDQHQHRDLSKKQVRWNDAALVEYCGEKKVPEFDLEVYQNSEAI ERNLRSSSRRNNNTSKNEEHPPEATESYNKQGDQPAPFLAPIVKMPAPATPYRSTPMP RKMRKLVGAKHLDVHPNEGESPPVTRINLSMKTAGVFPGTPLRHKKTFSLAQGVPRTL SLKPTKSTTSTSSASMTSGSGSGSGLGSGLGYPTVLLRKQTKS TRV_04652 MGVTVRGRPQACQVTPKSSLHYATLAVFLLLLLLRDDTSSSSTA TPGILVFFFFFFFLFFHFVFLLIIFCLAFYLLYHPLASTSSSASASSLSLPPYTSAYT SPIDSSRKTRSRQPKRTTTNQQKREKEKEREREREKRASVSQIYWESLQLSSVSVSIS AYLVCCVACCWTLRSYLYLPVASSYPVIITLLSVVRLILQSKEKDQSIKKKVDSKRKT KRQETKPSSCSAFSSLFSALVLPFFLRPNSTTQAKKPQSTPTTATPAQATCQRKERNQ TENQEKQEEAKTITIQYTLPYHSMTPSDLAHQPSPHPPALPVSALDQDNDIDIPDADD VEDIKIAAAHKRQDSFSSQARSHSRSSSIGGASFGSIEEDNTGIAQSFVDTKESNSSG DKTHAADCSASKAKELKAPIPDFCCPCGTFRGWKQIRLGGRKLSRSYSDLRLLGGTQA RGWAWEEEAPNPEPPKAQQQQQQNEDQEEVLELRRPAPRVTKRACLERLPVEILDEII SHLALDIPPNGYTPRNVDLISCLLTCRTLHSATLGVLYRHITIPHSIIFSKALNHIRQ YPSLGTIVRRLDFSHFTSVGLGRTQQMNVEIQNLTAKTLLQCLDLLPNLKELLLQEHV EDDVDGDVVRKIFITKTLLRAIDFCGCSSAKFSSGFLSAVTQEPEFPSVLPNLRRISL HECTGLPDEAFQSLLPRLINLTHLDVAHTQISNSTLFLIPYTARLTHLNISRCTKLTG PEVVRFLSTHPAVTSSLVYLNLMVDASRHRILEEADVPNLLPHLKSTLRSLNLGGARI TSAHMPDLLRLSKHVEELGLSSADLTLDDINSFFAPPPSSATGSSNATPWQPSHLRYL DLTRNPYLNQAALFNSKSCLLVTPQSYPLLVIEMSERIIAPLRSRSKSNNNRSGWVVR ELGRRGWYVREPSGDPSMPVDDGQRSWKMGAHWWGTRKIPVSVGDVGGIYGHYMFKK TRV_04653 MALLPYQSPPLLPLDSTHRGSLVVIAGAIAGAVTLTGLLIRLYI HLAINPHYGRDDYFLLGSAFVALCQSILIFVSVSKGFGTSADLISHDNLVTLRRAFFS SEILYLVTLYLAKCCVICIFLKLTPKKTHNRATLGTLVYCTLWIIGSIMAVGIRSGIL DTHTVRAVSVSYCKLKLVKWRFIVSFDVLSEVIIFFLAVHLIRELQMRFRTKIVVLSA FAARLPIIALAIIRLHYLDICGEQTNLTLDLIDSSVWTQISLNYNVIACTIFALKPFT AAVSTNYGTAGDQSLQNSKNNSNGSTVDNSYSRDLESGTYTSRSKEQSFSRPYTGTSS QHGLKKQKPRKTQLSTSLSRSLAGKEAKSSQHSFPRFSLFSPTSPTAQHQRLKSSDEH HEMTSINGNAPPVPSLPHNQIPKNTHTRTSSRGVRVPFLHRGEKGGSGSMDSHGSVPG AGMIHGPGPMASDTIIDPPAAAATTITTAITAGGGDRTEANPEGDWNDDKTKLIIKKN IEYTVQYGD TRV_04654 MSSDSISTKRLKSAEESVGHPEEAFTYDEQHQHVPAKYMGTSRD RDDMCQLGKTQVLRVSLLCIHTLTVFSRNQN TRV_04655 MTAGWLCSTGWQCAIVTIAFLAGTIIQGLLVLNLPEYIPQRWHG TMLVIAISAFSIIFNTFLAKKLPLVEALLLLLHIIGIIAIIVPLVVLAPRSSAEVVFT KFNNGGGWSTAGVAVMVGLPPAIASMIGYDCAVHMAEEIKDASKTLPQAMMSAVGVNF VLGLAVILTICFTAGDIDAVLASPTGFPFIQIFFNATNSHAATNAMTSIVVITLVAST ITEVATASRQTWSFARDRGVPFADFLSHVNPSWNIPLHAVIVSLVVTILLALINVGST TALNAITSLTVASLMSAYLISIGCILLKRIRGEALPSRRWSLGKYGGFINAAAMLFLL PLFIFSFFPLTKEVNATTMNWSSVIYVSVILFATVYYFAYGKKTYVPPSSLVRRPFKP TRV_04656 MIYLLAIPVLAVCVYRYIIYPIYFSPLARIPKAHFTSPFLPTWL WWIRNYRCREVSTIYALHKKLGPIVQLAPNEVSVNSANGLKTIYLGGFPKDNYYEDLF MNYERYPNLASMLSFKAHSEQKRMVSRVYSKSFILASEDLRVASEKLIWERYLPMFER IALPSSFPSDHEEERLKLGPEKTLNVFPPFQAVGMDFMTAYLFGIDASTDFLRDTEYR DRWLKLYSVFKTQLPKQRAFGEVENLCLKMCDQVASQLSCKEKGAAELKTGSTKPVVY GQLFNGIFSQINPDSDAEKADARFRVASEMMDHIVAGHETTGITLTYIVYELSQNPEL QAKLREELLTLSPPIFYKQDSTLESSNAEKEKGSRLPSFHALDELPLLNGIVHETLRV YPAAPLPLPRVVPAGKPVELEGYQIPAGTRVMSSAYTLHRNPEVFPEPESWKPHRWIE ADKTHLEKMRRLFWAFGSGGRMCLGSNFALQDIKLAIAALYTNYTTFVVGNDSMEQTE TFIAQPVSGKLIIGVKHV TRV_04657 MPFDYLEWLVPVLPESWLIFLYEQAKAQKTTHLHDDPYVRPVIR ISDKIAVKCGHGVAPGEAATQQYAYQHLDRRVVRVPRVYRYFQVKSNSSWPIGYIFME YIPGKTPEEVDLNNDDISKRLADVVCELATVSGGATPGQINGGTLEGYLWGDDGTKDV FHSVDDMNHWLNRRLKLLNKEIDLRPYPLVLCHLDLCRRNIKLLDDDSLCLLDWGHSG FFPRFYEVAAAQCINDDGAYIRSLSNAVKSKANLSEDEEKCVWLILRARAASLRYIL TRV_04658 MAPTSPSASASYKKKDGTLAISSDLQSITWTPKNGSDSLLSLQT ASVTNLQQTPVNNPKVMLKIFVQGPPPGQGQSQESAAPVPYVFTFTSPSNARAEADAI KEALNTIIQNTKLAQTPARDGTPTAPAAAKPPWYDDNRLKADMALQQSLLNFNEGLKR VFAESLRTKPASVTHGQLVSQFWSSRIHLLRAHAIEKSQEQGAYNVLSTVKPRVEDKR RLNLSKEQIQLIFSQHPLVKKVYDENVPKVAEEIFWSQFFQSRLFKKLRGERITDADP TDSLLDKYLKYDENTGQPANEFERVPHLINLEGNEENVSQRQGNRPSFDMRPTPVDKV PIIRTLNSLSEKIMRNVAPADQHLTNAENADREVYNELQLRDLREQEEQKRIMLNIRD QSRFFSEGNAGEIKKEQVVAKQDPTASLNMLRSDFSRTYIDTPQVKLSRLVEPEDGDS SDEEQSKPKKEPVGSKASLASAKSQIFGIIGQRKAQADPESSSSTCGLSQTIFDRLAL THATTTEFLTQFWNAFLSGNPDRASEIASMVESLNRAMDRINEVAKAAEAERQVELDK LKKHAREVMASTGRRIRLAEVDGGEKVVKQLMGPTINALSKATAEYQKALAQQTAEGQ S TRV_04659 MLFILDIIMSNIKYQISISNINIKTSTSSSNVNFKLSLIVVTSR WSVRINHFGPSGRGIEKKQRGKPEEAKERIRMLSSGFTNAPVTKLALVYIIAASILVS IADAKYLFYIQVNPHIWQYHQLWRFLIWPLTGHRTAMGIEESFILSTLPFTTFLPPLI LTITVRPLSLNTLNHLPAGPTAILFAILAQYHATIPTTYKYRLLTGSSGNGPAITFSD KSTIYFLAFQLALSQLPHTILPAFIGWVIGYAWRAELLPSKISSWRIPGWIYGGSPER QRRRGIPRNRGDGDASSSGTGSRDPERLEGLRRRLEGESRAAFVAVASTDTDGGRRST GSAGGGAGGVQEGEQRRPLAGQILDRFRGTF TRV_04660 MPTGGNASNIRQTSRQTRTNPSRQSKTAGRTSLIGGLTGGPSSL GGGHGAGGSVHGNSVPAGIYPGITHFSDAIDALPREFRRHNSLLNEVDGKAWALEEQL SKLLTTATKLISVDYDAPRPKQSIRSTLGDLLGTIDEKNHVARNANATLQRDVSRLDA IYPHVQREVSDEARLGSLTHWAYINKPTPKTSASAVNERPRRDTAGANSHRAAHGDAD NEPKKEPVRRQRRTQAEIEADEARGITVRRGKPGPKSKIADNQSSEQALTAGGATGIV TAKRRKVEHTQSMAATAMERSASNATNAGGRAGSKESPAPDAVKKRTRAPNANSAARK RTNTVSSNAGSSSVSGALNVSQLGHTSNSPAPASSLRAQSRPQQNQTPASRQRPSSSA SNRNPKKDLLDARPPSAKGAASPRIDTLASRSNGDSIRNSPKTAALELKHEDASSMGP VGTSSHMHDGKADYSNLPELNTGVSTKRSSKNSTPVSSTYTESQQRSRPSRTGDGPKR SHKKTGSISTARQMALSAAADETADEPRGAEGDDPMEPRYCYCNEVSFGEMVACDNPN CPREWFHLSCVGLTKPPSKSGEYLFSLI TRV_04661 MFRTTRAVTLKASGASSLLRQSYTRSICSGARSNLQLTARKPLG VVCARKAWEQTRGYAVATGETSKGVDPNDSFLQGNTANYIDEMYMAWKEDPQSVHVSW RTYFHNMEEGKMPISQAFQPPPTIVPTPTGGVPQHMPGNAAGTNVSNHLKVQLLVRAY QARGHHKAKIDPLGIRGEADSFGYSKPKELELSHYGFTEKDLDEEFALGPGILPRFET ETRKKMTLREIIDACEKIYCGSFGVEYIHIPDRVPCDWIRDRIEIPQPYKYSVDEKRR ILDRLIWSSSFEAFLATKFPNDKRFGLEGCETLVPGMKALIDRSVDYGVKDIVIGMPH RGRLNVLSNVVRKPNESIFSEFSGSAEPSDEGSGDVKYHLGMNFERPTPSGKRVQLSL VANPSHLEAEDPVVLGKTRSIQHYNNDEKEFNTAMGVLLHGDAAFAAQGIVYETMGFH SLPAYSTGGTIHIVVNNQIGFTTDPRFARSTPYCSDIAKAIDAPVFHVNGDDVEALNH VCQLAADWRAQFKSDVVIDIVCYRKQGHNETDQPAFTQPLMYKRIASQQSQIDKYVEK LLKEKTFTKEDIDEHKKWVWGMLNDSFERSKDYTPTSREWLTSAWNGFKTPKELATEV LGHPPTGVEAETLQMIGAKLGSIPENFTPHRNLKRILANREKSIKEGQNIDWSTAEAL AFGTLCKEGHHVRVSGQDVERGTFSQRHAVLHDQENESTYTALQHISPDQGSFVISNS SLSEYGALGFEYGYSLTSPNALVMWEAQFGDFANNAQCIIDQFIASGESKWVQRSGLV MSLPHGYDGQGPEHSSGRLERYLQLCNEDPRVYPAADKIDRQHQDCNMQIAYMTSPAN LFHILRRQINRQFRKPLIIFFSKSLLRHPSCRSSIEEFTGDSHFRWIIPDDQHGKQID EPEKIERVIMCSGQVWAALTKHREANGIRNTAITRIEQMHPFPWQQLKENLDSYPNAK DIVFCQEEPLNAGSWSYMQPRIETLLNETVHHNRRHVLYAGRNPSASVATGLKSSHIK EEQDLLHDAFTVHQEKLKGE TRV_04662 MYVKNFDAGQLNVGIWSGDVKLRDLELRREALDQLHLPLNVIEG HLGELTLSIPWSNLRGKPVKVHIQDVFLLAAPKEDSTYDPEEERKREHAVKMEKLESA ELIKEQNTEGMSQEEQQKNQSFTQSLITAIVNNLQVAIKNVHFRYEDAIAAPGHPFAA GVTIKELSAVSTDSNWKPTFIQSTSTSNYKLAVLNSLAVYWNTDAELFAPDHGASAES GEAGDTDAPIFSHADLLEKFRDAVATGENNQYILKPVSGKAGLELDTSQNVDHPRAKA KLIFDELGFVLDDHQYRDVLMLVDLFHYFIQHREYRSLMPACRPKEDPRAWFRFAGQA ILSKIHERNRKWTWGYIKERRDNRIRYIELFKMRKREDPMSPAETEEMKALEEKLSYE DLRFWRSLARNQLRKERIECPKKVVRQQTWSEWIWGTSPKEEDQPTSMTDEQRQELYQ AIDWDEKKAIADAVDLPRDSVKFQVDSSLRAGSFTLKRDPHGKADEILKLVFENFQAK ALQRPDSFLVQVDLGGLRLFDHTTDNTAFPQIVRVKDSYSMPSENVEEITEMDIPESP DVETIDLEIVKFFRPPERHMESIGALLETAGATVAEIRQQTRAGLEFALEEHKTINAQ LDIQAPLIIIPESITTGDSMCLIFDAGRVSVISKLVDKETLKMVQSKQGTEIGDDDLS RLESLMYDKFLLKLDSTQVLIGKGIEATKAELDPKTESKNLHIMDRINMDFVLELCIA PKSTLTRTRISGHLPEFHASMSDTKYKNLMRLIDIAIPRFDTDESSTNSAEKVAQPET TSVESRARSQSIQFPRQKDVPVLQSENDIAGPDDKKSAESKTPKNIHRRMFEFKFTVD TLRGSLYRSDPSDERKDRLLVELVAEHFQLDYNLREYDMAADIVLKSLSIEDYIEEHS SAPEFKKIVTSRGFDANEEKDLFTLKFIRVNPSSPEFVSVYDGVEMNLDLVVSTINLI VTRKTLLTLLDFILITFTNQNPQDQVQSSHEQAASNDLKEAPKPANTGKIRISSKLER ISLILNDDGIRLATLSLNTADVGIFLANETMQIKSRMGSLTLFDDINENGKSSTVRRL MSIEGDDFADFRYQTFNPKLKDYPGYDSEVALRSGSIKINFIDEPYRRVINFLVKFGK MQSIFNAARQAAANQATQIQESSSLMHFDIMVKTPILVFPRITDRDGPRDFITAHLGE IYASNEFSQADHGEAKYLVNTITAGIRHIRLTSTFYYSEDVCEELEMIEKVDMDFNIK YVQEPIDNSIPVMRVDGSMSPTNLRISQMQLKFLLELSQTIPAAFSPDQELQEGQAQQ ALPELSAGLSTAASSDKSNESKSLTDGPGKNAAEPKKSIQLELGFRVETIGLELLLVN EEAPVQSLDDVSLSKFFLSNTNVKLRMLNNGSLESELLIHSFNVRDSRSKETNRFRKI MSLVNTEVQQQFMASLSMSGGTDRQLVVMVTIDSPRIIFAVDYLFSLKSFAAAAFPAE PDTDASESSDEESSGPAVSRDNLPSKPTTIPSSAMTAENKSSLTMSFRFNMVDSQVIL VANPTIANTEAIVLGAKQIVYSQQNASTLQITKVGMFLCRMDKFETSRLRILDDFSLE LSMDTQSKSKSFSTTRIDMHVEPLILRLSPRDILLALQIMNRVSEMTSPTQPQNAASE SKAIAAKPTQADLQSPSTPVQSRLTAADRIQQSSIVKKEEMSIQMDGIRVILIGDVHI LPLLDWSVQSFRVDVRDWSANMSADTTFDTFINVYNFSKSTWEPLIEPWQLGFHMSKE LSPDIFSIDAYSHKNMELTVTSATIALASKTMQFLSADEDVLSKPRGTDTPYRIRNYT GFELRVWADTGNGEEGHACTLEDGEEYPWRFEDPTTMRENLTPEGNAGTVGIKLEGSG FDSISRIPLIREGETLYNLKPKKDKVLHKLLVEVSLGTDYVKYITFRSPLVVENKTQI PVEVGVYSPEEGHLLKIEKILPGDSRPAPVGAAYLHSLVVRPDQGFGYNWSNERLFWK DLLRRPTRTLKCQSENGQQSPPFYFQMNATFDKNDPLLSVYPYMRVRVSAPIEIQNLL PYDFKYRIYDKNLKKDWTNFLRKGGVSPVHVVELSHVLLLSIDMQDTAFRQCEFAIVN GNVQEDFRRESTLTVQDDQGQELKLGLHYFTVPDSGGAFKVSVFSPYLVLNKTGLDLN IQSKGVFHGGRSAAGRAIKTDAMNGVRSAIPYMYSYPTDDRKNRSVLRVNGSSWSKPQ SFEAIGSSFEVTLPGSNGRTEYHAGVTVEEGTGKYKVTKIVTVSPRFILENRLDEELV AREPGSSNVISLKPRELVPLHFLRQAAEKQLCFCFPGVNNQWSSLFNIADLGITYVKL AKANQRQRLLKVEVLMEGATIFLRISMEAVHWPYSMKNESDAEFIFFQANPNVSEDED DRSTTWRPIRYRLPPRSIMPYAWDYPAAKNKSLVLLCRGKERYIKLAEMGNLIPMKLP PTQDLPQKIIDIRIEAEGPTQTLVLSNFRPSRSVYRQQKPASSQTSVSTGFEVKEINS DITFKAQLRLNGIGISLVNQNLRELVYITFREIDLKLSESKIYQTVNTTIKWIQVDNQ LYGGIFPILLYPSVVPKTGREMEAHPIFTATVTRVKDDSYGVLYVKYASLLVQQMTLE LDEDFIFALLDFVKVPGASWSEEREDPICEAELGIPEPKHEAQGQDVYFEVLHLHPMQ LDLSFVRTERVNVEDTTESSNPLMFFVNVMTMSIGNVNDAPVRLNALLLENARVSIPM LVSNITNHYTQEFLRQVHVVIGSADFLGNPVGLFNTVSSGVADIFYEPYQGFVMSDRP QELGLGIAKGATSFVKKSVFGITDSLTKFTGSVSKGLAAATLDKEFQDQRRMSRARNR PKHALYGVTAGGSAFATSMASGIGGLARHPLEGAEKEGFQGFVKGVGKGFLGLATKPA LGAFDLASIFDAGGLDRVRLTRFIGRTGIVKPYSQREALGQFWLKTTDDGKYFNEEYI AHLEFPGKDMLVLLTYNRIMLVRSKRLTTEWDIKLTDIQKISKERTGMTITLKGGTNG PFIPVQDESGRNWFYKQIAIGMFTYHRFSRQVEHIQ TRV_04663 MLYRTAAARSVLRAVSSSNASIARSAWTNTAFKAQLTTSARQWA GPRSTSMALSPFRQPVTTALVRYSSTEAKKTLIEEPDVDMMAGVKNDVKIIKDTFSLE GVPKEALYYGLAGVVPYVVTSLQTVFLSWEMNNAVTLGTTQYISVETAQAMMNLIEPI QVGYGAVILSFLGAVHWGMEWAGYGGKHGFRRYATGVVAPAVAWPTLLLPVEYALITQ FFAFTFLYYNDTRAAVKGWVPAWYGMYRFVLTFVVGAAIVCSLVGREQLASHFTSEHG ITEKIKALQAAREKDLAKAEAAAAEEAE TRV_04664 MAEEKSTKNSLAALRESAIEVVKGLADTFEDGLDTFAVRNAILP SREERPSRFIGAIDQGTTSTRFIIFDLQGSIAASHQTELGRVHDQPGWHEQDPAEIVS SAQKCIEQATKTFINSGHDISEIEVLGVTNQRETTVVWDWETGKPLYNAIAWPDTRTK SIVREFKEKGADQLQEICGLPISTYSSSAKLVWLLRNVPEVKTAYDAGNLAFGTVDTW LLYNLNGGKEKNVFVTDVSNASRTMFTNLHTLKYDDKLLNFFGLDQSKLRLPKIVPSA DPTAFGQLATGVLKGVRIMSCLGDQSAALVGHEAFEPGMAKNTYGTGCFLLYNVGEKP VISSHGLLATVGYQLGANSKPVYALEGSIAVAGSGVNFLMNNLGFFRDARRVDDEASS VQDNGGCVFVTAFSGLFAPYWIDTAKGTIFNETKMDNGGRRKKAVILTVYRLVGITQH TKKGHIARATLEAVCFQTKAVLDAMERDSGQKLAELRVDGGLSTSNVCMQLQSQADII RIPVKRPAMHEVTALGAAIAAGIAIKIWEGPRNLDGLKQSDKTVFEPKLPEEESNRIY KKWSKAVEMSRGWMDSSD TRV_04665 MCDNLTIILGSRAASLSSQLSRFATTPRRHHSTLSFAQLNRPSP VPKPTGLSPLQCQRFLFGGSSQNVLARMERSANNNPGSAAAQNSFYNALLKANMPGII IERYRSGKFASNSLSEAVYAKALQKVGSGSEQALGQGQQQQQLNPANQNLSADQLQAI GQAVAARSYGGQIGISNKNSGTGAKDTPLYVVVDESLGSSIFRWVRFFLFFGFITYFS LLLVTVFVETTGIMKNVRGSQANEAKPEHQTVRFSDVHGCDEAKDELQELVEFLSNPE RFSSLGGKLPKGILLVGPPGTGKTLLARAVAGEAGVPFFYMSGSEFDEIYVGVGAKRV RELFAQARAKAPAIIFIDELDAIGAKRNERDAAYVKQTLNQLLTELDGFSQTSGVIII AATNFPELLDKALTRPGRFDRKVDVNLPDVRGRVDILNHHMKNIQVSTEVDATVIARG TPGFSGADLENLINQAAIRASRDKKAKVGPEDFDYAKDKILMGAEARNRMLRDEDKLK TAYHEAGHALVAYFSPDAMPLYKITIVPRGVSLGTTHFLPEMDIVSKDYTEYISDIDV SMGGRAAEELIYGPDRVSSGISGDIRSATQTAFTLVTQYGYSKKLGNVDLNTGYDMLS ASTKQDIEDEVRRLVDEASARASAILKEHRHELELLTRALLEYETLTKEEMERIIKGE KLDKILMPSKTPIKLPEALTSVHINPVTGSTSTSK TRV_04666 MSRRHIVLTGAPVPSSLCWEEDELETAGSKTHHAAAEARWRSLE KVRETTVLTGPIDEGEWFFTAGAVQRYSGESVSGLETTALSAFYEQSFALHEGISSGS FDNDDTRSFGDDDSTAGLIDSPEGDASSLLPTGSQLRSTVRPHLSDLEDIPKAVYVNG LAPRVVTVNLIVAVISVEQRRRVRTRWGRAMDLVELLVGDETKSGFRISCWLPPRDDL HQLQQQQQQPKQSPLGVPGGSPPM TRV_04667 MKEADLERQTLTLPKPLVEFANRPMILHQVESLAAAGVTDIVLA VNYRPDVMVSALKKVASPQFLSLLDGDAKGANMNRSTAGPLKLAEKILGKDDSPFFVL NSDVICEYPFQALADFHKAHGDEGTIVVTKVEEPSKYGVVVHKPNHPSRIDRFVEKPV EFVGNRINAGIYILNPSVLKRIELRPTSIEQETFPAICKDGQLHSFDLEGFWMDVGQP KDFLSGTCLYLTSLTKQGSKLLASPSEPYVHGGNVLVDPSAKIGKNCRIGPNVTIGPN VVIGDGVRLQRCVLLANSKVKDHAWVKSSIIGWNSSVGRWARLENVSVLGDDVTIGDE VYVNGGSILPHKSIKQNVDSLFTCLTNLSSSFNHYIGWMGAFSSSSGLLFHLLSSSSF FLLLDGSLLLLTYPSLLF TRV_04668 MKIKTTRRKPEEKKTVKGQQGRKALHLNMAEEETYILITGTNSG LGFSTCCRLMDEFLVSRPDNQSLTLIFTTRSEKKSNETLAQLKNHLRATARKLWGLEG AAKAESRITFKPEHVDLCDLLSVRAMARRVVAEIPKLDILILNAGIAGFTGINWPLAF WCVLTDTIYALTWPARYTYSNVGVMNRKQTSQPDEPPMGKIFCANVFGHYMLTHYLLS VLGKSTLKPARVIWTSSLESTRELFSVNDMQGLKVAESYQSVKYLTDLLILTEPLSSS APWADKYLTPSTCSGMDTNSATYTRPKMYLSHPGICSTSIVPLALPLVWSMMFVSWIA RLMGSPWHVIDPYSGANATVWLSLSPKSTLDGAEAEYERLGGGKPKWGSACDRLGRQC VASTEVEGWGYAGVVGPAVLEADKLRRRKRGATDLTAEEKTAFVDAGRDCWKQMETLR LRWEDLLSREEANNVKS TRV_04669 MREQRKTGGGRKKQSNKTKRLAGGYSFVWCVGGGRGEETDGGGE EEEAERQQETKKNETARRMARAFFCFFSSSVIFLLMSKKERKRRLG TRV_04670 MYSFCRKTTLTKHQSRSHQAEAVSPSAQSCESELYSQQAQHPMS MMSQSPQVPQHQLQSQQPQPQEQQLQSQPQHLQSQPRPQQHSPYQQQHSPIIAVPVAD YFHHAQAQPTTVHSIPISAEQSILPPQVHYVTTGMIPSQIPRYDIPITTSASVSTPTS MDHLAYNHPVSVSMPQQQRIQAPQPVPIPHQADGGFQVLPVVAGGAGGQYMKEFDQMK HGQQRFFGSAYPEQMNWEFLGLS TRV_04671 MQSFLKRGLSSLSLSASSSRSNLTTSTDGQINDIPAEADADNEL KAETQITFTTASEDVLFPKVRRELDGEDCDRDCASCTIRYPAKFVVDLEDKLYGNVAG WATHVLIATGKTDWVRDVADEKGSVMEAVEKSGVKPVNGTLKLSASNMPVPDEYHMYP EGEQPTTALILPAFTIVENVTPARAPDLIHHFVDKAATTTTPLNQPPLPNSAPVVDTE PIEDAERGSQLSSISGNNAEGAHDVPAASKIPTPLLSRPCPHAAVILLCSQRTRDARC GQSAPLLRREFERHLRPLGLYRDLHDERPGGVGIYFISHVGGHKYSANVMVYRRRDFE WYKKEAQKKGQGDDDDRGDGIDGGIEGAVQGIWLARIRPEDCEGIIKYTVLKGKVVKP DTQLRGGFDRERGLVSW TRV_04672 MSFYRRLFRIVSATLFSFLSIILLALTILSPADIIYQSRRSNSL GNIFAVSIVYLLTLSFSFVIYAGRLFTNRGVFAAIPKPWIPVEKADVPATVRRLVVEG FLRSSVIAQQARPRDITQDDTSHLDQSLLIPRDQDPPWGSISHAGWTAPHCQDLPNQE FEVVIKELPHLIEAKAVSLAPMDPRPASRLDHQASNNFQENERGQEEVPDKRVVEVLQ RPSNMCLRDYLNHLNRLNLIDPPHLIRDFLRLYECSRFSARPLEENEFREMIGLFAEI LRSMKGIDPSIILEIQSTDSSDRGGEQGTSTISLVVFFNLLGF TRV_04673 MDSTYRPSFARQKRKISDVDSEEEEEEAYARPSFGFQGFAPASN RSPSPPTMASKPARPAWKNATNNEKSGGGGKSTPMVANSFAARMMAKMGYVEGQGLGT SGQGILNPVETVLRPQGVGLGAVREKSQQAKDEAKREAARRGEVLETSSDEERKLRKK LKEKRLREGTSGGSGASTPRGPAKPKYRTAREIEADAAGLAVPNVLKSLVDATGKEQK LLTSTAGLMTPMEFVNANEGEAWKIARRARHDLEAFADEWKGLSERKKFVELEEAQIV DEMDAQQSKLENITALTNAIEGLEITSSDKDLDKRWEEVTTKLEEIETNYQDLIDECQ LPEVAVAAVHPLLKESLEDWVPLSNPKYLVSNFRRLHRFMSRTKKANIETQKYRQSTT PYESMMYTLWLPRVRSALMNEWEVHDPGPATSLMEEWKDLLPGFVYSNILNQVIVPKL TSALKSWRPKSSKNNGSSQHFPWWLFDWLRYLDEHHTDPKAPTGLMSDAKRKFRVLLD TWDLGRGLVHKIEVWKDVLDSEFDKALQNHLLPRLGRHLREEFDVNPQDQDLTAFEDV MKWKPFFKPNVLGLLLSAEFFPKWHAILHLWLTSEPNYDEVGQWFSWWKSQIPDEINA IPEVAAEWNRGLEMMNLALDLGDKAKTDLPPPASSSSSHQKPSHHKHRDKHASGKPAK PQKVHVEEPSFKDIVEEWCGDEGLLMVPLREAHLQSGLPLFRITASANGKDGVLVYLK GDVVWAQNKKIKDTWEPTGLDAGLVARAEGN TRV_04674 MATSTLFRPAARAGAAAFRSAGPRGLAGVASARFITKVTLPDLP YDYGALEPAISGKIMELHHSKHHQTYVNSYNDAVEKLASAQGKADIQTQVSLQALTNF HGGGHINHSLFWENLAPKNSGGGEPPSGALAKAIDESFGGLEPFQKTFNTALAGIQGS GWGWLVKDKQTGKIQIKTYAVCRGLSLFLVLDADIPLPNRTKTLSPVNSSLFSALMPG STHTSQFPSICLQRIHLLTNICINSLQYENRKAEYFGAIWDVINWKTVEKRYA TRV_04675 MRETDGTKGKQMAAEQSQSVRPSARLHTLNDGVHIPAGSSSAAG SPFVNPTSTLLQNLINEQRASRGPRASSACEQHHVENSPQAPNTAATTDQQEDSSSEK QRKINNALSAGLKQPREMGFREMDQYVSKLNKLNFDLKLEVFHRTQQVSALEKKLEKM DELEEQVQHMELMDQELQELRATEEDNQRLRESNEELRSELDKRDQAVNEAVELICQL EAKLEAFEAQREEERPVTARPCSSDSTAAVFQSSSPQALTPKNKIYLDVPERTSSRRD KRPSMSRSYSNQMEVHAQTPTPPPGAVRRPQRQPSFLQGEDNSTSALRNVYMTDSDKS RTTFNAFSTRGTDDGTHEMESPRLSALSECSYLDPPQSPSDAYGPGRSKLKVNTDLAT AKIPIEDSRSPRDRSHSVSKKPTSLSRIEHWMQPDGMETTRISKPKEARQQDAILRTP TNNQFALPGAKQPSKGSNFEPPSLVIPQYHAARLPPTPDTMSTTYADMRNKSNTSIIA ERSRYDRSSTIGRTLSIDRTLGRRRSANDVATTRPSTAETILSDGLETWSDSTQPAFI TDEEYQMASMFPSFAYTHRPATRNFDPALHAGAVNELGVVIPKNRSRNGREKSSQEQI RKVSNAPSLTPEDWLEAALPASSSNEDKDIKPAQHLSSEPMEQPAGNEQQTTPVSNGF RNRGARVLSELQPRRRINLRPPFFNKTPTLSPAVRSNSIAGPSSSAGNSPATGPKTPQ NDHHETPSPTTHAGGTTRRPKTSETNDHKRRSSHGFFGWMKGSGTVKDADPSLTPPAT ATSVLSAYAHPQHDQQKVPSHAQTQRTPVRGSTRPLSALAFTNDLSSSPTEMNPLSAS MHAEEPSDRRSRFSVRRPRR TRV_04676 MTEVSLLTGGIFRYASGSVARDRVGKKRRREVEYQEEEKKKKKK KTAILKTERREERKGRYRDRERSETERRDSMSYYDVDAILTDSQRETAGSGAGYQEEE IDET TRV_04677 MYNALAQTDRLDAASSASDDASDRSPALGSPVFAPLALDFDNFG GSEHRDAASTAPDSLLQTATTAIMRQKAASYELVEADEYAVPEKTPERMPETENKPEQ PVMQTPRSRRNSTPLRHPTPDLQSIQGAYIGNVERLEKSAERMSMEVEAERVLETLNR MSMPRSRATSGASIANSRASPGSPGASAAAATSISASGYGSLSRQSSLNRPRLRSGSS ASRLAHIIEPENEGAAEMAPPTIPPPPEHRLEQQPLQYGQQQEQQYGQQNEQQYGQYE QQNEQGERPESAGSGDTYQQSTNLFKDFDGVHFTPHIREVPRSRGVSLSKPPLAAEAE HYNNPPPGQDVVYYPAPVPMMLNLPQRLSRKPPMSEQEKRRTQLINSISPEARKSAAW ITDAEQGSSVGDRMSKRLSNLPPQLRASAFFDAPKTQIDVRIQGESAVDTLESILDAA AHAPVSAFTDHPIVGHLGADVYKQKRPKNKINKKRRSVKPDGTMTTDRSVSSTTKPGS HIRTPSDGQMLDNVDESTSLRSNFDEHATHGYSRTPSPLREAGAHEEDDEEEEEEEDE EEEEDDDEGKKDEPIFGPPTTLLAELQMRKHQQKMRTRTAATAFPNGMHSTLLELDSV AQRQREKRDKGRVTLAWEGHQGTGQDELDDDDVPLGVLFPEQSRLQDENRPLGLMEKL VLEESEPLSRRRARIRGEPLNTARAATPRNLAPRDPSPNKRASTAYTLDIPGLTDNNV NGNEESGDEEETLAQRKNRLKARDSRSDFDDLISKFGDLDGAADKDKTKDAPATATSA SAAAAAQAAAEPEEETLGQRRKRLQAEAKLQSASASATSSPFQARRTMANVLQAHPAR PGLVTAHSELDMRYRTPAAMASTHSLLNLPPTRASMLTSTTTPFSMAGHRLSGAPMLP YSHGPPPGTALAMLNGSGMLGSSTGAGGFGYPTNGFVYNPASMHPVGGQQEMIDPRQR DMIDRWRLSVRQ TRV_04678 MFTYVRSDDQRPSSVACAPPPASSQPPPRPSSDSFTASNSTLTD GIFESGIWTAEPDLNSAFNSGKWFSDEKICCCPGKTVPPSPEEKIVVTEYELRDDNWL ANDRYHSNVSSMYGSTQNSPSVGVGVGAGFQHPPHPQNQHQGQVAAPENTARSSSAWR WTLGKGSSSSPSDSLKGSMGDGGHSPGAVASRSSSKPSPDTSSSAYAGESRGFLRESG NAPSKKHQQHHQQHQHQQQQQQQQQHHGQEVVEVPSSKGTKTRLNLLNPMALLARRRS AHPASARPEDISISKLIVPALPDDYDPRIRGSLVHDFSVPRARSNVVDGSNARSRQLR ELPDNPPRPQKPLHQAQQMQITAPTPYRQINRASVELPPKPQAETQQLPIQTTPKADV DMQQQQPTSPPPPPQQQQQQQQQQQNAQDTSSTYTRSPPSTLRYKPGSVRDPSFVPLG LPRHLTSSASRFSFDLAGAASSSQEKLMEERHKEKEAAKRAMGINLRSEFDDDSDEFD YDAMMDDDGLEEKIPGINADADSIDESHTAANPALQNYMKSYAPTLSTVMSSPTSPPG NGSFVPMANNGVSMPPGFIMSSNQPGLFMYTGFQQPVPPAMVSPELPTTNQEASRGNN GEDDLYYDDGLFGELPPEMQGSTIDESIFDDETSYLYDNKRRAAQPPQALSEESTAAS HDNPMPMPQNSVVEARPAHNMDKSPSQFQGLTEGNLEAYHSALAQAANEAARKGRFER NASLSETSLPEGESQTDPCLTTDESRMSQTVDTDALGMDDPLDDFDYYDGDGLDDDPI IAEANADVLEHDDEGFYGREFGFYAHAHGSNDKERVYGGYFGAPGSEGIIRNHSGRKN FREPSLTPITERSEWSTRNSIVSLAAHAGHSSHQQQPPLAQLVDMEVPDDEISLSALM KLRRGAWGGSNGSLRSNTGGSQGAASPSAAQPHRGSLTHYYDTGSPVQSSGLINGGGN SEVNSPTHTDREFTPDPRAIRRHSDTVRPPSSWDPASRHSLRMEDEKSGPMSPTTGER ISYVRSKDESGSEYWVLERRRTGDGGESEVLERETMKLRI TRV_04679 MLKETRSLQSGIRLLGCVALSCIVMLAGRSDHDDALSSDMFFAP PCQSININININQHPRKKYRSTEGQKIYLRKMASPTRFKLIFHVPTTALEACKTAIFA AGAGKYPGPGNYTECCFTTVGTGQFRPGNSANPHIGSVGTLEQVEEAKVETLCVGEEV VKKAVAALKQ TRV_04680 MAQNELKRPDQQFSISKSISALIQVSQHLNLYHIYLFEQILLCC KDIYPAKQKSKLMGKEKIIPNPKVKPKLQLKGRIYMTNVTEILSLSKPGSYKIQIFWK GDPGVVDNFGIRYRDEDTMNKWYKDIDKQRALQTHERPSSKSHGTSETEFTYLRNTPK PPNPYQEEYSTEEELSREPTNTSLSEFSTSRNASNTSLRGRSATNGSGGSGHHSGGRP QFPTPNAPLTVHTQFTPGAISPGERNGASYFSPTGEAPPSTRSSSQSTAFSYSRGGTP SNAWTEAEQNRYTAPAMPRSGSRDGSGNNPYYNNGSRNAQRPSLPPFSSNQNGQSGSQ SQAQARMRSASSPDIHNGAAPDSRRYMNGGHTMQTVDNVPVPPIPPHMANMRAPVNRS QNSSPTGNTIPIRSGTQSPNLQPPPSRHGHAHPPPVGYNYEPHAPNGRPLQPPTSMPH TSPFDDPDSQMPSQLKAKINFDDNYVTLVIASNILFRSLTDRVDAKLARFTDRSIGGN SARLRYRDEDGDFVTIDSDEAVQLAFMEWREQHQHSLASGQVGEIQLFCQPVEN TRV_04681 MEGMNGNHIMAEDNIINRRGGESLFQNCSNLKKRLAEVPGFEPH LAEMQELDKASDENDPVASVWKCLRQGYPLMTIYNATHPSEPLSIDENKLQERNRPKA ATFKFLQACLQVLKFPQQECFLITDLYGDSTTGFVKVHLPPLFLTSRTVVIDILELQG QLYNKVSPSTSLPSEGGKTKLTRREHILKEMLETERDYVHHLQNLQALKKELEETGAL TGDVSHQIFLNLNNLLDFTQRFLIRMEQHNALPEETQNWGELYLSHHNGFLQYEPFIA NQPRCDQICQREWDKIHSAPRSPDLQQMVAQLSTLNGFFVKPFQRLTKYPLMLMELRK QTENPGLVSDIGEAINMIQIILDNANRAIDKEHLVSAVEDLSQRVEDWKALKLDQFGD LLRYGTFTILKGDSGKDAEREVRKILSNTTAQSYLLGSRRSSFTGSVIHLLSANSHRG WSWKQSKDPKYISVFPTPTQVAHPLELLTPTHSASTKTLEFNTPPPRPRQDSFDTSPS KSRRSISSIFSFPKSKHRSSPITPSIPQQRLNKAHVRKNSGVGSEDGR TRV_04682 MGREAGASFGLQPRDYTVLPAKSSFKIDEGYSDETKSVQENESV QDSLELPAWILARSEADRAELAYTLLRTLRTSSITGVIERLTPLLHMDPVRKLPPEIT AEIFSYLDPTTLLTASLASKPWRSRILDSRLWRGLYIKEGWRLNMSSIREFHRQSSEI AKQQQGRKSRNRHSESESGQPQLKRRALACDRAGAGPPEVVVGKMPTDTEGDHEMHDA TTVEPNSPMEISSPTRLAARLAEKCNVPHSLDPSMHSPGFDSLFTDDRSPLPYSSAAV WSRNGPTLNWAYLYKQRQKLEENWRHGRFTNFQLPHPDAPWESHRERVYVIQFAGKWL VTGSRDKTVRVWDLESLRLRGQPLVGHTKSVLCLQFDASPEEDVIMSGSGDRSVIIWR FSTGEKIHGLPNAHLDSVLNLRFDKRYLVTCSKDRTIKIFNRNALLPTDADYPRVAKG TGPRYPDYIIDTAATPPSTLEAQMANQQIKPLAPYSLLITLDGHRAAVNAIQIDKDEI VSGSGDRLIKVWSIHSGTCLKTILGHNKGIACIQFDNQRIVSGSNDDTVRIYDHTSGA EVACLKGHSGLVRTVQAGFGDPPGSEETMRLEALAVDQEYFEARRRGDIDENPVTTRR SHRPPNTGSRLPQDVMALGAKIPPGGGGSNWARIVSGSYDESIIIWMRDKEGKWIIGQ RLNQAEAIRAARAAAAAAAARTQANDLDSTNEILQAGPASTEAMQGPHHANNLETGAA ASAAHNPLPPQQPTDQAADTNLPPAPALQHQAQGPHVPPNPVPANIDVAGHQALIPPT AGILKIQFDARKLICASQDTRIIGWDFAAGEKKLEEACQFFEGL TRV_04683 MSLHKGRQEPFSVVNPDFDRLPVRRTAYAVDRPSGLRRVVSARA LSPHLDSSESLPSEHSSLYDAMLLSYESDHNNGPPSKGKKDNEDGSKAAGASHLQELR SWDSERARRREKLAVPSLDTIFENRSVSTHRAASFRSGLRHCASVGVSLNTISSYSGV SSRTPPVRRNQYGSKIYSFDDNDIPSLRPRFSFTPLAPRERRHSCSTLSSEGSFDTFR WRNYIVSPAEPTQPIHPPPQRPNTPPGVPSFGSPEALNYDISSLPRSTPRTGQDSSRS SPPANGRPEGGERESGSDDECGCCGIGFRRAIRETTSYITYQPPERLPPGVLARADDG TLIRGRFGARASGHGIGATANLANHPFHQNHLPIARAKDTDIRPPQPARSRSSHTNSR NEFGLRVSPMGNPSPPSRYRSLLDDHRDLPALSGPVPAPSLTRIRNRTTPPRLREQMQ YSRPAPTLAPAGNDTSSSSTENPSVPSSTKSGLQRLWNLVSLNVTKCCLLGADDEHEG QRGTELQHIAESSHQATASVAMDRHAT TRV_04684 MNTTSIRSSEYSYRDPRYYSSSSLGNAPLPKTLDGYGGMMHPDD GEGSRYNPQLSRGSVLLNPNDPVSMHLLAETAIGDSLHFEVLSFEEVEELKRELALVQ SRIDGGKRKLALELKLQEAAQSLNRLYDDGSDRVNGHLGQDAHRHGHGHGDLDTSDEG LAITRRKCEEQAQEIAKLERRASDIRTRILEHTAGVLQMTHKGLKKKKGSKTDDLSST SDDLNGLDPYGCGHEFDHRSLYRTADYLDHHGGAPPRDVPSLEPDVESLSGLQDTQSK LEEMTYRLREMLTQMNTDQEIDPIPQIITNEGSSDPLATVNIQIDYLEKGLETIALHQ SHSSRGQDSHTSEDSPSNHDTIDRLDAFSRRLDEALAAAGSSRQPTSPHMSTIRKSMA EQLDYLDSAVTDVEKRIDSLVEQKTILGIQIQQQRDLNSKSDAERDAYIADLTEDLTK LRKELDKSQAEEESSKAEIAMLIDQLDAARQDSMLREQKRSIGEAPEATPEKEALMKA GQELAEKETQISNLESTIQELRSQTDAHLHHTREQVQQDLAEKDSLISNLESTLQELR SQADSQFSQIKEAHQSREEAEQAHAKLQTEFSELESDMVRIQTELTFAKAELDSAYGS RSERAAEAAANPAVQREIDSLRERNMDLTSQIATLKSVQMNNANSASMGAHERIQVLE RELRETIEDYEELTKQSIEFEKERDKLENMIDTYRDRCDTLETQLGDERIQNLGIRDG MTVENTSITVLKSEFKKMMRETRADSIKALRAEQEERRRLESMLRAMKREQSLKKSNL SQSTLAS TRV_04685 MDSTDYIQGGSPPSTAKDDIIEVETLDTRTTARPEPAAFKVYKR RFFGLGQLVLLNIIVSWDWLTFSAVSKTASEYFRVSEGAINWMSTAFLFAFCVASPGG PRSSIITASALLLAGNWIRYAGTRAGSGNFGVAMLGQILIGFSQPFVLTAPTRYSDIW FTGQGRTSATAVASLANPLGGALGQLIGPLLATDPEQIPNLVLYVSIISSVACIPSFF VPSRPPTPPSAASETVKPPLLSSLRQLATRIEFWLIFFPFGIYVGLFNSISSLLNQIL YPHGYSETVAGITGALLIVVGLVSAAIMSPIIDRLKHYLGTMKILVPILAATYIAFIF APSSGNVAGPYVLASVMGAASFCILPVALEYMVEITYPMSPEIPSTLCWTGGQVFGAT FILIENALKAGPEEDPPLHMMRALIFQAVIASAVVPLPLCVGLFGTDVRKRRLEAECQ RVGSLEEAIARRV TRV_04686 MGIADMFVEFVSSLSFGEVVEAEAPPAEEVEDSSSAGEDTPDED KSEDAEEEAEEEEEEEEEPEDIMPKLVEVDIDCVQQQVTNQIALIECSNSKACAPAKH HFDDCVERVTRNSEDPEFKGPHEDCVEEFFHLQHCATQCAAPKLWRELK TRV_04687 MGKSVLKAWMLSWAMVHETKGLVLLPNERIIYTSPLRTSIALKS IHPGPDKQSFARQASTGHVHLTNQRIVYLPSQPTQEFQSFSAPLLNLIDTHVAAPFFG PNVWNAVVQPVSGGGIPASFAAIQLKLTFKDGGAFDFHSNYEQIKERLQQAVERARES GLMSGDGAQVGGSGRDGGAFGVVDFANVHLEDLPAYESNSTTGNNHDISGTLPPQTTT TTTTTTTTATATSAANEDSRSGRQSFETPTDPPPGYEEVQQQSVANELENQLRRRRQ TRV_04688 MANTSLPPAYTAVENTGELERPEGAGITRTREEEAAKSKRQKAK IDQLCAETGEWGRAKRTVCKREKERRKERKLEVEREKDGERARRREWKSRRDMEIERE RRKAKERELEMEREREREREREQEEEREQEEEREQEEEREQEEREQEEKRESEGCNER ARETESWRTGDSEKDAIVAAATVVRLLLVQIYLQSSLPSSP TRV_04689 MEPQDQPYHQSLAQSQAGGGGVSVRSRSSSVVSTRTGVTITTLQ EDTRSIRSMELVVGGRIFRINRDGSRITEHSGLPPYTAAPPEYSSLDTNLATGSNNEL PAPNIPDYQTIAWPLNERDYHPLMRQRVPSVSLTQDRSEEHAGAGTDAPGPQDNDLLT AELPETPVERERRGSASGSSSGLVSRAHSFVPGPEKITVVAKRRSVSESNIQANLRPN AHHTNANNTNHNIHRHNHNFLRRRNGIRLPQLDTGMSFESLRNAFSINPPPASSPRMT HSAGPSIGRSSDIFHPSPTYNNNSNNNTTSTADHWQSHSTVSRGRSPPTTPRATRSPM LAVPQGPPTVEEGYADTDEPPAMDTENEISIHFSRMIRSIDREHRRVLHQKCRELAEL RERLNEVDQVYRKELRSRDFIIDELRHTINLLEFQTEAKIERARNEVEDIWEQRWKDQ EKLLLDMQRRLGPAMAFYIQHLHHLLASVFILLTHPATELLGQEQISTSWSMYLGFNV FFSFFSSLLLLLLHPVCPSRKVVEVASLCIPILDLCSISISPCSDMNISMRHARNPSL EPDPCMHGGSCWPLFSLPSLLLIPGFTQLLALLRVSGQRTEKKEKEHPGGTVFIFNNL LKSPADKHPGKICRFPPAASTARYHRLPPTSSDNKKKKKKKKKKRRTKRRDGVALCVD TATCRLLMVAEPSPQGTVAVCSNNS TRV_04690 MYDLILWFLSLLVDLFFREVHPRGSWKVPKRGPVILVAAPHANQ FVDSLILMRVMRMELHRRIAFLIAQKSFKRRFVGLLARFTGSVPVTRAMDNLKPGKGT IYLPDPINNPTLLRGHGTDFTSSAFEVGGTISLPSVGGRSSSTDIGEIKGPEEIILKK PFKTQQAMQQLTGRDDVDEDGNFTGGADVKSPAEFQGSKFKVAPHVDQTQVYNAVFDT LNQGGCIGIFPEGGSHDRPDLLPLKAGVALMALGAVAANPDCDVTIIPCGMNYFHAHK FRSRAVIEFGNPVKVPPELVKMYKEGQKRESVGTLLDTIYQALVTVTVTSPDYESLMV IQAARRLYNPTGKKLPLPMVVELNRRLAKGYAHYKDDPRIISLKKSVLDYNKQLRILG IRDHQVQYAKFSFFKVVATLIYRLGKLAVMAIGTLPGFVLFLPVFVATKIISIRKSRE ALAASSVKIQGRDVMATWKLLVALAFAPLLYTFYTVLLTYWTYSHRVWGYVPDWVPLW TIIPFGFWIFPSITFAALRIGEIGMDILKSLRPLVLSLNPSSANTLVRLRERRAELSI QVTDLINTLGPEMFPDFDSSRVVSNPFKDTIFRESLPSSSLESLEENETDEPLDDYGR PRIIHRSHTEFSMQDQLPRNESFHDLASFGFFSTRPSSRSRSRSSSNGGLIGSSGFPL KEFSTLDSKESFDEVSKRIRGAMRERGRQRRRKSEDSNMWEASDSESQTPENRKDV TRV_04691 MASSMHLSRIRKWLASSPPVEGAIYYLRELLIGALRQGPIPQHV AFVMDGNRRFARNQGIERVEGHNLGFEALAKILEVCYSSGIKVVTIYAFSIENFKRSK YEVDALMEMAKLKLLQLSEHGELLERYGASVRVLGRRDQIRPDVLEAVDRTVELTSGN GDAILNICFPYTSRDEITSAVRNTVMEYSTPLDRTQLPAVNSPRRPFSEKRIVENIRE HTPSADPRESLSNGSNSPVTTSSANETASDSNSSLSSATTLHHVASGETNGSSGTGKQ QQQQHHNHERQHRHHHHHHHQQHATASPELYTSYPPNSDQLLFHSPETITTQTLSDHM LTAGCPPLDILIRTSGVERLSDFMLWQCHQDTQIVFLDTLWPAFSLWEFLPVIWDWQR RIRKTGSRRELEFDFPSAYNSDSERMNADNSSTLHIPLCLPSTFYLLTSAYTYTYTYI YAFFYLYLCFFFFSTYCLLLYNSNEIRIDEPEPVGQQDLHPPRVIGHIKQQAAASAAS AASAEEEEAKMKPAFDRLNDLLAD TRV_04692 MDVWDEGDDQLDLGQLGVQGSRVIDVQGDGVGVLDALAELLGAL EGTAGCFIPSLAGGLSVKYTAVFYIEAPRGKISPTNSQEDTGLAQLVDGRLSDCQTEV RISANSSVQTLSLVVVSEAARLTEAASKPVIVSQSS TRV_04693 MELQEDAKKAGITVMNEIGLDPILILTYVQGIDHLYAVKTISEV HEAGGKVTSFLSYCGGLPAPECSDNPLGYKFSWSSRGMLLALRNDAKYYEDGKIVSIP GPELMGTAKPYFIYPGFAFVAYANRDSTPYKERYQMPEAQTIVRGTLRFQGFPQMIRT LVDLGFLKEDEKEFMKTPIPWKEAMKQLLGATSSDEKDLQWAISSKTKFADNEEKDRI MAALRWIGVFSDEKITPRNNPLDTLCATLEQKMQYGPGERDMVMLQHRFEIENKDGSK ETRTSTLCDYGDPNGYSAMAKLVGIPCAVAVRQVLDGTLSEKGILAPMNMKICGPLIK ALKEEYGIEMIEKTL TRV_04694 GKKRKERKEKKRKHQIQNPNTKSQSKRQGTNYKIIIQNEDVKKK RKRKHIEKKKEEEEEEEKKRKEKKKEKN TRV_04626 MAVAAVVVAVVAIVDAGRGCDIPLDAGRGVTAGRRWSWIGALSA GGLASSAGGVYASINTLDLAPAAAGTGDAGAHLGRQLFAGGPDPEEVDI TRV_04627 MAGDDRESAGPEEERTPSWTLELQTERASRLLRTKGLREKAKKQ QQRKAKKTIPDRLAHTYLQKKKEKTKKQKAKEEEEADWPLFQALGVNRT TRV_04628 MEKKRRRRTTTTMRTKMKMKTRIQAAKKKAGDAEQASDAATTAT TTTTDTADSRRHGEEEPEEEEEEDESMMLLVVMPDR TRV_04617 MTSIISNMLQILYPYCCSLSGLISNMDWEKIVLVLATSWVTLRL LGIGLNFEQLFDLLGMDCISDRIRAVKGWFLLPESREQLKWVEEMNKERAYYILRTQK FLAIKDLTIEHAIQRKLDVLSNLWNTGPKDWKHKLLEIRYYEWIDRNQEFALPIQLNT SRSPIWLRNARQEAGAVREHANAVCGLEACIPTRRFYTPTVRLDVGVVFGIGDSSISF NQMPGRFLTLWTLEIGNTLSKKSRGKLQCSEALSSSFLRS TRV_04618 MQLSPFFSFFSFFCFSVTFLFLEKGLKLLQSRIALASWCSERGR TRSPKKEIPRGEKSSSASSSSSLLLFSSSSLLLSPDQDEDDEDDYDHDHDNEDEDEKE EVEEEEEEEEEEEEEMALTTDAAAANPTISLAEAIVAVSSQFNIWSFSLLLVVISLCF GRLAEIPVR TRV_04619 MPTPRTPRRTPNRGSARPAQFKEIKPLDLGEALGPHDSATVREK VRKWQQLGGGAVIAPDVGAGSDEDESSSGAAKVKEPKQTPNKSPAASKRPTTPKDKTT PEPERKTKSPTKTKVTPRTTPRSTPRTTPKKRVVSDAHWRRNRSPPPSSSAPSSAHAT PTRRKDVLQRYEEAKEKAARSSGIKDNDGIAVYPGPLRVPETLKSTSSAWSSDVGSSA GEGTPDYSGHEKTSPQKRTPKRTLRPNYCVNDDRPRLGSPRRKIQSPDIPRASSPRKK SLSPNQAESPPEPAPAKPERAEPVRRSEIRPHNLLSGNIISQVIDESKKMFASIKPPE PSPPPQQHQSPPGPSVPHGSKVEAWLSSTANADPFVDDNTSTISAPEPLRSSTTRSSI PHKEHSDSECEHESTKKRPSPSSDSKIRPAKPVRGKYDYSDGSDSDETHRAKSTPRRR ESKSDMSVDSVTDSQPSTLNRGKASSKSRRHLSASTEAERMSKLRESVEEALQGSSLD RPISSDGSEVSAVDRPPPLMLKRPFPSTGGHRLSTIASVDTLTSSTNTSTTARETSSH PLTQSELTSQLDDGNDDAVSDSEKRDKFDPSSLPGPTQPLKRRLTKHSDLMSVLSIPG GRSRSIRSARSIRTNRSRLANATVSDIMRELASDEVKYMRELRTLVGGVIPVLLTSIL SRADSAIAAGLFRRTADPADQENFTRPIINMGVSLEKLKALHKRLPLNNTDALLSWAV SAQKVYADYLSAWRLGFQDVIVNLAPPDPEDPLKAETQSLCAGMAQDENGDVIDGDGE RVDVAFLLKRPLVRLKYLSKTFKGLNYVQPSPKAGEVAETYQKLVTDARRRANEERSR LEDDAAASIDASRARDIHTLAILKDVEVNQTRRVRARDFFDLSLLHSTGQQIDCRAEL LLRDNAPGEAPGGDLFICEVDETDRWLLFPPIDCTCISARNGDEQGEIVLMVRASAGN STEWYELLSFITDDEQIGFEWVQMIGLNPVPPKINRSLSFVNRAKERKEKLAQARQAS HNGTIPIRDYDPSEVDVPIGEKATVVGEDIGHKKESTSNKHDTAPPTTPSRGPGETVE LTSSVSPLTLKRSKANRRSKYDFASPTSPASSDIQSPNRESSNRPSSPLLSKEDRRGR DRTPSPTYSSGSKQAKRPIASLVQPHGRRSLSPVPSLEFPTIPKLRKSSKDSPPTSPK NVPLPASPVQKPEDKVEQSTPSKGRKSLANGEKPARASSDDQVSSEDAPIPPPHSTPS PVSSKQLKSPVISPPAPPYARHRRTSSPLKHEYAPSTASESSGSDTSSIHRYDVDSSS ETSDEELEDDDIPTPLPPITRRLSKRAPPLESVDVTPTNSRPTSAHRSSPKSIHSSSD RASKTIASVFYWHDKGSWEPLHLNECHIIVSPGLIEAFELGSSHSRPSSQGNGSAPES TRPSGRPLVALELTPLVPIRRGTAIDISIRSPPTARSKVSSGSNVMFRSRNPDDCDKL YGLINHSRINNPTYIALQNAVPYPSQPTSISRQNSTRSTKGGWFSWHGGFSKSSYRAS TAPPASLAAGTESSVGTMSSAFSALKRFGAGSKMFSIARSTLTSRNGRDDTSLYTSTS TGSGSGRRISSTNPETAKMAAEGGIGLTNAKIRLYIRESAAKWRDLGAARLTILPADP TPSNTPPNHPDDQEPSGTSSPPSGSAGDPAALLAGRTGAPVKDEKRILIKSKISGETL VDACLGESAFERVARTGIAVSIWEEYDGIASEGGVVGGCFKVYMIQMKGEAEAAYTFG LVGKLRY TRV_04620 MAPSRESFTDRSYNAIEKAADEAEEHGHPQVVPAHLGYVLMNGD DEEGRDDVSMFKQVIEKAGGDPEAMTRYLKKVIVRLPAQFPAVSQVSVSSALSEVMAA AYKLSKKQKDSYVAIYHLISALAQERSIEEGLKEAGVPSASKIEEALTEIRGSKKIDS KTADSTDGGNEWLKKFTVDMTALAREGKIDPVIGREEEMRRVIRILSRRTKNNPVLVG EPGVGKTTVVEGLARRIVNSDVPASLAKCKLLSLDIGGLIAGASYQGQFEERIKNVLK EIESSDVTIILFIDEIHMLMGAGASSSGGMDAANLLKPMLARGQLHCIGATTLNEYQK YIEKDSAFERRFQQVLVAEPTVPETISILRGLKERYEVHHGVNILDSAIVSAATLAAR YLTTRRLPDSAVDLIDEAAASVRVTRESQPEALDLLERRHRQLQIEIHALEREQDKDS KVRLENAKKEAEAVNAELIPLRDKYMKEKELSQTIQEAKIKLESLKVRLDSATRSGDT QRASDLAYYAIPDVEKRISALESAKAKADAARVNAGGDDNSLVVDAVGPDQINEIVGR WTGIPVTRLKTTEKDKLLNMEQQLSKTVIGQKEAVKSVSNAIRLKRSGLSNPNSPPSF LFCGPSGTGKTLLTKALAEFLFDDPNAMIRFDMSEYQERHSLSRMIGAPPGYIGHDAG GQLTDGLRRRPFSILLFDEVEKAAKEILTVLLQLMDDGRITDGQGRIIDAKNCIVVMT SNLGAEYLNRPTLPDGSIDPTTRQLVMGALSDYFLPEFLNRISSTVIFNRLPKREIRK IVDVRLAEIQKRLDSNGRRVKIMCSPEVKDYLGKAGYSPAYGARPLARIIEKELLNNL AVFILRGSIKEGEVARVVMHNGKVTIVPNHKDDYPDKMDTSSDDEDYDTEDDDEDEEG DAEGLYK TRV_04621 MSFKPFRPPLPRKPQPSTTTATARVPSIPEEPLRKRRRVNTCGD GDKEVVETCDNETYYSVLWRKPTAKKNKTWDGDGIIIIRGDYGYLQDISGREMGKIML RSSLEPGTSLSISGKDVEVESVLSQKEFLSTSNGTQKDSIEKRNNIANTPSHHSRLPS NTVSKPGMKISLNDLKTQKPLNVSAPRSYATNSSFKNPLKQSTVLPPGSSGQITPRHD PTLPGAVVMKRPSSVPAGKQIVDVVVDPILGKHLREHQREGVKFLYECVMGLRHFNGE GAILADEMGLGKTLQTIALIWTLLKQNPIYGSQPVIKKALIACPVTLINNWKKEFKKW LGSDRIGVFVADGKGNLLSDFTMGRSYSVMIIGYERLRSVQDQLTKGPGIDLVVADEG HRLKTVQNKSAQAIQSLNTTRRIILSGTPIQNELSEFFAMVDFVNPALLGTFKSFMRD FEGPIVGARQPNAPKKVIEKGKARSEELAELTSPFILRRTADILSKHLPPKTEYILFC NPTSAQRSVYHHVLASPMFQSVLRNSESALQLITILKKVCNSPSLLKPKVEDNGKGED TSMSALLSSLPPNIHRSLAAGSSGKIRVLDQLLYNLQSKTKEKVVLVSNYTSTLNLLA NLLTSLDLPFLRLDGSTPATKRQALVEDFNRSPSSSCFAFLLSAKAGGTGLNLIGASR LVLFDVDWNPATDIQAMARIHRDGQKRHCHIYRLLLKGGIEEKIWQRQVTKLGLADSV MGQKGGIAHFSQEELKDLFRLDEISKCQTHELLGCKCGGLGEISKEIKEEDNLSAEST SVSSSEDEDHELEDSDDEFPDLPKLMKASELKISEAPQPLSKRQKAYERAKKRFLMQY SHIDTTLLSTGGEQDVDGDEAVCDTNTSIEASIGDEVLLSLLKEEKSGVGYLFKKNGF ANAPLLVD TRV_04622 MQLAREAEEAAKNSWGLYPALMSKRIRNKAAMPGGAPGESKAKS IPAVALFRFSPFFCFFAASTSQPRHQFTFTGQRLTEKSERRETRDERAAMEPAHPYYP LDAKVVGYLANDWDVPTLVCAFLGGWGALLLLTLAVVSVARPSLKMGDKVAILWFVLK MRDLAANGRPGGSIHFFFEGYFVYNHTRMAPAQDFFGQLWKEYSLSDSRYLTSDPFVL CMETITAVCWGPLCFLLVYLITVDHPLRHSFQVIVSLGQIYGDILYYATSMFDHYFHQ VSYCRPEGYYFWFYYFTMNAIWIVVPACKSTPTGLSSIHTDCDRLHVPEHRHHYQIDP VHEGRYHQPQNPVISPSSTQSYLMDSTTVLRAPCSTDIFSLLRE TRV_04623 MYAFSLLFRTIIRWRLLADLRDRQLATDPDDTQPLASYWDKHQL RDSEGSSPPESPLESMSHPKPTSVPPAKRRQRALSDATALISSRTLPSYHPALTLPDF LETFGPLIFPLYRAALLRKRIVFLGDAPVESSLYNISLLSSLPQNLLSLLPSTKDIPS LRPRPLFNIGIHDMAQLSALSSTDPCWLACSSDRVLGLRPELYDVLVTLPPDYSRQAP ERIYPKMSLSPPAAAGQQKDPKAKPTPIKATQRDSRRFITLRDGLREFSRLGEISGPD REDSDNASTFSSSSLVEPISWPLLAYTSFIWWASAGEKGAGPSDEEAEQDAELLQISS DDTEAVDDHSNPNSLRRRESMVIPDANNTSQEIAIITYFRRLTTQIFTILFDIITRQT EEDLDESPDLSNQSETSSLRRYRDNEGGEQEDDEQNSSTLVGEHDDQPLLRTPDEEEG VITITSSDITNMGLDAWSHADKVFVVELVNVWWGRKAQVEGSHIQCCGVRII TRV_04624 MESSSLKYTRDTGSPLHPLSPRRINQQTMNRASPVPSDLAYLQS KSDRHSVDVQSKVAFLNRLASPSSPAPLPSSSTTHAALQRAILGREEAEEALKATTEE LNEARQRERRVSERLESLLEELHTFKERQSQERTLFEKEIRRARKEAFRAGSNLVKAQ EELKSSRGEIKNLKDEVKAEREAKDKARQEAFERAYALAGLTEKNEVLKEQIRAFETD NQSDILEAQAEMMRGETPRSRVQRSSINLMSGSPALRGKKRGQPDSRDQMSPVRKKSA QHQPDFRSSLKSPTKLTPGRDMVPFEQEDEPLKLMDNEREITLELEEDLRWEKLMRRR AEDMIDFLKLECQFKRCSCRIAERQGVKYVHDLGWEKISPAENQEKKAVANIPSPPPA RKPSPQLQIAQRSPTPEGDNVDCPEPDPASDEAPVEPDVVFCPDTGTFKTVPSPVRKT ETMRREQPNIRPPVNNADPPPKLRRSLHDDPIPTPSLGEPKKRTQEPLPVAALEASHH QPPSSRSHIQEQPADTNSRKRYVTPLPLEQRQRQNNTTVEVETITTTKTVPLNSEKPS ADLSAKLPGTPISREEALAQIRARRGRTQSALKRSASANDASHRPRVVSGASTPRNGV RSLSRAEGTINRAKPGRRGLSAAGHGY TRV_04625 MHEIITLQLGQRSNYVATHFWNAQESYFTYSENEQSPVNHDIHF RGGIGADGTETFTPRALIYDLKGGFGTLRKYNALYQLEEDQPGLDGGLWDTNGILQQQ PKIPQIEYQRCLDMGLPVPELTAETVRYWSDFNRVFYSPRSIQQINEYDLGSQLMPFE DWSTGQTLFSDLDREHDLLDRDLRPFAEECDQLKGIQIFSGVNDAWGGFAASYVDRLK DEYGSKSIWTWALNDPSSLRREKRKLASANLARSVSEIGSQVTAFIPIADLPSRLASY VKPDLRHTWYSSALISLALESISLPTRLRGHEALDLWEVASRDSRNIYHLESTVGTQE LSGFPTDKGSSGQDTSGSGASHGHDDDDDGPQEKLKQFDVQLSPLGLASTHDSRVFHQ LSVRRDRTSDKSSSSSNTGPPVNASSRTQSTKTVASFDTQLEYPILDSFPRDLVAGQG PPGSTLKVHAALSISSQARGYLKELQQSMGIYVPFDQREELSNCLATLSEAYIDGWES DSDSAKAESVFQRIILESNHPPRRRQQRIDIAMKKKKKKKKQMGMPLFLGVFL TRV_04588 MQGWRIAMEDAHAAVLDLQAKYTDLDRSSSSSSHHGAGGPTPAD KRLSFFGVYDGHGGEQMALYAGENVHRIVARQESFARGDIEQALRDGFLATDRAILEE WCVIFISLDGYHTPTYIWQANAGDSRSVLGVKGRAKPLSFDHKPQNEGEKARISAAGG FVDFGRVNGNLALSRALGDFEFKRAADLSPEQQIVTANPDVTTHEVTEDDEFLVIACD GTHHHFLPSLLATTNNNHCIGIWDCQSSQAVVEFVRRGIAAKQELHRICENMMDNCLS SDPETGGLGCDNMTMVIVGLLHGKTKEEWYNTIAERVANGDGPCAPPEYASFRGPGVR PQFNEGSDYDSAGPAGRSGRIILLGDGTEVLTDMGDEEMFDSADEDKDLESQVRRTTD ADADADEAGETNDAAETERRQQREGTPGPQSTASNANSVDSASVSTSATSAPIAALTE SPRVISASPLPTADSSPNSVSDTKRSS TRV_04589 MHRPAALAPQLLLDGGQQRAPNPSSAVAGLDAELVQQRLFLHPD VEDVSSDGRRRGRSGRSVRRSRISRISAREEETRVGLPAEAEVERHGLRGGKSAAFDA GDLGEHGGGGILAEAAQVEDSRLLVCILSFSPFSSSGVVGGHRRLREKADEAKMKLKS KIDREKMTKRG TRV_04590 MAETDRATSTPKKATGLNPESPTTARPLDFDDEPQETGLTSANT TSRSSSQLNLAANMQNQSQSQGQPRAPNPYQRIIDDLKEAFPNIDAPVIKAVVVASDG NVERAFNALLVVRAGMSDPDAQEEVRPAVPPRPSAGGVATSTTQSQLEDDERYARQLA EHYSGADQRRGYQQQPSSSIWDHGPASGQRGQGLKPNELYDKEHSFLDGAYDFFFRKI IILLMLMLMDIGIDDLPIIKENIRKGFLETQSRVNSWVENFKRRLDGEESDEDAQYGH SQRQYGQRQQQQHQQQYGYPNRRSVDRERYDADPHVLGDDFSSLELRDNEVPPPRPPR PQANPDLFKSKSASASPDRRKVSFQEGPPEEIQDSFSANTSSNIINNNNNSTSGTANN NANTSAGSRSTANKSSKWQPLSTVEPSPVADNDPFSLGDSDDERESKAKEVKGEDAAK KAEKTST TRV_04591 MDAFDTPGQFVAALYKPTELLPIARHRQSLLYAIEKYPVVVLVG ETGSGKTTQLPQFLDQAGWCSGGKKIAITQPRRVAVTSVAARVAGETGCQLGQKVGYS IRFEDVTSASTRIKFVTDGLLLREALVDPLLSRYSVIMVDEAHERSVSTDVLLGVLKK IRKRRPELRIVVSSATLKAEDYMQFFAGHDATEDNETARIITLDGKMYPVDCLYLESP AEDYVERAIKTVFDIHATEPEGDILLFLTGREEIVSATQQISEQAALLPQKAQALLPV PLYAGLTADQQLSAFEPAPENTRKVIVSTNVAEASVTIEGIVYVVDCGFSKLRAYDPS TGIEKLTTVPISRASATQRAGRAGRTRPGKCFRLYTEQSFLSLPQETTPEIQRANLAP IILQLKSLGIDNVVRFDYISSPPSELFVRAFQLLSSLGAVDDYAKLTKPLGIQMAELP VNPMMAKVLLSSTKFGCLDEVLSIAAMTTLQDNIWFNQDEKRGISPSIRQFAVEEGDH LTYLNIYQAFVTKGRKEAKWCRDHSLIHKSMMKAVSIRVQLENHLKRFGIDMARRQAA TTEQIQRCLTTGFFAHAAKMQPDGTFRSITGGLTLHAHPSSLMFNRKAEWVIFNEILQ TGTKTFIRDVTKIEKAWLLEYAPEYYEVKK TRV_04592 MPHHHHHGDDHDCHGEAGHDHSNDITPALQSLLYKQVDFDKIVT MNESEPKAGAAIVKKTWDQRLDEEPQLESDADEQLLMHVPNRPDMDFSTASDLAPTQT ISVPQSLTGSQADVIEMPLNRALWNGTTSITLFFEDNWSHGEEDVTKVGYVGFKGDFL ALNKEPITFLYEAAANPKDHTVIQGVDGVGKTIGTGK TRV_04593 MGNTGSTHKISAQDKAILDLKNQRDKLHQYQKRITVLTDRETEI AKQCLARNDRPRALLALRRKKYQQSLLEKTDLQLEQLEKLTGSVEFALVQKDILFGLS QGNKVLQAIHREMGGIEGVEKLMGETEEARAYQEEITQLLKGQISNQDEEEVEDELEK LELQVTNRVKLPSAPTTTLENEAKQAQEAEPARAPARVREPIAE TRV_04594 MAPRHRGNKSTSLGHVLDKEKALQIYQAIRRLDEQHLEETGEPL PLYTHLIYDKIRRSNSILNRRPKKVLEDSIERVLEIFKQDRAAEDDADIIEGDFEGLE ETKQPEELNGLNKSIVNMWAPQPKPVSSPQKGSENTTPDVSSTRVTASKRRVVGGESA PKRRKPESSIDKSPPTHVSLADLGGVDDVIQQLEELIVLPMTRPQIFSASNVQPPRGV LLHGPPGCGKTMIANAFAAELGVPFIAISAPSIISGMSGESEKALRDHFEEAKKVAPC LIFMDEIDAITPKRESAQREMEKRIVAQLLTCMDDLALAKTDGKPVIVLAATNRPDSL DPALRRGGRFDKEINLTVPSEPVREQILRALTREMNLADDLDFKFLAKGTAGFVGADL NDLVSTAATAAIKRYLDLLKSATGEEMDIEELPPAGVSKKVMELRQLIKRARETPHEA DPQIYVSNEDFLTALPKIQPSSKREGFATIPATTWADIGSLGSVREELVTAIVEPIRN PEIYSRVGISAPTGVLLWGPPGCGKTLLAKAVANESRANFISIKGPELLNKYVGESER AVRQVFSRARSSVPCVIFFDELDALVPRRDDTMSEASARVVNTLLTELDGLGSSRNGI YVIAATNRPDIIDPAMLRPGRLETLLFVNLPGRDERAEVLRTLIRKLPIEMTDELVEL ARSCEGFSGADLGSLLRRAGYSAIKRRDTIKFEDFVAAKREIRPSVTDMKKYESLKKD WGGGSAF TRV_04595 MAPLTESKKRKAVTKDVEADTDVVSGEEFRFDSSGSESSDEESE IELIDDFSDESEDESIDGNLVSKEELPVYTRNGKLGKSGEVVVQDSEDEDEETVNYRI TKDANGNDRYIYDEINPDDNSDLSEVDEEANTIGNIPTSFYDAYPHIGYNINGKKIMR PAKGEALDALLDTIEIPKGWTGLTDPDTGKPLQLSQDELKLLSKLQMNEVTQDGYDPY QPTVEYFTSKEEVMPLSAAPEPKRRFVPSKHEAKRVMKIVKAIREGRILPYKPPAEED ESQDSIQTFDLWANETPRDDHPMHIPAPKLPPPGYEESYHPPPEYLPDEKERREWEKQ DPEDREKSFLPRDYGSLRKVPGFEAFVKEKFERCLDLYLAPRVRRNKLNIDPESLLPK LPSPEELKPFPTTCSALFRGHKGRVRSVAVDPTGLWLASGGDDGTVRVWELLTGRQLW SVQLNDEGPVNVVRWRPGKDAVILSAATGDAVHLMIPDILSPELESASLDVVDAGWGY SSTASKNSQNSEQKGSKVQWARPLPSLAENGVYATIPLGHVVKSISWHRRGDYFVTVC PASATPASLAIAIHTLSKHSTQYPFRKRLKGGGPPQVAHFHPAKPILYVANQRVIRSY DLSRQSLLKILQPGARWISSFDIHPTSSVTSGDNLIVGSYDRRLLWHDVDLSDRPYKA LRYHTKAIRAVKYHPRYPLFIDSSDDGLLQIFHGSVTGDLMSNANIVPLKVLRGHKVV GDLGVLDVDWHPREAWCVSAGADGTCRLWM TRV_04596 MGRPPKTYATLASLGNGTGSPSVGLTAEDPSLAYSTPDALGALE ASNMCPAIYKTFMQNTYDIRPGPFMSDGPLSGSSGFLPNATLEPPTATPAATIPREID YSSISSMLTPTPGMTPSTSTDTNITSSTNTSSNTTSSGPSGFPQILAPCPCLSHLYLS LSSLATLNSFPLSPNTLITLYNASKTAIGVLRCNVCPTAYSSAVQNLMLLGTLLTCIA NSWLEMSLRDGQQLAIETLDRSSLDSLPADEAARTVYFRNWLRELVRYGVIGHATPPN APLVQSQCEESPNLLGLVEEMEARQRRWHAERLPMVNPSCPREDENGSPSNNAAATST DGTTIEPKDPDFLCLRIAGNARQIIERLGFSAEELRNRN TRV_04597 MDVDESPRKAQDELEDEAMENSPPALDEDGDMGLFGSGDEEEDE EDVQDRRRKLDDVELDSGDDEGRYDRAGSPMEEDAMDYGRTLNIMDLSLGRAPEPETT DGQLFNCAIPNFLSIESEDFNPETYVAPPFSSASTSLCWRTSPDGSELQSNARIIRWS DGSMTLQLASNPTEQYRISSKPLAQSSRPGKREEYDPDLESHTYLGVAAEASSVIRLT SRITASLSILPSTVETDDAVQRLQESLAAASRSGKKTADGSVAIIEVKQDPELAKKQA EQAEREKLREARKRQAAAEREVDRGRRSTAFQRSGAAGLTIAGLEGDEDMGVGTKSRP GAKRPGARKPRRRDEIYSDEEDDYDRRGRTREDEYDEDDGFLVGSDEEPEIEEDEEEE EEEAEDEDMDAEGEIDDDVQPAKPSGKSSRPQSPGQKEASPQARKKHRYVVDDDDDE TRV_04598 MITKQTRHIRQKQCSSEEEEAEEEEEEEAEQAEERKRSREPRSD TRV_04599 MSNFFQSVNNTAAASSAGNTASNTPSGSINSNTAANNNNNNNNN SSPGGHNNSSNTHSGNNGGSSLQRAVDGAGFSPGFPHGQAAMPAPPSAMDQPPAQPDQ RDSIKYFFQEKYASLNVKGNFLTLCACPKNVELGEWLAHQVVEQNRLLHGMLQVIQEI NGVTGYPICNEMTCPTMSAGNLTYTWLVDGKAARISAPKFINRVEKWIVSKIHDPVMF PTDPVTATPITFGYTEQANGEAPSGQPQQQAAGDPSQDWIGKSSGFPPTFYKDCQGIM KQMFRCYAHLYHGHWENPFWHINKHEVLNMCFVHFVTVAKYYKLVSDKELEPMQPLID IYTKQEKIPPEALAGHWAQHSSQS TRV_04600 MVYSLLKRRQIRQSHSCAVVTVYLLMRVVSTHRVSSPEQLIERV QQVGRLIVAAQPREMVVGNIVRRVLGLIRDESEDSRFKELTSQKGSQQQQQHKSLTQS SRGHAGTRSRHTPHSRRRGGNNQEIKGLSPFDANGRYLSRPALMTHPSFSGVMSTPVI SMFNLLSEPEPEETAQPESSPVVSEPEPEQPSEGDDAEASDIKDFKAEVLDGITEIVD ELHQVDDQIAAYALEHIHSNEIILTYESSVSVQKFLLKAAARRKFTVIHVESYPNSHK DSHAAVTGTLTGDEEGLATDSFQKPLIALGITVILIPDSAVFALMSRVNKVILGTHSV LANGGLVAAAGSRVVASAAKVHKTPVVVVSGIYKLSPVYPFDYEALIGYGDASAVADY MHSDVAEKVDVMNPLYDYVPPELVDLYITNV TRV_04601 MGKGKPRGLNAARKLRNHRREGRWADLHYKKRLLGTAFKSSPFG GSSHAKGIVIEKVGVEAKQPNSAIRKCVKVQLVKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLSALWKEKKEKPRS TRV_04602 MSQSGSSRQGRRIVIQTPSQNTSRASLANARTGPGTAAGTAPQT SSTHASILKSIRDLHDIHDIHDIQSVRDLNLTHPRTQQDAAPPRPAEGADALWDQMQS ALAEVELAATGGSRMFGSYHPEVVESLRAKQLALAQAWGRTEGEEVPNNSSNNNNNSS SGNTAVMEIEQNKPREVLDEKTEKDITHARKRREANDRYFERVSNGVLDVVAKLEDVA ETMREVASKSKEIWADSGSRQSPVGSTAGQKVSADADD TRV_04603 MDLLPVLTASQAGGLALTYSIIQLTVLKQLSDRQQQQALLREQI DSLNNMSMPATATHSVRDEVDYAAALARRGYAPPEGPTMVDTWKMRWNEEVKSMARSI HEFSWEDIREAFRKARGG TRV_04604 MAGGAMKYRHLGRKSSHRRALLRNLVTSLFTHESITTTWPKAKE AQRLAEKLITLGKKNTEASRNRAMTYFFAPHKMLPKLFGPLRERYMDRPGGYTRVLRI EPKKSDQAPSAILELVDGKRDMRFAMTARTLAHQRASGEEEMNEITAKNVEKVTRFRP DGQAALEKEVERLFNEKKDRRS TRV_04605 MTSRLVLVIGDLFIPDRAPVSSSIEAWRNYDIPAKFKKLLTPGK IGQILCLGNLTDRDTYEFLRQIAPDLQVVKGDFDVDAPNLPLAKVVTHGSLRIGFTHG HTIIPQGDSEALLIAARQMDVDILLWGGTHKFEAYEMEGRYFVNPGSATGAFTTSGVS KGEEPTPSFCLMDVQGDVLVLYVYQIRVDEQGAENVVVEKVSFRKQISQSA TRV_04606 MFRLLPWSSIARVKQVTGTAQEHPVKEMAVREQNPSSSQLQSQS QSQTLKRKRSDSAGSEVISQDAAGYSKIAALHRPTVSADNDSIAQSRDVAEPRIGPDR DPVTKPTSKANIPKLHDLRQPIAARTMDTEELRQTLESQFSLEVLLKHDELRMIEQEM AKCQVALEQLRRCGEIPYPGSGLTGPLAAVSNGSGPAVMPPRSSPRPPASPSPWGTND GAYTRHYARWLLPDPRFDGGEVETVPLPSRAGKSPVVDGRTTRASWADATTASSRSHR GTAGAKLQALSSGYPPPKDKAGPMIIKRKTDGQFVKLVCLDCRRDNFSSTQGFINHCR IAHNRSFASHDAAAAASGEPVEVDEAGTVVGGQSEPPAAGPAGYVHPLIRSALLTDPA KGAKHSNQQAKASTNPPIQSVTPSPQLQPKSSTPRGGPKKPDVSPASTFKASPQTPHL SSLMLWKGIDIDLSHVVNDALTKPDVDMFLSDENSDSEVDENTSHGHTSSQLSIRNNR LPGRTVYASSQPQRPGSRKGMDKRGAPTRRSPGLLHTSTSPTLYSSGMAAGRHPEPDG DMDMTGSNSPNLSPNTVESNQAPSLVSDDGEYEAPSESESPSPSSSGSEDEGNTFDDV KVQDGDDPSADSNASNSTTGHISGPAKHHARPPIPTTPTRRHTVGRKDELKTKNAKRH R TRV_04607 MMINTGKRIALPTRVEPKVFFANERTFLSWLNFTIILGGLAIGL LNFGDRVGQISAALFTFVAMASMIYALVTFHWRAQSIRRRGQSGFDDRFGPTVLAVAL LAAVVVNFVLRFKQGGAK TRV_04608 MRFHTGGCRANQVLRSLISSISSEETDKEEDSKPDPSKPTYRFN VTCTIIQQGFSSKEGSTNVEARGRRGMHCASGAYWDTKHDGMWTYKHPVAEEKGMDLV LNIVWFGSLR TRV_04609 MSVILCTGMSPSRILITSINAANWLSMLGLAGYDHIIRFWEALS GICSRTIQHPESQVNRLCITPDKRFVAAAGRHRVYLYDIRSTNPNPVMKFDGHTNNIT GVAFHCEGKWMVTSSEDCTVKVWDVRSGTLQRNYTHKAPVNDVVIHPNQGELISGDGS GFIRVWDLGESRCTHQLIPEEDVSVASVSVASDGSLLCAGNNKNQDETSIVPVATFQA HKDYLTRVLLSPDVKHLATCSADHTAKVWSLDPEYGPAKMAAAAREKAAKEAAQKTPG PASAATSVTASPNGQKGEAIKTPPKTRTEWNGKGSPASGSIIASGGSTEISASELTQN DSFVSDQPFSSFLDGPPMDHTTNTLFLETTLASHQRWVWDCAFSADSAYLVTVSSDHC ARLWELSSGQIIRQYQGHHRGAVCVALNDYSEPR TRV_04610 MQSSSSEGIYASLQTLPCCFPPVQSPHELRTENSPNSQPAMNQG QELTHLLEPQPQHLWDFPGEPDVTEGLDSLSDLGIFGNGSDFQDALDRLTASNGGNDD IDDILLQHDTTLNDNANPAAENTETHSAQPAADEHTGALTAGLDDGKRMLKRSFDCID DATNIGTDDICETSKRLCKVSPNIPGPLQIESPSPTRDTTSCHPSDSSIASSRRGPHL ALLPHTGRSYEQEDVPQAITVEHTLPSPSTQYIPDDNSRGERESSVDSLFDDVEVPSD PVPPRVPIHQPLSLPGRPCHTVSSSILSPPESPPAAEPVFLKPWVRKPVSEIIKKYNN IGSQDLLNSMYRQVFTTPNNAEKYISPYPRMGGPLGYLPSTPVAHVKCVEVADSTVNH RVNQYRRVAHRMRYERDKIAWFSAQWGGIDPVTGKSKAQQMREECTLLKRSITFKNKA IEEATAEAELWRNKFRNLEAAHLNLLTQYHAAIAVASKATAASLASHQGASKPTTVPS EPARIDLTAEGPVAAKPDVSALNRMRMKKYNWLDNSRRCQTPQCATPVAENESQSGQD DNDELSQMPVQELGASN TRV_04611 MNVLKDESMLMNMPLFYCSQHEGLGQEYFSPQETCVSGICTGAL SAAAVSCCRTASELVPIAVQTVLVAFRLGMCTLRAAKAIDPSEGNWSMVVSCDSTDKV EELLCKFSESNNLPITSMPWISAYGEDNWVSISAPASILLALRSSEILSGMRSRVLDI HSPYHAKHIFSNSDIDAILETTDSQEWERYRAVRPMVSSTTGEVIEPEGLRPRLEAAL IQILIEPIRWTELTQGLAAFMSSINATRFHVISIGTNAEATLTASLSSKMQLDDSQVP GNGESVKPDQNGGEARGNMVRGRSKIAIVGLSGRYPSAENNEEFWDLIFRGLDVHKTV PDLHWSAKTHVDPTGKKKNTSATPYGCWLEHPEAFDGLFFNMSPREAPQVDPAQRIAL MTAYEAMEQAGIVPGATPSTRHDRVGVFYGVTSNDWMETNSAQNIDTYFIPGGNRAFI PGRINYFFKFSGPSYSVDTACSSSLASLHIACNSLWQRDIDTAIAGGTNILTNPDFTA GLDRGHFLSRTGNCKTFDDDADGYCRGEGVGTVILKRLEDAIADKDPIHGLILGTYTN HSAEAESITRPHVGAQRDIMRNILSNSGVDPYSVSYVELHGTGTQAGDAREMTSVLDT FAPADARRYRQPEESLYLGSVKSNIGHGEAAAGVSALIKVLLMLRNNTIPPHCGIKNK INSSFPTDLDARNVYIAKKAVPWPSKEGQPRRVFINNFSAAGGNSALVLEEKPVEAEI LGTDPRAAHVVTVSAKSAISLNRNIQSLADYIEKHQDETLFLPQLSYTTTARRIHHQH RVAVTGASAADIKAALKTAAANGDGKVRARVAPKVTFTYTGQGAQYVGMAKQLYTLFD QFKRDINRFDSLAQKLGFPSFLSVIEDESSQQQHDISPVTLQLSSVCHSLGEYAALNT AGVLSDTDTIFLVGRRAQLLEQHCILGTHSMLVVKASLAAVQRALDGTEFEVACINAP EETVLAGPNKQIEALKESLNNNGLKTKIISVPFAYHSSQVDSILNHFETLATGVRFRK PAIPVISPLLAEVIVDEGIICPRYLRRHCRETVSFLGALQHVKETGVVKDKSFFVEVG PHPIVTGMVKALFGTSVTSMATLQRSRDDLKVVTELLVSLYSAGTDLRWNEYHRDFEA SHKVLELPAYNWDLGNYWMQYVNDWSLRKGDPPLIVGPSSLTSTTIHKVVDDSIDKIV VESDMAREDFNPLVQGHEVDGVPLCTPSVYANIALSIGKYLLERYHPEIETNLVDVAN MTVLKALIARAQGPQPLRTIATVDWSAKKAGVSFRSYDKSGEPTVEHATCEIHFTDRS RLKLLEGSTATIKARMGAMRETLGSGETQRFNRAMVYKMISPLAQFHRDYQPLDEVII DSNSLEVSSQVNFKGVQAAGNFFAHPAYIDGLTQAGGFVMNCNDSNDLAVEVFVNHGW ESLQLYEPLQKEKKYKTFCHMSPGDNRKFHGDVVVFESEKIVASYKGIVFQGVPRRVL RFFLKPEAPAAPRGQANQKTTARPAPVAPVATAMPTSTSKPIAKPAMAPTTASAKSPK VKQAFQIISEEAGVPVEDLTDDCVFTDMGIDSLLALVITSRFREELELDGELDDIFLT YTSGKALRSYLANQSGSDIPVVVEPTPLPVIALNVEPAVTSRISMGADCAAALAIVSE ESGIAIAELADECILAEIGIDSLLALVIVSRFKEELDVDIDSQSVFTDSFTIRDLKAF FGKGESTITTRAPSILMESSDENTMSSMTPPDSSSDYDTSEKPEHIAVPPATSVLLQG IARHAEKILFLFPDGSGSATSYSAIPRLSPRVAVIGLNSPYNKIPELFRCTLDDLIDS YIDEVRRRQPTGPYYFGGWSAGGILAYRAAQKLIEAGEKVPDLILIDSPVPRGLDKLP QHFYDHCSKYQVFGHRAGPTKGHAKAPAWLIPHFNATIDTLHDYHASPLPAGKTPRTT IIWACESVIDGVNVPKLQSHPDDTPGMKFLSEQRTDFSAAGWEAVFPGGEIILERIER ANHFSMMKGEHASKLAAIIKAALA TRV_04612 MAKYLASYAEHFQVMPHVRLSTNIHRASWNEMKHKWEIEISPVG NEDQKTVQEFDKVIHALGPDQVPNIPKVAGIEKFKGDVQHSVTFKSADDYAGKRVLVV GFGNTAADVTGLLADVAEQVYVSHRHGAIVLTMFQLPRWVDGKPVDHVRTYRKGWILG KMSRYTPGLWKKVMDSVIVGLRNRLYDLKPEWQLDPAPSFSQQRPIISDNLIDNLSSG RVISLPAIRHVCDGTTIEMTDGTVIEVDSIVWCTGYTVDYSMLGKSDPTIYDQKDACE MSNGRKMPRLYQNVISLQHPESLAFMGNLSFMNPAFLMFDLASMAVAQLWKGTSRLPS KAEMNRQVDEQFKWIANLSNNSRVTPGLTKGVDWLEWVDETAGLGMGANLGYGWQGWT FWLMDRELCGMVMDGLLLPFHYRLFNAGKRKPWAGARENIIKMNKELRAKNWYP TRV_04613 MFNNGWWLKHRTPGVSRDEQQRRDRLSNKLYHDGGKIGEGQDED DIVSISTFSISTKCWLAIRQNVTMAAEEVPLQNSELFDVSDCVAVVTGGGTGIGLMMA KALEANGAKVYILGRRLAVLEAAAKQATYGNIYPLQCDITSKDDLETAVERIASEDGF VNLVVNNAGISTPNLGPQRTRPNAKWSITDLRNYWFSKPSFEDYARVLEANTTAPLMV TFAFLELLDRGNRVRAEQAKANGGRSDYVRSQVIMVSSVGGFGRDNSAFIYGASKAGT TQMTKNLSTYLTPWKIRANVLAPGYFHTEMTQDFYKSTGGRLPATMTPEERFGDMQEI SGTLLYMASKAGAYCNGSVMLSDGGYLAIHPSAY TRV_04614 MENHRLRRIVRQTLRGTAVVVTILLAIQWTFKSSSDSKVSNYIN SRLGANSASSTPEPHGTVWETIRNDTRVSKFVKVLGEFKNIVAGLDAPKAKFTVYVPT DEAFEKETFAWDLPSFYWLYLIGYHMGAGALSQKDFSHMTTAPSFVFADVFETYRQRI SIQRVDGGDGFMLNHVARPAAPEMPAVNGYVHLIDRVLMMPESTSDLLRDDPKLSKLR KALIDTDVAVTVNDTSNHLGQTVFAPTNKAFDKLGSKANQFLFSPYGKEYLRALLQYH IVANQTMFSNLLFPHNGEAQIPLENGSKIHLPTLLRSHNLSVTVEMDGSRVSPKINNA VSIESHDIVVMDGVVHKIDTVLLPPLLSNGEESTSEQSWMSTMAQWLLGPSGVPVGEL KKRLERLVVEP TRV_04615 MFDGLFGAMYIRYLFDHYLAFYGHALTFNRPKPTAPAPWSLISN NPKDIESMKKAVANPHVVVVSDWTRFKSWEYMKAQEDSGYTIFCVDSILINGKGSVYC PGEDFLVNHTMDYMKWAIYPRHVNDKGCLPFVRSTENKYLRDGHPETIPLHLQQGCVP SEGEQAVFEVDPADEWVSFNFVDAATFKTPVFAIDEHEMWVYEADGHFIEPQKVDTVK FYAGERYSVMVKLHKNDARDYTIRVMDTGLTQIIGSYATLRCKRNPNDKNVHEAKESK GILNYGGLNTTHVVTLDRDNLPPYPPSAPAPHADALYLLDTHRVKTAWTYTMKGGAMY QEDRSAYAPLLYYPDSADAMDESLVIRTKNNTWIDLVVQVGSLPNQPQEFPHIMHKHS GKTWQIGAGEGHWNYSSVEEAMKAEPTKFNLKTPNYRDTFITSFDGPSWIVLRYHSNN PGPWLMHCHFEIHLGGGMAIAFMDGVDAWPKVPPEYGPGKGGFLD TRV_04616 MPATTMQQTNGGTPKTNGTHSQESMLEVLASQVQENAKVVSEFL RTSGHAAPSFASDAPATSLPASAPPEIGAARQALMGAALQIFHLAAGPSEYLPHLAVG YQHIACLRWLTHFNIFKLVPRDSSISYPALAAAAGVSVKQLKTVARMAMTNHLFCEPE SNMVAHTAASMLIATDSSFYDWASFMCEASVPMAAKLVEATVKWTDSEEKTQTAYNVA FDTDLPFFDHLKTQPDKTRQFASYMKNVQKSGGTGMHYLIEGFDWASLGKGTVVDVGG SSGAASISLASTFPDLNFIVQDLPENAADGQAFLASPEQQEKLGKGVTSRIFFEGHDF FQPQPFQGADVYLLRMILHDWPKKEAVKILQNILPALKGSSRIIIMDTVLPQPGSIPS AQERLLRARDMTMLEAFNSLERDLEDWKELLHSVDERLTLKNVVQPVGSVMSVLEITL GGRA TRV_04580 KIRIVLRRLEGARKEQIVVPGEIPRAFYPVIKVGGGRVKKANPP PPAIGQDRIISKYPDKAEKQLQKINASEKYNYSRTKFKKDTKFKDREPRTRSKDPAKV GLQKREGKNVAIQVIKKNDWDGFFEDYHSFFSTLS TRV_04581 MAQHQQQPRARLQRKRSPTKAEIEGIPPKVPPKTEPAVPKKDKT SPSHFFGRGVPRNRYIEAVHSTSAPNPSHLRGGSTSNLLLEKERPADQLADLPQFSQR YHDKRPKSLKILGLDATRRPESPAPGESDDVPQDLVIREKPSKKKPRGRAGLDNIDIP VGNLPPGYSIQTASMLLTCQEIEELQSQARRRGQRFEVLKYSEVSSLTQEMHTLNKHC KYLRDTHVALRSDRQRLHGRVISYLKSASGVSSFSPEGVLKQEEALLEIDQSIDEWAT KLEYAEERRAKIQQKLLEHMVAILNLPQPAPKEPSHSRSISDYTSISEKDSRRSDLES IIIYADAGLHRSNTDKPWI TRV_04582 MFRRALLRQSRLAGSAAPSSIVSPIHRQSAIIRQSQQLAARSLP SRVQSRYASTEANGEKPKAEEAAEAEKPSELETLKKDLETREKEVVDLKDKYLRSVAD FRNLQERTRRDIEAARTFAIQKFAADLIESIDNLERALAAVPPEKVDAANAKENKDVY ELFSGLKMTEGVLMNTLKKHGVVRFDPSELVDGQPQKFDPSRHEALFMSPMEGKQDGD IMHVQNKGFTLNGRILRAAKVGVVKNA TRV_04583 MHLLATGRALQVSCRWSLAASNLAAPRPIYINPLLTYQSKALRP RFNSSTAAPKDKESVGTKPAPSITSSSPALTVGNKSYQPDEWTNAPSHILAHLGRGLY LDENHPLSITRKLVESQFSGPEFGNYAEPDPIVPAARNFDDLGFPADHPGRSRTDTYY LDKQTVLRTHTSAHQRAYFQQMNRNEATKPEEKGYTIVADVYRRDAIDRSHYPVFHQM ECARLWKRPENEPLKASQQTAAQIWKDLEALPRHDVVVEDPNPTIHPTRNPLQDKYHT AEEAEAITAHLKRSLELLVIRIFSAAREATENPAVAQEPLKIRWIEAYFPFTSPSFEL EVFWQGEWLELLGCGVVKQELLNSSGVSHRIGWAFGLGLERIAMLLFNIPDIRLFWSK DPRFLSQFQAGKITRFVPFSKHPACYKDVAFWLPPSAAGSVSAAGGSVPFHENDIMEI VRGAAGDLAEDVSLVDEFTHPKTGRKSLCYRINYRSLERTLTNEETNKLHDKLLLNES LICNCTSFHAPFIPHQSSSKNPPGGKKSREEKKKGMRQKHTNKRGEIEETRTV TRV_04584 MTDASRLKGTIYVGGLDQAVTVRTLSEAFIPFGEITDISLPKPE LPSSTDLHRGFGYIEFELPQDAKEAIDNMDQSELYGRTIKVAAAKPQKESNEGLGSKT AIWEQEGYLAKHAVSEEDQLATGEAGASNNRPEDPMQGLEGLDVAGPKPA TRV_04585 MVRLRQIPRSAAFAWSPGHSSPFLATGTHVRAVDVDFSNETFLE LWDLKLDGENVGAELQPVAKISTESRFHDLGWAETEDSTRGLIAGALENGALNLWDAA KLLDGSSSEPAMKVAAHSGAVKTLQFHPRHSNLLASGGSVGELFITDLNNIENPTRLG KVAASQVEIDCLDWNKKVPHILATGNSNGFVTVWDAKAGKESLTLKNLGRKPVSAIAW DPEKPTRLVTSIPLETDPVILVWDLRNANAPERVLKGHESGVLSLSWCPQDPRLLLSS GEDNRNICWNPQTGEAYGEFPIVTNATFQTRFNPTNPNILATASLDGKISVQTLQNTN PETAQKGDDAQPLNDEDFFAKAQTQPQGPTFSLPKAPKWFERPTTANFGFGGRVISVV TAPGTRTSTIRISKFEVDASIGAATDAFESALSSGDVRSICESRAAEAKTEEEKSDWK VMTTLMSETPRKELITHLGFSGDVDEAADSLAKLGLKTEESEEKKVEEKEKPSLAPPA GVRKHKRLTSIFDTGADGESDSFLTDLSASKAAQTNSPFQLFTGEETEADKSITKALI QGQFDKALEICLKEDRMADALMIALSGGQECIEKAQEAYFLKKCEGPSYIRLLASIAG KDIWDVVHNADLKNWKEIVAVICTFADDKDYPELCEALGDRLEEYSRTHDSKETRKDA SFCYLASSKLEKVVSIWIQELKENESKMVETASGETGFSIHVQVLRDFIEKVTVFRQV SKFVDAEKDKPSDWKLTSLYDKYIEYAEIVATHGRLEVAEKYLSLLPDSHPAGGPAKN RIQLATKKATTKAASKAASHIPAARQASTALPQPSLGGYTPVQPPVPQQPPMMNRGPT PNNPYAPPAFNQPANPYAPSAQTSSYAPTTGYQPTQQPGIAPPLFPGQQAHGVPPPPR GLTQSPSTVKPHTERSNVPAWNDLPEGFARTPTPRRGTPSQGPAVISSPFPNQQQQAQ SPPPPPGPPVAGHRGSMPVPPPPKGPFPAASHATPPGSAGGTQFVPPERPSSTANLYA PPPAQHPPTGAAGGPPHIARSASPYTPPPAGAPPSNRYAPVASAQPSHPPGPPGPSGP PGPPQQAPGPGPYGSQRFQPAPPPQGGPPQGPYAPQGGQFTQPPPPPHTGAPPRQDSR PSTGQSQKNAPTAPPKYPAGDRSHIPDNAKPIFEILSADMQRVKARAPSSFKAQVNDT ERRLNILFDHLNNEDLLKPATIDSMVELARAIQSREYEAAQAIHLDILTNRTDECGNW MVGVKRLIGMSKATP TRV_04586 MVGTLSLKLSLRDASLVIIFFNLLCTVPPAYLSVFGPKTGMRQM IQARYSYGYAHTLPNSSSPPPNTPAWLHGPSNAVDRLYLVNIVVLLNMSTMSGFTIIN CVIGGQTLSAINGDLSVNVGIVIVACVALFISFFGYRVLYHYEKWAWIPTLISLAVAT GFGGKYLANQHTPPPATAVPILSFGGLLAGFLIPWAALSSDYCAYFHPSVNSKHVFAA VYAGLCLPNIPLMILGAAIGGAVPNIPSWSSAYETGSVGGILAAMLASAGGFGKFIIV LLTFSTLGNIAASIYSISLNFQLLLPIFLRVPRAIFAIVFIAIVIPVSIRAAVSFFVS LENFAAVISYWSATFVAIIAIEHVVFRKDDYASYDATIWNDAAALPSGVAAIAAGALS FALVVPCMLQTWFQGPIAKITGDIGFEVAMVLSGILYLPLRALEIKIRKRI TRV_04587 RKALNRWTAFVLGTEYVHAAARLCPRSRQEKKRHDQTFDLGQRV HETEYPSLPRPVNPLTKRTIEPAHKFEINLESDSFSVAKFELFLRYQTTIHKEHESRW KHSDFKRFLCSGIKQKTVKHTITQEDGSTTTVERKLGSYHQCYRLDGKLVAVAVLDLL PHSVSSVYIFHGLYIYIYTDLDSYDPEYEKFELGKISAMREIALTQEMHFQHYYMGIL PPFSFNLNLDDLYISIYIYAKRNPETFEWHSLDEVFAPKLDKQRYFSTSGQQVPDVYE DIPDEDAMSLFDLHMPGVLTVQQLKSTVDLDHWHLLIRGMLVEMIDLVGWETSSVKNP QAIKGIVAELAATLGPEVVKNSAVVMFQS TRV_04574 MKEDEKPPVEDVKKESSEVSSQQAATEKMVDEKKPDGQGEDEGG YTSGARLVVIMVAVLMSLFLVALDRTIIATAVPEIANQFHALTDINWYASAYLITSCA TQLTWGKVYTFYSTKFVLLSAIVIFEVGSALCGAAPNSTAFIVGRAIAGTGSAGIFSG TTVVIAQVVPLRKRPVYVGMMGSIFGIASIIGPLMGGAFTDRVTWRWCFYINLPIGGV TMAVLLFLLNVRSKKESTPWKAQINRLDPIGSILFLPSATCFLLALQLGGTSYPWNNG RIIALFVVSGVLMIAFVAVQIWRQEDATVPPRIFSQRSIASGTAFSAFVGGVLISMLY ALPIWFQAVKGTTAVQSGIDTIPMVLSLVVGSILSGSIITATGHYVPWMYVSTVLMSI GAGLTTTFKPDTNHSAWIGYQVLFGFGIGTGLQQASLAAQTVLNMKDLSTGVSLMFFA QSLGGALFVAISQSLFSNALASNLAKVPGLDVKAVLASGAKDLSKVVPLDKLPLVLPL YNDSLRRSFIVSLAVSCLMILPTIGMEYRVIKKKEKTPAPEATE TRV_04575 MITRNSGSYQVESERLQGEDVGRPQELGVGQGLLGRPGETAEKG GPGLAQQPQTGLLVGRGHRRRVDGEEQGLGAAGDAGQVRGRVEHHPLHRLRVEELDYR VDVVQVDLPQLEELRRRRVKPMEVEVAGVAKQAQGLALLLLEVELRLLVCVQNLRILQ LFPLDSSHISMLHFYA TRV_04576 MGLHREASLRGFSVFEQENRRRLWWQILILDSRSAQLSGVAVNP DSYLFWDTRCPLNLNDSDLVPSMRELPAEYDGPTEMLFCRIRFEVGEYMRKLKALEHR HAEDNSAVRLAEESQLIDELETRLEERYLKKCDPSIPFHLLALYLGRSSVCQMRLSLH TRQWYSSRGSTLSAAEKDQVFSLGLEIIGYDNLAYSNKALQPYRWHVAMAFPFEALIL VLTELLARFEGDLVRLAWERVNQLYADHPEIITAARTNTLVSALVSLTTRAWDKLSSV TQTSSSHLLQHTTEPASVAKLRSMRRDRRPSSPSAQVSPVLDEYLSSAATVAAVRDTG GDLVLSGTAEEPPVDISQIDWNYWQALIEGEGAPFQR TRV_04577 MPTSTPFSSTAEHRQEPTTFDPAIDAQGTEPIIQTLKSLDSSLK LYTRSSPHYERLRGCFNKLITARPLVICRPVTVEQVQMIVRAVSDLADGDGCPPLAIR GGGHDVWGRGCIADSVTIDVRELDKATLAEDKQSVTVGGGILSGNLVGFLNTHGLCTS NGTAADVGWTGWAVWGGYGPFNDYLGLGVDNILAAKVVLADGTLVEAKPESDLLWAIR GAGGNFGAIVEVTAKVYHIPTILGGFIVFKWEETRQALNRLQELLDKGVPDALGIQVG FNRSKVGLGMSFIYTWADSSNLAEGKKWLETLKQLATVVVDTTTETTFKDFQAMTSKP FKDPTDVCSRSVSIPRFTPEVVEVLLKYIEAIPMGGRYNVLSHVGHGKGIQPNSRTCF GTREPHILFHINAPVPDGAGSMENAQSWVDGLMADIKGTGQSLKPVYVSFMGTDEETH DSFGQNWKRLQELKGSLDKKNLFRFAQPMLGKM TRV_04578 MVEAPQRNNLARETSFNKPISSHDSHIMNSLHHNELGNHNVNHD DKMRDRVHGFDMDFDRTPTAADTHQAFSEPSMFPADVDSPWGGLIPTFDEPPHLAWQF EQPGPFYDLDPHFPPRFNRGEGAKSPQSPLSHVRFDENTTGSNNSYGHQCSELATSTI QKLDIPMIPCSLASNHNSTTSSAMIAAAASSVSGTSGTATPILPSQPSQASRRGSRSF EIILRDNRTALEDMLTILQCPCTVKAELVFLVTAVCSRVLSWYEASLERSSSGCSISS AATASNASTSSSSTSAGSTAATTSSNASSSPFFDWVSIPSIRMGAYALDHEHSQRMVA QLIQIELTKVKEVIDAFARTYCNRSDGPSKYGSHGLVTEDSEDKLHLALEAFLRSRLR AAVRAAREHLS TRV_04579 MLSRLGWTEFALWILLPLYLLYIMQRWFALRVVVKIPPLGWSKV PYIGHSLSFLRGGAELHSMFEPYRKTTTPVHFNICGTDLFLINSPEIVRKIANKPHIF MEGALRGDFALKVLDLPKSAAQVLGNDNSGSALRPLPGSTLPPDRRIVRMQHETTFNL LTSPSGIHMFVLQFTNFMEKLILSNGIGEQWVELPDLFHFIQNLTSTAMMNALCGPRL VGMNPGFVDEFWTFDLNIHYLNLGIAPLFRAESVKARDTCIKALIEWKKNAIQDSADK EYPESLLWDEIWGFKIMRDRDDMYSRFPEYCNDQARASADLGILWACNDNLIPVGFWL VQRILNSPNLRERFMKEFDNARLPSQPGDILPRFNTHILAKTPFLQSTYHEVLRTQVT SFTARTVRQDCQIGEYMFPKGSVTLSSSWGVHNNPDLWESRPGAQEHPVEEFWPERFL VCPYDRAAREGKSFPESGKEPEFSLKGLDGTFFPFGMGHNACPGRHFATHAILNIAAT ILSVFDFEPIDTWAGGGMDYTTFGYTPARPLRKIPFKIRRKILPVAVEDRCRC TRV_04562 MAPQDRLTQVSAHLNYPQGMLAGQVAIITGSGQGIGAEAARLFA NEGAKVVVADLDSSKAEAVAKAINDASPGRAIAVAGDVQDGAYLKRLVQRAAEFGNGK IHIIVNNAGFTWDGVIHKLTEKQWDTIIAVHATAPFKLVQAAAPYFRVKDGEPRIIVN ISSTSGIHGNAGQANYAVGKAGMVGLTKTIAKEWGPKFGVRANTIAFGFVLTRLTQAK ETGAFITTPDGEKVALGIPGSQLPTQTKESQEAYADIPLRRAASATEAARSILGVCSP LFSYVNGQTIMVTGGRNM TRV_04563 MSLLQRRAVTTAAAAAVYKKEEAETSQHQQQTKTETEAEVLEQE SWTATVHWDALPRWLQDNHHIHTGYRPASASFLRSFHSLTYVHNETVNIYTHLLPALL TLPTSFVLYQALSPRYHTATPADIVVFSCFFAGAAFCLGMSALYHTISNHSPWAAYIG NACDYLGIIGLITGSFIPSIYYGFYCTPQLQRLYWGMIVVLGAGCAAVATIPRFRKPA LRPFRAAMFVALGLSAVFPVTHGVVVLGFSQARQQIGLDWLITQGALYIIGAAIYAAR VPECLHPGKYDIIGHSHQIFHVLVVLAASAHLTGLLAAFDYRHSLATQC TRV_04564 MERYLKFCNDYSSDIDAAFASLPKYSTEDATKNPPVAGASTANK ESPPNQAPQNKSSRPETLSDSASKDLSVLLLSLRKLREGVVATASETPLEFAQHVHVF CIRMGILAAHPPSYYVPLRRLLHELNEPSSALSESDLHEFTSYLILDYVCRRSDLNLA FELRAKSKAAFGYYNITVDNIVSALLHDNWVLYWKSGRHVDGYTRSLMSWSDSYMRRR ALKAIAKTYLTADIRFIIESCTGSPDGCTWEELVKLEGIGWRREGDKAVIRIRKPKAA TRV_04565 MERVCVASKQVCRSSLVDGLLACLMLCMSFSLPKREKNPLLSVQ AFHDFNLLGQSVLGAGWTQAAAVQRTEDRERKRERGSVWHVSVYVHHGTLRLTASAEC VCKRPNTRSPTPAAASGNRDSKTSSLPFHPARDLIASPKDSSHIQVTQNFSFLRQPEI FHPLSQLEIPLPFRSEFPSLLPGQPLESALQQLDDLCKKGHYLPAAHFAATILTSSLI TSTDYSAIFSLLYTRLTCLLLTGNTLLAAEESKALQDLNSSFYYVDVKPDLPKEDGSS QEPPERAHLAPWPLRVIAVRLQSIGFSDTRRGISGLYDLGLEARRHIIRPDVGAEEKA LWKARLGDLGIRVVNALVEMGDLEAARRSLATNIVEPLLKMAEGKFDEAVTGWNDLLK TYSGTDDEVLIKQNLAVCLLYVGDLKQSREILESLVDDHNSFQSLTFNLATVYELCSE NSGALKAALAERVAEHPQSEHRNWEIPNGAFKI TRV_04566 MSPANKYSVILPTYNERRNLPIICWLIEKTFRENKLNWEVIIVD DGSPDGTLEIAKQLQAAYGEQHIVLKPREGKLGLGTAYVHGLKFATGNFIIIMDADFS HHPKFIPEMIKIQESTKADIVTGTRYASRGNLRGGVYGWDLVRKLTSRGANLIADVAL MPGVSDLTGSFRLYKKPVLEKVIKVTESKGYTFQMEMMVRAKAMGYKVEECPITFVDR VYGESKLGGEEIVEYLKGVFTLWLKV TRV_04567 MGYISHLLTSDNYPALPSTFRTQTDGGFQSAGLNTFEYKSLTAS KAKPHALLLIAGLSDGLCTVPFMNDLAVSLESSNWSVFFVLLSSSHTGWGMSSLDKDV EELGRCIDYVKALKAREYQGEAANEPGKVAIMGHSTGSQDVLHYLYTQAPEQTRPAVD GAILQAPVSDRESTLSILETGTANDSAEVVNEIFYELVDVAKSNLNEKDNGYILPISM TSRIGFPSSVAVSSKRFLSLTSPDSPESPLEDDLFSSDLEDKRLQETFGMIGKRGHLN GSILVLPGGSDEYIPEWVDMESLLKRWETATKAGSDNPAIWNENSGVVPGARHSPQGK WQVKPIQDLLSRVQKFLAGLEQS TRV_04568 MDAMSAAEQQIVAAETNGSTPIPDPDYEDEAVTQYPASEFSDEE YEADEGINKRRKKEGRNLDRQKNMALAQKKDQPNTQTYSRGLLKPSNHSSKTLQLRLT FGSGDQDILPIIHTRDNWSGGLDLTFPSRESLQVCIGWNSRGSKDIFGVDRETNIRES TTGWDWFYSDIGARFRGKQQTLPITEDVANTHLPSKEIPKTTVLIGPSDAQTTFLLGR GESFDFSSAWPSTESIAIKQESEEAPVSQANERASDAPNRVKAREGWIINLGSKIQCL SWAHNCNGNSQFLAIVAPIHDSQKAQFDDGNIRGAPAFTPSPPYPAAIQIWRFEARDP KSGLRKLNMNKPPFLHMVICTEFGTISRLCWCPISVNRDSDDGNDSKTTRPRPALLAG LWSDGTVKVFNVDLSDSKSETKYAIGSANGFIGVWSLVQSARRESITNPEPYLYVPIH DTYILNVASAYPTHPYIIAATSVGGQTRLVSLQDPAAEVVDALRLRIGTQCLVYSPFL RSFITNDEGDFVRLLPLRRFFSSLAALKSRSIATSLATASLHHPCILAGNAGGAVIGN NPLRKLIHSKEKQWQQTWFSQEWINGGNNNITPGPVVKFYDGFKAETASLAKGSSAQD KEIGQVGMMTVYEEQTAITAIAWNPNASCSGWACAGMGSGLLRVEDLAHE TRV_04569 MQLRFKKVLLSAALLAHLSSSLPLISHLPRGVPYSVVQVDGGPE TPSTDIYATVTVDKPGSTLPPVTHTATITHTVQPSVSTTTTTTITSTTSYTSPSSTTP QTTITTTTPPPNTPTSTSTTSTTTSSSPTSTPATTSSSQVTTTTTSMPTTTTHATSTK HTSVTTQFPTAPTTTYPPVSSHMPLPTGAANSPIQAASMTFHMPSLIPHVGA TRV_04570 MASAPHVPEDQSRLLEEALGVVRQQSHMMRRCLETPGKLMDALK CGSTLVAELRTPTLGPKQYYELYMAVFDALRHLSDYLRESHPVNHLADLYELVQYAGN IVPRLYLMITVGTVYMSIPDAPVKEIMKDMMEMSRGVQHPIRGLFLRYYLSGQARDYL PMGSGDGPQGNLQDSTNFVLTNFVEMNKLWVRLQHQGHSREREKRTQERKELELLVGS NLVRLSQLVDLEAYKSAILQPLLEQVVQCRDVLAQEYLLEVITKVFPDEYHLHTLDSM LSAIARLNPHVDMKKIVIGLMDRLSTYATRGSEKSDDPEVRKKAEEEATVRLLENLQL SKETGEAPPASDEQKTADKEKGIVQSENGINQPGEGESGKDTKPESVEDQQETGEATF LPGNIKLYEIFYDQVLNLVKTRGIPIQDTIALLVSLTNLALADFHSAPAQSSILNLLL APLQSYVSIFTALSLPNYIPFFAAQSYSTRRAVAGEVARNILRNRTLITSPENLDNVL QILRVLIREGMQQPAGYPGAQSQRRGGETEETIEEQGWLARIVHLVQGSDNDTQLKLL QALRTAYLDGNERIRYTTPSIITSSIKLARKFKAREHFDDNWHSQSSALFRFMHQCIS GLYQRVNSGCADLALRLFVLCGQTADETGFEEVSYEFFAQAFTVYEDSISDSRAQFQA VCIISSALHGCRNFGRENYDTLITKAALHGSKLLKKPDQCRAVYLASHLWWVVESPQK EGDEPNIVYRDGKRVLECLQRALRVADACMDTAVSVELFIEILNRYVYYFDQQNETVT TKYLNGLIELIYSNLQSNQTEGVPSSSLESPRRHFERTLDYIKSRGWEGVVTEPPRQ TRV_04571 MQKRELPITARQLPPPAYQPVALFAFLAESTVHEKFVCTLSREM FNKEMEEEQSRGFIVTMQEGKEEVEKDMPWNHESK TRV_04572 MPSNSKRSATVPAGSSGQNPTSSNTVSTPANASTTTSTMPPTEG VSPGSLPAKCLVNQPSNLQAPTVNRKKQKRRQKQAARLAAEQQRSDASTPTNRNGQDG SDGYDTGTRLGHTCSSTQQRMSNNKSESIDNPGAWYSDDDRNFSRNQHDDHQQHNNNS NNNDGGSSQPQNNAGSRKSKKKKGKKGRNGGQTAEGSSTSHSTPPPPPPPLTSSARPV GVPPPLVNAYRSAHRISKDRIWNTSTHEERENIKEFWLQLGEEERRSLVKVEKEAVLR KMKEQQKHSCSCTVCGRKRTAIEEELEVLYDAYYEELEQYANNNQASFENGTSLRLPP RLYQTPIRSLHHHHHHHHHAHSHSHSHSHSHSHSHIPNTQHPSRGRVHELPEDEEDEE LEEDYDDEDEEDEEPYSDDDLDVDTRTTRADFFAFGNSLTVKDGILTVADDLLKNDGK HFIDMMEQLAERRMQREEETQYLASAGHPPMQAGHNHGAPLDDEDYDDDEDEDYDSQE DDEFEEDEMENVSILITLPQDAMTEEQRMEEGRRMFQIFAARMFEQRVLTAYREKVAR ERQQRLIEELEEENRLDEEREAKKAKEAQKKKDKKRLQKQAKDEEKARREAERAAELA AQKAAEEAKLKEQQRKREEQRKKKEAEKKAQEEERLRKEADRQRRLKEERERQAEAER KQREQKEREKKKREEAKRKEREEREAKEKEQRERKAREEREQKAKAEQEKREKDAAAA AAAAKADREHRRDELGSIQPEQHLPAQGAKRTSQPGAAYYPPGLHHPQPPNLLQSPHF QVATPVIPKAPTPVRARQASQQDSHASSPRSQPAYADTLQNSISPGAAHSSGASSVVS NKGMAPHPSSLHHPQQAAPLSPLGGPGRSGQPPPGFNALPNLNGIPSAGVPGMGHRGS LSHENPMYPNPLAGQFRGIGSPDGLSAPPGIVPRHSSQSRGFGLESTHNPLAFHSPLM TSGTLGPQPLQIPRESLSHGHGHTSQLSAAIDRSANEPLPLNQPIGSRPAPIQRPSST VHDHGKDRSKAGQPDVDELSTQLGSSALLDDTDLPLNTVPSQPIPAPGAGRMAFGTTP LFSESLGSTTTSNFPLGAPSSGGTWGSAIPFGPPGFASTSWGAGPTSSPESSGFHSTN QVLRQIEQLNPPLDAPITLEEIVDICDTEGNSQNGGGSLIVDYAGPRGTFIKFEPEST SPLSATMPNTSPGDIGSPVPRNSYPAHIGSGSGGTAAGAGSSNPRYFPSTNAPPPAGC TRV_04573 MFVGGYELSGKKKKKKKKQKAVDKQTLPRRGLPLRWPLTSVLSF HPDTGAA TRV_04536 LVSELVVAFGAVIGDARWAPALVDLVPNMSSRSSSLRTTTPYTF TAQRRRLDVSPSTAILGTADKHAESHGHLAWQASPLPSSDGRRWQTFLCFSSFLRFFF FFFSSSSRTLDDCVSKQPCIIKLAKIVAAQVKLLLFFSLANLIPPSPYGVLLHATYYI RLSGAVSL TRV_04537 MPLYLSFQRVNDGVCDYDICCDGSDEWAHVGGLKCEDRCKEIGK QWKKTEEEKEKSYSAALRKRKELAAQASKTEKEMQDRILALEKEAQDLEGSLVDLEAQ LETARARNRGKTASGQRQGKAYELAQLAKARTDTLRTVLEEVHLQRDQVVNLLREAEG ILSKFKEEYNPNFNDEGVKRAVRSWEDYVARKGEHGSDSFGDDALLDALKPEHDEPFG NPEQWAEEAEPGLVHKLASFLPAGIANAIEDGLVSFRSALVSNGLLADSSMDDGSDEP QEVRDAKDKVNGAEASLNLKKSEIQDLKRDLKEDFGVDSVFRALKGECVSQDSGEYTY ELCWMEQTKQKSRKGRADTTMGRFEKISSIVVDEATPSGQIVQKTKVTLLYTNGQTCW NGPARSTTVILECGENNELTKITEDEKCVYSMFATTPAACESPVAGKDSQGSSGKDEL TRV_04538 MGNDGGSIPTRRELVKEAARNPNTTQLKETLREHLEHFWTTCPI SHKKLLSPVVSDAVGNLYNKDAILQYLLPGDDSEAISAKADCDEVLQGRVKSLRDVVE LKFDVDGNPDVPNGGRKGRWICPVTQKELGPSVKSVYLVPCGHVFSEGAIREMKSDKC LQCNEPYEPSNVIPILPTQESEKERLKSRVEDLSRQGLAHSLKKLSGSSKKRKKNRTD MNGDVEDGSKAESATNGKVKNDSKTPTPSSTPAPVGIKNAGTAILTAKVLEEQNERRK RAKTERSDAVQSLFTSKNKKENGKNVDFMTRGYSISR TRV_04539 MQAVIARQGAAIMPSSRSLLRSLEALPSQLNSSRYVCTNCRWQS FPRARNLISTPSRRYNSSGNLPFTEKVRRKLWGTDNPPGLKDPYGGESILERRARELR EAREARADESQEVPEGSLEAMAEETNAEAVEDYTPAINWDGLEHVGSLGEWWEKPPTE MDKFDAFMRREKITNNNDILAILHQALVELSVLKELNKPLEASCDILEHEPQILSLIN KVEIVPSKEQSGAALAFPSEDAKAKVYEFFREFDSIPAEEPAASEELPSTEPEMDANS EVVSETSSFELSPPASTEFLNISLMSPDMKFAYLKRVSQLTGHFIPDQELASISSVSS VQDFLIRASTPKPTKLAEQLIVEGTFDGIPNVKIYDRRQTPIDSEIETGQWKVIEEEL TKRGLPITGRKVA TRV_04540 MQSLAIKRSREEEKGDEASEDDELTLRRKPTQTQGTAALPLTPA TTEDQWNDSDAAEIWDGQQTHTHTFPSSGSHIHLEADTDMNMMDCASTESSTLPVWPP TGHGNGDRRRNISSSLNFTDTSLVVTQACHKSSSVNAPVVSSSTSDLHPPASAITHLE ASRFPSPISEFQAETKKYTGKFTLHPFQPCSHLDDPSMPSNTSLSNSVSSKSTNKFGG PQGNSPTLHPPTNPEAGSFQKRPDLTVNHTATLMAKQPKKPTIAMGFRADCDKCQRRE PGHYSHIVYS TRV_04541 MKTVAQNETVQFRIRRLIASQHEHEKTWWQGRQRLLAKQKARAG NQKKLDEVLYEFQQAPEEDELEIKRYDDKVYKASVEMSKALHSELKAMGIPFFAIKEE LIQPAPLGPSSKPENTGNSTERCITAEEAKALQLRMLDLLQDLCKE TRV_04542 MPFAKPTVEDNDDSRVLGYDPLLSPQFLQTEVPVSTAAVETVRS SRKEVIEVIEQRDDRLLVVCGPCSIHDPATALEYAERLKALSDKLKGDLCIIMRAYLE KPRTTVGWKGLINDPDIDESYNINKGLRISRKLYADLNGMGMPIASEMLDTISPQYLA DLISLGAIGARTTESQLHRELASGLSFPIGYKNGTDGSIGVAIDAIGAAEKPHRFLGV TKQGLAAITKTTGNEHGFVILRGGTKGTNYDAESIAAAREQLAKKKQREILMVDCSHG NSKKDHRNQPLVAQCVGDQLRKGEEGIIGVMIESNIAEGNQKVPPEGPSGLKKGVSIT DACINWETTVDVLEQLAEAVRTRREVRSKKE TRV_04543 MGAKGKRQSISRSLWRRNKQEKARRVEEALEMEGVEPAKEGLGV LKTNEAESLCAAFERPGMVFGGLEKPAEAPRQPAMDKENLRPNKGNRAPLPVPYSSFP GGGGGGLHRHSLDISRPYHVDHHVPCFPTSGQHSLTQQGTPFSQRISRLEHPSLPNSY SPSEDPTNTPFRSCHLLPPFSPHLSTTRSHHRVISTDHGHSYSLNKIQEVSTPSHQSN APEMHSGISLTFYSCSGAFASISHSVHRIIASRNQRLSSVLLQLEDRQSAQIYISAGR AFTYPSAFVSALLHFYEQPLVSQDQLFFHIPLCVSQPVQHQLCNDVVASATSISRMNF VLCFATAGVFFMESQIVEHALSLLSGVLSWENLDTALSFGTCPIPFELTVIDQDTQNN SLGNDSINSKDSDGSTTSCEVSQDTTTLTALARRVLNISLRFIVDNIPIGFKFERPSC SSTSSLHHTGDPTNISLLFVSLPFNQLRKVFKYMRFQGKLTEELVVEVIQEREAHRMQ MLRKLAGKGPLPSQLDSEHEVLGWEEQALFKAEQPLGAIIGRGWTGSEIRAALLASSR LRRSKSL TRV_04544 MNRFRKSKKRGDGPEPSDVPPVPIFSKQFFKKKPEPEQPPKIDL STALPTDNDFRTSLLMPNLSARFSMLREQDDPNSKIGKANDDSVLFPKRASRLNLFAN SQLADITEVGSLHGSDRPSLNIGRSSYASGSTDDDCHTPSSIMTRSRPVEGNTLFGGR QKVYKIPNTRSEPASENEGGSEGGPRSPTMGGKQLYQNDIGSIFQRSKDDDDSKSEPD LRPESTTLVSPPSNRQAEPSSFFVSENRNSASTTSANSQGPTSRQGSVTAETSTRSTQ NSAGMSLERNPTKSRRLYDRGLELQNQPSALSRLESISRQRAIASDNGLIKRSLSKSA VNLNERYQKLSPVYSSSSFRPTSPPPSSTSSVVGNSEPKRLSPVSTTNSAQGLAMGSP LSPPASETEEGSAFAAAIQPEDRGKATATGLFNKPLSKYDESQFSQRQIQIHENRSNS SLQRPSLPRGGSDVTDCRRPRDISITSHQSKPESPGLSTSQNSPLSTTHSIDFRPAPA QFEKPRLPINPSTFLADFSGSDSGSEVEEDVSNARVSPLFHPHESVGSPLKVSMESGD SVTPVSSNKPSHPALGEFYSESRDLNTIKEDEPVADPIPTVQEPPQQIESESPVLGPT GLSSLIRAHLRQDSDKSTIYPPPSPISMDRPPENSHPSNPQVHGTRSSDLAVSIHSNP WEYDDWARPTYHPAEPAERFSQPESDFSSMSLRAKQMLGQATALSNKGNEEEKNTNTE NQEERAPPTSVPTPPKPSPTASQPSWEEDIKFGHRRGGSTETQMEREEFANELAERRR KVQEKLRNFAENESRSTSPASSYRFPDNGNSTQNPSKAGNAFAILKAKTSRNQNNQSK QDHPLAKSSKLLGLDKPHFNSSAPNLQQSNDMWREEDERMRRLVRKSRAESPMGGNRQ IGLGRQPQPPQSTTPQSRRSEEDTRNSTRDSASSTWRSGPRDRSDSDTSGRSKSRPRY REDLGAVRESAEQPRMGRPSIEDKASRSSSSRPSMESTDRRTRDRSASTGRYRSNSRS VAPNYIDLQSLPGPSPTLQHPAMTHSSRPSPSPNSHPYSANATPPILETSSASSTPAA GGYGGPPMQYPLPQRNPPVPGSVATQQSLGSHKRVVDKSQISEPTFVSTTSNVPTVGL PAGASLANGAPTPPIPPMNPRRRRQTTTHNILGAFKGSSPSERSDQYHHHSPKHHNQN HPNSSRRSEEHSTFSDDEKRPRARQRLRKISSEGGNLNAKARHHLMNGNQPALPPPPR HNRVEGLL TRV_04546 MSADPHSQNDGATGSLGSNDGLPPTVATFSPTNISGNSLTSKQR STIIVHRKSPLLVATPPAVTRALAYSHPFLLPLNRLAGLLSWTSGDPWESFLLVGAFW AVTLHGSAIILWGGPILVVVALMLGLYSRRYSPLSSTGLTGEKHRREGSDGSSRPHKT LDEIVETLREFTTRCNILLEPLRDLTDFLSTQRTATSTTTRPALTALLTRIILITPIW ILLTLHPIYLITIKRVVITVGTIILTWHSKPARIFRVLLWRSLTIRRICSTITGLQFS SLQHSGDQSSNSFTKSSLLSLTAFRSKQPSQHGSTKRRANSSGVRFTFIVYENQRRWL GIGWTYSLFAYERPAWSDEHLNPVPPKDEFELPEVQGSNSKWRWVEGSEWRIDGSSDP SAKKKGKGGKNNDNKDDGGWIYYDNKVFNFHLQLVEISPDGETIETPSKTAADNESKS KGDSGKDSNSGDDKNSIKARKRRWFGSTDLKGFSAVEPEPSSSTQPINAESTSASLSM VGSSLPKALSVSSGRSSNNTNGSIRARSKRPASIHTDGDRKSQTSSIRDREIEEAENM PDKWGSQSGNAAERAGRGWGLGDDAHMSLS TRV_04547 MAHRILTQIVLTGTRVFGRAFAEAYKQASASSRYQAHAQKTGSS TGAFSSSGLTLEEACKILNVKPPMGGEANLEHTMERFKKLFDLNDPKKGGSFYLQSKI LRARERIEMEVRDAARNAKIEKEVREGWNPKLFKDR TRV_04548 MDAKPVAAWDQLAETWDTLMGTPGNDYYTVIELPALERLVQPNP GDCALDLATGNGLVAHWMAQKGTSVLATDGSPAMVAKAQARQSKRLEENGEVKVSYQI LDVTDPQHFDELIQSRGLGAGGAFDIITMNMAIMDVSTLEHLANALPKLLKRDGAVEY KDNPESGREEVFHSLKMTKYLHVPPYKGVATQSQPHPQLYFHRPMHEIFAPFFKAGLV LDALEEPNFDEAYVKARDLDIGSLRQFTQFPKILAFRMKIA TRV_04549 MASNPDPDAQRNPPSLGFKVQRTVLRLLCSGEEYRKLHESVIQN LPRSIQDRTYSPATFNNIIKSRDKYTTAALRSSLRLFWITRLGMKLLDFIKSRIIARA GASSASSNVPFRNSPAFRLPLSLSLMLLFHRLLHRFFSRLRANLRTDNAQPFRERNPR ISKALTSKYAPAIGASLAGFFLALYPQAQLRLTVAIYASTRSLEVLYNALLENGWLSL RPWWFGSWLLMPLSMAQLFHAFVFDREATPSWFGNVILRFTPGYIKRRPSGLPGTVAW PEPFETVDALAKVAELKWPPFTSPILHPSVTDTLPAAVQTISPITSPAHPAIASLSCA LLHPKSPSCLTAFIHQVLLSIPPLVRSVAKVYLALSVLKFKSFVTSPVTSINEVSKKI LSATAIISSSIGAAWGSICLFNAVLPRKLLPTQRFYLSGAISGLPFAVLCGSGYRAHF LYLFRQAVESAWKTGVKRGLWRGYKGGDLWVLVASWALLGVLLERNPANITDQGFRKA LTWMRGDGYNDLAERRAKKSRRDSAEQARSS TRV_04550 MAQVTPAADTTQRLFQELKSKNEDTRNRAATELHENIIAASREL PQDKFLDHYNSINQRIAQLIVTGNDANEKIGGLLMLDRLIEFDGVDTAQKTTRFASYL RSALRSNDNSVLLFAAGCLGHLAKPGGALTAELAESEIQSALEWLQTERQESRRFAAV LVIQELAKGSPTLLYGFIPQVFDLIWVALRDPKVVIRETAADAVSECFEIIAARDSAV RSQWFSRVYEESLQGLRSNNVDWIHGSLLTLKELLLKGAMFMNEHYHNTCEIVLRLKD HRDPKIRAQIVLTIPVLASYAPLEFTNTYLHKFMIYLQAQLKRDKERNTAFIAIGKIA SAVGHAIGQFLDGIIVYIREGLALKARNRAAVNEGPMFECISMLSLAVGQTLSKYMES LLDPIFACGLSKSLTQALVDMAHYIPPIRATIQEKLLDMLSIVLCGTPFRPLGCPESR LPPIPSFAKDFGSLPQDRSDSEIALALHTLGSFDFSGHVLNEFVRDVAIKFVDSDSPE IRKASALTCCQLFVHDPIINQTSAHSIQVVSEVIDKLLSVGVGDPDPDIRRNVLFSLD KKFDRHLAKPENVRCLFLAVNDEMFPVREAAISIIGRLSSVNPAYVFPPLRKLLVNLL TGLSFATNSRQKEESAQLISLFVSNATKLIRSYVDPIVTTLLPKTSDGNPAVEATTLK AIGELATIGGEDMKQYLPQLMPIILDSLQDLSSQAKREAALKTLGQLASNAGYVIEPY KEYPQLLSILINIIKTEQTGSLRKETIKLIGILGALDPYKDQQISETSPDVHYVNEVQ TVSDVSLIMQGLTPSNEEYYPTVVINTLLQNILRESSLAQYHSAVIDAIVTMFKTLGL KCVPFLGQIIPAFISVIRSTPVSRLETYFNQLAILVTIVKQHIRAFLPEIIEVIRDYW GSPYPVQCTILSLVEAVAKSLEGEFKKYLAALVPLMLDTVEKDNSVRRQPTERILHTF LIFGSSAEEYMHRIVPAIVKIFDKTQNPSNIRKCAMETLAKLSRQVNVSDFASLMIHP LSRVINNAEKPLRQTALDCICTLIFQLGQDFNNYVPLINKVLKFNQIHHHSYHVLVFK LQKGDPLPQDLNPNEHHSNLVEDSAFADVGQKKIFVNQQHLKNAWDATQKSTREDWQE WIRRFSVELLKESPSHALRACASLAGIYQPLAKDLFNAAFVSCWTELYHQYQEELVHS IDLALTSQNIPPEILQILLNLAEFMEHDDKALPIDIRTLGKYAGKCHAFAKALHYKEL EFEQDQNSGAVEALISINNHLQQSDAAIGILRKAQAYRDVELKETWFVKLQRWEEALA AYKRRELIDPDSFEVTMGKMRCLHALGEWKMLSDLAQEKWNQASNEHRKAIAPLAAAA AWGRGQWELMDSYIGVMKEQSPDRSFFGAILSLHRNQFEEASALIEKARNGLDTELSA LLGESYNRAYNVVVRVQMLAELEEIITYKRSVDDPEKQEAMRLTWNKRLLGCQHNVEV WQRMLKVRALVITPRENLDMWIKFVNLCRKSNRMGLAERSLSALESIEAADGGIPPEV TYARLKFEWASGRQAEALKALEEFTISLTESYGRYNSILSAQDEHTPTDGPALTNGIN DSNHTNAGYSKQHLGDANKIRRLLAKSHLKQGEWQTVLQRGDWRSDGVRDVLNSYSAA TQYNRDSYKAWHAWALANFEVVNSLTAQPEREPPHHIILEHVMPAIRGFFRSIALLPS STLQDALRLLTLWFTHGGDAEVNAAVVEGFSTVSIDTWLEVTPQLIARINQPNPKVRT AVHRLLAELGKAHPQALVYPLTVATKSNVVRRSQSAMTIMESMRAHSSRLVEQAEVVS GELVRVAVLWHELWYEALEEASRLFFTDHDVEGMLSTLAPLHDMLDKGAETLREVSFA QAFGRDLAEAKHFCNLYRETGEMGDLNQAWDLYYNVFRKISRQVTQIKSLDLKYISPK LKDVVDLDLAIPGTYQSGRPVIRIQSFDSVAIVVQTKKKPRKMIIRGSDGNSYMYALK GHEDIRQDERVMQLFGLVNTLLDNDSECFKRHLTIQRFPAIPLSQNSGLIGWCCNTDT LHALIKEYRESRRILLNIEHRIMLQMAPDYDNLTLMQKVEVFGYAMDNTTGKDLYRVL WLKSKSSESWLERRTNYTRSLGVMSMVGYILGLGDRHPSNLLLDRITGKIVHIDFGDC FEIAMHREKYPERVPFRLTRMLTFAMEVSNIEGSFHITCEAVMRVIRENKESLMAVLE AFIHDPLINWRLGARESPARLSILDRHPPTESNMDNPIQPTTFSRRRPSILDGGILDA QQGIPNEGREVQNARALQVLGRVKEKLTGRDFRTPDELNVSDQVDKLLVQATSVENLC QHYIGWCSFW TRV_04551 MSPTTKILRKSRLFALPASLSPPAQSLTSSLNWSDTATLPYPTR ATIETPASSLARGDWGLKRPLPAKSTVDSSSNPLVRINYLDTYEHITDFHSANDHALT LKKYQELSLPISSTTHGTSSLVGSGRHTSVFESSTDNMTASPDHSSEKKLRYRFKGPW LAGMTEIEFERYLKKVRKQRPEFLQRLREVVIENKALQTRRRLMDEGKSFEESDLPKT LSDAEFESALLALRADPAALGPEVNKFLDLATPPKVPDRRIHLRNWAAGPSDVASPQY ARDGPPKTHPSAGLSYLRTRSHLENHPIAGPQQNPRPVQARLLRARGRGRGGSSKSIV GVAGFVTDDIGPSASRDFDSQKGSIQFDPDLPGGAKYWVKVEKATVDAKGKVLLRVDG ATNAVKALHGVQGAAEALPSFVTNRAGYGRLDDKR TRV_04552 MGRVRTKTVKKSAKSIIERYYPKLTLDFETNKRICDEIAIISSK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEISALDISQTESGQLD VDADTKDLLKSLGFDSLKVNVTPVSQQTQNIDGRRFDRR TRV_04553 MAGRGGAHQDRTLKTSLQAVHASSAPASSQYRGKGSPDPRHMAI ALHHARQIQSRKELELQILSHIETLMELPTTPGATVESPSAEDVSTFFSAIACFQPSD YDNLIQERNIDGSCGYTLCPKPRRKDNSKGGYRIVWGSKGSGPGGRGKDMKVVQKEKL EMWCSEECAERAMYIKVQLSEKPASERIGSAGKDFVLLEEARRGDSLRLSAERVDRSA MREVEENLQGLTLQTNDTNPTYGQDHLSRESQDLSMQDAGSSSVNPSSDAGARGLLAP TVVERDTDDSRHPKAPIFQPDSAKGGSVEGFHPRQDTQFRNWPSSNKDGDGDEDMDDF LPQI TRV_04554 MSAVPFTVKAVFEYTSEHEDDLNFSIGQIITVTEEEDADWYYGN YTNHAGAKQEGIFPRNFVEKYEPPAPPRPTRPARTRKESETASQSPPLASESAVPDIP QEDSQKRSIDLGSTGEAEKKTAPSSVPYEAPKSSAPVPASSSPPMSPPSQKAAPPPPS APVEAPAKKAPPPAVAEKPTAGSFRDRIAAFNKASAAPITPFNHATHTSSSFVKKPFV APPPSKNAYVPPPIEHPQTYRREEPQETSLPIRSEAPEGTEGTEDEQPKPTSLKERIA LLQKQQLEQASRHAEAAQKKEKPKKPAKKKVESQEPVEPSESMMGVELQRTETSETAK ESSAASGDPAEEGTQPKHRTPVLPPARELTSDTNDADNSAAGDTEEASETSTSKEDVE DKSPESRPLKPTHGDAPGANGSEEEESEEQEEDEIDPEIRRRMEIRDRMAKISGGMGM MGMFGAPAGLPGMPTGSYKKPKAPSADVGTTEQQPEAYAPPVQLMALPGMHVRKPEEK PKSPPAVPEEPSEASIPPPQPLPLNSEDAPETTSEEPITPHARPVPPPPPHSILTSPS LGTKSNDEVLSPARTEKGDDESYFAGHEASGSARQSMDQVRISSPVSSPVTGPGKRQS HPPPPLPSAPPVPPSAQTRPPPPPPPATEPSPDNTGDSRMSSQTPTRPLGSESEEEIT EYEGDYDTDIASGAKHKDALKAHVRDSSIDEGTLADSFSPHSPRSPVETRPPIPQTSF QSTAPRSAPPPPPPGQSPKAARQSVDMLRAPPPPIPQTMYTQEDETHERAREEAFDQY AAPAVPAHRDRGESQVKDIPDETSYEAPQHNMSSQAPRPSRGSSDLLRGQTGTRRSMD VSRPSMDQGYMANDVDLASMSLWWTQPNTPPPVFQGRRDILIEIEESSANKRGGKVTT SKDVYILFMDYSQTIISAQFDSKNPIDVALEQRHEPPPPRLRQDQLEDAHTQFGARIS ESVASKQNTTVGDGTPHALIQTLLAPLKDALLPVGVRSYGALVYANLANASVQQFDEI RPGDIITFRNCRFQGHRGTMHQKYSSEVGKPDHAGVVSDWDGTKKKVRVWEQGRESKK TKVESHKLGDLKSGECRVWRVMSRTWVGWDGEHKA TRV_04555 MTLQYGGVRPSGQRTNNTAQGKVSELRMELQGGGKKDKNHSAKK ITLKKIVANMTMSNIDMIGLFPDVIGCMTIPSLEIKKMCFLFLVNYSRTKPEVALKAL PLLLADMNDGNPLIRALALRTISYIHVRDFVEATVQPLKHLMSDADPYVRKTAAFAVA KLYDHDRRLVESSDLIERLNNMLQDENPTVVSSALAALVDVWERSESITLTIDYTNAS KIISILPDCSEWCQTYILEALMSYVPRESSEALLLAERVAPRLSHSNSSVVLTCIRVI LYFMNYIADEKQVTSLSRKLSPPLVTLLSKGPEVQYLALRNAILILQRRPEVLRNDIR VFFCKYNDPIYVKVTKLELIFMLASKDNISVVLTELREYATEIDVHFVRKAVRAIGKL AIKIESASRQCIETLLELVNAKIPYIVQEATVVIRNIFRKYPNQYESIITTVIQNIDE LDEPEAKAAVIWIIGQYADRIDNSDAFLQDYLATFHDEPVEVQLALLTATVKLFIQRP TKGQELVPQVLKWCTEETDDPDLRDRGFMYWRLLSTDPETARKIVMGEKPPITADREK LDPRTLEELCLNVGTLATVYLKPVQQVFRLARPKRLAPSPALQQPTYQDSHDTPFHIP LSTLLSPSQEPEANAQSISSNPGMVQKPDISAAVNAADVYFAGIGNDQVADQMAAMSL GGGGVHGGDMVATAPESQYIVSQNQQQAYQHNMGGATGEVLLI TRV_04556 MLMSGPGYLGTTVLVFAWFLAAVAIGVVGTRLYVRWRIVGKYTV DDGLILIALVSLTGILLKTQNGTKLSKKQIKYTVKWVYLCEFFSIMSPGVSRIAYASL LLGILPPIKWRSRMLWTLIWIQFVVDVGTVIISFVQCRPINKFWDSSVPGHCWAPTVQ QYAGFFQGTRLLITITSYVDNADWIINRTKSAMIASIIKTVQLQAITAKADITYAMAH LATWWTLEAYLVIIATSIPTLRPIMSTNRQRDKSNKRSNISDSHQASYVHSKQFESSD DPKLLDRSCGSRNSTPNNGEVYLMEEGHRQDGYSSEGVDGIKKETTIGVTYETATRKD QRASMGVGFE TRV_04557 MTPGYSKLLLHEIIIPEAGASQLQAMLDMTMMAFNGGIERTKQQ WTALSEKPGLKVVQLWGPAEEDDGGIVEVVKA TRV_04558 MFPPPPPPHWAVDMNSPAPSRASKSASFPDPPGYSAPKSVSKQR AQPSTSPSSTPSKTETDALKLKKAWELALAPAKQLPMNAIMMYMSGNSLQIFSIMMVF MLFKGPITGIIGTNAAFAKLESESIRGQMVAVKVVYVAMQCVLLALGIWKVNGMGLLP TRV_04559 MDFSKDPSSLTREYARNLDEQDPLRDFRKEFIIPSKADLTRTTL APPTGEEARKLEESCVYLCGNSLGLQPVSTSEHVNSYLRSWALKGVLGHTEPHTDQLT AEWLNIDDRAPELMAPLVGAKESEVALMGTLTSNLHLLMASFYKPTKERYKIIFEGKA FPSDHFAIESQARHHGLDPEDAMVLIEPVSEDYPILETSQILSVIDAHADTAALILLP GIQYYTGQFFDMKTITAHAHSKGLMVGWDCAHAVGNVELKFHDWDVDFAAWCSYKYLN SGAGAMAGLFVHEKHGMVTEDSFRPRLSGWWGSDKSSRFIMDNKFVPRPGAAGYQVSN PSALDLAAVTASLKVFNKATMQALRRKSVKLTAYLEYLIDSEFPSKSERPFTIITPSD PDMRGAQLSLRLRAGLLDPVFSCLLRNGVVLDERRPDVIRVAPAPLYNSFEDVWLFVR ELRGACDEATAR TRV_04560 MALLLDSLEVTVIIDNELDVMSPPPPTTVGSIISHGSLGNISRE SAHVYRGNEEVRELKMESICCAAHGLSILLTDSGQTGTIGNEKRSILFDTGPEEDAWE RNAKRLASFLAGIEIIQVAPFIYYLYTINQYMTMANFIGGMLRAIRMIKEAQGAQGTS NAGVVVDVHPSRPKYRGFQLGEEIISLQADPGFDAMTAAGATVSKNSQPHTLADGMFH VSGMIPRETEYETGLKGAMRLDDDGKWEKDEEIADERAVTCRVRGEYNDRLYNKLQTL PRADKEKGLGLIAVTGCSHAGVVNTARHAKRSQQQGGKEDEAKEGQEEDIFAIIGGYH LATSDEAAVHATVHDLKALNPHFLVPGHCSGWRVKMAIENAMPGRLLPCSVGAKLTFA DQALSVGDPSVPCSSSTNS TRV_04561 MASITDIMKADHQELRDDYAKTINAADEDSMTRHGNKFTWDLAR YTVAEELVIYPAIESHMDHGSVIINRDRAESQKLKELLANFQPLSASDAEYRPTLDDL MSKIDTHINEEEDEYLPSLEAVLDPGESQDLARTFERTKVLMPTRSHPTAAVEQPAFE TAVALVQAPLDKVADLMRKFPEE TRV_04510 MANETVSIDFTQVAKQFVEFYYKTFDENRGNLGSLYRDQSMLTF ETTSIQGAVAILEKLTSLPFEKVAHQVATLDAQPSNENGGIMVMVTGALLVWNILILL ITHYSRVDDSPAPMNYSQTFQLLPDGAGSYFVFNDVFRLVYGS TRV_04511 MSENAPHVKSIFDTPPSKPSTAKRSHFERQKAEAEAKRQRDKAE TAAVYEDFVKSFDQDSSPSTSSNAFGGRSTQQHHHHASATGHGPFSGGPSKRHFAGPM RTTSESLGKPPLSFSKKRPFESLRDSQRDRDKPRGIFGFEGARTGDSLNAFQASDDEE ETTIDKKEAEKAAAKPTLHLASLPPGTSPAVVKSLIPPILVVDNVKILPPPPPSTHGV NEKRIWSAIVTLAHDTAATDMDTVVSSLQNKYLGWGFYLSISRHLSSAAIHSSIPIAP GSTSLTNQPFGARPITRASQFGRGGPHRSGIAPPSSYDSRYGGRSNPGLQVDVKPPSD LRQLKLIHKTIENLLTFGPEFEALLMSRPEVQKEEKWAWIWNSKSTGGVWYRWKLWDI LTNPGKRRGRGRNHASTTVIFENGPMWSEPERNLRFEYTTKLEEFVSDEDYDSSDEDD SDREEEKRRDDAANDTGNDGTGHLNPLQKAKLTHLLARLPTSHSKLRRGDVARVTAFA IKHAGGGGDEVVDMIVSNVNKPFAYTSANPERQKDENGASGSNKNDVDEPVKPDNEST EQSKKTTADEKLDTSSASLVGLYIISDILSSSSTSGVRHAWRYRQLFENSLKQQKTFE KLGRVEKELGWGRLKIEKWRRSIGILLNLWEGWCVFPQASHEAFVNSFDHPPPTEKEQ AEEKARVAEGKETGVFGSKGKNKWKAVEDTETTGQFDPAANPTDGGDPMDLDIDGAPI ADDDLNGEIFSDIDGVPMEDSDLEEVKDAGLGQDKPPSKEQEEKLVTKEEITPQDPIP QPAEPAQPAQKRRRPKAEDMFADSESEG TRV_04512 MPDQKSSNDGSRSSPELGRSASYIHIPQLLDDSETASIKRTISE VNLSQLATDAHSPTQTIDVETGKSILRQASLREKAGRRPLRNSKNHNNTATGAATTTT PTATTTTTTATTTTTTTTTTTTTADATTPDTTDNTSTSSNNTPSTPASVNPSVEVTAA PRVSTERTRKAFSKPSFANLTLKPWKTSRSPSPVPTTSTSPSSSPPDPKPTSSVATSS SITSTLSVKTTDTGSSTTTATTSISDSASTSPIESSGTTTPKRGRRPASIVSLKGKSH AESKSNRDSSQHSIRRRPSWDRLVASVSLSSSKQDVPPVPQVPVSQTSRAKPESPKKR DELWHVFRGLDVDYQKFASKSTPLKVNVLRSSFLPVLSRILNHPSNHNLRPEDLDRRT NILNRWWTGLLELLNGRNGQSITGTDRPVYLESIVAIMTRPEWRVPFQSNVSGSSSTS TSRVDLAARSYTSLETTGSDFLAESIHHNIRNTFNQNLLSQVGYSIDRMSVRHTPASL VSFCGKTCAYAFFFCPDVANVLVKLWNISPTLLRNVINEFDPGHDHVLRGVMAEEISS QFPPAVRNLAFSGHSSFLRALRRNTSVPLAAAQINWFGPWAPRWAGRDTDLFFVFLKH FYLLITDLLPSISDMSKRIYVPGFIPVHAQMLIVLQNTLSKHTGLQPTDALHSTASAT FDDLLDGAETSASLPLRQTNSLILMSESRLIMTLRDFLADSSMQQLTKQLFIESFCKI LKLGARKTSLYDHNACFALCDFVEELIPILPPYCRTNDVSDPLDWDFWLDVCLEMLKS NNSLTEVRTFAFIFTGWAEINHDIRRKERLCLDMLLSTALFTEYFNHWSPMVRGYYHR LICWRLARHDGTQQSELDIRIYQTLLDKLGHIWEQFVNHQSIAEKGLVAPVSTAPCTP APGRRLIIIRNDYFPPAPSMFVCLDNILPPSMAIRSHNGESSNKAQSLTAEAESTSPQ LKKTWKILRTIFKSNSNPKPGEVTPPGSSSPETASTTTASEGRSAGEHPKEDGTGPGP ESGSSATPRQAYTFRFCLEWIDRQRWPSRNRQLYPPSLPAPARLFLHALQKPPVNISD SSSGSRSHSRSGTGSEDSSETDSAAEEPDSRVTSGTIAPRPNNASKNLFAARIHPASN GQMNKYAGRALAEWAMVVSECDNFFERRRDEGVPCDRLVEVPTLGADSFRK TRV_04513 MNKHLLTHQTPTFNLTRKEERKAKGRRDIKTTNKLSSRSSQPVD SQSSPYLRRDDVSVLQILGRESPQFPRPRLLSRNWPPMLTGQPPGLFSEPSSPPTEPD SDPVQDLYIRELAAYKPTPRKANDADGHVQKFSPPAAPQSPEEANITAELKAYESQAV EVEGQGQEAGQPTPVEEDWLEMDEEKPAAH TRV_04514 MPWKENLAARLICPECKENPPNLVPESHETICGSCGLVLADREI DPHSEWRTFSNDDQNNDDPSRVGDASNPLLNGNQLETQISFGTGGARSRELHRAQSKM STEKTNKALLAAYKEIGSLCDGFNIQKNVADTAKYLFKIVDDAKAFKGKSQDVIIAGC IFIACRQCKVPRTFTEIFAVTRVSKKEIGRIYKALEKFFTAQNLERINSVVSSGGVPD PNDAYTGTTSTKPSDLCNRFCNLLDLPFQVTNVSSLLADRVTSMGDLAGRSPLSIVAA SIYMASFLMGHGKSAKEISGVAHVSDGTIRGAYKQLYAERERLIDPAWIKDGKGDMSK LPIS TRV_04515 MGDQKVPYEHLPIPTYEEATSSRPSSSQSRLGHREVSDDAERQG LLHNHDTAGERSQRPFLRGYRPPTVESARSSLDFLSDNGSSARGSTEQLRRELEEMEV EDPPQASSSSSSSSFAKRFTSFKRTLSSINLPLRKYFPSFTLPSFDFRFNICAGIEHQ QRCIILLRVFAVCLVASLVYLLFVSNFFSFSNRINQGQIYQPESVRVFLENHINETAI GQNLERATNFPHIAGTEGNYALAKWVEENFKSYGLESVELEQFDVYLNYPKKGGRKVA IVDPPEARWEAQIEEDQVYTDPPREQTMVFHGLSKSGNVTGPLVYANYGSKQEFKKLA DMGVSVKGSIILIRHYGTQPDVALKVKAAELAGAAGCIIYSDPAEDGFRKGDPWPKGR FMPKDGVQRGAVNRVAMAAGDVLSPGFSSRTDEKKRLKVDEAKGLPQIPSIPLSWKDA QHLLMALKGHGKKVPKDWVGGVPDINEWWTGNEDSPKVNLMNLQDEVERQPIYNVIGR IVGVEQSKNSIILGNHRDSWCFGAVEPGSGTAVLLEIARVFGELKARGWRPLRTIEFV SWDAGEYGMIGSTEHVEDRTELLRANAFAYLNVDVAVSGDKFSARGSPLFEPILLHIL NRVPDPRRRATIRQLWDKAGSKLEGPDAEGDSLPFQTISGTSSISLEFKGDRYPAHSC YSNFDWIHKTGDPGFLYHRAMGQIWGLLALELCSRPVLPFDIHPFAKALTQYVLDLHN YAKKISVPLKPLKSRDKNDSNKPDDGVVDLGPLYDAANSLNAEVAKFHRWEGNWNATI YDQNGFEDDVSALRRMTHNTHMAYFETNLLDLEQGGGVSFLSYCLFKTPSSNLISQVP NRTQYKHMIFSPQLWPENPEDSTYFPAIRDAMDSRDWTQTQVWIDKVSKIITNAAVRL NQ TRV_04516 MSSRPQNIGIKALEIYFPSQCVDQAELEKFDGVSQGKYTIGLGQ TKMSFCDDREDIYSICLTTVSSLLRKYSIDPKSIGRLEVGTETMLDKSKSVKSVLMQL FAPSGNTNIEGVDTVNACYGGTNALLNSINWVESSGWDGRDAIVVAGDIALYKKGNAR PTGGAGCVAMLVGPDAPIVFEPGLRGTYITHAYDFYKPDLTSEYPYVDGHYSIRCYTE AVDACYKAYNAREKVLKGQNGDSNGIVDESKTPLDRFDHILFHAPTCKLVAKSYGRLL YNDYLDNPDHPAFAEVAPEVRSLDYEKSVTDKTVEKTFMGLSKKRFNERIAPSIEVAT QCGNMYCASVYGGLVSLLSKVPFDPAQPKRVGIFSYGSGLASSMFSIKVVGDVSNIVK QLDLQKRLDARRVVDPQVYDDMCLLREKAHLQKNFTPVGNVDDITPGTYYLTKVDDMF RREYAVKA TRV_04517 MASELYYFKPSTPPRALMSDAELLGSAHSRTTSGSSEYASSSAY TDDSSPASRSTSVSTSSRSPGRRAPGPLLLPKIRPQDIVVEPQSHYGPQRSRKALSTT QNPPGFAPYPTSRPSISRRAVEPIDCSLVSPVCATPASYGYPGGSAMSPLSAVPPSSS RRRTSHSRNPSVSSIDDATLSRYGYPTYRQIPKYLSQYRPTTPASATSFTYPTPTYPT TPYTATAGDPLVSYPTSNYSTPAYPGTYPSPAYPTSAYSAGAEAYAPISEVASTQNHC GFSDTTARALGVSTVDPSFPPSLNVIPPSLAPPMDYSSSSSSASTTLLEYLNAPMQAI NLVETMAYPSNRVSPAHFWWDVRNIRQWSSFSLEAMSAIPRFPQLLTTGVSQDCLPLS TVAPSRLYPDSEFSLTDLIRDVYAPRVNAALRISQGRDCLSLYPAPINPANRDNDPHF IANYASDTEQTPSGLPRGRIVGLVKTFNRWNTGMRNEAPHRRVEYLNGLSHLQRCMRE HSCRYGFIMTEIELVCVRAGCDEGDDVPYFGFLELATPIATKTPYNPSLSSIGLSRST SASSAQSSEYSTSSRGTSPFPTGFSGPFSATMALYYLLMLSKSVPLPTQPSWHLNVGG PGALTRQRTLPEDKDSWIPEPQQREKREAKRIRGWVWPHDAWHRREGGGSSRTRAAKI AAAKRWHK TRV_04518 MARQPGPLRFLVIGAGQRGTAYGKAVANATDGIIYAVAEPNNET RQRFGRKYVWGEKQPVTGQEFQDWKSWLDWEKNRRSKLDGSSSSTDIGVDGVFICTLD DSHLEIVQAIAPLNLHIMCEKPLALSLKDILLASKAITDASPTSPLPSKIFSIGHVLR YSPHNQLLRKLLLTDRVIGDIISLEHTEPVGWSHFAHSYVRGNWRRETDIGDGSLLTK CCHDIDFILWLLCSPPPGAPVDYPEHLPRTITSTGMLAQFTKANKPVAAGDSTNCLSC PIERNCIYSSVKIYNDLCLAKGSTGFPAHNVYPEIEDVLSKHGMETAKKNLLGILAQD YDVKTMRDEDIASRPWYGRCVYESDNSVCDDQFVTMSWGNDPIPHGLDIGSESNTQSP LGSRGSKLATLHMVAPTESQCVRRGRISGTLGEITYDGETISIFTFGTGETKKHSIAP PPPEEAESHGGGDYGLTRAFVRAVDSVDNKGWDVKKAQVEILGCTLEEAIRSHAVVFA AEEARREGLVVN TRV_04519 MAVKTVDITPFPDQKAGTSGLRKKVTTFQQQHYTEAFVASILLS IPEGAQDAFLVIGGDGRFYNTEAIQLIARIGAAYGVKKLLIGQNGILSTPAASHVIRP NADFGIKYNLSNGAPAPESVTNKIYEVSKSLTSYKIEDLSEVKLSEIGTQQYGSLEVE IIDSTADYVEMLKDIFDFDLIKSFLQSRPDFKVLFDGLHGVTGPYGVAIFQKELGLPA SSTQNCVPSPDFNGGHPDPNLTYAKSLVDAVDKDGIQFGAASDGDGDRNMIYGANAFV SPGDSLAIIAHHAKLIPYFQKQGVYGLARSMPTSGAVDRVAKAQNLQCYEVPTGWKFF CALFDNKKMSICGEESFGTGSNHIREKDGLWAIVAWLNIIAGYAKTHPDKPLSIAAIQ QDFWSIYGRTFFTRYDYEDVDSNGAKKVIDDLAALVNQKDTFVGSTVSGRKVTGAGNF SYTDLDGSVSKNQGLFVTFDDGSRIVVRLSGTGSSGATIRLYIERHESDSKESLKDAQ DYLKDNVALAVKLLKLKEYIGREEPDVKT TRV_04520 MADDGGEEGRKKLKQEKKKKKKKKRERNRTSRNPGRRQASRGII TLCFPLCFCWPQPQPPFSRHPNQLVMIIN TRV_04521 MTGLDPANDHILQICCFITDAQLNLLEPEGFEAVVHQPQDVLDR MNAWCIDTHGRSGLTAAVLSSSTTAEEAACDLLAYIKQYVPTQRTALLAGNSVHADRA FLAKPPYAQVLDWLHYRILDVSSVKEAARRWASDEVLGDAPAKKGVHLAREDILESIE EMKYYRQKLFLS TRV_04522 MTLVKLSILASYLRFFTEKIYIRLAWLQVGLVLAWFVAFMVLML IACIPLSNYWNSFLQKGCMEEEYRLLPGIYSNAVMDVMILVTPCPALWKLHLPVRDRI VLIFMMCLGFMYGSQISDPLLFFLLQGASSRGEGYNVWVWTDLEINLAVICTSIPVLR PFAQKYFPNLGFKSSSAGSRYGNRWGSSNPSNGLGSGGIYKQQTIHQFVRSRQTEDED DGGSTIALSPAEFPSTKTYPTSPRSYDSRANDVRNPSRSGFRNEPAYNDYQKDRYNNY V TRV_04523 MPCFKGLAVSIHTPNGPLAEYSVQRHSRASRIACYIPVPPPKLP NSATGKPEQSTFAISITLLTPGLTVPYSTPKATPDNPYPRPKIVGGLPDQPTAGSSIG PYQPMTASPRETVAAYIYFDGRQKEEVATLLRRGEETWVNSRWVGVPQSEGGGLAERE FLFREVGLERWLNGLDLEGKDAAEKIERRRQKMEKRRRKRELQQRNENAANAAADEGM DLDDKKPKLLREKGVLRYGANDQSPVEQLSDDAELLSTDSDFDDDPIPETAGQIKVAL FRVLASGEIKRGEYSPQFDAHDDDEDLHGGPGGGQESNGNGSTADIDHTTSFAKPKSL DPKSISTQTVTGIDPTDKPYAVFTFMYRGERQLQKMGILKDPKSQDKESLKSARRKST QPDFANIKPLKPGGTTGFVGFRDREKEQKTGKRKGSNGNELDSDDDEDDEGVSVSIVG KAEDEEEKEGNSLLSPDDAKRQGELAEGVRQIKLKRQHSAEPLSAAAGLADPAKASKS STPGSRLSPPVTTRHDSTPPHSSLPKETSMNLLDNDAYVGSPLKKQRASISATDDNVH RQGPVTGLSSKIHEIMDTTATATSATAGETQNSQSSITNHNTSTTTANNDSLGGSLLS EGIMQSDIKSPGLEEEL TRV_04524 MAEPERGNEPATDTQQPTSTQETAAPQPETQQVSPQTQTAHVEP KLSNGSSDIEDEERAERDRIDLQHNISAKVQNPLAGIPKRQLLRDVDEFATKYGIEDA LPLLRKGALIAKDPANFETVEGLTDDERDSIRREVTHKWRQPWSMYFTIILCSIGAAV QGWDQTGSNGANLSFPKALGIDDSPGAPNAEVNSWLVGLVNSGCWLSDPLNQYLGRRG TIFVSAVFCVLTPIGSAVAQTWEQLLATRLLLGVGMGTKASTVPIFCAENTPAIVRGG LVMSWQLYVPLSAPFLSLHMTNIFTRWTAFGIFLGFTANLVVKDVGTIAWRLQVGSAF IPAVPLVAMVYLCPESPRWYIKKGKISKAFHSLKRLRNHQIQAARDLYLIYSQIQIEA ELAGDSNYITRFFQLFTIPRVRRATLASFVVMIAQQMCGINIMAFYSSTIFKQAGASE SEALIASFGFGLVNFVFAFPAIWTIDTWGRRALLIFTFPQMTWTLLAAGFCFYIPEEN RAHLGLVAFFVFLFAAFYSPGEGPVPFTYSAEVFPLSHREVGMSWAVATCLFWAAVLS VSFPRILQAMTPTGAFGFYAGLNVLALIMIYLWVPETKQRTLEELDYIFAVPTTTHMH YQVFKVLPHFFRRHILRQKHAELEPLYKFDELHRDDDSDDARPSVQADHGATAEKM TRV_04525 MLASLFSSSSSSSASSSLSTPEQDETMTFYMSIKWIAPDEPFSA PGDSGSLVYTFFDNSIVPLGIHYGSDGDESQAYLLDSWFTEIEAILNCDGYFCELTEC KLGSVTSSASFLTQLNQATIIVMASYVLNLSSQKENNFSVQIL TRV_04526 MVKKKWQQKKRERRICFRRAAVVIVVVVVVENEAVDIEVVGWKK ARRGRLGIYVWKGLTNLARQSNTGETEARLSCQQTVLTVGL TRV_04527 MPFTPMPKVPDIYLRNCNINDTPAEQYFEPVPLAQRPGFNTTGK EIALSANFYPILEYPKKNVYQYDVMIGNGAEKRVVMQKVWDSKTRKSKLSRDFIFDGS KLAWSMTKLDKDANFVVDLDAEQGRPPSKNSNAFRLVVRYTKTISLQTLASAIRGDIS QDKETTQCLTFFNHLLRETPSQRFIAIKSSFFSDNSPQMSVGCGVHAYKGIFQAIRVV QVLELRDPQQLIYNCKPEKDDFGGRKGSRKFQLLDRMRKLVVRANYPGCPCPDKEWTI REFLLANAKEYKLEIKDHATGKINSISVYDYFKNRYNVTLTYWELPLVQMTKKDVVYP MEVLVIYKSQKYPFKLNDLQTSSMIKFAVTRPAERRKAIEESKKNLQHPSDPMLNAYG MKIGDNMMKTRARLMPNPEIMFGGNQKVNPGTNGRWDLRGKKFYTKNTKPLKSWGVGV FKGRNPINMAQVEAFCDALVRAYQGHGGDVESRRPLIMEVVADPAKAVFELFHATGNK FNLRPELMIFVVADKQSFHYLRIKKSCDCRFGVPSQVLQGQQVGKCNGQYISNVLMKI NAKLGGTTARAVSKQCSGLPPFTMIIGADVSHSSPGSFSPSMAAMTVSMDTFGGRYTA GCETNGERVELISQANIRSILSPLIREWVMTVGKGSVPQKVYYFRDGLSSGQFQACLQ QEIPHIKDIFSEIMGSDWPGKMTIVVASKRHHVRAFPEPNDRMAADKNGNPLPGTLVE RDVTDPYNWDFLIYSHIALQGTSRPVHYHVLVDGIGHNPNQLQNMIYDHCYQYMRSTT SVSLSQDRSLDQESSKVRSDKDPVSLILPNFYLCQTTTASGSKCGTFKCASALSLTFP FILIQRDACVEWAKRKNTNKQTNKQKKKKKKSPTSTSRPRPGLRSISFFFFFPYDEDA GGSGSICPQRKIPRRRLEVARRAARTPLGG TRV_04528 MERHRRAAGALSQDPAHKWTLDTSPQVKARNRYGDVQAWENCRV HLKVPAGECDFINASPIVLKDPETDGEYKYIASQGPKRDRLADFWNMIYHEAGEVAVL VMLTQTVESGKEKCAQYFPQDMENPTFEFSSHSTTEPPAAHFSGSVTLLNTIYDESIR SNVSELELRVGSNTKTVWHFLFAGAGVGRTGTFIALDHLLRQLQSGQLLKADDKSADA VFNTVNRLREQRMLMVYNAFQYQFIYDVLKEQTQIKLGIQSAPIEPRPQKIAKPDDGM FKPELVALSPADIGSAAEPDDPESENNEAKDVGRDKESKGDKENNDNHEADDDDDDED EDDEDDENDNDKAEDEDES TRV_04529 MTMLRIRYPFAGAFAGIFLLSAYVGLLPHSNTPKEGEAPAPSPL QINDKVLHLITFFLLSLAFYWILDTTRRRTLHLTLIVCTLVLGIGSEIVQSLVPNGRS FDPFDILANVVGSLGAIGLCTWYHKRMLERRRKARFGALLGNTEEDVELGLANGTSEQ ETGVTNAQSLEEEVDNWDENAEDNWDAADEVEDSGVIAASGDDSTGDLGGKTSTNGAG KPAESDHKN TRV_04530 MSLNHHHTWLPPGHLRPPDDLFDTHSSVNGLSRTPSRPRTPQLR SRATLESMPSGMSISEIDPAPEEDPRIVAFRNSYQESEAKINYLFSGKPKISDSGLPS AESRDTNAAEAGSESAQPAPQIAPRKPARNLDEDDYDEYDDEGGSDSGGQGPSPPKSK SAPTPPEPKHATQSPPRLSSQPGSAPENPKDTKKESAEDIRKRLEQDKKATEEAARQS FHTIFYTLENDRDAMLDQQRLEESERQVEAEMSSQNNANNDSTAAQGPGHGTLSSANL GASSLTLKNLIARIDMKRHEVQASDAELRSLMSEVRKNRSKWASEEKIGQEELYEALE KVLSELKAQTEHSSAFLTKVNRRDAPDYVNIIKRPMDLGTMTKKLKAIQYKSKQEFVD DLNLIWANCLKYNANPEHFLRKHALYMRKETEKLVPLIPDIVIRDRAEVEAEERRLQL ADLDGAEESDDEPIMSSRGRKAPGKKSKKGTSTTRTAPETKSETTSVTDSRPQVQEPP IARQDSFLEGSQNDSSTPPPGTLTPLTQNNSGLPATQGDSMDIDCIGPPPAGQCAALL GLGSEFEDPEFKVWKLVTKKDRALVAAERHRLFKGDKINPEEQALLRTKPGMRRWIRN RRATPGETDKPEAAPSQEKAEPSGETLAEGIEEEEDKMLPDYYDVMSAIPDLPEHLHW TEDAEGNVVEHSEEFLRVLPSGLFTAPVSKFSKKLDANVRQMQETRKACSKTSIVKQM QQQSQMYQNQFQKYQPERLVEQDIAPHVMNDEGPVINPWVCRAALQRSIGKILYHTGF EEYQPSALDAITDVASDFFTKIGQTLKSYMEAPKVPVSDNSSDTTGISEWKLPYTSEE MILHTLQAVGTDVDTLETYVKDDIDRHGAKLAVIHERLKNHLTELLRPAFADTSGDGS GAFNDGSEQFVGGDFADDIDEDFFGFKELGLDKEFGLASLSVPLHLLQNRMFNAHQAQ NANSAQSASDLFPTPPQYPKITLESIPSQIGLARNFFLAKLHANKDEPLVEDYELPPK QRPMAGRPRVPASGKIPPQPSLGIGSSPQKRPAPPSTAITTKGMVLEPSKKKIKKSTG APTGTFGSEGATEDSALTTTPNSKGVGVVKTKPTDGSNGHVGMNGSANDTNGVASEPI GSQPLKGSA TRV_04531 MASDIVTRELEEPIDVAEYLFQRLRQMGIKSIHGVPVLLFAFGY NAKSRIGYAADGYARINGISALFTTFGVGELSALDAIAGAYSEYVPIVHIVGQPSTAS QRDGMLLHHTLGNGDFNVFANMSAGISCSVAKLNDPRDAAAYIDSTLKECWVRSRPVY ITLPVDMVKQKIEGKRLKTPIDLRLPDNDQEKEDYVVDTVLKYLHAAKRPAIIVDACA IRHKVLDEIHDLVSKSGLPTFVAPMGKGAVDETLPNYGGVYAGDGSTAQVREHIEASD LILSIGGIKSDFNTTGFTYRVSRLNTIDFHSNYIVVRYSEYPGVRMKGVLRKVINRMG KLNITAPPKQENVPEESPQFPAPAITHSWLWPNVGNWLQENDIVITETGTSSFGIWGT RFPKGVTAISQVLWGSIGYSLGACQGAALATKEKTPRRTILFIGDGSFQLTVQEISTM IRNGLTPIMYIHGWKATYNDIQEWKFGEFPSAFGAQPDKFATYQIRERQELLDLFSNK EFCSAKRLQIVELHTPQEDAPTTLRMTAEMAAKRNE TRV_04532 MADTGGKRKASDPSAREKKRQKGQWKGHDKRKINSKGKLASGDS GIFVSCDRGREGKCSAEILDLLSQEVPEAAADQKDDGTGDENENEDVDIEEQIKREVE QMQPKRSKAPFQLINLDVPCHIVHRLCIDARAHPEQKRSRWVKRLTPITSMKKILGGG LEELARDVLRPHFHSGGPPRKYAIRPTIRNNTDCQRDSVIKLIASIVGGEHSVDLKNY DLLILVDVIQTMCGMSVVEGDYDELKRYNLSEIYSPTPRPEVKPEKEPGGDEKVQKEQ KEA TRV_04533 MVSVGFSGKVPRNNKNTPSIDGFIQPLFPNQKVLDIQTYDEDPH PHYLLHMSGGTELALKTSTRQPETVLYHERHALEVEAHVLLLLEPSGIWCIPKLIRCE VVPSSPEVTCLLRYKCPGTPLSEIKEKLTSEELKRIDKQLGITVDRIGQHTATKFGPV YDICMGRGERTWKQAFLNLLESLLWNAENMLISLPYFEIRHQASRLSAVFDDVTEPRL ILHNISQPSHIIIDPETKDISGIIDFTAATWGDVLMTDIFENPSLELISGYSCYRNVL KIVKQYYRNQPHEEELRVRKNLMSDLASIAEYTP TRV_04534 MAHFLRGKQAGIQSDLSGSLSPESFLIDEFGSGEIYVFGQERVS AVFSLPRKASTSILQFCGDKLLAVAKNELYVFSLDERKLVTSYAPPGHATCVLTDPAL DYAFIGLQNADLLSAIGELVTYDLDRHMVAPFKVSNLWKERNSRARFLPIVSLAFHPK DIGTLLIGYSDGAVIFSIKQNVPVKYFQYEVPKGAPGGDSDPSSARETRWPKVVKALW HPTATFVLTAHEDSSLVFWDPKDGRVVMARTIQAIDVNKPGELASTSGSTPGTFSLKA PIFEIAWCCKENPDDTGLLIAGGTPTTEMTKGLTFIDLGITPNYQTSSWQVLSGHFSS LKRQSTLPTPPNAEVAQFCLIPRASPHFGGAQDPIAVIALLSSGELVTLSFPSGYPIT PTNVFPPSLTMVHPFATKFDLACIDRTRWLGWREKRAQGPPLLIGGAAAKKALKRFET RDIAIVAHADGLIRLWDIGHDDQIENPTVLQVDLARAVGRYDKIETTQMSFSGAAGEL SVGLQSGELVVFKWGRNENAGRDVPLGENNGPDQITSISHRGDPGLKEGLLPLALLDQ SQGPITVLKHSDVGFICVGYKSGSIAFLDLRGPAVIYSANLTDFAAKQSRRSSWKSHS SMEKGADWPTCAEFGVLRIEDDSYSSISCFVGTNNGHLATFKILPSSGATYTVSFVGS CSLDDRVLSICPINAETGAQTLATQEAVSDLRNGGRVNGVIVAVTPSGCRIFKPSTSK GAHKNWDDFMCDAASVVHSPGGCSLVGLFGDGKARAYSIPALKEIGSISIGKILETRR LGDARISPSGDILAWTGPSEMAMLHVWGVGSPLLRSADRLFNPEAIAPPRPTISNLQW ISGTQFVSTADVDLLVGGPNRPPSKRMLEQMRLEEQERQRAEREGRLPRTNTSNSQGS QESYWAYMQRQMQERTENLNIMGDSMDRLGENSSGLADDVNKFVRNQKKKAVLGGHMP QGSKIDGMCLSSWNPTPCTSSTYLLIREGVSPLFSVTHEFIDDI TRV_04535 VFGFPKKNVGRKRHYSMGARISPSLNEVLFFLYSSSASLADVNI RTFGLDGDVDEVDEVDGNEMEQSKEKKAWSGRDGDSNDAERE TRV_04455 RLREITNPAASPSATQKENPYHHLRITVTSGGCHGFQYLMSLEP SSKISEEEDTVFEVEEDTPDAVPGAAAGQAKVVMDSASLELLSGSTVDYTTELIGSQF KIVDNPRASSNCGCGTSFDIKE TRV_04456 MAQDNRGRLPPNLQDESDVEEEALVNDYREQVHFDDGMSELDRT TSLGATSQTQDLQAQLAAAATPLEYQATLETKFASYDNYCSLFHYILNSDGPVDLEVP SYFWAWDVIDEFIYQFESFCRYRNRVARTGSNEEEAQLLRENPNTWGCYSVLNVLYSL IQRSQINEQLAAIKRNEDPMAVAGEYGSRPLYRMLGYFSIIGLLRVHCLLGDFSLALK TLDDIEMNKKAMFARVMAAHFTTYYYVGFSYMMMRRYADAIRMFSHILVYVSRTKNFQ KGRDGYDAIAKKNDQMYALIAICVAFHPTRLDDTIHSAIREKYGDQFNRLQRGRPDAL PIFEELFRSACPKFISPTPPDFDNPSLNVDPVDHQTAIFMDEVKNTLFNPTVKSYLKL YTTMDLKKLAGFLEVDAEQLRAWLLINKQRSRQIRWVDGGLLEGEAVNAGDLDYAIEG NLIHISEVKAGRRLVDWYLRNLARTY TRV_04457 MTLIKDPAGSQGESITANGAHYYRGNTQVTQQPTSLTGSPELAY KYAGPPASRSGSGTHQTTYSERPAKTHTESSTNHYYHNDEDSLSSDDRSEIPIHGETP GISHDSPKQSVNGSENRTVAIKNVPDRATHRDITAAVRGGALVDVFLRSRDHMASVSF ADTKAAQDFYNYAKRCNLCILDRPVDVSWAERQYSLSSYLASQMTNGASRNLIIRGVH PNVTEAMIREDMKHIHNLIIVSLSFNHGNVYISTNSVQKATFAHNCMMSRMPYRIMRI EYYHDECADPLPRIKEVHTRGPSRPTAKPLNPMANRFQMLHLDDSEEESDNQDEMESN GPLGDKISWRNNTIAV TRV_04458 MKFQSLLAGAACLLPAGKSSEAGALHVLHKSPVTYLLTLWTVSL VDAAKTAQFRPPNGGDVRFRVNIPTSTAATKKGPIYFQIRAPSSVEWVGLGQGSEMAG SNIFMMYSAATPSNVTLSARAGLGEFMPEHNSRTQVTLLNGSGITEGRMVANVRCDNC LKWEGGSMDPTDAASSWIWAVKLGASIKSNDVAKSLMIHDNMGAFKLDLTQAVGDDKD GNPFGSDNANTPDSGSAGTVVSDGGRIARRSAHGLIMSILFLLMFPSFALTLHLVPSK NTVTHIHAPLQIVSLIAAVVEFSLGISLARDLNKINSTHAVIGIIIMTWVILLQPALG LLQHLHFKKTGTRAVHGILHAWLGRCVFILAVINGGLGLKLSGIGNPGVPRAGVIAYC IIAAIMAAVYISVVLFMSKKPKPAANTIGTNQQVKGSAVELVDRY TRV_04459 MIVEAKFIASVVEEYGPCMSSPKIRVTSFFRKYLTRGQTSCKAP ELEAFEKYLPSDVEILSCHSLHGPNVNPKGQPLVLIQHRASNESLKFVEEIFRSFQST YVYLSGEMHDRITADTQAVTHAAFLSMGTAWHANSQFPWEVPRYVGGIENVKINITLR IYANKWHVYAGLAILNPAAKKQIRQYAESVTELYKLMLGGHREELTKRIKTARAAVFK SNSARQDLLLQDNVLDRFSLSKGGTERMPNNHLSLLAIVDCWWKLGIVPYDHMICSTP LFRLWLGVTEYLFQNEELLDEVIDTAIEDNTFRSDDLEFTFAARVS TRV_04460 MQWFEDVVDGAKKEEEEEEEEDQKRTTKSQKSIKKHTN TRV_04461 MKVKADRDESSPYAAMLAAQDVAARCKELGINALHIKIRATGGT YSNISTQSWQADVQILTLFTGNGTKTPGPGAQSALRALARSGMRIGRIEDVTPTPSDS TRRKGGRRGRRL TRV_04462 MSESLHPSPTILIDNLPAKTLVGVDLLAFTSAPNFHGIKELPPG VHILYTGTTDSFSLRSGEWFTVPDPNEGEQTGSGVDVRLRHWDNSTELLALVDESNDE GRQHAMQQRANLSRIWAAGGLLSYKSRTHKKDDQEETTDTTLAHGEWNGLSGYITPSV LERILGRAELDSEGRPRWTISSGSSAARDSDKIPGLASRGDTEMRGKLVEDEKELRFL PVDLKNTWPPGAVGRDRTEAARDRSWALGNICEWATNNSRATGRIISEDSLSEGEMQI LGEMQITFLTALTLINFSCQEQWMRLLQLIFTCQKAVEERQRFFIEVLRLLKLQLAHN DDVEGGLLEMDGDDGNTVVKKILMKFRRIIYDDLTADISAVKAEFIKLEKWANEEYGW ELAKESTVRRGMVELEDGEQVELEVNGAEEEDETGDYAPVIVDLEEAGELEADEVEDV EDIDMLDQRM TRV_04463 MASTPSVQCFGKKKTATAVAHCKQGKGLIKVNGQPLALVKPELL KFKVYEPILIVGLDKFAGVDIRVRVTGGGHTSQIYAIRQAIAKSIVAYYQKFVDEHSK NQLKQALVQYDRTLLVADNRRCEPKVFGGPGARARYQKSYR TRV_04464 MFLMGKHKPIYDPSTDCGDYVVALGCRNLRTTGKKRAQKLYYTH NTRPGSLKSMSMDQMIEKWGGAEVLRKAVKGMLPKNRLREKRMARLKAFEGLEHPYKE NIVKLNGQNTSITDMPEVREALQNAKTEALSH TRV_04465 MASRLTAARYGKENVRVYKVHRDEAAGTQTVVEMTVRCLLEGDI DVSYTKADNSVVVATDSIKNTIYILAKQNPVTPPELFASIVGDHFTQKYSHIRVAHVN VVAQRWSRMNIKGKPHPHSFLKDASEVRSTDVTVTKGKGIDIRTSLSGLSVLKSTNSQ FHGFVRDEFTTLPETWDRILSTDVDSAWTWSTFSNLEQVHGSAAKFDSTWEVSRGIIL DIFSSDNSASVQNTMYKMGEQILAAQPLLETAELSLPNKHYFQLDLGWHKGLKNTGKD AEVYLPGSGASGLIKCTVGREGVKEKSKL TRV_04466 MATFHDRATSATPTQYRVFGDNKRSSSSADDESADGSWHDAVSA TTSRHPGGLSSSGTQASLSAKSASLAPSNVTQGSFSSDLRHSGISRNATPRPPESISH VRGNGAGDIEAATTEQRQAIIREQIEKEIKIKTGTENMLEALLAKNMKQTKEQRLRVE SELSSSHRKIAQLQNELEEELMRAHMTPIEATEARRLSTFFNTGPGRSPSRATTHATG ESFEAEDSESESPTVVLSETLQELEAEGMSPDYYVDRANNLVDLFKRYPTLKYDLEWS VFGLRVQVMLLSESRDVVAAGYRLTRHAIADRKSIQVIRALHTDELVMLSLVKESKAS MEREQALKFVRAFLDVKDGVQELSRSVVRTLVSIAEHRDDRLRNICIMTIAELLVKDP ALLFSAGGIGTLNDVLGEGTFGASESLAAGFVHVLDAPKSRKYLRAGCELNSVFANFT ETVPDSDRHLKLKFSAKAISAMLKTWPGILTLAQNPERPLQSLLLSLQFPDPQSRDLI LELIFDALRIKPPSWSSSFLAGRRLTTYGRVANMKSEQEKKQSRTVYEDEDNPFDLTA HFSGLILALLVEAGLINVLSDLIEDESDLSLKRKTTLLLVEVLRRAQRSLPSSMCSNL HLLPSLLPSSSPIKAKSDNQHLSTSTIYQMESISRTMTRSDDISDVSGRYSVSTEIPN SLVPGEQSKSKLSSTMDEAQFRTAILDTNVLSSVNFMKWKWDLILNIIEGPLTNPKRL DEAIKGSKFIKRLVGFYRPFKYRFSMVRNTKPNRRYIRTGCALMRCLVQSPEGSKYLA QNKLLRQIAECLAQVDRMSGLTSLSPLFSREQMADTLSGGYFALLGTLSSEPNGIQMM ERWHMLNMFYHIVELADRNDLIQTLLGNMDFTHESHLRVILSKALTTGSKDIRIFSTK LLRKYVIGKVLQGSPVEWVIELLVTQLYDPDVTVCQVAVKILEEACNQRDYLEYVVKC RPSLDHLGEIGAPLLLRFLSTSVGYHYLDGLDYITQEMDDWFLGRNDAYVGLVEASLS RAYIDQPRRNSLALNDIVEMQDIGLVPPHFYRELARTTEGCKLLEQSGHFYEFASTLR DFQLDEEDAEVLLKVKGALWAIGNVGSMELSAPFLEETDIVERIVEIAENAAVMTMRG TAFFVLGLVSRSQHGLEMLIEAGWDAAVDQRGRSLGSCMPLNLSKLYSVKFEPYQART EQDKAARNRYKVASKDSDPLKQKILGLIIDMGNTVLAKKAAADLQVKFWQGSNMFHYG SLKTNRPDCFRRIDLFEKTLVILESHHFRLSARRFALELFDKSVVRRIIVGDESVSDS EMSE TRV_04467 MSDSGAASVVGVEAEDITAICTGLIQLVQCSSCSKPLKAPVGLP CGCTICRPCIPQTHPRTNITYPGTEDRSQGFFCPSACSKSRKPGLDFIPEHCLADCNR DITLGKVVDIIKNYATAHSSSRDPGHDIPIKVHISNQQTSPEESSQEFWIKGSCLVGT YNLMAKGLIPVASAVKCTFNDQDQENSMDLDAEILREIRLAVTKELDCQVCYSLMTDP YTTVCGHTFCRSCVARMLDISNLCPVCRRNLPMILPDDPGNRALNAFSYFIFPERRLS QIRGVPVEQPGASKIDELPLFVCTVSFPSMPTYLHVFEPRYRRMILRVVENGTRRFGS VMLNQTGERTGQSEPCVHARYGTLLEIDRLESLPGGRILIRATGRYRFRVLSSRDYDG CKIGHVQRIDDIRIPFEEMIEAEELSAPKEGQHPKCLNLLSTQELFQICTKFVTKSRS SSSSWLNERLLSGYGEPPTDPAIFPYWFGTVLPIASSEKYSLLSVTTVRGRLKICADW VRQIERDKTVLRSGTRSGFPISALVPFMFMFCIWFLPTLISAILAIFGFSTPEENTNI TATTTATATARPSPFTYSFSVFLFDVSFTYYPSGSSSPRNIQDTPGFVYSGFRISQYF SVNLLLGGFLIICVARILRHFIEAIHHNQRATRRIAPGALTASNPSENHGDSNHATEE SNANDGTSVNGPQNSDITDPVEPDE TRV_04468 MSKSKDSGPSGSYHQEYIASLRYRNDLPPPEMPPKFLDIPHEGL DRFLTAGFASNMVRREEPNIDVDAEGGMPIDLIGIPGLHLGDESAIMAPENPPPIDPA DLPLLMTLDQLRNPAPKNVNVSFLRRTQYIASSGMARGIDSKGSVFIGGPRKGAKPKP TRDDPTYVKKYIQKGFDIAYPKSKHTGPDTVSQIQGLAPTKAELDAWANPVHPDNPKL KPVGFYPVIPDVDGFPDSGGFLQFKFDKTPVPPVNGRRDDRMDMSILIPSSPEPRVLQ QHTSKTQLHKANPALYPDPGPIPYDYDLYLPQKQSSTKQIRRSLNMTNPDRDSPSNYA HESSSGDKYHHYDRLRTYATNTQVLNTEHKYKDVSIVLFDPAEIPEGEREMFRLNQKA AYYYPIISKTRIKPERSQKIARAGLAPTRATAKEDQVDQIQVKLRDPIPEESHKRAGH RAQIDSKYTNPFSVEELEKGQNETDAPGDEEMADHDNDD TRV_04469 MDLTSWPQVVAINQKNYYTDYLKRDDQFLAYRLQNEENRNRMAK AAKERDRALAMSKQNELPLPEEEADQDTTMGEAGNEEEEAVAGSKTIVVHVGSQNLRI GLASDALPKTIPMVIAHRSEMNESEENGGEPKPKRLKMDDGELMEPEKMFGPDFGTLY TNMCSDLKARMRQNKRRTLPNSKEMVVNYNRRTPPETISEHNDAMRVEWTEIPSNPNL APPYVVGEAALRIPDLSIPRYKLYWPLRNGWCNEKDYSSINLLFLDIARILEDAMKTQ LNLSQTKDWGQYSCVFVIPDLYEKLYVTQILDTLMRELGFGRVCFVQESLAGTFGAGY TAACVVDVGAQKSSICCVEEGMCVENSRVNLKFGGSDVTETFIKMMLYDHFPYEEINL NRRYDFLLAEELKKNVATLNETNVSVQVFDFHLRVSGQDTRKYTFKAYDEIILAPMGI FQPEIFDNSQKMIGRRKFIKRSFDLYDRLPNDPTSTAQAEVIASISPPPATTNNGTSI EGALQTNGTDPQATPSRTQAHNVIGRVQDLDGTPRSSAAGSPTPDSAANQNPQGGTST PIRPQGGGVPNAPSTSQNLPVIEMRDDVLPVWPLEDAVFTSISHAARGDPRKFNDFIG GILVIGGGSLVSGFHAFLEERMQLKHPDLASHIMVGTPPRELDPQVVVWKGASVFGKL DASNESWIGRLEYDRLGSRVMTYKFGQFSQAFLLLFSFEMSSSFDIPGLCNTGLRCQV YPKGGDGTGPAECQLLVHRETAQKAKAKKDDEEEELAILLSTHI TRV_04470 MGGSLSKLVSGWLWTKKEIRILILGLDNAGKTTLLYRLKIGEVV TTIPTIGFNVESVTYKNLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVIDSTDIERLG TASDELAAMLNEEELREAALLVFANKQDQPGAKGAGEISEALKLGELRDRNWSIVACS AIDGKGINEGMDWLVVSNSFAYTVYHLNTAANGCP TRV_04471 MTTNTQQGGSSKVVDPIADVELCKRDIKYFKELGLNTIRVYTID NTKNHDECMKLLADAGIYLVLDVNTPKYSINRADPEISYNAVYLQSIFATVQMFAKYD NTLAFFSGNEVINDGKTSAVAPWVKAVTRDIRMFLKERKLRQVPVGYSAADVDSNREQ TAHYMNCGSDDERSDFFAFNDYSWCSPSSFETSGWDQKVKKFENYGIPIFLSEYGCNI NKRDFSEVEALYSKEMTGVYSGGLVYEYSQEPSNYGLVEIKGGASSDPSKLTDFNALK TMFAKVKNPSGDGGYNQKSGSSKCPAKEAPAWDVDGEKKLPQLPKDAEKYVKNGAGDG PGLKGPGSQSSGSKAEGPDTSGNGNTGSGGSPGSGGNKGTPDAAAGLRAPEFVVVLAA IGLSTLVGASMMTI TRV_04472 MADTVNPQFGAELKSIRPSSLLTPSLNNSGIIDNTQVSVGINWL DEIQQFYRDRSVIEKEYAAKITALCRKYHDRKSKKSSTLSVGDTPVMTPGSLESASLT TWTTQLSALEAEAAARDKFGADLVFRVAEPLKQAAGRLEELRKNHSDYAGKLEKERDA SYADLKKSKGKYDGVCQEVENKRKKVESSFDHGKQKAQLSYQNQIAEMNNVKGLQDLN ETRVNKLNAIWTLAAELEKATLAQGNEQVSHILSEIPRNEPRLDSIMFMRHNTAHWQE PLDMQFEPSPVWHDEPTMVIDEPAKVFLRNLQSKSKSQMKELKAEADKKRREVENAKK VRQSIRDGTDKRDEVEIVRAMFALQEDLHLLDRKRLTGEIEVSTVSSVVGDLSLGAKN HNFRAQTFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHNKCEMKVPAECPGEQTKEE RKKLKAERQEQANAAPATLPDISPRISTPSTAELPALSRRDTMNSLSSGYSSNAINKS TSALSISQPANGGSASTSPAIAELPDSAGAAAAAAKKPAVAAPRKNRILAPPPAQYVS APPAPSATNTKETPAEPGGKMMYAYQANGEDEITVDEGQDVVVVEPDDGSGWMRVRAN GQTGLVPASYVELSPTPAASPNPNGSGSTATDNDNGRPESTYSASSASLASSTNPAAA GKRRGPAVAPKRGAKKLQYVVAMYDYEARTDAEWSMSEGDRFVLVNRDSGNGWADVEK GGLTKSLSLGPSLPVHQHSTPLRPDAVSGGSPEQMTGRWLDDDDAGQEMCSFIAA TRV_04473 MASENPAAGTPLAERASFAEPKGKEVDTKPEESSGGVEDAQTDG AAYRTGASMLRDSEYTVNVQLSDLQADPNNPLYSIKSFEELGLDEAVLRGLRQMRFSR PSKIQERALPLLMSNPPQNMIGQSQSGTGKTAAFVLNVLSRLEVTPEMINVPQALILA PSRELARQIVGVVQVMGSFIEGLKIATLVPMETNRNQPVEASLVVGTPGTVQDFIRKR LFNTQHVKALVLDEADNMLDQQGLGDQCIRMKSSLPKNTQIVLFSATFPDSVVRYAHK FAPNANQLTLKHEELTVEGIKQLYLDCDSTEHKYEILVKFYGLLTIGSSIIFVKTRAS AVEIERRMVEEGHTVVSLTGGVEGQKRDEVIDSFRKGTAKVLITTNVLARGIDVQTVS MVINYDIPELHAPGAGERIADPQTYLHRIGRTGRFGRVGVAVSFVSNKEEWTMLMDIQ KYFGTDIMRVDSRDWDEVEDVVKKVIKPSAK TRV_04474 MDSKPYPQPAAGFESHTPFWRTSPHKLDTHRSTPNLPSECDILI IGGGYSGASVAYHLFQGNEAPPNTVLLEARQLCSGATGRNVTNSNNEKAGHLRPEMYG HASKFISRHGVEAAAEIVKYEISHLQILADVIEREKIDRDFTLTRSLDVYFDQDVMDK VLRGVEPLLSDSYQHGKYAFMKDAVIRFPTAEQPISEDDLMKTKVKGAKGYVSFQAGH IWPYKFISGLLEIAVSKGLNLQTNTPVLEISPSRDTEGLWKITTDNNRGTIRAKKIIL ASNAYTSALAPEYVEAIIPCKGLCCHIVVPPAEDGKKRPPPLENTYVTRHGSGAGGYL IPRPDGSMIVGGASHTFTPLLEQWHNNPDDGALIDIAKEYFDGYMQRTFIGWEDSGAY SKEIWTGGKPFPPVFPFNGLDSQLVDTNKTDCSVMGYSADSLPHIGKVPSKDGQLILA GFNGHGMPVCFLAGKAVAAMALDDSVAFEDTGLPRVYKTTIDRLQPKYNDTLQP TRV_04475 MTASIEAADVIGKENSHGSSNLGLSLGPPEVSSSSSQQSTPIPV PASYSQRNRKATSLAIYPQPLDDPMGQHGLDTAPRTSVSLTASLSEILNPDARTTTAV SKNKIAETQASEIISKDNSASLADRLVAISHSQINTIETERSTPRLSIKSPCYFHQRF DDAVNIERVLDEVVSDEWMSHSRLMQTATGVREVSKHLQRRSIKLAVRNVMIVTKARD NNLVYLTRELAEWLLSTPRYGSDLGVNVYVDAKLRLSKRFDAPGLLEKESRYEHMLKY WTPDLCWSHPEKFDLVITLGGDGTVLFTSWLFQRVVPPILSFFLGSLGFLTNFEFSKY KEHLNQIMGDVGMRVNLRMRFTCTVYRSNPRNGSKAAAAEEFERFEVVNELVIDRGPS PYVSNLEVYGDDELLTVVQADGCIFSTPTAILLTPICPHTLSFRPMVLSDTLLLRIAV PRHSRSSAYCSFDGKGRIELRRGDYVTVEASQFPFPTVVSQSGEWFQSVRRTLRWNVR GAVQKGWNGREDCPNSEVNTLPTNADKTVDSGQYDENDDNEQDDDWDIDTDTNTDTGQ GVDSGLGQSECGDSPNTGSSSPIHRVMSLLNI TRV_04476 MRLLKFVCLLASVAAAKPTPGASHKVIEHLDFVPEGWQMVGAAD PAAIIDFWLAIERENPEKLYDTIYDVSTPGRAQYGKHLKREELDDLLRPRVETSESII SWLTNGGVNPQHIRDEGDWVKFSTNVKTAEKLMNTRFNVFKDNLNSVSKIRTLEYSVP VAISAHVQMIQPTTLFGRQKPQNSLILNPLTKDLESMSVEEFAASQCRSLVTTACLRE LYGLGDRVTQARDDNRIGVSGFLEEYAQYRDLELFLSRFEPSAKGFNFSEGLIAGGKN TQGGPGSSTEANLDMQYVVGLSHKAKVTYYSTAGRGPLVPDLSQPSQASNNNEPYLEQ LRYLVKLPKNQLPSVLTTSYGETEQSLPASYTKATCDLFAQLGTMGVSVIFSSGDTGP GSSCQTNDGKNATRFNPIYPASCPFVTSIGGTVGTGPERAVSFSSGGFSDRFPCPQYQ DNAVKGYLKILGNQWSGLFDPNGRAFPDIAAQGSNYAVYDKGRMTGVSGTSASAPAMA AIIAQLNDFRLAKGSPVLGFLNPWIYSKGFSGFTDIVDGGSRGCTGYDIYSGLKAKKV PYASWNATKGWDPVTGFGTPNFQALTKVLP TRV_04477 MEKHHIDIDLKMFPVAEEEHMLAFTANGVKIGMIRSADYSIEIN DVPVERMDRLGEINTTSPIMTTLSVIGVLVLTALVGLAVQIANLYVFRVMVCNAISR TRV_04478 MATPTASLTPLRFANGSASYTSPAGEKILASVNGPGEVSRRDVQ NPEEATLEVLVKPGVGVSGPGERYVEGILRGLLGRVILMRDKNMARKGVVVTLVVVEN RIVDGKVDVRGGSYLSILPSLLHTALLGLLSAGIPMAMTYTSCLIAISPSGDLLANPS TEQIETSTSLHVLAFSSKGNLLLNESQGCFNLKQWDDVHDLAATLCYASSTSHGDEDV AMDGEAGNTLKDFIRGTVEDKVREEFAWKLAAA TRV_04479 MALSFGINDYIEGGVITAVILLNIIVGFVQDYRAEKTILSLQAL SAPTCKAVRDGRIESIKAETLVVGDVVQLAVGDMVPADLRLFDGVNASTDEALLTGES MPISKHPDALFTTKDMAVGDRTNMAYSGSIMTRGRATGIVISIGMDTEVGKIAQLLRD NTLQAENASIYSRVWKRFKRSFDQVLGLVGTPLQVKLSKFALLLFALAIMLAIIVFSA NKWNVQGEVLIYGICVAVAVIPESLIAVLTITVAVGTKSMAKGNVIVRKLQALEAVGG VTNICSDKTGTLTQGKMIARKAWIPGTGTLAIHDTNSPFDPDSGLLQIGGKDIQPKNL ENNTRLTKFLHTIALCNLSSVYNPDNKLSTEGSHAVDSKGGDWVAVGEPTEIALHVLA IRFNSGKRSVIEDNGLELVSEFPFDSAIKRMTVVYKRAGSEHAAAYSKGATESMLPLL SIDDDMKQEIRAKVDRMAGEGLRVLCIAHKTLSPETLNNLPDRTELEKGLDFVGLVGL YDPPRMETAGAVRKCHMAGITVHMLTGDHIKTATAIAYEVGILGNLTPNVRASKVVMG ADEFDKMTDEEIDAIEALPLVIARCSPSTKVRMVEAMHRRGAYCVMTGDGVNDSPALK RADVGIAMGKNGSDVAKAAADMVLTDDDFASVVKAVEEGRRLFDNIQKFLMHLLISNI AQVILLLIALAFKDDEGSSVFPLSPLEILWANLITSSFLALGLGLEEGQADIMYRPPH NLRVGVFTKELIVDKMIYGTFMGSLCLVSFVSVVYGVGGGSLGQDCNEDWNPTCDIVF RARATTFATLSFLLLVTAWEVKHFSRSLFNLDPSRYPGVFSIFPTLWRNRFLFWAVAA GFVTSFPVIYIPVVNKLVFKHIAISWEWGIVAGCVAVYIALIESWKAVKRALKIGSAG TSLITMQDAEMRAGLFMSDSLLTDSAITSREETLEKKE TRV_04480 METDKEPRPDETDSTPFDLDIVEESINIADSHIDLTPTPPADQS EEQPKDKAAMFSAQDDLTADWAQWMRWDDIEDTKPSIFNIPNIPGSSLPQSAFTFTSD DAILPTHTLAPSNGSLGLPAVKQPSVSTIFQEQPTIETIQPAPPSDASSPLAPSPTSS VGRKRKSSHDDSPVNDVPQPAAAAAAAADKSAPSKKRSHNIIEKRYRANLNDKIAELR DSVPSLRLTYKQRHGGNLKKSNEDDDIDLTSGNKLNKASILSKATEYIKHLELRNSRL EEENLSLKNRFRELEKAQGINLVSYPAVTGSETPSGACTVSTSSVVTSPDIFSHSSDF SPDSPPNPLFPPEGLLRPPDGFNQLRPTGPQPHYADTFQYNQPQEYDCAPLENASTAT APSSETTTRSRRQFMGLPNKFMLGTLAGIMVMGGFESHRSSDPEEKKELFALPLQFLG SFYRLALQKVYFIRANSWQIRALSQFALTSLILFGCAFFMFLYLFNSRPRVSRVPLKP SRTRNVSAQQQVSTGCSFTEFRQDAWLTSIQTVGVPRHNFFPEWFAVTSRCLEYCVRC LLGWKLYSFVTGISADDEKGRVKAWDIALDAQLTGGDAEVSKSRLVLTIFAAGTLPRS PARMMLKAFHCRVLLWRISSPDSLAFRAANYIAKVLANYQWELAQSMHRRGPKRGEDP LPSHLAFLLSMDCDEVFTDTIVQRASNMVWNRPTQEATDGEDALLDVVVEDSAVRSPL DVLAAWWSSRALQEALLKSLDLVSIESPIEKRKSFERSLDIALHSAPIPSTAYTRATV VKALFFEEDRINNVNTVLSTLPAKNSENFRSTCGLTNFLDSSIPPSARDEIFIGVRCA MIAAILRGQVGRGEDEPASSPFSLSSAIKQFNAASVDEVELTLLGFASHYYLLHITAT DERLLPCSSLASSMHSSVVQLTDGSETTTSYQDEHDIPIPDLSRIAADLIFWVRNAYN PISSGLNAKLMEKVVIDCVEVCRNAGVDIDIRKIQRMKTHQRTSSVGPSHTPARSPDS EGVPSGVTPVGVQGRRPSTFSDDTGYGSIDSEENRAIQTF TRV_04481 MFPRVPSAGVWCPAVTFFDPATDELDLPAQKEYYAYLARSGLTG LVILGTNAEAFLLTREERAQLISTARAAVGPDYPLMAGVGGHSTRQVLQFIQDAVTAG ANYVLVLPPAYFGKATTPKVIRAFFREVAESSPLPVVIYNFPGVCNGVDLDSEMITAI ARDNSNVVGVKLTCASVGKITRLVAVLAADRFSIFGGQADFLIGGLSVGSAGCIAAFA NVFPKTISNVYELYKAGRVEEALKLHQKAALAESPCKSGIATTKYAAAVFSAKAAGIE NAEERMRPRRPYEPPTDAAKENVKKVMAEVALIEQSL TRV_04482 MPDSGPALSPSVEAEIDTASPLRLRSTQVQDQHPFKTNLELERQ LRGRDKSRNSYLELQRYIQSAVLPHKNRHDIDEDCARLSSNPDEKDNGTPDTHKGLSN SRPEEKDPSQTRGNEHYIEPEKRAVWLPKQTKLPFECFPDDISIDGNDDDTEESERPN LHHCRFKSPFSQVRNYPTGGPPPRRTSLRTVILSPALSPTQIAADISSWSENNEEEEE EEEEEEEEEDDEDEEEDHSCSDQIRQMERRDQSMEEKYPILAGPKIPTLQRSDAFWKI KPPEGHNLFRSLVNGFISLPQALLSVEDDIIQTLQYPVKKEDLYFELGQRESEIQAIV ASHCGLPSPDHVFVSNPTTGETWHHGRFNLCIPVYVAYPGGEEPTPLAFKLPLPYMVG EEEFPGNAEEKLRTEAATHIWVSENCPDIPIPKLYGFGLPGGLSYFKPECVTIWQRIK TYAYRIFHRLFLGNSDFSQYIPQNRTALLNHGYMLTERIMVNDELRPLPDRLGMRHND LQLHYEKFIQKSKTQNLYRSFAKAMMSLANIPQQRIGSWTIDNNGRLSLSNRPLFSRI SRFENLGIPSGIPRDTTYISTDSFYNDCIGIHDNRIDYQKNILPNEVEAFETVSTIVF MRSILPQVVDTTLRHGPFVMQLGSMPVQNILVDKEWNIKQFIEVQSICSLPIELMSPP SWITGKDIDKLLSTEDDRLQICYRQFTHTLRHEEAKRPLLPNGKLHSDTLIDGLHSSK FWLLQALQSSGNPLHTFRRVKGYRDNYAGKGKPLAAAAFRPQIYDYLKYKIASYVDYR KDVQKLFNGEYGRVYDEEELDYIRRRPIVPERR TRV_04483 MDKNDPLREPLIPQADEMGQGDSALAIIGNRRVQLIEEENRKIR RKTDKVILAILVWVYFLQILDKTVLGYGAIFGLIEDTHLSGNQYSLIGSIGPIAQLAW QPFSMILIVKVPHRILTPTLVLGWGLAQAAMGVCNNFGGLLATRFFLGLFEAGCLPLF SVITSQWYRRAEQPIRVAAWYGTNGIATIVASALSYGLGHIPTKTMRSWRIIFIFVGL VTIISAPFVYWKLDNDIPSARFLTEHEREQAIERLRANQTGTRATEFRWSHVFEALFE LKTYLWIAMSLLLNIGAAVTNTFGPLILNGFGYDKYITSLLNMPFGAVQVIVIMLASY FAQKHRRKSAVLILLILPVITGLSMLYSLPPGSSHSVLVLAYYLLACIFGGNPLIVTW IVGNTAGTTKQSIITSLYNAGSSTGNIIGPLLFVKEDSPRYRNGLRSVLISFIALAVV ILAQVANLVVLNKLHRRSRAAEGKKANIKDVSMNEQYAEFDEENDGLEFAESQGSESQ DERTGEIDTARIISRKRLGENAFQDLTDKQNNEFIYIY TRV_04484 MSSLGWSLITSLLESTDKAYIRDTFKESVLPHLDAIILSPGPGS PDKESDFGFNSKLIREVNIPILGICLGHQGIGTAFGAKIIHAPNIKHGQVCKIHHNKT GIFEGLPEQFEGVRYNSLVLPFNGLPKELELTAWTFDEGVPVVMGIKHSSRPIYGTQW HPESVCSKYGQHIMDNFRDKVLQFWAIQPSDISTTHHFSSTGLLPDSILSKSAIVKEA QGTFNPQNERPWSIATDGVRDAHSRNSYLGIGAFTLCYWSETGKLSVSQQGKQVKSEK LTTSYWSWLDQFQRTIIEQNVASVQPDLLGEDTQAGQPLLQVGLIGYFGYEMKRESLP GYRYRKSTEKENTHSVPDSQHLFTNVVLRLDNYTGEWMAFGLIRRGEEDPIGKFIGAH SPIGLTQHEYECILSNTRELFAAPPSPPYTLPTSLPSFEAIDDENSYSQKIHAAQQAI KEGETYEVTLTTRFRAHCPDVDPYSLYLSLRSRNPAPYSAYIHFPVSDTTILSSSPER FISVDGDGIAEMKPIKGTLAIVDLIRSDLHNISPSKSIQVPKLLQVESYETVHQLVTT IQSQIAKNVGGVKVVERCFPPGSMTGAPKLRSVKIIDELEGEPERGIYSGSLGYMCAS GTIDQSVIIRTIVKNGADLELGAGGAITWLSEPDKEWDEVMVKANAVAKALPQQS TRV_04485 MSLPNLPCEILLVIAEFAEHERDINALVQTSAHCYSCLNTFLYR HNIRSSGGTGLVSAAGNGSLRAVQKFLDLGVDVNWKRGPSGPTALQSAALGGHLEVVR HLLKIKRINLRYVNDLGSVLGYAVCGGNTSVVKFLLRDSRINPNIKNTKHCAPIHQAA DTGNPDIMKLLLANKRVDPNLRGESRRTALHIAVRKGHHAVQKLLAEHSGVDPNLRAG PLDRTPLLEAIKAPAETRPEDT TRV_04486 MKKKKKKKTKTTMKMKKKTKKRQRERRGG TRV_04487 MLTDRSPTVLRAPTSLLSQAMAQVQAESASASPSSSRARHAASL STPSFRLENLPRFHPAVYQSTTAGSSTASSAAFDFDGTATSSHMYSHHPHHPQTYRPS SASAMMSSPAASSSTTASSSRDALRQYRELVAGMALSARASAQSSTFSKPSKPRLEPL GSPGPVTPLALEEEGEGYLSAGAMDILAADGQGHAGIRERTELLDQLIMREQDRLASR IN TRV_04488 MLGSLTLYRRLLFEHVFANRELRAALPFVSPTVPDKLSTFGHGV AGILAGSTVSFVAAPVEHVKARLQIQYAADKKQRLYQGPIDCARKILRAHGLPGLYHG LCSTLIFRSFFFCFWGSYDIFSRAFRQYTDLSAPAINFWAGGLAAQVFWVTSYPSDVI KQRIVTDPLGGSLGDGERKFRRWRDAAVAVGREGGGWRAYWRGFLPCILRAFPANAMA LVAFEGVMRALP TRV_04489 MALSRSLRRTNPITIVLAGLLAIGFLFFIFSPTSTAAFTSQERH DDAAQNPLSPPTKPFHKSQAAGNKRAPPPVVHYNMNNLTSSRDAAQNRERILVLTPLS RFYPGYWENLNKFTYPHQYISLGFIIPKTREGNAAYSALQSAITKVQSGPIDDRFASI TILRQDFPPPIQSQDEKERHKLENQKIRRESMSRARNSLLFTTLGPATSWVLWLDGDI IETPPTLIEDMTSHDKAVLVANCYQRFFNPDTKEMDIRPYDYNSWTDTPRSLDIANSM GRDEIMLEGYGELPTYRNLMALSADRSPERNTREIMELDGVGGTALMVKAAVHRDGAM FPPFPFYHLVESEGFAKMARRLGWKCYGLPNYFVRLSPNSAPTLFHCSITNPPS TRV_04490 MARSIGFSAFYGRLWRFLAVLALVVVLAQATGTSDKAGTSELTV PQIEEKLQECPLVQSLNAQKLASAPETASLTSRVFAVLFPGSPAVNAILATIYISGPP NFLLALCPPNIDPSSLSIMVAFAVGGLLGDTLFHLLPEIFLGEDSPEHVRFVMVEPNK NLILGLAILVGFVTFVAMDKILRIATGGEGHQHGHSHEHGHSEDSGLVAATGVSAGDG KHGNLKQRKQAAGSAGAASEDKLDTGPSNNPSVKLGGYLNLIADFTHNITDGLAMSSS FYASPTIGATTTVAVFFHEIPHEVGDFALLIQSGFSKRKAMGAQFVTAIGAFIGTFIG IAIQEFGGGGSSSTVSGVASPGLLGTSLTVGDLLLPFTAGTFLYVGTVAVIPELLETG KDNGVETRKTLMQFAAMAAGAGIMLLISWD TRV_04491 MGKVLQKKKNRSSNPRVKHKSKKAKNGNKKINVFGNAIIKSNWD KKLTLTQNYRRLGLTSRLNAPAGGVEKKISKDESDTTRSGPSEPFHVPLTQKRSKKLQ PGEVRVERDPETGKILRVISGDNEEDETIEVAGRKRRRNNPLNDPLEDLPEDTDMALS DLNGTSSFDVVTELEKQAAAQEEQLKNRKPRHQSKREEEWLERLVQKYGDDTARMAKD RKLNPMQQTEADIARRLKKMRARNENE TRV_04492 MHCTIPCTNLTKTGFESSETAGDATCLHKSKGMVSMSPVPERTP MPSVKSPRSLIGTQPVASTFFAIKEPVKAPQSVPTESVASTLAPVPQITKSAGLTLAP ESNVAQSSASFRTESVASTFAPVPQHGKSPEGSGILSELPIESTTTLSTTTTTTDVVL TSLWTSARTREIPSIVTPEPLISYSTTEVTTKHEEATASSTHCSASIQPTSEPPKFTS SLPSASTTSPWSTDMVTLEPIVTDTTISSTSTTTTTMFITFMSPAPTSATTTAMTGTE VIEQPQQSPTTESKEAAQTSVTLPPNAIHSTSTMTYIPPETSVSVLPTQTMQTTKATS ATQTRYTEPVISTSTEVVTASVPFTSTTLTVSANSTSVHSSPTFSIATSTIVNAAEEN TTDAKLAYLFGLIVAFLVLV TRV_04493 MDEYTAELFSGQDDGNDGDSRPLGSVEEKKPPVKPDTAKEETSP GLSLQDRLLSRFLQQLVPSEDAGSDKDGGEDVDASSERPPFSLPTMTNNFRRFNARTQ TWSLICVYSFICLDPYLLAVLPFVLILLLIMIPAFLTRHPPPPPSTSTSSTTPYYSYE GPALAPAKTIKPAAETSKDFFHNMRDLQNSMADFAVLHDAAVSLIAPATNFSDEKLSS SLFIACTGLAGAVFLVSHLLPWRLMLLFAGNALILSNHPNVKSLFEAVKGAIASHSAS QNNAEANKKSFNIYGYSIPLTVSGIMDLLDSITAISLSAEPEEREVEIFELQHKTYSL YSASPEWEPFLFTTTPYDPLCPSRISGDRPRGSRFFEDVRPPPGWVWKSKKWELDLEC REWVMERMVTGVEFEIPDNNDGIIGEEVGGWVWDLPFNTPDDEHAVDILAYTDSWESP RRNAQMAAQMSKRANQKDKPEWEEATKQVDRAGDWRRRRWVRVVRRMTIDEKAVKATP VSS TRV_04494 MHIFVLFIAQRHTTIRTPRTNLKRRSRMWFVFCCQDKIYVYQIL ILIKIGNHTPPESSEFLPAINFDDFHNSISSREPSLSHFPMPGQLVAGIGSAPSPPKS TTRWSTTTTSASGPKPARQNTLNRRQSNAQKPDLEGVVSSTAGPRQPRKSIGPGASAT SQVNGDDGPPQRRQSFAKRNATGEIRVNTNVNRGSHQPTEQPSGPSFLNTTRSQKAKS LQPVSRGNRENQITPGGSDHSRSSSNTAIQTPGKGTVTLNTTPSSKRSSMMPHHPTGL GARTISPTDARRMKRMSLVPNPPPIPQRSPTQLELNSPRPRSSAPSPSMIPRKSVTPS SARTTPDPHRKSYSSGVSLSSNTTYNSARNSSGSLQGRLSQTISTSRLPTPKPRTEHS NQEEEEVPPVPAIPKAYESPKNEAHLPQTFTPRQTSMPFELEARRQAPKDTPSATEVE APSTPAHQTSLGDTMMQHDNRAFSSEFKPRATVTHGKRSLQPLKLPPLNLMPLSAPVA SKINALRDKEPKDPTPNQSTTPPPPPRQTVAKTPSTPMTASKTSFSHAPQEHTPMPAH TSRSNSSQFILPYQTGYRSQNSSSALDFMGLSSNRTISPYISSSLPRGTDEMNSMRDK VVSKPSTNKLTGPRPQTQFSTISASESFNFKYEPDTIEPNPSPTVVPPSPQRKISLDQ TRHTGCNDTGTADNVKFENMPPPKLPASASVNNLSRTSPASNTNFAPAKRKQSISNVV ASISSRRNKSITGEPGPTVVTQSSTEIVDEAQPNKSSSSSSLLNPVQRLLGGPRPPPA PASAKPRVEEGELDRDDLIAEEEMRKLGSKRKDFESAARELDELRRRAGPKERASPAQ ALKIASLNIFERGEIIDYKDIYFCGTHKAKKHVGDLNAQTANFGYDDERGDYNIVIGD HIAYRYEVVDVLGKGSFGQVVRCVDHKTGTLVAVKIIRNKKRFHQQALVEVNILQKLK EWDPQNRHSVVNFTQSFYFRGHLCISTELLGINLYEFIKAHDFRGFSLKIIRRFTKQM LMSLVLLQNHKVVHCDMKPENVLLVHPLRGDIKVIDFGSSCFENEKVYTYIQSRFYRS PEVILGMSYGMPIDMWSLGCILAELYTGYPLFPGENEQEQLACIMEIFGPPEKHLIEK STRKKLFFDSSGKPRLTVSSKGRRRKPSSTDLRQALKCDNPAFLDFIARCLRWDPARR MTPRDAMQHEFITGIRAPPRSTRSLHHSHSQSPVKRFASTSATLNGRPLPNPPSTASS SDGTRLRDSSTNSPVKHGLPKRHSTFHHTSTTTTANPGATPKRASNIPPSGGSALPRV TPRNASGKPDLAAAAATTSLVS TRV_04495 MNGFAHDGLDEANFGAPDGIATKLKTFDAFPKTKPSYTSTSRGG GLWTIFVAIICTILSCSELITWYRGHENHHFSVERGVSQEMQLNIDTVVAMPCDDVRI NIQDAAGDHILAGDLLTQEPTSWAAWNREMNQRRSGGSPEYQTLNKEDSLRLEEQEED LHVEHVLGEVRRSRKKKFPKSPKLKKSDAVDSCRVFGSLEGNKVQGNLHITARGFGYF EWGRATNPHSMSLLQPIITCIHGDAKNLTDQLTKLFPGLNFTHLITELSFGPHYGRLL NPLDKTVSSTSINFYKYQYHLSVVPTIYTKSGHIDPNRRSLPDASTITAKDSKTTVST NQYAVTSYSQPIQPRIDATPGIFFKYNIEPILLIVSQERDSLLALMVRLVNVVSGVLV TGGWLFQIGTWAMETMRKRRRLASDGLLTGKHATD TRV_04496 MFSYLGNKITRWLGCGVKPKSISTGTDTPEATAIDSNSNLGKTK PVILIIHGAWQHPAYYQTFVQVLQSRGYETHCPRLPSCNGQVPPTKSLEDDVHFIRQF TAQLTNAGKLVDVIMHSYGGIVGTDALCKFGIAERSRRGFAGGVRNLIYLTSFVPHKG QSLVGIFEGQSLPTVKINEETNLISATDPIPMFYSDLPSEEAEYWVKQMVAHPYSAQS TPVSNEAFRELPTSYIVCENDKGILPEVQQMMIERLKVAGVDVQVKRCRGSHSPFLSM PNVTADIIENISNA TRV_04497 MKTVGYFLVAFSALSVVFAEGTLPPSPTASVGSATAITSHAEGH EGMATPTKPSPTESVGCEPHGDHWHCDGPRVTSSAEPHPTGSSTKSLKPSPTESVGCE PHGDHWHCDGPRVTTSTAPYATGSSTKTLKPSPTESVGCEPHGDHWHCDGPRTSGTAP PAQYTGAAGYVRVPLPQAVGAVVAMAAVVVYA TRV_04498 MTRPYALISLALSIVIGLALVSILDDITNPTLRSDVKPAIYKLA GISALTSSLKQYIPTSYLRLAPLRAGLPAYYQHSSGYNATSVNREKLKGKTISTRQLE EKSRLRDRMKRDNNNKWDSSHPRYRLLTAMHGFARYKDRNLAEADRWSTLYLNSPMRH RKFIESTVGYTSKLNKVKDLFTENDVLAQAILAHALDFYEVERAELDQFIQEAEFNGI VADKTSTSQAMKHFVRDWSTEGLFERDAAFPCVLEALQNYTVRSDDRPLRVLIPGSGL GRLAHDVSKLEGFEVTSNEWSSYMNIAYRYVEALQLLNSETFFPFIDWWSHQASTADL LRPVQFPDTIPYHANGSLDRSLVHIEGDFNSMHYGLPAAETKYDVVITLFFIDTARNL MSYFETIQDSLNEGGTWINLGPLLYGSAPFLQLSLDEIVDVCEHLGFEFLDTSSKCGA TTLDGRKIRSKEVPYGLSDRSLSKNAYKAQFWVAKKGKAVPP TRV_04499 MADTDDKDRAEKLAAAKKRVAQMQKQKGKKGKKGESSKQAAASA EAGDKGPSPDSKPEEPDTEKQAETETPKDAEKDNTEEAVTETPVTTEDQVDESNNKED KGGESLPKTRSHGRQPSISVQSKLRSSSFRQDSKVPVVSPGSSGVKSPTLPLSPDGST APEVFRKQAIRLEELEKENKRLEKEVEATNAKWKKSEEELDDLRESSAETVELKEKLA KAEKQAEEVESLVGSKPSNSAAVSGSPDSPSELQSQLDAKSATIENMEMEISNLRAQL NSQSTSSSAHQEQISALEEKLSRSESALEKTQRELADAKQAVSRAAEKAVKEGVDKTS TETLIKSLERQIKETEEAKAEADKKADLLEKKLQALSNLHKESESRHQTRMKERDKFE KDVAMLTKKITTTENENLRLKEERDRLKKREASGVGADEGLDELEDEERQRLERKVRD LEGEIFDLRRGIWKERKRELSSQNDGEGPSADRKSFSGTFDDVDLTGGAPGGHSYAGR RSISHRPQQHSSFATVLQSGIAAFTGAQDHDRGHKSFDYGSHTDPNEEFLDDDAFDED AFARAQEEEEAKKRVEWAREIKAKLKNWKGWRLDLVECRYGAQGVGIGLGEIFEA TRV_04500 MVVGGCDLYTTKAASGDKKLYKNIETSLETQYESLLRLSASLSP PNASSAAMSLNLSRSSPFGPLSEHSSRRTYAYLIATLNASHPDYDFSHVLRPSDFRRE KNLRRVMDTIDTTLFNLRPQLARDVTPPTPTSSSPHMSATTHSWGPRMWRIIDNQMSL KECSIYCYSPDEDPYDGEEGTIWSLNYFFFNKVRKRVCYIYLRGISVLSQLPADGTAT PVPGKRNVEDDGYVSHDTGARKRAKYWFGDGANDEMDEFSADEEERQPSQPARPVVDE YDNYILSDDDLRSQSGSKPTVRALSEEIADSMEV TRV_04501 MYHAANKVRCLLDSKVVYRRYASLFFIAGCSSTDNELITLEIVH RYVEQMDKYYGNVCELDIIFNFQKAYFILDELLLAGEMQESSKKNVLRCISQQDSLED MERPSAHLDSCSHCLLRTPSQANHHRHHAVHAPAAPASLHNSQHLHLHHRPFLDILPS LLVVPSSPGLPCCHNRVKQSLDVTGQTSSRDWGVAPIFPTSGLPSRPSRRAARSPLFL FLSLLSVAFCASLIFTAQSIVTATHLLLLCVYCRCHDSPFLLDGLVVWRSRSVCVVLA ASLDNSYRCLTCFSKSNTKKKKNHHVR TRV_04502 MTSRITNAREGFCFHGVRVPFEPGCCLSGAGCLYRRVHLATFVA GEHTQGRTDSDTPAKMRLILSTGNIVSSGPSVLRRPATEKSNVEFLNSLRYNFVSAQE IEPSHTNSPCEETHSPPQKLSYSDYTTWTSRDGDTLYVPSLDFSMSGLSEDRSQYDVT VKLFYLPGVPAQRRCQHARESIDLVLKELHISCIDLLIVSFPEVTFDADDEGEEELSN GEENGSELDNIVKTWRALEAMHEQGIIAQLGIAEFDSSRLAKLLAQTKIRPSVDQINV KDCCVVPKSLILYAKQENIELLTHNDCTDILPRGTTRDLLSRTEKGAGILAATAGADD GGIKGDVDPQWVIKYTAVVKDRGVIENKGYFALADVGP TRV_04503 MAEIQLPPPLPRPFTYCDPASVPILLAQGAEARLYKTHFLHPSI PAALKVRPLKPYRHPILDRRLTRQRILQEARCMAKLAREGAPVPGILAADWGNNPEPD TESKEGNSANNGGWLLMEWIDGDVVRQVVDSWEKWIKSSSALDERGTADTDVSVCAEA VKESEKKICTLLRKIGSAVGLLHKTGIIHGDLTTSNLMLRPPASGVSAETAPRETDTK HLEPGNKLPSLDGEIVLIDFGLASQSVQDEDRAVDLYVLERAFASSHPRTEGLFQEVL DGYGESFKAAKSVLKRLEEVRLRGRKRSMIG TRV_04504 MSHLAAANAVQPGNHIRSLPLGEPLIHAVSCLLDSSGVPNVLLG NYLLTVYGIPSLSNVRDEWPAATSLGNRGTDILQDASFAVPDDKIPQARKLLNSAAGL VSCTDQNCIIVTVRSRPPPINHFHLDTEDTHIYLYPLSEVLPNIPSIPGAGDKIISAS DLGCLPGPIIGYGKGVFAPEYSSVRVPSFSCFLEVCLVNYAHLARGIEDNPGCCYDLH YMSWASYLVQYVHSRGYLNLDLLQPVFRDFMINGFLENSTAGFVTAREKLIASV TRV_04505 MASFQILSDLHLESPSAYDIFDVPPKAPHLALLGDIGNVRDKGF FPFIEAQLRNFRTVFFLMGNHEPYHCSWDEARRKINAFERETREKANLDPTSGLGTFV FLDRTRYDMPNTITVLGCTLYSHIPPEHEERVSFGLNDFYMIDDWTVKGHNATHAADL AWLNEQVAAISASEPQRKIVIFTHHSPIMRDERAVDPAHIGSAISSGFATDLSSEECW KNLSVRLWALGHTHFNFDFVVGVGEGQGKRVVSNQRGYYFKQAGGFDVDKVVSV TRV_04506 MAPHFDPFQQSVTFLRGDGSSFPISMADFNKFVLYAVRTSIAAA SQLGASVVMAVLLALLTAPDKRRSIVFYLNITTLLVNVCRTLSTTIFFTSSWVEIYTY FSGDYSRITTGAYANSVMGTIATGIMVILIELSLLIQTHVLCSTLRDLYRNIVLAWSC LVAAVPIAFRIAFMVANVKAIMSQSSLGKNVWIQSSSNISITVSICYFSLVFLAKLGY AIYTRRLLGMKGFGVMQIIFIMACQTMILPAIMSILQYFIPEFEVNTNILTLLALSLP LTTLWSAAAVRHGHNKNHGSGHHFWGGSSEKSLFDHNKTSGGSFSHPTSTLIGSMPGP EKVKSADHFDRLYPELHDTGNITIERNFSVTSNRV TRV_04507 MAFNSASTGVTNQGDISKDVTLNNQPEDSIAEVSWSPVANYLAV ASWDKAVRIYDISHYPQGKGKALFSLPGAALSCGWSSDGTKVVGAGTDGSARLIDLAS NNSQAQQIAQHDAPIRTVRMVQVPGSQSPIVVTGSWDRKVKYWDLRQSTPIGAVTCPE RIYAMEASGNKLLIATADKHLALVDLNQPTTIARTIQSPLKHQTRAVSWIANGTVYGV ASIEGRCAINYVDESNKNQNFTFRCHRQPKDNDPKNQLVYAVNAISFHPRYHQVFSTA GADGTFCFWDKDAHHRLKGFTAGGPITSTSFNHDGSIFAYAISYDWSKGYSHNTRDHP TKVVLHPVSDAECKPKQKTNQG TRV_04508 MPDGLCDPNVRDVAHWAEARDRSTLVDFLLILKEVFAKEPPVIS KDAPFRRQPTPSQQSTPPAVPPLPAELARPSPSVAPSTQPQTPPKLPPKPGGERHQAA SERPAEDTVTARNVPPPLPPLPAELQSTTHQRDGPGLENRGNQFLPQRSSALRSEVTP APQPPPPTHPSQHQTQGFILGAHDRRPSNVQSPPVGSTPHAPQYQQAYQPPYPRQQFS PQHNNTIMHPSQQHAIHHQHSPLHVPQRDAQSPLAAKSSPKKGETQDLLTSPFDLELP AQLPSSSPPPIPPNPEKDVLLQTLSGTLTQTIHANVEQSNSRIEPLNSQSKALHDAIS TLRSEIAAVNAFHANIQSNTQILQQSLRRADGVIADAKSRISSSSASSSKHPAGLPAI DEVLVPPTVVGKQIYDLVTDERGIQRAIYALQSALVRGRVGVDVWAKSTRNLAREAFL KKALIRKAANGMGLTV TRV_04509 EIPQPLAVPLLKVAAHLELPPVATFAGLCLWNYRLIFPDEPADS LDNLATLFTFTGSLDEQWFYLVSIAIEARGARSIPLMLKAIAAARADEVAEVTECLTK FAEVVDEVNMELQKMYKHCDPHVFYHRIRPFLAGSKGMAELPRGILFDDGSGFQEYKH YRGGSNAQSSLIQFFDIVLGVEHRPTGESRPKPTSSPLPTSSSSDESGEGRKRWHNFL MEMRTYMPGPHRKFLEHVSSVSNIQNYVQTHQSNTELVTAFNTAVAMVKAIRTTHITM VSRYIVVKSREERNTKVPTSPPATEAGLNRPESQPLSTPGGMLMSNRPSKGEKKAPLR GTGGTALIPFLKQARDETGEAAIGPWAKKLLDKAGVQAPKVASLSKLDEHADGQKQIV GLAGTWSMDEGEGGLFHW TRV_04452 QIFPSLLCYCCPLIDVAFKMVALISLVVVALAGTTLARPGFKYP DLEVRGTGGHHQPPPMTTGNPPTTTPEPPTPNPPVPGTQTITTTRTDTITRTTFVPCS TPVATIPGYTWYSTWLTASTYTTTTCYPVTETHAPPEPTPTQPPQTCDGDNCGTFTCP PPATVTVTVTECRGDGCEVPPVTPPPAEPTPPPHHCPGCQTYTITDIHEGTSTIVVPP QTLPPGQCGKCQTITITDIHEGTKTITIPPETTISTQTPPPTSNPPPSGTGTGGPKPS GPMTTYTPPTLTSQQPTGTGSPHRRWWN TRV_04453 MAPEDETLLAAAALLQSLAKDTPLPASFPFNGHKYNQPPKNGCD VAKIKLPGLESDGKAALEREIAALIGRVNTLQFFVPPSRHTRASNLKNINNEHDNDQD TDSKTICEDDTSEDDDDDEDDDIVQVKNPYEDDITYLRNRVQLQADEIKLQKEIITRV RDELRLQEERTKNALVKVETEDVGLLERELRKHQQANEAFQKALREIGGIITQVANGD LSMKVQIHPLEMDPEITTFKRTINTMMDQLQVFGSEVSRVAREVGTEGILGGQAQITG VNGIWKELTENVNIMASNLTDQVREIATVTTAVAHGDLSQKIERRAKGEILELQQTIN TMVDQLRTFATEVTRVARDVGTEGVLGGQAQIEGVKGMWNELTVNVNAMAENLTTQVR DIAMVTTAVAKGDLTQKVQANCKGEILALKTIINSMVDQLKQFAQEVTKIAKEVGTDG MLGGQATVHDVEGTWKDLTENVNGMAMNLTTQVREIADVTTAVAKGDLTKKVTAEVKG EILDLKNTINSMVDRLNTFAFEVSKVAREVGTDGTLGGQAKVDNVEGKWKDLTDNVNT MAQNLTVQVRAISNVTQAIAKGNMNKKIDVHAQGEILTLKDTINNMVDRLANFAHELK RVARDVGVDGKMGGQANVEGISGRWKEITEDVNTMADNLTSQVRAFGEITDAATDGDF TKLITVEASGEMDELKKKINKMVSSLRDSIQRNTAAREAAELANRTKSEFLANMSHEI RTPMNGIIGMTQLTLDTDDLKPYPREMLNVVHSLANSLLTIIDDILDISKIEANRMVI ESIPFTMRGTVFNALKTLAVKANEKFLNLTYQVDSSVPDYVIGDPFRLRQIILNLVGN AIKFTEHGEVTLEIKKHVPPPPAEGEPEREVCADDEYAYEFSVQDTGIGIAKDKLDMI FDTFQQADGSTTRKFGGTGLGLSISKRLVNLMGGDVWVTSEYGRGSTFHFTCVVKKAD QSLDVIASSLMPYKGHSVLFIDKGFTGDYAENIIGMLRALDLVPIVVHNENNVPPPEI KDEEGKEAGHAYDVIIVDMIETARTLRTFDEFKYIPIVLLCPVVSVSLKSALDLGITS YMTTPCQPIDLGNGMLPALEGRSTPLTSDDSRSFDILLAEDNDVNQKVAVKILEKCNH GVTVVGNGLQALETIKKRRFDVILMDVQMPIMGGFEATGEIRAYEKRHGLTRTPIIAL TAHAMLGDREKCIQAQMDEYLSKPLKQTQMMQTILKCTTLGGPLLEKSNNDSRLGINT QMHGRNRMHSSDYRDRRPRPPRHLDSRAYGGAEGPTSSTPEAKKATTSEDEPVSRS TRV_04454 NHLAAQPTGLEKKKERKKERKKEKEEDDGREREPVYVYGVAITY QVKGPAVLTSRFMLAVRLRPSKREVDCQLDSIANMQAERRKGTTNCDRRDITTSSPSS LGVWTEGLTPLAACDAGVNHPMRRRGFSLFALALSRFTSHFCPAAAALFQLYRRMSSD MLAIKLGDQTSPNPTRAPSPFIGNTSNACVSSDGADLAA TRV_04445 MRSQSNPIAERADSHQEGHHNTGHPGHPEQTAGAGCESDSEGPA PATEKKSQDAGARQDLDAPANEGEREQPLYDEKALPLAGNGLLFQAITRDQDGNSVCL SRTRSSQPSPPADSENLARLGSRMSTDPDGNVYPEGGLEAYLVVFGCFLCLFGSFGLV NTIGTFQAYLSENQLKDYNQSTISWIFGVFSFLIFFGGLQIGPIFDAKGPKGLVLVGS TLVIGSLIALGFCTEYWQIMVVFGVVCAAGTSMVFTPAVANPGHYFFRLRGRATGLAA TGGSVGGIVFPLVLDALFTKIGFAWSTRVLALICFVSLGAGLMLVKSRLPRQRATMEN IMPDLRILLEPVFACTTLGIFCIEWGLFVPLTYISSYALHYGMPKGLSYQLLAILNVG SFFGRWVPGYISDYTGRFNTMIVTVLMCLLSVSCLWLPANGNTAMTVSFAFIFGFSSG SNIGLTPVCVGQTCKTENYGRYYATAYTVVSFGCLTGIPIGGSILTRNGGEYWGLITW TSCCYFGGLVFFIAARVLRVGWNPFKID TRV_04446 MPFVNPFAKEQKSFPGVLIPLSGGSPTSKIPAKKKSVGGTFDEE GAASPPPEYETELTLEALRAEVEAAVVSTGQDTAYDIQDIGMGRYQWQLFVLCGFGWF ADNESHVRFTTCALFIGLCLGSIIWGTASDIIGRRLAFNMTLFLCGVFGIAVGGSPTW IGVCAMFACLGLGVGGNLPVDGALFLEFLPFASGNLLTMLSVWWPVGQLVASLIAWGL VPKYTCAEGLPSCHLAPAPCCAKEDNMGWRYLTYILGVLTFLMFLSRFFLFHLFESPK FLLSRGRQEDTVAAVHGIAYKNGAKTWLTVDILNEVGGHPDEVQSQKLSTAEIIKRQL NKFSGERISPLFATKSLGFTTVLLWFCWATIGMGYPLFNAFLPQYLSQGGGDKYPPPT TYTVYRDYAITSIVGVPGSLIACYTVDIPYIGRKGTMAISTMITGVILFCFTISPDPK VRLACTCLEAFFQNIMYGVLYAYTPEVFPAPNRGTGTGIASCLNRICGLLAPIVAIYG GDANPKAPVYASGGLMLAAFVAMVLLPIETRGKASL TRV_04447 MSTIQNLKNFIRHGKQARMSVAHGEPTTNVTAVHAESQRKQQPQ YQPGMATGTLDAIDQHQRSNKAASATTTKNQARAHEQEIEQIIAEEKLNRSKLPTYPG LERYVLVEKMGDGAFSNVYRARYKSGQSGEVAIKVVRKFEMSSKQGIDHLHPSIKKKQ VERASILKEVQIMRQLDHPNIVKLIEFSESPQFYYIVLELCPGGELFHQIVRLTYFSE KLARHVIVQVAKAIEYLHEESGVVHRDIKPENLLFYPAPFTPTKNPKPPAPGDEDKVD EGEFVPGVGSGGIGVIKVADFGLSKVIWDSETMTPCGTVGYTAPEIVKDERYSKSVDM WALGCVLYTLLCGFPPFYDESIQALTEKVARGQYTFLSPWWDDISKSAQDLVSHLLTV DPDKRYTIKEFLAHPWIRETDEETTAADDAPPLATPLVSHNKILEAPLAGIDPNARRA DFRSPGAMNLREVFDVGYAVHRQEEEAKRRKAGFKVGYRGAALDPLSEVEPAEQQEYS GDISELHGYYDHGGNPVPTMPYNRAQGGQQRQQPTGYNPDVAAVESKLRGTNISTSQQ SQQMSAAAQARRAAGATAARQQQQQQQRGRQQYQQGGYGQHSAAVAAAARENAARSAQ QPFELSLDNATLLGRRGRRMAGQPVS TRV_04448 MAAAIDIVQRREGRDKPLLKSHKVLPRRRDLVSAYSPACADNDT GGDINNGPAYPPTRTLPLTPPVITLEDQKPLEISHDQDAETHLDPQNTITPSNGVKPL TPDITPPRIVSRSKKRDGQSQRFPSASSRTTSFATAKESLSSDEETERSKPTSLLPSK HGPSLSWRNTAVSHTTTDEAHHDKDVQKPKQDRQLFDTNELQVRKRGEKRSSSGLGHT QSSHQEYSLSRIHHGSLRGRTRNENSSGPSNSVEAFAASTGWRSEGDMENNNSGHVRC ISTASTTTIEAIVIDSPPQKKRTLRHTEKNDSLRSVSTPTPSTPSVTKPLQSLPLSQP RLTHKRTRITDENRWSIASDMSGTTTSTAITFTKPRKVEDVIRVVVIPQRRSSLKTTA PPRPVLRSLPPNHRRPSTAPDSAPESSQSSRRHRRTMSESLPSTSSVPNAFKPKIPTR RSSLSAPTSRNTSRAGSMSGNSSRMLVTAVGSENKAVLDSKALPQPPSEFINPQTQNL GSEGTGVLSDLIPKPLRLSTTSMPTPQIVLPPDSPVRDHEDSTRQPTPPAGSSTQFQR SIESLSCSPGPVEISQATAVPFFAHNNKSLLVVEHPLCEARPRPPMISLDHVESPLRY PRAAPKPPGSDPQDVPLIPLKRANTVPSRPRPPLPMQTDSSSGTGGGIARRLSLARRR FSSSNRASTADSIPATDAAKDIRSTTHRVRNRMAGKKLDSKKHPFWRPYGFWQDYDDE GISSRRGSLKSSIFIPKTTTTITAGNDLDSNGYESGQYVSNTLGIPHNRTPFQGPISM IRRVSQRSRFRSKPSRVIKSPSSKTSLSLVSEYRQGKRVRSFRPLHEVQDWIARTRQR REQEKLEARREKLRRAIGGNVIVDYTSVASTMDPESVFSPSRRERIE TRV_04449 MHDVRGEGQAWDEGETANITATAKSREAEEDSCADEKMVVSKGK PVALKKRSTARCLHPSRRPADIQMLSTSTSTRSTLKADEETLTTEFDASQAPQPFLDP DLRDRMLKLRGSKLSPATHSKGQNNE TRV_04450 MSPGGGLRSRAAERTKSNSGDEGDSDDGRARRTSLKGLSGGRQK RSAPGGAQSSSPQSATGDGDEDEKRRHPVKRACNECRQQKLPCKIEANFRRIGKRSRN AEMEREIIELRRQVVNANANVQFLAQQQQQQQQQQHHLNMSAPGHLPVPSSMPYNPST SSMRPQQADQASADYMKPHEAVASLLDLKSGGDYLRSPPGNIIDVKRLEDVTIPLDRT AELFTRFFTYYHPFLPLLEAQKSPDEYYDTSPLLFWTILGVASRRYQTDSSLLNALSG PVLRLVWSTLADVPQSYHVVKALALLCTWPFPTSSTSTDPTFMLCGMMQQIALQIGLH RPSHAQDFSKYRVELREGELNDRVRTWAFCNIVAQRVATGYGQPSSTLFDCTLSSKEA DLRLPPEVRVRLQIEKFCDKISKTLYTNHRDPVGVLSDKERNVMASVLFKDFEDLEGQ VRTETNSITNVYLRAAGLHLHLSIFFENPSTKDYRQGLSALYLATTAFLEAAFGLETS TGPVLSYCSNYIYQMTLAAGFSLFKLCNSFFAVHIDMSYTKSLFSRTISALRHISVMN NDLPQRLAEVLAQMWRMNGVGSRNNQQPQDQRHQGETNPSDTGDSLMLKVRCRMSMSL VFDSVWRWREDFQARGRNFEAYLKNPTNPDSGADSSASCSSTAAIRTSTSTPAPPAPE GVSAVSAADPTLTSPALTAGPVTTGPSSPPGPALGGFNSSSLSSAFLETNYEVFDPLN WMLDGLVDFPYLYPGVQGLEV TRV_04451 MEGNQLSVLPGDIHEIQGSDYMTLDECSIRRDGVDGQGDVAGEQ PAAAFHRLPRVVIESLYRHHLADYYSSSDSAAETLESASLERLKAKFIQVARSHVFAA FLRPKQTVVKLISASVSSSSASPQGEALRFIFSAHGRLLLALSSSRIFVIDLTKEHIS ILHELKILRRPTAAAILDDGSLLAVASSGHRVRLYELKVQHARLLQTIELHEVPLSLE FSPYASVLAVAFDGGIEIYALGENILSTAHRAVRCEGISTLTFSSDGSMLFGSSDDPH ANNFIMISPPLLPDPGFDLSLPELHSRMWTTQILFPEVGEGYCYSSLIPPAESSDHSI FFVGYDNRVKAFRISQVDDIKGGTLYLVGPGADIERDEPRPNLLPVASENGKYIAASF ENSGIWIFGVPASRTKPEDSGKDSQARPQPTIPRIDENGSLSYEATNFQRLNKHIESS KSFISGHPIEATNDITDMRWMRNTKGETSSSRKLCRLVAVAPGGVDSSFSMITGDTMP IDGGRVILFDFELSPKNGAHEELIIEAGEAVPTELPEQGATLDAEVELEKRRAQISRQ RTMAARHTPSRSNWRNSIPPVGSHADEEPSSPPLPPTPGGGTRSALPFLDSPYSNTSP RSTETLRRAATAASRSLAANRFETARPALNSGPRRRQFVVPHESDADNWVPPPPPYTE DASDPLPDHFQIGILPSATEPPPGSPPRDAGYGLRRSRTSTIDSSGSSSLHRSHTTIS RPSITIPRRPLPSNSATNSPINYPNTSLISDISPPNLVTSGNRQLSNNQVGVSTTNMS SPPPSNNTPTDIGAAFPLPHIPSMQHPAALTTANFTSLPGHTAPSNPPPQVMGSQACV QNMNTITPDHSHPSRSGHQAAQLDTMQRHPYIPSPNPVSIAHTSHPQNSLPNIPTWPV ERQISGTSSLPEEPQGNPQSRSGRWLSANYRSSDNSHPGVQRSRSRSQDVPRRRLNTG GGLFDRRTGRNILTSQSDIRLNSTARSDDWKEQWTKLKGERKRNKDSKCAVM TRV_04442 MKIKIRRSMQLFFFFCSLFFFSMHIGYFALLQNKNPNIAFVMLT THQVLLGGCRCLEIDVWDGVLDQSSSESEDNPEDDHKKMHKKRHEKAQKESAGLFSLS SLSDRFGKLGTKSTSDTVPDKAPATDAIRCEPRVFHGHTLTKEVSFRDVCYTIRDNAF VTSDLPVIVSLEVHASHEQQEVMVDIMTEAWKGLLVELTPEMDAQIANGDLDHLSNPG SLRNKILIKVKWVSPEKDDTPPAEGSIEVIDLQAVGGDGDGAQNKVVAGSTSTKTKPQ KIIQSLSRLGIFTRGYTFHNFAQPAHLPLPFPVPGALAILPDQFKMTPKKQKREPLAC VEAKIPHHIFSLSEAAVKAAHEKERQALFDHNKEFMMRTYPSGMRVDSSNLDPSFAWR QGIQVVALNWQRCDKGMMLNKGMFAGSGGWVLKPSEYRGSAARSSSTSSSPQEQMAAA VNDGGPKSVQASTSVRRKVNLSIEIYAGQNIMAGDGKSNPKSFHPYVACHLHVERPKD SIHATSKDYDSSDPNYKCRTKTCSGADPDFGGQILQFPSAPGILEELSFVRLVNAQPI TPFSIRPAHHNQRRI TRV_04443 MVVEVLFVGGGRTEEANETRGFPLKAGTSSASAPTSTQLHPFSP PYINKQDQTSQSHLELDIKLLPVPKMASPTTSAPAAPSTYKVDSFNPAVLDHLRRIYE SLQSQGQTPTGPDGATLSASAHFLQNIQKDQHFKADQPRGGQQHGVLDSFSSFLDYMS TASAMPQFSDTQAYEEDLSFPMPNYFINSSHNTYLTGNQLYSESSTEVYKNVCGWICF NDFFSPHPLLPFLLLLFLP TRV_04444 DATDILSRVSLIHMDLRDIPAGFRACNKQGKPISSRRPDMFMPK GKHAQGSWFISRYFHSSAGKYQSTALYVRKENRRLALILSRRLAAGNPRDCSQPGKNR TAKAKHIHIVKRRTG TRV_04422 LTTSIKSSSSVSILSFTHDTIMASTTPSIKLWYAPGACSLAPHI ILKETGANFELVKVSFDSINSDEFKAVNPKLRIPTLSINEDIITENPAVMMAISNLVP EKHLFGKTPLDTVRVIEWANYISGTLHGQAFAGLWRPQRFTADPEDHHKLQAMGMTTI KESFRYIDDKLKGVHAVGDSFTIVDAYLLTMYRWGQKIGLSMESDYPKLTALVAEASK RRATVAAMEAEGLPPIFN TRV_04423 MGGASKLFYLAVFSLLAPAHGEDNSSRSRSCAIDARSTVSDACA SFATFDGLNNQITPVLKSLTQHSDFFSYYRLNLFNKQCPFWSDDAGMCGNIACAVSTL ESEKDIPLIWRAEELSKLEGPKAGHPGKKQQKERPKEKPLQGMLGEDVKESCVVEYDD ECDERDYCVPEDEGATGKGDYVSLLDNPERFTGYSGPGARHVWNAIYRENCFIKPMQK SRLIPNNVFGVPMGSQLRAVDDFRHVLESHEVNQVVSGAVRDELYPLDDECLEKRVFH RLISGMHASISTHLCWDYFNQTTGKWGPNMQCFKDRLHTHPERIANLYFNFGVLTRAV AKLQKHLQGYSFCISDPDQDYETKQKMNSLTQILATHPQIFDETTMFQDTVANGLKED FRNRFRNVSRVMDCIGCDKCRLWGKLQTAGYGAALKVLFEYDETKNGENPPLRRTELV ALVNTLARVSHSLGSIQKFEDIIKNEIAANEAGTAKVEKQEEQPVSEDIDDEPEIYTH SEGDDVSPSIMTMIKQEAALIWRAYIFIVKSWINFPFLA TRV_04424 MSQPTGAQAVARALKNLGVTVIFGLVGIPVIEIAEEAINLGIRF IAFRNEQACSYAASAYGYITGKPGVCLVVGGPGVLHAMAGIGNSNVNAFPMLILAGSA ETTLVTKGGFQEMDAISLLTPHTKLAIRPISVDYAENAVYDAYRTCWYGRPGTAFVDL PADLIQGKATGSLPSAGLQLVHAPPKPAGDPSIIFKVAQLLRSARAPLVVVGKGSAYA RAEESIRKLIDTARLPFLPTPMGKGVVPDSHPLNTSSARSVALKNADVVLLLGGRLNW ILHFGEAPRWSPDVKIIQVDIHAEEIGRNSGSGELGIVGDIELVVDQLLKSLGDWHYQ PPNSPSSYPSLISASATKNENKSQEKALQATAAGQYLTFQRAFHIIKTTLNSLSPPEN GGIVYISEGANTMDISRSAFPLQHPRQRLDAGTYATMGVGLGYIIAAHAAYNLPQPQG TLEAPSPKKIVALEGDSAFGFSAMEVETLARHQIPALIFVMNNSGIYHGDTKTEDDWR NMQQATLSNETTSSSAGDKKGLRSTSLSYETRYDRLASVCGGQGFFVRNEKELEDATR AGFLEKHKFTIVNVIVEPGIGQSIEFGWQAGKKDKAAQASKL TRV_04425 MSFLGGSECSTAGNPLTQFTKHVQDDKSLQRDRLAGAGPGRQET MRSRGMMGGQDHMMDEFLKQNDQMQGPAQPFAMEQMRRELENFHPSPQRTGSPAWAAE FDPGEQARMEAAFQSPAPGMMKGNTTFQASEFARFQQSRAGAVPGAASPMMSQYQGPM ARGYGMEMGQMGMMGPGYMPMAMQQQPQQQPMEQQQDIKGKGRMIELDDQNWEAQFAE IDASGQANLDEEANAAMEKELDDLDRSVPAEEHDFADFEIVWRGIQAETAATRQLVNE DEKIENMAMGDFSEWENFDGGLNTHSYRDPQLGDYLFEDQNAFRAVGNPFEEGVRILE EGGNLSLAALAFEAAVQKDPTHVQAWTLLGSAQAQNEKESPAIRALEQALKLDPNNLD ALMGLAVSYTNEGYDSTAYRTLERWLSVKYPQVIDPNEVGTETEMGFTDRHMLHEKVT DLFIKAAQLSPHGEHMDPDVQVGLGVLFYGAEEYDKAVDCFSAALASTESGSSNQKGQ IHLLWNRLGATLANSGRSEEAIEAYEKALTINPNFVRARYNLGVSCINIGCYPEAAQH LLGALSMHKVVEQEGRERAREIVEGVDGIDDAELERMIHVSQNQSTNLYDTLRRVFSQ MGRRDLSDMVVSGMDVSVFKKEFDF TRV_04426 MYRAASAAGGSAARSFLPKPQAASSQLSQFTRVMGSQLWASQRR LFTEEARDPAVEEKKSMVRPVRNSFGLPPSRPEPQPKATVYVGNILFDITAADLKEYA SKYGKVLGTRIIYDSRGLSRGFGYVRFESVEEAKKAIDEMHLSEYEGRKLSVNFAQVD LRDEQPQRKMEPTRTIFVGNIAHQVTDRDLHALFDDIPNVFDVRVAVDRRTGMPRGFA HAEFTDVESAIAGFEMLKGQAPYGRPLRLDYSHSARRPGEIDNSKAPAANLEGEAEAQ QEANASQPEEAAPRAAL TRV_04427 MSSPDDMQNRIDRLENLVLSLMTNGAQSAGPTAAIATVSGDSSI ASQGPQSASTNTVDIDLKDEESDTEQVTKSFGIMKVDNQSQKSYYVSEAHWSTILNDI SEVKHFWATHKKQYEEQMQKVQATKQTQDLKGSALIFGAMSLPTEAEIMASFPSKYTA DMLLRRFFATLDPSITMVFAMLRLAMLSYHRERDEPPEFRGKSLDIAKTYRIAMAQGL VYSDFTKPHRYLLETLAFHLQAEYSQSCDSETSVWILVGMIVRLGMRMGYHRDSKMFP NISVFQGEMRRRLWTWVRQADLLFSFEAGLPSMIRTGDADTDFPRCLYDEDFDEDSKE TPPERPFNQPAPIAYTIVHARLTTVFSRVLEKSQKLRGSSYEEVLELDRELRHAREMI PEWLQMKPLPECAHEPSTLIMNRIAVCTYPIGQLPNLVLLSLILQVESIYHKAQCVLH RPYLTRARENPRYTLSHRACIDSSLELLRLQAMLHAEKTSGRRVLHPRYYTTSLTGHD FLLASTILALDLYHEAQLEANRRQGDSPYGWGCGMQEEMLATLRQSYNIWNELKDESM DAWKASSVLGLLLEKISCRRENRDTTSMDSSFDPQDEKQSAAMTLGLLSSGLTPQGPT SPPQFGDSMIGIDRTSSAQQGGLPSNIDQLAGLNSPFGMFGQMPDMQPFNLDWEAWDN YIQSAALDPTNQNWTDVNQRQDFQQTHQPQTTAENEPNMSIRPPTYPLHNGTYDANGN ASTHPTYMNNNDYQGGANAS TRV_04428 MTLQAIYEGFLARPEPELLAEQASLHYITTTTSHSGSQDIIKHL QAVRRTLDKKSQVTLNAVEGANSLCLEVETTLLFTCGGGPYLLSLDDNFVVDQTVTIP VIHIVHFDAENKIVQIRQYWDQASLLRKLNVIGSRNNWPISDSVEQKRLISSSIAAAA KCSKKTEAEAEPKKPEERAPKKAAKEPETPLSFFEAQSVEEPVPATKSRTSTFSAKPA PRDYNDLFQGDDEPLVTPPRSRDQVLGTPKTLSKTYTHFEFGEGKLPGDQPVRPSKKP GHFEFGDEVEPHVLEEQKKATEKSKSKHPGHFEFGEAEDCPMPVRPRSSKHLSQWDFE DFVTPEKPKRRPVRGQEVRHFGWSDDEVENVTPKPKPRIAHPRRDAETHFKLQDEQTP LPAEKAAAGAAHNRGLKLYEHNLYDEDEKREEPLNTSNGTSNGNSNNSNCNTCRRKIF DPQWEMKDEFVHENGNAAEEEEKKPVSRSRMGVVKLMDSSWDKFDENNPQEQQPVVDR PIRANPARQSVYSRNWGFGDED TRV_04429 MFFIHFLEHVLTLHPSFFGSHVKEYLSQKLLDDVEGICTGDYYI VCVMDMYDISEGKIIPGSGLAEYTIVYRAIVWKPFKGETVRDRDKKHFFIYFGGLFSA SS TRV_04430 MGVGSAGPLFSVPEAISIQNLPPNGGTIAAFVYLLLYMLMEPVA GTMLAPLLLSGTAYINHLLAAYGQTAVYWSLAVQGVAWILQFVGHGVFEGRAPALLDN LVQAFFLAPFFVWLEVLFYLGYRPELKSRLDAAIAKEVAKFNKQKAEKSKAK TRV_04431 MAEAVEAEEGEAEEKRERRREEEKLRLKFVDGERKEQAWSFAEA R TRV_04432 MDGHLRPWLILLDDDDADLLVDSDGIARVHGMHNVQAEELVEFA SGVKGMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVDVPVGPELLGRVVDALGNP IDGKGPIKSAEKRRAQVKAPGILPRKSVNQPVQTGMKCVDSMVPIGRGQRELIIGDRQ TGKTAVALDTMLNQKRWNSSNDETKKLYCVYVAVGQKRSTVAQLVKTLEENDAMKYSI VVAATASEAAPLQYIAPFTGCAMGEWFRDNGRHALIIYDDLSKQAVAYRQMSLLLRRP PGREAYPGDVFYLHSRLLERAAKLNDTHKGGSLTALPVIETQGGDVSAYIPTNVISIT DGQIFLESELFYKGIRPAINVGLSVSRVGSAAQLKAMKQVAGSLKLFLAQYREVAAFA QFGSDLDASTKQTLNRGLRLTELLKQKQYQPMAVNEMVPLIFAGVNGLLDNIPVEKIL AWEADFLAHLRSNEPEILAKIDKEGQISKDLEAQIRDCIVAFNKSFS TRV_04433 MATEPESGPASCKVVLAASVARGLLAEVTEGRKALDKPPHLVGF LANTDPAARMYANWTEKTCKENGFRYTCREVSKDEIEDAIIAANSDDDVDGIMVYYPI FNNRQDQYLQQIVDISKDVEGLSHRYIFNMYQNIRFLDSAQQQKSILPCTPLAVIKIL EYLQIYNTILPYGNRLFGHTICVVNRSEVVGRPLAALLANDGALVYSVDITGVQKFTR GEGLKKRRHEVEDMEGWTLKDAVPHCDVVVSGVPGEAFKFDESLLRPGAVCINFSSEK NFTPAVKEKASIYVPAIGKVTIVVLLRNLLRLAQNRKPEGGHPADAVEKPGTLEGTTN SSS TRV_04434 MVLRQLQIQSLSKKLSSTYKLHFRHTSASGKVVQEKTIEAPFSK WFSADGTLHFEPFSEWLKNEIKLVQTSSLEGSSK TRV_04435 MAAQGLDQTILRDPALLALADAHNQQILTGILRHYATILMTSSP KPAASLAEFRERLALFRGVNLRTNASAVLTPSSLASRKAYLINAYKTGAFLKDPENRG AGAPNPMTDPAGMDAMMGMMKGNMAMMIPQTLIMSWINAFFSGFVILKLPFPLTIRFK SMLQSGVMTRDLDVRWVSSLSWYFLNLFGLQSVFGFILGSDNSAGQVMQQVGVTNPAV NPFQPGQDPEKIFQGEAENIEVMEHYCILDGIEERILQKAS TRV_04436 MKIVILYTLLTLTSLFAVCSSHWNPPVLSRRRLLPRDYRSPKNL DPERNNIDRLNWRFPEDRDSKPQFVMPPSKEAVGGKGVRVSDILGKTREVNVFASMTR GDENISNRLDNDTQDTIVLAPNNVAVQGLPHKPWENPSDYATFGVQEAYEGESGKKRA NQNLEKFILAHLIPQRCWEAGKEVRTLGGAKIFWEEKNGKKYIHPGNIEVEDVISEVA NGEVWVINGVLNYL TRV_04437 MAELQDFMLVAEKDRDEAMRIAGAVASKLESKQTTLIDIVKSLG EYINDEDSSIRGKAVSYLTAVIIALPDKFLSRQQIQVLTTFFCARIEDGGSITGLRTL HGMERFDKSMAQDTFRA TRV_04438 MITDTIDISKDLFDSAYNYFPITFRPPPNDPYGVTAQDLKDRLQ ACITSTSLFAPYSFPALLDKLDSTSANVKRDALKALNGCVESYGPVTIARYSISIWDC LKFEILNAQDEVLPAESLRVLHSLASKLPTSSVNGSNNSPLAQLLKPVTNECIDQLRE PLQKQAKPARQILSSLSSASAPAFTLITESVIRPLISIYQNADGISKQREILETFVEL FKSAVTVFGTWTTITSNSSLPNPLVSHKDVLTQIFSKSLMGSAEDENSFKLSALKGLI ELSILPNFLEDNEIGLFVQYFDDILLHERIVAHGELRKETINALAKISKNKPQLIIDI TFPALLATLPGMENGDDESYLYSLDSLARISIEKEVFNTLVRRLLSKLDVVISSNGKG SSTYTAAILTTILYAMEHRQDLEKDPNLNFYYEKIVQSLCGRTAKASTTGGTALNHPR VLDTLGRLCNLIICHLPRATQDEVCKNTYALFTTEEEFTPALFSEQPATLQQKQMIII STYILAALPKDISPLPYANTGIEPLLQQSVKASISEESDPSIQLALGRHVALLANKFL SKEEIQCASDILFSLIPSSSPTALSQQTIRTIFWLAKALILRLAPSTTQILTSLLDLL DSTDKKTSTLCARGFSILLAEDSILNVKNGAIVRLLVKQKVFTTVIPLISARVHDANT SATTHDKSSLRKSHSKESYLTALSGLLSTIPSSLVMTKLPTLLPLLLQSLDLNSAADP SPPESSPTTSGNIKSATLKTLAIVIHENGVQVINEIGYVEDLVTRLSRTAKIHSSTET DVNLQTPDSSTSKKAGVSNAGNPAKVRVQALQCLTLLANNPAGQGAEGENKKALSTVS PLLRLKKTVLRDLLCVLDDPKRDVRKAAVDARAAWLRNVEDETNDGND TRV_04439 MESGEACCEEEDQLIEQLPPPEALKLPVVVKYWQEDEAAIECFI KAPRSILTAISQATGAQVDIEASNKRIRVTSMEKWQADNAMERLSNVYSYLSLMVAPQ VANILHVGGVTEFRLRIVPYRTLNDAAIRRVLVDGPESKLINHLHTMSVTVMEVIDPD SRELKVPKNIAFPPRLTEQRRGQASIWDDFKFQQLGDASVLANLPPAPKEDPATALSV KTDCEQEDEQIVEHPWLSHEKASFVNKWVTEGVKAGAVGTNVSEPNSTLPPEPSAEIV EAPKLPSGLKRRQPLPPANVQTAPKIPQPIVEKPLQESIVKQPITEQHVVEKIDPKPA ATPIEVRSPKATSQQPVIPPPRNILPESFNTSAYGHPKKSPPRRPGNGVPFMYPRPRE YGKSTGRRVQTLIDTSTDDTEFVAPSTQSMSFSQVLAPSIPPLQPRPASPAVGSSAMR SPGIIGTSPSSEQPTEENTDPANGLMSNEERLRKLKLSTKVSSSAFELLRPKIIDGEE ATRVFHRTMGQKTPAPAASVKRETKAEQKARKQAAYLEAWGPIPTPSPSKPAQAPEPS QWKKEKAIKEENIDTNNIKDIFGCLKPVFDAIQYFTGTLSVEIQLGLILTNSVSKLHT GRTLDLKAWNSLFRPRHGLPGLTTVFNQFLTTSGTDIDYFFELGAQDESDTQPYFEEE PTTRQVCYEFHCQTKDSTDIVIVIDESGAVTINRPEFVLGAANIHFPLNIWDLRATVK GTQEYIAAPESGIAETVKCIVDNLYIPPDRTRVILFTRVPEDSALRITKILMKRTTRH KYAGKYVLDSSGTSGSNCEQPVFLQITEVQRLISGNNPMDKNAIRVRSIGSEAMVEGS RLWFEASIVSPAIEEVLKANKNLTVGERVDSWRSDDLLGAESHLGGNITETDRSDEFL TTAERTIGSSGIGSLFRIARKVVEKIDVVGWANYTPTNFIQDKYPSPLASAGAGPFAF SLAGGGREYDDDASRSVTPTQDDLGPYW TRV_04441 MKAIVGPISPLTNGDPILPSTSPPEWSSAIGHAMTGKSGRVIHN LQEQITRLTRECNLHRTRAEEAQRMNEVLKQQLQTVTDRLRSSEQSHEASLITIARKD RKIEDLKSETQSEKNRRLKAENDAAETTQLAQQQREEHQRAFAEAQEIAQRSQCQYDA LSQARLRDRNEFQSRFSMFRKDFDELIKREQERQNQLSRLDVIIEQKDREIRAARDRA EKITSLYEEYKSRSDEVLQNLVEKGRQNDRDVDTVLRDAREATDKMKWVVNVKQNVKG AR TRV_04409 MISERYLPISKSSRHNGLTWFTMNIGPSVAQRAVTASAAPQSST TTVAPSSSSTTSTSSSAPELPSRPSALNAVVNQTASTYSPYGASRLGTSPYTGGYNNY SSPYSRFGAMGGMGSMYGGYGGMGGMYGSYGGMGGMYGGMQNGDPNSLTHSFNQSTQA TFQMIESIVGAFGGFAQMLESTYMATHSSFFAMVSVAEQFGTLKNTLGSVLGIFTLLR WLRTLLAKLTGRPPPADATALTPSAFSAFTGNNGDLPDGSGRPKPSKKPFFFFLAAVF GLPYLMSKLIRVLARSQEQEAKRQQELLNEAQQQGSIDPSKLDFCRVLYDYSPDTQTT GGIDLAVKKGDLVAVLSKSDPMGNPSEWWRCRSRDGSVGYLPSPYLEAIQRRPQQQTI TAGAASSTSSAPATRTSTLVGNAAESRTKSLTALKQGPEPVKGKPTDISLENFQKSTF YS TRV_04410 MASSSSSLICLPLDRYLEKFELARRRLIVKHRVARALRKAEKAF RKANPTYADTLRLDHIRQIDYPVLDKENRIYLDYAGSGIHGESQLQRHFELLRSNVFG NPHSINPTSSAITKLDEQARARVLSFFRADPSEYIVIFTINTSNALKLIGEAYPFTEG GELLLLNDNQPPVIGLRDFARRRGAAVSYLPVKQPELRCDEDAVKSALKRKESIDETP ARLFAFPAQSNPTGVQHPLEWIAEAQEQGWHVLLDADNYAPTNILDLSRWHPDFVSVS FYKMFGHPSSVGAVLARREAFAKLGRPWFAGGTVWGSSVQANGHMLLGDNEGFEDGTI NFLSLPAIRIGLNHIAGIGMEAIHTRVSCLTNWLLKELSGLTHTNGEPLVVIYGPYTS DLPRGGIIALNFVDMKGCLVDEDLVARRAAARNITLHVGSALQPNTETSSAVESDSPD AIQKVSGETQERKKPTERRRESETSFNEVGLPTGGFIRISLGLASNFSDAFEFVQFAS TFLDTIPVDDARTA TRV_04411 MYCQKCRTPLKLDSSLEELNPAAYDLLVGKSTLKPAGLARSRPS YPPERKERYDQASQRVTSPVYKKEIPASSRHGRGSPESGAQDPASGMSFVMLNESLHA SSYQSNGPSQQPRGRHKGQETSGVHPQSHEILFADQVERTARIFEIVSARSDIDHPIC TDCTEMLVDGLKSQLATATKERDAYISFLKSLNTSIPSADEMAAVKETLEATLKAEAE AFDQLLELENQKRAVDKEIAELEEESRQLDLEEQKFWHDRNAFALTLSEFQNERDALN VKYDHDSRQLERLQRTNLFNDAFCISHDGYFGTINGLRLGRLASQPVEWSETNAAWGQ TVLLLATIAERIGFQFQGYKLRPMGSTSRIDKIEYPQPNQSQSQSQSQSTNRQDGANG AQDKIQPKITPLDLFSSGELPLNLPWMHRRFDAGMVAFLECLRQLGNQVEKTPTSIST PAEPSASRPGNTPRRQNSTQVTGLKLPYEIKRDKIGDASIRLGFNQSDETWTRACKYT LTCCKFLLAHASNAGSSKSNT TRV_04412 MSPPSKRRRMDGQFSPNDGLLHDFDVNQARKANDLRLKSRFESI FEKYGKDFSSVGDEIDLAKGTIVLDNGHLTEMGDEHDVGRGLWDDFHPEDESEAEEGP LGQNTEPAADNAGDPETGNTFFKEWVIQDDYDATAGKEEQPATVDVDSSTICPENPLP VEGLKGSQELVSIPSSQVIESEGIVCCGDAKGHEDNNIAGESLWDAPPLPTTALSASL EDMTITTTQLIERTPSPDHNRSIWAAPRSRRRRLPHINKPKHPKITATCKSKLVSNDS DSDDPIQDHTPVSSTPRRPATFDSVTPAKDTMVRTPIESKDIYIPPQNDPTATIAENS AHGVTVSEPETMANVTIDTPVATKKKNPETPTHQPIIDPDSEPDLAVDTPIAAPGPEL GSVNGSPSAEIMIPTGSSENLTPREVKTLVTLRVDKRKPWREVSLAFPSRSPAQLRQW YYAYCKHISGNLSISLTWTDSDREALASLNLDTENSWDTVQSKFPTRNLVDIQHEWVK ICVGETIWQSWVDSKTPRKAKKRPFTQDSLLQTPVRPSVTTPSPLRPRTVSNNSSAHL TVEDSPLGAAEGTNNREESPDPLSEAFERAWHSSGLSSVQISTPPRASGSPKKHLLSS GKTRSAVVQRGGV TRV_04413 MAVTGVEPAMAGNRIKTESPDAGIAGGRGARQPANPLLVKSKDG CLSSKFDVFVSGGENEMPILFRMEASSRMPIYVAAAFATGAICTIAIDRLLRSEGVIS RTEPGSKDAMNGLSTANGIEYHGLPKKPQPPPIVDGIEGCIGNTPMVRIRSLSEATGC EILAKAEAEANGLLTPFVGDAIYEGTVGSTGISLATLARARGYLAHMYGINDHTFSLV NCMPSDQSTEKSNLLLKLGAVVDRVTPAPIVETGHFVNRARSLAHSHGKAAQTSRDSS PFEKPNIEAMTSDAAAKQSRGFFADQFENSANWQAHYRTTGPEIYVQCEGKLDAFVAG AGTGGTISGVARYLKPRVSNMTVVLADPQGSGLYNRVRYGVMFDTKEREGTRRRQQVD SIVEGIGINRVTANFEAGRELVDDAVRVTDAQAVAMARWLVEKDGIFIGSSSAVNCRT FLAIIHTLTGGINSFICTGFAAVKTALKLGPGHRIVTILCDSGTRHLSKFWAEAGNVG GAIGTKLEDVLNAKE TRV_04414 MLTRDFIEDSLYNPHYGYFSKHATIFSPGEPFDFNNIEDGPTFN KLLDQRYVEFEDKLDETNYDETRQLWHTPTELFRPYYGEAIARYLVTNYKLTLFPYHD LIIYEMGAGNGTMMLNILDYIRDVEPDVYQRTKYKIIEISSSLANLQQQNLNHSIHAG GHGGHAEIINRSIFDWNTYVHSPCFFLALEVFDNFGHDVIRYDMETGQPRQGCVLIDS DGEFYEYYVPDLDRSAARFLRVRQAAARRPFTTPLGPRLWRKLQTALPFAPNMTLPEY IPTRLMQFYDVLHDYFPAHRLIASDFNSLPDAVPGFNAPVVQTRYQRRNVQATTPYVS NLCNLFLVIMLTLLFLQVHQGYFDIFFPTDFNVMEDVYRAITGKLTRLTGHDDFMRRW AYLEDTQTKNGENPLLGWYKNASVMITV TRV_04415 MCHKAIPPHRRFFAAPSVLGFVTEDEPGRGRQLRQSGGPSSVRR QRYASPESARLRSARLHRQPDSGPGHLTPSPHIQPDRIDRLDNVAGLYHHEGPYDPVT RERNALPDRAPVQALQSSNQEALNATSNDGGAGNLSQHYPLGGDAVPPPGSRDLTDRF LSFYPRTNVMVEAPAHLQRRAGSNYGDGDTLMDPYYNQDDIERRRLLRKRARSIEREE AEAAESASKKRDVNSRL TRV_04416 MEMNPLELGRISIFPSIHPQSCFPILLRFLTGAIRAPDKSHYKK GALYKAATAPETLLPHQGFRNSLKGQEASIPSLYSLFPEWSPKLHEEYERARDEVINP WLDIWVDDPQTCMKLKKADFTIFAAIVCADVSFDKLCTVAKSFAWYFIWEDPSYLKKS KEYFQHQLLEIGEQPDLSRFSQEQQKALLCWDEVGQHIRETCSKNFGSDSDREDYSDV REVFLDKMLRYVASVDTIDSIFQEGKVPSLAEYWQRRNLTAGVYPVLATLPFAYDIDI SMEHLKDPAFAALWRQTSYVVHITNDMLSMRKEARDNQIENLIPVIMMNYGLDCDTAM QTSFQMAKDEVKGIRVVERMFQKGGENNNLEIPESLAVSFTKGCKDVAMGLIHWR TRV_04417 MALVQYSDTESESGSGREDKDSSASRSTKRRCREPGGVPQKSQG RAGALPPLPTEFRDLYSTSARISVQDDPSLHNGRTRAIPHVVGVLSNIIKGCESTEGN GIAIHSFLYSDLGAQLPLHISLSRPVVLLTEQRESFLDRFRDYMNNSKIQPFEVSPQK LAWVSNFENTRWFLVLQLNRPANNGLNQLLRLSNSSLACFGQPPLYESPAGTQKRKHG RQEGHQRNSSSSSSSIDTDYTNCFHISIAWTLEEPSDDEKERLTSIELPARDLIIKFN NVKVKIGNQIYSEALLASVTDEAGLEGV TRV_04418 MTMNIPIVTCDDLSAFHAKHFSTQDFNPTVASDFFASSFDTTEA QSTDYDGLYDDRLGYYPDGVKRTLTEEQIQIFRHSEIQALLRQRQLEKEASSNNGSTT PKTSEEEKCDKPNSASSNTRDVIDQDWGNLKPPKQPNHTNQTITTTDTTAQTTLNKIL PNEKPKVKTPQALKPPTEFRRRIICYEDA TRV_04419 MVLSTRLESLNKAIEKLDDSAAKSQDKAEPGDMRRDFTEAPSEQ PANTSGEDDADQLQESLDLNEFARSHEDSAFTRHLNNWLRFHGLDPEELTT TRV_04420 MLPRSDPSDMASPPRKRRKLDAAGSHISCFPEIYGQELKMRTAE DKQQPGDEPDNSPNEKNEVEAGNESADA TRV_04421 MRKAARVLCWRKEEENIDRPACRFRFLDEVEECPSIYPRETSLS LDEDSVLAEEEKTIGPTVATSSLLPFPEDFIVHQ TRV_04328 LSLHQAAMSSPAASKRKRSSSHLHPQPAELQPTSRDASGEETAT CTPKKEKGTGIAAVAAAAAAAEVPPSKRARRRSVADGASTSTSSSRARTSNIDPGEPS ETTEASVDIENRAKRRVEARQAQAQAQAQAQMKPATHAGIQDPKGYHTNPPPTDRPVR VYADGVFDLFHLGHMRQLEQAKTLIPNTYLIVGVTGDAETHKRKGLTVLNEAERAETI RHCKWVDEVIPNCPWIVTPEFLEEHQIDYVAHDDLPYGADEGDDIYAPIKQMGKFLVT QRTEGVSTTGIITKVVRDYDKYIARQFKRGASRQELNVSWVKKNELEIKRHVTELRNA IKNNWSTTGQELSKELRHLWQNSRPTSPSREAGPNWGSAAASRAHLHADPPSRPESPG VAGRSEDFATGYSLGLIGGVRSWVCLTFSLSRNKLFDPS TRV_04329 MKLNRAVAGMRARRIWGTVSYAARQRENELKKNKEETDVERRHA FAVRSVLLEQSGHDVHRGTEELREQDEQHAFTLQPHLMRPLQPSGRFAGGFRAGIVFM ALAGAAGGVFGGV TRV_04330 MPNEKFTRSQQLAGVISILGVILIARPAALFPKEPHAKAATDLH DSNLLFDTAVKEPSTKQHLIAVGAGLVGVMGATSAYTLIRKIGPRAHPLVSVNYFALL TSVMCLLAVLVIPGAELRMPANVNELLLLLALGLCGFLFQYLVTAGLSYVPPKIDGKP STHGSSATSMIYLQIVFALFYDKVVWNTTPSSTSLLGSTVILLSALYVALAAGKSKKK DTSSQTKPGETQNTNGNHDVEDQALPKPWKARDRSSASEGETEALLGQHRND TRV_04331 MAIQHKKGGGHRGRGSKTGRSRGRKFETSRLKDVREDESSGGEE DVDGQPTEDMNDSEGTDVSSESGLDEPPKENSYSTLLKLLNTDAKSNEPARKKRKIKA NEPDANPVEVSIPTADDTEQMDEVADTEASASEDENMDDEDIPDEDYAEDIGTDGRND MFELHFGNPDETELSHKIQACSKAWKSTKADLIDGLYSVVSMPDAGGISSASLPTTPS PADLKLKQKLARNAVSFDRLNSCLTPYIFGYHDTLFCSRTTQNSAKLRDLYCLHALNH VLKTRDRVIKNSAILSRENNGDVELRDQGFTRPKVLIILPTRQACVRVINSFTKIYPM EQQENKKRFMDSFSAADSDEWAHKPDDFKELFGGNDDDMFRLGFKFTRKSLKFFSKFY SSDIILASPLGLRTAIEKEGGKKNENDFLSSIEMVIVDHADALMMQNWDHVEYIFSNL NLQPKEAHGCDFSRVRQWYLDGHGKFLRQTLVFSAFNTPELNALYNTQMQNVFGKAKI MSKYEGAMLNLRLPISVKQTFSRFDSASPLKDPEARFQYFTRTVLASLARGWTESSPR KKSGGTLIFIPSYLDFVRVRNHFANSSQTENISFGLISEYTSVRDSSRARSHFMNGRH SVLLYTERAHHFRRYNIRGVTNIVMYGLPDNPIFWGDLIEYLGSAAGGTSTPTVRVLF SKWDALKLERIVGTARVRSMLLEKGGDTFTFV TRV_04332 MADTGSFIHIARPLGPATVGVAPTTAPLSVIIQPQAIFSILDHS LRRNADQERVIGTLLGTRSEDGTEVEIRTCFAVGHTETTDQVEVDMEYQKQMLSLHLK ANPKEVLVGWYATSSELNTFSALIQNFYGGHGDGTWPHPAVHLTVSTEPGKDIVTRTY ISAPVGVTTERAADSAAFIPVPYEIRYGDAEKNGLEAIAAARDREDRATNLFTDIDSL ERSIEEVIGMIDRVSRYVESVMDEEAPASTALGQFLLNALALAPKVDAAEIESDFNKH IQDVLVVSYLANSIRTQMELSNRLATAQLTLGGGGEGNATGGNAGESSGQRGHRSNRG RGGQRGGPQAEELRA TRV_04333 MSEPRVRLTRHQGQLSFGPEIRQFLYAYGDTTPHSSYPQEPNPE TVRVLDEIVTDFVIETCHEAAQVANYSNRQKVKSDDFRFILRRDPIKLGRVQELFRLE RELKEARKAFDQNDDRLGGNLKESSKAELADLAGEAGAQDDNFAKDGTTGPKPRGKRG KYKKRPPPADQAGNGTGKKRKVASFDDFFT TRV_04334 MIFKLDSGKPTLTAMMMQQMLTYGLNLSPTLAHFQNIPKNLRKL ARSLLLGIIQNGDSSIPWLSSRTSIFYESNCVPAMHFLSASVALKKKVDSGPSVGHVM QHDLDARACRVTSELSALSLLDFFSVLTIGNTNNTDSSFARNTAVEGRTFGFFYASEK AY TRV_04335 MSPLGGGKAGLRSQLFGILSAAAHADSVRIPSNTSIARKQFASL SPSARYATSLRSTYDEPDSGLPPPEPTVNRGLRDSESSSRRKGLARRRKSEETGRHEQ NEQPQHRRIDIPQRKQTPTSRMNEKSKLTHRLAPPISAPLRYLKGYNLSQPKRRQLRG KLESECAGIQSYGDHAVHPSEYLVREMSLYPLTHIHPSWKKNLKTLYDHKRRNVSVSE TWRLLSTEDSEVVRRWIKSILSSPRTEPPPSTRWRRLCRTEAARRWPIMILWLLLHSP ARALRFLEVTTTRFSPSFPMVADCFIFLERFHVAEFQDSPKLKSRYTRALQHCLRPEV LPYLSRDSQTGFRLYLKYCEHHNLVKCFNYVLKNQKFLSLETLFYFTDLFTKNGDISA ALTSLHLLIMRFESQKPVRYQKISDRCCNLLKLDRYTRQDDGTVSFDILPRILEMGVQ PDLSIFNIVVSNALRADDLDTALQIVQLMENQGVTPDSYTHLSVLHHAVRLRDHEKVD HVLSKIAKVDSLSTQPHLVSKTLHAMLLFPHNSSPGQTGSMESFHKMLDVYKKSHQIQ PLVDLGLVPRSQNDELLSASKSPPSSQALNIMITAHLRTRPQADKIWRYFTRFQALVA SGHPMITPLISTDYVFNSFLMALRFEPQMIYKCFKILNYMLQPLPEVAIQTMKAHHGG PVPLRPAQPSQRTWTILLNTLISHNQMQAAESLYKTMKERGVEINDVAWNILIRGYAC NQMVEAAANALKEMERQSWSPDGHTVKALGFIENQELLRTLLDRLDASEPESENSKLV TKQ TRV_04336 MQTSNNLQSFATLEEKQKIQPANSGRIFSSRHKQTSRDLEIMSS SHTTLDAAAADRKARLAKLASLKRQRSETESEPTDQKDSAGTSTSKYLSGRNYDWETK GAKLGFENDPSQGAATLEDKAAEIAAATAQAAQENEDAEKPIDLFNLQPKKPNWDLKR DLEQKMKILDVRTDNAIAKLVRQRIQNSQATATAAGTRGGDGDGETAGIDGEMLVQGI HTREREEAEQEKGEDWS TRV_04337 MHSCTESEPPKTHGRDENTRPDSFSLAQLNANSNTLPAQDGQST ATHDIKLDKDDDDGLTGPLDNLRLSKDEEGRPIPETAGGAQEDGQPRTDSLFDDDQTH LSNSSTKPTSFDSKSMASVTTFAMDEKESLRPDDSASVQAVEEDEFLAPTTDAISSQA NSDPSASNRGDSQQHKNSILREPELLKKSTLPFNEDALRRNGVLPAPEGEQVLHGFPS EPDEKLVEAMGSPKDRLLLLQLEDKIISFIKNSR TRV_04338 MKIMRRMGQPGERGSAAGSTAASSAVPSKTASESGGDGTNDEDR NGGTSSTGATPSRDRASLTREEREAKYQEARERIFRDFPESKSTDTGSGEQSADVSRS SSRTGRKKASKPRSPKDDGFEARSQFNAYYTSPQLPGGPAVYHNTAHHGGIPPQNYYV MAQNPPGGGMHHYPQQVSPSGHGPMYAPSGGMNGFPSYSGPQTHPGQNNWQQSGGHQG YNQYQHHQAQVSPMMAQRSATVPSPRAPAYSTPNHSQYPQPTPGWTPTPFQPGYPPQT MARSPPVHWPNMPPNAMAAGQAPYNQGMGSPQPFIPSKPAHPAPGSFSRSRFSPQSRS FAPTSNSQAGYFGGDSPSPQYTSPHFNNHPRSNSRDSKPGMNPSPPSHYFKSKGTPPN MVGQPQQQPAYGRQTDTQSHDSIAKWGTPSHLPPKPPPPETSYPSDAANPNSNRPVGV TSPPLASKPPPSETKTAPFVVSGASSSEKQSHGNPSS TRV_04339 MASTAEEVPAEPIDNQEKAGDDEEEIAAMRERLTKMESEAAKLH EMQASLDQQTENLREDKEDIDARSIFVGNVDYAASPEEIQAHFQSCGSINRVTILLDK FTGHPKGFVSRPRYFDLSCFLKYT TRV_04340 MKDGGKADGKKSIKAEDRRGGGVEVEVGGGRGGGGQVDCDGRPV VRTQRGWQGIRSGEKEREKRRGGAKEKRTRKKKSAKRRLLPVLSPYHTSIFPTPVPVF FFIVIPVKCQGKGFEVEVEVGAER TRV_04341 MRRRVTEDSILAIQIFDQKKFKKKNQGFLGVINIRIGDVIDLAI GDDADIFALEMLTRDLKKSNDNLVVHGKLILNLSTNLSTPVPTSQTGASRPINNRTST SPVPAAQTTTTSSVSTPLTGPRPTSTAQEPLVNNSSSHLVPTHPSSSASTAVAPLTTS PQQPTAPARPNVGSTFEDSQGRLPTGWERREDNLGRTYYVDHNTRTTTWNRPAQNYNE QTQRTQMEANMQMERRAHRSRMLPEDRTGANSPDSQQQARTPPTAPTANAVAMMASNT TTPGTGELPSGWEQRYTPEGRPYYVDHNTRTTTWVDPRQQQLVQMYGPDNGNHLASQT VNHLGALPSGWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQGVPQYKRDFRRKLI YFRSQPGLRIMSGQCHVKVRRGAIFEDSFAEIMRQSPADLKKRLMIKFDGEDGLDYGG LSREFFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLNYFKFIGRVVGLAI FHRRFLDSFFIGAFYKMMLRKKVTLQDMEGVDEDFHRNLTWTLNNDIDGIIELTFSID DEQFGERRTIDLIPDGRNIPVTNENKKQYVELVTEWKIQKRVEEQFNAFITGFNELIP AELVNVFDERELELLIGGIADIHIDDWKKHTDYRGYQEDDEVIQNFWKVIRSWDAEQK SRLLQFATGTSRIPVNGFKDLQGSDGPRRFTIEKSGDINALPKSHTWYVYFLFPYTSS TFFTNRNSFNRLDLPPYKTYEALQNKLTIAVEETLGFGQE TRV_04342 MTSLSAGEIPLSALSELLSGYDGTLARVYRDKLTAKAGKAAKPA SKALISREKEEEIEGRVKQFVELDSWRYATLPVTLRERAGDNGDQKKEKKKGKEMPAH GFINKDEMVQLMDWKLKHGSFRPALMGLIRSNAEAQVESVSKEAFSSLAEDSRAGVFP EAAVQLLCKSFRGVGPATASLILSLAPETSTPFFSDELYYWLCMDLYSRDKQVPRHKL PKLKYNVKEYQDLWDAFTKLRRRIQQLSEESETKQTFSVQDIEKIAFVIGHIEPTVGD SLKETSKPTSDPKPLSEDVNGEPEEEQGRRTRKRKRKEA TRV_04343 MVDGDRLITAASEKGNLNVRHQKLLNHRRETDVYSIYITAQPHI PLRNPAIASLQPPAGPRWLLFFAPLGCSPRRAEHIDQRLPLPALNTPFSTERNVSVEP DEVEYKPILRTLPDLSASKARDAAAMSAQAQHPTVLIPGPIEFDDAVLQSMSTYGESH VGPAFVKIFGETLTMLRQLFQTKATASQPFVLAGSGTLGWDFVASNLIERGENALVLH TGYFGDSLSECLQTYGANATQIKAPIGDRPSLDEISKALQEKEYKILTVTHVDTSTGV LSDIKAITEVVRKVSPNTLVVVDGVCSVGCEEIAFDEWDLDVVLTAGQKAIGCPPGLS ILMLSGRAMDRAKNRTSPPASYYASIKNWLPIMQNYEAGKPSYFATPATQLVRALHTA LTQITSKPLAERFAVHKEASQRVKKAVSDMGLQQLATKQENQANAMTAIRLPEGLAPP DVLPKLFQKGLVFAGGLHKEIASKYIRFGHMGVSVTDPKRDDIDRAIAALKEVFAEVK K TRV_04344 MNSLNILSSRVIGQTSASNSSRARSHSQGHVLNPRGGLAASSRS YSDGNINIHQHSIQTDGAPGDDEDELEARGEVLSLLTVEDADQKQALAQDSAAPTTAG MVMLPITQRFFGALLETLKTILSIFASPAVYLGRRFYSRDGQFSLVEPLRSLRQANST TTSSTTGSTRRSRRDGADSGSRPDRGPKRPSRGSPRLRDSLSSESLYSNTSESETDRA LGEPGMRSRHSRRKSSLATTDNDEGTPRRSIRIKIQNESKQNREQRHSKARASRRSDG SSNTTNATGNGNMDPVADSLKSPVSQAARKLTRYPHAPTPPRPLVPRRQPSYIFGAPP PRTPQKTLILDLDETLIHSLSKGGRMSSGHMVEVKLSMPMASAAAPGAAPTILGPQHP ILYYVHKRPHCDAFLRKVCQWYKLVIFTASVQEYADPVIDWLEQERKYFHSRYYRQHC TIRNGAYIKDLSSVEPDLSKVMILDNSPMSYIFHEGTSTKSHNAIPIEGWINDPTDND LLHLIPILEAMQHVTDVRALLALRRGEVGS TRV_04345 MSAESRPIDPGAFAEALKDLPLSSVYAKIAELENSTAHLERSNG ELKTFIEQTDGGDKECEDAITENEEVIRRMRERIGLVKLELERRGEQWPEEQAEREAD EPEDGQGANGTASSQPSSSNQQNDGPSASSQPGMEPDLNGVDDTSEGVHL TRV_04346 MNNAATIAWRTPCRWIHAYSRPASTRSSLLDQLRLIYPSSIKPR SAIRCLSSTTPTSRNASQRAPSSQTRGNTAAFPERDLPAPQIAQIFGTATLPARLGNQ ILRALQDQRVSGTLDLPLPDEITRAAPPHIIDAGLAWLRSKHPMDEDAAILARIEREE QEEEEQLIRRAEELGLYKPQSGKFGSSTTKEGDVYGSSVLDEVRKKNIKESKRTEEKQ RQDWLDGEAKRIEQLQAQAEKLKGIQKYEPQELTEARPRADPEQRPALAWIQQQHVKA TSTTDASKLTTAGRLLPSLAVVALTLGLCYVFSESYEAPLHNQRMWPDMQPAAATLTA IVGLNAGVFLLWKFPPAWRLLNKYFINVPYYPHAASVVGSVFSHQQLRHLGSNMFILW FVGKKSVYLSAGAFATFSSFAIRVLSNTLTVSSLGASGAISGVVAMWCILHSNERLTI AFIPREWQEKISASGSTFLCAIILGELLNFIPAFRFFAVDLWSHLAGYGAGIVLGLLW KEKKERERRRNPPSWLKSFGL TRV_04347 MEMEAPRVAASQEPAPATNTQPAETEVASAREMLAVPEDAVQVL CGPLLNYKHMGPDASSRQVWNGSVLIVTRPREQPPLLQLQCLGAVSNVNGPTAGAGSV EPTVEGVKLFSSPIAAFWRFRIAVAMEDYEARWQYTIPGFRHVDQEHTMSSWNFVVPA VNDSMRIMFHSCNGFSVGTDLGHWQGPLLWHDILRLHAQRPFHVMIGGGDQIYNDSVR TEGPLKEWTNITNPHRRRAHPFPNTLRAECDVFYFNNYVRWYGTEPFATANAQIPQIN IWDDHDIIDGFGSYTDHFMRCAVFRGLGGVAFKYYCLFQHHNAPPMSTFTTDAPQTMQ AGEDGTSGIDQRQLEGCYVYKEPEDEPGWIVGSKPGPYVEEISRNLYMRLGKRIAFAG IDARTERTRHQVNYPETYDAIFARLEKEFTAAKGEIKHLILLLGVPIAYPRLAWLENI LSSPLISPIRLLNKRFGLGGSFFNKFDGQVDLLDDLDDHYTARQHKAERRQLIQRLQQ LAARYSVRVTILGGDVHLAAVGRFYSRVKDRVPIDSDPKYMINVISSAITNKPPPKAV ANLLASRNKIHHLDPHTDETLMKIFNDQPGGKEKSSSSNKVTMPSRNYACITEITTSN EQPELAKAPKDGHDALHPGEQDAGTEYRSADGVSNVTGKLGGLDIAIRVEIDPADIEG KTEGYGFSGMSFHLTLHFLFSQLTGL TRV_04348 MLFWLAAMQKPCIKGTRRGGGEKEEGLVYTAGKLLLFCLWVLGA PTKDSKPGDQEPAGRGVCCEATMTTGMRKNQKDVSWSLLAAGWLQI TRV_04349 MSFTFNSSSGNVGEPLRGQLVLQSNCSRKSSPIHLSEVKITFEG GLPPIRILADSNETDASESSHTHTSISLADGQTGPSSLLQEIKGNANLSLFPGQTRAF TLTLIPREASDVRVASITLMVADDKFDLTYTISSTSNDAYALPRWWTESKGNITSRRI GKGRDPSSCQILPKPPKVLISVPGLRDAYYFGEKAGLNIRLDNNEDASASIVVELKLS GRSEHPAAISWLDGADVGEHSEETEELSASQAKEPDTQTIRRTIAELGAGEHTILPAV VVQAPHPIQYDLEISVLYHLRSDPEAAISKAISLDLPFIQPFEANYNFLPQLHLSPWP DFFNIDESEVSNTQQRWLLNSTLMSFSREALIIEDVKLRVVAIEVGKICSITTASDSS KQSEHRYIRPEEPQSTDFIVVIERLTMEDRLQTGVSFELEIKWRRDEQQDALEAEATS PEISLPTESVITSLAVPRFSIPMSEPRVLASLGSKTGHSNDVPGLIQLNYTLENPSMH FLTFNLTMETSDQFAFSGPKSTTLRLVPLSRHTLQYSLLATRRGIWIQPQLVVVDTYF NKTLRILPTESIKSDAKGILIWVD TRV_04350 MDAFPPSYVDHNLPLVLISGLAAAGEAGEEAGEAIVSGVDGADG GVCVFSDFPPVSGAVADGLLAALLAEDASFWPWSSRYFSHRANGVGLRIQRASRTYTL PRKKADTTQYAPDSDGLAPVPHSPLSPLTPGSEVFPDGMVTPSWISKHQCLVPAAFVN FFPLTTDTNMSTLKDNQLKIEINSLKRDWAQSGYKTRFVVVFLFEDGPVLEDANYRIS SIRKATGLDPRNVFTLPPGPSPLDIQRFVKSLLFSIQGAAVEYYRDLSKHSRRKRNRA TIPPPTAPPTSGTSQTLSQQGWNVRYEFKLGVLAEFRQEMDAAGRSYETAYDLLFGDE VFGAIAAWSPRFDEARMLADVLALRILRCHLWLEQTTPAVQFWAAHRRRIQSIAHNKG KGTNNYGWEAWEVNWSLIMAHTISRSYIPPSPVGTNSGPIFVLSEKTMLSGERVSPWD FLHHRGYWLARAAKHTARRRLHAENIPQEDRLPPDQHNATSQRKALSNVYDTYQAPEP HVEYPIDDRPGDKYSHQILEFLTESVAEFAKRGQVRMVEKQKFTMAKEYVRLEAWSDA LDILRPLWLQISWRREGWWHLMEECAWLLRDCAVRCGDFETIYRIDWELMNKKPERLM KRHLKNQ TRV_04351 MAGGALYLRRLFSLVTAVSSSASASAAASASSSASASSSSSSAA ASPLRFFDVKKEAQRISHVINTQGRQRQPSTSTPTKSQKGRQDEKKQRKGQGKKGTKR TPSLLFPAGIPFRGLCALVGQPIIAAGEPGVPFF TRV_04352 MEASEKRSSRGSNLNNNHNNNNHNSPNEISQRRQSMPAAGPGRR QSPRGHIAPASPEVISSLISSLSTISTPARTHFESLPRVGGSQTAPPSPKTPRNGQFD GSDMDYGSFKAAAAAAAAESEAPFLHPYDAAMSPVIRMSKPPSSARSPRSSVSYHSCR NADDSISLRPPSRGSHGSATTGHEDSGSFGVVRLEPGSRKSTASLVSSGSRRSLKNQL GLFRRSSRDSTRAKEQPIDRLGVEDGPGPSIANSQNNRSVLRSVRSMADLAEEANGSI TESGGQKKKSGDFQDSRRESAPNLKQTHTHELPACLTPGGIGSGRSIPTRESSLRHSF NSPSSKKRRSGRHSGYSFKDVAVDKKITEVNAEADQVTQRIKEIKDHQQKIKDRMVVD DRLPPPPPDSTKPNIARPSATSAAASASCPSMQLAKVDELFLDFGDSAPSPTVSTRRA PSVSKRGAPLAPKTGNLQATSPTNQRSRHDDGLTKNAKGSDMKGHRRTYSDSPSTAPR PSSDANARPSSPDVIEEAVTAYITSPKLTQKVPHPQTGRIIAFSEVGDPNGHVVFCCV GMGLTRYLTAFYDELARTLKLRLITPDRPGVGESQPCLDGTGTPLNWPGMYYCILYLT SRFDANYFYLDDLAVICKHLKISKFSMLAHSAGAIYALATALRMPQHIRGRIHLLAPW IPPSQMTGMGSHKDPLPATALPYSQRLLRALPTPILKVANSRFMTATSASITSSLPKS SRKPKRRSTVGREATPIPPEVGGTTTASGQGKIPTSTPRKQHPPLPTQEGAGAVTSSA SSVAAGVTPDNVSISEQERRTEYDNRLTHAIWELATSCANPAVDLLICLERRRAIGFR YVDINRAVVIHHGSRDTRVPVDNVRWLGKTMRRCEVRILEGEGHGLMASATVMGNVLM EVAKEWEDWTTVVQGRRDGRKPTAQ TRV_04353 MFSPRRLRLYLISAVILVCFILYFTGDVRRTQALRAAGTSQPGK SNPNLKGSSGSYGNDKDNDGGVFDKLKPGSLRSGDKQKPVKVEEPEQTPTSAKKEKGK GKDEEEATDDAKSEDDIVNEEMNTILKRSPIIIFSKSYCPYSKKAKYFMLEKYNITPA PFVVELDEHPLGRQLQDLLGTNTGRRTVPNILVNGKTIGGGDDIEALYLSGELGTKLQ ALGGKRVTAVGINRYQSISININFVAFFSFPKGKHITDRKTSKAKLRKVNEAKKNDEA HQRRQTTFNSPVSPSVSFFTGGVKMLYIHSQETLQIPENVNLHIRSRIVTVEGPRACT YTGFNDAGKLVKDLSHLSVCFSRPKKDTIAIEVHHGGRKAVATLRTVRTIINNLIIGV TRGFKYKMRYVYAHFPINVNIETNKETGAVELEIRNFLGEKVVRRVVCQKGVEVVASS NVKDEIVLSGNSVESVSQSAADIQQICRVRNKDIRKFLDGIYVSEKGNIVEEE TRV_04354 MRPEAALAKLTLHSSIEPTITLPFMFALERIILNICAFYPLKLR TMTSQAALIDETIVGLKRALLRHASDAAYDDAINLPSNRGNKTRLNAEFVREGALGYM NHEQFYRKKAEHSGYTRDILESNPPRFDAEGDELDFDDSDAAADAEAADDNPFSSTHL DGSFPAYNDGIFVTNLYSAHIDLLAPLTHPSELANHSMANAYTNKAITTMIERINRRL RLERHALWQAKNVYRQLVADSIWMPCGAVERDDDAELFGASNPQSIAQEPSVSNSTVT ASSDRMNVDQQNDNNGDSSAGNDRNNDDSRAERPDETTDNTRDPDGDIVMESADIDDG EDKGGRGGGDESEGDQAEGSPKDKDKELTDDGFLHPRRMTTRAQVSANQNTVSQEKQD EKEHEILSLEVHPIFRLPRLPPEERNCGLTQSEADETRRMLWAYIQKQGESVRLFTEM LKMLRKSHRMKEEVWEWCKAEAHIGELSDGEDWYDKERWGLGEGEDLRKGADEEETEG LDEGRPTGKRGRGRRA TRV_04355 MSLSQYIDLSQPSLVLSAVTIAFNPLFWNNHPDILSHAGHLEYN NHFLTKLFSGNKYNGCYFLAVTIFSLGIIRDHLYNDALKNQPYFAPVHQQYLAYGLFA TGNVLVLSSMWALGITGTYLGDYFGILMDAPVTGFPFNITSAPMYWGSTMSFLAVALY HGKPAGLLLTAEVFICYKIACLWEEYVSKLLFMYLCILFLLVLYANHRHCRPFTEEIY SKRDRERSAAKKAGKAA TRV_04356 MSVRSADVKRIITFWFNRPPIEWITAPRGIDDQIRSEFDDLVLK ARQNKLDDWEMEPEACLALVVLLDQFSRNLFRGSPDAFSADSKAHEIATRAIIRGFDK DVTAIQASAFYLPLLHQESLISLVAARSLFENLRQRCVTGEEKEWADMGIDIVNENIR HMEQFGRYPSRNLPLGRTSTEAEEEYLKQQANRE TRV_04357 MPPPVLSKLWPGPPESSEKAPRQSFLARRPAIPHRILHSLIYFV AFVMLLLVQIGNLSDRLVLRDIYFLKIDLSNIVPLTVPNAVLINSIARTIGLHDFYQV GLWGFCEGYGDGSGITRCSKPKPTYAFNPVEIITSELLAGATKTLLIIPVVLPSGITR ALNIARVASNWMFGFFITATILTFLCMILTPFSIPSSAAILSAPNYSSYYRAKKRAAP SKIAIPIAILAWGAWFTASAGAAVATVMFVIFQVVFENNAADLNVHAELGIAMMALMW IPVALVTIGFLLQLRKLTGSCRNCCYYCCCCCLFPSARKAHENSKITG TRV_04358 MEIVLFVLGAVVAGLLCPVQTAAEATITLTGSDIPTSISIHHGT RTSTSSGELSQSMFSSSSTNSSDSFYTTTSASYTLLVGSHTTSTVTANETTLSGNATA TETSREPQPTNTRPCNGYAEFCARSYGNITQVAAHNSPFVRPGNIASNQELDVVTQLN DGIRMLQFQTHLVNGTIYLCHSSCDLLNAGTLESYLKKVAEWLRDNPYDVVSLLIGNG DFVGVKNFTAPIQSSGLIDHVYTPKNHSIALDDWPTLSEVILSGKRAMVFMDYEANHG EVPYILDEFTYIWETPFSPTDRNFPCDIQRPPGLNEADARKRMYMANHNLNLEISIAG ATILVPNTVLLNETNAVSGFGSMGAMAGNCTEKWNRPPNFLLVDYYNIGNVNGSVFQV AAKLNNVTYNGKCCGRTTSLASESVLARLSGKLEMIYLMIVINILVMTIL TRV_04359 MVDIVPLCSYPSYISLLPAVQTCNITNLPENYFLKYYLYHALSW PQLSFVAVVRDRSSKSKDPFAPAANPKVVGYVLAKMEEEPSDGLPHGHITSLSVMRTH RRLGIAERLMRMSQRAMAESHKAHYVSLHVRVSNNAALRLYRDTLGFEVEKIEPKYYA DGENAYAMKMDLTSLWLKDDEGYSVGLDGKSFKNTDEDVDEGDEVGDVGKAGDEASKE EKKIRVKEILWSGMKVERRLEGISSPGWIFDDKKGRYGTKL TRV_04360 MTLTHIHPEDDAPPPYTPTDPLTPASTINDAASQVSTENIPSYT HAVEAPNFVSAVPYFSERGLPSTARSDGAILEHTLVFYRRSQAKDFSKYPRCWRSRTE EITQHDWDTFLNYLLPPHLGPASNHPQLPQKLRAEIERDRKDRPQETEEERQWRITAV VTEWNVNFFQPRGVVIVYCFVREDGGQPESPLCPSCYPNTTSSRSRNDICRSLFEEPT DNTSREVHELPQLPNAVPSGRQNQQTTDAASPPAAAAGSGGSSGNQYQYRSSPAGGPG VWVFNPGAAVNNIASMISDQVQRYSQYVSEQAMEHSRMVSEQVQAASREVERNAHAHA FYVQQQAMDARNRAIHNFTTYRDQAQSSWGGHHGPHGRFGGHFHHHHGRWAGRGYGGP GRGRNTWGPEGCQPGSSGWGFWQRGADPAGRRGREWGHVEQKGRRARSASVSSSSSSS SSSSSSSSESLDSASPDSDLKKGELAALKTALQNLKQQQEQRQLSTADYRARRKELRR DCKALKSARRDFHSAGRRCRDTTSGGCSGSSSRAAGHPSTTRGEFSSDIDEIKRDMQE IKDHYVNLIRSLQGEKRELKQAAKNLKQEEKQARKEEKQARKQEKAARKEERKRAKEK GKGKAKETEPDIPLERRMEDMNISSNIPPPEYPQTPQAAGTVMKDVKQ TRV_04361 MQTYGSILPESRRYNPPEILKGGWDVIKSNPLFAVDAYNFGVLI YEAFNGSFHGPEQAGQTSNIPPSMRPSYKKLLNSNPKLRLSVAHFLEQGKRSGGFFQT PLIRLSQDIESLGLKSEEEREQFINTNLKNSELDELSDDYPEEFFKMKVLPELLKSVE FGGGGPKVLSTILKVGTKLSDDEYSQKLTPVIVRLFANPDRAIRVCLLDNLPLMIDRL PQRTVNDKIFPQMVTGFTDLAPVVREQTVKAVLAIIGKLSDRTINGELVRYLAKTAND EQPGIRTNTTICLGKIAKNLSASSRPKILVAAFSRSLRDPFVHARNAGLLALAATFDL FSEEDCAVKVLPVISPALIDKEKLVRDQANKCLDIYLQRIRKFTSTMADTALPPQERA DSSVAAARLPSPANTAASWAGWAISSFASKAGETKGVIEPIQPALPKPNGKAVDNSLP PSRARSATPTLAQNVAQFSQPTIGGVSQATPDLLEDEDSNAFDDWGAMDEAIDDEPDR QDQEPIVFEKAASPNPAISPRPHNFADDGEPDFAGWLASQSKSKSKNPLPKGLKKSST PGGSGTAQAKRTEVKPKTPIKPAKTIDTKPKDEPLDDDGWGDAWD TRV_04362 MKLLSILTFAVAVSAIKCGKTTYTEEQVKLADEAACKHVQEHTK AGKYPHVYKNHEKFKFKGLGGPFYEFPLKKTPYKGDKLPLSYVASREKKKRKRKQKNR AQ TRV_04363 MASTVNNNNNVNSLPSNGAASTPLNSSVSPNTMASRAAGRPSSG VKGPDLSRRQSGSPVDGGQRCVSFFFLFFSFFFYLQIFFSGHCFNRSQKAWTQNINPT TQKPSNAYPQQNGAGSGRKSVVSPSPASKESSTPDQHAHDRLIFLLTAAIVRSPPPSN SITYQANRTQGSNVSLTMKDGEKFTGIFSGSTLESNETILILKMARRPPSESDSAQSN GVSQNEPSYVGSGSDHQLLIDVQDITCLDIQSLSTSGVVAKDQNGASSGFRTDTDISG NQPGRERQLQRWEPSGTDATLDWAPESSGTAGWDQFETNARLFGATSSYDENIYTTRI DRSDPSYKRKEAEAARIAREIESADTDNAHMREERGHVHQQDDTDEEAKYSGVRRDVV APLPTGQPNKYMPPARRPPTGQPTVPGAPVDPAIISAQLARPDSQTKTSTQPKDTPGK AVTQQEKEKEKAPAAASKSTEGLKTVASNGAIEAERKVAAAGASSQPSAASSTPRTGG ENAASNVETEVLDHFRRFANDEKMKLQERRRNQASYDRTIKLNELMKFSKNFKLGTPV PKDLVPILAKDPSKQEEIIERAKRQHEEKNAAMPNKPSTGTPATSTGSTNVTAEAKAT SRNSGSSRYEGGNGLPGATPDRQGGYGRGGGRQGYTPMGPHGGRQLQHPGGHSGRGGP GLLSHRLVEIQQQRKGANMGNSPAPLPTQDARMQQHQQQQQQPPPPPPPTGSAAEQGP SNGPPKAATHTPTSSSASTKFNVRAMEFKPNPAASTFTPASAAGGSSAATPVNTRPQS VPRATSPAAFFGSKKPRPTSERPLIVNGFNPVKRMKKEATDAGSKDYAFNGGIPPAYR TAPTWDVSAINEKKTYADMFKPPVVAASASPQSRALNAQMPHQQQLPYHLQHATHTMP PQAAPPTHLIPPQQPPMHYDDHHRMQMSASSSQVFPSPRLQHSTPMAYQHSVGPHNQM AMHGVPPYYPQPGHVRHYPTGLQFSNPPTAAPMMAQTSNGPYMNMPPQSMTPYNPPMQ MFSPNPGHAYPQHVPPMQTHSGYPSPSRGAPMMMHQGSQQGPPPQPMMPMNGQQGQGY YPPQQPGHMPPMRPGHHQQHPHFASSPHQAHNYPHHQYRSQTQNNNNSNNNNFNQIPH GPPPPMQPQGGQPPGPTPSVHPTEAPEEVK TRV_04364 MDTYSSAMARGATPRRSPGSEQGHYSKTSPSSSGSVLGSGDASQ APGARVSSASKASSTRSNADKQLSQIEKSVTHLLVATKQLLEMLTQWSRKQAKETEVS DVYVRLGYEFNLACRAFSAIGMDTADLGPVPDLLRTILEDTLSQDASPQSLDRYLPKI RDIIINLLHGLKRKQAKLRSRQAKEGKALPPRQGSSGSFELGDGTPHQQGLEHSSSTA TQSPQKRSMGSHRQVGGPYDEDQVSVSSRTKSPSPWPGDRPAGASFSEREAGRQEAQR SLVQSSATTSSSLSSFTMQNMPVVPPHGDPETQQQQQPKRPESPSYNANNFPHPPPPL PPTSKQNDAIGMLQRSGELERRASRRFSAYQIQKHLGGSPSGIPVLPPAQNSPIPNRG QDVRESMNAVRLRGPHLHDRQRSRNRLAGASLDKTASPASHDAAAAHIKLDPNAAESV ASSPLARTPTDKLRSQREFDSPSAPGSSLPGGLPQIAEQDSLSPPQEHRKADGHAPIP RSHLDKPLPSPSTPLQSHRPDYTPEHSPPPTKDLTLFLQYKSKIKKYVLPEGYSGLTI ARLQLAFIEKFAWNTHSNGADLPEIYIQDPVSGIRHELEDLRDVKDRSVLVLNVEVLD EVRKHFDESVGGIRSIVESVRDVIDGHGTSIQRLIDRQQETSKEISRLATPASISAAL PGSRPVAGGAGGKTAEIQALRDDLASLRQTYSTFSSDITSSMANIRAKAKQVKSAAVD AVVPSFEGGSGAGHARVQAGKKELADESEKLVARVDDLQDLVEDLRKDVVTRGVRPLP RQLEAVGRDISAVTKELKKMQDFLKREKPIWTKIWEKELQLVCEERDQLTMQEDLAVD LEDDLEKATQTFALVEQATKQQALESSGSNPNNIAVRNVSRTLNPNIDPADAKDSVLG EVRALQPNHESRLEAIERAEKARQKELESRRGGEFQKELSNFVGANKLKSTGGFEELE RVRKEKEESIRREVWERMQGLLPAEPEMIAANGENEEPEQLESNSADDQHTPPNDDVE ENNDAPDTNDDAAHAGGKRDSTGNQSDRRSSGHRLSDTPVSSGKKRSSWLTAIFSS TRV_04365 MQRRSSCVTQQRRRCSSILGHMFDISHLLVVFVLFVCFSPVQAV AGNSLDDRDFTSNNSSAANGVIPAKRDHGEGEYPFLVRKMSDDPGQKFFGHYWSLDSM VSSGEDAERPRDKTPLNARSPLLLHSYPLPVAGRSVLGKRGFECPAGSAPCQLISCPK GYTTCPANLGGGCCTPGYTCVAEGCLLVTTTTVIITLPSSTITTISTISHTTVAPPPP PPPSTTETAPTVLPPGRPTSNPSTSTASIPDLCPIGFYACSAVYGGGCCQTGRNCETT SCPVTASLTTVESNGVTVVIPVNTTPNSASATATRRCADGWMSCPPNDGGGCCPNGYQ CGEVSCTASATNIGTAVIGKAQPGNSGSRLSPTIYMWVIGLIICVLLL TRV_04366 MHAKLGAGKKLVMKKHCARDFQRLKPRRMVFSLPKPLSTSASFF RLPGASAYREIPKIRPGDAEEEPASCDQKALLVSCLLVLISGSSLPRSLLPQFLPFCF PSSPAFVRSLASLYLLSELLFIYIITMVSSPDWVKKLAPAGPQGSELLKHERGQSNIS VDKLAELLHTKEVLERQDRILSLIQHEEVFDKSQNHSLGRVERVKKALARAKRLEQLK RQHNWSEDDFIMANNLISEPTPYGLHASMFLVTLRNQGTPEQHKLFLEPAERYEIIGC YAQTELAHGSNVRGLETTATWNPEDKTFTIHSPSLTAAKWWIGSLGRTANHAVVMAQL YINGKNYGPHPFVVQIRDLKTHEPLENVHVGDIGPKFGYNTMDNGFLLFNKVKIPHIN MLARFSGVDPNTNKYVRPASPSLIYGTLTWVRSTIVLQSGGVLARGVTIATRYCAVRR QFQDRDAPANAPGDNQVLDYKMVQIRLFPLLAATFALHFSGRGMMALYNHNQAIMKKS ASNQASGRGAGPEELNPGADLLADLHATSCGLKALASTIAAEGLEVCRRACGGHGYSS HSGIGPWYSDYLPNTTWEGDNYMLTQQVARYLLKSARSVFVGKPANNDTCAILQSYLS RRETGAAFDILENDADIVAAFAWRAAYLTFEALKHRDVEKRSWNSLLVDFWRLSTAHS QYLVVKNFYEAVFPSGSSAEVAASLGIDEPTLDVLRKLFRLHSLHTLERESADFFTSG AVTTRQIILTRTKAVMRLLDEIRPHAVRLVDSWKFPDWQLDSSLGRFDGKVYEDLFRR ASEENPLNELTFDPYPMSNTLVKNEKSKL TRV_04367 MSARALSLPVRSRCLLRTPQHARFSTRTSLLGAGGHYDPPTGWL FGVKPGHKYVKEGWETIFYYGFFGSFLVAGIAYVFKPDTSYVNLHIFLI TRV_04368 MKSLRRNNSSNPHASNVSSKIFVRSTKSGKVQKIVRELYLRQDI PCSSKLCTACLSYAPTDANGFVTPFVLSERPAGTKRFPKGHYLIPDTNALLNAMDLFE QTSAFYDVIILQTVLEELKNQSLPLYNRLISLIRSEEKRYYLFFNEFRLETHVRRGAE ESINDRNDRAVRTAAKWYTEHLQAVTRSSQKEQSAPAIVVITDDKGNRSKADEEGVVA LSLTEYISGLEDADRLLDMVNESKLAHDAKPKKGEMLYSAYYSMSKIMTLIRAGTLHQ GIFNVSPYNYLEGSVNVPAFEKSLLILGRDNSNRAISGDIVVVEVLPKDQWKTPSSKI IDEEALTKDDNPEAEENEAVVTERERKALQEEVRKAHGTGTESRPQPTAKVVGIIKRN WRQYVGHIDGSSSSQASSAGRRQQTVFVIPMDKRVPKIRIRTRQANELMGQRILITID AWDRDSRYPVGHFVRSLGEVETKGAETEALLLEYDVQYRPFPQTVLDCLPPEGHDWKV PKDMSDPGWNDRKDLRDLLVCSIDPPGCQDIDDALHSRPLPNGNFEVGVHIADVSNFV RPNNAMDTEASMRGTTVYLVDKRIDMLPMLLGTDLCSLKPHVERYAFSVLWEITPDAE VVSSSFTKSVIFSREAFSYEQAQLRIDDQSKTDDLTASMRTLLRLSKLLRQKRMDAGA LNLASPEVRIETDSELSDPVADVKTKALLDTNSLVEEFMLLANISVAARIYESFPQTA LLRRHATPPPSNFEELITQLSKKRNLTLDVSSSLALANSLDKCVDERNPFFNTLIRIL ATRCMTSAEYFCAGAHSESEFRHYGLASPIYTHFTSPIRRYADLVVHRQLAAAIGYEG PGASAGEGLTTRSKLEDICKNINHRHRNAQFAGRASIEYYVGQALKARGEMEAKRLGS DVAPGVDEEGYVMRVFDNGVVVFIPRFGIEGVVRLEDFVLDGQQAKVFDPSASKQLAA RRESEFDNEQYSLKVWEKEGDEGDEETKSPSTSKSMVVELFDKVKVNVSSVKEEKGRG AGKRRVRVLILEKA TRV_04369 MGRGSEVHHNSGNATHQIEDGTTQRGKKGPGCDSITRSDGDLSD KGAEDIPPEGGYGWVCVVCACLINANTWGVNSVSSFIRFMSTKRCAILIYTQSYGVFL SYYLENGIFPNTSPIEYAFTGGLSMSCCLLISPLVTHMVHLYGNRAVLNFGVILQTLS FIGASFATQKWHIFLSQGVCFGFGIGVIFVSSVSIIPQWFRCKRSIANSLAAAGSGIG GLSYSLAAGSLIPKVGLGWTFRVLGLCSFAINLVASNLMRDRNKAIGSKYRAFHFPLL KRPEFLFLQAWGMLSLLGYVVILFSLPNFALSIGLSQKQGSIAGALLNLGQALGRPVV GLVSDRYGRLNMAVLFTVFCGFLCLAVWIPSSNMGVLSFFAVIVGTVAGTFWTTIVPV CAEVVGMQELPGGLSITWVAMVPATTVAEPIALVLRTGSGSTGSYLYAQVFAGLVYVV AGLFLLVVRGWKIGDNERIERVAATNTPATVVSTPVFTTIPTATMGDPLTGCATVERV MSRPDDANCPPTGADVKIWSPLPLTRRMVAWKLV TRV_04370 MHGVKLKSSPRKVSPPGPTFMNDEELGNYLRDLRTARPPRPNGS RPLPSKASGPAASLSLKDTPARPASSFSTRPSDNVHSRSSSKDIIPRSSSALSHRRTT SEVSTPTSSSSGTPTIPECEVFNGSRNVSHCGSSLSSATSTAIPYIERGQRWMERQEV RSLRSALEEMDVQDDESRLFEAAQEEATELVLEHQTHGFKQKDTHSAYRNPDLKTVSR LRQHLEKGSHARTSSIGRGGDTPRSQSITDSIRSSSESSNGSPPTSDKTSDGIARPLR RVNFALPKAAADKTKEDNQSRRRSVSGGSSKGIFRNPEDSIYEEPEENSTQEEPTTAQ NTAPTSALTTKPHNSVLRGARPFPNRWSGSSTGGKLNRFDIHKNPPSQTRNPLYTTNT CTPREQTPDPENKQSTPTKNGIEIRDDEIRAATSMKLKDRSTKLPMPSAVSDRPGRPI VNFDPNWKEPEQDEPVGPPGSGHDASTQSTSSSVTPAPVPIPEVNVSAPTVPSISIEN EGPTGPEIRISPLGPTISEMEQTSQVQQKRELPNPGHRQSNNRPGSRGIERYQSLSKA GIPTASCVVCGLSISGRVVTASSYRLHPECFNCFHCRTPLECVAFYPEPEEKRRERLS QPDLHQDLGPDEPRFYCHLDFHELFSPRCKSCKTPIEGEVIVACGSTWHAGHFFCAEC GDPFTPTTPFIEKEGFAWCVRCHSRRTASKCKACKKPVLDDVVVSALGGQWHDECFRC DECKGSFGPDGRFFVRQGKPKVNARGRQIGGPVELPICEPCESRRLKA TRV_04371 MAAPDQRKQQRAEPRKPLEGKLDENGQIMLPKKKLYRQRAHANP FSDHQLDYPDHMDWSKMFPAYVDPDPTAVNISGARKLVKNVEIADIGCGFGGLLVALA PLMPDTLMVGMEIRSSVLEYVTERIRALRSRHPPPAAPDATMDAASEPENALGEVSLP STQPNVPEQPNFQNISAVRSNTMKFLPNFFFRHQLSKIFICFPDPHFKARKHKARIVS TTLNAEYAYVLRPGGMLYTITDVEDLHLWMVSHFDAKPADNDLNSHDIAELWERVSDE ELAADDCVRVMREETEEGKKVTRNNGQKFVAVWRRKAEPEWPS TRV_04372 MANSRSTDNAGNMNSSRTDFIFPRDYSFPPFYTVQPNLTTREKQ FQKWSSFIQSYCRHHRIYRLSLIDMISSPLFHNAELKKGLNLGDAVIILDWMAGTAGG KRAEWIGSEKTIAWIWWKRPEEWAAVLASWVDETAQKNTVLTLYELMEGEATVSQEFH KMDPEVMQKSLQVLVKQGKAQVFGSEDQQGVKFF TRV_04373 MEGEGLFSQARICIVCSAQLNAEQAEEISSALREHGGEVVINEP QTPLPPVNEFSHIISPTIDFQGHDAACDALIPVVKPQWVQMSISKQKLANPRQYNPDP RLFMNDVIVTCEDIPEGDKDAIIGGVLAMGGLYTSRITNSTTHLVTLTMNERCMAAKK RGLATKIVLPHCRFDDCLKLGRRIDERPYLLPNPEILRPENERPPRIAANRDVMGAST PEPNALPDRAASPGGSRKELSIFKGKTVMISSDLGIAEHMASSIEELIREGGGQTTNE VSKCDIFICRYREGEDYKMASRAGKEVGNLSWLFHLITHNAWTSPLRRLLHYPIAREG IPGFNKFKISLSNYAGEARIYLENLIAAAGAESTKTLKQENTHLLTAHKNSEKCTAAK EWNLHIVNHLWLEESYAKWQQQSVTDPRYTHFPRRTNLSDVVGQTRIDRYTVEEHFFP PEPAPGIKKPATLPQKGNNATLNRSSGNVKTPRSGRKAINEGPDMPGPEPDNTTKVTK KLKEKPLQTPVPSRFADLGKENETPSTTGSRKSKDIAAAKLHNLAPDISLYEKELKRV GGVIYGGRRKSAGEPDSAKKRSAEPDSASASEDAIETKRIKTSKPPIAIRLVITGFNR WVEKPNIEDSERTQLRDLGILVIPDPSRCTHLAAPSIKRTQKFLNALAHAPVIINSNF ITDCLEKKELLDPNDYILKDKASEKKYNFTLEKARQRAKKNQQKLLSGHTIYCTDKVN GGIEAFQAIIEANGGQCVPYRGRPGTMISSRRLAADGPDQSEADDVYLISGTDKSSVK LWDKFRTMARNAKRVPRVVSSDWLLDIALSQEWRNGDSYELPDENSS TRV_04374 MTSCFRVIEHVVPCQHIREYPYATATSQEETLSLAVKQYVPLDN PNPQPGDVTIIGTHANGFPKELYEPLWEEIHARSKQAGFRIRSIWIADAAHQGQSGVL NENSLGNDQNTSNGNGVIFRISLSVMHPRLLTTLILLDPVMNRLDVLDHEYSKQTKVN IPAMTLASTYRRDLWPSRLAAENALKGSKFYQNWDPRVLQRFFKYGLRELPTALYPLD DDSTNKPVGERPVTLTTTRHQEVFSFFRAAYGSKSEDGQTLNRTTHPDLDPNIYKSAF PFYRYEVARTFEMLPFVRPSVLYLFADHSEVSSPKMNQDKIEHTGIAVGGSGGAAEGR VKGIVMKGVGHLIAMEAVNRTAELCTDQISVEMHRWRREEEQLTTAWNKKSSVEKMTI DQEWVKQADLLDIRNTKKKTNTDAKL TRV_04375 MSIVETLNALGVALSSNPSADSSQQHLGSSLTIAALSLQFAVIL TFLTLASILHRRCAKAQILSVYYRPIITMLITLYVSMALILIRCIYRLIEHLGNTAVD LEDIEKLKNLSPILRHEYYYYIFEASLMLVNSVLWNIWNPSRFLPSNHLIHVAKDGNA ETIADQGKDDRSLLAKVGHILTFGVLFGRKTASQPRDDHAAYEMVPGLRSGQN TRV_04376 MLEHRFGESQTLRTVGSVEIPLPDDDPDAFLIILNIIHGHLRQV PLQVDLQTLTQLAILVDKYELHERIEVFAGFWFGNLISTIPNCYTDDIPSWTCVCWVF NKPEEFKRVTRLILRHGRGTLTFNELPVPSFVADDYLENEHCNFECDALMVGALTKRL RAFNILPHRPKSPYTGLSLQDFTHRFRHGMYFPAAQRTSTFFYSHSKCAIPSIDRMLA ECEQLSDGLDMADYKTALTLAL TRV_04377 MLDSIDTPFDECVEFLCQRVTLDPHFTEFYNWAKNNNVPIVVLS SGMVPIIHALLVKLLGHEPENIQIVANQVASRNGKDINSKGGWQIDFHDDSHFGHDKS LEIRPYAAIPKSDRPILLYAGDGVSDISAARQTDLLFAKKGHDLTIICKRDNIPYTTF EDWSSILSTTRDLLEGKTTLDDVIAAQKRDNN TRV_04378 MERRVTRSAARLAAGSAASVSESTSPPNPAPQTRKRKAPSRREV ARADPEVPPSPQPASRSKRQKLTPARQPASRYSARQSAAMSQPRSPGGSEERPKSVAA SSSSSKNRTSRNRKTTRDSSQHVSPPRRQKKRGKRDTDVDMKDGAEERKEKANEKDDE ETSPTSDSHEEANQMDTAEDDEHESFRNGIFGSGGHFGLQSTLRALSGMMSGMSSRLR DILSNLKTNEDPSVQLIALQELSDLLLVSNEDNLAGHFSPDPYVHELVNLMQPNDFGE ENPEIMLLACRCLANMMEAIRGSAGSVVQGGAVPILCQKLLDIQFIDLAEQALSTLAK ISVDFPASIVREGGLTACLTYLDFFPTSTQRTAVTTAANCCRNVPQDSFPVVKDVMPI LLNVLSSSDQKVVEQGCLCVCRVVESFRYKPEHLEELIEPDLLRAVLRLLLPGTTNLI GPHIHTYFLRILGIICKSSPRLSVELLKMNIVDTLYQILTGVSPPSDESIGPAKSDTV HIMQALIHRPREQVYETLNIVYELLPAASKGSVVLLDDQLRFTLGGGPLPLSATPKIK AMGEKRLELLRNCQPEIKRFATILLPTLTDVYSSTVNLRVRQKVLLAQLKMVQALDVN IIEEALRSVPYASFLAGILSQKDHISLVALALQCAELLYERLRDIYQYQFHREGVINE IKVLATASVLGKDDKSAEEKTGSVLGSKHHLSHDDGVNRGHDNDSGNEHDIQDDIHDH EDESNDEDDFNGDYPDDPEHHDEHENEHDDASDSEASSIVHPPAQSLDHTLQDLITNG AKHFLELYEESKTAGMHEKAEVVLATLRGLTKKIEDCYKASVVGNRGFKLFSELAAYF DQDAFDSITSYELLNSGIIRVLVDVIENSKGQAGADFLKAFKCSQSPLKHSSERKSAF GAFIHQLQDLLSRTENFEVVTFHHNAFDSRSTLSMLSKQIRLRLVAEEGSNIPKPYKN MMVSIHAIANFKTLDDYLRPRISLSERPRHSRHRDPTFPHLPSGTNPQDPESASRGSD TGSPHLSDFPSYRLPTGRDSSNGTSSRGTNKGRSARPSTLQGSGNGEREGVGRRRSAR HQPPPPPMESDDTDGPLECADEPNGSDDEEDDEEGDTLDTIVDDIDDDLSEDDVPDPS AVNMEVASTGKVTARKEDGTRVSTPSQSTPVAKSSTTSLARSSPQSFGRSLSMAGRSF TSYAAAMLAMPQDWHIEFVVNGKPITNDTTIYRAVQCNRSNDNNSPARNVWSTVHTIY FRRAQGPPPPEPTTLTPATSNVSAMVDDVDMPESLNNVPTTASILRLLRALHNLNSQS DDELANDSGVIGIAPEPPSQFINTKLTAKLNRQLEEPLIVASSCLPSWSEDLGRHFAF LFPFETRHLFLQSTSFGYARSMVRWQGAQSNDDGQRERRRDDRAYSGRLQRQKVRISR TRILESAMKVLELYGSSPSVLEIEYFEEVGTGLGPTLEFYSTVSKEFAKRKLKLWRDS DSSGDGEYVDNKLGLFPAPMSQEQVTQEAGKKQLQYFKALGKFVARSMLDSRIIDIGF NPLFFSVGRGAYTKKPPSIGSVKRVDAELANSLKFLKKFADKAAAIKTDSSLSAAEAA CAMEQCEVDDTKLADLGLDFTLPGYPHIKLIPNGQNTPITMSNVELYIDRVIDMTLGT GIKAQLDAFAAGFSQVFLYSSLKTFTPDELVMLFGQVDEDWSIEKDLSRPFTDFTLPA LMDSIKADHGFNMDSRSVRNLLATLSEFNLQQRRDFLQFVTGSPKLPIGGFKGLTPMF TVVCRPSEPPYTPDDYLPSVMTCVNYLKLPDYSSAEVLLNKLSIAMREGQGAFHLS TRV_04379 MDEFAQTRGVDDLFDDDVIPVASQPQPVHEPVDEPDTAPYSSTQ PKEEPIDSAGPPSQVEQTLPPKQDAAPSQHRKRGGAGRGRGRGNGFSRKLRPDTSKSP EKSGGSPSAHPAVTETPTQHQALNGDADAQEKQPEKGQSADASKVDKTHDSTVDVPQE AEQTKETASPRVPAVRGDRSRTGGIRKPKLTEEELSERMAAAKLTAAKKAAAHARAEA DEASFQEREKIALAKRLEEQQNRRVMLSEREKNRQRKLNSQTGREWDAEKSQDSFSEH SRGGSAYRRGAHGSIANDTRATTPPTSAGDYDNQPSPPFGDRGRGRASRGGRGQGRRG AGRGRVLGDRNTEVSPPEQQAKVPASDEFPALPGSEKQGDTKGERTGATAWDKAPDIL STKEPSGTWADQVEFGEELERGATK TRV_04380 MAALETIPEEIFLAIFDHLGCHRYNEKAFLPFAAISKRWQRAIE RFPFKSFKLNNTQLSTFASLFQGAARAHRKALVRKIDLTIELPPYDGDDCHQHEELNN QIFSTAIHDLFQVLETFNEDEAVKANFTRGVGIEIRLSSIESPKDEDIPYADKEYKFQ GTFIKLLNPERLPTLECVTSFYPIAWMWSRRIDPVAGIQMASKLKNLNRCITYYRDSW ECTEGGTKGVKEKTLIDNRHDFSLALSNYTQSVKDLSLRSDSWSGCMPDEAMPPPKFI SFTSSTDTFSLAIHEFIQRANVSDISICGLLPYSSDILWPYKDVKDAPKPLWPNLTSL CISVSAHTPDGDWYFKGDPALASDSEFMGPNDRKYINDYFRVSDQPVNMFRSIPVPER IDPFLKAMALVIRHAPSLESLHLCFGEVKLRPGYVKAEGLTRRFSIYYKTPGDQFWFD KRGHRRRPRIICDVGNNWRASKEIEQMWMEALGPNGLINYHH TRV_04381 MKFFFLLWLFSLLLPATQAENPNSNHQSDAQTIAAFAEKGWCFN YGGFWQSENTLAPCRKYCETHQSGPLNDISCLSFGVSFQQMKKMGLSYIDDSGHEFVT GECRCDLDGLGKVIIDTLVSGLSRLDDILCGVLVTTFETILDAGLVALPVGQIKRLET FIRAAKTVAENGLDAGVFFGSWANPICGKGWDKPSEDHVFAELAQAPHEYGSSLGCKR MEGCPESHDQRKGLDKDVRGLFGKGGGRKGGGKSGSGKPDDKNGSNPKNESKPAGEPT DKPKSDDKPKSTTKEEPKSTTKDKPTTTEEKPKETTTNKPNSTTRDKPTTKTNDAPTT TSRPKSATSTHSKPTSDSETHPTSPSTSHSRSTSKDGNAGTSSTIKPGPTTTDHPGVS STSSHKSSSTKHSSTQVSSTTTQGQPTTSPICKPGKGVGGCSACSNVQAWPPGYFKDE DRGEEDDDEVSHLAIRGTGFFGWESHSLERRAKKSTTSTSTPSSTSRSLTATSSTSTQ TSIPPPPVFKPSKNGKKVQFCDLVTNTAPYPGPAKLIGNKNVGTNVIFALKNPKECGD FEIRRRLNSLYKTDYKMLNSPKHDPNYGLATEHVLETQLISLFSEEISKREGKRFIDP SDKSGFNRVDFCTYMTAYWADSNKNFLPEIGGVSKLGVQWIADQFPITKSWVDEFFLL PGAPNGIKGRAWGNDKIFADDMKNLINNRDFLTKNMLQESELVKAVIRFKDVMFAYQY MAMKDVGTVFNKQVQRISDILTELDEATANIEFEKKSTTVFHDYMRQGLGKQWDKWIK ERTENTIKKTEMFFDDQYGHYTKARAELEKELKAAIKSADDEEKKNRGKKLNAQQLKD ADAKKKEHEARKERYETVIKYLQNLEKSYTSMKKWKNPL TRV_04382 MLADPADRCIAGSLDGNDWGEDCLTANIFRPMETKAGQKLPVVV YFHGGAFNLGAAALLAWSEKPFIALNFNYRLGAFGFLSGELMAASNMLNTGLYDQLML LEWVQRNIEQFGGDPNQVTIMGLSAGAHSIGHQIMYTKNGGRPLFHRALLESGATTAR AVYPPKAPLPSVQFDEFALASGCSNVDRGQMISCLRKQSVRTVGQASIDVFNRYNPSD RWAFQPVIDGKIIRAAPISAWESGTWNKIPILTGFNTDEGSPFTPTRLDTSEDFTKFF KELIPALSESDLKELNNLYPDPAVHRESPYYDTRPIDVGSQYKRGTAAYGQYAYICPI QQTAYHASAGQSAPVFLYHWAVNTTVKGGASHADQSAYELYNPGIRKISKTQNLLAGY LHAYFTSFATTGDPNAIKGRYADRPRWAPFTPGKKSGTMVIGEGNDERAGGNSIGVVA RMGNISYTKEECDFWWKRTILSES TRV_04383 MTFMLLIISQYRQPPTPGHMCLNLRTQTSCQLTTSASFAPYKQP MPILTDPFRKSYLKLLSSHASEHYPNSSYGVYPSQDDSSIAILLVANKYSPNNFWNGR YRAIYNVPVSSGGTITGTIHVDVHYYEDGNVSLNNKKPVSISITSASPADTAFKRIVT TEREHQEELNDAFNRLSEGAFKGLRRQLPITRQKVEWEKIGAYRLGKDISGGTGY TRV_04384 MAEKAEIEGAGGAPQPPPRESTPLGFRDAVKSREDAPKPSPETP QRASRPHLGLSLNMPQPFSPKSGHAAARIPLSPKLDSSHSFGSPASVLPRRSRGLDFS RACTNLHHSTLAESSPDSSPVVSGRGIAIPQRRGTAGSTGMGMAGSPSSSHHQLHNCL TSAGSHAERTAISSSVGSVNMIDSDSSSTDDEDDDGSIHGFDRGDPGGITPQAKKSGL GLSAPFSPSLIPASSADWMSGFSAAKASLMNFQRARYRNSKKRHSSSSASGASPRLLA TSRSPPAEKALDSVGGSSLSQNLAPRDIKSKLDELAHIPSDFHLSDGSDDVDGGKQAG VSSPSSGSLAGGSNSESGRRGVIRRPVTRRGNLLPKPKNFARIRATLFEECAPVESDT KKEAEVVRQVRENDASGAPQPQSILPNALEIKDSTPPSLVPEEAHQRPPTSFSRQVSR NSSGIDFWNAFDGRYRTPPPLSARDTAMASPGMLKDIDTEPETFAKINKRRRGDDFDL ASFKRRAVSPGPSVQSSPVQGHVSAGSDANRVGHLSKAILFQGSHPAEPTANTSSPSG PVKRVGLQGMTETSDGFMKMTID TRV_04385 MSQEVPDEPPTKRQKFTPIPEPEPMASAEDIAVAEAAAAAAAAA AAAAQGPHRHTPGSQLQMHQQQQQQQQQEQKHAQEPVPRPTIVDVESGNREEVLQSDV SSSSDSSLSDGDADIPYSMANDPRPTVEAPLPVTATASTPDGSQNILPPPTSEKPGVR VKTPSTPVPAFVIFPAQDPLPGVMPPRGSSATNGLEMQLFISQGGNLLLNPALRVCAG GGPLNNTPETNMTPPDPDHLVLGHVNPTPSKLANKRASIGPRTPRTPNTPLSARPSNP TASRPMPSRELSFNPHRNTINGNASSNLNSNVHNGTRVHDNINPNHNQSQSHNNSHNV NHMNSPQPNANPAACNNGTQSANNLPVSPAQTNPSSVAPSPPNPLSNKPLPQASTKPQ PNRTNPFPHHCYFNIPEKLNGGNLTEVLKAIQEMEAVRAKLRERAAFLEQLGDNW TRV_04386 MDGRKASELAQQPGCLGALVRFWRRSYGGDYSILIFLVFAWVML TGVSKLQLFVHPFYQLFSLDNSSIQYPFAVVERVPVLWCIIYSGIFPLLAIGIWCALF RPGSHFVHVTLLGLIASLLVTIFITDIIKNAVGRPRPDLISRCKPEKGTPEHTLVDHT LESFLTGQFRAWRPRSGLARLLVSLSPLLGALMIAISRIADYRHDVYDVCSGSIIGLG TAYLVYRCYYPSLWSADCDTPYHPDDQGAMHGFQRVGDEEQAFQPQQVYNEQAYHMQP LGSGR TRV_04387 MSSAPEKRVNATTFEGKEEKTSQSNNTTSTTIEEEIDEEERRPS HLRGATMEEGAQRGVTAAFPPPPPFWRHFSRANLNKLEDAKRAARPDDDHEKRTASKD WTPAELQALDVAPELKYLIPPEPPTTDYVLFGETQQLSPNPPSLGDQGITQLYPSSSA TSGHAYHLTKITKSLLLNFLELTGILSIAPEHAEEKLQMIRTLFINAYHLLNLYRPHQ ARESLSELIERRIELAKEDVKQMEEIEGKVAGWLEMMSKSDKVEEASDDKMQIEKEEE EDQKKNPKQVSENDREIEDARVMWRLLDEIEEAG TRV_04388 MISLFPSPPQKGKKKKTFDQIFFSFFNFNFSLNNSKNAGLCCAG KRISRCDRCWQRDLFVISPARSFEVRSPVQLQAQHRQAQANRVPGSLGAVSQDGSSTI PAAIADYIESSVTYPPASQGNVKEATNTLQKVFYKNPEALLFLIQIATTHHDADLKQL AAVEARSLAIKLWGKVPDAQKPQVREQLLRSTLSESSALVRHACARVISAIAEIDLTD GEWADLPQFLLNASTSAKAEERAVGTYILFAILETLGEGFEEKFMDLFALFEKTIRDP ESAEVRINTLLALSKLAVHLDSDEDEKPVKAFQQIFPAMVNVLKDTIDQGDDARIMQA FEVYQTLLGCDPELLNPHLKDLVIFMNEISANTNASDDTRTQAISFLMQAVSYRKIRI QGMQLGDQLTRTCLAIATELDSLDSDEDDITPARSALGLLDMMSQSFAPSQVVVPLLT AVGQYFNSSDASHRRAGIMSLGMCIDGAPDFISTQMHEIFPVLFRLLQDPEASVRQAT LDTVARLADVLPDDVSKQHQTLMPLLLKNLASAMQEYNGDESGPTVDMIKSSLSATDT VVDGMEGKDVAPYQTDLVPLLQKLFKHPDFKIKGHTASALGSVASSAGEAFLPYFDES MHIMQEFATLKHSEEELELRASVIDAMGEMSSGAGPEHFKNYVGPLMQASEEALHLDH SRLKESTYLFWGVMSKVYGSEFTPYLEGVVKALITCLEQNETEMEVFLGDAAKDLVGQ EVTIAGHKVRVAGADDNDDDDDDEFEDVDDWENLNTVTPVSLEKEIAIEVLGDVITHT GKSFMPFFEMTMQHILPLTEHSYEGVRKSAMSTLHRSYAALWQVCEETGQMQKWQPGK NMPLSEPPNELKKLGEILMKVTLQRWAEEDDPHVMVLMLTAYHSSAISDINRNFADNL RFCGPYLISNRENLEEVTSLVTSIITKQHPCQLDIDATDEDREMMEELSEFDWNVIDT ALDVVSGLAIALGAEFVALWPAFEKYVLRFAASSESLERSTSIGVLADVISGLGNAIT PYTGNFFRLFTHRLTDEDMQTRSNTCYAVGMLVEKSEADAELVAAYPAILEKVTRCLQ IQQARLPDNAAGCIARLIIKHHENVPLEEVLPALVDVLPLQNDFDENEPIYRMICQLY KWENPTISQLTPRLLPIFESVLTGDSDQLDDERRSELIELVKWINKMQPGGAAAFVEK LSS TRV_04389 MRFSLAAALAVLGGTASLSQAALTEVHEAGRCAIRGNCGKQSFF GGELPCPDNGLAHQPVQRVRQQLVELCGKKWENGPVCCKEEQINALSTNLKLAGGVIS SCPACKENFFNLFCTFTCSPDQSLFINVTDAAEVNGKLLVTELDNVWSEEYQSNFYDS CKNVKNGASGGKAMDFIGGGAKNYVQFLKFLGDKKLLGSPFQINFLTEPRRPKDGQGM KPVSDETRSCADKNEAYRCSCIDCPSVCAELPAIKGEKPCKVGSLPCLTFAAILVYSI SLMLIVVLAIARSAFKRHRSRNIQRVRLLQDDAPSDEEEEAEVIEDTRIPQPYMLNHV LGNAFNRLGGICARFPALTITLTVIISLLLSLGWLRFAVETDPVRLWVSPNSAAAEEK HYFDSNFEPFYRIEQVFVVKDVEADKPEPVLDYETLGWWFDVENRVRRMISLNRRLTL DDVCFNPTGRGCVVQSVSGYFGGSFANVNPNTWRSHLEHCAQSPGSRDCLPDFQQPLA PEMVLGGFNDTADILDARSLIITWVVNNYEQGSDMEARAMDWEETLKQVLQVVQEEAY ERGLRISFSTEISLEQELNKSTNTDARIVVISYVIMFIYASLALGSTTISWKSLIHNP SHVLVQSKFTLGIVGILIVLMSVSASVGLFSAFGIKVTLIIAEVIPFLVLAVGVDNIF LIVHEFERVNLSHPDEEIDERIARALGRIGPSILLSATTETFAFALGAFVGMPAVKNF AAYAAGAVLINALLQVTMFISILALNQKRVENLRVDCIPCIAVRKSGSTGIAGEDRPD FGESMLQWFVRKIYATRLLGKNVKVAVVVLFLGLFTAGLGLIPMVKLGLDQRIAIPNG SYLIPYFNDMSEYLRVGPPVYFVTRDVNITTREHQQQVCGRFTTCDEYSLGFVLEQES KRSNVSYITGATANWMDDFFYWLNPQQDCCKENGKTCFENRQPSWNISLYGMPEGAEF IHYAEKWLKSPTTESCPLGGKAPYSNALVLDSNRITTNASSFRTSHTPLRTQADFINA YASARRIANDISTNHGIDVFPYSKFYIFFDQYASIVKLTGTLLGAAVGVIFLITSALL GSLFTGGVVTLTVVMIVVDIIGTMAVVGVSLNAISLVNLVICVGISVEFCAHIARAFM FPSASLLEKAPLKLRHRSARSWAALVNVGGSVLSGITITKLVGICVLAFTRSKIFEIY YFRVWLALVIFAAAHALIFLPVALSFVGGDGYADSHAVGGLEEDLAARGYRSLLLDDD YYDSDDEEL TRV_04390 MGLQQRQKTGSVGSAQPAKGGRRERGSAWSLLASQRCGRLTEAM DDGLTADFRFVERDRQTAQAASHSRDSESEEKKKREKGARELRVWVVDIS TRV_04391 MNSPNKLELTTSSTRVTLVFTMIALWRESQTSKPAILSLSCPVS LSAHTDSLLLLCRTLKHVAQYSPLLPEPIKRSVAQVPPQQSIKQRFSPEQLPLSELPL LLNKQMTLDVPVYTVWGACEDVRVLEKFRSGEYKVDKLHIIDEANSRLLDIGGVKLRL LGLGGAIVMHKLFDNGEGKTTIAGGQGTMWTTLLQMGELVDTANRVYDPAETRIFVTH ASPAREGMLNQLSVTLKADFSISAGLHFRYGSSYNEFSVNPTLDHYRGKLAASKASFN DVWDTVRGEVESAINANEAQKTLLDNALDIVQKMPSIANGGNPFGGPVTASNAGGQVD ESAFKNLWNFNLADAAFGYLVLDIEGGRIGTEMRAQGFNFSHRGGKPTTTSAPQTGAA PPALTNPSGAAGGTSGGPGGPPHTRVAPPQFGQIPAQPSRNTGNQYPPQVSSAQTPNQ GKQQPPLPPKSTASPAPIGANKEKPATPKPVTPLAGTPAPQQQQQQQQQQQAPSAGDS ASEANGSAQQPGQADSKSASSTDKKLTCGLFISNVDTEEAVRQLWPEEDRAKIVKIEK WGKFNYVVTFATPEDSKLALERQPLEHKKPSPAGPNRKPNVKVFEDRASHRSSNRDGQ GNAGTWQASSRGGSSANTRSGYQSGSAASDGEGGRGGRGGFGGRGRGGRGGERGGRGS GRGGRGMGYKGAAGESSSPASTPAPAGDKPTPSATES TRV_04392 MAEFDPSFQRSLYLSPDQQDLLLAALSSNSQPTKPGPQPNHHSA NGSSGNDIFDSPPQDIPGSGQLSFNPDDSPFLGLDLDTEFDVSDQALIGDLPGIEGEQ QIAAEPREKRKSLDGGEGADTGKKRKENEEKVAKKPGRKPLTSEPTTKRKAQNRAAQR AFRDRKEKHLKDLETKVADLERASQTANQENTLLRAHIQRLQVELKEYRKRLSWISSG NSFSPTTSLLNQARSLHAQSRLNNDFSFDFPKFGDLPGAHMNNMGSLAKGTPNARSQT HPALGIKATSHIGATPQFTPSNGSAFRQNGQSSTANKGHEAPGSTYNSHNFETSPATF SESPSASSESQHISSICTSPESSLTSPTIAQKGDTSNSAQANGNGNAKKNSPSQCELK FYQQLGEACGCVDNPIPAALAKKSNQGSTNPKSPENAASTLSPANSTPTMSTSTASEN TNNTQTVSDPSGNGSATASSNVLSDPSIPSFDWLAQQNGGHFDPVLFGDYRDPQDAVL SQDFSGFFDDAFPLPDLGASDAATPGSTTKTTGKTDAPVTTVDIDDDEEVVPGEDRSQ MLSCTKIWSVPAVKSVDSNDSSSNPVSRDRLQRLEKFRNGEIDVDNLCTQLRTKARCS EGGVVIDQKDVDDIVTRAI TRV_04393 MKKAKVDHEKSIKGVIFEKGGGRLYFFFISHQLPLFVLVETIKP TIPLKTDLETVQSSLQVDPDNTELQSLKTELEELIALTKQSIDELRPPTEPQPAPEPV KEKWSKENHPAYQAGYRKPAPPAPTAAADATPPQEEQTQPASFCVNDNVLARWTSGDG AFYPARITSLTGSATNPIYIVSFKSYSTIETLTAKDIRPLSAPDSKKRKADNISSSPG SLAPNNINSSSTGHDGSAGSHVGAESSVISAAADINPDLANQARNVAGQNDGLPRPSK AARKVKRKKDLEAGKNKWQDFTSKSKFGKVKKESMFRTPEGINARGMTCILLQCLSI TRV_04394 MSVKKGRDKTLAEQIAELEDPTPKEFDPEDQSDGAQESDSDSGS DAIDLDTGREHYEAVSSKSKLRKAEQIELGSEYAGSRVSRHDLNGYDSSGSGSLEERE SEGTDDGFSDAFSASEEDEDQDMTAGSETDGVESYEDDESGSDMFGEDNMEEEPRKKS KSESMKTADNDREELRRLMASGDKIVTASISQAAKDDAVKGTAVKKQRLTFDALLNSR IKLQKGLTLLNGETLPADETEDLIKSAETAALSLWNMLGDLRQTLAEHQAAGDANQKK RKRTAPATVETSSADIWGQMCELEAQSLSHRRGVLDKWSRKVRGARSSTLSNNNRGKL LNTSSDEQSMTAVLETYVSKESRSDKSSASNTNSETRIAYDDTAFYQSLLRDLVEQRM AASANGGVILDSISTQLPRSGTTNPITGMRKDKVKRTVDTKASKGRKMKYNVHEKLQN FMAPEDRGSWSNRARDEFFASLLGRSVSNVLGEDEYSDNDGESVDDDAEGGLRLFRS TRV_04395 MSMGYLLPPPPEDVRHLTDDPSSPLMDTTPISWRGLMVSKAMNQ LLHSVSWGPLDVLILDLPPGTGDVQLTINQEVVVDGAVIVSTPQDIALRDAVRGFGLF EKMNVPVLGMIRNMAYFACPHCGKQTKIFSRSNNHAAGDEGHQLSHGENTGVVAACKR LGIDFLGDIPLDARVCEDADRGMPTVVAEESDDRSARRNAFLDVSKKVAEKVGLKW TRV_04396 MPFNILSVAFHASFTAVSTGVTHYLGKKPVKTEPAAHFSYHSGL EVVRRFLEHASRHTVEELQAFTGQKVPASYWVATGSVIIPAEHIESAAHILVAQLGPE GVSLVGGSRWWQWRDTEAALVAEWLETRRDYNDRRARNAKSNRIILYIHGGAYFFGTR FRLAPQFPFPCGLHDCLAAYLYLITIQKPEEIIIAGDSSGGGMVMSMLVTLRDQKFPL PAGAVLISPWVDLTHSFPSVAGTGVHDYIPICGFRHRPSTSWPPPSADEYGIESTTGE KILNKINSPPMVDKPSIADTKAIEDFYTSQACATAQSAHVEDYTTSELQESNGHRRNT QMLGEHLRVEIDGATIEIKDQIHLYTTNELISHPLVSPVLQPSLGGLPPLLVISGGGE LLRDEQIYLAHKAANPEAYPPNDDILAKYDPDRKILSQYKPTYVQLQVWNNICHVAPT LSFTRPAKYMYRSVAQFSAWALSRAQESSIDIPDSSPTSSGDLLSNAEEKKASNKQTT EPELARHATIGKAGDPIPPFQNHMIRQLIDSEGHLLPLPDEHDLPALQVPRNHIGVPK PIPVRRWLAAKEEWDSKFVKEKRRVQKERLKRIPIGIDDIAPGDRPPPSSRAAQRGVT VSEVRQPTKKSIMLSFWNRLGAIHDAKVMEKEKKNNVENKFPTLWRGGVQDKQQAAAD ASLPSGQSKTQVVTDIGQSNESQRDFTTKPSRAIPEASVPQIWRSPSSEELGRTRSPR LNSESQGVVSDEGRGQKDESPVDSLLDEASIRTIRHSKGIISPPPSAAPLSDYQSVLE PGPYSGDKTTVGGPTQKNEGTSGESDEVTQDLPGEVVNRMNRNKLPMFDFESATGSNP RRELEPFSSSLNGSGGGARDNQSGYSSNYSQQSFSGPGNGGFR TRV_04397 MESTGPQQPPAQTQGATSLSPSAHLDLAITLTLYSWPSLTLAVE SSWGGPNSKSKRDWFCGAIAELFSTHPETDAQDLEDVLIQVMTDEFDVVVDDGSISQI ADQICELKAEIEKGKFERVEAMWEQFKAEEQNGGSKLPANIINRVGVNGVDDSSDDDG DEDGEDEDDDDIDMEDAPAPRSAPKQREEPEVDEDGFTKVVSRKKR TRV_04398 MAYSASYPRVVRIISLFFYLHILTSTIGSVTGFKLPSFLNSQAP AGLRFRDIDKVPDDDSQISIDLPLLLHKNPAQGNIFFSKALHLLDSMQHAPSCNQRAV ASLITSCQALSPETSERRHYTHFNLDHVKSLYAARLAVCEITGTGAAIPEKCLDTFPS HNSWRPQYKDSSQGFQVADEERSIPAAVLEPCLRSLESKPQWWTSYSNGRQNAAVMCH AARFEIEKEELLNHHRSLTEVTHGLNMHLNRSLEDSYTQAQQHREFIRSIDTLRQNLL RDLRRDNNNIQNQVTELLSGTRDMFRNANGEFRGFIETTLTETATLAKQIKSSIISAQ EVKRVLNEVLVKEVKRNSDLVSAEQTALQANARLITNIQGSLTEVKQAGMGIIVEELK HLHMSMRVNHIDAALSHFEEKAAFLQNVMTTQILNQTRLQQSFHEDLRAAQTLLHNIT NSAIYLQATIGDAQSTFSTLIPWKGSLISIIWWLWYATLFGILTLFKTKLIIYAIFFS VFGAVLFILGCHDWFQTIQTPTLITLRQVTPVEIICFALVFVISSLTIVTIAVKGFPF KSKLGMNTNSETLRLP TRV_04399 MLGLTRNWEIGSLTLKIPPFSTLNYDPESRKASFEVQDVTVKHQ AAMWGTIRQHLRNYILGVSEGHICILKLVGVGFRASVESSAITLTPEYPGQQFVSLKV GFAHPVELGIPKGVKARTTQPTTLLLESIDKEVVTQFAADIRKWRPPEPYKGKGIFVN GETIRLKAKKIK TRV_04400 MSGMRDEDKTEVRERKGRGASEYKSPSMPANSLSSSQRSISPLY TLLVALLSLAVALLALRTTVSTTSVSILLPAFSPLRLSRHYSNTAMSSTPSYRQELRV AELAVQRASLLTQKVSQLKAKGTLSKDDTSPVTIGDFGAQALIIQAIKKNFPDDEIVA EEEASSLRENKALSSQIWELVKETRLNDTESDWLVGGQMASEEVFLDTLDSGKSAGGP KGRIWALDPIDGTKGFLRGEQYAVCLGLIVDGDLKVGAIGCPNLPVSDAALTPTVGQS GSEGIETGVLFGTIKGAGSTSRKLGDGALLPSKPISMRPVPNIADACFCESVESGHSA QGDNAEVARLLGITNQSIRLDSQAKYCSIARGAGDIYLRLPTRPDYQEKIWDHAAGDL LVREAGGQVTDIHGKRLDFSIGRTLKENKGVVAAPATIHAQVIEAVTAMYAAKAAKA TRV_04401 MFSGSIDSLTAEQYEVDDWSGIPDLIEVIRLQASGPSEASRALR KKLFVVLFLSIGRERRALLNFMTNTFSCRKYGNVHRQLRALTILDFLIQNAGERFHRS FADEPLLERLRVIATDPHTDASVKEKCQQLYSQWAVSFKGVAGMERVTALYKQLPKRK KRAGPENSNILKDSPEEPLGHSVSIVAGDGPSRTLSSTTPSSSSNSKSLFDSKKKNKQ KSEKHSSTGNSITDRHAKAKAKASDEDVARIKRTVADVGFAITRLENAMKLVNRETMR VSQDKEVMRRVDECKVLRSELLKFIHKLDENYLGILIHSHEEIINALLAFEVMDKSVD DDSDSEEELDSAHANTQGLPPAKPPRPQTVPTLQVDKYNDLESESEQSEEDDDINNPF GDRNAISTPALEKSGMTW TRV_04402 MTSTQDLTQNRLFDVKGFIAVVTGGGSGIGLMATQGLVAGGARV YIVSRRKEVLDDVAKKYSQKGPGEVFGIKADINDPNDIENLAKELEAREPKGINILIN NAGVTQEAEKKGHASSVDYHSADSVRSWLEGNGRDVWQQTLASNLISHHFVTARLIPA LDKGSSIVPGHSSSIINISSISGTVKSTSAGQYAYAASKAAFTHLSRQLAHTLYPLRI RVNCIEPGLFPSEMTTGGSDENQKSKIEGAGSKFPAGRTGQEADIASAVLYLGSRAGT FVNGETIHVDGGM TRV_04403 MGTAGGLSHYIYAWGMAFESISNFFVDLDQKPDEALYTHFRQKY PNASANFKAGDSKNSKAFIPSPSLKQLPCQRPDGSNLYNPALKVQLDQLAVTQPLVYP DDVPFSTFTNISPSCNPCKTPEEVLTDKNRSIDLLTQPVTFDAVDSNTIPCTSSMDQS LSHSAVDSAAALPQMPVSFETGISACEIEQRNINAPATLLHGMPDLSTLSNVPNVTDG LHLGRDAAGVTANGHRESAGSPRNSDFGDDFRFHSTLHAATAMVQGPDDIPITYLNKG QIYTLTVSDAGVSDFGHYPTKYRTTVRITFDDEKQRLKPASYWKLWQEGRGANRAYQS ESTLLAVEYVPHSQGEDLQPRYRQVQLEHNSLDGFSVIWTPHQINNRRDCAIYVRFNF VSTSFSYSKGVKGVPLRLCAKTEAISSSHEMPGASDYSEISYCKVKLFRDHGAERKLS NDLIHVKKLIQQVKEQISEATLGPSNGGKRKRCNGSALITGNESTTKTRKCSKIPWSY GQDLAMKGPFEDDLRMELKRLTDKTMSRREVSILNLRGEPQDDMDLFPLEMDTRRTSA DALGPDAETESKASMKDPSKYIPYLNVSLLLASILTFSLEYCFYVQRRQNNKGNEGYF HAIYIQQLTAKAFTDALVKKWKFKTTGKVHTLLIHEDGLSVVADDLAVQALPEGKGVL FDFLEVHEEPLDVNMDFDSDTAQEL TRV_04404 MANKKRQTKPVEPPKKRLKTNKSDKSSGTALTEEVTNINALKWQ TVQPPDILEDAEGFYGLEEIEGVDIVRPADGEQLKFLAAKSSIKTGDNEELDDDDDGE EWEGFSDEVEQQPEPEQSSFKSTENKKKKDKKEREKPRQEKGNTKVQSIPFSVLNEAN DEADVDVSAWDSLDLRPELQTSLSRFKFAQPTPIQKATIPEILGGRDVIGKAATGSGK TLAFGLPILQYLLNRQEQRPSTSLTLSKSGDKKPVALILSPTRELAHQLVKHLKEVTS SAPNVDAYIASVTGGLSVHKQQRQLAEADIIVGTPGRLWDMVSSTPQILTKLRSIKFL VVDEADRLLSEGHFKEVGELLSALDKTRTSDEDVDEAPEEEEELGGRQTLVFSATFQK GLQQKLAKRDHSFHDNLLDKKESMEYLLKKLRFKDEKPRFIDVNPVSQMADNLKEGII ECSAMEKDLYLYSVLLFYPKHRTLVFTNSISSVRRLTHFLQNLNLPALSLHSSMAQKA RLRAVERFSSPTADPSPILIATDVAARGLDMQGIDLVIHYHVPRTADTYIHRSGRTAR ASASGKSILICAPEETAGVTRLVAKVHHTQKRKSSSKDVQLHSIHLDRGIVTRLRPRA NLSKKITESTLAKEKISSEADWLRSAAEDLGVDYDSEEFAEQESKSRGRGRGGGRQAK ERQASNVSKAEMARLRAELRDLLSKRVNLGVSERYLTSGRVDIDALLKSEGNPAFLGH VEKLDF TRV_04405 MNGHAEVVKLLLKARDMDIDSRDSEYGQIPLAWAAEKGHHQTVR LLETGKVEVDFKETVSGQTPLSKAAKNGHDESFAEMGRVEVTKLLLETGKVDVNSENS QFSETLLLWAAEYRHSSQAASWDWDGGYGYGL TRV_04406 MGKSSKDKRDAYYRLAKEQNWRARSAFKLIHLDEEFDLFAHSDP DSVRRVVDLCAAPGSWSQVLSRVLIRGESFGRRQYELDRGGTGGVAEEVRPRRDVKIV SVDLQPMAPLEGITTLQADITHPSTVPLLLNALNDEGEEEKVDLVLSDGAPDVTGLHD LDIYVQSQLLYAALNLAMGIIRPGGTFVAKIFRGRDVDVLYAQLKSVFGRVVVAKPRS SRASSLEAFVVCRDYKGSPQRAITGTTSTERYMARFVACGDVSGWDADASYELPEDHV SLDPVQKPTAPPYKRALELRRAKGGAFGKTKKT TRV_04407 MTSAMASTMPSTRLGAGSLALGFLGERQKLKRKRSDKERDRAAR VIVPVRALGCVRASLEQAALLSLPLVVHLVGEEDDSVEDSDACIELAAELGVTLISCQ EGDEKKTTALAVQVAPSMVLHRDASKIPAEEDAVYDLPQTTGGDIKTSNKYEYHGPSD AVSVVAVFGHAAASDALRTTGLLVVKTWPAGADEMAKVLPETIKTVGVLGGQQESLFR QMLPLGLGVALVSLTSRTLGDFTGSELEQQKTAEKEEKIDIDEEEHEEDKVTVEDVTK GLLFKEALGAKTTLPKLPAKTYAVTVKENRRLTPTHYHRHIFHLELDLGDSGLTYEIG EALGVHPENSPQEVQEFAAAYPLDLDEIVSYRTEEGRQTQTTYQALRRLDLWGRPPKK FYLDLSEHATNPKEKERLAYLASPAGKDEFKQRSDVDMLTYEDILLEFPSARPTFTTL AAMVGPVKRREYSIASCQRLAPSIVALMVVVVGWTDGRGRARSGHASTYLSRLSTGAP LTVSVKPSVMKLPTDPMAPLIMAGLGTGLAPFRAFVQHRALEKSLGKEVGPVLLYIGA RHQREEYCYGEEWEAYAASGVITLLSTAFSRDQLHKVYIQDRMRQTLPQIADAYLAKH GSFYLCGPTWPVPDVTAVLEEAVASLSTDTKIDTRAEMDRLKDQHRFVLEVY TRV_04408 MTSAPAAKEGEGGERSIEAIRKTSINSNIYLSIQTVYQNKDLSS KLSKSTLLEEPSISDGINTDRGEYSKTGGDSEVASQRSAYDICYRDPADVRTASDREE EANGHRDRRPLEVSPANREVSRFTDEGGGQHDEEVRPSRRVSPRKGKRVDYGGADIST HPSK TRV_04304 MPARANGSLQRGDDDEVCPVCKSSRYLNPDMRFLINPECYHKMC ESCVDRIFSSGPAPCPVAGCRKTLRKNKFRKQTFGDLAVEREVDIRKRVMGILNRREE EFDNKRAWDDFLEQREEIIANLVNDIDVAKTEAQLAQYSAENSASIRHNKDLARKENE SFEFHQSAEQEELRRRREAARLDYENERKAKIASREDIISRLASGDAEEAKAVARESE RAHQLKKSSARRSEEERLKRKQAALRAAESANKGPLAAGAGNAAAAGGGLIKGLRKIK TPEPEKPYDPFAGAAALNSRDYYELRDHYPSTYLDPLREDTRVLAGGYDLREYYERTL LEAFAGLACFVSEEIPVRDKSVAAPASRGTPPAQKIPAKLSTPSSLPAKRRAQGMETI SKNSDDVF TRV_04305 MARSIRKTQNELTVSTGGSSDWRSLDNELTETGLDADESSASTG QKIADGFEKDYAIGISSLLTPVRPKPFRSLPNDIPKKRTKDFISNSRSEGHSTTAHHI KHNVNTKDSEGPKAALIQDTLSRGLADKKPYPLYPSQPTSDLNITAELRKLWDKPTLK PHPFPRLPDSSIKPNIKEPPSLNDRARFHNTTKTNKTIPNPSSGRSGSSRFGARIKHI DGTSDTPRAGRRRFHPNSPSSPIHSDMLYDDGTSSIIHTSTGICPPIAYGSRRVVAST PGLPLPHSRTEGHSSDGIHCPRPSSQSCNVPDTFWNGWDHCYNSSKIAYPAPVQLFES DTTTSKFADSRPSKQGLGSIPRPRAPLRDLSSNGLKIRDTLGKEAQTRRASLASSQKE NLRPRKRSRDTRQNSPIGGGEDFTREVSEIFTNFADTLTGNRKVPSSPASDSNTGHLG KQATRFHRNKSNGNHDFLSSTDVSSNLDSKHYDETGTVVRNPETSLLPFGAPDTTADT YAGDKLRRSLPRTARFSRSRYSTASITDFHPAGVDLTLTVSTDISSTPFGQGATVDNT HHREPDKPLDMLSDLQSRLQRLEIERESLNQSIRQLNRENLALSARVQVLEAAKSTGG VGREELNNEDLSMHILLQPVGKGKRSPETQAQMPTSGVTGLCGQEATDEKTCRFQDET DVLEATDLSTRTANAGESVDPICGSLGEAHVDYTILTLVDDHEVEELRRSLEASRIDR TAGKIHHISSAKHDISMPPLSQVLANIGVGVEKEEYHSSASEEDMCTLPPIKKWVRRG LQKDV TRV_04306 MVWERVFACIGILTTVCIVVNLLSVAYKFLRPSKLHKYLHDGKQ TYAFVTGATDGVGRSMAYELAKSGFNLILHGRNSEKLQAITDDLQNQYPTIKTRKFVC DASKDLLDSSTLSSLHKVLKDVHILILINNVGGMGCLPPSCLYQAYESYTGEQIDVVL NVNLRFMVQLTRILIPLLDHSTSMTAASNKRLPSLILNMGSVGAHGSPYISVYAGTKA FVASFSNSLSMEMKIEGKDINVQALIIGETRSATHIVKDGMMVPHSNSLARSALKMVG SDRETFVSGCFPHWMAKIFVQVMPVYVSNALFADAIKKLKAAHEKRVASLVD TRV_04307 MTAYAMDSRKSAELQTEVNQLSEKEVEILKSSAASDTDVEGEAP PTYSESTTIALPRSINQAFTPGRSLYINSRGIGMIRFPTPSSELEIAIHNQDGSLAYV STRDKLCSGDAVLSSPKLGNLLSTTYFFGPGRHPVLRQLYPTPFNGDSPDTNKDITVA GKFISRSADFEMPDGSKFEWRYVRLGYDGGGRSKLLVLEKIEGEGDGSRKRVAQLLRT KETIPQGSSKHRAGCGGELVLDQDAHLNIDEAVIVGTCLLMLKREVDRRRAIQMMVMT GVVAN TRV_04308 MLTKLPPELISGILSRVEHRLDLKYLSEVSKRLNELTTPFLYES ILITTGRKTNGIVKAHQRAGVLHYTKDIQVANDGSDEYWLDPENPSQMLTKYPSSGWE ALPIHEYPDYFEELKALEYESTTGLTSTELEDKKRWIDPEPEARFSKVVRYMELRRRV LSVLIRCQEETLVIRSHGAYGCHIYDTHLFKRLKRLTWEDMGHSFPLVTESEDIQRLR DCLDSVSHQLVYLKLGLKWWEYSFHKPDDEMATISQEIVKASPGPDGKRFHFQNLQHL SLLGFNFEHDAVDIVGDLNPATLNTLTITLCGYAWPNFLDRLNASETPFNLTTVEILS WADPESASHENEDIIRFVNGCQPLQNFYVAVRDQDGALELWQALLRHRDSLKAFVYYT DPSVGTDPDPTPFYVNDVSFSKPHNLDMDTAWNPLRYLNLEFLGLSYDFEYLIPVLAP ITGNKYLKVLLIRRIDTWVINGLKAVENPKRRENSQDDGDDAANEDNFLNFDELVRWA FGPQGFSSLQMIGVGDFSDKVKPCENALLCRKSNPECEEVFPGRNYRFVTRDDRIQQE LFRKYASAMVACPTKHIEAWSWL TRV_04309 MQVRNIFDTDMKIGACCSKKTGYCNYGPEACGTNNVSPNEVCWS NCDAKAECGRFADPPGKKCPLNVCCSEYGFCGFTKDFCEKKSGKNKGCQSNCDQPRPS GKSGEQKVVVGYYEAWRHNVLCQGMGLKDIPVNSLTHLFFSFGYITPGEFKITGMDGL PYRLFADFTALKRKNPGLKTVIALGGWTFNDPGPSQKVYSDMVSSKANRSKFIQNLFA FMRQYAFDGVDFDWEYPGAADRGGIPSDGKNFVKLLKELDEENKKQPFHYSVSFTAPS SYWYLRHFDLEAVNHVDFVNMMTYDLHGVWDSDNPIGSHIYGHSNITEMTQALDLLWR NNVPANKVNMGLGFYGRSFQLQDPSCDKPGCPFKGGAAAGACSGASGILTYREIMSMV KKENQRRSLAKEDAIKPFHDKEAGVKYVTFGHDQWVSFDDKETFKQKKEFAAELGLGG YLIWAIDQDDDQRSALQAVLSPKALDTFKHSAKDASYYEDAHIPSCYTTGCDGNCKAG FIKITDQKCGEGDKKSKICCPLSGAPDPADCTWRGTAPFCNGHCHENEVTLQLNKWGD GKVCVDGNKAFCCKSSLAKDNTCYWQTDDCQRGDKPLTFSGKWLSFDIEIEEKVKEVT GKNQPIDQVSGDKLKEVLKGLDISNLRFYCCPEKELETWRNCAWHGKRDSCFDNHCDV LSENLFEHPPTGDNVKTDFDLNLDDEDSNPGSTAFQFVVMTSPDEIQTSLDRRDGSHW EVFGCNDAVTEGEHTVQMVCTDFSENSNCHKISLGKGVPGTILQMPKGCGPGKYAVAI SMEPAKHQLIPRHLASRVPSKGVVYDLKFDYDFSRVPRGMGNTQMRVDFSNQDNYWDE VVAASPSKKRKRSLDDVGGDHLKWLEDEFRDDYHFGGLNKRDLHERWFGSSVIEWLKK MVTPEIKRDYTHSIDETVTAIIVDENWDCKRNGIKYDGHVTAKALTNMKISTTFGFTL IVPSLLPPLDLTKSYLTFYNKGEITATLTIDAIARFTYSKQKTILTLPFPGATFRIPG IVTIGPQVHISGSIDAQATLAAKFETKVDIAKWEIRQTLPSDGSEEYNPQDIAKGHPD LSKSGDFTGIQRPEFYAGVEAAGDIVAKLNAAAGRFKILTGQVLIYLPFWNSTNLNPI LLEFGVRFDDGWGIGGATAGVVGEGRVMVKIATGISTTAECPFTYGMQLGTHLYAKAD ARIFKWPETKYEIAGRDKDIIKGGECPNLGIGSVGGESSPLDSRWATENNITSHHISR DRSLSVRAHELQKRAAVYGPAFRIPVGDLFCPTNDDNQDIGDPKQCWRIMPITSSYSY AASMDSYTDISRRDGRLEKRASKESYLCHEKSKMIPDLYMKSNYPSSGSLPNAPAYGF TTTDCTNFDFGAPLPARYMNPNNAADKIKYETEHVLEFQLPGLFLMKLNEDKGQFDHP DPNQVDANGQRKKISFCEYVRLLWPDPLTINRARKSAASHIADTFPTMHMYSAELIVL EDRINFPFKAKAWGSPSVIASEPALKKAVMDNPIMAIKTIRAMMGSVKYHQSQQIAKI LKDQKERVGAILGELDNELITATYDAWETQGLKSEWKTFMDTKFVSAHSKTTRPIEDY TKMLKDMYLDPQYVQGAQDKQGDSSKVKDEKKKQRTIISNVRELDAAVSALPTWTNPF TRV_04310 MPSSVLFPAMRTLLLLKYVFSLIYLNAICCQTVSAISVVSREGL PMTLSDKCAEALISDVACDPNVLDFKPGYYYSPEILQRACTDTCKSALDSYLDRVKSS CGTETIVGPFDLEASALIVPGMRKDLFQKTCLQDNGRYCNNVAATAAVIADPGGMAWF KYFCFIKIGCQSSREVQFLDSIISARFLQEPFHQILVTCASSKAFN TRV_04311 MGLGRRYFRFFKFIDYFENAWAAYTLNDSAPAHRRGSIASTLEF SKWSLLGVYLLLEGVTIFDAMGVQRTSWGDRALLESNKFWLYALVFSLLSTLWQLVQL RQAPRAPSAIQKKEKGPNAKNNADGLEKAPSVEKQTVSSAEFIIIYQALVKDLIITVC DICIPGHILGCIPISASTMGSAAVLSTLLVGRDRWVEARGVAAGS TRV_04312 MYGHIKTLAEAEKKGIEAAGGTADIYQIAETLPEEVLTKMHAPA KSNHPVAEPRDLLAYDAILFGIPTRYGNFPAQWKAFWDKTGGIWAKGEFFGRYAGVFV STGTPGGGQESTVIASMSTLVHHGMIFVPLGYKNTFQMLSNVSEVRGGSPWGAGTFAG ADGSRQPTPLELELAQTQGKGFYETVSKVKFA TRV_04313 MLLEEGRSSKFVIAEAALKSGVTLGAILADELKENQRRFKGYYL ACFQIGYEEFKMLPQFSARAILLLDYYHYYYDYALTGEDYALTELRFGSGWIL TRV_04314 MTDPKKRRHGDKLFVGYEEQKSTANGSLNGQTGATKRQESSHRL DGGAVPERDDSSSTKRPTSPTAIIKNPWEIVKQSDLDNRLDKKDGKIPRSFDQKMCRH GPKGMCDYCMPLEPYAPEYLAEKKIKHLSFNSYLRKINSSTNKPELKSSYMPPLSEPF YRVRKDCPSGHPAWPEGICTKCQPSAITLQPQQFRMVDHVEFSSPDLINSLLDFWRKT GSQRIGFLYGTYEEYTEVPLGIKAVVQAIYEPPQVDEVDGVTLHEWNNEKDVDHIAKL CGMEKIGVIFTDLIDAGAGDGTVVCKRHIDSYYLSSLEVVFASQLQARNPKPCKWSET GQFGSNFVTCVLSGDENGAISVCAYQASNSAVEMVRADIIEPSADPSVMLVQQEDELD NANITRYIPEVFYRRINEYKVSVQENAKPSFPVEYLFVTLTHGFPTTPTTVFTDPSFP IENREVIGESQDLRRVASKLTDSSDPNAVIKAVSNFHLLTFLHGMGILSEDEEALLCT VATKHDPADGIQLLNTAGWATLVTILQESGETPPKRSWPFKRQSPISSSFHNSSHNSN SDGEQLAKRFRGASLE TRV_04315 MAASGGASSIQVTVRVRPFTIREAAQVSKCDDGMVFMGDGSLAG NSAPKLQQKGLRSIVKVVDDKCLVFDPPEDNPLQRFSRSVIPNRAKRGKDQTFMFDRV FDENTSQGDVYEASTKHLLDSVLEGYNATVFAYGATGCGKTHTITGTPQQPGIIFLTM QELFEKIAERSEEKVTELSLSYLEIYNETIRDLLLPGGSKQGLMLREDANQSVSVSGL STHRPQNVQEVMDMIMRGNEYRTMSPTEANETSSRSHAVLQINVAQKDRNSDLNEPHT MATLSIIDLAGSERASATKNRGERLVEGANINKSLLALGSCINALCDPRKRNHVPYRN SKLTRLLKFSLGGNCKTVMIVCVSPSSHHFDETQNTLRYANRAKNIQTKVTRNVYNVN RHIKDFLVKIDEQMALINELKQQQKDYESVAFAKFKKQNEKKESVLRESFARLRSAYE HASPERREKIDCMVRLKQVSRRMSMLSAWIAAFDNVCAARGQDDTLSNLQAIRKSAQG VLFELEGSRQHYHQKIGKSSWERALDSALEVGIKQLRELETCDHTDIENLKREAQLLK SNAQSEALSAVSEQDKTSEFEIAQRLLEANFETISAIEHIMQLSEDEAIETGRKILGK LLESCCASTSNVVKPNGSSPIRAEAFPPTKAGTPKRRKRVSLVGRPSLSKPLVPPVTI TPPANQTPMKGSPRRKKGPGLRKSIYFTPKKAIKPGKRNVRWKDDTADGPLAEFSKTP QKAAPSSPLPSSGSPSSLPVPTVGSSIPLRSGSRESSPIPTPPSLPSMYSKNSRFQAG FLSKKANEGSPGPSPAPPSLARLSLSDTEQPAPLRQISNSSTLNRRSLDHEPDSHPPD SEAEPIKIDKMDAVKIGSAMRRISNAGSGCGGSTNRPRHRSPSVSSNSPPNENAMFTA SQARRMVKSEKEQEGKYGVLGPRTFPVTKPGSQRRVTSIDLKIRDVSNSSRDGVRFST PTITSGGQPRPSLQGSAANACR TRV_04316 MFFYARREKTAKLGGGGSDAKTGKAVVPARNNRWQTATSLNNTP PGRALNVIFGYLVIGDLLIFNMVSTYIGRGAKWHQANTSVLQAEPRWTLRFKNHNVTV LLLVSPVDAFDTIKAALLKALQTRGIKEINGKPVPDDAADIELGVPLDRNNLEKGWQP LAIPDGKADKGAGKGKNSVFNSSPQGADLRDAQAIAFRFRKASERSAVKDGDLEMDLD DPGWDVLIPSYDDEDEDE TRV_04317 MGSLQTQVPPQEDDGTLSAVFDNNHPPRLGTDVGIRLPLSAMAA FASGMALGASHGSTKAAFRFRAENAHRFPTNPAGWYQYHKSKNYTSMIGGLKEGTKLG MKVGIGAVAFCIFEETVDHARGNRDFLSTVTAGLSFSGVYSLLAANSVIAHVARHDVY TAARTAKVGLKLSLAYGLLQDLFASFRGSRPQYIQFIFNVFGKPGSP TRV_04318 MELRIEWTKKLRQSYDFHLLKWVHKYLSGSPCSPIFKTLSTIAF EDYRLQGKILLGPLTMVTKKGPYSSRSGKSAVPDFLAYNGSKAQKLPEYGERDSKRYA TGSLRPPDGYAGSAKSRTSKAYGSSSRALDIPGSSSRAPDPFALPTSTALVPYTGGQK YYDNALAAGSSNSRRDYDRAPTAGGSRRRSLFFGDEGNTRIASRGGKDYDRLPTARRS SRRRVHFDDGRDDRRSSSRARRRRRRRQRESERTDLAMCCRDCCTLAPRAKIPPLLPE YGPVSDATLYQLHMVSGIPLYRLEYLSDYDLITEGPCGSLGILFPLLPCDIRDAISSG QFSIRPVQVATVTTVNVYTTYELY TRV_04319 MSPIRTFNTEMNPSRPVRPSPLAASQIRGMPLDIIDRIRSFPLF QSTPESFLTEIGLHLRPQINSANDYILTEGDDAKAMYWLVRGAVAVTSRDGESTYAEL KPGAFFGEIGVLMDRPRTATIIARTRCLLLILTKEDFRNILPRFPEVERAIRDEAEER LQILERKKQKESPSPPAVVEMTAPGGQKRVTKRVRDTIADGLDFNNDDHRDANNFTKK RKSPSPSCQDVPTSSALANGLVSVRMLLRELPLFANLPADILHFLGLNAQPRTYPPFT DIIRQNSQGREVFFIVRGEVEVLNERCDPGSPRRVADAEDSRNVQVKARLKQGQYFGE VVSLSLAPRRTATVRSVSAVECLMLSGEVLSEFWARCPADIRDQVERTARTRLDGASD GDVVMEDAPSADQLFTPGNQALFSARRQSMPLLTFSETELESLHKPNRMEDESVVKPS DPDPFLSVGLDNVRSRSRRGSLAPIIPEDTAPDQQSQSQATNVNSRSRTPSPNKHAQS ALFRNSAFFQTKPSKHRNSIRHRGVLSNDVLVSIFQYLELHVLLRLRGVSRQWCEIVT KSPRLLHFLDLSRYNRKITDEIITGIICPFVGDRPRVIDANNCFHVTDEGFSALANTC GANLRVLKMKSVWDVTAPTILDMTNHAKSLQEIDLSNCRKVSDTLLARIVGWVVPAQQ QHQNHVNGGRALQNSKYIPKVGAVQQPNQPAAGTVYGCPYLKKITLSYCKHVTDRSMH HIASHAANRLEEVDLTRCTTITDQGFQYWGNAQFLRLRKLCLADCTYLTDNAIVYLTN SAKCLQELDLSFCCALSDTATEVLALGCPQLTHLNLSFCGSAVSDPSLRSIGLHLLNL RELSVRGCVRVTGTGVEAVADGCSILSLLDVSQCKNLSPWLEYGFQHRYRDRIEFITV AHNGKRSR TRV_04320 MSSSLKRPSNDDNQEDTWDNNQAQNEGANNQGNSQQQDPETTED PGEDTTQNPAAEQVSTTEATAPARRPKKPRRDKNSPEPNYSELVQGQMSSTNRTGQAC DRCKVSLPEFTLHSGPVRLSHFLLTASIANTAFSGPVLSGQPYFFPLEYIGNAKLLCV MCFPNSIVYFVQQKQSYQRGNQLRIFGFGRPRLYQMAIFTPTFDITPLAF TRV_04321 MQRLCLVKRERNSRFWKLKLVLIRWALSLLLWIIGITCQRSSYN YLADPETGHGLFLVLQSADVTPLAHVLDSGYDFDALPVAAAAAAVEYPVDRSFDYFAE PVMAQGCLVQRKGLKPSMYYMQDTGKGELPPQTVRDLALKVALPLNLI TRV_04322 MLSCRRRLNQRAQPASPTETLLEFSSKSTSSLTCGPKSDPESHG NVSTELQSNRSARYTRIVLGGKANAENLPVPKTKRRKPGDESYKLVEGMEELAGCSRP CPQSVENGSTAQKTRSKKPRKQTLKGQGSEETTNPRLKGKIIKPSTTSKILTSKRSIM HPADLQQKEAGSPGWESCGLRLEEATIRRDFWTPIKNTTPAHIDLTGSPVFTQTGETQ DKGNDFRSLMSGFSFSRETSREIGSDGQYPAEGPTTKQLLEFIPQSLPSGKNLEAEAL DTAASSTSEGNSRFKPAGKPKRPAKSKITTITSLTTGRYESPFTSEGGDYISSADKDV ESIQPARKPTSKRKATKAKSGTKISKDECQARFRPAPTVEALQTIENQTLLFGTSSQL ERDSSPSVYGIESGLEHSQGQGESARVRSRELSSGLGVSRFSKSKNLWAASSRDLDGY LLNVEMVDLVEPAAPAYQPQDEQQSTNKFSQVGIHTEILPPIEHESSEKTEPSIHTVV NIDTSDTIKSPHEISTTHDNENGVASIPDFNRLSTDALTAKVASFGFRPIKSREKMIV LLGKCWESKRKAAGRPEIGPSERDEPQGTSQGRPLNNTTNPIEAGPIPSVIPSIRTTT PDGIVDSSRTIETISHNLKLPPKAKGNTLRSKGTERDTQPNTIEIDDSTDEGLPESSK QLTSSGNSHLPPRVSSCDKPSGLVPGPGAFSIRTKGVSTKENEPAELPNIFLQITNAV KAQPRIRSIKGIKQPTWHEKIVMYDPIWLDDFTLWLNVEGFKRIHEDREVHASLVREW CESKGICCCFKNKR TRV_04323 MALILRRPFAVSAVLKQAPKPSTVSTTIRFIHNGPIKPSSSASR ASSQIPSPSVLSKARQSFKDAFRRTYMQKAYQPAAGDTSNMTQKLIYGAAIVGGTIVA TNFIFNRETREDGGMRPYERSFLNETFMHTGLGIGIIGVAARALHTSGWSYRLMATNP WVVVGASLVASIGTMYGTFYTSPDNTTNIFHSYIQKYALWTGFNLAQAAVLAPLFFMS PAILGRAGLYTVGMMGSIAFVGATAKQEKYLYLGGPLLAGVAIVALSGFAPLVLPATA TRTLMWSERVWLYGGLAVFGGFTLYDIQKILYHARLAERGVVRRDVVNESVSLELDFI NIFVRMVQILALRGGNNKR TRV_04324 MLEQWLQHEYAPPTVDNKVFKLPLVPEPARPKKIPFRDRQDIEV ILRGEKSDHYARLCKENADLEVSFNPTGGDFTITERVQDPEEPCHYLEVTVHLNRKNS ELLDIRRFSQSTLLIAFAVADGKYPWMEYRQSGFQSARLPSLLSQAVKARWPLSYIKV LLPLSKHPRSWTAVLALMTFKGVDDKDLKEVKELYKAVERCQSELSDISQRPGRASSL KELIDEEEAMRKHIKLKDPKSRTALIKYYEQLVDDIAVAQKRTMWLKESDRLAKEQEI IYRKVDEPNN TRV_04325 MTAEEASIPVFEGLNKLEEGLKSHGKTAPPSTPTANDGLVENGI LEHAPCQPLTMIFARGTNEDGNMGKGIGRPLAAALRAKTDNKIIVQGVNYDASIAGNL LLGLNGGPIMADLVKQSVAQCPDSKILLVGYSQGAMVTHAGKLLTHEKISAIAVFGDP GRLIPFANIPPEKTKEYCNEGDPVCLNGFSWAAHESYAVLADDAADFLIKASS TRV_04326 MYAVRPWPTGGHHSFSNFPLLALICISTILLLCARTSAAPFDLS NGDISQQIIIIDDDQQAPFIGTEPKANVESSENDQEPFPGDASQFPWPHTSKTLRTML ETLHVMQSEYFSLWQATWPTGIDWTKAVVNTHILSALSLLSSTLGFTVDEPWSGCYDE AAMSTENLISYYFQQTSAFWHGENFFGIRLQAYDDMLWVVLEWLESIKFQNLHSELHF GRHPNSKLTPHHSWHGTQYRAPAAHRARVFYDLAVRGWDTQLCGGGMIWSPWLTPYKN AITNELFISASIDMYLYFPGDPIDSPIVESELQENGFVSLPRDPIHLDAAITAYKWLK SSNMTGANGLYADGFHIHGWTPNDSGTGKCDVLNTMVYTYNQGVILSGLRGLWLATGD RRYFEDGHDLVRNVIKATGWPAKSDHTWHGLGRAGVLEDACDSTGLCSQDGQTFKGIF FHHFAEFCRQLSPQEKRMLSDPALHKSAGGNNTSEHERRNTFRWHQRICASYLHWLEH NAYAAFVTKNEKGLYGMWWGPKYPDGELSNSDSAVVLPHGAVDYSNSDPPINRASPDL ALLQAQGNRTYFAKEAPSDIVGDQLRPFPHEFQDTVVSRPEGGDPGAGPNVAKAVKDV NDRGRGRTLETQSGALAVFKALYQWHTTPSLRR TRV_04327 MNFSNQSALDFVLISQEYSPHAPGRWPSASLPTTPNPVESQSWM QFSWAQVAFTLIVIWPLTVAILRHSRERQRRKRFNFPTRDSFAKMTVRDAWAIQLDLM DTETSFFFMLALKFAIFSVNGIPSISGLLVRTNKLPSERVHLRYSMTSVMIAEFLAFP PDDERALQAISRMNNIHQTYQRAGQISNDDLLYTLGLFAYLPVRWVGKYDWRELTDME KCALGTFWKDIGDCMEIDYSQLPSSKSGWKDGLHWLEEVTEWGDQYEEKNMVPCASNQ LVALSAIGIILYIVPDFLKPTAKKMIFALLEDRMIKALMYVYRQLFCLCTSLYSNHLY NAYRLPESSNLYKISVKTILNARRFIVRYFFPPRPSCMRFQPLSTKADKDGRYYLQTY DSKPFYIKPTISSRWFSPAAIVRRVLGLPLPGDNGNEYYPRGYRLEELGPSWILAKKT INQDVKIARQRLDQNHTTGCPFG TRV_04295 SGFSFASLCCLGEKRNEEKKRSKQPAEEEETETETETETEATED GVQSIRIMEKQLQQPSMPAHLSESALWPELFLAGPLIESVQSIKSSQSQSEPAWREEE KLSVTSSRSSLLSRRKMHIRVHILCSGLYFTLSLSIRRNRWRESGLEKKKKQDYRQHK KINAKREANGRTCAPFTCLRLCLASLHLYLTGGFGLEQRGQRLGQTGLVSAARTGDGG SRGTSARCFCFVCFVLLSSSLDLAYYLFDNLISGRVVVSFGTALCLDPQREQELTREV ARKQTARQLLEAGVDNYRWSSLSERALANSTRPLVLVPGEFTSRYFIYLTYIRKKYSY NTKYTELKIYKASPRERSIRSSSLSLALLHWIPGASAAPGWLVGFKREIELFREGYAV RNRQLVNSLQQELRYSYSTHTHL TRV_04296 MGAYKENRRHSHGSFVDIIMLEKPALAMGRVILSVNAGSSSVKV NVYHLKNPPVEVAAASVSGLTAPPSVFKYEHGSNHQKHETEEEIKSPQDAFKYILKRL LHDQELQVVSSNSDYAYVCHRVVHGGDLEEEVIIHEKTFNMKRRLIISRRCKTWRRCR HNTSALEIIKTCLQEVPSAKSVAYFDTTFHQCLPDYIKTYPIDPEVAKSKMLRKYGFH GISFSFILRSVSEFLHKPASETSLIALHLGSGASICAIQDGKSIETTLEMEPMADMHC IAGWASLRSPDFPAPQGAETSMQGPWCLADWFDSLVFHYTSEASKLSSSSTKEMHISK AEEILNKKSGWKALTGTTDFAKIGTDNPPTEMHKLAFDIFLDRIVGFIGNYFVKLGGK IDAIVFAGGIGERSALLRSCIAEKCRCIGISMDSEANSAGIPSEDQTVVDISEKSGQR PAALICQTDEAFEMAYRCMNKPPREEPAHF TRV_04297 MPGEVIDQPNPRPPPSQLPDSVDELRVRLQTVKLDDGVRRSLEK FRRATDYIAAAMIFLQSNAYMKRPVKPEDIKPRLLGTRQGHWGTCPGLNLVYSHLTYM IRCHDLDMLFVTGPGHGAPAILASLWVEGSLGKFYPKYANDTNGLTKLITQFSTTGGF PSHINAETPGAIHEGGELGYALAVSFGSVMDNPDLITAVVVGDGEAESGPCTASWNGI KYIDPAESGAVLPILHLNGFKISERTIYGCMDDRELVSLFSGFGYQARIVEGLDDIDT NLNSSLEWALAEIRRIQKAARSGSPIMKPRWPMLILRTPKGWTGPKSIHGKIVEGSFA AHQVPLPAAGKDEEELKALQEWLSSYKPEELFNERGDVIDDIKSILPKEDSKRMGQRK EVCDTFEKLKMPDWRRYTVSKGSQESSMKTISHFMGQILMDNPHSMRIFSPDELESNK LGAVLEKTGRNFQCDQFSNARGGRVIEVLSEHMCQGFLQGYTLTGRTGIFPSYESFLG IIHTMMVQYSKFNKMGMETNWRRPLPSINYIETSTWARQEHNGFSHQNPSFISAVLNL KPNAARVYLPPDANTFLSTLSHCLQSKNYVNLMIGSKQPTQIYLSAEEAETHCRAGGS TWGFASTDGGLDPDVVLVGIGTEVTFEVIQAAAILRKVVPDLRVRVVNVTDLMILGSE RSHPHSLSDETFDALFTADRPIHFNYHGYETELKGLLFGRPRVDRITIGCYMEEGSTT TPFDMMLLNRVSRFHVAKAAVLGGSRRNEKVRIRQQELLTTLEHEIRSARKYIIENHK AAERQPQPTAMSSGHLIAVYIL TRV_04298 MAANKIAANSPSRQSPSDLEKALATALNDLETNTPDLKVALRPL QFVSAREGPEVLSHEIRMLGSSGNSGDALGLLQDAIRTVHLLPGFVEVGHGKKAIVIF VPVPLLQGFHKIQQRLTRELEKKFSDRQVIIIASRRILPRPKRSTTSRTSQTQKRPRS RTLTAVHEAILSDIVYPVEIVGKRVRTKEDGSKLLKVVLHEKERGGVDHRLDAYGEVY RKLTGRGVAFEFPQSGSSEY TRV_04299 MWTSLDIDIKFDKPTNIRAQLLSLKNGANRRPSEARASQFKSPL ASFASTPTLPEEEQDEKLGSPMSFDNKPALGHDARFTALKNFYRTQIAETSSSPSDHL IGGDFGLYSSPNALSRIMSLYNTGVGMHGNNKKSKSKPPVMREALTQTEGLIVPTTGS EDHVIEKMAAEGWDGLASMEQQQFQQPSTRFVDDLLPLPVLLRTKRSKRCKACKHILV KPEVKPQSTRFRIRLIALSYLPLTSIRPLYQSSVALPINLEALEPLKPIQFLLTLKNH MFDPIRVTLATPSVTPGPVSTKVTILCPQLEVGANTDVWDEALQSGNIPDPRSSRHGG AERVAEAGKVWDKGRNWTTVAMEVVPGAIKSKNKQAQQDTDDEDQDIVEIPVFVRIEW EADGGNEELGFRSENTKKSESDTVKRELAYWMTLGIGRIKSDAS TRV_04300 MSLRPCLRSPLLRRISPLSRTYAVQTPGNPTLEVFNRRTKLLQK DRAAKNVDTSRQVDYLKDEVAMRLSDRLLDIKRHFPNVLDLGANSCNIARALTSTPIS NGEGDASTGTGETVADRISKITCIEESRSLLYRDESLPFNSQISITREVIPSIENLPY EPNTFDAVLSSLSMHWINDLPSLLAQVNSILKPDSPFMAVMFGGDTLFELRTSLQLAD LERRGGVSPHVSPLADVRDIGGLLTKAGFKLLTVDVEDIVVEYPDTFALMSDLQAMGE SNAILRREAGPISRDVLLANEAIYRSLHTEEGESNIPATFRLIYMIGWKEGEGQSKPL ERGSGQVNLKDIIGSE TRV_04301 MPQITNYRTIDIDSLDPESPANFPISSLLPSNLPPPTTSSAAAS LASQIRQLLRSGDTEGALRHVLDSAPLGGDDRAKEVHLASVVEVLQGIRQTEMTKVLE AVCNGEGGAERGDCLMKYLYKGMAVPTSSDAGSKSVSPQSTGFSQIQGRNLGEGGGGQ QMSVLLSWHEKLVEIVGVGSIVRVMTDRRTV TRV_04302 MRSIDFPKPHANRHVETSKISRLLTMYDEFPNTRQNMMADIATA PISVYAVRPRISGSQDFKYNCALISRGYPSQDAFAAGDIINIAFPFVVEGYLLTSTTL STSTFPMANNNRLLAPAITIDTHYDNATSSSTDPISPLSPTAAQELSPDRPSSSNDAN SFLFPRSPNSFDGETLRSRANSVNSNVDTLRSRSGSTVKPLGRSDGADYDDVPLSEAL KADERNKRDFEVENNPFAFSPGQLNKLLNPKSLAAFKALGGLKGLEKGLRTDLTAGLS LDETHLEGSISFEEAVQSSSTKHQDSTASTPQPSVSSGGAQFTDRIRVFDRNKLPERK SDSFLVLLWRAYNDKIIILLTVAAVVSLSLGLYETFSGGSNVDWVEGVAICVAILIVT IVTAVNDWQKERQFVKLNKKKNDREVKAIRSGKSIMISIFDITVGDILHLEPGDAIPA DGIFLSGHGVRCDESSATGESDQMKKTDGHEVWDRINNGTATKKLDPFIISGSKVLEG VGTYLVTSVGPNSSYGKIMLSLQTSNDPTPLQVKLGNLADWIGGLGMAAAGTLFFALL FRFLAQLPDNHHSPAMKGKEFLDILIVAVTVIVVAIPEGLPLAVTLALAFATSRMVKE NNLVRILRACETMGNATVICSDKTGTLTQNKMTVVTGTFGMRDTFDRTPEAEGEGPSA VTQMFNEASTAARDLVMKSIALNSTAFEGEENGEKTFIGSKTEVAMLHLAQRYLGLSL TEERASAEIVQLIPFDSARKCMGVVIRQSDGTFRLLVKGAAEIMLYQSSRVISGLSTS QLESNVLSSKAKSEILDIINSYAKRSLRSIGMVYKDFECWPPQGAKTMEEDKSCAEFN DVFNNMTWVGVVGIQDPLRDEVPGAIQKCNKAGVSVKMVTGDNLTTAVAIATECGIKT PDGVAMEGPKFRQLSDEEMDRVLPNLQVLARSSPEDKRILVTRLKHLGETVAVTGDGT NDGPALKAADVGFSMGIAGTEVAKEASSIILLDDNFKSIVTAISWGRAVNDAVAKFLQ FQITVNITAVVLTFVSSLANSKGESVLNAVQLLWVNLIMDTFAALALATDAPTEKILD RKPSPKSAPLFTTTMWKMIIGQAIYQLVVTLVLYFAGAKIFGYDLENDPSGLLAGQMD TIVFNTFVWMQIFNEFNNRRLDNKFNIFEGMFKNYFFLGINAIMIGGQIMIIFVGGAA IGVKALTGVQWAICIGASLPCLLWAVIVRCLPDRHFEVVMNIVITSFNFFWKPVAKAF RVIFTPITKAFRRVFVHKKGEAQTEDQQAEELNETRPRDEETGDIEKQADTASPFVAP PTIAPPPITLTAPPS TRV_04303 SLPFLGRFYMSYAPDEEGNFGGQGGYGYKSFEKFIDAITALNEG QVTLDQLDHRGLPTLKNTIATTAILHAGRISLDEQRFVEILRDGDTWTLK TRV_04268 QYAAVDIIYILTSFFIKISILLLLNQLFHVIRQLRLLIYIGIVV ISIVTIPYLTISSIRVSRCNGIKALGIMICSNKVVSTTNLVFGLWNVLSDFYILAIPI SQIQGLKMPNKRKIGVLTLFLTGFMYELPRSLITNG TRV_04269 MDPVLVIGGCGGLGHTIVKQLLEKGDASDVTVFDIETKRNIVEG AKYIKGSIGSKEDIQRALQQVKPRTIFHSASPLLMQQKNTQRLYEKINVEGNRYLLDA IQEVQSVRALVYTSSSSVIHNGFSDIIEATEDLPRVFYPEQPEFYSHTKALAEEMIVA ANRTNGLLTVILRGTTLFGEGDTLTIPHMVNNAKTGRNKVRVGDGKNLFDFTYLGNCA YAHILAAKALVEIDPAAPPPPADKRVDGEVFVVTNDEHISFWEFVYAVGDAAGYPTKR EEIWQVPAALFFAVVVAVEWTVWAISLGRRESRLNRKMVRYLSMTRTFDISKIKSRLG YRPLVGMQEAIKRSVDAYMREQSAQS TRV_04270 MNVPVNYAATPLLHHAPSSLASNKELPANAPTKRLNLYQSINSA LRTALAADERVLLFGEDVAFGGVFRCSVDLQTEFGSERVFNTPLTEQGIVGFGIGAAA EGLKPVAEIQFADYVFPAFDQIVNEAAKFRYREGSTGGHVGGLVIRMPCGGVGHGALY HSQSPEALFTHVPGMRVVIPRSPTQAKGLLLNAILHCNDPVIFMEPKILYRAAVEHVP TESYTLPIDKADVIKQGADVTVISYGQPLYLCSQAIAAAEKDFKGATVELIDLRCIYP WDRETVLNSVRKTGRAIVVHESMMNGGVGAEVAASIQEGAFLSLEAPVKRVTGWDIHT GLIYERFNMPDVTSKLLYSSNVALATSLTIPSISC TRV_04271 MSPLAILCVLVTMVAWSLLATIVESVELAADVDNDLLFLVWDNP LFSGSLVDYATICPTVFSALVLAAYAMCSGSSTVDLLVRASRGVIRGITGSPFWHALV KGDLLGLVLLALGTTFDMTLSLIRPQWADRIYADLAIHQDVPVVVAVLLPSALMVGCL IAAPSLLAYGSGMLGVFMDNTGVQSPRCHNLEYLGVPVPYSGQRDVAFRRLFCEGELA GFELAEWWEEFVETQLYNWEMFQLVAFTTVLAVVAIFFIAEWAFIEIQRDSTCVAWLD TLTSAPTTDNGDTVANEVGTPVESRPSGTHHSADQTTVSTTKDAATNTDADNNLAVMD QMASRIRDLERVNSTSTTTVTRLQAETYDLAYELMTKNQSLLTTQEECANLESLLAQQ RELQNTNEARMTNLQQQIAALVSSNNALQEQVAAQSLQLQEQAAQQLVAATIVTPAEA PVVATPVQELEAPVAEASVMMVDSRPQPTEYHHHHYHHEALRSDKRLAALLRRQLQQA RRQRRNLIAGNSKCREQLSAKERAMDDAAMALEAEQKAVSQLKERNEAQLRTIAALEM ENNERDAEVADFEKQHEAYVADLKQRLADQTAVVESFWGKAREKDGQIAALEEKLRSQ SEAIQDKDSKVDVTESMYQAFLEERDEDIEKKDKELQRQEKLIQKKDKEIQRLKESKD ALSQELEQSKQKLEQTTKNYNVQRAQVLKNANTIEKLRRRVQQEGQATQGGQPIPRGA PQPTNEEPREKPAASGASQPPPASS TRV_04272 MTLTRGGGGLPAGLALTKLVLCSLSRLPLLYAAPLTSSAVEKLA HVPKPPDEFSLWLYLSIAAALVLSGGAFAGLTIALMGQDEIYLQVIQTSGEGAERKQA AKVLDLLKKGKHWVLVTLLLSNVVVNETLPIVLDRSLGGGWPAVVGSTALIEYADAKP AVIFGEVVPQSICVRYGLPIGAWMASAVLCLMYITSPLSYPIAKLLDRLLGEDHGTFY KKAGLKTLVTLHKNLGTAGDQLNSDEVTIISAVLDLKEKSVGSIMIPMEDVFIMSADT VLDEKMMDLILSQGYSRIPIHAPDNPQNFVGMLLVKMLITYDTEDCKRVRDFALATLP ETRAETSCLDIVNFFQEGKAHMVLVSDYPGEDHGSLGVVTLEDVIEELIGEEIIDESD VFIDVHKAIRRMAPAPKFNFPKGHFVEVPPSAHDIGVETLTTTRTTDSEQQNEHTQLS KNATHVGSPPPPTFYLRQTPVVDKKPDGSSKPIIHRGATAEIKEQLKHLGPSNLASRP RQTRYNAVKIKRGGISPSRSSQALSARDSYDYHPHSDVQPSESDSLLVKSTGERAVNP KEPTSYGTTTDQSNRPLYLPHSTTEPNIKQGDASVPLTSSPSQIPNTTNTTTDSLPTI HGPSPSSKNGTRSPSANSDTPSSSTIFHPRGPTRSGSITEQVVDIHGIRKVVLQTSDR NLSECDSNQSVRPSSSSQTSDTKESADLEHTTSEQSTHQSNGSNSASGKKKRRRKRKP TGSSKYDDQNDESQHLLD TRV_04273 MPPVLHCVRHAQGFHNLSVENHVLQDPLLTPFGEEQCRALRANF PYHADIELIVASPLRRTIYTALHSFANIIQEKGLTVIALPEIQETSDVPCDTGSDLVD LKKEVEKKGLPVDLSLVPEDWNDKTTERWSANAKSVTVRAREARQWLKARPEKHIAVV SHGGVLHYISEDWQDSTLYQVTKKQPSPNNYANGRPSPKWPGTGWANTEFRTFHFTDS ADTDDLYCNKIDGDNASIRETPDSRKRRGKTVAEPPSREMQKEFFLQAIAGWETQTAE ALAADRTTGEKERAMEIMQKQPIPTAE TRV_04274 MVEWLPLKRTTFYGVKPEDEANSAPTSSLLENQPPNNKRPSHST ASADTGSSGSKSISSSNQPTPSVNHTSSTLEVKVPGHPVKRLSLEPLESRKQSQRSSI TDERSKGQRKRSKNVSVNSTPTAAANASQSLPSPPALRHRSQKHRRIADGTSKSNAHV SWGDQSTVRWPKPESNGDSPTEPTIDAFFPLDRQGLAPEPKTEESDEGPPVQSLSHLS EEIVDSLEKIMFKTEDDKQVWKSEISQLESMGYSEPWEWKYATHEQRLAFPFIAQSVF YVLGNPRQLLLSFRNSSDGRPTSSRLDIDSLDGTFRKLHRICPWETTLHSLWLSMEKL FNPPAELSLPRMQRRHSKSSSLTTPISPRFEHLGPNDYITDSDAASITVVALLALSST IPHTDTRTWEAIRHMRASGSVMPDSMMRTFSKLQTQTIIETSDRFEHDLALRLLNRLA RVISTRLALHEVSKTKASHLTDFTSNDKCELIEKIIVMLQRFHNPESSGNSNKISLPG DRKTTTPMVVVEWLRSVLLKEWDGKPELSKASAAGGALQLLSFLYRDRTKLGLLPEDF HTPFFADRLDPIDMPTEWVDLLYNNRTMHLLSCSFIFPPSALVTYFRAINHATMSKSF ENAVIASKHVTSMAFSGTISVDDNLGLLARLRTGLTMFFVISVRRDHALEDALNQLWR RERRELLRPLKVRMGMDDGEEGVDQGGVQQEFFRIAMLEAMNPAFGMFTVDSRSGTYY FQPCSFEPLYKFELLGLLVSLAIYNGVTLPVDFPIALYRRLLGLKVKTTDHIRVGWPE LAKGLDELLAWEDGDVGDIFMRTYEFSFSAFGTVITVDMERTDKNEPWPAPERYAQWE KTKQKLNDQRVATRRLSNQNGPDGSNSVSMLKEYSTDSRQHPRDTHVIGILKGAPSRL PRHQVSTEPQPGASTVTNANRKQFVKDYIFWLTDKSVRPQYEAFQRGFFTCLDRTALS IFNPEALKTVLEGIQEIDLAELQRHARYEGGWDGNHPIVKGFWRAVLRYPLEKRRRLL EFVTASDRVPVNGIGSILFVIQRNGVGDSVCYNPSILTKLSKTLC TRV_04275 METHPYTCNTCQVAFRSSEAQRGHMRSDWHRYNLKRRVATLPPI SSEVFTDKVLNAQASNSAAAAKATYEKSCATCQKTYYSENAFQNHIGSQKHRQREAML RREGGKDETASVMSGTFSMGDPINERTETGEDDVEPGEQEFSEIISGIKGTKIDAHDP LPVRPRRPSHTTETEPVSAERIEKDGEKTEISLAQCFFCNYKSPNVKLNVLHMGKFHG MFIPEQEYLTDGEGLLEYLQAKIYKNSECIYCHKLKATPEAVQTHMRDKGHCMIAFET EDEQIEIGQFYDFTSTYSDDEEMEGEEGGEEGNEAQDDGWETDTSVSSLDSAEIGAEP IDDRSHQYSKLSRHSHHSNTDPRKHRNIDGFHSHAHSHGHAAFVHDGELHLPTGRTAG HRSNAKYFRQNLHNYPTPEERLTRQRMIEEAGPGADEEDAEQANNANKSRALISRANG GLGMIGASESQKKEVRGAEVRERRRADRSRRQYEWKINKGANSQKHFRDHLLQ TRV_04276 MLDENLPSMQPSPSINPAFFVKPSSENPKSYSTLFVAQHGKEPQ PAYTVRHLDPELQTSRNRYAVALYDAFCPDALYGEVLIVPKWTYPTFSQEAIRANGGV QPPPEPIMPTEFIIQLYNPEQQVLVRHKPKTWNTPATWAFEMPQKTFREPSKSQLDKT LTTPATSDATSRLRFNWRKDGRMGSKDLGCYLSGKVVGPSGNSKKNREPDITVAIFSA LKEITLYEPNFSRVEMEDYKGLEIVLMLGAMVIRDVFFTPMKEAFNIVNPPSNPTSPV DKQSSTLVPPRPLNGSAGYPSASGPPSRSQPPAKPLPQQSQQPPPQPPRQNIPPADPR TQWELDAEANALKQQSEAEKRARLKREKEAEKKTKKLLEAEEKEARKRQAQVDKETER LRKIYGKEESKVLKLQQKQQANPRPPQPPRQTQNHPVPPHPRVQFAPPRPQSVAGGLR PPPQQQPSQLKEKRSIFSFRKKESGAPPNTLAKKRSSMF TRV_04277 MRLSISTASTHPPFSYKSVLSPKEESKSNSSNLILYLPPGPLFD RSQYTKGHGSTGTTSTSNGSSAAKQNTFTLLPEENLAITTLCTTVVVKYRLGRIQAAG IRKTYRYPTPVHDTLAGLDWAKNEFQPDNVFVFGRHIGGSLALMLALTEARGLKGVAA EEPICDWVGLDDYCITDIEKAGPDTEKPEVEQDKESARKRGRKKKEPLPTPADLVPLL DARRRLFPTPEKYFDSFASPTLFLRTSGKYIPLVFPTYLTGPEYPTPVLKRQPKTEAD ALYMTDLLASSPSENSTSTDRSENIPDKSLTSLIKPVRKRKVLSRWPPSGLDYGLEAY EAQNRWVKREETALPDVRIFVRDEDLYAKELENQDKATSLDDRINTLMEALKLDGYSN GQEMGRNSPSKGKAHGNTVLARQGKEMVSLMRSACFWDRKKGAAERCVKLVPILPPDA DQISGSSGNEAADSTPYEVRPVEVQAGHRFMEILQGSKQE TRV_04278 MENYQKIEKIGEGTYGVVYKARDLSNHGRIVALKKIRLEAEDEG VPSTAIREISLLKEMHDPNIVRLFNIVHADGHKLYLVFEFLDLDLKKYMEALPVSEGG RGKALPDGSHEMSRLGLGEAMVKKFMAQLVEGVRYCHTHRVLHRDLKPQNLLIDREGN LKIADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGGRQYSTGVDMWSIGAIFAEMCT RRPLFPGDSEIDEIFKIFKLRGTPDERIWPGVTSFPDFKTSFPKWKREDIRKLVPGLE ENGLALLDAMLEYDPARRISAKQACIHPYFQACSSAYSSRGRTPVYQ TRV_04279 MGAIGSLDAHEHPPARLRQQYKAYRALKVPDIDSHPAIIDLRRD DDSDSLPNGISLDRWLTQGSLEAAFSQFMGGCDVRGVPQPAAEPLPVYTHRDIPGLEI IPSILPPGVQVELLSRLLHRDLSDQRHQTNLHLHYNVTYPDASCLPGIRQTGDNPSFF EDSLSRSILPKDSSVHTPLTIQSMLNRKLRWMTLGGQYDWTKKCYPAGQPPPFPLDIS HLLQGIFPATQPEAAIVNVYSPGDTLHIHRDVSEECDTGLISISFGCDGLFMVSHADN SDLAVIRLRSGDAVYMDGASRVSWHGVPRILPDTCPSWLQDWPGDASKKGGGRFQQWS GWMAAKRVNLNVRQMKPSGPIG TRV_04280 MYGVRMDICMYLAGREGKRYVRLHALSLTAPIAAAHRIASPFLD QGPPGFTLPDPSVLHGTHYGLALSHPATDSIEAKRSTTTALLSGASPIHQNPPPFQIL STLYWPLLIASSLHRVWQALLSYRLTRGTPSMRFRFVDLLGERRRRSKESRATGSFIS YRPGVLECLHIYAYLLLPNDRRSKHPSPFPRLYIYFSTFVTIANPYNSFGSVFLVLFI ISGA TRV_04281 MEETSPARAEEVRDPNASKDERETSAAPKALAVKNKQCPYCNQT FTSSSLGRHLDQYLYKKKPDGVHNVEEIRQLRSSITRRTARGGTKQNSPEVSSASGPA SGSAAAIGAASAATGPDPHSVAPLQLNSSGAGKPYRLLINQPTWHATGVINDIPNSSP VSQLRIPSTSLERSNRLTSSNSTETTRALELALREVLDSVKAAASNHTQSISPFAFDL QSQTFPALCLQALPHPPSLFSTHPFPSPNSFPIDPPSVNQREIVQQALRAQVHQWKYD QLTAANSASSSSSSHQSQSSSHDASMIEKVAYHHEEMIPRHLELSLQNWMELSPAAQR ELWHLEIVRAFAREAEKRKKIEAQLHRTQQEANQLRAQVEKLASCQWPREFAIFPPNL LPISPDIARELDRHDSRIHSPESSKWDYDNLVAKWQRVVMHDRSMGRSGVGGLDNTNT EVSTLPSIPRQPYSTRVASPLQRSNQLPPPVPTSPKHQPPFQRHPHPPPSPARQSPRN EDREPNEPAEYFRPPKRPRPHEDQPPNRFSSPGSSSPRYSWNHPPALESYSTPQNRSN SVLSPTLPRGPVFPVSSGTPATPPASGSAARQSGGQASEGVAPPHRLSNASGPTDGSG SDRVEASPKTQIQYTPKEQDSRETR TRV_04282 MSAFALSTPPPSECAAVGKQKKRNETHRVPAKPSRSKRQLEGMD LGCEDIILPPTSAAKEPLGTCTPVEFWDVNSNNTPILQPADFIFDADEFTGSPEMISD YSFDANAHLDVQDFLPPLPYPTPVGLEMEMDMLMAEKPGPLVDLTALLAKMSHYESQL PKLPGGDLDNYPIGDALFLSQRFFTVLSEHNPVNSIGETSHLDMPTKLLTLSCYMTLT RILISVFGYLHGRLSQLQEANFQNDGMGHSVSFLTDMHAYRGLRLGQIQPICACAGKE SATRVKKAVSMLLASLGGAEGRLGLPPDVRVTPDVQVDTNIGRGISTLPDQGDEMMVL EDGLLVGLTNSRLHKVVREQARELREKVDEVYDVLKGLLEI TRV_04283 MSTADASTDTVPIEAGPIEETKVADPEVVDWDGPEDPENPHNWP SRKRWAHVVVIAIICLVMNIAPTMCAPSINLLVAEFNIRSFVVSTFAVTLYLLGLSVG PMFISPLSEVYGRLPVYHISNVVFVAFVIGNALSKNIGQFLAFRFLSGCAGGTPMALG GGSIADVTPIQRRAIAMALFSLGPLTGPVLGPVIGGFIAAGKGWRWIFWILAIIGAAA TITGFVILRETHPGIILERKATRLRESTGNPHLRSKLARSQSPRQLLAAALIRPTRLL IRSPILLIISLYVALVFGLMYLLFTTFTDVFEGEYGFTTSTSGLVYLGLGVALVICML LFGALNERVQAACLKADGATQPRPEYRLVLMIFFSPLVGVGLFIYGWTVQYRVHWIVP IIGTMVMGFGAFFVLVSFTSAINPSRSLSTLTKSHQMPAQLYLVDLFGSEAAASALGA NNLMRYLSSTFLPLAGPKMYKTLHYGWGNTLLGFLALAFVPAPILFYKYGEWLRAKNV VKL TRV_04284 MSDSTQKANYGSTNTPAAEVGPRTGSDYGQAPVPLSGAGNSANT QVDAPIDGHSECGSMRVTASELRYVGGDHWAAILENIADLKDHFDREEQLRLANSPED LDGNSGDPASKPRSPHALLLYGCRRPTSRSEILAALPPKSAVDRYISRYFNCLDLVAS SVVHGPSFLNQYESFWNNPSDAPIVWVGLLFGMICLALLASDLPYAAYSNNEIEQQTL QADLYREKIVQCLIMGEYTNSGPYVLEAMIQYVYVEYIVRADAGKDNWFLLAIQVNLA MRMGYHRDPSHFPGISPLQGEMRRRVWATVLMSDILVSSQMGMARMIADWKCDTAEPR NLNDNDMDENTTELPPPRPETEHTTALGIIARRRMLMALGAISDVTDAVKPSSYAEVM RVDGILHDAAASIPPPLKMKPMASSVTDSPQVIMARLFIGHMFYKGQIMLHRRFLYME SPAGEEDKYAYSRNACIDASLGTLNIQHVLDEETCQGGQLYSMRWRVSSMMNHQFLIG TMILCSLLHRGKHLERKQEITAALRTTRAIWMRRSSHSQEAKKAAETVSIVLARVGEG RVQGEENSGLSQGMGPSTDPAASTINSSEAGMNLDEGEVLADSMGMGFYEPEKFVMPG LLGSFTPPDQQIFSFDVNSLERGATLDDWMLMNWPGMTS TRV_04285 MIEPIAIIGSGFRFPGGADTPSKLWSVIHNPRDLSSKPPTSRFD IDSFYHPVGTHHGTTNATKSYWLEDTDKSNVTKFDAGFFNIQPSEVDAMDPQQRVLME VVYDGLCAAGQPMEKLRGSDTAVYVGLMSDDWSTMLTRDWETLPRYTATGLERGIVAN RVSYFFDWHGPSMTIDTACSSSLVALDLGVQALRSGKSKVAVAAGTNLILSPAMYISE SNLGMLSPTGRCAMWDASADGYARGEGVAAVVLKTLSQAIADNDPIECIIRETAVNQD GKTTGLTMPSNVAQTNLIRECYARAGLDPVNNLEDRPQFFHAHGTGTQAGDPQEAEAI SNALFPAGSYADKMADKLLVGSIKTVIGHTEGTAGLASVISTSLALKYGVVPPNLHFA NLSAKVAPFFKHLDIPTVPTPWPAKEGQLRRASVNSFGFGGTNAHCILEQYIPEKKAV SPIFTPLTFSASSEIALREMLSQHLDYLVSNPETEFSDLAYTLQHRRSTLSYRKAIAA MTIQDAIKSLDEIVNSTSSATGNAEFGTRFGTISDPKIIGIFTGQGAQWPRMGAQLLE TSLFAASRISELDSALQSLPSPGDRPAWTLKDQLLAGKDTSRIAEAALSQPLCTAVQI ILVDILREAGISFRAVVGHSSGEIGAAYAAGFISAQDAIRVAYFRGVHARLATSPNGH APRGAMMAVGASADDARDFCATTGFSGRLQVAAVNSASSVTLSGDEDAVTEAEEIFKS QGIFARKLKADTAYHSAHMASCAGPYFSSLEGCAIQSVAPPQESATTWFSSVYAGEPM TSDRLTNQYWVDNMCNAVLFADALSKALKHVDQFDLAIEVGPHPALKGPATSTIGSIP YTGLLSRGLDDVTQLSDALGFIWTRLGSGSVRFSDVETLLSGVQSRKSLKDLPSYPFE HQRNYWTNSRLANHFKNRRAIHLPNPVLGSPCSEATTPGEFQWRNFLRPNDMPWLKGH RLQGQTVFPATGYVSMAVEAIKGIVFDNEAGNSISMIRLTDVDIPRAIAFNDDDSNIE IIFSLSSIDISPTAITAEWGCYSVADGSNILLNAKGKVSVQLSSAKPDTLPLVKAEPF NLVAVQDDRFYSNLSTVGYGYSHPFRGVSDIQRKSGYSIGTLFDQSGSEWDDNLILHP GMLDSALQTVFAAWSYPGDTQLWSLHVPVSISAVTINPYFTILGAGGKQGTMKFETLI RSKQRSQIIGDIYLNTDNKSHAIVQFEGATLVPFSPATPKNDLPMFSHFEYRVASPDG LLAAEGETVTDFEVQMYKDIDRVSYWFARHASISIPAEERAGLLPHFQKYLQWCDRMV GMVSRGETPKVGPECNNDSREDIGKILARYEGRKDIRFVEVVGDNLIPVIRAGNSMLE HMNQDGLLRAFYEENAICAGPTSRWLARVVSQISHRYPGLNIFEIGAGTGATISSVLR NLKGSYSSYTFTDISSGFFMAAEERFAKESGRMTFKVFDMEKGPAEQGFIEGSYDLIV AVNVLHVSADMEASMSNIRRLLKPGGYIVVGELTSTDLLFSGMTVGTLPGWWIGAETG RPWGPLLTLDQWDSVLKKTGFSGIDTVTPNIDSSLPISVFVGQAINDQVTLLRNPLSV QEHPVGVRTDALAIIGGTTDRVKELGHNVSGILSHRFPVKEFFDTVEDFACSTMARSV SISGAVTILSLTDLDQPYLDGLTADKFNALKTCTTAGTVVWVTCGSREDTPYSYMMMG FARTIKNENPDLNLQLFDLDSKAAKDGIEPQTAAILAETLLRQRVLQSWGIDEDALLW TDEPEVFMDNGKQLITRLLPDPEKNMRYNSQRREVFTEANPMIDTLELVGAGSGKGRV LELHKVSPLRLPNIPETKYRTLRITHSLLQTVAVGAAGFFRLCMGVDVNSNETILALS SSPESPVNVPEYCCIAVPRTFDNNTLVSIASSIVAERVLSFTPEGGILLVNEADPSLV SAIQRKASAKDVKPVFITAKLRQNSNGDSLFLHPNFPRHIIKSIVPETTAVFLHFLRG DTSDAVRDCIIPCLPASCLQINEEAVLGHELTEVSSAKSLIDLSQILQSALNDTDKAA SEDANYISLDSLPSHGIIGEPMTVVQWTTSTPLTAKVQPIDSGMLFKANRTYLFVGMA GELGQSLAGWMIAHGARNIVLTSRTPKVNPSFIEDMKKRYGAVVKAMPLNVTSRESLH YVHTAITATLPPIAGVVNGAMILEDELFSRMTYEQYTRVIEPKVLGTQLSDERFYDDK SLDFFIVASSIASVIGWSGQSNYSAANEYMTSLVYKRRNRGVAASAMSIPAVLGVGYA ANSINFDFDYFQSVGYINISEEDLHILFAEAVVSGRPSQAPQVKAQVAMGVNYLPNDF FVQEAHRRDVKFNHFIQREETGSEIQAVKSGVRVRVQLQAAKNAEDSYIITRDAFLSH LKRMLRMTEEQKLEDSIALVDQGVDSLVAVDIRSWFLKELEVDIPTLKILGGGSIADL VKTALENMEPIKEDAPESSEPSPKQKPQPGKPSGSIPKKETASSSPLSMGSPVFTPAL GDTPSPSDDDSISTPLPSREDFVKKGDLKSQGKPVPTVIA TRV_04286 MALGQSEEKIVSQVENRPVNHHYDGEAAARPDQDAADMARLGVA QETKRRFGLVTILGFTTCIMGTWESGLPFFLTAYINGGPVTMVYGFILAFFGSLATCA SLAEMALMYPISGGQYYWASLLAPPGKVKFLSFLTGWLSVLGWQSASTTGTYLGGTII QGVVKLNYPEYTPERWQATLMLYAVLILSLSVNVSLVKWLPGVEGVILIIHVVGFFAI MIPLVHLAPISSAKFVFTEFINTSGYSSSGLSWLIGQSASAVLFIGYDGACHMAEEVQ NARINVPRAMFFTMFINGAMGLAMYLVILFCIGDISRVINTETKVPFIELFRNSTQSN TAATVLTSLLITTYIVANFNFMASASRQAWAFARDGGLPFSHLLRKIDRKRSIPLFAI ALTGVLNALLGLISIGSNVAFSAVVSLVVSGYMSSYVIVICVMIHKRLTHGKIEFGPW NLGRYGLPINIVAVIYTTVTVIFAFFPPSVPVNAENMNYSGPVYGVVVAFGIVYYIVR GHKTYTGPKLPRNGL TRV_04288 MKGKNPWANAANAGQDKDGILSIYTKATLDSRQKTPALNGFKSA HSSSKDKRKETKHQKSKTEELTIWRPKSQNVYSRTKPGYCGIKRLDEEPEEDEKEMGG RKRNEMNLLHPAEDDGWPVTSRHANPGVISIPPSWNQLIYAA TRV_04289 MLTRPQLVNVGGVIAISLAVTHGLGRRESKLTEAQVSKAGQDVF ASSIMYILAISLAKLSTLSFIERVVPANKYRGAIKGSLIFLLAWTVVSIIVYGFQCGA SAPWDPRSTAKCFNIVAFWSAATGIDVFTDLCLIVVPGWILWDLQMNKIQKRIVFGVF ASRGVVIAASILRATYLKHIDGSGDSMFDSVPYHVATQCHAMLNTIITCLPGFKPFMD RANTGLLSISFKSRLAGGVYDNTFTEYAMTSLRRVVHPRGIGASGQRQLKSVKGSIQN KLGGTQRSFRPDEYSQHADAFSDSRILTESRSQIEEEGPDKLIIRYTTDWTVHVQDEH RSNSDAASR TRV_04290 MSTPSVPTGVIVPMPTYLFSATVTLAKPLDPIPLLEGGVRIVEP ITGGTIEGPAFNATIEGGVASPIIVKGDGGAKAQMAYIYAYGHASDGSPFYIEESGIG SGATQNTRLIIQVGGKYQGLQTMYVLGQPTVNEARTVASVECFSIPLP TRV_04291 MSTMPATHGHNEACCNIPPVITSGYVPKGSYEELGGLKTYVTGA SDATKGIVAVFDIFGYVDQTVQGADILGASSGNKYKVFMPDWFKGNPCPTEWYPPDTE QKQKDLGAWFGNNAPHGVADALPGYVEALKAANPSIQSWALIGYCWGGKVTELVTSRD SNPFSIAAGIHPAMVDTAGADKIRVPYMLLASQEEPADIIKEFESKLNVPHHVETFGD QVHGWMAARADLADPRSKEEYIRGYKTVLRFFNQHW TRV_04292 MKLPLLSFLALASCCHALPKIPGHSLDTKRATCENTPTSRHCWG KYDIDTNYYTTIPDTGKTVEVWLSVEEGICNQDGYRRPCMTFNGTMPGPAIVADWGDD LVVHVTNNLKSNGTAIHWHGVRQLNSVEQDGVPGVTQCPIRPGESYTYKFKVTQYGSS WYHSHFSLQYTEGLFGPLIFKGPATANYDEDKGVLFLQDWSHTSTFTDWSAKEKYGIT KSLNSLLINGTNTFNCTGSMDPNCIGGGKKFETVFEPGKKYLIRLANVAMDSLFQFNI DGHKFKVIAVDFVPIIPYETDNVLVNVGERYDIVVEANATPGDYWMRGGWLRACQGVA NDNPGSITGIVRYNSKSTKEPTTTSTVQPPKFCADEPATKLVPRVKFDVGTISGTTVE GINVRLTHAALFQWTINGSSLALDWNKPTLQYVFKNASDIPTPYNVVSVDRKNPTGDE WAVLVIQNTAAALFANIAHPIHLHGHDFWILAQETGKLWDGNMDSFKLKGVPRRDTAL LPARGYLAIAFRLDNPGAWLIHCHIAWHSSQGLALEFVESPHSISVNSGARKVFDDTC ASWEKWSPSSPWPQDDSGI TRV_04293 MAATGQVNDTRRCLVTPFHGGMLANTMINLQGIMGFFSFGRLFA LVSILPFLVNAATECRPSLPLPYRVLHQFPDPTYLQSLYVRSNGDILLTTAWPNGTIY YVSGPTTKSPKVTQVYQFDPKVVNVTTSIIETRPDVYAFFAGQQVHLGIGINGTFGVW ELDLRPTRRRLNPGKAIVKELVHIPNGGLLSGLDVVPDDPSTLLVADSTVGVVWHVDT VARKYKVGIQDPAMPGPPWAATQFGIDGLQYHKGYLYWTNSFEARIYRIRMTRKGFAA PGAKGELFKEVRSFFLDNFTFGPRNGDTMWVATNADNRLITVSPDGNVTVVLGEPDEL TVSGVVQPAFGKLPGDTHTMYAITSGAFNFPINGTVTEGGKLIAVDTRGYC TRV_04294 MEESTATSDVGSLGKGLDIEMPREKKGEFPKDAIPSFPEGGFRA WLTVSGAAAALFVSFGWVNCIALFQANYEMNQLKGYPRSTISWITSMEFFFMMFMSPV AGRLFDRYGPRVPLLIGTVLHVLGLMMASISTKYYQFVLSQSVCSGIGASFIFNPAMA AVCVIPFANGRSNLNVNCLFQPQTYFRERRGLIAGLTVAGSSLGGVIFPLMVQHLLPA VGFGWTMRICAFLILGLLIYADLTITSNFNHGPHPFKLSNYFLPLKELNFNIMWFSMF FLFLVSAIHYGMPQRLAYSLVPVLNGASFIGRTVPNYVGDRIGRFNVMIIMTLFSAIL VLALWLPGRSTGAIFAFAALFGISSGAGIGLGLPLIAAVSPMKELGYRMGMIVSIASI ATLTSPPIGGAIVARDSGSFTYPCVFSG TRV_04251 CSTLAKELPKNVFTSDSKAWDTHNKDFWSLTSILDPSCVFLPET SEHVSKAVQILAENDCKFSIKGAGHSAIPGAANIHDGVMIAMAWINSTDVQDDYIRVG AGAHLGEVYKALDPHHKAAVVGRFSEVGLGMVVGAGISFFSNSKGLAIDNVVNFEVVV ASGEVINANATSNPDLFWALKGGNNNFGVVTQYSLATIDTAGMLHGGVITYPESSFDE VADVIYDYHTHQAVDDVRTHSLPQYAYDGATNETTAGILVAYNDAVDELPEIMQPWLK VNYTTNTLGKKTYSELAEELNGRFAGDGFVQEQRVFTVYADAQFYKDVWFKFRQWFQD YRDIPGFQGSHGNMPITPRQAEEGVKKGGNALGLQEGPQDKTLGIIYFGVTFKDPKYA DKVLPAHKKFVESMRKLAEDRGVLHPYIMLTFSGYDQPAIASYGEKNVAKLHEVSAMY DPKGVFQRLVPGGQKLPARK TRV_04252 MEGGWNQISPPADAKETGFPSTNGISEQSARSSGGDLVRTRSKP WRYSPRGFGSRKNNELLDSRKGGKEDTSMISTTKDKADFVYKPASGEFLKTMAELGKP KNGRYSSEMTRSVVNNRHTSLQSNSSLEPTLPGEIPRHPFHQDFSTRSQSPANQTLSN KSSSPTEHYFDQRTPATNHSTSARNSSARDEDCSSYVSPMDVEKSPRSIISDTAAVPT YLPSNPAPSEQSRRKRKAKQKRVVTSEMVPSTNDLFG TRV_04253 MIHAYLSRATVISLILYLIIHKKMWYRKLFWREKASQRDENNGA SPSTHPDFPCHPLYREGIRAASTQTSPKLPEAQTPAPSTPFRYHPYHPQPPNAYYQPV EVYRTLMLPDEILETHAGQAHGSRTNLSAAKGSQDELSATPHSRPDASEAAPHPVPIT HPAQLPIHSPQPRRMGLPVSPKLQ TRV_04254 MLLSILVLSTLLLQEVSAVRFTPFSPCADVCGGMIANTTHKEIK CHDDEFESTAEGRRFRDCVSCELQSTFYDVPHDESDVKWGLYNLRFAFSTCIYGIPAV KQSLSTPCQVTCQGLSESIIYKLTEPIGRNLYDFCGISTFSDKDITDCTICYSLTENE KFLANFLEAFREGCRARVPAGDKFFIEPNRIFNKLALPADQDPQLPGKNTGRSRKNLI LIIVLPIIGFLLFGAALSVGCFFFIRNRRRRSRRESQSSSLHERWNDTSIMTPSHNGL HKYWGGEQTPQPQHPYDPSMAQSYNHSYYGPDQQDVKYPSEAHMMTSLAPQTTQHATD GDRKVPILSAAPPPRKSLTNNR TRV_04255 MASLTQEQLKVIEQTRQRLVNLTQSLGSLVNNISQSQPLPAWSS LQSQAAIISNNLLTISQLLHEHRDLFSSLVVYPTPAFPGRTESSMLNQLMRTKLEPRI EDWLAHGRSVGKEALEQSSGDQQQLIAGSISTSESGANTQRLSGEDLQALWEWAPIEA NMEARRRNWGGDYTIEEKEAGLNNVITGLTRKSNENVEGAAGRDLDESEDEDEVMEGT GEEVKPGAGLPSRDTTTMPLDDFFRYMMTGAEPRPR TRV_04256 MESLPQIIQSSIINPLQPLLRQITTSLPAPVNDALISLLGANCF TSLVLGLDITKDPECLPLAISKALGIAIVLFSAIVKVPQILKLISSRSAAGVSFTSYA LETTSFLITLAYNARQGFPFSTYGEVALIAVQDIVVSVLVLVFSGQTASAGAFVAAVI GIVYALLFSGETIVDQATMGYLQAGAGLLGIASKLPQIYTIWNQGGIGQLSSFAVFNY LFGSLSRIFTTLQEVDDKLILYGFVAGFTLNVILAGQVLYYWNAPSTVPEKSSTRVSH EQESAAVSSGVSQSPSVKGPSTRRRG TRV_04257 MGCILTSRNGPLPQHVQLRTFTGAVRYLQNDTQLCPRYLRKSYF SSHDPLTSSELRPRSFLPWSRSVSSTQQTGALFSSKAPTTYTSTDEPISIPQSTAEAV QELPHRRRQRLKAQGGTNSSEQELRPDASSQLSSISSSLPKSSLKGKLAAFLALTKPR LSFLIVLTATTAYGLYPVPSILHLDPTVTPLPTLSTSTLTFCYLTVGTFLSSASANTI NMLLEPKYDALMSRTRNRPLVRKLMSTRAAVFFAIGTATAGLTALYFGTNPTVAGLSA ANIILYGFIYTPLKRISVINTWIGAVVGGIPPLMGWAAAAGQTAITGHDTWKDLLFGP ESVGGWLLAGLLFAWQFPHFNALSHLIRDEYKNAGYKMLAWTNPARNGRVALRYSLLM FPLCAGLWWAGVVDKGFLIGGVLINSWLTREAYRFWILEGAKGTARGLFWASVWHLPL LMVGTLAAKKGIWDGVWSRAMGYAEDDEDLDAEEIEETSDVLPPLPTSRVQTP TRV_04258 MTTLHSLMLERKLWQQKCYLWRSRLVHLLLPCVFDLVLFVDSTR GKTPYLMHAILGIGATAIADQAKSDSSYKVLHAYHWQQTLRQYQEEIRTSIGLHNMDG LMSTCMFMSSITFLGADPDYTKSWVFTNNPADLNWLLIQGGLRFLLMHLSRYLEHSIW YEVFMESSDEELFGDHRPGKVGLHPELAEICGITDTTTEDDNPCLWPLRMLTPILKLE HNRENFSKILGFMGRLLPDYTNKLLAKDSSCMIILAYWLGKLCERPDTWLYSRGQIEC YALCAYLEALGDPKILELLEYPAKRCGYVLGRLAPVDILDELTIIPMI TRV_04259 MDNEPKGILRLSNELLKEILDHLTRDPERCTSVDHRAYLFFESV RRPSQPDPPRLWLEGKNGYHEDLRSDVDRFREVCKRFSEVGAAHKFSRVVVRFSEPAF KKLDQLSSIPHLARHARTFTHIIRSFYVEGRDNIPQLLSTADSSKLNLAEHIHRLEEQ KRLVSSNEDLNSLIRAMKSFTSLQHVKILRVQDEAERDLRSFIDRHDNPLDPLVVVDW NPACIRAIQNVGLALLEAGSPANRFSGPQINPQATLTLKRAPVQLLSALATKLTCLEI HFDAMRYVNAEMRELSEVFKTLFTAAKNMEAIHLGFPSRLPLDLHLEDIFHNVHWERL RAFGIQAWRLDSEEIINFARRHRRSLRGLRLRDVLLKEGSMWKDILSMLHDEMENLEW VSLRRIDYSNAFDEKWATSADISDIQSFPNSDSEDDEMFEPYVPGNYHNINGDDDDDN TSLGDESDGLSSHNGDVGPRANQIELIPDNSLSAPLLLPPFTNQWEVLSSIPADDLED DGRTVDYRQRKIWEAWVISKSRVSASQDRCR TRV_04260 MDGRHSALLLLTDTPLAFAALRETCGHALSTILQALAAASRDDK DSRPAVLDIAVSVCGKGKPFDLLQRFLADIYRLLAAVSVAASVELDGPGGVDARVFFV DLDGQAGGDACAYGPLIDVKSLMQSNRSWDTVYLPSGCERAASFAATLSARAAADDRI KTADIRTLDLKTSGEKPSTGLVLDQGTAPPTAAAHSSVAVGGTFDHLHVGHKLLSTAT VLALDTCPSLSQPARRDGQGKRVVTVGITGDELLVNKKYAEFLESWEHRWKGVWLFLQ SIIDFSPSSTVDIMREYEPGPNGRRAIVSLSPGLEFRFVQIADPFGPTITDPDITALV VSKETRSGGQAVNDERLKKGWSALEVFEVDVLDTADAAVSASGDSFESKISSTEIRRR RMNLAKGSSL TRV_04261 MAEPSIPFDGPRSRAPTFPRQPEELHMPSANASSKPQNEQQQQH SSIPPLLSIQQQPGPQQQQQQHQNNALPGALQPGPASRQGQPSTTHHNSSNNIHNSSS SSGVPSQLAVPMTPSKQSSMSQLQSYPSSPGVDQQRYQHHQQQQQQQQQSSMMSPSGQ SSSTPYSPLGLADIRPHMDLGRIDDSAGSSTIHNGDMHTPKNSSYLAPWALYALDWCK WPAAPNTNSAGKIALGSYLEDTHNYIQILHAQTAERDYNDPDNDPGLEFVKTAEATHS YPVTRILWEPPSSQKQSTDLLATSGDHLRLWSLPSNPSQPQYYGSNSINRMSASNKSP PPLQKLSPLALLSNSKTPEHTAPITSLDWNAVSPSLIITSSIDTTCTIWDIPTLTAKT QLIAHDKEVYDVRFCANSVDVFVSCGADGSVRMFDLRSLEHSTIIYEPSEKHDKASPH DAHLLATFAQDSNIIRVLDVRQPGQALLELKGHAAPVNCLEWSPSRRGTIATGADDSL VLIWDLINQNNAAALPLPPQQPQGLHSGGNGNSSNSTATSTSGNGPSTLERGPAAAWR CDYEVSNISWAPHIPATGPGRDWVGVCGGRGLWGVSI TRV_04262 MDLRTIINTDSAVGAARGPQLHQQPPPSQQQQQHHQQQQQQQQQ QRTQRPLSIHSLGPDEPPLRSGSVHDEHPPQHHEYGGGPAGGRPSQPLQPPLQSPKRI SAPYMGSTAQSPYQQPPLASILTGREPGERASSVLPVPREPYSASSLPPPSAASLSSP FTPQPTSAGTQHSSYFSQQRSQSAHSAASPSSVRSLSYPSGREPSGHPYSPSQQHQQQ PQLQQQQQQHQAFHHQQPPPQQQQQQQHYQQQQQQQRSQPGTPLAPPGSSYRRPSPHP VRPPSSGHDAPLSARLGSPWGSQDASSGGQRDGVSPTVHTPISRHNSVATDSYPRQFS MEREKEQHLDSVSPKTIVSQQGAAPYGDISASNAINRDNAIGSNHSTLNQSVQTPHKD PELAQQTTHQPGLEHQTLPPTTARPSYPPSTPQTASISNITNSATTASGSPTGRRPVQ EASPTLQHHPFPPKPLHQPRSSISAAPGPSSAVERVPMCPPRKKRKRYTQPPIFACKA PRTSGTPPPIPPKGSQALAQPPPSSLFPGKRPLPKRESLDRNLTSDSLPPRPSRDADM AVNGNAVKESAADNPLGPWEPTITNVIPSEELTKLICDFLFQQVVMRKDIGAGPAGGM AVGSGAILEVEAKLGRLIDKSRGERLRLPILSETVISKDDPNLRLAFESSMSQAQHRA MNNFLNEAVKTSISQGTTRIPLAYAHKRERDTFYDISANDLPPIVQHHLHPRHKPRVR VTTDVRTGAVIARIVKCRIADLDVYSPRTCLDWRISVNLEMNYDGDLTKLQQTSDWKR SGDRNKDRMSYRHLAYQIDLTQVGTTDSTPADFEHELEIEISSAEIRRQGDLALSGDM NNQYEDLIKGFVDNIRVLARAVPG TRV_04263 MSLLNHGVRLDMFTDIMAGSEQSSSPLGSRSGSASGSGSGAGPR LSLFNANTSTTPGAPAPASANVSPYSHSHSHSHSNSRSSRFASVKRYLRSGSDLVRSR SRSKSKSRNEFVLSVDETVSPTTPAAGGTPGLARSTNGEARLRSSTVPASQSWFRADS SPEVLHSILLDDRYASLPLPTPPLPFPRPRGSSLSRQQTVPVSAPLSASTPASASATS TPLPLSPPLSPTTEQTPPPTPASPYGRSQEHLLASPLLPALPNLAYPPTSILSRLSES EPSNCSSMASTRRPSSSRGGDSAGNQTTITGSSLPMHSHSQSQSLSQPQQQQQTQNGT SATAGPTNLSGLVCNVHRTTGREPHALVGATTTILGDKLYVFGGRLLSRARPELTSDL YELDLIKRHWTKIHPTGDVPPPRYFHSMCPLGDTKLVCYGGMSPRSAVAGHPPTNVSS SHSAGQEAQPEVVVMSDVHIYDVVTRTWTHINTANTPQGRYAHCATILPSSAPFVSAN APMTAIHNNPSTSTSNPHQGSIGVEIDGYGGAEMVVVGGQDSSNHYIEQISVFNLRSL KWTATSPLGRSCGAYRSVVVPLAGMSVNDLGAERTDKDMANVSNNHDAAGMPGSSMLI YSNYNFLDVKLELQARLPDGKLVEKAMDTSVSPPGLRFPNGGVINGHFVVSGTYLTAS KQEYALWALDLKTMTWGRIDAGGSIFSSGSWNRGIIWNRRSTFVILGHRKRNLVEDYN HRRINFSHICMVELEAFGLYENPRRAAPTSGYMSVSAPPLRPALQPKFSIQCSGGRPL SAAAEKLGLMAQACTELADMDLLTIGGERIPVSSHVLSRRWGPYFVQLLCEATAVAGD SINDAATLRQPNAALNSRNSTITITPSLSTASQYSTATTLVNTISNNSNNTSMGGAIN NVNSHKDTGGRPPGSSSSASSCLSRASHLDIPSAHSLPPAVRPRTLYLPHTYLTIQLL VHYLYTSSLPPVGSALCTPQILCSLLQLARPYQVDGLLEATVERLHQVLDGRNAAAVF NAAAMAAGGGRGTNLALAFDGTMDSLHDTHSRSYSHSHSRSQSSVADYRRPSTAADGI SSFNSSDAESIDRPNTASSTASVSSGTAGRSSSSQRPANLRINTNFSNGRNRAESAEN TSIPNSASTSNSGGGLGYPEAELAQHDQRGRMKVSRDVWTANISSVVGLQKRGLRGLM EGRRTRERGASTADTQDQNTGVIPVKMGLGIS TRV_04264 MDLAGFVSCSSSSSSSSSSSAASFSSSTTTHYHRLRLHRQRHLL LQSPLRLGSTAPDFTAITTKGEINFHEFIGDNYVILFSHPDDFTPTCTTELGAFAKLE PEFTKRGVKLIGLSANGIGSHHEWIKDIDEVNSCSLQFPIIADADRKVSYLYDMIDYQ DTTNVDEKGQSFDIPLLQECNMMLTSCPIYTGMAMTIRSVFIIDPKKKIRLIMSYPAT TGRNTAEVLRCVDALQTTDRNTVNTAINWQKGDDVIVPPFVSTDDASKKFGQVREVKP TRV_04265 MSSMASVVFAALAISGVQVTPSRGYGCFPQYSQFWGQYSPYFSL EGRSVISSAVPPGCKINFAQSLQRHGARFPTADKSATYSALIKRIQEDATEFKDEFAF LKDYKYNLGADDLTPFGESQLYDSGIKFFQRYHGLTKDSKVFVRSAGSERVVASAHKF VEGFNKAKGSEKGGATKLDLIISEEDRRKNPIAPQGCDAFDNDETADKVTDQFRSTFT QAIVDRINKKLPGANIKIGDIKSLMAMCPFDTVAGTPDASKLSPFCHLFSHEEFRHYD YLETLGKFYGHGPGNSFGPAPGIGYVNELIARLTSSPVKDNTTVDHELDDNPKTFPLG LPLYADFSHDNSMTVIFTAMGLFNATKPLSPTKITDPADASGYSASWTVPFGARAYFE KMVCDHSPSAKQEYVRVLLNDRVFPLQGCHTDFLGRCKLDDFINGLTYARSNGNWDQC EVSPPK TRV_04266 MLTPKSPAVRAASLGSGRYPPVPGTINAMQSPFLQSPPPPRFAE GAPRLNVDSASSTVPSRLTQPRRTTHAANIFYDQRPPNNPQSQETSPRTPQSSYSSFS QASPAPVPPAHIQQQHQHPAPISNPAMSGSSRSAIAQSLFGDRTGDPANVPPWSIPLV LDTDSGSIKAKIKREKNSSASKRFRQRKKAVEEEQMQTIRRQEEEIRSLTEERDFYLR ERNFFRDMYSRTPGAQIPQRPPSPRTFKSRATSLASDEGERTSVDPDDRGRGTGGRNV RQRTGAGPIHLPYPGSQTPSPFVPTSTISPSTQTPPAPSYPLSYPSTWRAPSVNTTSQ PGDITTTTSPAAQTSPHESQQQQQGPPFPHPQQQHQHEQ TRV_04267 MEVLVWNSSSLHLIQRRQKLSRLAGLNMLIVTGCHEISMLATSL LNVENSSARKELGSSGKWLETDEITTSKPLDG TRV_04218 SKSSPATFLQAASSVSPLGPFLRFLPLAHSSIIIHHILETAVAG YQKMGFPKDGTQEISVGEALG TRV_04219 MIRRIPEEAHDLFDYTSGRWIYNDALRHSERRRCFDISELKRLA AASIHQRTEDVAKFEKLAEGGFNRAFLVTMRDGFQLVARIPYPSTAPKNLLVASEVAT MGFLRSHGIPVPKVYGYSTVSTNPARTEYIFMELIRGRNLGDIWFDLSERQRITVVSK IVELESRIFALRFPASGSLYHCGDLQGETARTAVPTADHLSGENNFCIGPDTTLGLWF GKRLALSAERGPCKFWCFFTTVIIRSLRSYSQPLTNLPDKDCLAALTAGAEKEISYLT KYGRPILPLQRLRREIYDYKPRSHLDHLVNLRQYLQIAPHLIPHHDPALARPVMRHPD LQPSNIFVTDKLEITGLIDWQHSAILPLFLHSAIPNSFQNYGDEISESMQLPTLPANF DELDEMKQCAEVELLRKRQLHYFYLRETAKMNPEHFNALMNGLNTLRRKLFHHASEPW DGDNVTLKADLISLTEKWQQLTSSSGSEVPCPITFSEHEAAACIQLDKAQVEADEQLQ ACREAIGVSTEGWVPLEFYEEVKQREVKLKADALDAAETEEERARTREHWVFDDFCEE DYL TRV_04220 MASFGVEIELLIKPKPHMLGVAAQHGYAVGNIPRINMLALHKTL VEQCLLWRLPVELYNEDSDYKEKWQVAADASIMAKDGFFGVEIITSILYTDKPWENQL NLFWYTLTDSFEVRGDPSCGAHIHFSPVGGFTLAQLKKLTAFVTVFQPAITALIPSGR RGTDWCHANAEIIPELRAKYMAGRPVLLAWIEGFSDKSELWENISPNKTVAWNFRNAR EGGCGTVEFRQPPGVVSNAATKRWVAIALALFAAGVEPWLAYPVAAPTVEDLKIVLEH SASRIGVSHLLSFDGASEQTIPINTLSAEEREYITLLKAQKPSIFAENLERRGGRGSS P TRV_04221 MADAKPDSAPAVRVSDSDDHDHHDQQQLADLARPKGWRYKELKI AGIGLSWYASPSFQLVLVSFVCFMCPGMFNALTSLGGGGKTDKTTADNMNIALYSCFA VFGFFGGSFVNRLGVRLTLAFGGIGYCLYAISLLVSVHSTHVAGFNVFAGAFLGLCAG LLWTAQGTIMLSYPAESTKGRSFAVFWAIFNLGGVIGSLIPLGENINVKGNVNVTDGT YIAFIVLMATGAVLALLLCNAGDIYRRDGSRVVIMKNPTFKTEFIGLWETIRSEPYIV LLFPLFWSSNWFTAYQFSAINGAYFTTRTKALNSVLYWTSQIIGAGIFGVCLDSTAIS RSLRARINLAVMFALTMAIWGGGYAFAKGYTRESVDPEAGYKGKDWGDNGYIGPMFLF MFYGFYDAAFQASAYWYMGALSNSGRKTANYVGFYKGIQSAGAAVSWAIDLKKIAFMS IFASNWALLAGSLVVAAPLVLRIKDHVDIEEDLKCSDETIADVLPTGHPEKHSA TRV_04222 MAVDEKEIGQVPIKTMLESLQQSLSSATSSLPALDPSEDNTRAS IIPPADGISLLDTKSEILLSYLQNLVYLVLLQVRQLSSSTTDSSRRSTEPSQDDVVKK LTELRVYLERGVRPLEGRLKYQIDKVLKAADDLERTKAQTGERAERRRSSKSAGSDVD TADKDGSDESNSGSGSDDDDDDEDEEDIDELTYRPNVAAFSRAAEAQEQKQKAAAQKN DAIGDGIYRPPKIKPTALVENKPSRRAEREAQRSKKSKAIDEFVNAEMSTAPMVEPSI GSTIRAGGRHVSTQQDRAREEERRAYEETNLIRLPKESKKDRAKRGGNRRGGYGGEEW QGLGEGVDRIHRLTQRKKDGAGSVLQRSRKRATEDGPRSDGFNIGEKFEKRRKTIASW KR TRV_04223 MENPVEEIPQVIHLLTQSIPSVQQQTVEKYFTPSASITHPFLHS GSFQGSRWLISRIFLFYKIMSPHVDLQVKSVGQYPTTNALVVHARKVAPYLTCIIAFD RDNLLLYVTVSQVFRIFIVPFYTAPVTLTTVLQLVKGVEQDSPAQHRHKVPVVELTNS NTDLLASSTSIPAEEYASTTVEPCSSTKPLYYIASQNDLYQTNEYVKFVIPYGIGEAF VLFWHAFATLFCVLASYVFWPIAWAEEKGILQVTRFDSTLHKAKQN TRV_04224 MSLISSVGRSLAHIYSQCFIICYTLIQDILVYVFATNAPPPSSR NKRLRRPRVAIIGSGLTGVSAAAHCVGHGFDVKIFEARPKDKGLGGIWSRVNSTSSLQ IHSIMFRFHPSVKWKDAYPNQQQIKDQIVELWKTYHLDDKTVFDTPVKSTRKNDQGRW VINDDEDTHGTFDGIVAAVGSCGDPKMPTLPHQDKFTGQVYHSSELDGLDAKGKRIVV VGGGASAVEALEFAVNAGAANIDVLSRSDKWIIPRNVVVDVLLACNIFGQETILSFIP ETLLRKLFYRDLEDIAPSGKGLFTDTPMVNSDLFELIRAGKARWLRGDILSVEEDGIS FNHRAQGVPKSGPGHEIFVKADIIIMATGFIRPSLSFLPQEVFEPPYDPPNWYLQIFP PAYPSICTNNSTFVNAIGTVGNYHIGIYTRLLLMFLIDPLSRPREYLMKRWVDMTRIM KRFAPTGAFDFFTYAELLYWFVFVMVFNPFRWKWAAFVFFGLGRVLPMAVVDKEDDAR ELLGADSRSSVSGN TRV_04225 MPRVITIIGATGNQGGSAARSLLQNPSFKIRAVTRNPSSDASKA LASAGAEVVQGNGFNHEEMLRAFEGAWGLYLNLNSDDEIWSNPNGPTEFDLGKSIIDA AARVGVPHLVYSSGPPCVEMTDGVVRMKAMEMKYKTEQYAKESGKFQTVTPINAAWYL ENFLAKEIAPAFGGFPFFPDDEGYLSYKVPHWGGDNRVPYLSITDDYGDIVHGVFLDP TRWKGQVIQAMSDMITFDELAANFEETTVTGKKSRFQPVLPSWEAYDTHGVPELEDVK LMFGFTQITGGRYFGPEPSDITVSKELKRATAKALGKPEDEGTLKPSKE TRV_04226 MANIMRWATKALRKPPLVPIIFPTGGFETVPPSVVLEEERFKQF KQGQYYPANIGDVLTSRYQVIGKLGFGTTSTVWLARDLEYVTLKIYTLGEDNQEEFQI YKKLNQGSSRHPGHAHIRKALDIFTIFSSCGSHSCLVQKPMWESFRDLLYRNPNHRFT EDLLKSGLMQIFLALDYLHTECKLVHTDIKSDNILQEIEDKSILESFTQAELKSPSPR KIVNGLPIYTSRRFDLPKVFGRAVLSDFGSAVRGDEKRNHDAQPNVYRCPEVMLKTDW SYPVDIWNVGAMVWDLLEGRHLFYGNDPDGKGYSTRAHLAEVMGFLGPPPLDMLQRGK RSHEFFTSDGKWKQDLEIPTGVSLELSEEFLDGKSKEMFIAFMRGMLQWRPEDRKTAK ELLQDPWLNS TRV_04227 MEMAYDDSAWERSDTIFDSFKKKASSPDVLRAVTEFMAAKYPVS QPNDRARIIGVGAFNFCYRMEFGNGTSSLLRFASPGRSMFPEEKTQAEVAIMLYLKDN TDIPIPTIFDWGMEGPCDMGPYILMEFVSSASNLTAKLRKPGYAREDRPVLDPDINES KLELLYGQMADITLQLSRCSFNKIGCLAAGPGGWAVTKRPLTMNMNELVQLGGYPKDL LPSSPFTSASDYLKNLAETQYTHLSTQRNDAICSEEDCRRKYTIRKIVLKLAEQSKLM GQDTIDHNKYRLFCDDLRPTNVLIDENSKVVAVIDWEFTYTAPSEFTYSPPWWLLLET PEDWPSGILDWAVTYDSRLKVFLRAMTRQEDIAIRDGRLSDRERLSERMYNNWSTGAF WINYGLRKSWALDIVWPFIDRAIFGGEQPHEQRIALLENRIQLTDDERKEMDAFVQRK LRDLAT TRV_04228 MWNTTSVDTPVLNNFLDSIGGLLQSRDGTKLQDFLQLEPPLSAI YNQMTTELQQRYPAGDDKDAELLSKCESLTAGGQLGSSWPAFPPFMRLYLTFLRDVNV DNLLETYNLLKGLLNQSVIALGDSQMGVVILPTVFYLSKVLSKLAIGLDRQPELIAHL LRDEGGESGQGETGEKVTLVEKSTNIVREAFIKCLTDRSGTSGIHAKPEGKRTGIYLM ANLCLKLLFKVHIMANCGKLRNAEQMFASINSQSPPLEYFPASQRVTYLYYLGRYLLS NNLFYPAMIVLEAAYNQCHRQALKQRGLILTYLIPCNIILGRFPSRTLLQRPEAEGLG DRFIPLCRYIAKGEIHAFRDYLSVSSPSSEWLARKGLLLPLRNRCEILVWRSLTRKVF ILAGFHGDQKMQTQRGPPPFLYLQKLEAAVRWLDSRARHDPHLLLMSPQTRQAGVQLT SFPLDPDFIPENNTPSEMADDSTFDDYNRPDWYFDHTGQHVNVNSPDDRPVDGMIDDM SGVPDPYSTLIPEEEEAAEAEKEHQQPAITGSEGAEIASSPLMQELENVLASLLTQDL MRGYLTHKNPRYAIPGARLKGALPTGFPNVWQTIYAREREDGQIPGWVKPQGSGGSRR APGVIQGGGGRVVNLSGARSISEITGSS TRV_04229 MRLSSLPLFVWLAATAVTSAGSCSNGYVSCHPKGAELGNPPDIG PDMKDFYVDLVQSVKGHGHGREKRSLNKNHGVIAQRDEEGELCWKGKGNGPGSGIQCL FLDGAKFPFCWVSLLCTPLYAGYPKENTDTFQDPFTTNFFFRDASHGNAATGDYQTGN GDKVNLIHGNYTKPDGTEENIYGAKESAPALSTLPVPTPFTGSGVGSPIPGDKLGNVA TSGATAPPTSSTKSPSGSKPTTPADSSSQKMELGFSLVASMAMLACALLL TRV_04230 MLHIKDEISEIPDIESHALKEGILQAQRKVGLLVDQRDEELSRE NSPPTNPPFAILDAMIGPYFATTNHHFPIWTKERFIRMATNLRQSTSPDPDLASIICC NNLVLMAMSADSLCSYRREPMPSKQARKPSSIDCDIVEGFLANAKRALKNIDQLISPR LINVQALLSMYIVAQEHLSKGLSETLFALAAQCAKSIGIHQWNSFRGGLTDEDVEERR NISYCLYVLDKAVCWTAGSSPNIPATDMYFDPCLTPSERNVVSCLVAKTEMAKIEETV YLEVYANQVKAKNEDQVREFATTILSRLQSWLADSGIDFDKIQNFPKSSAPNLQLAIR YLCVQLLLIWPHKKHPDGIFQRGQEVAKMCMKYLICLWNSPPDQGNHAIFPLSVYPSP VFVVCWLEDVKI TRV_04231 MPLRFVALKSFANILLSFALTIKQVAEAFKSQPRIADFLYCSAG GNHAENGFFLDLQPAQLDSCMKNNYYSTAYAAKAMLDIWVKNDKTPIAINETPKKYGP NIRKIVFINSAAAFLGLPGSVAYTPDTLRFEVLRHNSPTTTYTIHIAFPADFISPGFV LEQDTKPNLTKRIQGTNVPTFSELEKKFPSSEKVALGIIARVEKGDFIICEDSLAASL LFTNMTGLSPKRGFGIVDSLMTVVMGWFVVPILRRRWESMCREDSSH TRV_04232 MGFLPFGRQRASPPTVPTDTIIPFHYWDDDHHTRGLSFDIVFRF DDILDHDKLRRALSRLLEIGDWRKLGARIRRNTDGGLEYHVPQSFSNERPGFAFSTTG YGINLTEHPQASRLAQPHNLHAAGRPSVFSTAYAATPEFRSFIRTADFPDRLEGWLCS DSPQLGVKIVVFQDATLVTISFLHSLMDMVGFNDILDAWTAVLRGQENRVKPFIGFFQ DPLAGLSKTKTNQLQKYVFTDTLLMGFAWFLFALRYILTVELFWHRREEDRVIFLPAK YLHKMRDKAIDELTSNHVGDSSRLPFISEGDVLFAWWTKVVLQAESPSPSCTINMRNT YCCRSVLAELGHIPSATSALVTNAVFAALTFLSVRQVLSKPLSFTASEVRKSLIQQRT PEQLQALDAIQRNTLDNAHHPALFGNPNMYMIMISNWVKAKLFQVDFSSAVRREGMSL DKRSNQLGRPSCIQGTGTRDYATRNTGVVIGKDASGNWWLLYTLRKQTWPAVEEQILS MAEDT TRV_04233 MSASKLETSGVSAEISTPAGPGESDPEPISEKTEIEKGEIDAAN DVETSPKKSLAFKLAFVGLASSLFVFQLDATALGIALPTIAADLGGQSLESFWANLSY TLCGIAMQPIWASISNAFGRKPPLYVCMTLFFVGSVVFAVAKNMNTIIIGRVLQGFGG GGIDVLVEVVIADMTTLQERSKYIGLMGIVLAIGNIMGPSVGALFATYASWRWIGWVN LPLLGIGMPLIYFFLKLRPVPLDKSLAKNLARLDWIGMTLVIVGITILVLPISWAGSL FPWSSWQTLVPMLLGFLVLAIFGFYEAKPAAPIMPHRLFHSKTANMTLAGGFIHGATL VSLLQYLPLIYQAVQLETPILSAVSLLPTVVTSVLLAATSMMMVPFFGGYVWLLRLSW IILTLGTGLLALLRVESTSSMRLGLPILWGAGVALLRLNLLPMQASVKKVDDTGLAIG LFLTIRPLLPLRDASNAVAFIEKLRSLDISPETLLPVLKVYLKCFQTIFYTMTGLGGL GFVTSIFIDEIALSGENLGNQRFEE TRV_04234 MWRIPIDTPQVAVPNGLKLAEVTVDTPLDEVFKHWKESGGVILK NILTVAEANQITTELESRLNSVQRGSLVPHADLAAFHGTKTKRAGDIINHSATFREKI LENDFIHSICKRCFSEGGHNGDYWLSAATTLNASGPQPAQVLHRDLTSYPPYAQLGPE GTEPQINFLFAFSDFTADNGATRLIPGSNKWPFDQRGNMEQTIPAEMKTGDCLLIGGK VIHAMGENKTETERKCTQLTVIPSFLTPAEAHPFIIKLETVQKLSKRAQRFVGFRSQY PRGSPGLWTKDYIELALHLGLDDLQGAMEDLQDVINQPKHWDTMDYQKTG TRV_04235 MALHRHQSSLERVLDFSTPFSLNSQQRQRATTLLRSLVQLYGVE QTIRRRFKPASLIQLTFEHIKAQDAFLSFYFSFLYENLCPGVTDSTEPDITVALSFFD DFSTWDQNQKEDVNRASEKFAEYIVENFLLPLSRKFDKSEASRRLEQDGDDFKDDDGN PLKNKRNDEFGYLQVAHILPHCLTAVASQNTELSDSKKNVLRILDMFDPGIIHLIDGP KIDSPLNALTLTYDHHREFGEFKIYFEPTSTQYQYRIDSTEQNPSLRDPLFPVTRTLL LSPSRTIDPPSAQLLGVHRAIAKIMNLSGAGEYIEEVLRDLEEINVRADGSTNLGRVI NLRLGGWLNSLTVS TRV_04236 MTINNNCNVDAQDVTMGLKMNGTSTNGHSTNGVDAKGPLPDTNG INGVSADAIPCNGQIPIAICGMACRLPGGLTTPEEFWNFLLAKKDGRCRVPESRYNIN SYYSDVKQPGTVSTEYGYFLDENTDIAALDMSFFTMTRTEVERADPQQRLMLEVAREA FEDAGVTNWRGKTIGTYIGNFGEDWLEMLGKETQPWGIHRISGSGDFVVANRLSYEFD LQGPSMTIRTACSSALVALNEACAAISRGDCESALVGGVNLILAPGMSMAMQEQGVLS KDGSCKTFSADANGYARGEAVTAVFIKPLADALRDGNPIRAVVRATSHNADGKTPTLS QPSTDAQEALIRRAYELGGINNYAETAMVECHGTGTPTGDPIEAKAVARVFGEKGVHI GSVKPNLGHTEAASGLVSLLKMVKALEHRIIPPNIKFTSPNPNIPFVDCKLTVPTEPT PWPEDRLERVSVNSFGIGGANAHVILESAAAHNIPTPVYETPETPQLLLFTANSPKSI TQLIDNYKSWIEENPDKVGDLAYTLARRREHLPHRAFAIVSNGVVDSVSQPTRSKSAN PPSVVMVFTGQGAQWPQMGRELLRSNEVFKSSIRALDQYLQTIDAEKPQYTIEEELKR PGKKSRLSLAEFSQPLCTAIQIALVDTLKSVGVVPDAVVGHSSGEIAAAYASGALTAK EAITAAHHRGAVTGRQKRPGTMAAIGLSWGETEKYLVPNVTIACDNSPKSVTISGDVD AVKSVIATIKEEQPQTLGRLLQVDKAYHSYHMKEIGEYYQFLIGEEMISRAPSALFFS SVTGHLLDTEQTIGSKYWQDNLESPVRFREAVMAILKHDIGKNSVFLEVGPHGALAGP LRQIFTQASSSAPYISTMTRNQDCTASFLAAIGALHSLNVGIDLKSLFPTGSCLPDLP RYPWNHEGSYWYETRLSKEWRNRKHPYHNLLGARVAESSDSEPVWRNLFHVTNTPWIR DHRVAENIVFPFCGYIALAGEAIRQLTDIEEGFSVRNILVSTALVLNEGKPTEIMASF RPHRLTNSLNSAWWEFTVTAYNGRNWTKHCTGEVSALSTALGQAQNPDTLPRKLNVRK WYEKMSKGGLDLGGSFQTLDTITTSTSTSTSHQQAVGNIVNGRQGDEANYHIHPTVLD GTLQILGAAAVNGYARKTKTWLPTSIDKISVNRCMSDVVTSVSAKLSSNFSVIGDGRC TSGGMTVVDAIGIRMSLADGAGASELPDAHAASRCKWRPDIDFLDMNELIHAPAGRTD HLRLLEELGDICLLLSQQSFSESPRSPMLPHLSKYVAWVQAQSTSIVTRLPWTWTGLD NEAISARIEGIISRLADTAAAPVASIIRQICTNMDSFLSGESFGSGFSDGMLLPVYEF IGQLDRTEFIRHLGHSKPNLRILEIGAGKGVSLHHSIVDELTRPDGEILCSKYTITSP GYVVKTTLEKLFPNMEFASFDISKDPFDQGFEDVGYDLIIAVNALHEAEDVIGSLANI KKLLRPDGRLFLQELCPSSKWVNYVLGLLPTWWSNVANEPAGTLRLGKEGWISKLSAA EFGNIEAVTLDADKPHQLTVTMAVRRSCSTPAKKITALLGDEGPAVTQILDQLDKEGY QVAKCKLGDIPPAGQDVISLLDVENPFFHDIKEAHFLLFKTFLLGLQDRDAGMLWVTH LIDIGCKDPRYAQILGLSRTIRTEQLADLATCQVDSFESNNSINQLLQVLAKFQARNG DEELNPDFEWAIFNERVQVARFHPFVLADELLVAEGANEMATLNVRTPGRVNSLHYAR HERKALESDEVEIQVYSAGLNFRDVLVALGIVELPVRLFGIEAAGIVTRVGTDVSPND LQIGDRVVCFCRKDAFSTYTTTLAAVCVRIPDSLSFNQAGTMLIPYFTAIHSMINVGR VSKGQSVLIHSACGGVGLAAIQVAQMLEAEVYATVGSEKKVEYLMENYHIPRNRIFNS RDKTFVDGVMRETEGRGVDFILNSLSGELLHATWSCVAEFGTMLEIGKRDLIGDGKLD MKPFLANRSYCCVDIDGLWKRIHIARALIFSILEFYNKGHISPLPTTIFPASQTQDAF RFMEKGQHIGRVGVSMKQSEEVELEFETTKRALKIAFDEESSYLMVGGLGGIGRAVST WMVDHGVRELIYLSRSAGRTSKDDDFVRELQSMGCAVKLVSGDTTKLEDVHRAIAAAT YPMKGIVQMSMVVANENFTEMSFDEWNASTAPKVQGTWNLHNASLAAGIDLDFFVMFS SVSGIVGQAGQANYASGNSFLDAFAQYRNGLGLAASVVDMGAVEDVGWISEHKGMMGK MSRSGFKPVLEQEVIDAMAICMLVHNKPGHAAEEVLATASKNASCFVHKNTFLVGLAL LIPLHDPSNYVIWKRDRRMASYHNNSTISTATASTDILKSYLSSAQADPSILKSSEAA KLFAVEIGKKLFDLLLKPQDEINTSSPLLDLGLDSLVALELRAWIKQIFSFDLPILEM MSIGSLEILGQYAANEVYRTATENNEG TRV_04237 MAANSKSVSEVVSWIKSQKPVVPQMKDAPTFYRNLEEALDVRRS TQSMLTRGQNTWKTGDAIDFCSNDLLSPGLTGELRAEFLAELARHPDFALHSGGSRVM GGNYDYIEAVEQEIADFLGSETALMFNSGSNGNIAIYTAIPRPGDAIVYDELVHFSTH TGMAASLATTKVAFRHNDLDAFREAMSSTMDSHPMLKDGSRSILVSVESIYSMDGDVC PLVEMLEIAREICPKGNFAFIADEAHATGVVAVVLGNTTVRNTMLNFAGSLVNTTAPS FPSVAVVRAAYNLMRTGATQKAQDNIQHLVKYFFKTIISNPIWDKATDTGILSIPVSE DYESNDFVTHIVPIWTRQKYNWWLFFHLQLAKIAVVPIDYPQVPKGKSRVRVMIHARN TEAEVDYLAATICSFVSEMIEIEEGGEKGKLPKAAQQIYALMAANA TRV_04238 MGHIDDIFSIIYLGVAAGVYFKPEYAMCGSRVAAIAILSIIIIL SKLVYQLFLYPRFFTPLKCFPTPSNRHWLTGNTKSLLVDTPHAEMKVWARDIPNEGII RYYIAGNLERITVTSPKVLSEILVSKAYDFAKPLVIQQGLGRLLGNGILLAEGDEHKF QRKNLMPAFAYRHIKNLYPVFWSKSIEMVRLIRKELKGRKPTEDNTIEVRNWAGRSSL DIIGLAGIGRDFDSLRDPENSLSRSYEMVFTAPDVTTKALFMLGMLLGDTKWLAKLPT RRNKTIDTGRSNIRNAARQMIEEHKAKMKDPDVEIGVDIISVAMQSGNFDDENLVDQL MTFLGAGHETTAAALQWAIYSLCKHPEVQTRLREEVRANLPPINVGNPGPIDATAVDN LQYLNAVCNEVIRFCPSIPNTVRVALKDTTLMGNPIPKNTQIVISPELINHMPEFWGP DAGQFNPDRFMGPGNANKGGATSNYAFLSFLHGPRSCIGQGFAKSELACLLAAVVGSF EFELKYPDAKLEVREQATISPRDGVLAKFTPLEGW TRV_04239 MWPQSKSHLLLSLFSPILSSAFYLPGVAPTSYDVGQKVPLHVNH LTPTVAQDAQVHSVFSYDYYHPSFHFCKPKDGPKDVRESLGSIIFGDRILTSPFELHM AKNESCKLLCPEVKFDGLSSQFVNHRIWQGYNINWLIDGLPAAQINTDDQTNEQFYSP GFLLGDINSDGQSFLYNHYDIDIEYHRVAGLGTKEKYRVVGVLVHPSSRKTKVSGGKA DCSGKDIVLLDGTADTSVAWTYSVTWRESSTAWATRWDKYLHVYDPSVHWYWLIYSAV FVILLVTLVSSILLRALRKDIARYNRLSMINMDDFNDNGDSVEEGIQEDSGWKLVHGD VFRTPNYPLLLSLLVGNGAQLFVMTGITVVFALFGLLSPSNRGFLGTVILILYTLLGF VGGYVAARTYKSFGGESWKRLIILTPILVPAIAFSTFFLLNLFVWAKGSSGAVPFTTM LLTVIIWFVISVPLSVAGSWIGLKLPGFEGPTRTNQIPRQIPPAVWSLRPLPSTLITG MLPFATIFVELYFIMTSLWTNKIYYMFGFLFLCYGLMIMTSATTTILLVYFLLCAEDY RWHWRAFIGAGMTGGYVFLNALIFWATRVSFGGVTGAVLYLGYSALLAFLVFVLTGTI GLFASWAFVHRIYGSIKVD TRV_04240 MGKSYRKHQGSPAGPEQKTHIRTPKLEELCCDNQVVDLSSPILP LHYVFIREGFLKDCPSGTLTKEEFQKIYRQFFPFGDPSSFANYVFRVFDADGSGMIDF KEFICALSVTSRGKMEDKLDWAFQLYDIDGDGKISYEEMLAIVEAIYKMVGSMVKLPE DEDTPEKRVQKIFRMMDKDENGSLDISEFKEGSKRDETIVSALSLYDGLV TRV_04241 MRSDTGLMRSLFTPSLSCTQFTLFFHCLRLLLCFINYTLITDFN SWRRNEAQQKSGTTRHARPRDTMNAEILAPLTSLEARLNALLASITSTPTASGAPAAA VSLLEADDALTSALQTLRTHQENYSKILRLRAEALSLEERVRETVRQVGELGDEISAA AGDDDDDDSDEDSDDEAGGDIEMSGKGKDGTRKNEVDYRLLLGFARRISKYNNEAAAD ASSARVLSKEPPGGDTAADTNGEVAKEDGIASGHGQTTGVGIAALPQDTVSWLDETAN WTRLMSALPYPSEDRIRMGLMAHLHATAAAEGKDVEKEVEHILKAATQKDISKQETQP AGQGDQPQPDSDSGAGHPAGSGTAAINHQAGNSASHTAEPPKAKPKLDLDLYDPDDDD M TRV_04242 MSSQRVTTVRIDTSRNRRSDSSAEEDTASLPTVHEKAPPVETVS SGSTAATSTLAADSSSQYGESFLALKAARLEIQESENYHQLPFSYPCWKKWTILVIIF FVQVSMNFNTSIYANAIVPMASHFSISEATARLGQMSFLIAYAFGSELWAPWSEELGR WPVMQLSLLLVNTWQVLCALAPNFSYIVAGRTLGGLSSAGGSVTMGMIADMWEPDQQQ YAVAFIVLSSVAGSVVGPIAGGFMEVRLHWRWNFWIQLILGAVVQLAHFLLVPETRST IVLNNLAKKQRKSGIANVYGPLELRGSSLTFTEVITIWVRPFSMFVREPIVLFLSLLS GFSDALIFTFLESFRPVYSRWDFDTIQTGLAFIPIMVGYFLAYFSFFPVIVKDKRAMA RNPDGLDPESRLWWLLFTAPLETIGLFGFAWTSMGPPAVHWFAPMFFSMLIAIANYCI YMATIDYMVASYGPYSASATGGNALARDFLAGIAALYSTPMYRNIKHKYHLEMPSTIL GCISFLVTVPIYIFYWKGPQIRARSKFAQGVARNRNELKHRRAIHERSSVDAGKLTDV TRV_04243 MAVDKPETTQGSIEMEVSPATTSPDPPPVPQHDEERNPLPTDSM VTVPLSDIQRGSGISGEGPMLPDAVYCPETAVPVGLGLDSPDETQHDGEEDAEELETA SELQAEETPSRAPSRAPSIASSKAESIGGSSKSDMDDGRSVDWAGLEESEVNKSKASG DDVAPQDCFEEAIEDCNDCLADREAEQSTTLLLARLEQENNALATDPKSASAKKASVR NSRPPSIQKLKDLVNDPRSSLRYSQLPAPQMTELEFWAALVADYPRTAQRLPTLTSHK IRGGVPPPLRGVVWPSIAGARDSHLHDEYEKLCGETSPYEGLIGKDIGRSFPNVEMFR DPLGEGQQMLGRVLKCFSLYDSKIGYCQGLGFVVGPLLMHMSEAEAFCVLVRLMDHYD LRSCFLPTLSGLHLRIYQFQSLLSHHAPELYAHLDSLKIEPVYVSQWFLSFFAVTCPL PMLLRIYDVLLLEGACETLMRVALSLMQRNQHRIMACTEFEDAMQLLLSRSLWDPYAC NADDLVTDFVSLTSLVTHESLHALEVKYQEAQGAAQSVSLPQLQAIASRFLGRLWAGS SSHSSVKSVAQSPGIPTPGSSPCMGVTRTPSKQSMASTLNFLESSTSEASTAPTEAST LSSSNIDNATPKPKPHRLQTSDKDLHSQIEDLLLALSDMQREQAALAKRLQQEREERE EDQMVAAQLLSHMKETPAEQPDPKLILKAEERFASTEPRRMSMLQTKQQLLDDVEMWK SKYELESTRCQDMGRTIDEHEQKNAQLKEQLKEARSRVQDVHKEKQRLERTVQELRAK KPPLSKLQTDRPESVGSGDDGSANGLREFKLGKASSPKTPTFSKRTSSLGAPKSLVGD EEGLLIELVNAKTSEAVARQELEEMKVKLDTLKRLMSRSTNATSPASTAGDSSPSILV TASKSSPDTTAPPKSQNSSPVGFFTGWTRRTASTTSAVIPDSK TRV_04244 MDLSCFSPEQLMVRESINKICSAFPDEYWAERDRTEEYPHELHA ALSKDGWIGIALPEHLGGAGLGISEATMMLQTIAQSGAGLAGAQSIHANVYATQPVAK FASEEQKKRMLPPLIAGEWRTCFGVEHSWISSAQVAQKMILLARTTPVEEVKKPSEGL SLFFIDFDRAAPGLDLRKINKMGGRSVDANEVFFDEYRIPADSLIGNEGEGFKTVLHG MNAERCLLAGEALGLGYVALEKAAKYAKERVVFGRPIGQNQAIAHPLAAAYMSLEAAK LATYHATKLYDESRNDSSITQQSVGVACNSAKYLAAEAAFAACERAHDTHSNMENPTD QSNTIHRSSLLGEWAMPRNIMSSGTCESVSSPESRPSAGISYTICEAEYPTREQEMIM NFISEKALGLPRSY TRV_04245 MDSFLEDLENLVEFFLIEFQRILFVENLSYTVSVCVYTHTHLFE LDSVALLTSAQAFVTALVSYWLVMFLPLWGLALIATCVAYLAPLVYITNKEFIDSQLG NAQEIVANQASHVKEMAETQTAQATHIVRQYVGEYRAKANGYIGSTNGAVSNLTAPKA EPEPTQEPSQKPAQAPAQKTVEEIVEENIHQTSAPEAALESLPDIPKTEELPDLQQVA HADFPEAPTGELSGEVKEADKVPELVQIS TRV_04246 MLNANPGLREICHSITGGALAAQGNKAIPRSKKKLDSLMIKGYW MPFEAAKAVAATFCWKIRYALTPLFGVGFLSECIKPTDEMFGRMIIDPAIIRAATITA HQQRNLELQKASSNRLPSSNISYLPLKPRAEHVSVKRLRSRSHDSSPDDEDVTIFDNR GPNPHRGASYYDHQAYSPSTLPNSGWTPANTPRTSQPFRRLMNNGNESILPSPKDIIE SLSRMNNTKLSTRPNGSYLAFNEVENVSDDGSDDDDSITASTSEDEPGNKALTQWSKV DRSFESEADGETDQEDTTMPDEKTPLCLPLTQTPREGTNESVVHTRHSNPEGSVTGRD EVEKENTREQHEAEAEPKPEGRQSPLPLTNDARAAYMLMKLHMQEAVSNNTAALDEDP ARKKRRASA TRV_04247 MTSIASLLNPEPDSTKKNQTVLAPGSSPGNERGSQSSPPAKKQK VSKDAAVFTRGRVRGPLKYPPCEWQDEKLAEAHKLFEIHPMGHITEFPRHIPYNSEKK SFLEKTGRESFEGNVSSIFVLYPSLKLTEHSISI TRV_04248 MASESDFESDGFSSDFAPKPKAKAAPKKAAAAPKAKGAPKKLTQ TTLKPKTTSKATSSKKTARPDPEDELDSVAEDADSDDADALDSTPPNASKKQKAAPKK AASKPLANVENGSFATETVEGGGSSEKYQKITQLEHILKRPDTYIGSVERTEKHMWVY NSTTELMEYREVSFVPGLYKIFDEILVNAADNKQNDPNMSEIRVTLDKEAGEISVWNN GRGIPVEIHKKEQTYIPELIFGHLLTSSNYNDMQEKVTGGRNGYGAKLCNIFSNEFTV ETADSKQKKKFKLTWTNNMSTMGKAKITECKGDDYTKVTFKPDFAKFGMDGMDDDFEA LVKRRVYDMAGTCGTAVKLNGTRIPIKSFKKYMEMYTKAIKAERGEDPTSASDKNDII TESPDRRWEIGFTVSDGSFQQVSFVNSIATTSGGTHVNYISDQICNKLADALKKKNKT GATLKAAQIKNHIFLFVNSQIVNPAFTSQTKEQLTTRPSQFGSKCVVSDDFLKKVMKT RVMDDILHFAEKKADQILKKTDGNRRSRMNNPKLTDANKAGTKDGHHCTLILTEGDSA KGLAMAGRAVVGPDLFGVFPLRGKLLNVRDASVDQISKNAEIQNIKNFIGLQHKKEYT DTRGLRYGHLMIMTDQDHDGSHIKGLLINYLQVAFPTLLKIPGFLIEFITPIVKVWKG DPKNPTHSKSFFTIPEYEEWKEAHAHDKKWQKKYYKGLGTSSTEDAQIYFQDLDRHLK QFHTLQDKEAELIELAFSKKKADERKEWLRQFKPGTYLDHSTDQITYTDFINKELILF SMADNIRSIPSVVDGLKPGQRKVLYTMFKRNVRKDIKVVELAGYVSGMTAYQHGDNSL HTTIVGLAQTFVGSNNINCLEPSGNFGSRLQGGSDSASARYIYTRLSPFARRLFHQAD EPLLVNNVDDGKVIEPETYVPVVPLILINGADGIGTGWSTSIPNYNPEEVVDNLKRLM VGEELVPMKPWFRGFKGEVSGSGDRYKFSGIIKQTADNEVEITELPIRTWTQDFKDKL EEIIKAEKVPSFIKDYKDYNTHTNVHFIIQMEEKHMKKALEEGLEEKFKLVKQIATSN MVAFDAEGRITRYETPEDILRAFYAVRIKLYEKRKQYLLSELQTQLDKLSNQARFVQM IIDGELVISKKKKAVLIQELQEKGFKPFPKVVGTPEPGEAGDVEEEEDEEEETTTAAV SSDAYDYLLSMPLWSLTQERVDKLRRQIGDKEMEVDALIKLTKEDLWRKDLDEFISEW RFQLEDEDNRRKKIASRGRRLSSKVKTGSRATAAKKRKAGSGDDSDFDVPKGKKATVN RIQPKGGLLDFLSKAKPKPKATSRVDGADDSDDFEDEVMPKPKSRGAAKEAKAKPAPA PVSKGLSDADFLDIDSMGTDNPKREPSPVEKPKVVDDDSDIEMIPKPTTQRSARNKQQ PKKYHGFSTSEDEEEDDFDVSAMVKGIDKKKPNTVSTGPTLFSEPSRPAGGNSKLPAK PAKETMEFDADETDYSKLIPQNSPRRSLLVKPKENKVFSDAEDDIEDEPKPVAKSTAK AKASSSSKSTSKPAAKTSTTSARGRPKKAVAAAPEKEKKALQLSPAAKVYASKKAKAK KIVDDMSDDDIDAMANDILDSEPEEAVKPSSRTRPARRAATTAKKPVYALDDDSSEED GDAAVSSEDFSD TRV_04249 MRGKTPRTARKILKTPREARVPLSDIFSSGHNARHSSGPTNRFT NEIAKLLTSNEKLRSPLPSTQNSNPNYNTDSGYHGMPDSLPTDTNEPDHQMEVETATQ VIEDSTILPVDEGPKSPANVRRITEDSFHSAREVAPSKENTVEPMEFEYSRYIPKNTA LPKQHPLSTSPRQEKQNESQNRPQPLASPHQPEAKEDLDDPNFDDIGSPSDGPTPVRA PIRKSSLTFASLPAREPLTTKRSMGGRMSRTSHIDPPKMNMSIVGQPGYLGRQTGGNR LTQMLLDNEKNNKPLESNDKAGTDDSADNDHDSDAENKAPKRLNKSSTQLLHEKINML GKTQAPRTTKSIAPAPSLGTQQLSYPDLPPPTAASSNDNTQNASISRPSSAMEAAHGS PQRLPPTPNHFTFPADKFQPEAQIYRDTPSPASRAPSAHPVSPERRSPGPKPFRPGGF FHSKSSSVPSFPISPRPGTAGSAQKAPSVADTPGESTTPAGSPKRFDGPLSASKSKLQ SLMKSARGLFGSSASVSATAKLETLSPTWQRTQASTQNQPQATATDPPSPAKAPRTRG SIEREHRRKEQELKDRKQMEEQERVREREKLHPEPTKPANIPSKPQILDKVEVPQHSS ENLPARRVEPVPKAEPARRELEPSTEEGRGANTHAASQSHPLPNEGRRPAKAIREMRK PKPQPVNIRVGTLSSQRIRAESATPAPPSAREPTVQQTSVSKKASNASLLTTASSSGS LKSSASSASSKSKALLAVERKKEQVNASNTILFFISSDTYLSEQEQREAQRKLDQRRE VDRKRLAQQEEASRQLQQDKIRHEREQSVTDDPKTLAKKQAIEKRRLENAKRLEQQRG RQQTPSNDTPPSRPHNYPPPNPAKPPKRAHEEQNTVRPPATKAGSVHQLDGKRRKTEE EIVAPEPTAVRPAMAPPIRQSNASKLNNFPSVSKYAAAPPVNSMQGCPPIFKNPAGAQ KPFQTLPHQTQGNRPAHPLEMAKYANGRIPFAEPNNVPPQPTSAHLKTPGQNKHVIPK SSPAYPNGENIKLPDIPTDSEDEDSDAEPCHVPDWAKEENLHNILVQQESQDGEMVFG PSAPLRMEEIFKGNKERLRKFRDRTSSANWNGPDGLTQDEIKWDMAEREKLKNNGGWV FSPH TRV_04250 MSLPSAKHFRPDTAAAAAASHTPSEDRQPSGDVTMYAYDEAETD PEAAARAMLPVSQVQTDSAEWQETIEKVVRCVVSIHFCQTASFDTDLSMASQATGFVV DAKNGYIMTNRHVVCAGPFWGYCIFDNHEECDVKPIYRDPVHDFGILKFDPAAIKYMP LTELKLRPDSAKVGVEIRVVGNDAGEKLSILSGVISRLDRNAPEYGEGYSDFNTNYIQ AAAAASGGSSGSPVVNIDGYAIALQAGGRADGAATDYFLPLDRPLRALQCIQNGQPVT RGTIQTQWIIKPFDECRRLGLSPEWEAAVRSAAPKETGMLVAEIVLPEGPGDGKLQEG DVLVKVNGDLLTQFVKLDAILDSSIGQDVTLLVQRGGEDLEVKCQVQDLHGITPSRYV SVAGAIFHDLSYQQARLYSIPCRGVYVCEAAGSFKLENAFSGWIIDAIDKRPTKNLDE FIQVMKTIPDRHWHPQMRLAERNDATGLWDFTDLADPLPAEPPVPRRADFIHLNGVKH AAANDIVRSFVRVSCTMPVRLDGFPQARTHGFGLVIDAEKGLVIISRAIIPFDLCDIN ITVADSIIVRGKVVFMHPLQNYTVIQYDPSLVQAPVKSAKLSTEYMQQGAGTLFVGFN QNFRIAVTATTVTDITTVAIPPNAAAPRYRAINLDAITVDTGLSTQCTSGVLLGEDGV VEALWLNYLGERTESSHKDVEYHLGLATPSLLPVLSQIQSGAIPKLRILDMETYVIQM SQARVMGVSEEWIRKVAIANPARHELFMVRKVDCASPSSTDTRPLEEGDIILTLNDKL VTRVSDFDAMYDQESLDALIVRNGEEMKIQIKTVPTEDLETDRALIFCGAVLQKPHHA VRQQISKLHSDVYVSARSRGSPAYQYGLSPTNFITAVNGVKTPDLDSFIQQVNMIPNN TYFRLRAVTFDNVPWVVTMKKNDHYFPMSEYVKDPTSALGWRTISHTPDRKRRDTYDT NNPNADAMMEDRDVEIGDVEPEEDD TRV_04182 MASLLRECSAKSSMALCRSYTAPGAQSRLFSSTARMMVGPEAPG YIDVPQSIQPDLPRRPQVKGTLPVPRELFPSRRPDKPTESYIADATPLPSSDTPKVAP GHPQYEQLEWRRKMAGVRRKHLREGLLELHERKKSAHQRMVARSNAKEKRRTQILNQP ERDDDRLTASTTVSAMKPMKCKVLPNPGAEERLARSMAKVKDIQEARRHDVVNSIHEL YLNAQQFIVNEEQLNAEIDRVFPIDNNPDWANGRRTGENIWNLGPPPTMAVLGNQTKD ELTKWETVQRRTKRLAEELTGSKI TRV_04183 MDLPICGTCGAQYSSSSVKSCKICDDPRQYVPPQGQWWTTLRSL QESGKYHNVFHEYEQSGLVSIVTVPAVAIGQRAFLCRTPSGNVLWDCISYIDNETVRH IQELGGIQAIAISHPHFYSTAIHWADAFNCPVYYSAEDEQWIQRFRDGGPLRVAAGSE LQSHTGTHQILWEGEQLSLIDGKIKVLKAGGHFPGSAVLFWEDEKRLLVADTIMVVPS GVYHVDRQPGTTSYTFMWSYPNLIPLFPDAVHLIWKTVAPLDFEHVHGAFNDRETIGN SKKRVLESAQIYVKAMGYTDHPIHREAV TRV_04184 MGSNALSIPSRPIEERATKDCPTNLSGPFEFPHLIIPVNSSAPT VAKGTSYYGEVSATISSIFNFDISPQGPNLCSLVFLFPSKEERPSWTYTFEGDGKVSM ARLEGPANNGTTYENAPKVAAELGIQTLAAGKSNVIATFDCPLGQAIAFKMSNAGSTN LKYFQDYGNPP TRV_04185 MGKYRLKDRLWELNCGILGLVLAQGAAFVITGALKNACGKPRPD LIDRCKPRTFEQPEFGLSNYTICTQTDHEILKDGFRSFPSGAYNYQSLWIEEGPFLLR TNFSFLVLGHSSSSFAGLFYLSLYLAGKLHVMDSRGEVWKAFIVMVPTLSAGLVAVSR IMDARHHPFDVISGSLLGVGCGWVAYRQYFPSLAEPWKKGRAHPIRTWGSIPEPPVYT HRRLLEYENDQMKLVPRADEEYQAAQASQEQAQGHEVPESAPALMSNPFEHQKAQRRR RLADTDYGASSSESEGYEMQQTRYEPRHHQGVADTSSDAAPRYAAYHPPDNRASSNSP PLERHPASIAKS TRV_04186 MAELVKDIEKDGEKKKKKKKKKKLRRREARSLFVLYRANSCVRT ALNNTVAGLPARLKHQAREVEQKCQTGRSGFAACCLMR TRV_04187 MPVVWDDKADALLLASILATASAKVDNAAVAKMMGGEYNALAIK NRIARIKLKAKAPSSDTETPANTPQKPKTARPAGEKKRKVKDETETEQSEAADQQAPK KGRRAVKTEVKDEVKEEDGC TRV_04188 MFSSFFFICWRIGQLITLIPPIGMLVSRPSHLTMLEKLLPRYSL LTSASQAWFIDGFVKANIITPTYILVLFIVSVLGGIWVLETLFRFKNTKRSAIFVSFI DLCFFGALIAGVYLLRDIAQENCSNFSRSKFLLSLGPFGYYGQQQRNPLASDPNKVCS MLKASFAFGIMNIISFFWTAVFAYFISHHEEHHERRSRRGSHSSRRSSHHRHRSSSGR RNSYHV TRV_04189 MVSIEDSPSYYKEPVEKIRADQYPLLKDTTYLDHAGTTLYAKSL IESFSQRLTSNLFGNPHSASSSSQLSTSLIDDARLRVLRFCNASPEEFDVVFVANATA GIKLVAESLRDYEPGGFWYGYHVDSHTSLVGVRNVADRGSRCFMADNEVTSWINELHK GYNTSESAHPTLFAYPGQSNMTGRRLPLSWCQEFRACTDNNGKQIAFTLFDAASLAST SPLDLSDTACAPDFTVISFYKIFGFPDLGALIVRKDAGHLFLNRKYFGGGTVGMVLTI GEQWHAKKDSALHDQLEDGTLPFHNIVALHSAFDVHEHIYSSMDNISRHTAELARILY SGLSSLEHGNGTKVCEIYKGPGEYMERALQGPIVSFNLKDSTGSWIRKSDVEKLAAVK NIQIRSGTLCNPGGMAYYLGLKADDMKRNYNAGQRCGDDNHIISGKPTGGLRVSLGAM TSRQDIDTFLDFIRNFYVEDPVANEQKPRDGVLPPSVQALPSQFYIEKLCIYPIKSCG AFTIPELMEWDVKPEGLAWDREWCLIHQGTGSALNQKRYPRMALIRPVIDIARGILQV TLPTPGSYGDSMEIPLSVNPTDLVEGEICKNIANQQSTVCGDTVSLQVYKSTRLSAFF SDFLGVPCMLARFPSQQNHLLARFSKPYRNPGRGLIHTLNTMDKIPGSFPEPVASGSQ RPILLSNEGPILIISRSSVNKVNETIKSSGKPNSTSKTVAADVFRANIIVCEKKPALT PKPTASYRSSAASEHPYIEELWTRFQVGGAHFDALGSCQRCQMICIDQQTATRSDEPF STLAKTRKVEGKVYFGKHVCLSNASDDKRLTVRTGELVTPFYD TRV_04190 MTTQAAVSLVEGLPLRLRNFFARYPPQYYSSQAIPKVLPQQETF SSSSESSAVIKPAPSPFASRNTKVKLSKTKDADSVSYTDSLLRSDPSGLYPNPFLPYK NPETGRWRGAVISLRRQAELVKLGIKYGVEELLPPGRKSTEYKHARLIEKGLRVKGTG IGQKVKGHKWERSMKGKLEERKKAMLEMPEMIRLWKQVRLHIRFCIA TRV_04191 MADLLFGGTDEENEELKKLYAEVLEDTDSFEAWEKLVRAAEGQE GGINRNSSPQAITATRTVYDRFLAKFPLLFGYWKKYADLEFSIAGTEAAEMVSISHFM LSENRIC TRV_04192 MHQYARYFERYRQLAQTRPLNELLPPETLAQFRAEIENAAGNVP PGSRSEAEIERDIRLRADGHFLEIFSRTQTETTKRWTYESEIKRPYFHVTELDEGQLS NWRRYLDFEEAEGSFARAQFLYERCLVTCAHYDEFWMRYAAWMSGQEGKEEEVRIIYQ KASSLYVPISRPAIRLHYAYFEEMASRVDIAKDIHNAVLLAMPGHIETIISFANLSRR HGGLDAAIEIYKTQLDSAECDIQTKAALVAEWAKLLWRVKGTADEARQVFRKNQHWYP DSRPFWTSYLMFELEQPTSAETEPAQYERIKQVIDDIRNKSSLPAEAAKELLQLYMTY LLERGSSEAAKEYITLNREVNGPPSVQSIMKSSLSKETQDKLVIGQQSPNSMFRPDVS VPTPTV TRV_04193 MTATSVTAALLIAVSVFFAMRRLRGSPKELKEPELIVRSSEAAI RLNKLPASALPEDVVILPQNAATFRKSMNTYWAQQECEVVPACVVRPRNIDQLCAAVT ILKGEYDRRRRSEDGEGSIKEGGLFAVRSGGHSAVSHAASIEDGVMIDMSLFCDVTPS KDGSSVVIGAGARWGPVFEALAAKGLAVAGGRNSHVGVGGLTLGGIRVSFPSLLCSTR ANVNGIQLGGISFFSQRVGSVCNNVLSYEVVLASGTVATASPTSNPDLWRALKGGGNN FGILTNITLRCFPSTDIWSGFLYMPSSSAQKALHAFHDFVHRAHPDNTGITYDRHASG PIACFTYLQQLGIQAVSVNLVYTKLPEKKNKWPECWETSGFKSLWRFWSTCKVRPLAD ACDEMNSLNPPGRRQVLATTTIKNDMATLQAVYAAYKDAIAPIKQANIKGMSWTLVLQ PMLANWAGDGCDGNPFGLADTKEPLVIVSFTVNWIEKSDDKLSEKLTRDAIEQIDAAA AANGTGHRYRYMNYCGDWQRPFEGYGEENAQLLRDISKKYDPEGLFQRGCVGGFKLDI EYS TRV_04194 MNREMPYLDSFMKETSRLSPGPIGKSALSSSISGMAVEKNHYLT PTTVSAPRTVMVPYTMEDGCHIPAGNWIAIPQLALMRDEKIWPNGKEFEGFRFVDEQG DASESRFTHPSHEFPFWGSIKHACPARFYVSVVIKMVLSHLLLDYDFRLENPTAAPFL TFGKVRVPSPFMTLLVRKRSTGSRV TRV_04195 MFQAGGKPFAIPMLDRWIIFVSNTETLKQLDREPEHVLSLQQAL HESEVSRVVVGVLKNKLRSNIPLMSDTLRERVRKSMALEMSPPANEACEKNEWRQVRL MPVLLRIFTSVNLLPLVGEEQVKLTEDKANRPEVYDDVMNFFWSCARAFPIVDMMPSF LTPFVITPLNYIEI TRV_04196 MSKTPDASALKSIPPELLSTIPGGKPPPGVTPNFDDPVSQVPVI VGVGTAFLAMACFCFFIRMYTRLAISKTWKWDDSIQSRILCGDTKCYITVITATPALG LIKLSLFIQYYLLFKVRRYVRISVYVGATLSGLFYIATSISSFVLSSPWPGESILDCI LSWHYLKFAEFSIPTGIIGSLVDVVLFILPMPAVWQLQLSTAKKIGIILVFMTGGLAV AASGVSLYYRVLLQNDVSDASWKVGYVLLWTEIEMFAGITASSMPAVRQFFSSRTGLF SKNSRPSFTPTISMINRSKRSGHEELINQKDMAFRKWGYPVKNDEESLNRSEESQSSP RLNGASPQPQNLSR TRV_04197 MDGITDSSYGSIEPPQPPPEPVERPPTPPPPPPADHSLPPPPPP DIPAPPPPPDTLVPPPPPPSEPKKKKGWGTTKAATPLSVEELLRKKKEADEAASKPKF LSKAQREKIALEKRMKEVDSVRRAKSAANGNSGSDSRTPDIETNGYSSAFASRTGETG RNDGSRHIPTGPRALRHDAPTGPASMRSNQKGNDKNQQSSSASASGNKGEKRALPEDA QAQLIRQRYMGADQRSSFSAKKKRRRTTERKFNFEWNAEEDTSPDYNPLYQNRSEANF FGRGRLAGFADEVVDDSVRRYARALEDRDLEAGSVRAREILEMERRRKEESGRNAIDA HWSEKKLEHMRERDWRIFKEDFNISTKGGGLPNPMRSWSESGLPKRLLEIIDSVGYKD PSPIQRVSIPIALQNRDLIGVAVTGSGKTAAFLLPLLVYISSLPRLDEFEWRRNDGPY AIILAPTRELAQQIEIEAMKFAAPLNFNVVSIVGGHSLEEQAYNLRNGAEIIIATPGR LVDCIERRILVLSQCCYVIMDEADRMIDLGFEEPVNKILDALPVSNEKPDTEEAENAQ AMSQHIGGKDRYRQTMMYTATMPSAVERIARKYLRRPAIVTIGNIGEAVDTVEQRVEF VAGEDKRKKRLAEILTSREYRPPIIVFVNIKRNCDAVARDIKHMGFSAVTLHGSKTQE QREAALASVRNGSTDVLVATDLAGRGIDVPDVSLVVNFNMATNIESYTHRIGRTGRAG KSGVAITFLGSEDNDVLYDLKQMLMKSSISRVPEELRKHEAAQSKPIRGMGAAGQKKI EESSGFAGKGGGSGW TRV_04198 MLSRAAGLRRGPSLLRPSAPSLSPAPAASSLSSSSSLSAISLLS LSLSPSLSFGITQPFRRSFVRPIRPATSPRPPSSFTPPTSATSATSSLPLSLTSTTSS PSARLPRQFKRFCSYRRMCHARRGDDCAAGSMPVAAQGREILPDNVKPLHYDLTLEPN FEDFSFQGSVQIDLDVVKETSSITLNALDITIDTAALETNGTEIATSSPVSYDKDKQT ATITLGQKIAAGSKARLNLKFTGTLNDNMAGFYKCSYKDANGNQKYMASSQMEPTDCR RAFPCFDEPSLKAEYTVTLIADKDMTCLSNMDVASETEVKSTMVSHPRKAVKFNKSPL MSTYLVAFIVGHLNYIETKAFRVPIRVYATPDQNIEHGRFSLDLAAKTLAFYEKAFNN EYPLPKMDMVAVPDFAAGAMENWGLVTYRIVDVLYDEKTTGAATKERIAETVQHELAH QWFGNLVTMDFWDGLWLNEGFATWMSWYSCNVFYPEWNVWQTYVIDNFQQALSLDSLR SSHPIEVPVKRADEITQIFDAISYSKGSAVLRMISKYMGEEKFLEGVKAYIKKHAYGN TTTSDLWAALSEASGKPIDKVMDIWTKQVGFPVLTVKENKENSSITVQQNRFLRTGDV KAEDDKTLYPVVLALKGSDGIDQSAVLSQRSEEIKVDLDFYKLNADHSSLFRTCYTPE RLEKLGEDAKAGRLTVEDKAGMIADAGVLAASGYQKTSGSLSLLKAFDQENEFVVWNE ILTRLGSIRGAWMFEDEETKNALKTFQRNLVSQKAHELGWTFSDKDGHVLQQYKALMF SAAGSAGDEKVVAAATEMFKKFSEGDYDAIHPNIRGSVFDIALRNGGEKEWQTVFDRY KNAPTSAEKNTALRCLGSCEKPEIVQKTLDLTLSEESRIQDIYMPMSGLRSHSAGILA RWKWLQVNWEPLTKRLPPAFSMLGSVIQIACASLSTESQLKEVEEFFKDKDHKGYDRS LEQSLDSIRAKAGWLSRDRGDVESWLKTNGFKA TRV_04199 MQLIYIEREVFLYIKNQPDMSRQREFTAQLTKKERRIEPGETSG GRSKCTSKSRPCFLALRAEYQLHQAVAVFNLHLRLLYVFSFGFFFFHLQEKISSF TRV_04200 MEDYLSGISIFLQWILLYLLVSLWTWTKMLYRMKTEANIRYIVG KSTCSEFGGGDCGTSENNTDVDTVVIAKGRQIGIVSATFLIFNRIIGTGIFATPSAIL AQTGSVGMSLVVWIIGMLIAMAGTAVYLEFGTAIPRNGGEKNYLEYVYRKPKFLATAI YASYALFLGWAAGNSVVFGEYVLHAAGVEVNRWNQRGIGFGCITVAFLVHTIEMKWGL RLQNTLGLLKLVVILVIIVSGAVGLARGTPGNAPNNFSNTWGDSRPSVYGMVTALYSV IWSYVGYSNANYSLSETRNPIRTLKIAAPIGVTLVGILYLLVNIAYFAVVPKEDILGS GRILAASFFRNIFGPKAERVLSIFIALSAFGNVMAVLFSQGRIVQALGEEGVLPLSKF WASKRPFNSPAAGLLEHYIISAVVMLAPPPGDAYIFLLNMISYPLSVVNVLVAAGLMH IYLRPSKYPGWAPGIRATLPVTGFFLVSNIYLTVAPFLPPPNLKDNIYAYLPYYSHCV AGLSVFVIGAVYWVVWAKLLPCMKVYEIAEHSFEEENGATRMVAVRIPSAAPSAPDEK RKESHFG TRV_04201 MATPSAESSRRAKAVVDDEQMNLRIGHYTRLNEIGRGSFATVYR GTHNEYNTFVAVKSVTLLRMTQKLRDNLKLEIDILKSLQHPHIVALIDCYETSSHIHI IMEFCMLGDLSRFIRKRNSMAKHELLRDMMTKYPNPPGEGLHDAVVRHFLKQLASALQ FLRAKDLIHRDVKPQNLLLHPSPTICSKTLIQSVSYKGSENSFTPIAGVSSFPMLKIA DFGFARSLPSTSLADTLCGSPLYMAPEILRYEKYDAKADLWSVGTVLYEMVVGKPPFR AANHMELLQKIQLTKDRIRFPRETPVANDIKKLIRSLLKFNPVERITFPLFFGNSVIE GDIPGLVGEDLEEQIERNAARERQRLEGDDLEPEGLEQEIPDRSDTQAEDMDDEVEET RNKAPTAAPTLAPAPATERTETRPGPRPLVEHNSRPGSAVSRVDGRDKYDLQRATGRH TEDSPAIPSTRNVHPVSEPVVRQSDNQRSSKSSLTEQISGPSQTARSQNAKQLSEIEK ERAAQDIAFERDYVVVEKRAVEVNAFADELAASPRVQQQQLNSRQSGAIVRRATTTAT PHSLKTKHTPQPDTQDRQTKPRADSTHNRRYSYDRRYGPNPISATSAISKALNMASGR LFGVSFSPPSALTKGGRSPPLGYSPFPTYPVAQSSLVVVDEKPQHLSHDSKTVHIIEE CATRSDVVYGFAEVKYKQLIPATPSNKSDSTIKPSTMEHSPDDDGLTLDAVLTLSEEA LVLYVKALSILAKAMDIAGAWWARKNREESIGSGLPPSKTEKDIVISSRINNVVQWVR TRFNEVLQKAEYSRRRLLEAQKQLPPDHPYRMSHEVGESGSLPSFDQSSDQVIISSGI TAEKLMYDRALEMSRTAAINELTGEDLSGCEIAYVTAIRMLEAVLESDDSSRSTKDRG TADGGGSGEPGMEDSQIQGEDCEVVAKRKGTFFFFFFFATLMLYCIANCFPAVVESIR ARLRALRKKMALMAKRTSAPPAVLSPKLPPSHPRPASPAMAHSPSK TRV_04202 MEMGWGYFSRSAKSHGGIMYLPGCPELQVKLLDSSSMTLEAQGG ALLCWMIGFSQGARRVMAAAGTVVDAPRGKTASLDEERRRLMLAMRHGEGLRRTGKQL CMVNVDGSLAWTAFCVPRCKDGLPRTMHGWQMRHTHAHAHWCTCSVLGMRPLLCGIQT TRV_04203 MAAHELTNEGELESPLDIELQQEEKSAQHYGLPQRSPHLYRRPD QDNATTTTPTTDSGESLYAWRKPFKTPSDSGTEADDEANGALRRLPIPLVSRRIEYQF KRGDDEVTTKERKKRGPPVGSRRSRRHIVDSPDSGELEFHITIGARLRIEVLRRICET GLVLGVAFAVVLRRSVRSVVKTWFIELSVFILLILGLYAIFPLRKCPYGSYRTKLKRI IAFPFFKDIDPAPLIYPILIPVLTALSLGPSCDSLVLLNIILSLSSLPAATIPLHDSG TYSVVHWMITAIPLLISENLPLSRSPKEAMAELGLEPELLVLIFPLQKSTISIIEYLV TSSLLPSEVQLLGTALINVLLVSKSPQAQILKALIWLGSLCIFIACKRVLLWEATLAK IPLWKFRRASTQTSDDDHILGALDRQVCHTLNHPFSEDQASESEVQVDVDRSRSRRTS VLYNNFTKLLATEVDLDKGLFKRGGLSQQPLSAMENEPRIEASLDKLDDQTQPSRSDS QRFLSSKAGEMVPSRRTTTKGGRRKRQLPPSMRAFLSLTMEQATIRKWAYALYVYAMV LAIILVPVRWYVGKYALGGREPFGWAIGYLFGNIPSVRLTLLLWSLEVWACIPPRIYD ISASCHLGWVEHLRRDTLGEANTRLFLCGYCILILILGLSLVHRLSRVVEVDTRRKIF HGMMVAMFFPTVFIDPAFVALSCTLVLAIFLLLELFRASQVPPLARWLSYFLAPYVDG RDHRGPVVVSHIFLMLGCALPLLLSLAGTFHQGTAPWEGWDIDGRDVSMVSGIVCVGM GDAAASLVGRRYGRRRWFWGGDKSIEGSASFAAAVFIGLVTARVWLVGGGWEARVDWI LTIVKSAFAAVASSFMEAVLTGGNDNVVVPLVLWLLVRGLRL TRV_04204 MSDVGAKALSGEWERISNRLLEMKEDMIMSFEGRPCNIVDTENN LVEHLKVSKSEEVEKVESFFNPHPDSTLSGRIQRNQVCDESTEVVTKMEKLEPIAFTK EMDESAGNLGPRTEQSALGSLYYLINYGFELYGDRCIDPDNPREHGFKMASLLQSMIF PELKGDPFIDDIIHKCWHNKYRKVADLAEHTEALLVKESSAAKDTEAGSSEDVTWKKV LCQDLENCGLLEFLCSDEPVKLCFPLGWYIYSETADGPSEVEVWFTFRFL TRV_04205 MPEQLNVIALISGGKDSLYTILHCIKNGHRVVALANLCPPPRLG QEKVKGSLGSEEEDKDLDSYMYQTIGYSVIPLYEEALEIPLFRQEIRGRAVNTSRDYH HQASTGRTEQEQDETESIYQLLQRVLQAYPEANAVCAGAVLSTYQRTRIENVALRLNL TPLAWLWMYPYLPAPSHCQNAATTAARVPITGLLDDMAACGCEARIIKVASGGLDESD LWGDLVSQDGTVRRTIVKRLGRFLDEGIEAAVLGEGGEYESLALDGPRFLWKKRIHVG SMDGRVGDAGVAFLSLKGARCVEKEAFGNVTECTLDDVRVPRMFDDEFRKLLDTVKLN EVKRAVPIYRKKEDKRCGRCMLQYKESRGQLNVYNLAAPEAGSGASKQMHAIKDKLAV LLGVQKKKEGEGLTPDDAIFSTILLRSMDDFSAVNAIYSSLFTQPNPPARATVACGDS MPTGVDIMISFTFYLGRSTVLQALHVQSRSYWAPANIGPYSQAVYAPIESSSGQILAA GPVYIAGQIPLDPSSMQIYSPTGESGGKPSLFLSQAALSLQHLWRIGRAMEVRWWMGA VVFLSAHAGVRSRAAVAWDMWKWMNEDHHTEDTEEGDGDSSTFDVWHVKYGGQYSQPK KEVTMLPIPDFDIVQGTSVIPSFFAIEVDELPRGSSIEWQGLGLKGSDVTLSYDQVDD LRVLHASGSEFGCYTSISIVAKHDVDLEVSLRKAVQIATENLPQGGVFSHMALYTPHG AEFDAWDGQVIPCKAVYGCAAEELVAALIFQTSPP TRV_04206 MIEEKEGMYVSASSDITNEISQAILSNILLTMDPACVAQQAQPS FYYYNPEPESRHGQHAFFTPHPNEYAQNQMMMVQPQMPQQFTQMQPQMHLHPSDQHNG AQFRTLGAKDYLSTPNAANSPSPSPQPMHIKPSVFLHGSPTLLSLDTNCGWADANGFP STPPLSTSGSSISSPPSSCGMTNTPVGGDSFRMEGIEGVKEGCETDVELELLANSHWH RSASPEMTPLYVCPFSVHSNASLPSAEASHHNANSSTERGSSLTSSSSRSSSRSPIMT SSSSSSSLLHHETPTTSFSAQQPSSTDFCDPRQLTVDCPVLSLPVPDYPPLPPLSTVD EDRSRSTLLGGSLKPEQSLTVPGLSHDDSLESLSTFDAISDLDSEDEFVNGIVNFTPT ENGFLLGEKRRRTVSNANNGTATTVSHEDDIISEQGLDDLEESDLFARSCIPLPEFDT AEQCNIAEDMRTKKRVSAVGRRIKQLPLGSEESDADSLGAIMRTAQSNVNNRASHTDS SSTQAQQQSGAPSHESSETNPQSTTSSETPAPTPMVPVGRRGRKQSLTEDPSKTFVCT LCSRRFRRQEHLKRHYRSLHTEDKPFECQDCGKKFSRSDNLAQHTRTHGGSGMPMTMS DHHPESSPFDDQDAGALGAVLYEVAQAAANKSTTSESSDSGLSTRDNQSAPFTNRKRP LKKRKREASE TRV_04207 MPTFVVYHHGEILREVKGAEKDALTTAVMAGVQVANGEVSKASK ETSSDDGSTWLGAPAPRTYQDITDEVEVKGIDMLNRDDEAGPARSLFDSQIPSTLAAA NAKGKENEPTTPDWVLSDTDEQLIVFIPFQSSTKVHSLQITSLPPYSDDEEDAPMRPR TIKLFTNHTHIIGFEDADDSKPVQTCEISPKDWDPKTGTATVELRYVLFQGVSSLNVY FVDGDGDGEKIRVDRLRIFGEKGEKREGVIEKVGASGN TRV_04208 MAPPDPSHGGISHYEILGLPHPPVSLRKQDIKAAYHRALLQYHP DKSGPSIPLSSPEEDIGKQNSLSGTLNTPKSAEPRTVDQITTAYKVLSSPAAKAEYDQ FLLLSGIPRTRSNIERNENDDVVFRTGLEVLDLDDMATETVSCLSDGSGGQETIETWY RGCRCGDEKGFMVTEEDLEKEVEKGEVIIGCRGCSLWAKVVFAVREDIDG TRV_04209 MAVSILRPLPPTQTDGFGGILPPSPRYMIIFPIALSDNSSWYVT NAYGSNHGSAMGAFTILHASITEYLIFYGTPIYSNGHSGLHLADDYFVILNGTEKAYR PGQLEATTYWPGDVNHLPRGQSIHYAMDGWALELAQGWIPSMLPFGLVESFTSNLDFV NLWKTCYLTAELMGRQLGVGKF TRV_04210 MASAARTFSRALARGATPVTSVRPSATRNAARFSLPAQTYRASW RRGYSTASEPQKSSSGMYWALGAVALAAGGTYYYMNNESFAAAQKPFVPTKEDYQKVY DEIAHLLVEKDDYDDGSYGPVLVRLAWHASGTYCKDTKTGGSNGATMRFDPESNHGAN AGLKTARDFLEPVKAKFPWISYSDLWTLAGACAIQELQGPTIPWRPGRKDNDASACTP DGRLPDASKDQKHIRDIFGRMGFDDREMVALCGAHALGRAHADRSGYDGPWDFSPTVM TNEFFKLLLSEKWVNKKWSGPAQLTDNKTKTLMMLPTDMALIKDREFKKHVERYAKDS DVFFKEFSEAFVKLLELGVPFESKPEDRFVFKPSE TRV_04211 MESPSDENPDYKQPGVHDLTSGDVENETLGDDNCSDFVNSQYWP GLDLPPLTNGSTRGTNDESIPQEHQADEIYEYPPRHIESGDTGPPGTGSNLEGVSTWQ YIDMLRDLTTISVSSGTPHPSLDQYIQDRCLTYSLLHRLRQHSRELWRLNSRVQSIKQ ERPISSLESFWNTVGD TRV_04212 MALLPDTAEEDNTFFVELAANIGPSMALPTVRLKPKAEVIHSHT KPFQPEDTTIYVRDEIKRITVVWNEWLDAVADDQHNQSCKLIFRGSHDWALQALDMVC CFASTAAHIPSIGDEDKANNPNTMLHCSVRLFFAIYLMSMPLKVSGMGIESEKERIEV EYQFKSKARNILENLISKISKNLDNLICKKRRQYIGHILVTLVFLSLSCHFNQSIRLR LAGIAGNESSAKADIDTMNRNIHCINELVYSTFRPQIERILKPRKQGDNNQDQLSTST VELRQINSELIWGLWSTVTDISEYTFSLK TRV_04213 MRWTSRLSFLALCVALLALVAVGQQPPSRHARHRRQILSGGDSP TNNGNDKLPLPTSGSDNKSSDENKSTPSPTSDKPSTPPPSPTSDKPTSEPPTSDKPTP TPPPTSDKPTPTPTSEPNTPTTPPPSPTSTPTDAPPSPTGNQPSSTPPAPTNDNPTSN QPTPKPTSTPKSTPKPTTIIITQTYTNSDGSVTQSTISSVSTPPPEPTVGDGGNGGSG GGMSPTTRNTIIGVVVGVGGAIILGGLAIVFFRLRRKRNANADNDDDDLIQTGAAVGS QTHEAPGSSPFKSTLDQYHKPGAVNPASNF TRV_04214 MEKALSDVMPYWNSIRGFLAQLKDSVPTNVLLLLPKSFGTTRKL TVVTEDDFPPIQPLDKFNWETTEPIQLRPYKPNYNLTMALETLEPSELIPIDKEYKDR ISERRRVLKEHHDIALGIHSASSKGKSDVDGEEFPLAHDAICELYEFVMGIYLPNRYP TMFKLIEAKYESCKVFMLQNKVTGEVLPATVSPGRPLVNALETLGKTVDEDMLILLPM GSSGNENDKLREKPSSLKYVLQAYVTCFPSGFNTREKLGKQLNGVHEPVPGYREKIEK SMDRYFEKLEVGKFVKRVNWSITTGAGLFYAFGDEHLATGQGMKQLRLEQLDIDDTFL RCERQTLYRLPKSGAIVFSIHTYRYPIRQLKEEGSGEDLAAAIEGIENGNVPLMAEYK KVPVWGEAVKKYLRS TRV_04215 MTASDVGIALQQAQQGVQGFDFGDHPNMRHQLDPTSPYYNPRQE EQLNYNGGQHGVSHHLGNGFMQNPNIAQNDTALAELVRRTNLAVSLANHNINPRSQFT QVHPMMCFDGRFPTDFRSPKTVESVKLLDVTQLDRILQEYKLPYDTRSIINSTNSHLL RDGRDSISSSRLRQAKLQILFEHLGASRIVEQERQKRL TRV_04216 MGGLAQRDGPPSGADEFLKLISNPFTSKLNYNAFWVSLGTSVGI TAGLALLFSLVRPRNSVVYAPKLKHADKAHAPPPLGKGIFAWITPIIKLKEDELVDRM GMDATIFLRFTRMCRNMFCVMSIVGCLIMIPVNVHYSNRSLGQDKSLFDFMTPELVWG EPLWSNIACAWAFNFIIMYFLWRNYRAIHRLRIRYFQSPEYQKSLHARTVMVTHIPQN YRTDEGLLRLTDEVNPTASIPRASIGRNMRELPGLIKEHDAMVRKLEEVLAKYFKDPD NLPPTRPTCKPSKKDHSGHSTSEPVDAIDYYTDRVRQLEMEIRHVRESIDKRNAMPYG FASWDTIEDAHAVAFAARNEHPHGTTIRLAPRPNDIIWDNLALTKSNLKWKRFMNAIW STILTVIWIVPNAMIAIFLTNLSNLGKVWPAFQTSLNGNPKTWAAVQGIASPAILSLV YIVLPIIFRRLAISAGKKTKTARERHVIHSLYAFFVFNNLVVFSLFSTVWQLFAVIID ASKNGEDAWKALQARGTFQSFVVALIHVAPFWVNWLLQRNLGAAVDLIQMINMVWIFF ARKFFSPTPRKYIEWTAPPPFDYASYYNYFLFYVTTALCFSTLQPIVLPVTALYFGVD SWLKKYLLLYIFVTKTESGGRYWRVIYNRVVFAVILSNFVTGLIVTAQGSWTMVYSLV PLPLLMLGFKWYCRVTFDNKMQYYSRALVTDPEAMASSKSSKKMVERLSSRFGHPALY KPLTNPMVHAKAAGALEKFYQSRQGLGAETGEYSDIAMHRMSSTRPGKSEHTRDRPFE VVAENQLDFSYFKDRPDFRDEFGGGIYGRPDDVFTERSHTPKSFLMGTGDSPSSSRAS SPTPSTYSQQARGSMSFPAHTHSRVPQLSDHPAFQPNEPEEPSFYTAPNESERRLLSH AQTTPFRHDAEMSSIDRWQSHTSEAREPSPSGFTPYRGHR TRV_04217 MEGKEMDAAITRSGLDASHWRGSEAEGADGADDEAEKKREKAKK DKTDEDLLMDCEEDDDEDEEEDDEEEEDEEDVEDEVLLWTLDVYAVFGGLHRLLGDFY GEEEEEEEKRGKERERERKQEEKAGRRSSGAETQQTAGEAEKKQSKEEFTG TRV_04165 MLALERPAREQSYLQQLPMDSSAFSSPLDSLDPQQQALFGAYNN SSSLSCDPYPLMLQQPLALDSPLLYPAKSELRLAPKPSSSPQFLPLSQPGDRYSSISS SASTHSLPSATNSSIGSPYQDQWLDLDVSVGAEQVAMVGEGYPNDFLSNSIDPEMLYA SEKFSTPYVGKYFLDLVFSLSTLLFFHSSSFISYKSCACWSSDIT TRV_04166 MLGMFIVSLSQHALLVVFSSSINVWSSWAFADIRLDRRVGVEDK ELMPMQQSSNFSGVAVSYAEESPYPFISSPAFAPLSPHSPLNISHTPQQQQRPQGVSG PQKQEKLDVNPSFVQQNTFSTPRPFQGRRSSISSIHSRPSARTSPTRNEAEEENEKGR CPHPDCGRVFRDLKAHMLTHQSERPEKCPIVTCEYHLKGFARKYDKNRHTLTHYKGTM VCGFCPGSGSAAEKSFNRADVFKRHLTTVHGVDQSAPNGKKKTPPSVPSGKLSSYCQD ATGKCSTCTATFSNAQEFYEHLDDCVLRVVQQEEPSEAINSRRLTEVASDEAVRDTME RHMLFESNATQIEDGDEIEDATDRQNDSSNSSVKGSLSKSNSTNSKVTKNRVATSRRR NNRNNYPPSWSCPNSKMKMKRRLLCLYDGPRRLWKDEMMLDNEFEVRLKLPAGDCMGR EAYVTDLDIETLKRSEGVLNATEEEKGPWIKDPNATEGLIGPTAVPVIEHPMKLGDEL NIDDLIG TRV_04167 MESSSQAWAGNGASSSAMDIEPAGEHQGRSLTTPHIIVDGRTKF ARLYLIGTCSSFLAVEALKMAVAEAKSGMDVNCYETAVEALSQIAPSDSEAVLDTEWI NNTTKVVKADTNRLERELKGYKNNLIKESIRMGNEDLGMHYHRIGDLASASHAFSRMR DYCTTTSHIASMLFKNIVVAIDRSDWLALQANVHRLRSLQFKPEDEPKCKAKLFASHG LAQLAASSYHEAAITFLSTDPALGDNYKEVISPNDVAVYGGLCALASMSRTDLAKNVL ENKSFRNFLELEPHIRQAISFFCASKFRLCLDILEAYKADYLLDLHLQRHVRTLYRRI RTKAIQQHIIPYSRVTLDGMAKVFAPKEQIRDEQGQLNPNGAFVSELIGLIQSEILDA RIDLEKGVIVTSKPPAREQLHEEALDSMREYLENAHLQILRINVLNAKLEVPGAQAYG SRAEAEAMAAQGASLDDQGQRFSRKGLAAKLGSAFGDS TRV_04168 MPARVWNVEQMIMKLYPVTESGILISTCTAGNKPPWSLPAKAAY GQFDRVRAGKYFGVDDFKVPPFFPPLTILPQRCATYIKQNYPRDRFENTFLLYWKYMF YKHIDLSKPEGMAELLEEANFSKREIDRILASAKTDEIKKALTDRTQEALDRGAFGAP WFWVRHAETGREEPFFGSDRFHFMWEFLGVPFDDVKIRPAEKAKI TRV_04169 MPRFGNKRRLAGVKTATSTQDRFTPIKHHTSLLQRVPSYQYPST EVSGSGYYNNRFQRIPVMGKSKPVALVIGASRGIGRQVAIDLAKEGYAVVVAAKTTSD ASKTVPFPPDPNSPQSTINTVAREINELGGEAVALPVDTRDVEAVKELVKKTAEELYG RLDVLVYNSGAIWWSSIENTPVKRFMLMQQVNPEGLYASVHASLPYFEKNGWKGRIIV VSPPIYSRFFRGKTAYAMGKVGMSVLTKGLAMDFIRQKRDGMAITSIWPAASIESAAT QQSTNMDPSFLKDLRKPTIFSDAILAILKSPPSVVNGLLALDEDFLREHGGVTDFSPY SVVPGASPRRIMPQSLPVLEVAEQDDEGKRMDSTVLRSKGKASSKI TRV_04170 MVGFASAGSGFATRVLPGNLWIFHSLTILLAISRLMLTLEYLIA SVYLPPNTAFNLRCVTLFMFLDSLIYIAFAAETFVVMVKADELPGIGFEDTHLNVRMG LLTLIIIGDGIISVTRIVNRTVGNGWTRWSFVHIFGVTISVYLLWQSYFDITPTEKLG KLRQKMWTCLHFPLHALLILLSEGMQILALTLDVSLKLKRLRDTILSACDITRPTTSD AIDSINRTIASFGIDFTHGAMEEKYAIQGLLWDLRRQSRLCPSEVESGALNIERSHDI MGNVTVALFSSMGITPPDGHTTAKRSEHLLTMYLRMFGFVFLYYFIVAALAMFIFAAF IFLVNHDPTKRVFRLGATGTRVVAGFSLLSIIALVSNFDLAYKYMTNPIILFTVALAL LICLLSDQLWHTLAFYYASFETESGNDIELDAIPTNT TRV_04171 MKIQTERLSKVSSLYTPTITVGIDELTKDAEPAVRKPASKSKRK SKLNLSFGVGGTSMTDDVSDEGEVVIPKKTGIRRNVLDRASNRKHLNSSETLPVRVGR DDDRPSYSKDYLQELRNSTPSTPKNVSRPDTEDEKDKALDIAGKFGEVAKYTRPSAIP SEAEIREKKERRSRLAKEQEYISLNDTGIEEDEDEWSLSKKPLETDTRLVRDDEDFAE GFDEYVEDGRIAMGKRAEIEQKRRQRANMKELINDAEDLSDEDDSETERRAAYESAQT RAGMDGLKRDADEPVTRPKTPPKITSLPTLASKLAGLRMNVVEMENNKTQLVNRLEEL RKEKVEISERETEIQNLLKEAGEKYEKLRAEAGQTATTEKLITGNEVGADRGLDNLAT MSLPAPDTEMDEEG TRV_04172 MPGLVDMQGAPPPSGLGYSVSHQKVELDIDFTSRSIKGKTEITI HPDYKDLKLVRLNFRQGQLKRITVGGKTATSKYVDPYEALQLYGVQYHRRLSAKLDAL SKTPPEPELVITIPKSVRIEELDPFSVEAQTQLSLRATSGGANGTGGADDTVDGNRAA EASLPRFTAITIVIEFTIEKPRDGIQFVGLESRDLRYPHAFTTNSSDTGEFCCLFPCV DDMSSRCTWDISIRCPRTLGDVFSKSPDNGVVNGTSSRTNSSRKTGLSAEDAALDIVV ACSGDLTDDILDPKDQTKKTVSFSCNTPLSAPQIGFALGPFEYVNLSQFRESDEDDQL GANAVPVHAFCLPGRADEVRNTCLPMAKAVDFISLTYGSYPFASFKLCFVDDLRADTL PAAGFTLCSDRILFPENIIDMMYDSTRCIVHSLAYQWVGINIIPKDPTDTWVITGISY YITDTFMKKIGGNNEYRYRQKLLADRVVELDVLRPSLWDMGAILKIDPSEMEFISLKA PLVLYILERRLSKASGKATVSRIISRIFLNARMGDLPNCELTSAHFQKLCERMGHTKL DAFFSQWVYGAGCPRFTASQRFNKKKLVVEMMISQVQSEQATARDLERSTFMRDVKEE IRNVYAGTVQNVFTGSMTIQIHEADGTPYEHIVEIKEAITKFDIPYNTKYKRLKRNRR QKERAAAMSGIDPSSETQDDVLLYCLGDVLQSDEDMEKWRIVEWTKEDESRMGQESYE WIRMDADFEWICKMSLGMPGYMYLSQLQQDRDVVAQLESIQHMAAQREHALISSIFVR TILDRRYFHGIRTAATKALVKHAKQEVDWIGLFHLENAFQELYCLPNSSMARSNDFSD RSSYAVQLALIEAISEVRDEDGRTPIRVKQFLYEKLKFNDNSNNEYSDCFYISTLLKS ICNALLGRRESRSDDGNFDINKEIERQAEEQLDKDCIAEIDRYRRIDEWAGSFRNIFS RTSLECQKRLMKARMLDVDIVQFAQYTRAGILEELRLKAFEILLEFKLFEHPELITWY IYNMSADPSPWIRRNLYRMFGEALASIAFGTESETSGSLESSSLVIEQESSTEARQVQ LARRQTVPGALDALKQELGSNKTLKEALWAACNSPRASLVELCDFVYAFGALYRPVEQ ALVRLKYPRYWKTQHLGNGKMKFFKSDRFRTRPVGSTTTTTTTTSKATTAPVPTSTVK RKREQNTNGTAPPLSHRLTLKVPKLGTLCSPSPQPPPPSSAVKPKIKLKLKAKSSNFS PSS TRV_04173 MTFLLFFLFFFFFLLFFLPHEMNFNGFCTSIEEEEEESKKGKKR AGDQAASQHVLKISLGPSLSLHRSPVRSTMDVVRKLAGLEDSLTDETLAPLKNYKYSS VDKSYISRYILKHYVLSLSFALVNTFFYCLRMGLIGLLYAMYINPGLIKFYLVTSSGM PLSSFCRYGSLPTWSPCLGLDSLLGT TRV_04174 MSTHVLLDLGDLSYPHSLPGLLQWPTEGLIIATAIIIAAGYYGP EIYSRPLADGIGYADLIGNVTFLDIWVFVLLTSFFTAHLPECVYNVVQARRRNGLPVL PIFLEWTSIIISTISAISWVYSPYSFILKDNHLVLFAVTLCFVFGRMTTKIILAHLTR QPFPYWTVLITPLIGDAVLVNLPAIGLPAVGATVELWYLWGYLAFAFAAYMHWALYVI GRITAFLDINCLTIKKRRASLNGTALGDVTNSKMQAAFNKPVKLN TRV_04175 MVKLPETFAAIPRQEFLLGPSPIHLLPRMTADLGGQVKIYAKRD DVSSGLAYGGNKTRKLEYLAADAVGQGCDTLVSIGGIQSNHTRQVAAVAARMGLKCGL VQEKWVEWADPGYEKVGNIQLSYLMGADVRIEKMTSFGIEHKDTLKALTKEYEAKGQK PYYIPAGASDHPLGGLGFARWAFEVREQEQEQGVTFDYIFVCAVTGSTMAGIVAGFKL IEKLYPDEPRKKIIGIDGSATPEKTRAQVLRIARGTAEKIGLKADDITEADVVLDERY HAGTYGVPDKQTWEAIEYGAKMDAFITDPVYEGKSLAGLLGYVRNGEIKTGNILYAHL GGQLALNAYSQLGHVE TRV_04176 MDKLSAKRRRDDGEDEEDDDYMSMSFVEEPQTKRETFAQKKLRK LREAEERARVPSKAELAAAEAARREAALAKSTLDASNKGFKMMAKLGYKPGSALGRQN PGGSESDEPDQRLREPLWVSVKENRGGIGLDSEKKRKLREEFEGEARRDKAVESDFVE RVRAEREEKRQEGQFQAAQRVIERLEEDTDTDTDTDGGSGPASGDKVEEKEKSRPKAR VNVLYRGLVREREAALREKQAARRRYESLSARDCSQIYSEKDPKLPSLADDELEADDR LALGRTAEGEVVEVEADEDEEDEELAAFEALSVQERLSRAVAYLREEYNYCFWCKFRY ESTGMEGCPGLTEEDHD TRV_04177 MASEVSVLTVQLPAEAASKQLDTRQFTKLRFPQHHHQQHHPQHH PQLRPGDRRNDLLRPSDRSPRSHSPRGDRRHHHDPSAPMTRVRSRENLSSLPTPPGTP TQRYYRPRLQHVQPSKPSPPASVPAALPTPPRPISYSSAGSSQYSDQNASPREPSSTS SSPLSRTPVSASELEHPPCFTNSSTAVRPKSNCSTSNQSTSSSTASASAPKKVEYHTP PLTNSHFSCYHFHKTFARSSNVLYPLTCMTCLKADQEVRWRCTFCCLRICTDCLGGIK RCKDRSLIEFMEKLVMDLEAAGTTTEKGETSADTVDTEPGPGPGPGPEVDDTAPEPAR EVQA TRV_04178 MKKRKKKKQKDDRVRMDHVGGACMHGRDRQVEGYESSPASAVSG VDA TRV_04179 MKVVLWLLLAGFCLCQGLTVDWDRGQLVVRGLDGRIIKDGDGDD KDSLWLVSSSFESLLWQSSPSPSTSTSTPLTITLPSLLLRLHRPSHLFTLELRRDGSP LLLSAPFSIPPARLTQRSPSPASPSTSPSPSIEPAPGFHKDEHPNPGASQGLAWGVTI ASLAASGLAAWLCIYRLRKRRRLAGGPRDSAGHSINGDEEDEAGQADEHVNGHVNEEH GQGQGHGHGTEMEVLQDREKEEKTETTEETGKTAKKSEGTGQLDSAMMARLGV TRV_04180 MARLVFSHALTVHKLVLHQAPSIKHPTVEETKKRREDALLLLLP SLRCRRPAGAAPKTSQKPSSLILFFSSSFLSSSYYYPFVFISRLYPRLQIHCREAPVY SETSLSVTCHSTSSQPE TRV_04181 MSVEEEEEKKRKETSPTPPFNDISTSSHSLKHISLYNYNSLHLL QSPPIWLVLLASGPEKKVLSYTVFDSSHRLFSTSQHLSSTSTSSQLQLLLNLVNSSLL RLLYSLQQDVPPRPAPALIPAAAALHHQRQLRQHHQLRDGDLHTLQVDLPAARPGCSL LGQARPLQEQHQPGETPAPGSGSGPGSGSAAQWIRPQSSPAAGKVGLFHRQLLQARRR QESPRHRQRRAQHRPGRR TRV_04163 RATQWRKSFSCPGLGPTLLAEVLNLPERLRDSQMASRRQLSRIG TPSNGQSHASLSKCALVSNCPPYPVDMPFQLNEGQSETFYATKSDKSSDSNENQTEQQ LMNSHCPLQREGVSGYMDQAKTGSLSSRDIGIWVNSWRVNHSYASSPSSATISIQGPT THDIKYQSTPAPSAPWSQCEISPMTIAIEQPPQTPPRENWQPTSTLNSTTGFRDDKTY PPFEWQMKSSPDILPLTASTVREVGRMCVDSEHLVSGYLMSPATAECPERTEVEFYPY YAQNTSCPSIPYVGSQHHPWTNIPYPTVTSIPTQYPSSPWDATNDSYSNDYGFYCGPS SPFSSAVSSTATPDLPLTTKNPETLVTAENNMYLDGADLESTSEGYSRASSNADTDAD AQYTPTGGEDEQAKIRACRSYSQRNDERDAFLIECKLAGMSYKEIKAKGKFTVAESTL RGRFRSLTKRKELRVRKPGWQDSDLKLLCEAVKRFAEPQSVSLIGDELLPPKISWKQV GEYIWKKGGSYHFGNATCKKKWAELQRNHAYVQKVSLNQSF TRV_04164 MDSKGETRDDMMLLGLSEPLALLVARRFAAAKNAGSLVFSQTEV TSLQSSTVPPLDEAALSILSLYADVDWQFQLRYCPALAVKPTNNSPLQPASTAASLPA KPKKVDPFENPHTDLLVAQIPASDPTHILVLNKFPVIPNHFILATKVFKPQTHLLEAD DLHAAFACLKEWEKPTGELRGDENVQAAKQRKRLFAFFNSGEHSGASQPHRHLQFLPV EDMHQADDVANWQPLIDGGNHAEEKDNSEQLQQPKLPFVTYTAPLSPNPTPEELHRSY LSLYAMAVTAAAQHSESLLNGISASRALGTEGEAAISYNLAMTTSKMMICPRRSEHAW LPLEPEMKKGLVDEGLVKLNGTILAGTLMVKAEAEWNTLRQQPSMLENVLSSVGIPT TRV_04156 EEKATARRAGATLLEAAGMADVGLSLSLSLLFFLIFFSIFLIIF SFSLSLRLHQEETAGLETEEEEEERQKGRARDERRLKEGFWPGGAAAAGRRRRRGRGR ARRDERRRGTARAQKQARQDKPAAGKGMMNRKKLDLD TRV_04157 MGRLVGWRVDSVAGCAIVHGEVEVDEDRARMQLGAARFYSSQAG AASLTGTGTGTRTGTGRAGAGRDGADDAEPSCMQMSSSYPPALSLRLTNGDSAQLPAD GLRVRTPSVLPRFDLACFSFIILSILKKEKKAATATTTRRNRKRRERERVKGDDIREK ETEVTAGTKMEAEIRAQIPSIDHVISDYAVGYLTHASRAYTGDEEHGGFSPLSEAAET VSALLVSASGDFSSQNEEAVRNLVEKFITTLSAVDPEKRQMASTAAKKLDQTIHVGSQ RNISSTLGLSGVTVDLESANTRKVESRVDKKKLEKAERKIRDKQDRKIMKNVEYEASK LITQANDTQSYEEFFMAVNPLQLGADSQTKSKDIKVDGVDVSIGGKRILTDTTLSLSF GRRYGMVGQNGIGKSTLLRALSRREVAIPTHISILHVEQEITGDDTPALQAVLDADVW RKRLLADQEKISSQLAAIEAERSTMADTSKDAIRLDQEREGLDITLSDIHAKLAEMES DKAEPRAASILAGLGFSPERQQYATKTFSGGWRMRLALARALFCEPDLLLLDEPSNML DVPSITFLSNYLQSYPSTVLVVSHDRAFLNEVATDIIHQHSERLDYYKGANFDSFYAT KEERKKNAKREYENQMAQRAHLQAFIDKFRYNASKAAEAQSRIKKLEKMPVLEPPESE YTVHFKFPDVEKLSPPIIQMTDVTFGYTKDNVLLRNVDLDVQLDSRIGIVGPNGAGKT TVLKLLTGQLQPSSGLISQHPRLRIGFFAQHHVDALDMTTSAVGFMAKKYPGKTEEEY RRHLGAFGITGMTGLQKLELLSGGQKSRVAFACISLTNPHILVLDEPSNHLDIEAMDA MTDALNNFQGGVLIVSHDVTMVQNVCTSLWVCDKGTVEKFPGDVNAYKKRIIAQADAA GVAKAH TRV_04158 MSHGKFMLVSQVMIKSYPDTFAFTVSHTTRGPRPGEVEGVAYYF TKDDAFSALVSQDGFVEYATFNGHRYGTSKQTISGLAEKGLIAVLDIDIQGVKQLKAA SSIDARYVFIVPPSLECLEARLRRRGTEAEEDIQHRLAQSTIELSYADIPGFFDKVIV NDELDKAYDELVQFVHGS TRV_04159 MPDLEKMAADQSSVRPDYETPVPSRSHSIMSSSGTSGHSSALEP IATHHTDVHAINSGSNGYDISACMSRATTRDLERHPTLLSRMATQRSQHNETVGSTRS RPLDKPLPPFGAGKPYPPPLPEKEEYVVEFDGPDDPLHPQNWSLKRKIFTALILAWTT IISSFTSSIFSTATIEVSRQFGVSTEVGILGLSLFVLGYATGPIIWAPLSELRGRRFP IILGSVGFTIFQFGLASAKDLQTVMLCRFFGGFFGACPVAVVAAVFSDMFDNRLRGLA ITPFSMTVFTGPLLAPFIGGFIVDSYLGWRWTAFLPGILGASALILNVFFLAETYPPV VLIEKAAELRRLTKNWGIHAKQEEIEVEFSELVEKNFSRPLKILFTEPIVLLLSIYLA FIYGLLYLFLTAYPIVFQQIHGFNKGVGGLPYFGMIIGQLIGGASIILSQPWYIRKLE ANGGVTVPEWRLPHVMAGGVSFSIGLFWFGWTGYKADIHWMAPTASGVFTGFGLLVIF LQSLNYIIDAYLVFAASAIAANTLLRSLAGAVFPLFASYMVSFVTQRFSCCHAYLMIS IV TRV_04160 MASAKDDTLDATAPLLEGPHHDNTSPSGPGNEAGAAVSADPSAT STATWFIWALTFAAGISGFLFGYEYVQAYLSQRELTILDRSLITSSTSLFALIASPLG GVLGDKVGRKPAIIISGVLFIIGSLWQGITSTVWGMISGRSLVGLAIGMSSLITPLQP GGWRWMVGLGSSPGIIQLLILAFLPETPRWLVRASRVNEARQIMRRVYGDTKQSNQIV EHILRDIKQEVLHASAETDTRPGDSTRASSASTTPRLWLHKVKRTYTELFTIGCHRRA LIIACTLQGLQQLCGFNSLMYFAATIFKSLSFSSPTLTSLSVAGTNFVFTFLAYALID RIGRRRILLYSIPVMVVSLVICAIAFPSTSLGDGGASGTPAPKNSQAAIILLCLTTYT ASYASGLGNVPWQQSELFPLSVRSLGSALATGTNWGSNFIIGLTFLPMMRWMGAGWTF FLYALICAIGWVGIWRIYPEMTGLGLEDVRGLLDQGWGVEQSWQIFVARTGMLKNRMY LNHDRNREGYKCTLKKEEQEEEEIKTGITESDGDIYIPTYLAPFPARV TRV_04162 MARPPERRERPDTTRLVPRRDATCSPDNNAGKDCGPGLTETPTV AIALGVVIPLVCAFIVFFLLHRRHVKKLRKEDEQDKIKALDYGLDDVPASNNGAKVRT GGGQGVEKNGDGHKPGMSMDWAMDSPYFLPPGLQKSRGSLHSLSRTIPGEDKYGIAFD GNGSIRSNTPTRRHNNADDASSYTAGSSRRVGGADGQHHHNGGLGDDMSQNLLRNAQR MSTSPPLAAVPAESPSPPQPAHLPFDNRFDELGLPSSISNNYNNNNNHKEITNNSDNN NSGTSSNTGDDNHQMGAAGSKDPALRSSHNYLGQFIHSGSRSSTPDDQKKQVSASNQQ DGHPSLPGLAFSANDGPKLDFNLGPSSIPAATAVTGHQQGDADSDYGDETKLASGLPQ FNIMPAEEQQQHQQLHGDEYGHGYPEEGDDGTDSIHDVYDQYYDSNHLIDTRRLTMGI RPLPPEDPSDNPEQRANRIRSFYKEYFDESKPFQQDYIEDYGDVPPLPNPAAPFAQPL PRRAMTPPPRMPPAFPPRRHPGVGHQPPPGMGGMNRGFAHSATNSLASGGHPMGGPRA FSSASGRIPHPRQKKPLPPPSPLHILPTPHKLKDDTFLPIDFAPGPRARDRQAGRPET PLGGLQHYNLMVPSHSPLVSSFDELPSVPSPHALRKSGTYTALDFAPPPRFKNESGTG SDAGSIRSNRTGISALQAHNIRSGAYRVSRLPADTVGTRDDFSSNLKPQWEMRT TRV_04149 MLIVRLAILLWNGQHFPLYHIDGSKIADLEISSEPAPQTPVHHR NGHIDNSGYHSSSQHGQPPHPTASAQSESVSHGAGAPSFVDPAILSFKRPSAQDERQN ANSEVEPVAIPSHTISTSPVMLGGSEVPTSAHASHIGHPARLPATLNAPFSDLELNKP DQSSSIREDIVEQQELEHNSVPHDRARGVNSSAAAQLKPVGKRGKRGNRGKVDKDGGE TTDITATEMHVSATNHGRKGVPGKGWRQTAFTEPIDTSPTANKSKLKARKSRAQRENL NGWATEEATDIQELGDFDFQSNLSKFDKRRVFDEIRNDDMTPLEDRLASFNRRPKPGT NGGKNLHYTENVLDSTTQQHTSQWDSEAGLTDDEYTGNGRTSSRNRSMPSRKGSGVIA STPSSAQFTILGRPHLSSARTASPQPIKVMKHSASSMTGSSSPSGGALHMITTGRKCP SISPLQMVEIEQIAVSELGLSEDIVTENAGRGIAEGAISLATGLRVPSIIVVFVGNHR TGARTVASARHLRNRGYRVSLCVLGNGRDGEFTDGFQKQIDIFQKAGGNVMRWEDLSA RLSMGDFKPKLIIDALFGMHVAFEDLRTDDQATAFEIISWVNRSKIDVLSVDVPSGLS ATTGEATVSQGSQLAVSSQFVICLGAPKTGLVTALSSRGGESWQIAVADVGISPVAWR KYGTRRRHGVEFGSAWVVPLRFQLSTP TRV_04150 MAYNQPELDLDNFYGAGRPVDDAGRSINVMIKGHVGEELQMIVL RRRLAGPLVLSQCNDLERLGSPTSIRPAQEGRADTFRYHLLPSHTEHFPIDNHYNLER DYFRNMCPDIARRFTIYGKIGRGTFGRIYAAREINRPAAATGFRFSTGCDWDSYEYQR ASMAALIEPSDPRARAYAPKPPRQFAIKMELQCPLSIHGFTRAQTCEYREVYTGEQRF LPVEAVVLELLTECERFPKIDSVYIHKEFASIVMSANSLDDNPLRETLLLEPGTIPTQ HFPGYNGEQLTHLKQTRVTEIQACKIASNILEALVYLMDMKMLHGDLSHRNYLVDKNL NTQLIDFGAVYVSENNGWEAERYGYIEAFEYFVNPEIALQLATDDLQNPIHTIADRHI PLSHDRRVQYLWKFGVLVYDLLHGYSPWETPEPQANNGDIKTLYAWDDYDEEKFLYLY DRRLRIMNEELPIDERLSQDCVDALRHLLARDVSDRMDMAELQRLPWFQGQWVDRASE MQRPPRKEP TRV_04151 MYVKLFKLITTQVRTLNWQQSEQKLTWVKITHSEWASEDAFSAS VGAGAARQKTGGSHASFKRLPFNFCSLSLQPFSHPVCTSDGIIFDLTHILPWIKKNGI NPVNGAPMKNSDLIKLHFAKNESGEYVDPVTYKVFTDNTHIVALGNTGNVFAWDTVQK LNIKPKMWRDLVNDEEFGRKDIITLQDPHNVNARDLNTFKYLNDGENNTTSGNDGQGI NLNAMGSSAKILRAKEAVAKARAEREQKATSADALSQRQKENLSVKKSLTTSQSGKAV PFNAARHTTGLAAASFTSTGLTPHTAADLSIMTDEEYMLKCGRVKIKGYARIVTNLGD LNIELHTEHAPKAVWNFIQLAKKGYYKGVTFHRNIKGFMIQGGDPTGTGKGGESIWGK SFADEVIGPFKHDSRGTLSMANKGKDTNSSQFFFAYRAVPHLNGKHTIFGHLIDDPSP SSPTLDAMEVAPVDSSTNRPTPAITMLDVVVFVDPFEEFLNKKRKEENAQQSVSGASS DTKDKGDDDQVTWTGKRLRGYGSNESGSASGNVSGVGKYLKASAAASSGNEDEIVGFV DEDELESEHARKKIKNAGRGGFGNFDSW TRV_04152 MDMHHRIHNGGNISPHQSLEDMPMPGHFPDTPDIDDFEDLDTRF SRISLDSSLSRGPQLNESTYEGWTLYRGEPKTPGGERTWATATICKMPLPQAELIDLV HKQKRQSVTEAYNELTLVKRQHIDNLIEQRKQECNDPKYDWICVYINSATRDVRSTFG PKKALTISIAIVLCKKLKPEILRARRAAQIIKEKKARDTARHEKNMVHAECADPLRRP FHPSGLGLNLSDAQQLPNSQKRPPANTQHNLPLHSQSKPTPYQHQPQPPPYLPQRHPE DHPHPHHGQHLFNPPDPHNPVPPQGQSHLTHAPLTNHELRMMGKIPLNGDLHPEHGQE VTPEEFGPPRYPRQMMQQDIPHVTPGHHQERKILPEMIQIPPPPIGPHKSQPRLLPAP HDAHPDPRYHELHPGAQDLNEAPMPPRQRHPGYMEEPHSAQAYNQALFDPNQHHPGNG NVYKDARMVNQEPQSTHPQRSRRRAEGVPNVQMQMPTQDYQHHNQRRARQEQPSAATH ELKQPHNKQHKKKKPPPKIVNSERARREQEIVEKWLEEDSEPSDYDSYLFDPEIASSV TDDSFSFGEYDNCDYPPDDDTRRHCSNKNRRNQSREKSKSGDRNGHPKHRHSLGYRIH VRPSEKSSYPIELPKPRGSQYPSGSVELQPFSSTHKRPGKLARSETVSYPSRRHKVYP YERDQRKPSLDRLSPSELAWGLELREKERAHQRYLESQLSIEREVEARLLAESLARGS PDQAQTTQLEGYTPQRVLDTYEKYDRMKRLDGNRRQSYMEQQHPRDNPKDYLSHERPF RR TRV_04153 MFTRAVQEHPERQKKPNALQPNRDRLNSTLQSRNLQSSSQRKAD FTSELSRSALDDLHDAVYFGENDSENEEFLEIAYQISKPTQSKSSSHNSSIVDANSRK NDNSTPTEAMPSSSFPIPWSSSPPREQERPNPPHQQPKKRTLPWDDAMQDNKGQFGFS SGYLITNRNTSFKKPMLKSHDVQPTPQPIPFDDQTVKTEQIPVKEKKHMPWDKTFSDV KEEQKELRKQMKSKSLAASEKKLNNVQRPSNTRVPSIFFSEEQNQVIETIVKKGKSVF YTGSAGTGKSVLMREIIRQLRLKYSRDSDAVAVTASTGLAACNIEGVTLHSFAGVGLG KESTQELVKKVKRNLKAKTRWLKTKVLIIDEISMVDGDFFDKLEELARKIRNNGRPFG GIQLVTTGDFFQLPPVPEGGKEAKFAFAAGTWNTSIQHTILLTQVFRQRDPDFANMLN EMRLGRLSPSAIQAFKDLSRPLTCSDNIEATELYVIERAVFYICWKLMVTICRFPTRA EVDRANSERMNRLSGSVMTFHAADSGAIKDEQFRAKLLSNCMAPATIHLKKGAQVMLI KNKDETLVNGSLGTVIAFMDEAGFDNHIREGLKRENGYYDFDDEPSQAKQKIKSMAFD QKANTGSVNTSRQYPLVAFILPDGSERQLLCMPEAWKIELPNGEVQAQRLQIPLILAW ALSIHKAQGQTLQRVKVDLGRVFERGQAYVALSRATSKDGLQVSRFDPRKVMVHPKVT EFYQNLSSISDINSGRKPRVVVDGNHSDVEDDFDSIAQYV TRV_04154 MIIRASEDEEWPKMQNSVRYPVLLSLESYAESSHREAKELPLTG VILCCTSILAEHRSRLTDVACQMGAIHKFDLTSDVTHLIVGDINTPKYKYVAKMRTDV KVIRAEWVEAVRSSWIQGGDTDIHALEAEYKLPTFFGLSICITGSHLEETVCAHGAEF RRDLTKAVTHLIAFACEGNKYQFAIQWGIKVVSLKWLEDSIERSMALDETLYDPLLPI EKQGIGAWNRSKPTVVERMAKPQDSLPPRLPPRTRKLRKVASLKLGGQTEGIWSAIVP NPSTTAESNDNSQYDGSQHLTAASHQNLLDTKSFASETTVPGRHDSVSRESKSLAAEA KEAPSKGFWDNCRFYITGFTTEQTQILEVHLIAQDARISPSLDDLLKHNNQLFMVVPY DLPRREIPRIDEELDELEIVTDMWVERCLRSQAFVAPEAHITSTPFPRFPIPGATYDE YLTANASVLICNTSTPSPEKLRHVFEWNIPPVIADWLWISVQTGEKKPYQPYLIPNKR STSQEAQRLTAEEVQTAPLGHYPIDQDFTQVLQKGGRDGNESEADDMETQPTAPQEKT SITVPPKPSRSPSPPKETNQLIPAASPAPLPAEDSTPKPMANSETKISLNTAISELLK QKRLRTQNSTGDTDVPVRSQRRRKLLGRASSSCSALTTTEAQRGLSRASSIDTLNEDG YGSVIDGLDSPSTKAPSFVSLAPHKGEITHPEQMEAQQMLQRRLALFQSNSANGEFAE KAAGEDEPLPLTQLEYDDPDAVAMREMISNQSPRNNEVDEGTGPGSSRAGRKLIIGKL QDGRGGRKTRSRKSEGW TRV_04155 MSSKDYFSHDAGSSAGEGSSRSTERHSPIPDDERPPSQVPGYMI VGSGPASGNATSLMSTLHEDSGYGGSIIDGNSDIGSESWQAGLMEDRPTPSHTPVGPG YMSNAAQHERQVIANHVHQLVYNSNRTKLGRSVTRAIELLKELQEMNRQWPAHYPSIQ NTDAPPQNRHILSRTRSYEESREEGSDADPRPGTIKRTATSISQTAEASRDADARAQA EPRLVTPQIAREFSILKLDLKLGALSPAELVHSLEKNSIASLLDGKVSQSIKHLLALR GRIEDTSSKVLITGDLNAGKSTFCNALLRRKILPEDQQPCTSIFCEVLDARENGGVEE VHAIPKDKQYNRNDESTYDVYSLTDLESIVVDNSKYMQCKVYVKDVRTIDESLLNNGV VDIALIDAPGLNSDSVKTTAVFARQEEIDVVVFVLSAANHFTLSSSEFIRNAAHEKAY IFIVVNGFDNIRDTARCQRTILNQVAALSPHTYKESAELVHFVSSNAIPVAPISGSGG GDGGDDGDDDDDSKGKGKGKEKAKEKIRDFENLEGALRRFVLEKRSRSKLAPARTYLL NILSDLNVLASVNRDVASSELERVSTELSKLEPAFEKSKMQRSEISEQLERSITESSN DVYNYTRKSLAEKISTVAQSDLGVDYPGLLSVFRYAEDVKLAMLDQITACVYKCEDYS RKKCVEGVNMIQSLGLLHVGENKFPSLNFRSEIMFKQHRHELARQIHTELDAWDFIDL PGFWERQEKVAGTGMAMTVLGVLGGRALGGIGWLDGVMGAARILGPNTMRKLLVPGLL GASKFFPLLVLYLQITDIPLFLALLAGAYILSQVPTALPSSLSRKIAVTLAEMDYIHS NATRISGEVGRVLRVPATRLQSTLQIATEELFRRKEEVEKIKAESEIAKKYFSNLFRE STEHRRTVEQIDLDAQAPPPAAA TRV_04137 MPLAPVVPKTPGQPYAFERPECLGWYLIPLFKLYISYAPDSFPC GWLEKTEDIKVKCIDSTGNKVDFPTPPELLKAIRKCERINHPEKGLFSGLPSNWGFSG FMQTGAYQVLDEYPFIEHGE TRV_04138 MRKKKRWRRKKGMKKKKREEEEEEEI TRV_04139 MAATRRKAAHDLDKIPSDEGEWRAAARKYGLQSRNLEDLCKNGN FSASTVPTEAFLTLRCIWPKKGDYQHAVAYIANLEHFFNWDNVDDAKKLMDNQQLGLD KLTTLYQIICLPKQSLQRTPPEFSGTLSDGLGPFSLLVPIYNQLQDRRPQTPSSVSYL ENAPRGQPFFDAFHHQSHQAPTSPTRHGAAVEDITIEDIQMTGIDIGSFSSSLPEDAS SLAEHSVVSVPIDEPLPRTPTETLVTDFAVILLGGLASLVQPLGYKPLCMANSFETTY EFGPLNSVSNPLDKVKFRARIDGSIPFSVSPGKDLREAIIFEAKRAPRVEGINEVPVL AQQSMEHAAYIWNRHKEDTTWKNSVQKYHTFMVAQDHLGFHISIGTYDSRYLDYIFTS NSRRVIPTQGNIPFLQIQEFGPFDAENEDHLPQFLLIILSFILSQLEKTAAAAIFKDA LR TRV_04140 MFRGISLPLRAALRRSSQDIRYRAYNPRFRQIAFSHSDIQASRL QLSRGLSSTPARRKEKPSPPQPKKDEAETEREEKIKPEEPTEGKNKSDEPSPIPPSEG KSDSRPSQGAGAGAGAGGSSGSGGSDGGRRGRKGSSEKALQKPTIPDVYPQVMAIPIA RRPLFPGFYKAITIKDPNVVAAIQEMMKRGQPYVGAFLFKDEAADKDVIDNIDEVHDV GVFAQITSAFPVHGDESGLTAVLYPHRRIKMTSILPSRDSLVKEAGSQEASKDSSAEK QGDVVASFEEGATEQTTKETALYEPSAFLRKYPVSIVNVDNLVEEPMDKKSPVIRAVT SEIVNVFKDVANLNPLFRDQISTFSMSQSAGNVIEEPAKLADFAAAVSAGEIKELQDV LETMNVEERLSKALVVLKKELMNAQLQSKISKDVEAKIQKRQREYWLMEQMKGIRREL GIESDGKDKLVEKFKEKAEKLAMPDVVRKVFDEELNKLAHLEPAASEFNVTRNYLDWI TQIPWGKRSEENFGIKNAMKVLDEDHYGLKDVKDRILEFIAVGKLRGTVEGKILCFVG PPGVGKTSIGKSIARALNREYYRFSVGGLTDVAEIKGHRRTYVGALPGRIIQALKKCQ TENPLILIDEVDKIGRGHQGDPASALLELLDPEQNSSFLDHYMDIPVDLSKVLFVCTA NMTDTIPRPLLDRMELIELSGYVADEKMAIAERYLAPAAKEVSGLKDVDVILEKEGIE ELIKSYCRESGVRNLKKQIEKVYRKAALKIIQRLPEEEPSEESKVREEVRAEQENAAK READAATESTEQPREAPKLEAVQVPPDVHVSIGKDNLKDYVGPPVFTSDRLYDTTPPG VAMGLAWTSMGGAALYVESILQSALTSTSRPGFEQTGNLMSVMKESTVIAYSFAKSLM AKEFPDNRFFDKARLHLHCPEGAVQKDGPSAGITMATALLSLALNKPVDPTIAMTGEL TVTGKVLRIGGLREKTVAARRAGAKTIIFPADNMSDWLELPQNIKEGIEGHAASWYSE VFDIVFGSVDRHAINQLWAKELSEPEKADKTTREDED TRV_04141 MAKLNIIQAKQDRNARDLPGRLARFCLISHQSWRKYFAEVPRNF FFFLNLRREDEDDEDDEENAEDAGTASLLSEVNAWTSTVMYNHDPTRRIPSVLGVGKD ASASEIKRAYYGLAKKFHPDTNKDPGAKDKFAEAQTAYETLSDPEKKKAYDTYGSAAF DQNGGFNPGAASGGPFGGAGGFHGFGGFGSGGGFGGGFTADINFDDIFGAFAKATGRN PRGHGSPFSSVAVGDDIEVQANISFMESAKGTTKEINITPLVTCNSCKGEGLKDGKKR SRCSTCGGTGAQIHVIQGFQMQSTCGACNGTGSSIPRGSECNTCSGGGVVRERRTVKI DIPGGVEDGMRLRVNGEGDAPMAEPGTRTQRGNLYVFIRVAADRRFNRSGSDVLYTAA IPLTTALLGGEVTVPTLDGEAKVRVGTGTGTGDKITLPGMGMRKLGGRRAGNGDLKVE FKVTMPRSLTPNQRTILEVLADEMGDKTAKRIMNVNDMKPDGSSNKASTDKTDNAQKG QGFIKSAWDKLMNHRNPCSSSSSKGSSDSTDKKGPETQSDQHKESDQPNESKKASGSG TRV_04142 MCEGDDGDGAWKKLQEGWMHVDRRAYHGDGGNEESSCLKNFVSF LFLLLLLSSAASAASAGWPGGISGNATDATRMMHRLDWLSPADRCCDCSGVSIATLER SWPSHSTGATITAGSWLLKVWHPLGRPEMLRCRHRLAGGLFQPGRAPESLDGRSIDAV TLIYYTCLKEEQAHSGRGEMG TRV_04143 MVQSPMISCPLKQTAEIDWIAPLKGYIRQTYGDDPDRYSEECAT LNRLRQDMRGAGKDSASGRDLLYRYYGQLELLDLRFPVDENHIKISFTWYDAFTHKAT SQYSLAYEKASIIFNISAVLSCHAANQNRAEDTGLKTAYHSFQASAGMFTYINDNFLH APSTDLSRDTVKTMISITLAQAQEVFLEKQIADGKKPGFLAKLASHAAHLFTQAAEGV QENVTKGIFEKVWWILTSAKASHMSSLACYYQAMADEEGGMHGVAIARLQIAEKASTA AINMAKSFPSSVPSGSNLTSENGMVLLDMVRRQLATVQEKLPSMRKDNDFIYHQQVPA EASVSPVAKLPAAKAIPVSELYQGQDIQRIIGPDIFQRIVPMSVTESASLYDEEKAKL VRAETEKVEAANGEMAASLDYLKLPGSLNILKGGLDDQLTADEEFRTWCEEVSCQEPF RGTLEDLQDDKSSLLSTLEQCTKQLDTEESVCEKMRSKYGADWTQQPSSRLTSTLRSD IRSYRETIDEASSSDSQLLVTLRQHESDFEEMRAAGEAGEADILFQKALIAAGSKPGK NGATPGSPYSNRAPEGNLLEADYGEGGLSVAEQIAKVEELLRKLNLVKRDRMQTLKDL KEKHRQVHNDDISNVLIFNKKAIANQEDQLFQTELEKFRPHQNRLLQANHKQSGLMKE LAKVYGDLLQDKRVRAEKSKYEVLTKQRNTVISKYRKAHKAFNELLNGLSQAQAFYMQ MRDTIDNLQQNVETFLNNRRSEGAQLLSQIERDKAAQASGHEERERDKLKNLMERLSV DPASSSSTPPPPAPKRAANTAKSPTHSGLPPQQPPTRSPITAQYPPSTSTGYPPVAYP SGNGISPTEGYGSQQPYTQHMNPEAYNPMAYLYQQPPTSPPPLQQYYASPPSYGGYPG SPPIPQPQIPPSHTPQYLPQGYVPPPPPPRHSPVQYNSPGQGQGGYQQPTSNYPPSQP SHRANQPSQSQNQNDPWAGLNAWK TRV_04144 MAPPRQRPVSTTATSAGAGAASSGAAAASTSAAAAGAVPDDSRS EASSTRERQGTTKGRKPAAGTTSSAAANTSAPSTGSNATLKEGKAPAADQRMDESGTV ESQASINWSTMPLSVLHEYRYAYNLPCPSAFSSQINSILLSQGPGLGSATAISIRRKQ LLQQLQSDRQQHDDSMQIDTDMDVKPRQEASNNTGRQDKVVHRLSAPAQGRVSKAQLA SAVRKHFNNTAISEQDAIARFLYKVNEERRGKEFRLRFQP TRV_04145 MEAQNLTFCLLFGLSSSARCYFLSLLLFIFEFITSVINIVYIIP REKIHVVIMTVNASDEYLLRKFATRELDEFRINFRNPKFFTPAYLPHKLSIGILDRLP LELLHEILYRLDLKSLSALLCVSWGGNVAVNDLPQYHAIVKHVPYTLEAIRRAGLQSE YTVQKLYKTLRTRDCPECGEFGGYMFLLTGERCCVACLDMNQNFWALPIDNMEQELCF TFYGRTDLVVMNALPARPYSDRVEPAEGLRLISLREAVKVVKRNGTLLVFDFITCLGR ECGSPAPLPMVQSCYCKFGPERQNDVVPEQPRYESRIPPTGYPVWLSEPSNSYGIMSS IRLPYLLPDGTLDWGFFCDRCMSMAYYPYLIPESEFLKHARVCERIREYVESNCGVPG PSIEKA TRV_04146 MEMKSKSKRMNEARRGEQRDYWRDGGWRLIDANGDGNGDGDGDG EMEGWRDGEKEVWRRQRRLAVEVASLFLLSILSILSIVLSCPIS TRV_04147 MHVSGSGVAGWLAGEAKRLFFFFPGSSRDHEERDPEKGSRKAKT ANSKSKRKAKKGRKPKKETRGKMELELETPRGLRVAREEEDSRGRLSRKRAKSKRAKR ERPVGRAKDDLVGCSSAGGSRRAQEDSQRSQRVDGWLVGMVRDELGD TRV_04148 MPDDKPELPRPYKCPLCERAFHRLEHQTRHIRTHTGEKPHACLF PGCAKRFSRSDELTRHSRIHSNPRKPGKHHHHHSHHHHQPTAAAAAGPTHPHTAAAPG GGILDGKARSAPVSQAVSPNVSPPHSYAVPYAAHSPSTLNPYARPVTVSAPASAASTM DINMLASAASQVERDHQLAPSPTTTNTTTITNNSTYRHRTGYSHYPPSMAPGAPVAPS TTTATNNNNSSPSQIQIPPVPGLPQAPARLPSLSAYAISMTRSHSDEEHHHHHHHHHH HHSSNGHSHNHNHYAYRTKRSRPSSPNSTAPSSPTLSHSSLSPTPDHTPLATPAHSPR LRPYSYVSPDLQLPSIRQLSLAAHAPAPALAPMEPHTDTSSSHSTLTHSTYSAPITPA ATATVTPPLAAGVKGPSISDIMHRADGTQRKLPVPQVPKVAIQEVPLDLDRY TRV_04082 MNNEQFRRLLFDNRTGSSSPADITAKKASSSDNAAAKGRSGALG SRMRSSIPMTPRDSQDQPPSKKFKSSSGPKGFKYAPGYEDRASLRQRNDGKEADDREE RVCGLEELLKLGQIDQPTFDKLRAEIGVGGDISSTHLIKGLDWQLLKRVKAGEDITSK SQDPTPEIPNDDDEFERILEEKEKDKVESVEIRGKEKKKGNLAPLAQVGSKKMTRDEI LKHLKASRLAQSTQPEPSLGSKFKKIGSTETKKKRWVETDASGRRKEILVITDADGKT KRKVRWLDKQDGANAHLLSVDKNAKPLGMDVPAEVLARMKPTDALEAEDDEDIFAGVG DDYNPLVNAAEDESTSSEEESDKRDASTPKEHPSGSAVGTHDEHKPSSTLRRDYFATG KAKREPEPSEQESTDRSNPLMSDPTIREALRKAATIRTREPISDDDTNDVDKRSAEKH KSFLEEAKRREMEDALDMDMGFGDSRFGDDDEEEFLPQGSSQNKRKRGPKKKKGDKNN VSDVLSVLQGRGKG TRV_04083 MVSFNALFVAAALASSVIGAPVQGANGGKRGMAYNDPQAVAAFK GTRASAWAYNWGSNSNNIGNGIDYAPMLWGQKFFNDWTKSTPSILSTGCKYVLSFNEP DIPSQANMSPQDAIQAHKQYMKPFVGKASIGSPAVSNGGGEMGLSWLGKFLDGCAGQC NIDFLNVHWYDSAQNVDAFKKHITDSIDLAHKHNIDKIWLTEFRGDGDDNSQVQFLHQ VLPWLDSNPGVARYSYFMADDLVKGGQLTPVGQAYAS TRV_04084 MNVTGAWLEGVTGKGTVTAVIDDGLDFHSTDLKKNYFPDGSYNF VENSKEPDPKLVNQTHGTRCAGEIAAGINGICGLGMAYDGKIAGIRLLSGQIDESDEA TAINYRYQLNDIYSCSWGPPDNGRVIGGPGTLVKRALENGVTNGRQGKGSIFVVSAGN GGYLDDDCNFDGYANSIYTIAVGAIDREGNHPEYSEPCSALSVVAYASAGYQSDGADS IYTTDVGVENCASGHGGTSAAAPLVAGAIAFALSVRSELTWRDIQYLLYTTAVAVNEE EDDWQMTKLGKPFSHNYGYGKVDSYSLVQMARNWKLVKPQASYHSPWVKVNKNIPQGK KGLSSIFEVKPPTVSAFERLEHVTVTTHVNHTRRGDLSIELHSPEGVVSRLSTTRRND NETSGYAGWAFMSVAHWGETGIGNWTIVVKDTIINDFSGTFLSWQLNLWGEGTDSLSS SSSDKTDEVNNNETPMQTPDTEDVGVSWDLLVASTGLQRLSGWASLSVSVSVVVCGAV GTYLLFCKLSGRHAAPIIADTDS TRV_04085 MCQWIDENKYDVQLNPMEKHGSKNIITITTAPDSHHQNLKFFRF AVPQIIREHTTVILLTSTFLTTASMKLSLVVACALASIAIAAPITGGYAEGVDLVAPR IGYKAKRRYPELAENPTQVTSAEGVDLVAPRIGYKAKRRYPELAENPTQVTSAEGVDL VAPRIGAYSTNYLESSWQQLTVFLKGIEVT TRV_04086 MFSFKLMSAKIPYLNRGEEYTSLQNGTSSPGDECEVEDKIPLYL RTIPHNANSGRFWRFSVILLLLITNLTTFAALFSTQHLTSRIEAAEPIHTPNSWGMRS DIPENLTRLICSLFKANLSANDKNFTETDAAWDSMNTAHGFIAVDRKWAKERHWPDSM HLPSDDSKNVYLLEAYHLLHCVLTPVQAVIRRTFWEAINRADKYTFNPPHSAHCIDTL RQYVICKADSTPLYLYGDDTAGDEQYRKCKDWDALRQFATDHSACYMDTPRDADPDTF PFGAHFGHCDDGTDGVVEGERRGNWKHGAVWDGPDA TRV_04087 MSKSRIVQLAQLISSGITAIDAHLGDNGLPTPSFNPGSPVEAVT QEDMVRVKNEVLEATIELRQLLEGPMKLLLPESNFAPLAAVYNFDIASKVPIDATISF ADLAAKCNILEHDIQRIVRYTAVHHRVFSEPQKGYAAHTAASKLLAESQVARDLMGLT FQECWPAHSRAVEAMAYQSEDAGVSGYALANDFANSSMTTFDFLSKNAARAQQFARAM GSTSASSMDALSNYFDWANVPQGGKVVDIGGSRGHVSIHLARKYQHLVFVVQDLPNVI EGVAAGNWQDVEDRIQFMPHDMFSVQPVKNADVYFLRFVLHDWQDSYCVKILRNLVPS LKKGAMVVIQDHLLPGPGTMTLLQEMQVRSMDAIMLSLFNSRERDEDDWRQLFSNAST GFTLITIKRIPESPTTAIITAEWSGNGHIAG TRV_04088 MLIYTVFQNERLLRAVQQHGRRWLDIRNQYLPLRSPNALKNQYS ILMRRHERNLAAVGKKTQNVMDIPPSYHSTSMSTPKNPSSRTGSKKSRKTHCGSETYI KAEPDDDFMDSFYGEPEFKPTTDYGFVGKGVTTPDPIASPYAGFLKQEEVSLTEYDTP FYTSSLGPQYEASAIQIPHMDASIPRNTTEDMWMAYPTSPSSTAPSDGDLGGLPHRDQ WGYSVTGIPLQDQNALATYAYSGYH TRV_04089 MESVRRINRWTQEEDSILIQKLYEQQTYDLAGAATDWQKIAAGL PGRSNKDCRKRWFNVLSGGLRKGAWSPEEDSYLRDAVRIEGKS TRV_04090 MSGLTFEIAATAVLDPACIFAPSSTEDVSRAVMLFSQNGCKFSI KGGGHSNIPGAASIDDGVMMVMSQMKTAEIHPEEGYIHVGAGVLLKDIYSTLDPHNLS AVIGRYGEIGLGLAVGAGISYFSNRDGLAVDNMRNYEVVLADGKVVNANLRENKDLFW ALKGGNNNFGVVTHFDFNTVATPGSTYGGLIHYPESSLDKVDDLVYDYHTRQAVEGLF THAMPQWVYNGSTNDTYNLTPVIYNDNVEELPPIMKPWLDVPHTSSTLAPRTYENLSI YLNEGFGDGLIQEQRVFTVYADKKFYKDVLYKFREWLQNFQDVPGFLGAHLNMPITPR QVHQGRLKGGNALGLEGAANRTLGVLFFGITFEDPQYKEHILPEHDRFVQSMIELARE RGVLYPYMYAPSYLTPNPAYWLYPPYHIYPGPVPDSYYMMHGTWQKFANIRLSAQYAH ILWLQPSCHRQLWPK TRV_04091 MSKVWLITGCSSGFGREIALAAARRGDTVVATARNVSKIEDLEK LGLGIINKRLDVLDSDEQIKEIIADVVRTVGRIDILVNNAGYILEGAIEECSTDEVQK CFATNVFSQLTVIRAVAPYMRAQGSGTIANLGSIGGWHGSASMGVYCATKAAIAMHTE ALKGELTPFGIDVTCIEPGYFRTNFLTSGNRTIAQNRIPELSSTTDQAKALLDAYNKK QPGDPVKGAALIVQALTKSGVCEGRELPMRLPLGKDAIRFINEDLRRNKQVLDEWEAI VSSTNCDDIE TRV_04092 MDDIKPAPAGTYSSYTKTATETEADADATAAATAEAADYGVESK RELEQRNVGSLQRRLKARHIQFLALSGAIGTGLFVGSGQVLSLAGPLSAFLAYLITGA NLYAVITSLGEMATWLPLPGAVPVYAARYVDPALGFTLGWNYWYQLTIGVPIEISASV LVIDYWPNPVPKWAWITIFLVLLVLLNCLPVSYYGEAEFVFGAIKLTTIVGLIILMFV IMLGGSPTHDRIGFRYWRSPGPMAAYLQPGALGRFLAFWKVFIQATFSYGGSEMVVIA AGETQNPRYNIPKAVRRVFWRILLFYVLAIFLVGMCVSSEDRRLLNALRTGAPGVGAS PFVIAIANGGIPVLPHIINAVVLSSAFSAGNTFFYAATRVLYATALDRKAPAFLRFER LGVPYACVGVTTALSLLVYLNISSSASEVFFWISNISSVSTLLVWASISITYIRFYHG MASQGIPRSALPYRSPFQPYLAWFAVTFCAVVAFFNGFDAFFPGKFSAKTFIPPYINI PIFATLFLSYKFIYRTRLVPLHEMDFFSGKEHADRLESTWVKPVAKNFLLNTSQHLSC VSTLLLSSTSLDLRALPSYHAYQSPTFSRPVTTSSQHPLSFLSLFYLLYNSSLLPLIP I TRV_04093 MADYNPANFANRPQEEVEEIARKGGQSSHSSGFASMDPDKQRDI SSKGGKASGGSFEPGSERAREAGRKGGKVAGGGSRMVDEDEEDLLQAED TRV_04094 MFEFFFPSLLAKGFPFLSSAPWGAEALEKRVYKRAVRTVGDEAH RRSVCLSTKLYLPLYPTSNIIIINIIISNNISNCNNTLTIVSLSWVASNHHVLAMSEL PLDGKCSSTSLSTQHTPSSTMTDNRIGSFGTVPTAIFNKAHELRRRCEGRPCSFSRYE FPAWLDKSREAVADLLNADPDNIVLVANGSTASNTIMRNFVWNEDGLDEIIQVSIIFA PLGKMTGYVGELSQGLVRTRQVQLNYPLEDDEVVELFRQGIQASREAGRRPRLGIFDT ISSTPAIRLPFERLVALCREEGVMSFVDGAHAAGHMKIDLAALDPDFFVTCCHKWLFI PRGCAALYVAARNQWMVRSTLPLSHGFIPTADIEQGKVDGKLAQALASGKTPFVYNFE FVAPMDNFGYLCIPESIRWRQEVCGGEKAIQTYCVNLARAAGALMASILGTKVLDNKS QSVSACCMTNVLLPLKLDAGNGDETPLPEGWYAVRSKPGVQFRVSDWIMRKMATEYET LMPVFFFQGSWWARGSAQIYLELDDFEWGGRMLKDLCERVGDGEYLL TRV_04095 MAAIYIRHSSTLYTPCTSIGITPSSSRWSLQQGWRVFYGSTGLT PRTSSWDGLGAGGGKPSSAQVASLTNVILYGLFTLCGWFGGSLLNILKPKKSVMLGSI GYPLYVAGLWYYDRTGHSWFPLLAGALLGSLCGPLWTAAAFIQFAYPQEKDKAKFISI QWMLRSTGATVGAIIAFAANFHQHKAVGVSSAVYGTFTAIHCLPFFLALFFLVDPQKV VRKDGTHIAVFKPTKLSTELKSMARAFFDPRMFILFPAILGCEMGLALFYTNMRVGAF YFNLRTRSLNNLAFHSIQVFMPWVMVFILDNRQVKSRKTRGFVGVAFIAAISLGASAG LYAWLDINHIGSLKKPQAKDWTDPEFGGMFALFLLFGAIYAAYQMTIEWVVSALTNDP SKLAQFGGVFKGTLSLGICLSFVMAAQNVPFVGQLSLQFSTDEDRLYVIGVIGMVYVL VVYVKETNYFTEENVIAPLSVEEQARVAGLVTEEQIESEAAKNKVAGMNAKDRKQDAE IIENA TRV_04096 MLVSGSYDEAVFIWDVRSARIMRSLPAHSDPVAGVDFVRDGTLI VSCAGDGLIRIWDSASGQCLRTLVHEDNPPVASVKFSPNGKFILAWSLDGCVRLWDYV DGRCIKTYQGHTNEKYSMAGTFGLYGHRRPPASSKKSPKEQQANIEKEYAYAASGSED GRIICWDVISKKTLLELTGHSDVVLGVDAFSPPTMDQKRLMASCGLDQTVRIWEEIED TAEVRAEETALKDVQKEESPVDKAENEDASMNTGEDPAIKADDNEGSDMQEQTPLMEK ASNDKDSDGDLVMG TRV_04097 MTTQQYSDESPHKINIISFNCWGLKYISKFRRERLLEIGKRLAN ADFPPEIVGLQECWTQEDYNAIRNETRHILPYGKFYHSGIFGGGLAILSKWPIEESSM FQYPLNGRPTAFFRGDWFVGKGVAYARIRIGPGSKDVAAVFCTHLHAPYEREPNDSYI CHRTAQAWEIAKLMRGAAERGHLVIGLGDFNMLPLSFAHRLITAHAPVTDVWRYLRPE SSIGAAIDDAEIARNKPIPSADFNILENGATCDGAFNTWRWSKEDQKRLAREGHISVD GSLPDPYAKRLDYIFVGNGLYLNHTDDVVPSSSSSWKWNIHSARVGMMERHPTLHCSL SDHFSVEATVVRAHPPVYRTERKPSSDADDDENAPSLYLPIQSHTGPNTAINDYAILR QTSNLPPEVTKQPVPALSADVYDDILDTISVYMVRERFQRRARLGHFLISIAVSIGCF IGTWWTPRPYVSFILCLVSTLSLSAGVIDGLIGGLFVSSEIRALKEFQWEIKNTRRTV LGLGLDIEEDLRSPKKSAH TRV_04098 MAPPPTATLTETVASVGNVVRDNFAGEGNTLAQAAPEAGSGSGS GYALLDDFAGKWEEFKFAPIRESQVSRAMTSRYFKDMDTYAESDIVIVGAGSCGLSTA YVLGKARPDLKIALIEASVSPGGGAWLGGQLFSAMVLRKPAHRFLDDLGVPYEEDASN PNLVVIKHAALFTSTLLSKVLSFPNIKLFNATCVEDLITRPRASEASGFQIAGVVTNW TLVTQHHDDHSCMDPNTINAPLIISTTGHDGPFGAFCAKRLVSMSALEKLGGMKGLDM NSAEEAIVKNTREVTKGLIIGGMELSEIDGWHRMGPIFSAMMLSGLKAAEVALEVFEE RKKECSA TRV_04099 MSFVSSDSGSEPDANRTNNNNNNNNQASASRAPDDDGAAVIAEL EAAMAANPDLRPVTPQPAEWEDYDTILAQNPEIANPVPGRKKEFYLSKDRWECGHEGD PVETVIERDVSDDGGSSAVLVNDIRGICEACMAKWKRLETFGPQPDAPGPSSASAAPR GPPSYSPPAWGRSGGTMEPLLELDDTDDEFDDDSLSDGSEDSLGPPPGPPPGRTAGGM GGRSR TRV_04100 MPTVFQDRAGISLYDAQFGAEGSARRPIPDQVRDQIVSRLLRFK VTCEDFGVPEKNIQMLATEATRTAPNSADFRAAIYRATGWEVTMLPKEEEGRIGGLGI ASSLSRVEGLVMDLGGGSVQLSWMISYPDSTEIQSYPEGSISFPYGAAALTRRLDEAQ KIGDEAVRQLKDEILGCFRGAVSALELPACLQERVESDAAGSGGLDLYLSGGGFRGWG YLLLSQSPISPYPIPILNGFSTDRSRFLDTSAAIGTLSSESTIYGVSARRATQVPAVA FLINVLAESIPSIRKIHFCQGGVREGVLFDKLQPEVRQQHPLIAATSPFKTGSASDIA LLLRQAIPYAASNDAKNLYAPPPAITSPGFIEALANSMYMHSSVPRASYASTALHSTT TGILAYAHGLSHADRAMLCLALFDRWAGDVCPSDELFLQRIRSILTLQEAWWCQYIGR VAGLVGSMYPAGVIRSDDDRISFSARLSHRQTKKGLTKHLLNLVAKVPDSKHPSFDGD SITAGLKRIKRTGKKGEHLRGDEYEQTDLLDSEDEGWRLKVETELDCI TRV_04101 MDTLVAQYSRPSSFQDDYEAEQQDVLERAPPLSLRFSLPPIDRP SAFLRATTDDHANPDCKIAHGTTTLAFRFQGGIIVATDSRATAGNWIASQTVKKVIEI NSCLLGTMAGGAADCQYWLAYLGMQCRLHELRHKRRISVAAASKILANLVYNYKGMGL SMGTMCAGVTPEEGPALYYIDSDGTRLPGNLFCVGSGQTFAYGVLDAEYRYDLTEEEA LALGSRSILAATHRDAYSGGFINLYHVKEDGWVKHGFSDTNPIFWNTKLEKGEFSNVS ENIVN TRV_04102 MATHSRRRFRITGLKTPNPNLPPCDPSLWIVFYSKAPSIDQLPT SRIPVSPQTQNILGQRRYIQSQGQLARKEFMLHDRNNWPTINLPPHGQQQQPYPQPYA AQMIARQQVPHPPMQQQQVVGYPPGVVPPKAQRTQRLPTAAAPAVPDFSLDDEEVSTG DILDALTPRDISRMRYKNHHEWMEEVLASPYRMDQILPVDLGLGRKGELESLTKGYFY APGALASQRKEGDGPPPATGKMDPGKAEEFANSVAKRLAEMNAEMETMRKKHARKLEK TKKLSALREAELNLRDAVIDPSDTGDEIWRVEGRLRLEQEEGMSPVDYSDKSLKKKVD DIVDGLQASWGRTVVPVTDIKCVEKGGLQERVQPPPPPPQPAISDANMINTLHYSQYD GATDGGVETAPGVSAPAQGVPTSAPSQPMLSSAAPPQPVTTAPQTSEDVVMGGVEPST QSHATPGQPAAAEGDGDWVMVNKDGQASSASPSKDLSSTVDENTQQPTSTAGAPSTTT AGGTTATVPAVEATVPGTTPGATGEFEDENINTAGEALADYHGDVGGLDMGGIDDSAF GDAFHATEGNIGEHHHETDEIA TRV_04103 MGGKSDADWSVEYDTLRRERLFRHPPKDKTAYPALAAAIRPHID SFNALFEDTKVLEAALKDIGIKSFIDGSSETPEEKASRREDGRRAPKRNKLSVRITEL FLEKAVLPASNKYSTRNREIYPAECRERHATYRGRLRARLEYRINNGEWKESVRELGQ VPIMIRSNRCHLEKATPSQLVDHKEESEELGGYFIVNGNEKLIRMLIVGKRNFPTAII RNSFQKRGSAYTKFGVQLRSVRPDQTSQTNVLHYLNDGNVTFRFSWRKNEYLVPVVMI LKALIETNDREIFERLVGREGSLGIENTFVTDRVELLLRTYKAYGVHSRSKCRAYLGS KFRFVLGMPEDIPEEDVGTELLRKIVLPHLGNQNVTETQDNDKFNMILFMIRKLYNLV AGDCAPDNPDAVSNQEVLLGGYLYGMLLKERLEEWLKSVGPMVRDWRMRNQDAKFTDP KFEREFLTRIIGRTNENIGGAMEYFLSTGNLVSPTGLDLQQPSGYTVMAEKINFYRFI SHFRMIHRGSFFAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHLAHKCLISTSN LDVSGIPGVLAGLGVTSESSCSIEESIPVQLDGKILGYCTPKQSRAIADTLRYWKVQG HKQIPVELEIGYVPSSNGGQYPGVYMFSEAARMYRPVKYLPLDKLDYVGPFEQPYMEI ACVASDIRNGVSTHIEFDPTHILSILANMTPFSDFNQSPRNMYQCQMGKQAMGTPGTA IDYRTDNKLYRLQTGQTPVVRPPLYNAYGLDNFPNGMNAVVAVISYTGYDMDDAMIIN KSSHERGFGYGTIYKTKIYALDDKESRGRGKSKRATTKLFGFAPGGLVKAEWKAQLDE DGLPHIGAKVSEGSLVGAWHTVQYDPATDTYINVDKQTHFLKYKDGEEGFIDSVRVLG SETGNEVCQAVSIKYRVPRRPVIGDKFSSRHGQKGVLSQLWPATDMPFSESGIQPDVI INPHAFPSRMTIGMFVESLAGKAGSLHGLPQDSTPFQFSEEATAGDFFGKQLQRAGYN FHGNEPMYSGITGREFAADIYIGVVYYQRLRHMVNDKFQVRTTGPVNALTGQPVKGRA KGGGIRVGEMERDALLAHGAAFLLQDRLMNCSDPQRAWICRTCGSFISTQVAVSQLLS PHKNPAMDAVVQSTASAIAKPLSGVSALGGLAGIVRCRRCAREAVFDDPRAEVWEDGS GKRYVGGSDTTVVAVPGVLKYLDVELAAMGIKMRFQVDN TRV_04104 MAIQNEGSAIIDSQPRPVTLNHNKNEPEEGQSVTSGSGWQMKTP KNEGCPPVAIVGMALRAPGGVKSPDELWRLLLEKKNGICEVPGNRYNIDSFYSENKTH MVKTRHGYFLDEDPACFDASFFAINSHEAGQMDPQQRKLMEVFWECLESAGETDWKGK NIGCYVGVYGEDWLDLASKDPQYTNRYHILGTGQFALSNRLSWQYDFRGPRFFTLWPK FKWLSRIYTNCLPLVADFYYTNCNQGLHPEWRSGDDDKPEQPGLSPEQWEQQLHTAGF SGVDAISFDFDAPHQTSFSILSSAKPSSSPKTDVTLLTGDTPCPWTKKIASSLEKIGY RVHWGRLDQSPPTNQCIVSVLDLESPYLHNLSEEKYIALHSYLTKIGKSRMIWVTRMN QLECSDPNFSIIFGLARTLRNEMGLDISTFETDMFNSAAINGLSKVLDKIEWSRASSA LDPDYEFAFHNGSIYNGRCHWMSAQSQMPIESLGETPRKLAIKSIGSVDSLYWQPFAD ATELTGDEIEVDMHYIGLNFRDVMVATGLFGDPKEFGIEGSGIVRRVASGVVDFKPGD RVFVLDTGAFRTRVVKPAHTVLPILDTMTLEDAATIPCVYLTSIMCLETFSRVREGEV CKKIGAQIFATVGNEEKVQYLVNEFGIPRHHIFNSRNADFLPGIMRETGGRGVDVVLN SLSGKLLHTSWQCVAPFGKMVELGKRDFLSNGRLDMAPMMENRSFIGFDLGHWINADH GILRHQGSLKPIRPIKVYDAADVSEAFRYMQQGTHMGKIVIRLPQDLSAIPLAGVKAP VEFSPDVSYLLVGGLGGLGRSISAWMVEQGARHLVYLSRTAGQTDKDRAFIRELEDQG CHAVCVAGSVVNIADVRSAIAQCPKPLAGVFQLSTVLKDRTFSKMSYEDWTTCLNTKV QGTWNLHEALQNEKDLEFLVLFGSVSGVCGNVGQANYAAANGFLTSFTQYRRQLGLPA SVLELGIVDEIGMASENEAALQNARSTSLRLIYENELIEGVRLAIYQCRNPPPADSLT SSSSIIGLGNTKPLTEPGVRPLWARDARFALYSNLAGNGNDGTAGEANNGVKLLLSKI GQSASYLNTEESKSILQGVLGMMVRQSMAHTQDMDEDELAGVNIDSLTAIEMQNWFRR NMGLEISLAEIGKAGVVGNLAETIHGLMKVKYGVTGKQDGADPENDLD TRV_04105 MRKGGRERGEQTREKTRRFKDCERDEEYARAKTERYEQSAKKKA APCKDFEGKKKTRKDRKKTRKRQEEEKKKKRRRRRRRSKDMKEQEEGEDELDIRRKTH EKRRDPLQRARGSCSSGWANHSLSCFCRNQPGGGLLCSALLCSALLTSTSPLSTTDEV LHLLLASTSLLLLLLFCCPSYYTQHAPKDRTTNITKERQATPWSTARPRDEPPQHGVE VGLFLCADAVAAHLALRDALQLHRVDQLVDAQHVRQVGLVAQHQQRDALQRRLADQVV QLVRRRRQAGPVCGIHDVSTAELAASSSSSSSSFGLRMTYTMALTPRQYRSHIGRKRG WPPRSQLL TRV_04106 MGQQAHQPQYQQQQYPQQYPQQQQYPQAPQQQQYPPQQYPQQHQ SGVQSPPPQYGAAQDYYAPQQTGVELQQPPNAYGQNYPGQKG TRV_04107 MDPLEFTPWHQLAPRGITGDVKDTFSSWDKCMAKSYCKWPAIVG IIVGGLILLSVVWCLVGCICCGYTCCKGCCECCSCCCPSSSSSRKPPPAPAYDSRSKF ADDYSGYQRPAPPVYNTQAASSTPSYAQFDTLGSRPAPTVPTSTARVNDDALPNMPTW QDATTRRVEDTSHHNEEMEMSHLNPVTGHSVVDDPGAAHGSAYYQHAQPVSPIYPPDH HHTRHSQSMNMNMNMGGGYRGLDQGHISPSIPPTAIGIAHSPGCGPAPLSNMYHDSQQ DQQTSGQIYAPPRYNTQSPSTIGIATPAPPGAGDAYGYTHPNGSSRSFTRSPVQHIRG TPSPRPQLSPILLSRSATKDIHALFTLDVNTTSSLERTGVGCRPATGGRAVGITATSS SKLVDGRKEARRELLERCIVYLLFYFFLFPPFYYLLPFGFFPSLRSCCDGSYYSFVDM FGYIPARIPVVVYILLLTFLFLLYRYVDELSTVYFSCFLPPFTWVTNLTGALGGLTFA GLIGCLDAYLLTSRSIS TRV_04108 MGCDIKNSVVQAEAALPLPPPGAGEPDTETDMEVKRETDGGSVD TETYPEGGLKAWLNMLGAFCAMACTFGWISTFGVFQAYYHTHLLKENTHSQIAWIGGL QAFFICTTGLISGPLVDRYSLKPILIPFSLLALLSVMITSICEEYYQFILTQGVLGGF SVGMLYTPSISIMGHYFHRRRDLAIAVASAGSPLGGVIFPIALLQMLEHSSIGFGWAV RGVGLIFLFFLCIACATLTPRVTPRRGPHFLSAAFRDPVYVSQLVGYCLVFWGIYTPF FFLPSFAILQGVSVDWAFYILPIYNTGSFVGRIVCGRLTTICGRFNTLLAAILVSAVL MFSWIAATSLAGIIVFAVLFGFSSGAIIGLFPAAVAVTAPRANQIGTYLGMTMGVLGL FCLTSSPMMGAIVTRSGGQYDEAIIFSAALIAVGGSLIVFARVKHSGWKLIA TRV_04109 MPSRMRALSSFSSRTSKRRSLLRRKRKPRINSNTSSTDSSADIA VSGSGHAEAALTSLFAATSLTRVSSRNFDIVKKMTQKNSIPDSFSETTPATFAGSLGT TDINSIDKTTTSKAVTAEKLSDLPPPAQIEYGVLREQCPSLPADPGLHAGILLLVTDC AGEVKLGKCDGEPGCETPSKLGSLKRRANTNFGD TRV_04110 MLTYIHSIVVGGVNSSFREVFGKLEKLQAKQQFACAIIAGDLFG ELSTGNPKDDLSALLRGVIQVALPTYFTVGKNGIPDEVAEKLTKDDEVCPNLFYLGRR GVLTTSEGIKIVALGGISGPLPSDTSVNEKYLPYHTEADAQSLYSAEKADILITYQWP KGIEKGSKVPTGENMTECSQCVANVCLALKPRYQFSSATDTFYEREPFFHIPEDEYTV EKHITRFINLAPFSTTSKQKWLYAFTLDPKSVLPTSVPAGTTISPLTAVARGRGPLPS QKASFTRFRQDDGQQRPAKRARKAAPGPSECFFCLSNPNIASHLIASIGNDTYITTAK GPLPTAYTFPALGFPGHILIIPLIHAPSLASIEDPESKSATYAEVQKYRSTLHSMLQR RADVALGAVTWEVSRGRGVHIHWQFMPVDASLVRRGLVEAAFKVEAENLEYPKLEKRE SSCDEPDDYFRVWIWAPGQAVEGGATGSETTLVLPLSDRFRFDVQFGRTVMAKLLGLE DRLNWRDASQSMEEEKKDVETFKGAFKDFDFTV TRV_04111 MVAASSSQRSKPPRLQKGGTATTKSHRWEPFSQRVLKLKIDPVH RVRRTTFGEDDDDETSSCFRASLDHWAELNLSENFTDFVQRVDRLCENMPQVLYHQDA IMGLLVEYIEKKDELSMEPLLSLTAQFARDLGSRFEKHFAAAVQLIAAVAATHQSIDV IEWSFTCLAWIFKFLSRLLVPDLRPLLAIMTQYLGKEHQKHFVSRFAAESVSFLIRKA AAIYYKDKKPLERAVTFLLEDLAKASAEGRQVTAYQEGLMAMFADATKGIKSTVHSNG IDILRCLMKAVGVKNLEQSALSESVLSGVLINLVHYCTAETFRPVLDAVCQYNESISA KDKAVVTRVGCHTLFLCIVARKGSRVADWNRTLNALLRLLAKATETNVFPDTSTEQLL GSVAFTIHAAPMDELLPHMRQLMDSVTHQRLSKHFIPFCDLVAGLDPERFQSVVHPYL QKPGSLTCPNQWKTLISGRFNSSSPDEGEIALLSVYSRLPDAISFSAEPSIIPDLVGS LHRKIRLTLESGSPDNAEAMFSLGQGLLAYVRFSHGLGQLDNTLWPLLCSKASQYSRI PVFLEAVSTYVSLIREPSEVSDVDLDQFANALLANLSSPSHRLRLLSLDIFQLIFTWL KIEDPCLPLARSIETSELTLQAARVISMDVRRLGVNYAQSSRKRWLARLVPSFCFGLL WKRLASVWDDACEAMKVICETDAGERVVSEIAMNWLHSTPTEDTPRHNSPDSAANLSS TTSEYGCYNVNNVQKAMHSSFRTNEDASSSLLNDFRSSHSPQPLSAKNARSQALRVLN AVPKISEKRSRQIVPLFLSWASRDETSIHTPEDMLFTEKDDISSDSQQGWTMQDKKSM LTLFGKFINPKVLYQASEAHDAISNLLCNGDLEIQKLALNAVFTWKFPAVRPYEQNLL NLVDDSRFKDELGIFVHVGKDNSLIKNEHIEGLFPYILKLLYGKMVARAGSRGSLGRQ EVRRKAILRTVSQLPDDQFSEFVQISFGSLGSITLLNDHGLVKDDILDREFMSVRRQL GLLNMVETMFSILKKKMSPFVNQTMNVVLYCLIRACKRLAQSEAFTSDDSVQLGLLRN IRQLGVKCLDLVFSVSPTVDWEPFIPLILLEVVNPRLDNFAVETAQAVSGLLQLFRTW ASNPRSAMYFEGTGIVCRVVDCLATESARDEVKIFVLDEVLTSLVGVCIDKQVDEEGD VDTSAPSPEAIHKKVLGPHMEYVLAHLEDFLKEQPPRHILGPAVELLSRISSLVVSSA ETSKLISTTIFLLQQPPDRVPPKTKGRLLKVLQHFLPLFQQQDHPELAQRIFEVLSSL FDYFKDQPNRVSLAAVFDIYVANDPELVEIGKLVADLNSMSTKRLDEVDFDRRLKAFT VINEEKHSSFTARQWRPLLFNIIYNLKDEEELAIRSSASLGIKRFIQVTKIREEKSNS EYNGLVERVLLPALRNGIKQSAETVRAEFVSLLGYLVQEHTELQAVKDMHDLLAGGDD EASFFNNILHIQQHRRLRALRRLATEVTKGKIQATNTSTIFFPLIEHFVFQQSEDENA HNLIAGAVTTVGSLSEAITWNQFKAIFRRYQGYIKSKPGLEKSVIRLLSQMTDALSRA VSGKATRAIAEEVTGEEMEIEESPGVQTTLSASIPNGTKLTAELKTNFIPFLTGFTNK RSESDVALRLPVAVAVVKLLKLLPEEDMALMLPSLLMDVSSILRSRAQETRDTARKTL ADIAIILGPSYFGYILKELKGALSRGYQLHVLSFTVHSILVATADEFEVGALDQDLST LGEIIMDDIFGTVGQQKDAEEYVSKMKEVKSSKSYDSAELLAKNASIRHIYDLIQPIQ QLLQEKLTTNLVGKIDELLRRIGIGLLRNPGAESRDFLMFCYEVIKESYKVPEPVLDN DEWKTRSRFLVQVASIRKKSGGSTTSYLYKLARFSLDTLRSVLNKYNSLLTADNVAGF VPIIGDSIVQGYEEVKLSAIRLLSTIIKLPLPELDKNADVYIVECVKLMKESASSQTD ASQAALKLVSTVLRERKRTTIKDSYLAYLLKRVSADIDEPDRQGIVFNFIRSVMSRKF MVPEIYEVVDKISAMMITNHTRNARDLARGTYVQFLVEYPQAKNRWTKQMAYLAKNLD YAHREGRESVMEAIHLILAKTNGELAQEMVDTFFIPLVMVMANDEAPTCREMAGSLLG TIFTRADAEHLKAVLSPLESWLEQSDNIALTNTGLQAIRIFFESDANNKDRYVRFVTE LLPGIMSLSLDNREANEWEILYYSLQLFVKLSKLFPSVTLNPSCANVWSQVLQSLCYP QAWVRSCAANLVGVWLADIAKANSSTGYSSIPLVGSSGLVLDVTLMLQITRSSMFCLK APVISEDLAIQTVRNLIFLGRCFAQNNLVLPQKEAEEVEESEFEEEDHVSNSKPARES KTAIQYIFEQAARILRKEVLNTRAESLNAKTASMKMVAALCTHIDASKVVPYLQTILL PLIHLTDTSIPAPRSSDEIFQNTYKSLVTSSQEVLDILQKKLGTTDFVAHISEARERV KERREERRVKRRIEAVTAPEKVSRDKKRKHDRKREKRKERVLENRNRRHEL TRV_04112 MQKKRKLDKLPGSRPSLLFYLLLLPLCAASSSSSSSQRDVEVVT LICRFAGNFFYLRDGSAAKPFPEKSKSLNLKNFGLEGLKIKRLKRQRQKTRRGQEEGR HIPGKRADFITMATGQRLFRHTLTTACRLPRAYISRPGVQSTSISLPLPQTSPRLSSI LPRHPALLFSLQSRNSYSTTTRDPHPLTDNKTPATTEEETQAAQARRDQEPAYLIYFT CKPCSHRSAHRISKHGYHKGTILITCPSCSNRHVISDHLKIFSDAPVTLEDLLAQKGL KITKGTMEGDMEWWAKDEENVEASTETTSEQAQLKGGEGQDGKV TRV_04113 MTGRNENDDFASIWNILASSIREIHTKNSSQLSFEELYRNAYKL VLRKQAMDLYEKVAELEKDWLYNDVRKQVASLITPALLTITDSADATEHANERKAAGE RLLAKLKEVWEDHQLCMGMITDVLMYMDRVVMQELRSQSIYDTSMGLFRDCVLRADIG GENGTIGSVFENTLLFMILLEREGVIIDRALIKHCVYLLEGLYEDGIEDSTGKLYHTT FEPAYLEASRRYYAAEGQRLLTTTDAATFCKRVTARIRAEQSLCQQTLSPVTEAKVME VIDDCLIRHYIGEVIRMDDSGVKYMIQNDRLEDLKNVFELIARIDAKKVALTKVVQQT VIEYGTAVNTAAKELSQNPPAPSATDQGKKSSAPDEKQPVANLQTAAAIKWVDDVLKL KAKFDRIWEEAFVKDQALQTALTLSFSDFINVNPRGTEYLSLFFDENLRKGIKGKTEE EVDALIDNGITLLRYIRDKDLFETYYKKHLSRRLLMKRSASMDAERQMITKMKMEVGN TFTQRLESMFKDMAVSADLTTSYRDYIGNNSRIELEMSVLTSTMWPMEIMSSHNREGQ VQLPCIFPKNIESLKQSFERFYLDKHSGRKLSWLPGMGTADIRATFMRQNGKVERHDL NVSTYAMVILLLFNDLPSGESLTFEEIQEKTRIPTNELIRNLQSLAVAPKTRVLRKEP MSKGVQPSDKFSFNEQFTSKFTRLKIGVVSASGNKVENKEERTDTEKKTSEERGNTIE AAIVRIMKQRKTLAHSQLITEAISQLAARFTPDVNMVKKRIESLIDREYLERITDSDP PAYSYVA TRV_04114 MYKISKIEDLSMAAADPLSPENNNQNKPTLNLKLSIMQSDLART QYIIRSILRQRLSKLIKYSIYYLRISMNQETQTPAGTDAASLLSEKELQFLRGHQSLL TTHYNASFLSTFPANLKRLDDNVGGTNMVVAPENKEVVFVRCLSDESRIVIPASEGEE GMAGLERYGGSMARGEIWVVRWEGVKDAWKRGDIEVL TRV_04115 MTVVDTITTPPIPSSPAPTDIMEMSPLPHKAPFGMALDLESPTM ELSMAKSQQSLFLSDTLQQSPIEPSRSSTPSERRKAPMLRPSLMRTKAYSASRTPLAQ PPQFKFLAGGSKLSTSTPISLAEIFEDDPDSPSTARSSTNGPPGPPRSRSSRALAQVP ENGSPSYGAMRRGSNPFSRPRKLSRRSLSMFEHPEDVLKDDKEDTTMHASTALQSITD MESSAPTLTLPHFIPDDQPDCLPRIENSVLVDIIDGKYSDQFDNITIIDCRFEYEYEG GHINGAVNYNDKEQLAEKLFTEGEMKQKTALIFHCEYSAHRAPIMAKFIRHRDRAVNI DQYPKLTFPEMYILHGGYSGFFAEHSSLCYPQNYVEMAAKEHEFACERGLGKVKQRSK LSRAQTFAFGQQNSPMEDSPTGRCRGASDRNMNNTFESPLGRGFSPGRLAERRMFSY TRV_04116 MEQSSPLAAMHPPSVHFGHCFRAEPASSFTQFPAPVPSFGPDSF NFRDLSMKRARADYFTAVKPASRPSPTVSLAADLSQNFHIDQRCVLVYPGQTNSLFFF PLFACPCPVPVMSSCLV TRV_04117 MKSKEDEEKKMKKNKKKKTKTKKRKRDAVPSVAMGNGQAGMQKR RGHSELHGASMKGKRKKGRRLSKVPFRVVSFLATQQPWRGTSVLVVIARKSEVLLHRA GVPGHRCSQSDASPSHRCAACRPARRATASTVTGERPGWLLAGGQRKADLAVCLQVAI YLDLEPEPELELELELYKLVAIDGALSLSLCGRF TRV_04118 MWMDLDGRIKEFHAGHSPSFLGPSMPRLALVSRSPTIDSDMPNT KWRFRATGSSNPIQLQASPLLALDRPKLHPFFACFGNTRAISGILLFYFFDLWSLLLR NSSWFFFCRCELLLLLVARPPSPSSAATNCISRCIHARSRTSGYFLQPASQPDTRIRS PERRIERNSKKQKGSQKKEKKVNRDSARSSLIGPSHEQGVISASYHQGSQPRNRVEKP AGQLSCSSSSRCFFFGSSAFSSLVTAAAVRSTAAGAAALLYLYNCWFHGPARFSSMHL SSSRCMVAASTHACMPQQNPRKEQREDGPQSSTSQKWELTIRQPPFTSPPLKPMSIGI ICLVWPLKPQGSIRFLSTPPAHHTLL TRV_04119 MIHPGIGYGHQLRKINMPEQKKYRIASIPGDGIGPEVISAGITV LKKLASSLGKFELDFETFDWSSEYYKKHGKYLPDDALTTLKKFDAILFGAVGAPDVPD HISLWGLRLAICQPLQQYANVRPTKVFRGTKSPLRACSPGDLDWVIIRENSEGEYAGQ GGRSHAGQAWETATEVSIFTRHGVERIMRFAFETAQSRDRKLLTVVTKSNAQRNGMVL WDEVAAKVAVDFPEVKWDKMLVDAMTARMVLKPRSLDTIVATNLHADILSDLAAALAG SIGIAPTSNLDPTRENPSMFEPIHGSAFDITGKGIATLWTASEMLRWLGEKEAAALLL ECVEAVCEKGVATPDLGGQQTTAEVTSAVCEEIEGRLGRQTDWKPGDVEAADETAMTS SKPKKVV TRV_04120 MSSLNPKKRRRERVNVDVKLVEIYDDLSNENDEIRLKAAGELLS RFTPEANPAPEDVEKALLRLFRGLCSSRKAARLGFSIALTELLSILFGQAEGEQKSGL AGWDVSKAIDLLESNTNTTSAESGQEERDHHFGRLFGAEAILKSSILFQPDVPFENWT RLLSLVFELAKKKPWLREECGYIIFYAICDIGARNRGTKYVDSALAALCGNNLAKTPE GIAIWLAAMDLSCSPPVVFPSGVWSHDNPLNSREKASLAKIMKESSGQDASNDEESKV KNPGVWNPKLPFAWAPILTKLYSTAGGKGQVEGKGKKDKTAQLSFLDFWTEVVDCGLF AAASSEERKYWGFLVFMKVLNEASIEVASQIFTQNFMRCLMNQLAVEDRYLHKIAVKA AKSIQARASKEPDFAYPALCGLMGPRGAVNFDQIAKVKVVEKIVADVSHTAIKQLMPF FEGLIVNPEADVKAAASRRQLIANFLQTIVKSFITSAKEDDSDELDSAVQEIVLTLAR YTYFSSDTAKPPISDATRELFRNKIMASLNIVISNQKRPSDIAYKVVQKIRDMEEAGD SGKSIIDMSDSISESVHSAFKTLKKINKKGKQEDGQQNQAAQGLKLLYSLTILQVYNG DADAASMLDELKMCYDKFLSHKKSSDEESGQASDALVEILLSFASKPSHLFRKMSEQV FGAFADKLTPTGLQSLLAILDAKESLAGQQELFDRNDDEDEGEEDDNDELDSDVEMID GSDVEVIDGESDSASDESEEEDEEEDEGDEENEQNLAEFDAKLAAALGTHRADKDLEA SDGSDDGSDMGDDDMEALDAQLVKVFQAREQASSKKKDKKDAKETMINFKNRVLDLLE IYIKKCHSKSLALEIIVPLLQLLRRSSVQQLVQKTANVLREYTRLCKGPGVPKVESDE TVWGMLREVHSEAMHSASGPHAASCSQASLLLVKVLVAHDKESVSGIVDIYADTRKKQ LLSNKCHVQASFFSDWNSWCVSACKQLKN TRV_04121 MYPLRWQVDSELCLAGFASKKAESPVSVSPLQGSRQTDNRQQQH QPANSRGEPRRGKKNKIYLMPPGDLPASYQPAASKRPSSRPKHGITNTAHPNRYSPTL DKQLEPRKRADRRWWSRMGSLGASPSLTATINDTPIDDEEIGLTPQQRRHKQWRARKR QQREELDSMVPNNALRMADQAVVKKLAINALFIGLWYFFAVSISLYNKWMFSPTNLNF KFPLFTTSLHMLVQFILASILLYFFPSLRPPLNSPDAAPGKPSKPSLTPIFYLTRLVP CGSATSLDIGLGNMSLRFITLSFLTMCKSSALGFVLLFAIIFGLETPSIKLILIICTM TLGVVMMVAGEASFHAVGFALIIASSFFSGFRWALTQILLLRHPSTSNPFSTLFLLTP IMFVSLLGIALGVEGYNEILAGIQTLSAEHGTFKVLCFLSFPGMLAFCMISSEFALLR RSSVVTLSICGIFKEVITIAAAGIFFKEVLSLVNIIGLIIAISSIAYYNYMKVTKMRK EALSEREGADDEEDDGYESPGPSSGLMDDSHGHGNPPAVQNSTLGGLVDQIKSAFGNK RSISGPRYQPMHTSAEP TRV_04122 MATENKRKSQQSQGQDHHGFGPSGTVSLEKALSSAIGARIRVTT TQPASVTVEGTLFTACPITNIIAINTSANTPSTGSSSPTLALSQPGDFTILPVTRIHS FNLLSLAPDSPSSPGRPFTSAQPAIYPLDIRALRTRETNAIARQKDHDSSRGKGVSKE AQDLFDAFKRTMPTHWSGTSIVVADSVVIAAPYGVGDCKTLNLAGRDEVAGTALTRVR KVLEMERKKIELRRASTAMAKSVNFPKNNNNSYNGNSSSNTDGHLRKSSTAGTATPAT TTTSNVTAATPRDPRSAVAVPIRSNAPASNSPGGQRKGG TRV_04123 MSLPPPPGLQRPPPSQAASQAQPPASLPARPPSTASSYTAPPTS STTPSTVSRPPSHGSDVSRPAVGAAFGFQPRAVAAQSYRAPQAPTYSTVYNAGYQYPQ PQHQHQPPQHHHHQQQQQQQQQQQQQQTYQAQPTSYYGQSQPQRPYNASTYGQHNTYQ KRNNTNSYGARSGQSRYNESSGDPEMDAQIAQWQSAYMSKDSSEAAAGTAAASGPAAT GANGGPMGSFQRLHDPLSSTSTPPNGTGSGTAASTTPIPGANQGLAAVPGQESTEPAK TVVRSGGGQTWTDSTLLEWDPAHFRLFCGNLAGEVTDDSLLKAFSKYPSVQKARVIRD KRTEKSKGYGFVSFSDGDDYFKAAREMQGKYIGSHPVLLRRAMTEIRPVSANKGKHLK GKGKHGHHSGSGYSKPSATPAPVSSSAGKTDGGVKKQKTKGGLRVLG TRV_04124 MVWEACSGQELSVRLPIPAASWSGGRPPSSAGFPSPYLVFRGLR SLSSLSSSFFIVFVLLLLLLAEKLGEKQKKKKKKKQEEKKEEGREEKGQKKTTSRS TRV_04125 MADQAVADFGLIGLAVMGQNLIMNVADHGFTVVAFNRTVEKVDR FLANEAKGKSIVGAHSVQEFCSKLKKPRRIMLLVMAGKPVDDFIESLLPFVEKGDIII DGGNSHYPDSNRRTKYLAEKGIRFVGTGVSGGEEGARYGPSLMPGGNEEAWPFIKDIF QSVAAKSDGEACCDWVGDEGAGHYVKMVHNGIEYGDMQLITEAYDIMKRGLGMTPAEI GDVFEKWNKGVLDSFLIEITRDILRYNDDDGTALLEKILDAAGQKGTGKWTAINALDL GMPVTLIGEAVFGRCLSSLKDERIRASKVLKGPEPDFKGDRKEFIDNLEQALYASKII SYAQGFMLIQEAAKIYNWKLNKPSIALMWRGGCIIRSVFLKDITNAYRTNPDLENLLF DDFFNKAIHNAQAGWRDVVSKSALWGIPTPAFSTALSFYDGYRSRDLPANLLQAQRDY FGAHTFRIKPEHASEKYPEGKDIHVNWTGRGGNVSASTYQA TRV_04126 MGDFGATSSLDEPVSLPGQPLPPQDDDIHVLVTGFGPFKTHPLN PSWLINSLLPQYATTSKNRRVHIHAHPRPIRVAYAAVREEIPRIIEEFRVAHDGRPPH LVIHIGMAATRQYYAVETVAHREGYKHTDVDGQFGIPFDPSLPERLQPGVPTPADYAS TSESTDKVRVIPSPLDTGFLQTWRKSLPAGRSIDVRLSHDAGHYLCEYIYYTSLSMAW EENRPRAALFLHVPGWTDKASVEMGVEVVVGLVRAMVESWIVETDA TRV_04127 MTGAHTLPKDFTFFSPTESEPRTPDRPLRDLSHPPRPHHASHRV RRRRMERKPIPFCAPDVPLPSIELSRISPAESASDSQSNSTPAYIFEGCAGYLDVPRR QRTDPKTPPAQIRDLASQSFENGAVDGTTTPVGASITRPSSACSHASDSSFSSTSAAS FDSFDSFISFGGSCTSPETEIDDPFLAVSLPDNKQNLDTPSKSVKNTPVIPANLSQNQ RWTSEMDQHLWNTYQTHLQDPTITPFKMLPGSLPPLGVSHRVAREARRAWMKTKQQQE QQPPSQSQSQSQSQSQPSQSLPSQPPETTSSASRNTPQWPRSDAVTRRRLKELCKRKY SIAPHYQRLLESRSPSPPPDLLDSYTATAATPVSVPFTRDLGISLVSGTMPSDPPEAA STPNIVSNTVNSMAATAHHDDIPRLGSPFMYHTWGPSNSRRVSQADTTPVKPAVDAHD TVHVTGSRLRSGIAPDLFTPTNNNQRLPEATVPADAKDQTSARVRIRTRTRGLTTNSS SNSHSNNMLNVNSRHRLIQLFTPPSGSGSNETDGLPSHSQTHSPEVEGERIKRLGSPF NLDLPASKRPQPHPRQYHRPRHAPSRSDTFLMHPTLNTQGQPFFSTLSSAADIDVPDT ERAPAPVTALHSPEA TRV_04128 MGQQEADVEARDYVPAPPVYGDEKRNDMRAMVEASLHDTRYNQT QRGLKSRHVQMMALGGTIGTGLFVGSGQALAKGGPAFLVGAYLLIGVLVYLVVTAIAE VGTYLPVHGGTMSYYGFRYVSRSMGFSLGYLYWYSLGILVPNEIVAASLIVGYWDDSI NIAIWITIFLVVIIALNLLPVRWYGESEFWFASLKVIMMLGLIILAFILFWGGGPSHQ RLGFHYWTHPRPANEYIVKGDAGRFVAFLKCVVLSAFAFLFAPELIIQTAGEMESPRH NIPRASRRFFYRLAFFYILGSLAISVTCPSDESSLTNGGAGAGSSPFVFGIKNAGIAV LPSIVNAVILTSAWSSGNSYLYMSSRALYSLAVAGDAPHIFRACNRYGLPYMALAASA LFLPLAYLAVGSGSSRVFNWFVSFTNTSAFISWTCCCIIHFRFTKAVKVQGIELTYRS RFQPWGARVGIFGFILLILINGFDTLFPQRFNASDFLTAYIGIPAFLLVYFGHRWYTR SDPWCWRAEDIDMVTGLDEIIAAERPIREHKGVMKYISYIYE TRV_04129 MLRVEQYQYQPLPTRTSIRLLRISSRDKFNQLECTLKTVDLDAA PSFHAISYTWGNPHARARDGHRFTQHYNALAAEYLFPSAGVPVKCDGKRLLVSRNLYD ALRDVPQDAWKTFINRRNESKGWTRLHTHSINGDARRVRSLIASGAELNTRDREGMTA LVWAVRLRRGEVVRLLVEAGARLDIPDYERKTALDYAREIADEGILSYLTAETAPDGC QQADEQQDGGPEVWLWVDQVCINQADPEERSSQVDLMHRIYQEAAFTLIWLGREDGYT KRAAHLIPRFARAGNQFIESTIIPYTQNSQELHTNEGIPYVAEGEWDALAALFQRQYF RRLWIVQEIILSGIVVAYCGGVEIPWRLFCITAEMLHYRQLKLGTEMSAKYVPLGEGG RGIEQPVVQLLQWQDRFASNPPADKPRTVSLENLVFDTWHFLATDPRDKIYGVYGLLN KKALADGQSRDTEKPIWQADYTKPVEQVYAEATKQIILDAGELRILSAVLDHSWRKIE SLPSWTPDYSVTWTNLMSAYSNAAGNLPVPSPLIEKSDHWGTLTIQGLPIDTVLAIGN TTSGPGDLKRFFDPSWLELTLLIPSSYHHTGHQRSEVLWRTLCANKGPDGRQPAPQSF SSEFSEMIGTMLVREAWVEEGNAGANPELNLAPSLGHGIGRVLDMFSDPPLAGLSKAD LEQEFNEPSRNLSSQDTQKLVYTLLKAHVLALTEAERTCCIPPINVLLDLEEKLAAKS EDPSVGLLERLRQGGTLVRTLQSTVGRRRLFTTKNRYFGLGPAGMEAGDEVWVIPGAG AAFVLRKLLDEAGDGCSKYKFIGESYIHGVMDGEAAAGKDDMKRISLV TRV_04130 MPATRSTRRNQETKTASTATDGAPETAISERKRGLSVTGASVAK RPKKSSSSSITKKPQSEPKRDKKARDKDTSEAEKSTGRALENREPESVQKIRPEEGKD EEEEVGKPSSSQYTAAEKQKTKEEQSAEMNPLAPRASGLRMYVGAHVSAAKVADHTSR GNAFALFLKSQRKWDNPPLQDEHRDLFKKAHVLPHGSYLVNLAQEDPAKAKVAYNSFL DDLKRCEALGIKLYNFHPGATNKTSLESSLSRLAKALISALDATKTVIPVLETMCGHG TTIGGPLSHFRDLFALIPESYHPRLGVCVDTCHSFAAGYDLRSPAGWDRFMTEFDETI GLKFLRAWHLNDSKTPLGSNRDLHANIGTGFLGLRAFHNLMNDKRAEGMPMVLETPID RPVEGAGTGAKSKKTIEDKSVWAKEIKLLESLIGMDPESKEFLELEAKLADEGKEERA KHQAMFDKKAKENSGKSKDIRSMFGKGKPKRKGSRKKDESSEDETDGECGSSHE TRV_04131 MARPSDDRIDFDLIENQKENIQSLPSGRSAKALAAVFSPRPLDQ SSRSSELDETRTLNDAVRREYEAELESIAESDDPLDIYDRYVKWTLDAYPSAQATSQS GLLPLLERATNAFLSSTHYKNDPRYLRLWLHYIQLFSDSPRETFAFLARHGVGAQLAL FYEEFASWLERDGRIAQAEEVYKMGIEKEARPTERLFRKFGEFQKRAEQRPSGSDGPS SPALPRVRPALAAKFDPFASSAASQDPQAEPTRSGLGGGTSTSRSRSGKPKMAIFSDA DGGAEATKSSCSPGTRGWDNIGSLKDRRKENTIEPTPWAGQTLKAGKKPAATQKMTVF KDESMQTINVSRNNKERVDPRTGKIERVVVNLEAIYPDPHNPAVEMSLDELRAIRRGW MSKDWDKQRKKPLREIPQNEVTGRDPHINGNDYGPDKHLSMAMQEKLQIYDEAGRDPK LAKGKKTKVKEIKMETQIVKTNLDSPTGPRIKKRGSTEPTMTFHTKEATDEIYGIFNQ PLKSELQLAKDLDSVYGSDYEDDDCASIGESTTTGAISAPGSDFEDDKTNTFKASRAI IGADGLGDRTEEDITSASAWTEFSPEEHVPGPGTITPREEEDAESSDGLGTMPTPRRG DRIVHQEENFVLVPPEDYNPPRGPYRDAFVAAQNRLPFMTPIVEHTETSLASTMFKNK HYMSSKTPSKNSKPLFSASTPAIPEIDDLMLASQDDAEFDMLGDESPSKMAGKLKSPQ SMFKRGGLVEPRVLIEDVQCNPIETAIRDKILKGLQPPLRVYPGYNDHTSQIGGNGAE IKKYLKALAKPPKAHGSEKQFTIPPIICLSGAARSYAVKRELGEGGFAPVYLAESIDS PDTFSDSEQENFPPAKSSHLTGGNGAVLRDLERKPLEALKVESDPPSPWEFYMLVTAR TRIENSSYYRRAKDSIVQCHEIHMFKDESILVEDYLNQGTLLDLINIKTESTGPVAPS DQCLEEVVIMFFAAELFRTVEALHSCGILHGDLKPDNCLVRLPGPASASTIPTPRSGS LLADDEGCRPEDGSTGYSPSGLYGWKERGLTLIDFGRAIDMRVFKPEVQFIADWKVGQ HECVEMRECRPWTYQADLYGLAGTIYVMLFGKYMEVSQVTERTSDRPGSPSLGNERGV GAQKTYRIKESLKRYWEREIWSDVFDLLLNPLSPKWVEIERNAREKASPGSADGDKQP TFPVVHSMRLVRENMEAWLVANAGRKGLLGHLNNLENLIARKRGKRDA TRV_04132 MATEEDISVPLNIDSAEELVSSPSESEPASIDCSSAEGTSSSSS VDAEQDMDTGPKTDGKGDDVKQDNGQEKDTQDNEKDSEKEDKLAVPAAVLPPRPDNPR RWTWRCHKCHTHYALAVTNRCLSDGHYFCYGLAQGRKHRGRRQRQVKGESSLGTACNS SFDYAGWEAMAVWQRHARQQKGISPSPGCLQDCRYPGECSQSRLYSIPRPSTLAGLSA GETDAQPPNVRPQLAPYPEDASCVYEIFDHLIHASH TRV_04133 MAQERPGNTNGLRSFALIVPYIGDLDVIRAVPCLVDSHHEPDYH QEDSSGDTNRQTGVTAPFFVFFAFFFNWPIAGSAAAALLFLLKPGFAASAGVGAL TRV_04134 MSVLSSSDDDEHCCRTIDSFLRPLTITDATLYELSYRLSKVYRT LALTGDGHFFPTPVTCLPSGRETGVYLAVDVGMTNLRVAFIELLGCSPASRHSPSGRS DQSAFRSVSGRRVRRTIEKAWRIEERLKEDDEEALFGWIGSCIAEVVADELSSHDPAD QSLPREIETGISFSLPIRQESLDEATLMPIGKGFAIKSNLNLRRAVLNGYERHSYQPN QDQRQSQTKRRKTYTLPGLNIIAIANDTVATLASLAYAVKSLPNTRVAMGIVVGTGCN ATIPMKLKDLHQTKARQIRSYCPKATEAVISTEWTLQGTEGPLKELSISTAWDDQLSA CSVRPGFQPFEYMTGGRYIGELIRIIVYDYYTTVMSYPSAVLPCALTKPYALTIDFVS DVIATSRSDSELAARLSRKLASPVKNSWLWTPASARVLRVTASAVQTRSAALIAAASV ALLACNHEIRLECPQRCTSLGKLHCATSPYQNPLLLPTSGSTVNWQRGPEELVVAYTG SIIQHYPNFKVLCQGYIDRLVIRAGPQDGGKSVLLREATDGSIIGAGVLAGMIATGRN LWN TRV_04135 MGYAAIWPESSLYAIPDKLSSLDAAPMMCAGASIFGIYEQCSIK PNDRVEIIGLGGLGHMALIFGAKLGLETVVFTHSVAKQVDAIVMGATEFIPLDDLPRI ISARRKRRDSDSGIDDQERNSMDDGSEVDAENIRPLDHLIVTTTESVDWSTFFELMAP RGTVHLLAGNMKDNLVVPSAALIDSGLRVFGSLVAPRSVMIRMLDFAARNRVKPIVQK FPLTAEGVNTAFQKLDEGTIRYRGVLETPMDCLYKVSP TRV_04136 MSKRPRHIACRTCRERKIRCDGQQPCSRCAGQSQSCVYTTPSSS DAGTSDLTQQLQMMNDRLRRAEAQLANQACWAITEDPLATPGTYPYTSWPTNTIQSPI PLSPSSYMALPIDMESPQPEWALDTFAGLPPVTQPSSGSSTSSSFSIPHSTYEDRILS PQNMLKLQEAFFQSHGHLFPMLDQWRHLANPVVIQSEPWKSSIYYAICTHGALVSGNP SLEEACYHRARRELELSDMGGPGSQFFKIETLQASLLIALYEFRRSYLPRAWISHARC TRLVQLLGLQKLDRVGAPEEDEVELEEKRLTFWATYVFDCLINISFGGSLAFSEREIS TRLPRYIHQSSDILPPQHPFTLSHLLQSPDISPMTPYMATIIIVTTWAKTLKHARDAD SYELDDQNQQQFWASHQALDATLNRVEACLGDLTQFTLLADPTVSFLNAARHAAAISL YQTAITAAVPARLPVALIESWQLRCQNSAMEIITLSGVMLEGNLLHNVKHFNPFILLS IYTACAYLARVIRTGSPDSRILDPIESTLRSFNRAEIKTANPTLDVFLPRIFKDIEDT RMFPEPIIDPSLWLLNPGE TRV_04074 SRQEDVKTQKTLRKKTQTDDSDRHKQTEIHSHSRRHQHGHRIEV SLADFAGDDDAQLLRHHHHQPHHRPDLNLKPPAKTRHPHTTHQHSRQTPAKMHLTPLL SFLFALLSLSRLAAGLKLIESKSLTPCTNTATQNNADFTASEFNIAFTPENRTLRVNF HGVSSIKGFVNARIELFAYGYPALDQRFDPCKSGLDLQGLCPMTAGPIDLMTDFVDVS DEVINKVPDWLLGIAYNVPDIDAKFRAYIYAADSGSTIACFEGQLSNAKTVYHRTVGW IVAVIAGIGLIASAITSGLGHSNTAAHVAANALSLFSFLQAQAMIGLTSVTLPPVVQA WTQNFQWSMGIIHVTFIQNICTWYQRATGGTPTNVLNSPSTTSIQIKKRSMEYMRNVV FTYPNGLTKRVNNEPTVGETINNIVLRGIERVAYRAKMETTNVFMTGLLFFVIFVTFV VLLVTAFKGFCEIAAKKGWMKSDKFQDFRNGWKIVMRGILFRLTLIGFPQMTILCLWE FTQVDSPAIVVLAVVMLLSIIGSLGWAAFKVINLAKKSVQMHKNPAYILYSDPSALNK WGFLYVQYRATAYYCVVPLLAYILIKSMFIGLAQKAPYVQAISLLIIELGVLVGVSIL RPWMDKKTNIFNISIAAVNFFNVILLLFFSEIFKLPGLVVGVMGVAFFVINAVFALIL LILVLIASGYAILSKNPDTRYQPMRDDRGSFIKSHTQLTTELDALGATARGEGKFNEF EDDSTSLSGQSNTRTPIDTHHPANDSHRPGQGYPNRPVHSPVDPSVPLFPSSASTHSG HSQRTNMPPGYQQPAFQDSASTHSSPYRHHPSPAPGGYNASPYPRTGSANPHAARSQN NASPWQRGAGYD TRV_04075 MSIPNCSYLPSNLSDDETLKMMDSRYPELVHMTTLNYSLVLLIF SAIYGICLVTYRLYFSPLSQFPGPKLAAMTRWYEMYFDVIRGGQFMWEIDRMHEKYGP IVRINPYELHVKDPIYYNTIYTGPTMKRDKYIWFLSAGAPNSLFSTAGYNHHRLRRGM LSPYLSKPAIRTLEPVIQEKVNLLCRHMREALMNDEPLELHRCFISLAVDIVSQYAFG KSKCFNVLQEKVLDDKWKDGVTGAFGKLLLTRHFPILIRVFRTLPVRITSLVVPTTRH IDFMESHVYETNRHGITEPCIFSELMHNEKHPVAERTLKRLTDDALFLMVAGTDAPSQ ALAIILYHILNNRKVHEKLREELVYALPDARSDPSLAMLENIPYLTAVIKGLRITALV TSRLPRISPDKILEYGNWKIPAGA TRV_04076 MLFAKYFTLAAAALAAQVTALPSTFSSVPEALGDLDPISASIEG LSQRIAQSPGGITELMSITNDIYDVCDMAKKGRARFDGMAPFSKQEELEGRPHIERLI TGLTSAMGATSTKVPLIKAVPGGTLVAKRVASRVNEEKTGFESSLQKKCSAEGFSEFR DGMNEFDKKFDALMAQL TRV_04077 MPAYRMLDLNPLSAQENTMYSGRGGAGNFHPLKNSAITPSSSIS STRTLSSRLGFNARQLLPGGRGGLGNFHSSSEYAIFSFDEELEQQLKREKDVAPVFHI GRGGAGNMAAAAVPDAPIMNLSKKRCGTGSVGSSSDGSSSSGKVKDWPRRNLDSWRGA LKGMPS TRV_04078 MADTALHNAPIVLDNGSGTIRAGFAGEDLPKCYFPSFVGRPKHP RVLAGALEGDVFIGQRAQELRGLLKIRYPLEHGIVTDWDDMEKIWQWVYEGELKTLSE EHPVLLTEPPLNPRTNRDTAAQILFEQFNIPALYTSIQAVLSLYASGRTTGIVLDSGD GVSHAVPVYEGFAMPSSIRRIDVAGRDVTEYLQLLLRKSGYVFHTSAEKEVVRMIKEK TGYVAMDPRKEEKEWSTNGGKADSKMAEYVLPDGHKLKIGVERFRAPEILFDPEIIGL EYPGVHQIVVDAINRTDMDLRKSLFANIVLSGGSTLCKGFGDRLLHEVQRLAVKDMRI KIFAPPERKYSTWIGGSILAGLSTFRKVCPMLCRLSSLASTPYVTT TRV_04079 MMNGDEDGDDKQTEGGFEVKGYREKQRRGEQPGSQPKKTCFVTV GATASFNAMIREILHLDFLAALKANNYTTLTIQYGRHGDELFRSFVKDNENEVLHNYG LTLTGFDFDINGLKDEMCAAKANPSTNTAEGLVISHAGKLDQL TRV_04080 MAPVARSFSRLALSCPRQAASRRTTFLQTHQPCSTFNQQRHQPF SAASTQLAPGSETQGSSSAALDTAIPPDLQREIKQEIRSSIRELRKQVRDVVPTPPRY RTGFWSEGEKDDLMTQVEDGDDVFGEDDMTSMAHTELEEHRELREYARIAAWDMPSLS ALAKPFTLPPQTHILRFRQTTYLGETHPAETKVVVELCSKDLTPKYLTEEQRITLLKL VGPRYNPDSDIIHMSCEKFPTRAQNKRYLGDLVNTLIKEAKEGDSFADIPLTFPHHKP KKRYIFPEAWKVSEKNQKQIAEARHQIEDIMAKKGIVDGNEVIAQAAKTIPALGSMGQ LAAQTVTVKKGPKARGPKGRN TRV_04081 APSPLFFLPASLFRVGFCPFTHTTQSHTHIHIHIYYPPSFSSLW CFFYSDIHLHCQQLEIDIPFLLLSLSRAASSYITLSLSLYLTKFGIPYTAQFIMTMPL RPLHFLSREDGTLTALVAVDELPHYVSIRGVPRTLSHSDTQGMTSLGTVKSRGQFYLI DSAIQHTSKSVGEKTNNPGRQVVTAAARGLEDFGQLAPAPQNSTADASAAQNPDWTPV TTGGTQTSTKHSSGHRHGKGGQNGNSAKKEYCSFWLRHGECDYQQQGCIFKHEMPTDK PTLDKLGLRDIPRWYREKHGVKGLGGAGGRNRHEGNGRNWRTENHHHSHHHLPAAAAN PADRRDVNGHRVMTTETQVERPRATPTPPQQPNLSVLPHGHPTMYNNIPGTIHGPPMG LSAPVGLNMNMPANNPPLEGPKFPNRCDLISVDDFRKTPTPDHTVQDGAKPSLGNFPG NGNKSHVKIDLMDQPFPTGPGLLPTVPATSSNNVQGQNTVSHNNNLMLSHSYAPASLP LPGSTSLWTITGNEGDNWNLLPLTPFLPVAGAPPVNQQSNGQGTQIKKKPQRSRRLYQ RRPSVDGNDGTDEEKNLRATNILPINTAITNLDFKGPASRAISPCFSSHPQSGSYASS PCSPRSGLTTNRFPDNFEFRGNGNHRYGSRGSPNSTQSTQTNRGINYDLFDLGLNNPI TITAKHI TRV_04062 QKQSKKKKEGQQQQQQKKKRKRKKKREQY TRV_04063 MPDVSNCVRTAQVIGLTTSGLMAADKNPGSILTYSTALIPTITL PAGSGPASYDSNHKPGSPISHIATQWRHAYNIGKSSMPFCAIGAGTAYAYLSYVFRHE TTLRPADTRTSNWYLLASGLVMSIIPYTLLVMNPTNKSLLSRAEVADAESMTGVSKAK EAASKASGDSKATREDVEVLNWLKGWAELNVVRSLFPLAGTLAALYATLY TRV_04064 MTSANFAAAQERVLERRRQRELEARNRLANRTSRLPAAASNLPY PLSSLPTRGLALWDILRGREGTRPAFRVGQVDAELLDEELITLLKGQVGEGLKDFGRH IRDDWNHEIDLVLRAILFKLSVWDHNASYGAALQNLKYTDSRSKGPVHSDPTKLQKSL YGLLTVGGRYAWDKWESWIIHQGGGYDEPQSSNTRALSKLTSLLSTAHSIAAFASFLI FLVNGRYRTLVDRLLYMRLTPPSMQVSREVSFEYLNRQLVWHAFTEFLLFLLPLVGIG RWRRWVSRAWRKTMSSLRATGDENKEKNQGELGFLPERTCPICYQAQNPTTISESDVM GPGAASGGIIGSAQTDTTNPYETVPCQCLYCFTCIAQKLEGEEGEAWTCLRCGELVKQ CKPWNGDVLEEPQPQPQSTAAAATAVRKNVEFAVTDSHIESSDTVDDSDSAARVDIDD GMNETSPWAAVGVEETDDTSVHTDLDFRK TRV_04065 MQVAIEVPGEANPLTLPNVIHALTTAGSSLPQQRQSGTAQLQEW GRQPGFHSLLQDVFTDYSTPFEVRYLSIIQLKNGIDRYWRKTANKYASVVTGCSIFGG ISANMGNRCSALKQDEKNQIKRRAIEAGVVEPASQLALQNALIVAKILRAEFPLEWPE AISEIIEHLRASIRPGANPVQLSRTLLILLQVIKELSTGRLEKTRRGLRSAAPELLHI VASIYVDKVQKWGTFLESGGDDEGGALEAVEQSLMSLKVIRRLIVAGFENPNRESDIS GFWTLSLTHLGNFYSLIQRQSSTLAPEVEKLIGKHIIQLSKLHLEMARTHPAPFGLLP QAVDMVKSYWGLVVELGKMYGSTDFSQLQVGINGDADDDEKPLLEKLGLKALLLLRAC AKIAFYPTNTFRYQNQQAKEEKNQCVSLMKSEIFNEDFVVQVMELLVTRFFVFKASDL REWEEEPEEWEKQEEEITDAWEFSIRSCAEKLFLDLVINFKELLIPKLLNVFYTYASK SYNGIVCYKSELTDDALDPQNKDILLKDSLYSAVGLAAACLENHLDFNTFLVSTLVPE IQIQGPGYNILRRRISVILGQWVPVKPSDIDKASVYGIFQHLLDKSDPMNDQVVRVTA GRKLRQVLDPFEFSAEVFQPFSTSILQNLMQLIQEVSLSETKMALLETVRVAVVKMES NITPFADQIVSLLPGLWEQSGDEHLMKQAILTLLSSLIHSMRENSTRYHSMILPLIQK SVEPGSESLVYLLEESLDLWSAVLSETPNPPSPEILALFPSIFPIFEIGSDVVRQALE VTESYILLAPREFLDENVRFRLFDIFNTLLNPDVTPRIGLVPHLAELLIRTGESASEE NNENVYGAIAKSMLSTGFMETLLSALYGAYQSRQTTGPNRKQATIYGVAETDHLSVLA RLALASPRNCISAVTSAARNSSEEQTFTWILSEWFAHFDNIGDVNKKKLHTMALTHLL TVNGPSSPAPTYLLNNLQSYLTIWTDLITDLSEGPEVNPTDARHGDYLVFDPNEAQGE KYHESETPETTRRRAWSASDAIHKINLREYVGQHLQALVQVCGGADRFRDEWLVNVDR EVVNGFGQLGIM TRV_04066 MKFGSLLGLSLVGLSVASPVTNVWKSPRAADDFIRGVNLGGWLV LEPWITPGIFEEGGDSAVDEWTLSEALGQRAHERLKLHWNTFMEQKDFDRIKGAGLTH VRIPIGYWAVAPIEGEPFVQGQVDMLDAAIDWARHSGLKVNVDLHGAPGSQNGFDNSG RLGPANWQKGDTVAQTYKALDVLIQRYAKKEGVVDEINLINEPFPQAGIQVEPLKDFY RQGAAKVKSANPNVAVVISDAFMGPSKWNGFDVGAKTIIDTHHYQVFSPQLVAMDINQ HVKAACDFGNDELAKSSIPAIVGEWCGALTDCTQYLNGRHEGARYDGTHKDSDPKTAV PNGCVRKTGGSASQLTDEEKTNTRRYIEAQLDSFSKGHGWFWWTWKTERGSPGWDLND LLSNGLFPQPLDSRMFPGQCN TRV_04067 MKLLYQTHSPYARKVLVFAHEAGLAADIQVVHQETSPMRANAEV YAQNPLGKVPVLLRGEADQADQADQADPSPEATSALFDSNVICEYLDTRHQGRPLIPR EGEARWQALRIQAVASGLADVGIAVRWETTRRPEELRYPELSANLARKLVESFDWLER ELDDDDDNNNNNGAVVHVGHIALATALSWLLFRGVGEDFRQGRPRLAAWFERFESRPS MKATPLSGETHD TRV_04068 MAHGSTPMAHDVPAVLRAEDVGSIYLLTPYFLAVSISLYQGGKK KKEKEKKKRKASPMLLRLFRDAVEMMMSWSSSSSSASVLRGKRGGRSWTGERRCFAPI RRRQDIASAMCIDDYDYDADNNDGDGDGDDELGGAGRYPYYHSLHACTLTPAIEDAAI ACCWRKTEEN TRV_04069 MASSMKEKQKKEAQWKKREKRKKKKEEGEKRKEAKRACPWPGWL FDWTG TRV_04070 MKVTLVVAALAAAVSAVTPPNYSLPPSGNPIGTPGLHEKVPVDK PYAITWQATTESHVSIMLLHGCPKNCNPVQTLAENIPNTGSLSWTPSSDLTGDDSYGL VIVVEGTGQYQYSTNFGIENHSPKPQPPKSTTPAEKPTWTPQPSKPVTHIVEASSSTP VPSGGVITLTTSICPPSATTSTVPGVPQPTGSAPVPGTPHPTGGNPGPAPAPSGSGAP VPPPASTNTPPPFNNGAGRVGAGFGAALLVVAAAFAM TRV_04071 MDAQTHPHPTSATRKYRRRTVAASLLLALVLLWCQLRGYPSPIT APASCQYHAADPGYQWNHKRDANTLVLGAEQCQSAFPGLFAEIDRAKQERAQRPISLA EIDSVIPKNGYIRAMIYDQQLYVIAVNGTIYSREIATLHALHRAIVSSPDPLPNIEFV FNTDDKVDPVAQWGYARREQDTNLWLMPDFGYWSWPETKVGTMQEVQMGAEREEHTWA WSKKIPQLFWRGATMDLEVREKLIQVTRGQPWADVKPITWRDNDSMQNDLKSMPEHCR FKYLAQTEGNSYSGRLKYLQSCNSVVISHSLEWIQHQSPLMKSSGPDQNYVEVRRDWS DLREKIEWLEEHEQDAKRIARNNIRTFREQYLTPAAEVCYWRHLIRSWAKVSFEPEFF KEVDGKKAWRGVPVESFLLMQRLEWDPY TRV_04072 MDRHPEIGGSSSRSRGALLASASASTSTPATASSSRPLSPPQSQ AETWRSTLDGIEDDNKENDFAYEGFHTTTASSFSRGPHSRRSSILRELGNSTQRRRSQ HTSRVPVTSIFQSQPDSPPWSASFGRPKVSVEDQDQAQAQDTQTHELELDLDWEEKMH LHSTRKASISSQKSNPFTSLDRIKRPKERNRDGRRDASQYIEHLENQLAATLQYAELA DAKTHAARYKALKTEYRILRQELTEWEEKFEARVQDEEAVVAEREAQLKIRIRVLERE VEVKDNKIRELEWEVEKEAQNRRTLEAVTATNRSLERRVDVLTELLAQSPGRVELPAP PPASAVDGASSIHGGDGSMCRTPRPKSMFTKIPLSPVRQPLFHPTSEPDASFSDPCKR GSGDEDSRVFELASVDSGFDSCPSHSQRTSLLSSQSGPGSATSLPLSPELQLQGKLQY RKRKMRRFPSGCCTLKPLVLPTASAALSPYAPPVNPSASPGSIQQQRLARYFSTLRYS KAGSGYGESLYDDETDGDDADTDAARTDALDSLEGNVTHYQSFEEAMAGHDLSFDDTE EFGYSPFAASKSRSPGSEAISYEGGGTVRRRRNRSRQISTLRIGMSPINRIISARQHH ASLSWLEKLHLYVPQLLSNARTLACRILSNAWHSNWRRLGGISWWFLGLLLGTHTRNQ WVRYSMVRSARCLGRSEEVRTEEDQEPSTPVSVNHRPGTATSLPSGYTPAMQEWLKFS VTLVLAIGLAIRDGPSTLTCACPPLESDIRSNPDADTMTESEVCQSSPKYQPIRTSLS VLSPSALPRDDEAFDNGLD TRV_04073 MSTAWQRVLRALVVASVISIILIYSARQFGPAPAHTPIRDRPDD QHKPFQPPAPEPDQEPPSLISHPPPVVPTLASSSTGTPGTKPPSTSSGTPTTSAAITT PSSHSGNANETIPGIPSKIWQTAKHANLSSEYADMSNTWIVKNPTFRHELLTDESSDD YVRSRYPGSDIVALYTSLKIPILRADMLRYLILLAEGGIWADLDTTCEQPVSNWLPSE ISSSNSIIKAGLVVGLEPDADHGGKKILTNAVLAAQPGSKHIKAVVDDVVHQLLDIAK QKGVGPEGITLEMISDVVEVTGRKKMTAKIIESLSKTLNKEIKDGDLVKNGSNEPQCL DDIIILPVPAFASYNNPTPQGRVLVTHHHAGTWKSAAEDAKKNREKQLQEEADKLKKA ADEAERKQKEEAEEQKKKEDAMKKSKEDGEKQRKEQEAKEEAQRKANDKQ TRV_04001 MVARLYKGSRRREKKRDMALQEEEEKTTVKNRPLNCAVEVKKKK ETKTRRTRQAKRVSDPSVYIPYFTKMELEGG TRV_04002 MARFQMSNLVGDPFALATVSIGMLAWIIGVVSCSIAHTKEVVPN FFWWSIAYQLCVLVGVAVVMGSNTSHIYGTAVVGYAAAGLVCTTFTLDSLVTSKQGAR QSAGAGLILLAMTDIVWIFYFGSTSQSGPRAYIDSFAPHKEQPHSYRNSKPISHSYTP RPETTVSSAHPHMYSSAPLSGFETSSPMTGFNPAAASTTGLQPVLGSQTNASTVGGET GEVGQPTEYPYRAKAIYSYEANPDDANEISFTKHEILEVSDVSGRWWQAKKSTGETGI APSNYLILL TRV_04003 MTAALRLLDCVFTTERVKEVSCIGVSTVLRLAGGFTAEAEVGKK GFKTGDGEEGGRLPERTKEKKDEKARVKTPQEKKKRRRKREKMKSRMTGQDEEMEMKI KKATFRRRKKQRKGVF TRV_04004 MVPEITDEAIEAFVSFTNASRERAIAFLQVPSDPLIYLVLSSLS LNMQPANSFIVEEILPTPLAHDFHSPTPQNVGGLSAPSRPPSRVGIREKKNVESTTQT PNTLGNPNYSSGEQESGVTAAEGAQFGPANKEYYDTELWALTLPSTVSREISIDPDPE LRRRIDDTPRFLRPGPEADHFSAFLTILHSIPIAREELLWRDRVAPDYGNDSQWWNGQ PALATLDQAEAPCEDAVHETQRLMAFLDRSQRAFGSADVLSGLPSLKCWRGEDRIATF LKLWEEISPPAEGELPQKTFYSRGVKQALSEDECANEPFSLLDLSIDRTSGRTLYDLL DGHIWCDMPGKELDDIWLEEVAPVFTMRITNHDGNRIDLKVPSVWYPDRYMKHCKEFA VELRKRRVVVDVELEKLGAMVDRYLSKRGSISVDTLVQKVLAGSRVALTGPYQVDGAP STTQEDVVDLIEKLKTLTEQITHKIQELEERKTQAIESLRQLSNCFTGQASSAEESPR HKYTLRGVCTEPHIFYVLRPRKPELDLMTDDVPDVPATEQWQWWRFSFSVDDAKVGST GSASLKPSNQIEPSTSGPTSSKPVSRATVADNVDIVGYTACPVREIEVLHAAKEESSS VVLVYANEEAMSSEAGELPEPLKNFIDKDNELFEQELAEFTAEYEGKTNTAKQEDLLM EDMTNDGEEETGEKTDVKGQEMQERAGQHSASIMPPPGLPRQRAGAGGQGSLMDQITE ENEHDEDITIISSIFSSPVFFNPSSLLFVPSSISVEFFLIGLRFGSWQAKTDVKQHRQ LANYNNYNNCDNNNARELRRIARMETEYTTNTAADTIELLETRLRRIEFLLTGQTNWA GEPEQASEPPASVRETVSARLAELEHGLRRLSARVPAVQDVLKLYSRYPDLFQSASTH TPPATMTPATLASIVLSYATAFPETASRLSSLQDLPIPPASASTALVELQPRIDRALE TQRRQAEEIAELRVQSTLLMKRWVEVGVVGGGEVWGEWEERMKMVERGVRREEKARER D TRV_04005 MAEPPAKDGLETPNILKKLKAERNQHSYRDLLSKWEIPINESEA DIAKYFVSKIFEGMAKNVSLQHAGKARGSSFEQNYLNSQRCVQVQALVDMLLPISSGS MENDPSISTPLKDRYESHPIPWCYCSDPWDHGTLLMRNRWYNLYEGIPDLEYMLMLVQ ADHFREMLWYLEPRRWDYMVASLHQYRTSIKVFAEVIHLDFRWPFIARARQLPMELAY GYFKGKRLSDYHPHTIVVNDSKEEIYGVAAGFRHTEFYKILHSLASVDRALSNEANKN PPPFCKINYNITDERACISCLKRKHLCLCEYSGGKDELFFRSSFLQLMDCNDGRGTGV RSLIRVSEGVNLGTLRGKLRDPDPIGCPLTLISGEMRGVITKVNKHDSGNWTRFLNHS CDPNSSVIFGIYQGIPTATIVTTKCIQPMQEVTIDYGKKYIDTLFWICLCKSSTCRYS NDDDEDEEDDPSLFSLTKGSPIEYHCEKERVSCN TRV_04006 MGAVLVPLNPSFNFNQVASALSHLEASHLIISTEVNLPRKDPRS NIPLLQELVPDLQSVKLQSTVSSLKQIILVQNDAGRVDTSALKCITPYTSIYSELPQD MKALPDQGLSPTDVVNIQFTSGTTSMPKAACLSHLSILNNGVQIGDRMLLTPKDIVCC PPPLFHCFGCILGYMATATHGSAIVFPTESFNAVATLKAVQEEKCTALYGVPTMFIEE LDLLADGVVPYEGFQYLRTGIAAGSSVPSELMKKLHKTLNLTELTICYGMTETSPVST MTATDDPIEKRLNTVGKLLPHVEAKVVNPLDYDQILPLGERGELAVSGYHVMKEYWGA PEKTAAVMVPDSEGKIWMHTGDEASMSADGYITITGRIKDLIIRGGENIHPLEVENCL LAHPSVSNVSVLGVPDERYGEAVAAFVVTHAEGEGKVTSAEIKSWVRGKLSHHLGQKS KSSQLDGMVAVSALPCDHPAKATLARLDFAYLRLFDGDVCLFFLPLALRFKISGLAIS WVGYLAALPAHGQSRCFITLAQHIPSMELRMADPIPAID TRV_04007 MKKNKRPRRSPSPDLQPSRAYGLPSTSTTLGSSSSSSSKVKERE REREDTKMGRKKPREPGKKEKQSKARKDAAARREEEEAKRRRPKRRERERYKKGLAFP RLAPDSLSPSPSPSPDSLLDFDLIQLFFFSSLLFSTVIIIIIIIAVAVLRPAAIKSSL TRV_04008 MVVFLVVEFRVVVLVLRLLAVVVEFDSGMDAALGGLFSFGPEDM LTTRLMTLEVKLQAEAATGRGKKLAVENSEPIRQGLSCVRREEEDNDERRKTKSGECQ MLKKRKKRERERKTRKKKTRKFKYKEEEAKKSPKEAKQKKGQKKKRKRKKKRKMVGNV T TRV_04009 MAATSTSTSTVGVDVKKPPPLMDIPAALAASPTASRPTLDLPDS LRCSDLPGVIHEEDEESATEPSEPVTPTSLTHHNHHNLFSGPHSYQSQQHPRIDPPSR DPMVSVNVPVEVLKNPIIVNTEPTPPSTPSRRPPTTQAQAPAPAPASNPTSNTTATST SATSAPVAIPPPARPSTSASQKSSPLRKASKSIKGIFRRPSTSDAMPPTTTPANNTAA AAATGNAAPNAQTEQKKLSLNMFQASNTRKGSVSSSHQHSPTSSRTNSPQSPSSPSST LHGNSNPQNPMYLGVTPPSDSSFNPRRPARSSTGLSLRERSRVMFGSTPRPQRDEDQR IRSPSLGDVQNQPERPGFSIPAVSGAGLKARRMSTSLPDDFDVNTCPLADEYTNSSKL PGKRKEVGRGATATVKIMCRRGSDKSELFAVKEFRKCGSKEDQHENEQKVKSEFSIAQ SLHHPNIVESFRLCTSNGRYNHVMEYCSYGELFSLVQKNYLQPKDNHCFFKQMVRGVA HLHENGIAHRDIKLENLLLSDDGYIKITDFGVSEVFSGLHPGLRSSGGECGKEMGEVR LSSPGICGSLPYIAPEVLAKQSSYDPRPLDVWSCAVVYLTLHFRGNLWPSASREHPNY ARFTSGWEKFLASSETGIPSEDKMPSCGPAFRHIGNRNLKLLLLKMLHPDPAKRITIK EVLGDRFMKSVECCAPETKKGEEQIEAVGAGIDAAGKASSKRASKMVIQKIHHHFPPE KKYLPQYRFDMGDGYN TRV_04010 MLPTTPLASRGEPQIAHEPINDLPVHKLTEPQIFYPVSESRQFT RVDAARAFSAAPALPAAERDQPANTPEYIAKVTHAPHRIEKVGKGANEHQVLQPAEVR IPHPHMVAAERDKIALSNEMGERSRRYMARIEADEQAEKARKEKRKAREAASMTRVEP TEGRFEFRFRDVVVSRETVGLDGRGEKGVGRRYGVPLADRRRGEVKIPTRVEV TRV_04011 MPPRLRAAQRLAKSHHHLLQSSQNTSIYSLTQSTCTSSCRAFSS TPNLQISKRRQAMFGWLNGPGRVFKDPIPSSTNYLTAYEKDGKPKAPQTRVGPDGQMV EVEPSPRPFPHNPVFISESVLSEELRNEIYEQVVNKGKSVKTVSVMFGVDMRRIGAVV RLVELEKRMKAEVSLNSPNLYTLSLHPYLYPYAGTIWSSMMNKSISLDDSKRMIKFCI YSEKTAILYGMAGAI TRV_04012 MQQEISQLKLFSMHVLGGERLTGRSAMISSKLWSMFDYGMVGNE AARQTGAVEKGIWSPPALQCFGNEYEDRANVAVNCAVGVDAVVYN TRV_04013 MPKGSLFDRAERPRGRDSRSLSPDAAEASGGRRGRRNNTNLAPP ENIDRYVPGQRSPIRKHDSGRNRGRRGGGGGGRDSREATGSRNRGSGRTKKTQEELDQ EMEDYWGKANADTAGGASNNAAENQPAAVQPAAQPAPAAGGEEDIDMIE TRV_04014 MKDWDIPRSQRCRLAGKALRGRHHCCYRCCRLLCVCHPTTQFQH HANVEPVAHISTRKVWDTHTGECLHTLQHSHIVRAVAFPVQAGPQILATGGMEKKLRI FDLSRAQGSSSSSPTSQSAANGASSNSSSSNAMSYEIGPGVHNGTIKSIIWYQDYNIL VTAADDKKIRWWDLRSRHPCAEYGVDGTIGSCEMNSISTTPNDPGVLSVAAGKAAYFF DPVQPGRLLKKTDFSYELASIAVNKATSRFVTGSTGDTWARVYDLESNDELGC TRV_04015 MPDFKISALLEGHSDDVRAVAFPTTSTVLTSSRDATVRMWTVVS SPPPKFDDKITVHGSAFINSLAYCPPTGDYPEGLIFSAGQDTIIEARQPGKPADDNAE RLLLGHTGNVCALAVSPDRKWLASGSWDSTGRLWEIGKWSKEVVLDGHGGSVWAVLAY DKDTVITGCADKLIRVFNTSGKLLNTFRGCGDVVRALCKVPDGHGSGAQIASAGNDGI IRLWTIQGKQVGQLHGHESFIYSLDSLPSGELVSSGEDRTVRIWNATSCIQTITHPAI SVWSVAACAESGDIISGASDRIARIFSRDKGRQADEATTQIFENAVKESSIPQEQVGK VNKEKLPGPEFLKQRSGTKDGQVQMIREDDGSVTAHTWSSASSQWVPVGTVVDSVGSS GRKVEYMGQDYDYVFDVDIEDGKPPLKLPYNLSQNPYDVAKKFIANNELPISYLEQVA NFITTNTKGAVVGPPQTSESTYQQPSIPDSRPKVLPQASYLSIKSANLKAIQKKISEI NTQLVSSGSKDLSLAPSEMEDISALCSQLEQPSSLSKSPVVEATLPLLVKVSTSWPAA NRLPGLDLLRLLAAAGDAAATWDQGEGNLVSVIISSGVFGAPLSPNNTMLAIRMLANF FETGPGRALVAGCFEEVTNKIGSVMSDSVAAGNRNVTIAAATLYINLAVYFTSKENVD SPEASEHGLVLIDQLTKVLRNEKDSEAVYRGLVALGTLVVGLDHEIQTAAKEIYDLDQ TLTRVLDAGFGREPRVKGVISEIRDAIN TRV_04018 MGGWSVVVRAGVYGPRRAARSLDVERQEEEEEDRFDAIEDEGRE GGSRGTKETNKGFRLLRRGRIKGRPEGGRQGDEQRRPERRTRRDREETARRDQRERER EREKSKRARRRRKRQEDSQREREEEAHQRCLMVWSELSQARRGQSEGGCRQWAKTIRG QEKATMNLSGHAHTEDTEQGGPRETTARQKEAKETVEKWLISANIKLWPPCAVLPWGL LRRTHTTLVTDMSVLAHSTQHFYLRSRAPKKGGGKEKSRFQTGEVQRQRGRIQQIQKR YDTSSKGRCLAHRHQPGPPFLPPPANRPLLRPCSPLAWSTPHSHTP TRV_04019 MGTAHPNQAGVAFENSLQRRESLSTGLPRLSLATTKALAVSQGG LASDIFASTSQASNLAASIPYSITPIGKPSSFDSRTPVSARLGQQANGSTTSSMGNGQ QNNSQQQQNQQQTQQQQQQQQQQHGGATAVRMRMLPRNTSYEALRSMLLFAKNLLDAE FVPNEYSEDASFLTAIALFETRTAAEEAQAMLNGKPNSTNDANMIVEIVSGPSTSAMI SRRNTIDHLPRSLSMLSPTSPHPFMPPSRMLGRLDDMHSLDNALDGSSIGKQSSASTT NGELPAPDTGSRIHSLFSSQSPAGNGMNDRPRVSGKSVIDQDVDEDTGELLKDPIAYA RNGPMALQRRSTNPQLPISQFSNLSLTTNLSSPPLAPFSAGAGTPATGRNMATPTSAV STFSGSGSTTPYHQLQFHRLNYPPVNPADQNPPCNTLYVGNLPPDTSEDELKALFSRQ RGYKRMIFRQKPNGPICFVEFEDVSFATKCLTELYGYELSNSVKGGIRLSFSKNPLGV RNGQPGSIHPANSMSSPGPVSGMNNSTSAGMGPVRFSTANGPPPGLSAPPGLPMPMPM SIPTNNSAMGPPQQVQVLNSSQFNGLGLGLNHNANAMAAMRPGAGPGPGSGPSMNMGV GIGVGIGSPTAGNMGAINNGGSYPDYMMGR TRV_04020 MEQAKGGEEKIGNEKGDDERGHGDIGTPQIWEAGIQTEPDGKMA VGVSTQIKRQRQRDEGKGESRKQEHQSTNATKQPTVRYQKGRHEQKSKAEAEAEEKNK G TRV_04021 MTNIGAEDIVDGNRKIILGLIWTLILRFTISDINEEGMTAKEGL LLWCQRKTACYPGVEVRDFSSSWNDGLAFCALLDIHRPDLIDFDSLDKTEHRKNMQLA FDIAAEEIGIPDLLDVEDVCDVAKPDERSLMTYIAYWFHAFSQLEKVENAGRRVEKFV QNMQGAWEMQNSFERRMRALLKNIAAQQEKWLNSTFEGTYADAKEQASEFAAYKKNQK REWVAEKSDLAALLGNIKTKLSTYRLRAYDPPPELRLEVLDEEWASLTTYEHKRSQLI NETIRDIKNALRRSFADKANDFALTLNTLSLAISGLEGDVEDQLTHVRRLSDNLPPLD AFLETIEDLDEQCAEANIEENDFTTYTFEELSYELSLVKSSVAKKLAFLENQMVARNM TNLTPIQLEEFESVFRHFDRDSTNTLHEIEFSAALASLGLVYDEDEMHEVFVETCGPN RLERNAGVSFEQFIRFMVSVTEDQHTAEQVLQSFKEVADGKVISTIEFCPFSWELFYS QLPPYNLHPYVTELDLRHSLIPDELIENLVESMPKHTGPDLLEDRDVAKYDYMTFMEH MMNNGGRGNDVGTNHTE TRV_04022 MEDLLEDTTRWQKGRYRTRERERDSEREEREERDGSESVFKYAG LRFQPPKPRARKRREERGLKREENAGKTKRGRVF TRV_04023 MGCGMSTEDKERKARNEEIENQLKRDKLMQRNEIKMLLLAADQS HNSHTTGAGESGKSTILKQMKLIHEGSYSRDERESFKEIIFSNTVQSMRVILEAMESL ELPLDDQRAEYHVHTIFMQPAQIEGDSLPPEVGAAIEALWKDAGVQECFKRSREYQLN DSARYYFDSIGRIAAPDYLPNDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSE RKKWIHCFENVTTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFINTSII LFLNKIDRFKEKLPVSPMKNYFPDYEGGADYSAACDYILNRFVSLNQAEQKQIYTHFT CATDTTQIRFVMAAVNGKLSLLNPLDEALSNNTSRHHHTRESPTLRSHIMHLSLFFKK KKKKSQLPDLYPGRCLSLSLVLRVFDIPIINLLKFPQQPTRYVSRLFIFSFPRPSNLL CVALRSFTLLFLFLPSNPRRLVDR TRV_04024 MARKLSEAYSSPNTTSINLTQLLSRLESNILSPSADLKPLLRSQ YHRARVGANIEYGRNLLLQLERNSADIKHPQRRQTVQSDLSQKRQQLKILRQRLDGLS TQAHARATAAANTTTSSSSEYIADASVYSSEDEEDILPTPQDSITPENLSSQASTNSA NIRDESRPAEAQDQSAASEASMSSVIPTPPSSSYGSASTHSEAHGSNTLRSRNTIPAT QTPTDTAVRPSEAARSTGAFTTSAYPITSTPTGPLKPAPSPNPYFRPRDPSRKEIDLD PEASLAQDRQEQESLTDSLLMLAQQLKTSTQTFNTTLESEKSIIDRAVEGLDRNTTGL ESAGQKMSMLRRMSEGRGWWGRMLMYLWIFGLWIVAIMIVYVGPKLRF TRV_04025 MRLELQLVGEDDFLELVTALWEAYEEPHQKFFRIFCPIFNNDRE KSLADSIKFFQEEYRNEFPVAQWVKVVDADANNKIAGAALWKIHQKNPYETYDEGKVV ADWYPEGSMERIIANKYLRDTTAPRAKKARRPHVFLNIAFTIPSYRKHGVSSLFLKWG LDKAEELNFECWLDATPYGRPVYERRGFIVTDAWSVDCPMPEGLSEEKQKEFQGARER LLPVDNACMWRPRGGVYIEGVTKKPWETD TRV_04026 MAPIKQGYFQIPTSRISPHSRLLLLLPFSLLVFAIIRRVQGRKM ASSEDSSAKKYSRAIDISSHTGEKLQIRLSIEEASPPDSQVPPAMAGESNSGHLEAPS LSNPGPPQPFDMTSLPLPSAAKEMKNHTMTLSEREFTQPESSSGHPDAPRLQKEAVQI FKEVGSKSRKNWRRKVLEYH TRV_04027 MHGQQLIKLSTAGNRQEVPVPCITYGAMDTCHQTQQVESSCKPF VVSGSDVRRRQKMPKREYRSRDDLEISNDEKEDDDNDGDDDDHDDDHDDEEEHKENYR SVRLEQG TRV_04028 MAEDGVTELGENERGEIWVRAPNVMKGYWNKPEATRETLTEDGW LKTGDIGYVTEQGKFFIVDRKKVTRHRQLYSSPQTPLTPDYPNARYFDRDGEEYPRAY ITLKAGAKATAKEIIDYMKQNVAPTKRITGGVVFVKDIPKNTSGKILRKVLRERAAQE LQDEPLQVSAKL TRV_04029 MQARPDTHYFTPHDYRLWCQRFAVGLRKSGIKTGDRVLLFSPND LFFPVVFMGTVMAGGIFTGANPTYTPRELEYQLKDSGAKYLLCADGSLDTGIAAAESI GMGLDRVFIFNSAVFDGTGSGARGCHYWGDLIASPAEGSRFVWESLSAPGESNQTLAL NYSSGTTGLPKGVEITHRNYVANLFQFDHTGSLHHEYTNKTARSRWLCFLPLYHAMAQ NFFIASALRRGIPVYIMARFDFVQMLENIQKFRINEILTVPPIMIALAKHPLVKKYDL SSLESIGSGAAPLGREISEEVESMFPPGKLNVRQGWGMTE TRV_04030 MAPPSDVYFPSLDECFGDSQIMYAYPCFLKSTPGIERHANHRRR SWKTAYLSVARAGDQSKPDKNISDFLSSSTGLRILSNPYSPFPKPSSASKTAFESKTA AIHVASSKNNSDSDEIKSDALWLSKEAGIDEVSALRIVVLAWQRRRENELLSHFSEEE LTSLHDAVGIGRSPHGSYGIEASSILKYAGSQSESPATLRSKETRQKILFHIWLSEQR YILKLAHFLVATSLRDTRQDQQLEQLSGSGDSFIVGASQLGRAILNGQCGTLKVEKSE ISIPSCIEAFRLRVKGLEAGSWWSNSVGPDVLMESSWQASSLEELVQILSLLFLQVRS SEAIPSSDVLLSWLRLMSEYEFLETIRPSTEEQAAICSQLQTLISITTLSFLKLQTSS ARLDKPELKPSNVPYFLSQKDVGEINEIFLKAAQVGRLTASAAVFSWAVIMYSVGEIA LAIKEDRELQQANHAVESFNNSTQFATPSALSESSIYEEVWEKARNPEFDEDFVRFLV SSAVDRCRLLELIFAMSEQLRSVPRQRGENLVNQWGQLELLDLIRSGVQYLDYIPELV AAVLCIVSEPTEHRCLDKEPKFDDAYDPRAVFLNDDILMEKIFRNAKSRFPYEAANFL KFCRALSGCSLSTEDGLPIICHELESMATYTQAVASGFQGYRSIREDENANYVELIAP LDMKEIASAKHDIMQTSNELVLANELSVLPAVTVGQVVSESKPAVIMWHHQYNCLGFL GKWLEQGTNSRGNENAPDDDDAADIIGLLADLIDNAYAISRVNGLESSAKRILEMASD GLDRHADIISVIFNIFERSLQGASAATSGNRNLDLTMSCMHFISSLTKVLPGRVWPAL VRSSFLSTNGNGGMLDKIIFSVEASSGDLSFLLSSIRLFESVVDDAITHAALRRTTAK VTSAAYVVEYTAGIPVRSMRTCLLNFVRAMVEIYNSGSGWKHNDIHQQIEINTALAIN FHKILYYVYGTDDTQDPDDKITAVFSSSARYLSNILRPRLNESLPSNPILRIILGGFE AHVPENMVHSTIECSTLVVSTLDLAKILIQVGWLTDAPVSALEKQLFSASPILARLFV LDRRYQLPISTLLELLVSRASAYTDREPPSLLGHLGAESSCRFLDALAKFDRPFGSDD VKVAIWKLVTSIITKRQQWLAVFLLTGCSPRESLKADSDKSAASMKSKPFLEASLDLL SSISSITPQVAVAALHFIAKAQEHWPWVTPELKKHPNFFAVVTKYVTYLNISKLQPYQ KCMNIRIAAFVVDICTVYLHSAKEARDGSFFKTLIPLVTWLSENAVEVDGYNASLHAN LKRNFEMKYRESKISNIKRTALTQPEFGEEYYYDVPMGNKLFGYDFAWIGKRDQGFVE EVKRANLNLSLVEAQMSLLHSWKFFAIEHCSDFMPDREVQKSMARVVRHCLVANSHDI PDEQIFFKLLETRAEFALALVHRLVEVQARGPEVFSLLSVTWETIRLRNPTYESALLH DNTEYYGLLLNVLFLTLQFHVTGANLVNPEAVSQKPEVSSDLTVVVDVIKNVVANGYR SLCTHLHDSPEKCTPKDFAILTAILQTSLKVKDVDRIYEQIAFHLADSDTIRYAATLF SWSNQLTIEGDPVYGEISMLYLLELSCIPSIAEQLAVDGILVKLSTYRLTEAFRQPQG CGPFDPTPRLFSIWNSGFLPLCLNLLYHIGRTVPEVAAFLNQFDGQLRRASTGFSLSN PTAIPSSSLAMSHHSKYLSLGMATEACSLALISMIIQKFRDAGPSAGVDPQNIQDLKW DRAQVKDDIDALLEKRSVLRSRITPTNEKEAAWAQRPPLNPSSGAENLLEEKIVKELQ TAIACMGAGSDD TRV_04031 MALVDHSPNHPTPSGRLENASNVILIDNYDSFTWNLYQYLVLEG ATVRVIRNDAATLEELIAEKPTQLVLSPGPGHPKTDAGICNEAIQHFAGKIPIFGVCM GQQCIISSFGGEVDVAGEILHGKTSPLKHDSKGVYASLPASLNITRYHSLAGSATTIP DCLEISSTTDLGDPNRPDVIMGVRHKKFTVEGVQFHPESILTEHGRAMFRNFLLTRGG TWEEHDASAPGPATVPSTNGQSSEMKKGSILDKIYAHRQSAVKVQKEIPSQRPEDLQA AYDLGISPPQISFPDRLARSPFPLSLMAEIKRASPSKGIIAASICAPAQARKYAMAGA SVISVLTEPEWFKGSLDDLRAVRQSLEGIPNRPAILRKEFVFDEYQILEARLAGADTV LLIVKMLAEPLLKRLFDYSRKLGMEPLVEVNNPEEMEIAVRLGSKVIGVNNRNLQSFE VDLETTSRLMGQVPESTIVCALSGISGPQDVAPYQKNGVKAVLVGEALMRAQDVGVFV SKLFGTKPGPFAQTPGAPLVKICGTRSATAAKAAIEGGADLIGIILAEGRSRTVSTET ALEISKTVKSTPRPSSLKTQPPAYGDAFLASNYFDHTTGLLRNPDRALLVGVFQNQPL SYIVAQQQKLDLDVIQLHGSEPVEWPSLLPVPVIKKFSPSDLGISRRGYHSLPLLDSG AGGTGERLALEQVKDVLKKDPGQRIILAGGLDDKNVTDVLRALGEEGNKVVGVDVSSG VETDGAQDVEKIKAFITAAKNIRNTTL TRV_04032 MIRTYFPLPNPASDASAESNDFSILLGYPRHSATKLLPITFTSV PLQPIKAQLKLQIIPKAMGRTFKNITVSVTGEFGPISDKFKQWVEANGGSFSREVNSG VTHLLSTKGAFKANNNAVSSDWLEDSLLSKSRRPKREGPYLWSQLTKKARKTSSGQKK ADKDVNTKQINGLYKTLFRGL TRV_04033 MEAFNKMGNHMVSDSAATINAGGDDISTVDGEESVLNMAGGPQK RRHDDEDEGSDTLDDDDDDDLESMASVAVDGAGKPQASKTEEEKELPAHACGARGNTS SSHIVNHLVRARHKEVQLHPASSLGDTILECYNCGTKNVFLLGFIPAKSDTVVVLLCR QPCAAMPSSKDMNWDTSRWQPLMEDRSFLPWLVSAPSDQEQLRARHLSPQMIAKLEEL WKENASATVEDLEKATNVDDEPAPVLLRYDDAYQYQNVFGPLVKIEADYDRKLKEAQS QDGLTVRWDLGLNNKHLASFVLPKLELGDVKLAVGDEMRLKYAGELRPKWEGVGYVIK IPNNQSDEVTIELRSKGDHKSVPTEISHNFTADYVWKATSFDRMQLAMKTFAVDEMSV SGYIFHRLLGNEVAAAPMKTQMPKQFSVPGLPGLNSSQINAVKAVLQKPLSLIQGPPG TGKTVTSATIIYHLAKVNAGQVLVCAPSNVAVDQLCECIHRTGLKTVRVTAKSREDVE SPVRHLSLHEQVRNNDSNIELVKLNQLKTELGELSSQDEKKYKQLTRAAEKEILTNAD VICCTCVGAGDPRLAKSKFRTVLIDESTQSAEPECMIPLVLGCKQAVLVGDHQQLGPV IMNKKAAKAGLNQSLFERLVILGCAPIRLNVQYRMHPCLSQFSSNMFYEGSLQNGVSS EDRLLKNVDFPWPVADKPMMFWSNLGNEEISASGTSYLNRTEAANVEKIVTRFFKAGV KPSGIGIITPYEGQRSYVVSSMQLTGTFKKEWYKEIEVASVDAFQGREKDFIVLSCVR SNDHQGIGFLSDPRRLNVALTRAKYGLVILGNPKVLSKHPLWNYLLRHFKEQNCLVEG PLSNLQTSLIQFSRPKQAYRGPQRFQMSFNHASNVDSSMLNGRNGHHHDYHDAGSVVS YIPDDVSSVHSSALGGVAIPSGYPHMFQNFTESWPSAPNNRRPNGSRMRGAPSVAGES VAATESDVTGSVVDGRSTVGQGGVSLSGLSIHDVQKQTSLSQSDRLKRYVESSGRPEP YRGGTDTGSVFGGSAAGIRVPRQNLGHSGDDDDARSVSTAFASQVGGNYD TRV_04034 MLTETQRRQARERRDYLYRKALQLKDASIAERRAKLKQSLASGK PLDPSIANDRRLREDFKYDESLPVGDPKAKGAAEEIDIDDEYGVTSGLVDPRPLVTTS RDPSSRLSTFAKEIRLLMPTSIRLNRGTLVLNDLVASASASALSDMVILHEHRGTPTA ITISHLPHGPTASFSLHNVVLRADIPNAARGTVSESYPHLIFEGFTTKLGKRVVQILS HLFPPREAGKVGSRVVTFKNIEDSIEVRHHVFVKTGYQDVELAEVGPRMTMRLFEIKG GTLEKGAGGDVEWALTQYTRTSKKKDYL TRV_04035 MGDTNGSPLNNNPYDDVREELNEAMPRVSRAALVRETLRLHGRA VAAQGVIFTGVAVTGIDRVGAGVPEIPRPAEGSPVHAGEVHILNPNPLINNHSNTMHD LGVIQRSRSVNMYNGAGEATAFGDLLDELRTPTTNKIMAIFIRHFFCGLVIFADQGTQ HCQEYVRSLSAAFPNPDQDLPTGCKMVIIGCGSHTLIDQYRAVTRCPFAIYSDPTTEL FRIFAMRRNLLVGKDAPSFSGRSDFSLMLSGIKQGLKRLFKGDAFKAGSPRQNGGELL FEFEREPPLKTSGILAIRVTWCHIMETTRDHAPINVIKRVLGIPLPGETDLTQPAALA AAPLGSLL TRV_04036 MNSPLSTSLDNPGPLDLPEGILSIPLHQSLTYPADAAFMNAAPN TNNHTVNNNNNNTIVNSSNNTNTTSNIKGPGLMRRISRGAANKLSRRRRSNSQDRRDK DSGPVTMLRRNNSKSTTSASWDSALDSSYEEDESCDTLGTWCGPDSSKVKSDYQRSIT STASGVAPKVDPILQRGCTLTKVNKLKKKPMTFYLDFDSGKMFWNLSNPSKRVYIDDI KEIRLRGDARMHREEHRIPEEFENRWFTIIYNNSEGSKNRAVKTVHLIAPDEAIFELW TTTLENVARYRIGLTVGLTGSVESEAILNAHWQREISRRASQDPRAIEEDSLDAEGIG NLCHSLHINCSKETIRILFARADTTGTGRVNFAQFREFFQLLKYRRDIKDLYNRLVTD DVQGMTLANFLDFVQYTQRENVQENRDYWVSIFEKFVRKARLRSQSQSDSPLPDDAPT RMSLDAFSAFLISSSNGIYPSHVPEPKFDYPLNDYFISSSHNTYLLGRQVAGFSSTEA YVTALQKGCRCVEVDCWDGADGRPIVSHGRTMTTSVLFADCISVINKYAFLSSDYPLI ISLEVHCNPEQQLAMTNIIKDTFKEKLVLETLGDDWPVLPSPEALKHRVLIKVKTSEE IIDTGPASTVPPGLVSSGRKRSSSSPFMPPTVLEDPPHSLPPLSSPPTISSANEPVPP PLGRRAFTATSVCSTSEESDIGQSSAAIQKEKKRGQKSRIVKDLADLGVYTRGYKFHS FNSAESKRFNHVYSFAERAFEGICRDSESKALLEAHNRRFLTRVYPSGFRVRSSNFDP NIFWRRSVQMVALNWQTYDVGMQMNQAMFASGTGRTGYVLKPESLRLPPPSWKGPGLK PKVDRKLVRFSIDVISAQQLPRPKQIGLDENINPYVEIEVFSADDKTKGLAFGEGGMD TSDRNGLSGIGHPHRRRTGIEQGNAYNPIFNDQFKFSLETKYPDLVFVRWVVWHSPDG RSVGNNSTQLATFTAKLSSLAQGFRYLPLYDGNGDQYLFSTLFCRVTKSELAPVIPPF DTEETKNERRGILKQLGQSVLKRAISTERDSSKANITSNEQS TRV_04037 MQLIYVLLAACTGVSGHMEMKMPYPFRSKFNPENKYTDIDYSMT SPLNADGSNFPCKGYHSNTTFRATANYTAGQIYSLELTGTATHGGGSCQVSLSLDNGT SFRVIKSIMGGCPLTGMYNFTIPRDTPSGRAMLAWTWFNLFGNREMYMNCAPVMINNC ASGASRTLNPELPKLFVANIGNGCMTIEGRETVFANPGCDVTYGGNVTADNPPMPKCE TRV_04038 MPSIEPIQIQPLPIFNGKENGLSSLKSPTKNGDVFVNTFDIPLP PPAKKPLEILGIDKDTPDGHVPRDPIQIRLTGVHPLNSESPLTALFDEGTIPLVCAAT GNIRF TRV_04039 MVRKSKGFNWGAAGLSTALFTGPMMHDILTRAKPDRRAKYMCME GADALPNGNYGTSIRLSTAMDPAMGVMLAYMMNGEPLRQDHGWPLRAVIPGHIGGRSV KWITRIILTENPSDNWYHIYDNRVLPTMCSPEMATEDDSWWRDERYVIQHLNVNSAIA YPQHDEEVVMSTTPTYTIKGYAYGGGGRQVSRIEVSLDSGKTWRLSNIKYDEDKYRAT SQYLYGGQLDLSWRETYFCWCFWSLEVQTHELESADSIVVRAMDEALNIQPREMYWSV LGMMNNPWFRITISKENGVLKFKHPTLPATQPGGWMEQVKKAGGDLTDGNWGEAPNGA VKRVEVAPEPEINMKKPGLKVNITLEEFKEHNSRETDPWFVVEGEVYDGTPFLEGHPG GAQSIISTAATDCTDEFLAIHSESAKAMMPDYHIGTLDPAALRELQSSQGESNKPLPV IHEWEKVKLTKKKVLSLDTALFTFSFADTSKVLGIGVGQHIMLKVNTRSNDPSSGVIR AITPLSDPSLKGSMELLIKIYRPAPGFAGGQLTVPLDKLPIGAEVDYRLSSHCKFEYL GRGRVTIDGKERNISSFNMICGGSGITPMFQVLRAVLQDAEDTTTCTLLDGNRTEDDI MCRSELASFVSCDTKNKLKVVHTLTQASESWCGYRGRISKDLISRHVARSKNSMVLIC GPASLETSVKKMLLEQHWDEEDIFFF TRV_04040 MFVLFVSVRRLSRNLNLKTTRHRDINNYTTSSSSTSRTCDELIA AGDGGVFRETAHEDTDAEMADVQPRDAAQFYNLPDLYPEEWPAQLDSDSEGEGDGPSK SDDYRRRSQIRYSGLIAGKRDQERFKGGDGTALKDEPDPLGGPDTILRTLRMRGLPVD DERVRKQFLLSSKNFSASDFLSETQESASTQSLLQGLDYLSRSIDEKSASLKMLVESN FERFVRVKATLDNVYTEMKNSGESNSRLHRSPSGEHRRSGSQLGGSATTGLWRSKSKP ALRPDTPSSGSLTNVSGISTPLAEASEQARELWSEALNGQQREEGLKSILDAVEKQRE MYEIGGHLSKSIQERDYQTIFDQYNSARRFANEAKAVAERAASTKQPLRDEQVYTILV TGRMWMDVEKQIQAFKRDLWKRLSNAQSTSPVGAGGVQAEEHMELIAALLELGVEDNP VWVWLLSRYDYLKNKITAFCQRSRFEIETLRRRLAAGKKPDPQLVAPYLRNSLSEGSR PSRHHLDSESVIELWECIHTFLKKLLSVQGGILGDVLGFWDSTQSFLDGSKQRLLPAG FDGESRKHHRLSTNGVNDLKDGIIELMGLIRDNVVTIFAEPPEDLASLIPSALPTSPT VPLTGLTPESRLIVDPQNIPPLPEKTGQPWDDFAFWPPYSNSLSGVHYLGEFLILIGT AASEMASLNPISGHSGSYDRLKLLVSGTRERCARAVCAAWNSDAELCKYLEDWTRHQT IKSLSKMPSYFMTFESSILSGMQKILYISESMRKPGAVEVVTPPPAKLLQLVRTQFVT SVYKALSGLVENAEHPVNADVDVEWVLPSPEGAATGPDTHSSVFASGGVDSNNRNVRI LLTLSNLKALRAEHVPQLITTFESSFAVKLTDESKTIQDVLGQIDIRLFQSYLKPIVE NLKHTILEGIASPDWVPANSRPDQIRPYVYSTMLSLVMVHNEVSTTLPMRVPTPQSSS SSNLTNKILAELLTQVSSALLEAFMRRSQYTLPALMQATLDTEFIAQTLSQYSTDAAS KVQGQIYLELDRRTTNDARAKLQAELGEMRGVLKRLREATRGEFACFRKPKTPSSSK TRV_04041 MASGRLFSLPMRSSLRGPALSTFRPSPFYAAADKIAKFNGQKGP DGKYTVTLIEGDGIGPEISESVKQIFSAANVPIKWEPVDVTPILKNGKTAIPDDAIAS VKKNFVALKGPLATPIGKGHVSLNLTLRRTFNLFANLRPCRSIAGYKTPYDDVDIVLI RENTEGEYSGIEHVVVDGVVQSIKLITREASERVLRFAFQHAQEIGRKKVRVVHKATI MKMSDGLFLNTAKDVAKDFPGIDFDAELLDNTCLRVVTDPAPYNDKVLVMPNLYGDIL SDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIAGKGLANPTALLLSSMMMLRHM GLTNDANKIEAAIFKTLSEGKALTGDLGGKAKTHEYADAIIKNI TRV_04042 MEFESPEDPFELDVLQESVFFTIDPIKPLENYPWDDALPVADTY QDLTAGLFESPIHLFKHESDKVHSLNIFGDEKTEEPGPLSVAGSSSSSTKHDSQEEPM DDISDEDIWSLHNIPALSDTGYELLSWDIFPDTWKELSGPTCLSEAGPRGFDAALIHQ ATNTGLEDSGRVAKTDAFISSLFKLGLGWNSVFFRYNEQSRNFEKHLRDVRVSGISLP ALDGLTEGILECGMWMRKLRRFVATVPAQSGSPPSLASLARVVFILLYSIEDQLSAKS KTNPSLLQTNMYFRRISCLVKCLVDIVQHATRLTADVQVVSTVFAKCDHYSHQHLWLA DIFHEIMGATSSLWLHRAAQWIGLRPAGGQFNASQVFDPGYLDEYTTEETIFNPESMP SFIPREDADVIYETGVGLNLLRSSHKGHPLAEVHQKENTSSLTLEWGLTWEDINNIQL KAKCYETCLRNEILKYNGAIGKAGEGSATPKEYTFDYPQEINITIDDIYKIPGSEDWL GSQSESMSDCQMRSSRLYELVKEGSCVNIEKSLIAEHTFGPPLESAPSLSFAPVILAQ SRLVNFSCLHLLFKVHKVRDHLQVQERFQLLGNAEFLLRISLALFDPNMHSGERKSGV ARGGSSTGLRLGNRNSWPPASSELRLVLTGLLSECYEGNSNGEQQHPGGPSSRELPGG LSFSIRELTGEELERCKNPHSLEALDFLRIHYTPPSPLEEVITPLSLEKYDIIFKQLL RLIRMLSVVRDLVRSPPGQQTSSVGTLEQRFRVEAFHFVQVVSDYSFQVGINHPWQQF DKRLSKIERCIDEGDVEGTITHAKGLRRLREYHERVLDRIILALFLNKSQLKARNMLE DVLRSILAYSFHVKAYQERLDIATAAGLMPSSKTTAYYNRSIRELYSRFKKQVGGFIR FLRSLEAGGVKNMIDHDDDMDASADIDLNSIFEHLLLRLDMNEYY TRV_04043 MAPKRKMDDGEGEGEAAQQKRAKKGFSVGPANLPDGTYRRKVIK IKADLIHKAKLKKSYEKIKARELAAAPVKSVYESQDDANEDSHAEAAAAKQDSSKPAD RPAGLELHPDRQAMVDSYSEQDRLRGEEARARARRRDENQQETDEDGRPGGSGSGSGR RQRRAKPYPFAREENAAQKRIAEAKAQAELRRMKQKERADMARAKRPDQFGKRRLGRE SKVLLDKVKRLVAAD TRV_04044 MPIFQDPEAQGREYSCWSPALPLRRPATPQQQHLQQQHQQDHEQ SDLADEEDDEMMLDQASADQNMETACHGPPTSSREELIRRIKDGQSPTWIPNRAQLWS VWCCSGVFIHHSPSSPLDYRFDITRISLLIANTLLKQLEEYFATHGDSPLERLEEKKR NGSPLLPSVELERSPDQEAPSYRSCGSPVSIERPRSALHSGDFREGSPKLLGIEQLAE PSSCVASSSSSSYQEPGWVDSNIEIPPAPLDPFASLNFGHRQALASLTGRSRAPSLGS VPSSYVLKAPTSPLVIQANNPDLDFSVKDEHMDICSTSSEKANRRRTLPPETFRNFPS SSSSLSSSYYQAHQPRRSITSMRSLQMASSPQGAGHDFLRPRRPSQSAEASPLHHASM VGSFEESILRGRMSTNPSKPLDFTAEIGVLGKGNCKSNLRCPPHVMVPFPAVFYSYSG SASGKNIADDSPSPYVGFIDLENSLPAESRPATKKPKKQVAPRKCPCHPPEEIPSSDI SLSPRGELRAREKRSRRSQSPKSPPGGCYRIPQQGQLQIIIKNPNKTAVKLFLVPYDL EGMEPGTKTFIRQRSYSTGPAVDIPQASTATPDPHDKPVLRYLIHINICCPSRGRFYL YSGIRAVFANRVPDGKEKLRNELQYPEPRFSTYKLSKEAARFAAEKAQQHRRRSSGIK LGNRDREQIIPTSSSSFTSQPLQPTSAFQLRPPSLHAQMSDPLNLRVDHGRAKDQGNP FPSPLYMSPTAWHMRPTAGMLDTFDGISDAPSTSPRSKTAPFTSFTPRPAQDAQQQQQ QQQQEQSIPLPPIQNLQLPPLSNSRPSSRSSNAESLLSLKLRDLNESSPPSP TRV_04045 MQHTAGKMVPNNHMNNLTTLIKRLEAATSRLEDMAASLDSPGPS PAAGASILSSNVSPGAAPPLPAAEPLPKCIEAFDAIISNEVKAFLDISQQLGDLVVPQ SKAVLKAFEAERKYLLVSTKAKKPEAQPPELFTDLHRASDEINNIRESNRPSPFFNHL SAVAEGVVALGWFFETRPATFVTDTLGGAQFYGNRVLNQCKDKDKTHVEYIQAYYQIY KSLIDYIKEYYPRGVTWNDKDGIDVMDALKQVSSGSSSVAAPPAAAAKEAGGAPPPPP PPPPPGPPPVIKAAAPSNDMSAVFDQLNQGSAVTAGLRKVDKSEQTHKNPSLRAQSIV PGSPTSRGKSPAPSKKPKPESMRVKRPSRKQLEGNKWFIEHFDSPADIVEISASLTQS ILISRCNKTIIKVNGKANAISLDNCVGVSIIVDSLVSSLEAIKCSKFALQIDGNVPAV MFDQIDGGQVYLNAGSMDAEILTSKCTAINIVLPPQEDVEDSDAKECPIPEQIRTTIK DGAIFSEIVDHVG TRV_04046 MAARDPGTLPDGFRQIDFCTLAMFIIVGGAGSFAAIGARIAAGK DHSRSVGWIVDMGYDFPEHVRSLIASWETHCVFREDMNRQTTKGWNGYEANEKRVFKY LTPKIQIVPETLTEELVLSNTFHMSCSADRCYNIVQGILKRRHELSEKYKVTLRRPIF VWEPFPDSCRPEELPRFYEVIRHVDVVSPNDHEMGSYFSNESWGFDNPRDQEICRSIV RSGIGIDGKGVLVVRAGRDGCYAFSQDDQLALPAFLDSKAVDPTGAGNSFLGALCQVL AGSNRTPIDAAREIMDQSGDWKEICTAWDDRGNILAGLICATVAASYIIEQVGVPVLS FSPQGEEFWNGTSYVERVRQYTKHLVDRKGTPKRQKLGMDQ TRV_04047 MKRLQLLRWSGAVVSPPIRSCRSSYLRPFQHQRNGRWLSSAAAP VIPDHDLPISHGVRTRSPPLASAEEFIASPKLSSLHARLSLPERLPLQTLARTLIDAS ADTSTQFNNHSFSVLGNDLLSYYTTEHLITQYPRLPMSVLWTAMYAYIGPKALAVMAN EWGVEHAAEPGSEVDPAYLQFRIADQQTIDKESEEIPAGISRQPLRGEKFRRGLGSLF VNDVEFSECRDVDPNTYGDAVTPTRASANFVRALMGAVYLHGGRRAAKTFFEEHFKSR QLPIADLFGFTEPTRDLSKLCKREGFEAPVAKVISETGRLSRHPVFIVGIFSGKDKLG EGAGSSLTEARVRAAVAALKSWYLYSPLNARVPSSMEEEGAEPWKRAHIDPGEIIV TRV_04048 MSEPSSAIPTPASSLLPPGVGGGTDDEKEAPNNVPQWGKEGCGY PPSASAAPAVPKPKLRLHFEDLGNQASKLFIKSVPDPDTVMQNAIGEIVKYLYTSPPA PAAPRRQIQFNPSLPPTSSVSFIIHDFQGVAYTIGVSSDDNQKEIHMSLSYIAHAGSY KDTVAEIAGIIQHELVRCYQHTNPPGKSTPNPPSGLIEGIADFVRLKSGLGAAHWKRP TSLADLPKRWDAGYQNTAFFLEWIENIKVGTGAVGLINDRLLRQGYLGANGQVFWNGL FGHEIEELWAGYAEYVGGQKPKIQDCGCGND TRV_04049 MDERATELLAALRNTSLSVDAKIASLTKLKSEIKQKNVPTSAAG DIFDAIRLAIASQHASLSAGGFSALGHLLKRLYLQEQNGLIASQGRHTYSLLLEKLGD HKERVRAHASQAFVDFWQSAPTEVEHHVLEVALVGKSPRAKETSMMWLVKFWLFVLYT PADSHAMQMTKERGVLFRAHVPSLVVGLEDADSSVRETAKAAVIELFQNAPPRAISDL KKQIQSHNVRRSIALSIFAALGINSVPDADLPSSHSGMPARPGSSFSHRREEPARPNS VLSTRSHHHQDGSTSIEHEVPISLDPITIYSNREIDEMFRDMIPHFEGKETEHNWLHR ERSVHTLRRLTKGNAPQDYQAHYLAGIKQLLDGILKAVNSLRTTLSAAGCSLLQDIAR VNGPAIEPMVEILLQNLIKLCGAVKKITSQSGNITVDTIIGNISYSSRLLQHMWAACQ DKNTQPRLFATRWLKTLMNRHAKHKNTIEHSGGVDIIEKCIKKGLADANPGVRENMRG TYWTFASIWPDRARSIISELDTKSRALLEKDAGNPNAAAHTTATSASRSGQPYSSSSM RMTLKETIAAQKKARLAAAKNLPPRPESAQSAFAEPKLARPNLQRQPASSASIRNVPT GTQPSSSSSSSAQGGSLSSAPMRPGMRSRRPELSRPATADPYSRRNAPLSAQSQVLSP TNSPQRFSPKSAPAPRTRNPPARPKSRMEGVTTNTLQRKAAASEPPMAQPLEPETTNL IDPEPEKEQQIVPEPEPEPEAAIPVSRTLDEDILSPTKAHEDFTIVLPDINDPIQVEA PQTPVQPSVVTSLESVDRSPMEAPAEPSIETPVEVTGEVSIEPQHEESTEAPVEAPAS TIEAAVEPAEVLDETPAETLVETPVATQADIPVEPPVEAPNDNQTASDLADLPNVNAD PTESSRIEDTPQAPPDPHKDAVITGGQITPPRQLVLSTTPLSKIPTSPKFRQHSREIV LSSPLRTPPKSHVRPSEPESSSIIKLSPPSTAAESNGATALKVYEDPQSPISKASTSS PTTPHTNRLISKTKPLEARPLNEPSSTNRKYNQDAQSISPLSYSPPASASNENSHRRW KKAEISEKRRSLSPHSKDPNRARDMVDRGLMRIRTSALDVHGYRKFQSLIRYHDSILN DEAKYSQILLALFDALESPDENRVSSTSRSFDLKTQILFTIRLMLSQNREYFSKFYSR AMVSIIRTRKHYEITNHIVSGLEETSEDIVSACNPQEVVDDILQLLETEEKSIEASRM VSMGTYVLCGLLHRLNEKKLYFNDSELQRLGKFASENLRNPQPDVRRAVMDFCLELHE MVKPEEKFWSIVNSPGEDVRPLLTYYIVRKPKA TRV_04050 MSGPSVPPRESTSLSPPLDRKRRRTEYSLPRDCAYSIVSGSVMS NFSLLSQERAPFIRIPEPQNATYEIVESTPGSQPKSLRVQLCGQTGAASKPQKVTLHH SCLTFTDLSLEDASRVPPDNNNSAWARAKRSPLSNMSWEGNDTPTVGQVWIVVYAILT VHPELEVFRITLDGTGSDILAHQLEAVGLVVAHPAPSAPPGQPVPASDDHIGQLVVLR RSFWQGAGSPFGPRPIWVAGTDNRDISPSFESAFPPRALDYSLTTKFPTSRIHTTHPI RPAKPTPGSTIYSRYIPHLKETFSMVALDWTNEEHVNLFHVWQNDPRVAAGWNETGTL EQHRAYLRNMHEDSHQFPVLGKFDDTFFAYYEIYWAKEDAIGPYYEAGDFDRGRHFLV GDARFRGPHRVKAWHTCLTHYMFLDDPRTNLVVGEPRATGAKVLAYDQANGFHINKLI DLPHKRAALIMCPREKFFQDPPFEYKESS TRV_04051 MAVEEKNSPTGAAMTNTGILAPSSQPSQPESIYSFPNTYLKWWT KTQKFFSRENTITPTFGYFRLLFGTQPGKTDIALIVIGTIAGIGAGIPFPLLGILFGE LVDDLNSSTCSTTQAPPGGYQAAITTKVLQVIYVSILNFVCMYIHTGCWSMVGERLVR RLRTKYFHSLLRQEIAFTDTLPSGDVTSRLVSDIEVIQAGTSEKVGLFIGTISYFVAA YIVAFLKVATIAAMLMSVVPIYFLMAFGGGHYIKKYSGRISTHINAATSIVSSSLSHM SIVHAFNANARLEALFAQHLVSARMDALKKAITHSIQFGMLYFVAYASNALAFWQGSR MIADLAEGKPSKVSVGAVYTVIFVLLDASFVLSQMAPFMHIFASAASAGDRLMTTIKR QSAIDGTSSEGDSTISLASEEIELQDVTFNYPARPEVPVLQGVSFKIPPNKHTAIVGT SGSGKSTVVALLERLYDPITGCVRVGNRDLKEINVRHLRGSIGLVQQEPNLLDRSILE NIAHGLVSSSQEKHKHLLPTLLGPSLSELTEKIRQGASEDEAIAEQGDVVREIVNLAR HAAALSNAIDFINALPDGLATRVGSSGAELSGGQKQRIALARALIRDPPVLLLDEATA ALDSTSERLIQAALTKVSENVTTVSIAHRLATAKDADNIIVMQKGKVMEQGTHMDLVA RDGVYAGMVRLQNIGKFSSSSSIMTESTQVDVNIDRSLTTDTLLNKEEKLSLEQGVLD EKEKPAQLYMPEEADSLPTEPEEKKEKPKQTLWATMRGSFPLIRPNLLLISLGLITSI MIGVSYTGEAVIFGHTVGSLSVCRGGPSIRSSGMLFGLLFFILAVAKFAAVIVNGAAF GWAAEKTLYRTRVLSLRSLLRQPLEWHNADGRTPGLLVALVTSDASALSSLTGTTIGV LFSTVANLFAGVILSHIIAWKIAVVLLATLPVLLASGVLRLRVMAQYQKKHQKAYAKA TAITVETVDNIKSIAAFSLEQEAYSVFNRSLKAPYKSNMKSVLHGNFWLSLAYSISTL VYALAYWWGSQQILAGMYTQVQFFIVLPALLFSTQSCGQMFALVPDISKARIAASNIV DLLSIKHEGDEEYDKTGSKASAKHTDPRFNMLEDKPRDVEAQLTTTTPSSFPTKGMGV QFRNVHFRYPSRPNQPALDDLSINISPGQFCALVGPSGSGKSTTFALLEKFYNPASGS IIIDGVDITKQSGAAFRDTIALVPQENVMFEGTVAFNIGLGARPDVEATQEEIEEACR LANIHDTIAALPDGYNTVCSQDGKQFSGGQRQRLSIARALVRKPRLLLLDESTSALDV ESEKHVQDALAKVARKTTIVAIAHRLNTIHRADRIFMIEGGKCVDQGTHAELVERCES YRANVIHQSLDA TRV_04052 MASTTLFRLATRTPATSFFKAAPYAARARVSAAVPAPVFRASAF STTPMRFSGAHEEETFEQFSARFVSASRFPRLEPIEKKSHCISNETEILIENIIWMFS SCRLDDLHWHFLFFAFHQTTHVVYLYLQRNLNNAFAYDLVPSVAVMTAALKAARRVND FPTAVRVFEGIRSKVENRSQYEVYLEELKDLREELGIVLQEDLYPQESK TRV_04054 MTPGTKGGSWSESARKESGHDRDARRASITREEEEGEKEGKKKK RRDPTATGEMKQKKRRRAEVKERRSQQDEKLEVFFFFFLALKILA TRV_04055 MSANLLSNIPLSFSTPSIDQPFGVKLWPIFDSVYTSVMGYSADD FRFVIDETPMSTLKATTIALISYYVIIFGGRELMRSRPAMKLNGLFMIHNFILTVVSF LLLVLYIEQLLPTLVRKGTFHAICHYEGGWTKPLVLLYYLTYLTKYLELLDTVFLVLK KKPLTFLHTYHHGATALLCYTQLIGSTAVSWVPITLNLVVHVVMYWYYFQSARGIRIW WKEWITRLQIAQFVIDLGFVYFASYTYFTSTYWPWLPNAGKCAGEEFAAFSGLIILSS YLLLFISFYLATYKKGAKSGRPRRNTGSQAALAMKNMEVPHVGSHSAPAASNGSTNGS ASNGNASNGNATTTARANGPVTRSRKA TRV_04056 MHPFLRPIHTYTPLPPNSHFIVKKHLHDKKKTHIGKKPLRHIQH RKGEANEKPKWKKEGRKVKSTNGQNIILPFPRFIIPSFPPSLFFIPDPILFPRPLLST PYLLLLLTTY TRV_04057 MATSSVHSLISNEKLGGSIPVVPSSLHIIHPVPQTRYESDEEAE SELDIPVSREDRLFSPPNADCVIVIGSRGTTDHAERGFELTMPLSEEQQKMQHRRQGP TDVANRSSLYTVRRISGLTLLPPLQTSPEQLQQHEQQRNASRSRSPSATPRNRTERPA TMIFEQEEFEDLVYSDHASEYDADVFNDSDLDDDTDVDIQDGGPIHESFFVATPVTYH LPKGRPSLVSISPPPSRSGRSSQTQTQRQMEEQSPRAQKKQKKQSSPVSLERPDAALK RNSSTSSSIKRSLQHLKVKKSDSRRGSAYISTEPTPVPPVAAMNAGTNTSFMSATSPP DSAKFFTDTKKPKQASSDGRRRSLLPNQLRSGRRNRNVSEQQTPPPTDTEAPQSQRSN NNINTQNEPEQTQIQGHRRRATEKLPSFNRVAWTPASPPTSPEYNNSTQSSNSHTSAP QKLPVSSVSEPPPTPGLRKTKTLQVPPPNNSLEQLPVPRNRARTRSISSIASNASKFS LPAFDSASLKAIAQKYQNRTTSPTPSGTSNLRPTTTIPDSDRRPSDCGSTMSERPSVP SSRHNKSLRTMSTTNLSMLTSAQDTIDEDTMGGPYQSKFSNSSLGRKSKRYSTTSKAS ISTGRSSSTSSNNNSNGTNAHIRSKSIRWFQGTSESMSIAGNKLGSILKKKSVALPTT S TRV_04058 MFRFVFYLSSTFVLLDFLMNVIWLPIGAHNTWGFRTPSEAFLAT YNGTGAPAGWNWCLSYLATAGILIGFDASGHIAEETKNASLTAARGIFWSTVVSGIGA ACTIVLFLFCAPDPKTLFSFGSPQPFVPLYAVVLGQRAHIIMNVNTTIAIVAASRLVF AVARDGVLPFSSWVSRVSPSGQPYNAIKVVWGVAALVTCTILPSNVAFTSLVSAVGVP SAAAYGLICFGRVFLTPKKFPKPRWSLGVLSKPFQIIGIFWNGWVVAILFSPYVFPVT GQTLNCKSFFVFPLLPFQVRERTVSDT TRV_04059 MSQSQGSRLANKVAIVTGGGSGFGAAIAVRYAQEGAKVIIGDIN VEGGEKVASSDPSSITFQKMDVTRSEDWKAVLDLAVSKHGKVDILVNNAGTTYKNKPS AEVTMEEFERVFNVNVKSIFLASQLFIPVLIKQGHGGSMINISSTGAQRPRPGLVWYN ASKGAVSNATKGLAAEYGKHQIRVNNVCPLLSGTGLFEMFTGIPDTPENRQKFIDNVP LGRLAEAEDIANMCLYLGSDEARFINGGDFVVDGGKCI TRV_04060 MHTVQLTALAASMLSMAAPAAAFWRMPCQGRLGLARMDPIVNPG ELSPHAHAIHGGKNFDFKVTGDQLAESDCTSCAVTQDKSAYWVPTLYFLHANGTAELA EQLGGTLVYYLLYGKDIKAFPRGLRMISGDTGLRDFPFEQPDPPSSSWTKEEKTQSAL GQKAIGFNCLNYANPPEASMYRHTFPERSFIDNTCANGLRLEIMFPSCWNGKDLDSKD HKSHVAYPSEINGGDCPEGFGTRLPTLFYETIWVTKPFAGMDGKFVISNGDPTGAGYH ADFIEGWDDGVLQEAVDTCTNDSGLLEDCHIFNIQDHNKQNECKMNVPPSIMSEEYTL CPKGLPGGVKVSTGPGYVSGASHHEPVVNIPSVSIPVPGGPKLNVPTPSPPAPPAPPS DQAPPAPPAPETTPMPSAPANPPGTTSTYTKDGTVFEVAVVTRTVTTTIQAQATPAKR DMNLLRHHGGHGHGGHRRRHGHF TRV_04061 MSTKERVFTGLFIFQRVEQDHCSRRISARQQRRRQAALPGKQRE RDRERDDETKKQKAAEEDRQKRK TRV_03973 MKLTHFISYAILSLSGVQAATLNGPCTGARGAPGTCISTSSCTK AGGSYISNACAGLPAGIKCCSKTSCGDGGNCRFTSTCSSGNTQAGLCPGPSSFQCCLP KASGGGKFPPPKIPAVGRCKKTAVDGAKKIVAAHPGMVREIFCIRDCPCPSNSEHCCG LATDMMCTDIIGKEYRKLTWHGIVKKRDRDAFGRVMAEWVMNHRKDLNLKYVIWGQRI WNPSLNKVSPWTNWRRMEDRGSITQNHW TRV_03974 MSRQEQTRHTAANMPRHADKPSITPTRQVSSLGHRKPAARLEIS ERTQLRIHATYTENKGATQKMINAVLVFNNSGQPRLTKFYTQLDTQTQQSLISQIYTL VSQRPASACNFLPLPPLLSQGASNNSAGSHSDAPTQITYRTYATLSFILISTSTESPL ALIDLIQVFVEALDRLFENVCELDLIFGYETMHAVLGEMIVGGVVIETNLERIVQGAR ALEGPRGKRKVVDSAGSAIGRSGIPGLGVPWR TRV_03975 MATRPALTRISRRLVKPILPELPKRQPSKSGALDNGASSSTVES SKIGKQPSWRTKLQPEEYCSYRDPTIPVPEQLEYARRFFAKSKHSPVHLWTTNLFRKI PESDIPEVVFMGRSNVGKSSVINMLVGEDICYTSATPGRTQTMNAFGIGGTKGGETKI NIIDSPGYGKASRPEWGHELMKYLSKRQQYVDSRFHSNSNCGILLTIPNLDRLRRVFL IIECKAGVKNSDKEVLSILREFTVPHQIIVSKADNFITEGRAGNQEAHRAASLKQFRQ IISRIRKEVTPTLEEGIPPLGDILACSNKIRIGEGHNKHPLGIDALQWSILQAAGFDY QPSQALYPQ TRV_03976 MSPLSGKSTRKPANSPPNDAESALPEPTVTSSFNAASEEDTAQK SDDCIPSSGTVGQFSFAPATQTTVVTTTTTTTTKFPPFVMRPPRFSGQLDPKVYPLAS MPTPAPLRDIRFVLNGKSVIFHEADDVPGILSKFNEQQESLKTSGAIRSMSRDAPESS KSDASNSNLLIRSRPPRSSDTRRPPSPTSNPTAKPTPATIHNSSEEQLQQTGSEHTVL SRRYDLPQRELGLATPETENTSFLHCNKGKRGQRTRSMLGKQYHEEPPSVSGIASPIA PSDKDSNGDSCGDGLEKSPLLEKQSSTAPTQAAGRRGNTQLDNGSIDSNLRKSMKMKL QVKSRRNKQGLLGLEPSNSQNMCLPSPSLSPIALMNAQRLGEASDSTDDGGADSSLDE LPKPSNKGIRTKKAKKIMDYSKKIASRGCMPLSPNSSVLDMPNMLSSFEAIPDELKSY MMYQFLRRCPKSTLHLVANVVNPALKCDFLSNLPLELGLNIVKYLDVKSMCRASQVCR KWRHIINSDEKTWKAHFVADGFVLPAGELQQAIEQGWGWQFSMSPSDGEKDLRNQSFR SSDSECSSSSAWHPDVTDSKIATLPTPFSPSPRRLKRKATAHLSGRRAAKRAETVLPS PSEDKATPWLQEQLTASENPFIAANAAAMAVPYPDFGLPSLKSLHLYKTLYQRHYSIK HNWMREDAKPLHLAFRAHDRHVVTCLQFDTDKILTGSDDTNINVYNTKTGALQSTLQG HEGGVWALEYYGNTLVSGSTDRSVRVWDIESAKCTQIFHGHTSTVRCLQILLPAEVGT LPDGTPEMMPKEPLIITGSRDSTLRVWTLPKPSDPTYFQAGPAQDDGTCPYFVRVMVG HTHSVRAIAAHGDTLVSGSYDCTVRVWKISTGEAVHCLQGHSFKVYSVVLDHKRNRCI SGSMDHMVKIWSLDTGAVLYNLEGHTSLVGLLDLNAGRLVSAAADYTLRIWDPENGQC KNTLTAHTNAITCFQHDSQKVISGSDRTLKMWNVKTGECLKDLLTDLSGVWQVLDFGA YRDGTPAHKLGQRIVVDENGEEISDDAKPPVEEEVSDS TRV_03977 MAQAICTRPARQTAAAATTTTSVASATSQTKTQGQHAANGPVAK TIPKPLSLCQPQSLLQKQSLAFAQIMLHASRPDSNISYGDFVDGSSGSEAEAGKRGQP LKIILRGCNPKADSVLNLLEHGIFDALEKNVLEAVQLTVFVDKENPSHVLETYTFSFN YTEGGINELKRGLEAVSLETNVLTTGIKTFRTAKQGLEMIIRRLITLSTFLPILPNKR FLEIHLFYTQNCPQQYEPHGFKPTTHDQILYPRDDSWRKETQSCGIMDAGCHRVGLKV TSLKCTREDADDEEAGTRQIPDTLKYSEKVDRESEVGLVCEEPSSQSLTHGSDERSSQ ESIQVPESTQRRQDAITKGMLQKMLEVPPPDSELTPTQVDSYFGSNSSKTTSGAKPCL SQAQTSKIKQRVNLSQSTQIAGDKNLQSGRHEVNCQCGWSEPEPDMVYLSAVDLSSSL THSDVFRAA TRV_03978 MAIHFGSKNLPKDYVSIPALFERIYTHRYIDCSGNEVVQITDLL RKNGFLEPTPGSKRRGFAEKGLPRFRVPDSENIRHRLRNEIFNPLAKISHHYMIPSTQ DPQQNGARPVAVPTTAHMSSTKSGNMLSNHTNLQHKRTANVQTIPNTEPIVISEDEDD SIALPRAAPSVAPSKCQHISSMGNGGRAERDNAATTSPPSSPPHSQYSLASRAQEELR RGLRYGGGRTRPLVDADSQVASVNQPVKQQPLKRRKLSNAAHPIDIGDSAAEESG TRV_03979 MPNKEAAERRRSEEKAGYMYGVRTAERPQREKKQREGDDTTGRG PLHGMAGSRSAALACLLRLPPFSRSKNILLAAVWVRFCFFSLLVFASF TRV_03980 MTLDIPPRMSSAGQHHHHHHQDQRQYRQETAIGIIERAFDSFPA TPSPDLALWREHKGGLRETEMETDAAPRAESCASDLTGGYSINRTGRLDEEVDIEPVK EHKVRPRLSSPFLRHVTRKTSSNLKQDSLRPSEPSSSSSTSQAVNRSQKSYHTNGTSS QDLALRDYPAGANQSTTDLSTDHNSRGTSSRKAHNKQKHRPPMIDLSKLFPRQRKTPV PCPPSPVRVDTPPSIMSNGSDTSLYKTHKFEKAQRALDRLTTRSPNSGKLDMIQSEYR QEDDLTPVQAPLDRYQSTSSLQEPFNHQKDQSNQQQQKQRQEKDKLAEDTGERLTDRK YEGNWRDFNYKRKKLDSAWYDGVGHHLVDDDMGIQPGDQVEVMYGQLLSRDPAPATRE RRSMSLPSRQPSIQSGKPGHGRLAVPNGSTQKPKTSSGSVKSASMANSNSYSSQPSVN TNSRPAGKSDKEEPRIRKKSSRAILNASNLNESSVLCLSSSEDESEDESSDHTTGLRD SITTIDEGFQICTAKAVTTASRPSIKRVRSSSKKHTARSGTSTATRSQNGDTSSRASS LHPSILSPTDSNFSSGRTIPDRLPTSPPTLPRIQTQFSPAESGLSQPGNRRSRVIAVT RQEEYLLELIRRNKGAVPQTLSPDGSASTNTKEWARALAAQMNRRSASSYSSDTSFLR LSPALPPTPLQKRPPRSIPNPSIASTTGDAASSPSVPQSVTSDTSYHTSFQSPPPLPK INLVNSGVCFPSPPSGQSSPFTPPAVPFKHRRHSSRMSHTPSMPSVRESLRNRANTTA IYDDNDDCEKDIETNPDIPIWALGWNSEATGVAIVH TRV_03981 MPAVGGHRYTPSTAVFLNEVIKLVIALTAALYELSFTAHTSTTA TSLFITLSSKVFSGDSWKLAIPAIFYTISNSLQYVAMSNLEAARFQVTYQLKIILGAV FGVAVLRRSLAPGKWAALFLLLAGVVIMHLQLSSDPLDPDNHKHVNIRRSLSDLSDIF IGRVEEEAPKLTKRSATYEGIIEDMMLAHPRLNANIGVLATLGACITSAFAGVSFERV LKDSHTSTSIWIRNVQLAIYSIFPALFIGVVFTDGETIAKAGFFQGYNWVVWAVIVSQ AIGGLATSFCMTFADNYLRLAPGGISIFLSTLVSAWFFDFSPSANFIIGTAIVLSAIY IYLPGMQSGIPPIHIHAAEKSGKPSKIEIDETLSSPNDFSIKLPTTPLLNETGMSTSR PSSPNRHHSRVHSSRGSYFPKQGRDS TRV_03982 MVSSSSQRNFRSLLSTFRSPSYKSAKIPEENDDFEGTPTKKAYR EDSLLPNFEEFHRQQQIPNAEIDVLDDDIPLDAFGMAATAYQCCCSPDSAVVCVVPGK KDTDISYPLLTRIANTLITPPFRPLASSSSDFGSPSSPLLTVEGISRGRFYGEGLDVH KSPHWPSTREITPPVKIFPFQRREIVKSPEIQLKHAPPIIQGIRLVSTRELSDRFRTL FSFPLFNAIQSKTFPVIYHRTDNVVLSAPTGSGKTVIMELAICKLVSDLKDSRFKVVY LAPTKSLCSERFRDWRAKFALLDLQCAELTGDTDHFQIRNVQQASIIITTPEKWDSMT RKWKDHMKLMQLIKLVLIDEVHILKEARGATLEAVVSRMKSVNSNVRFVALSATVPNS EDIATWLGKDPTNQHLPAHRERFGEEFRPVRLQKFVYGYQANGNDFAFDKVCETK TRV_03983 MIGIVSTGVAFHHAGLDSSDRHTIETSFLNGQINVICCTSTLAV GVNLPCHLVVIKNTVSWQDGGCKEYADLEMMQMLGRAGRPQFDDSAIGVILTRKERVA HYEKLVSGSDPLESCLHLNLIDHLNAEIGLGTVTDVESAIRWLRGTFFFVRLQQNPTY YKLKEGGNRADEEELLRQICEKDLELLQENDLVTPEPPFKSTELGDAMARYYVKFETM KLFLSLPPEAKMSEILSVIAQADEFRDIRLKPGEKSLYKEINKANGIKFPIKTDINLS AHKITLLIQSELGAVELPSGEQFQKHRLSFQQDKSLVFSHINRIIRCIIDCQLAHGDS VSARHALELSRSLGAKAWDDSVLQLKQIDQIGIVAVRKFASAGITNMEQLEAAEPIRI ETILSRNPPFGMKLLARVAEFPKPRVSLKELGKDIKPGKTAQVKFRADIGFVNEKPPL YFQKRQVYVCFLAEISDGRILDFRRFQ TRV_03984 MFYVVLVKDFDDILQSRNKGPTTAVTATSAPTALSISSKMKKTI SRGNSSVTSEPVQLDNGKWACNHRCKDKTAEGTDQPPKQSKKQVTEASNKRPQSGNCE AKSKISKDNIKLERQVEVIDLTKPEPTKKKLTSGVRAEKSSSKRKDRDETPTLHHSYS RRTPSPLSDDTLDPLQLPLPVRKVKAMKYTLSDGDDFEEFESLMGDFESSPTKNNIPA EKETSQTSEHRSPTNNADFEDSRDLFGEDDAMDDDALNHVNDKSMEVMSFKNLDDTPC IYSSQKKDCEGTVPAIQRHKADLLPLQAQSLQYNVDVLPTDQGVEDDNLFLTNPPTPT KGIPDTKVSGKENLDIFKLVPSKRRISDLTATEIPLAEGNIVDIQHQKGSPRSEHPRQ IDPLLLEEFGAVAEFY TRV_03985 MSSCIFDDTFFLSHPSLVSGICKFIVKVGLFGKLRRRIPLEQRG RFNGYNASEYSLGEKMVKISFADRKRIPPGINAWDAGRDPDVELDLAGKALTDDGLSV FIDDLVQCMSYRDKDYPKGTVRLTELCLSGNNLTVMSLMKLSEVIFIGITSLTKVDIS KNSIRVNNEYERRALSSFLNAFRYSCLVKKIDFSGNHLENAGFDVLSCMYFKGGYHSS NFSLVAKIVESSAEQAESANELEFYARKRGLRSIPYLIFSNTCNSPLCAFHLWNIVTA HESARALLEFLPAGKDMAPPGLEGRDSGIVCTPNKGLSPLCQAYLELGKEIHNITRAD KGIDAIDLQVGAMEDRERAVTELEILKMKKIEMERVQKRIIISALESFGLHSVRLWTI TFRLLVAARAILLDERNRPQESALWNSDENLGPLLKARINYQTSLTSRIWALKLSNEP HIPQGSMGFPTSLFQRQSYANRFSHNTNLRLKAAYKSPYRFGLPIYVWRDILAMAFDE LDILGREQQVRVVEYASDWTGIEQQIALQGARESEQIWRILNTVDCLVYTFE TRV_03986 MVKNKGSNSAKVPLPLALEAPYAAPTTFNDGLPLPKIFVFDLDY TLWPFWVDTHVSAPIKAKDNNSRCVDRWGESFSFYPAVHSILQACRARSIPVSLASRT NTPDLARDVLKALHVIPSFTDNPAADNRSIRALDYFEHIQIYPGTKTQHFTRIQQSSG LKYEDMLFFDDEPRNKNVQAELGVTFCLVKDGITKEEVDRGVWEWRKRMGISQADRKS AEEKN TRV_03987 MATAAVDIPFLASHYAIPETALTTLSESPTVELVNQLLESISTK ARETEELKSDKLRLEVELENAVRGGEAKVKVLKSSVEKGLEEISNLRNKLQESGKSYK SKDIERVNQNTRSQLESEIGTLKSTSSTSEAEVGSFKSRIASLEASNRDTLGLLESKS TAYDKLAEELSTQHRKTVDLRREVTALEQKIQAASSTAASTRFREQSLQQEVDLLKKN NEWFETELKTKSAEYLKFRKEKGTRISELQRLNEEANSKVESLERSENALKRRLDDIE QKYDESLTNIQQLKEEAIQAAESFRIELDSSTRLAQLQQAAAETAKQRVQEFQIALDK ARDDATDQISRLRGEIETEHSDKEAAERRIAELELAIKQMETEGSAARLQPMSPGFNN GVSTPLRPGTPVGSFSPRSSRGVKGGLTLTQMYTEYDKMRTLLSAEQKNNEELKAAMD EMVQDLESRQPEIDELRSDHSRLEAAVVEMSNILETAGKEREAATREARKWQGQVGGL EREGQILRQQLRDLSSQVKVLVMEVHLLSAGEKEYDRADLEKIAREGIEESAEDMTET GQFISRNLTTFKNLNELQEQNVTLRRMLRQLGDQMEGEEARQKDISHQKDQEELKELR VRVQTYRDEMANLVSQTKSYIKERDTFRSMLIRRRETGESSEPFSQSLPLGAAPPALD ASTMSAAPGPDYADLLRKLQAHFDSFREETATDHSSLKQQVNELTRRNSELQSEVSRA SSQLASAIQRAELLQSNFNLLKGENVELQKRHTALMENANKQDLRTQQVAEDLVEARG LADSLTRESANLKAEKELWKSIEKRLIEDNEALRNERSRLDSLNANLQSMLNEREHSE TESRRRLQATVESLESELQITKRKLNEETEEAKKATLRKEYDHEQSQKRIDDLVTSLS SSREELIATKTSRDHLQSRVDELSVELKSVEERLAVLQHKPNTGATVPTAGDESTEAV PDNQLSREQELAIEVSELKRDLELTKGELEHAKEQAEDFKAISQSTEERLEALSDTNE QYREETDRLLEEKNAKIADLEKRVEEITSELAATNNEISKLRDQEGEAQRRMDDQKEM LEAEIKRLKENEERYTAAAQYHQQDLKTQAEIAQNAQQNYENELVKHAEAARNLQTVR AEANQLKLEVVDLRTQAESAKNNLTHQEENWNELKERYESEIGELNRRREEVLKQNSL LHGQLETITRQISALQRDRASMPENPEGETDASGTDLEGLQEVIKFLRREKEIVDVQY HLSTQEGKRLRQQLDYTQSQLEETRLKLEQQRRAEADSEHNTLNHNKLMETLNELNLF RESSVTLRNQIKQYEEAIASKSAQIEEIQQQAEPLQTRIRELENNLETKDGEVKLLQE DRDRWQQRTQNILQKYDRVDPVEMEALKEKLATLEKEQAEASTEKSALQAQIDAFPEQ LKQAEEKVHDLRSKLTEQFKARSKELTGRINAKQGELNIAIQEKEAIQLELNSTREEL NALKASVSENQQAAAAQSAPEPQPAPVQAAVSSEHPSAESAQKIAELEEKVAKLEAAL AEKEAETDAKIRERIEKMKETLNNKLAEYKTAHKEQIDKLTAAHQQELAARPSGELPP ELTDSQARELVAKNETIRGIVRNNIKNALARERESMSKEADPAALKEMEKKFNEEKEA YMKERDQKVNSAVELAEKRLLAKVSMTEGRARNAQAKLEVVQKAATETPQKPVVEVWE VAKVAKPAPVSQAATPKPAGQAPAPAQTATLPSQATQQAAAQVPAAPTTPAKPTMPTD SQQTPTPAERPEQAPQAPQAPQPSSLPQPTAQGQPQQGQEQPAQNVQPQQPQQPQHQQ QPQQTQQTQQGQPVSGLPSRPPQSTHHPAGGTGPGVLRALQSGLPVARGGRGRGGPVG QVTQPHNVAGQQQQQPQQGQQGQQLGASRGHGIPRGGGRGRGGPGRGGAQNVQTGNLP AGAGQSSPRGGRGGLNAQARQFIPQGNKRTREDGAEAPETGSGKRIRGGGAGSG TRV_03988 MSYFQKQINSFSNSVVSVASRLPSDRRAAVANNAASSTLQPASN ASTPAAQTPRRHDADIVYSQPANTGTGRDIMTQVIFAIEHMKGKDVPLKFSDIESYLS LSRQGHDMGYIHALRRILQSHDKVQYDPAGANGEGTFQFRPPHNIRSADQLLQHLQSQ KTAQGMSVRELREGWPTIVETINKLEKEGKLLVTRNKKDNHPKMIWANDPSLSQKFDP EFCQIWEKVKIPDHQTVMEELEKAGITPTSKHKAAKARPKVEQKKVKKARRSGKTTNT HMAGILRDYSHLKK TRV_03989 MLSECLMRLLTAVLLSTGNGEFIIVLDSEDRENEGDLIIAADSI TTRKMAFMVRHSSGYICAPILPNLATHLELPQMVSQSTDPHRTAYTVSIDSNDPSVTT GISAHNRALTCRTLASPDVKPSSFRRPGHILPLQAKVGGVRERRGHTEATVDFCRLAG KFPAGVICELVEDGESPEGVAEQSGGGMLRRDGCLKFGKKWGIKVCTIEALVEYLEKT EGPLPVVNGKHS TRV_03990 MDRLPLEILRVITSYLSPEDLVHLQLVSRSLYAAARDNVVWREI CYLALKERFMKLKQKLALYTLSSKRVWQSHLFEDTPANAAVRWDPSDPEEEIDWCAEY VARYGPMNVTWLQSPAVEDLIDRGRPLEAKGVGVLKNRSYGADDQVVGLLENGLVAIW DASRGKAARSSRSVLSLPGLSSSADLNDTVHDGITERISVDSTSWRAYIADANVVNEV DLSTLEMISQTTYPECIFALSQETPDYQAPITVATRKGLHLFDPRLQQVYQQRDTSIF LESHSSLHPTAGNPGTVNYTELLQPGPHCILHPPPPSTNSIVVAGRFSSILLYDRRNL GRLQASVHSGARLCGLAALPAIPKYYRPSDSSVRGQSFVACGENRGIGSIEIYSHTSP DTEGNSAFTIKTVNVNRASTSPSKLLSVALHGSRIVFSDSMGRIMWMERDGRTPLRTL DLNGRSPVIIEGRVLNPTREQDCDYDTNNRVARKIIPTRGSRLDQDGLIIWTGSQIGR VHFSHADKEEPPVAEEPSEQAVERMRIETEAWRDFRKCMSMGWL TRV_03991 MEVALHKHIGSHENIIEFYNTGENEAWRWIAMELAEGGDLFDKI EADEGVGEDIAHVYFSQLINAVGFMHSKGVGHRDIKPENILLSVDGNLKIADFGLATL FEYGGKLKLNTTLCGSPPYIAPEVIRCSNRGHSKGQGYRADIADAWSCGIVLFVLLVG NTPWDSPTDESYEFCDYVKSNSRPSDELWNDIPSDALSLLRGMLKLDVNSRFNMADIR RHPWFTRQNKHLVDGKLANPVKLATTMFESLHIDFSQNPLSSSQAQSQPSGSDPMDID LGASDDLKPKFSSTQPETPMDPSLMDWDGPPRLTTGLQSASQPKDGITTDQAILLAEQ LLEEPSMSQFCATPSVPLSRTQNAQRFQDIVPASGLTRFFSTWPAKSLVSRICEALQL LHVPVAPKISTESVLIRLRTADDRKCPLHGNILIETVSEGLVEVEFVKIKADPLEWRR FFKKVTILCKDAVMKPDQ TRV_03992 MDFAIEDSQNAAPEGQANVEHSKLGSTASKPDTQSVTKSWLILT FILTVLSARLQSELMQLMMSPSPGISAFPDGDGNLLSWTATIDGPDDTPYQKLTFKLS FSFPTNYPYAPPTVLFKTPIYHPNVDFSGRICLDILKEKWSAVYNVQSVLLSLQSLLG EPNKFVISVLDY TRV_03993 MENQQDKNPVADSSPSPETPGANPPAPTLNPAHQHHDAHHVHQA MNDEKPVEDGAAAERGTSNTSDRIVSSTEKPVVGTTGDVESAQNSSEGGRRTLRSRYK KEIRLALNIGIWLIFTGFADDKWFSWWIAGLILRRKELGWVVPFLVYLGVTLRVIFNY VPISIVTKPIYWLWSRTAQPAANMIPQKMQTPLAAALVVCVIIIGAFVSEESQDNTRE NRAISLFGLALLLLCLWITSKNRKKIVWRTVIVGMLVQFVIAIFVLRTTVGYDIFHFI SQRATDLLGFASLGTQFLTTPDAAKIKWFLANVVPAIIFFVSLVQLLYYVGFIQWFVA KFASFFFWAMRVSGAEAVVAAASPFIGQGESIMLIRPFINYLTMAEIHQVMCSGFATI AGSVLIAYVGIGVNPQALISSCVMSIPASLAVSKMRYPETEETLTAGRVVVPEDDEHK AKNALHAFATGAWLGLKIGSMIAATLLCIISLIGLINGLLTWWGRYLTIEGPDLTLEL ILGYLCYPIAFLLGVPRTGDLYKVAQLIGLKLVANEFVAYTALQQDPTYADLSPRSRL IATYALCGFANIGSLGNQIGVLAQLAPSRIGDVSQVALSAMLTGALSTFTSASIAGLL VTDQQQFFKPKDMAMGMNSTMAM TRV_03994 MRFAVSSILAIAMAGSTVVAIPSLSCLKLPSVIQSFDAAKVGTI FQKEFCGRGCDLIPSNYESQIKPFVTKFFEDECATMGAPTLSPYYINMIDAMFQGAKE CSGGKFRDVNLCGSENEALQEVFGCFQSSAVSALWEKKASILPVLTTNCEEQYKYFAV DNIYNNRLPACAQMFAAENCKAT TRV_03995 MPLAQLLQNQLSSQFVLNLLMNIVQQGPVPKHIAIIADGNRRWA KSSELNILDLFFDIGIECVTAYLFSIENFKRPKEQVDDLMDIFETWIQKYLSPSPNKR KIRFRVLGRLELLPEKIRKLIEKLVEKTADYDEGTFNVCFSYTSRDEMARAIEMTVRN YNESPKNGGKGKITAETIDNNMDICNDPPLDVLIRTSGVCRLSDFLLWQCHRDTVIEV LDIHWPGFRYWHLFLAVLGWQRKKMATVKLYAGATGEPRDSWDSTSNSHLKWLTSIIL LLVPAVWGYHMAFYPK TRV_03996 MPFPSRLKLLFRASRPTYWTTTLIFYLIGLLQAGSYPQSVPEIM LAVAFSAPLCLNGRSVEKADHAFVLQACKVATIGVILLAIPASLQIPQTMTYVLIALG ASWAYTTPPIRLKGRPFLDSICAGTLYWSIWASGFCLRDGEGSTSLQGSNPSVWIFFF CAGLQMFTAVLDQKADSAASIRTIATACGERMAAFLTAITL TRV_03997 MPAIESGAESRLLLVSNRLPITISRSSQGKYESSMSSGGLVSGL SGLSKTTKFQWYGWPGLEVPFDEVDIFKKKLKEDYNAVPVFIDNDLADRHYNGFSNSI LWPLFHYHPGDITFDESAWEAYQEANRLFAQAIARDVKDGDLVWVHDYHLMLLPSMLR EEIGDSKKDVKIGFFLHTPFPSSEIYRILPVRNELLLGVLHCDLIGFHTYDYARHFLN PEKFTEGLKREKVQKRIAALQQKFQGVKLMVGVDRLDYIKGVPQKLHAFEVFLTDHPE WIGKVVLVQVAVPSRQDVEEYQNLRAVVNELVGRINGRFGTVEFMPIHFLHKSVNFDE LIALYAVSDVCIVSSTRDGMNLVSYEYIATQRDRNGVLILSEFAGAAQSLNGSLIINP WNTEELAAAYKEAVTMSPEKRKLNYTKLERYINKYTSAFWGHSFVSELTRLPNCAEKK VHFSQATIEDNKAIHMVPHTELQ TRV_03998 MEEEEAMFTGDTDLPRLHEDVLGQGTAVFEELKTYMASLEKMGD KASVSARGYPGHGPVIENCKSKIAEYIRHRQQREEEILRVLKYGSLDSSQPGDTKPSG WTPIELVKVIYAAVPESLHLPASHGVIQVLNKLEHEGKVTSDEQTGRWSCSSKTAAL TRV_03999 MLTTLARASAMLLGARGFASAADLDKKVEMTNWEKGNYINYKKM AENLDIVRARLNRPLTFAEKILYSHLDDPHGQEIERGKSYLKLRPDRVACQDATAQMA ILQFMSAGMPSVATPATVHCDHLIEAQLGGDKDLARANEINKEVYDFLSTSCAKYNIG FWKPGSGIIHQILLENYCFPGGLMIGTDSHTPNGGGLGMAAIGVGGADAVDVMAGLPW ELKAPNVIGVKLTGQMSGWTAPKDIILKVAGILTVKGGTGAIIEYHGDGVNSLSCTGM GTICNMGAEIGATTSVFPFNDRMYDYLKATRRQSIGDFSRVYAEGLRPDENAQYDQLI EINLSELEPHINGPFTPDLATPISKFKEAVKENNWPSELKVGLIGSCTNSSYEDMSRA ASIARDALNHGIKAKSLFTVTPGSEQIRATIERDGQLKTLEEFGGVILANACGPCIGQ WDRKDVKKNEANSIISSYNRNFTGRNDANPATHAFVTSPDLVVALTIAGTLNFNPLTD KLKDKDGNEFMLAPPTGEGLPANGYDPGRDTYQAPPADRASISVAVSPSSDRLQILEP FKAWDGKDAKGIPILIKCEGKTTTDHISMAGPWLKYRGHLDNISNNLLIGAVNAENGE RNSVKNFETGEYDSVPATARAYKARGIPWVVIGDWNYGEGSSREHAALQPRHLGGMAI ITRSFARIHETNLKKQGMLPLTFADPADYDRIPPTAMVDLMCTELAVGKPMTLRVHPK DGASFDVKLSHTFNESQIEWFKNGSALNTMAKKAQ TRV_04000 MTYTVSALSKPFKCPGSETRRTSDKPARKRRKVNYAGADCSAED DGAKPWTNEDRLALANRDANRFPVFKVKDKETTFRQRFHVPLIDKSSGNYSSGRSAPT LGMRCGATFVVKPLHDPSGEFAIVLYDPTIDDRPDDKDESKDTTGNKEGEEVEQKKLN QPLVHKSLAEILGIKKNVDERPKVPVVIDPRLAKILRPHQIEGVK TRV_03942 LVAEQHVPVSAQDKDSKRLIVVLSNASLETYRSSHGGGGSGGPG RAANGREEKYSLLNSDEHIGIMRKMNRDISEARPDITHQCLLTLLDSPVNKAGKLQIY IHTAKGVLIEVSPTVRIPRTFKRFAGLMVQLLHRLSIRSTNSEEKLLKVIKNPITDHL PPNCRKVTLSFDAPIVRVNDYVKTLGPKESICVFVGAMAKGRDDFADAFKDDTISISN FSLSASVACSKFCHAAEDAWNIV TRV_03943 MSGLVGFRPLACWPLFFFSCFLFLPLHSEKNWLIYLILPPLVES IILLSLLVSLSPAFLLYSIYPAYIRVYIRLQTEQFSPLFCLLSCLAYITSIPRSRPSL SHQAFVCSVIVTYIYINTPYSEKLTLHSLSSTLAHKSKMPVSHLTLTVSNLPTSTSFF LSCLQPLGYCFMGRHENSVGFGTEPGKPADFWIAEERPGVPAGAAHIAFPAPSRDAVS AFFIAALKAGGKIHGEPCVRDAERNYFSAAVIDFDGNSIEAVHRNDAPSVAPSRKGSV VNDKALTVVDNGSVVSKHSTKSRAMTVAPAKSEVSVAKSRAMTVAAPAKSEVSSASTA KRMSSKSVMAPAPQQPQAPRPQLSRQETTSTTTTTNVHYIVTKEKSGDNLSGAKAVVG TLLGAAAGAALAYAMVKGDSQSQQETITPVTTTRYIEAAPPQSSPSVSGGSNTGYRGI EAGQQQGQLEDGYARSAASKNPWASTVFEGLEQTSRILEQAKSFTGSAAAAGGFLPGT PGSTSGSERRPSDGSMYSGASGDLEIRAIEGVPGGAADDSRSSYSRTYPHYQPSPSFV SSFHDHPDRDRGDAGSACSSGSCGSQRRHGQQHYAKSVASSQASGSTIKAKSTKAKSS YSSHSKHSSKNDRDRGMRTIEEDYGYDFEPSVHSSVHSARNIPLPAGSTTTVSKLSIR SKHSHTSSSHSKHSHHEYDRERERERERDRRSSASSSHRSSSHAHQIPLPPSVAGGSA LYLEDIDAGSHVTPRPMFETASTSSKKSKHKRSKSSSRASQSGHSSSGRSKFDDPVLP SDSVSQVGSCVSKKSSRSRR TRV_03944 MGPEGSPYAGGVFFLAIHFPTDYPFKPPKVNFTTPVYHPNINSN GSICLDILRDQWSPALTISKDPNPDDPLVPEIAHLYKKKDGEYERIAAEWTRKHAIG TRV_03945 MNAALRRGTLRAALGAARRPADTAGPRRSAHSAAASFKWTDPLD AESLYTADELAIQDTARRYCQEQLAPRVLEAYRNEAYDPEILREMGSLGLLGATIQGY GCAGVSTVASGLITKEVERVDSGFRSGMSVQSSLIMTGIHEFGSEELKQRLLPQLAQG KLLGCFGLTEPNHGSDPGSMETVARPHPTKKGFFSLSGTKTWITNSPISDAFLVWAKL DGKIRGFVVERDQCPEGTLRTPAIKNKTALRASITGMIQMDNCPVPETNMFPEVTGLV GPFTCLNSARLGIAFGTMGALEDCISRAREYALERKQFKGNPLAKYQLVQKKLADAAT DAAYGTLAAVQVARLKDEGKAVPEMISMIKRQNCDRALAGSRTLQEIFGGNAASDEYH IGRHVANLFVTQTYEGQSDIHSEFFTILLLIFTIIHANLLTIQTALYHIHFTIYHLHP PSTIFYQPSTVFIYSISLLFFYYLLLSSSLLYSTPIPIHLSAFTFSSLFLAFSSLSFS SPAKKHQQRRRTDLHDSPGFSRRYIKTSPCCLPRCQAASPSPSLVVPPLLAARRGKTR LSRNQLTPNPAIATEERKNSPPPERTRPRPPQVSLAPLPQTLHLQTAVMALKRINKEL TDLGRSVSP TRV_03946 MYEELSVDATPGPSRVRRMDELVSTAKDEDVAVDGDGPFFLSFF LRFNAGWSLAVDPRRDEAEGRREKAKAEMEMEMSIFVCLERFYADWGFFFLQVGKGLL VAARVPVVDGAFSQADSQIDRQTER TRV_03947 MPADENTASNSGSSSIVININSSTGENDGDRHLQAPLAGDMGSE NNLVCAIRESSAAVLSSPSRHTSTAATVYSEDIPELDDDLSTPPSSPPPREHEAEQVK LKLALTPPPASTRKPTFSFLKRKRSANDTPLTELEVNGGSASMRSMDPPAKKKQTQAA SEPTPTTSTSPTTASTTTTTTTSTATTATTTTATTTATTATTTATTTAPRPALKQTTL DLGVASNTVRIECDTCGMHYIPSSELDRSIHRRYHDHNSAYGGGVEFGKSFVRANASR WVYEASRFEEGYVVIVDRKSSAGTKNHAKRVLEIANLDLGAVDIDDSVLWSKVEAKQG GEEVDRFKFFLHMKGSRCVGLALAERIWEAHGITSKPSKLIPKRPSSLSCSLSLTKET YPVLVGISRIWTCRMSRRKGIALDLLDCVVSNYFYGMEMARTQVAFSQPTECGCALMR TFYGDKDWRIYTGSC TRV_03948 MSGLNPFRHKKTASTAAPGAVAAPDAASSSSPSSQTAASDSASL STAQPGNRPRGQDRRQTGQDDAGRRPGPAEEWLAGLEVDVARQKKQAQTQQHHHGLSR PLFENSELPASRTVSNSKTVRIASPPAKQIPSPDNNDANDAEEGYFGSDVSGYTSAGS VGRQMSGHRPSTPGVQPAEDPFNAAIGSSGSSDEDDDDDDGEDDEIKRLNARGQDGLG LGLGPVADVPSLRRVSYQGDLRSKGQVQEHAGAGATKKRTTMDVDAFKRLLLTGDTAG DTKDSRDLSAAATAASTTQEMSAGDKKPQKPPPPKSRRGKAISSSSRSSESTEKSTEA TAPVSPQATSHTKSPASSIHGAQPPSPPARRQAAQSEPVPPAHAQHKRPPTPPITRRH SQMKRSGTNTSRLALPPGSIKPLNRSASLNSATHPKTPPPPPSRRTGTGSTTDHGSIA SSIHDTSSVVTPLQHQKAESETSPPHNPHLQAPSHTYTHTHTHTHPPTSTARPQPPPP RRTAGSTHSSHSTETNHPSSTSTSTRRPSSISAETEDHPIPPPPPPHRKTTRGSNPPE PDISPPSNANDILANLSQLQHDLDEFRGQYGDGDRDRSQKK TRV_03949 MLMSGEMSGDPPRNLNMKEKFDRWYALSSWPDDGVPLANVVTTG WLTMGGEDLGMLNYSLKDNLSKARGLLGSSYAVARAAALVLHFDVAVILFHKSIAFHQ ITAWSIVFFSLLHTIAHWVNFARIAASEGLGIKGFLQLNVTTGPGWTGYVMLAALVAI ALTSLEGPRRANHERFWNTHHLFIIFFLFWSFHGAFCMIKPDLPPFCDGTGVFYLYWI FGGSVYLLERLMREVRGKHRTIITKVIQHPSNVFEIQLQKEKTKMRPGQYIFINCPVV SIWQYHPFTLTSAPEEDYISVHIRCVGNFTKDLAKAVGCDFDEKEQPSYERRTRREST VIGVDANTSEQDVDPRLSRILPRIFIDGPFGSASEDVFKFEVAVLVGAGIGVTPFASI LKSIWYRMSQRQNKTRLKKVYFFWVCRDFGSFEWFSSLLLAIEAQDRYSNIEIHTYLT AKIQSDDATNIMINDADADRDAITGLRAPTNFGRPNWDMVFRSIRKIHAPAEAGVFFC GPKALGSVLHVKCNMYSEPGFNFVWGEENF TRV_03950 MTRYSVTVIDASVGHIVNQIPAENVYEIDFSPLGTYVITWQRPS KDENGDAVRNLKVWKVATDSETQDQQTPIGSFVQKSQTGWNLQYASDEQYCARVVTNE VQFYESGNLGTVWKKLRVEGVADFALSPGKGHAVATFIPERKGQPASVRIFDMPNFNA PVSQKSFYKGDKVQLKWNNTGTTLIVLAQTDVDKSGKSYYGETTLYLLSVSGTFDSRI DLDKEGPIHDVTWSPTSKEFGVVYGYMPAKTTIFNTRAVATHSFQLGPRNTILFSPHG RFVLVAGFGNLAGQMDIYDVEKDYKKIVTIEASNASVCEWSPDGRHILTATTSPRLRV DNGVRIWHVTGALMYNEDMNELYSVSWRPQSPNSHPLTSNPLNPVPTPHPSATAYLST KKAPTKPAGAYRPPGARGQSTPLAFRREDEGGAAFVREDSSSFASNGGSAPSPFGKPR RRAVPGAEPVEEFLPPGAAPGGGVALPPGAEASAPGPEKLSKSAAKNKKKREAKKAKE ASAGGQGDGGENSMAAATNSGRRDRSRSRHRQQASGGANGANGANLDPNYPRGGRDRS RSAHRRQRSDGRNSTPNRNGNGNQPHDRRSPAVNTTGRQQAAPPDLTVTSPDGGNTAN AVPDAAAIARDKKMRSLLKKVRAIDELKMRVASGEKLEETQMKKIHTEDSVRSELEAL GWTG TRV_03951 MFGLLERDRLDESSTDAGLETAEKADETAREAERAKVTATARAR VKVKVKLEVVRASKKEEEEEEEKKKSRRFFFFLSQSGKKRKKGSGTCVLSQQLKDEEK SRERRRRGG TRV_03952 MTTPTLINLPPPPSDPVTPSEMGPSTPNSGTTSLSALSTVAIKD GHQGARRPHSHRPHRSSSNASETSTTTLDAERADRISRLAGLERLSARTAAGGNPLHA HNSSGLSQGEYFDTHPHMLKERSTVGSASATESISRGSLGGRTRTTWASGSDDVYGQD ETIDEEDVDPDDADARSTDAFSDEGNASLVGFGEGANSTISGPISTPVQRLAAAGLSR QSSSMTGVSNTAVAGSSPTSSRFSHPITHQSQSQQPPQSPTSAPGSRGAAAVDAQMLD GMTYDEDIVDTTARSPLPVKSDEEGQ TRV_03953 MKIVPLPTPVEVNQPTTIRSTVTPTFGGAPCRRCLKNAALNEDV LLISYNPFLPENRDTPYSGPGPIFVHADECPWYDGTKDNEFGIPAKCHARSLTARAYD AGNMMVWSKVVEGAKLMETLKTEVFGDPELEAEYVHVHFTGPGCFAFKVVP TRV_03954 MLTGPSEASKTRMRKVSAEEIFDLQSLRKVYNNPDRTNEAVLRV FHVQNADWAKEYLLRKFNIDNRDDLVGNDFGKYVRHKNPERRGGRPFLNGKTWKVQYD PWRGISKTSFGLDYLKQYHKSAHLTPRHRRSPEDDTLKMMELDSWGDQDNPSRGYDVY VQRFSCYIQHKQATGLPSLEMEDSDIKNPYHIEDCQTGDSPEKRKDVYVPCLSSLDNG NAILIFDNSHTGCLEDTLIPPRQTWEERWRRLPFFLAFESKDLVESDEQLAYHSTRII LDDIFKVLTANWDSFLNLAMDHVSILEDKIYERPADETRAPLLWTNSSLWLKVEKLLF IHLDIVKEMITRLQDLTDDVESDTPWLESIPGDFERLGTTITEDLIKPTENLISLLYQ SVSIRDSRHSIQLNVSMWRLSWITFIFLPMTFITGFFGMNVDTFKDDPDIKWYFIATV PFMFLVLLLWYIIKHYLASRRQTPHQRGIYENFFNEMADRNPSLWSRMGPRDYVVPQG ILSRIKWHFIKVWSAPERTILLDADSDGSGGDMGTIFKIKQYLIRRWTSQLRNNKAVK ETALEDGLIVPEDDTVSEAHSIADGLAGATEMLVIPATPAVESRIVPEIVTLHTSTQT QPDAPEAIAPRSALSLMSSAHRRSSSAGRTSGLLVEEEDYQWLSERGRQGMRWAWRSS SSRGRSPHESPRRHSRSRSREDREVEKSGGSTSPVDQGTETMPQSNDDNNESPTTVHD TSNTHT TRV_03955 MYQQNRSQMQAQAQAQAQAQAQQQQQQQQQQQKQGQTQQDQQPQ ISRGPVGVNPAQQKPQNFQPLYPQIQAKVNSLTFIPPPSISKDQVESWLQEAKNRYGA ALQKQELGKVKLAEVRQSYQQRQGAGNLTAEEIQEFKNRQLFADKLYREGNEFLSKFK DQQESFRLQHQQAAAAAASGQQAGQGGQTQTSGAGDQQGVVSQAAVQQPVSAAAGTPA PHTINSAVVAARHQAGQAPQGQSAPGSGGTHPPAQQQGQPGQPQQQPQQQPQQQQPQQ QQQQQQSQHTQQQQQPQQQQSQLGQQQAASQQQAQVAGMKQQLPESAGATTLSQTPQN QGPPRALSQQGAVNQARSSYDVNSNIHQSNQTSNHAHPQAYIGDRNMDTRKINMAIPK NLSISTTPEPVTMGAARPTLTTGASHGSMGMMGQPAIQKHPGYVLEGEGQRVLSKKKL DDLVRQVTGGGEGEKLTPDAEEFVLQMADDFVDDVITAACRLAKLRPSSTLDIRDIQL VLERNYNMRIPGFSSDDLRTVKKPHPTQGWIQKMTAVQAAKVTQSRTE TRV_03956 MEHLPAAPNSLSVDRLLGVGEMGQFLDLFPVVVVAAGEFAVVTA AAAVVAVVAAVAAVVAAAPCWLGSTSYPWLIAMKLSFSVLSNFGAPNAPLYTDNIRET VNKYKLLTIIIYLQAVRGVFNRRAGFSVFTLVAFSLHRRQNRKRRNVLASPN TRV_03957 MWHIFTSEWSQILNQFLAVIVWLQQKRDSYERRLVELEEHFCIT EERVRILKSEEGDAKSEPLANIRDLEVLPSHFGDASNTVFIEWVYLIDLDEQVFSVNN RVFFRLWNIPRDTWITPFESWDLSTEKCPEASLEPLIPDYFADDAERDRYNEMYKRYQ HSDLYEFSAGVNYNPRNVMALMLYEYLLHPSHSAMWDYVPQWGYTDFAFRELAFAILS LAASEFHLTDVQKLHGHYRHQSSSRGFLVQDDAHDGTEVLPILGAGCHTSVTKPGCAP LETMYYFQGILISLVTAEALFHERNAAIAKAVEVGLQSGNKEFEIILFSIKCMIVVKV SVEDDVTSVAHSGVLPITNGGAAPFPPVAGTESALQAHPGFAILQIMFEQQAQKDLQQ FSRGVFPPEIYAEILSYVDPCTELACSKVSPVFRSICQAQVTTPDGLVTKFETIDGEE DKPRSYHQLVPFGTFTFKDMKVATVAQPCRHFDDFPTAFNGPQWCAIIGTGERKCVIA QARIPIITTDLDEEQDEDSDD TRV_03958 MIKLFIRGILELSRRKLDISLVDVTMSLLQNHLEQISLSASAIA DLSFPPPKPFVNALLGQHDITTLIRDTEAHERALFSVDNTTKSQRRATRRGTMFVAET ERESMISRIYAVRDRTNQSAVARVLGGDMMDAIKRSTAPTSDRTTKSGLDIEVLLRGA EMLCNVYPVAGAKEKIASIRSRHREITASLEYLEKRVADQAEELERMNLSQYDDSDYH KTEDTAEAVEVTDEDIELELEAIRELEAKKRRLEARVTGMEKDLGGLLR TRV_03959 MPAEKRTLRSNRSDASADGEKPRSNSQSSSSNKDKAAPTRSAAS RGKAAPARKPASGSKKATPEGSDVVENGVNGPEDAQMSEEHLNGSVSPKQRDDNDGDE EMTVVVPPSKASRLSGEPEKSRNESKMDTDQDEDVQQDEVVDPAEKAKTDLLASVITS AYQRSDSTASFLLAALDRQSAFDNVAEDIDMEDASDMKSSATPAAKTAASSKETLPEI DVYLSILVQIHLYDAKRIEKGAQFSQKLVEKLRTHNRRTLDCLAARVYFYYSLFFEQL KPLPPSPTAAVISIRPSLLAALRTAVLRKDTDIQATVTTLLLRNYLSTSHISQADLFI SHSEFPVGASNNQIARYLYYLGRIRAIQLRYTDAHQHLVGATRKSPSTLSAGGFYQAS MKLLVVVELLMGDIPDRAIFRQAALERAMHPYFLLVRAVSVGDLDGFQKIVQNYGPVF RKDSTYTLILRLRQNVIKTGIRMMSLSYTRISLRDICLRLGLDSEESAEYIVAKAIRD GVIEASLDHEKGYMKSKDIGDVYATQEPGEVFHERIQACLGLHDESVKAMRFPMNQHR LELKNAQEARERERELAKEIVEGDMDDDDAGGDFEGI TRV_03960 MSAAQNLFSKEISKAPPPPLPSNPGSLPANVSVDPLRMVGKELK FLKKNLRCLLGSGHPILDQVAKYYAQSEGKQVRPMLVLLMSQATALTPSCEKRRERDQ LLSSLLSTPSSSSSGAYINDSINSPAILADTNPDLMPLSSPTRDTVYAPTKEDSKDNF TNVLPSQRRLAEITELIHTASLLHDDVIDNAVTRRSNLSANLAFGNKMAVLAGDFLLG RASVFIARLRDPEVIELLGTVMANLVEGEFMQLKNTMLDESNPVWSEDIISYYLQKTY LKSASLISKSCRAAALLNQSGPDVAEAAYLFGRNLGLAFQLVDDMLDYTISGEELGKP AGADLELGLATSPLLFAWKQRPELGKLVGRKFSREGDVQLARQIVSQSDGLEQTRALA QQYADDAVAAISKFPDSEAKDGLIEICRKTINRRK TRV_03961 MADRQQAKKYPKYLHNPDFDDKSNTSLHLAAILGNLEVVKLLVS LGHDSCEPDIAFMDFDGAPGISLNTDSSTPLHLAAANSHADCADYLATVFKHSIDWQD KNGATALMLASQGSNISSQTSNTSSLVPPRQRPRASSSASNPASFEDTSTVSKLIKRG ASLTMTDSVGNTVLHYASAWGNLKTFRLLVSAGAPPLARNHAKCAPADYALSVQAAAY CRSLVAEYERARKGQYEQDKPSLKVRSNDIPPGDSHLSPISPASGLRPKMSLDSQRTS QSCGIGGVRLVSQDESDQENELRPSVSWSNPGTPI TRV_03962 MACILDLPAELIFPILDDLLTSHPPSLVAFACTNKRSHHLSKPA LTRGRYHTLAFKVDLSRLQDDVKKCTLELERDGGAFDAVRYLIIETIEWRSLKIYDDE VSDEDLSQRPLFRWKRYDTLERQEIDSLTRYHTERWPESDRCDLDDGSWMPLADLLRR LPQLADLVYLGLTQFPPCMLDALHTSIPSCRLFLHNFMLRSIVEGDRLDEYDYTLVTS PCLYGLTISCYDVQISEELYSFHQEAIQRMVYGLSPNLKEIHIDRDRLGGFAARFPLK PAYSWNQHWQGFTLDKKLPGTFQKGALERIVLSKTETDIIKRDIEPWSEYTDFSKLRV LRLCPYVCNIREDVLEYLLTFIFASLETFELWMGRFSRISFFLLPCSSSFARATKRFL EQVPALSTLKLQDWDPKLELDVLQYSNLRKLHLKPVVGSSVSLNNLNHIAKYCPLLST LVITLRRLRGNADEVKMYKVLGSMARLAYLDLLLDVEDPKFRLGDCEKAPDIPSDPSF DDFDKEFYPQITLGCYKCPRKGHLRDGLINSALDADLVSSIFRTINSAKPDISVLLKK MTIRVDTTEFTNDIYDRGASTLYYNVLRYLGVEWTVERNSSDLTMDNLIAIRTGKNRI EWNRCLQSFDDTCRDLGAVFHNLWPSKGGSSEANDWHSFPLATGDNEAEIERWLNRNK SG TRV_03963 MRLAHLHIPNIIPFRQASLLQQILVSRLLTHKRKQSESPALFPP TSAPDPTILTFTPRPVYTTGRRDLPASCSPNDESSNTFATKASDLSLPTPLEPIRNIL TPTSSPTNAAQHLAEYYPTLRGGQTTYHGPGQLVIYTILDLRALRIGPRCHIRLLENS VLDVLKGFGVKGGTTTEDPGVWVKPDVGNSGMPRKVAAVGVHLRRFVSSYGVGFCITE EPLWYLRQIVACGLEGKESTSLEGVGVNVGDVTMEEVGTRFVQAFVDRVNSGGPSGGQ GPRLDEVYRIQEEDVLG TRV_03964 MELSLWDTAGQEEFDRLRALSYDDTQAIMLCFSVDSKDSLENVE SKWLAEIGENCPGAKIVVVALKCDLREEASDEKDDGSNTQQQPKPVITYSEGLEVAKR INALRYLGAFTRP TRV_03965 MALVAQVQGLLLAWIASGVADAAAGVRKKKTWADEGPKEDGAEE EQCLLSINVIVVHGIPYLISVMLEPEYVC TRV_03966 MFFISILTKAKHQPEGKRREDRRKRRAKGRDTRVKMGGDLNVKK SWHPVLLKNQERVWVEQKKALEERKRIDQMMKERQEERQIQELQEMQEAAGGKKRLNR VDWMYSGPAAGQTGTTEEMEGYLLGRRRIDGLLKGSENSKLEKAAPEESFMLAQNANT ARDTAAKIREDPMLAIKKQEQAAYEAMMNDPARRRALLKAAGMDEDRSTAHTEKERRL RRHRHRDERDRDRDRDRDRDRDRDRDRDDERRSSRRSRRHHDEDDRRSRHHRRDRSRS ASHSRSPPPQSSRRSYRSPSPHRRKRSFSPRQRDRDRDRSRSPYRRREEEESSRRHTS SYRSHRSTGPRRPKPEIHQSRPPQPSSADLERERAARLAAMQQNANELDEERTRRINA AEERDKAEREAEEAARAESSKYGGRGAFVNNIHRKAGDIDLADRLQRGKKNLEKEQEA Y TRV_03967 MSDDDDFMQDSDQEEYDFEYQDEDDDDSGDIGIENKYYNAKQIK VDNPEEAIEEFLGIPPLEQDKGDWGFKGLKQAIKLEFRLGRYDDAITHYKELLTYVKS AVTRNYSEKSINNMLDYIEKSSDDVTAYHCMEEFYSLTLESFQNTNNERLWLKTNIKL AKLWLDKKEYAQLSRKLKELHKACQRSDGTDDPSKGTYSLEIYALEIQMYANTKNNKR LKALYQRALKVRSAVPHPKIMGIIRECGGKMHMSEENWKEAHSDFFESFRNYDEAGSM QRIQVLKYMVLATMLMKSDINPFDSQETKPYKDDPRVSGMTDLVDAFQRDDIHAYEAV LRNKPDLLEDHFIAENIGEVSRNMRTKAVMKLIQPYTRFTLAFVSKKLKISVAEVQDI LSFLILDGELADAKINEEDGIVEITRRCDIDKERSNALLLWNNNLRMLYDSTFSQAEG FRPENVHNMHPLMGGFGGMRYANLYSHESAISGTNFGMGDRSFKYPKGKTGRSSWGKL TS TRV_03968 MAESKIPSLQQRPCGYLRALNVGKWFLVPIAALCGLLWVFVIAA SIFSAVYEKNASSRDDYPPIRWKDTLEDCDPLVFLAARLLSYKVNRKLTMNFCLRGQI IWLFIPIAIETFHVPIQLWLYARNLLHPIAALVLSFCFMGLWVSFSVLAPLIDACVEQ KFPAAWYGLFWARQVTGYLITLLYLAYFGSSCAAVHHWRLGKKAVDGTSSDGDIEMKA TRV_03969 MGGLVFPQAVKMMMEAERANVYSFFPTAREVPMPFHYQPHLHQQ HLQHQLQLHHQHSFSSGSGFNDSFSDGYSAIHQCRPDLLLSRVYQPPCSEYLAHHQPI MANLAPDEMAEFQKLSNDCYGHANALLKGPLVGIKQASVALSQEYAQADPIYVAKTAS LAQTHSHYRIMKGDGNCGWRAVAFGYFESLFALRDQAKIAQELARIKSFNAMFDAVGQ QEHLYEIFVDATEELLKSVAEQIANNNQDETFIVDAFNNEWNSNAIITHFRLMTSAWM QLNRERYEAFLPMPIEQYCSRTVDTVRTEIDEIGLQALVDGVIAASGFDVQILYLDRS QGDEVNAHQLTPQRTDSLGVIKLLYRPGHYDLLYGTAQSPTPVNYQYSMTCDFSPWYP NSLNFDLNPVLMAVPSLPLDPADSPPHPAPAPSPYEYSYPINPPENMIPHHTIPLPEP APPRVATINLPLRSPPPPPEDRSSELLIRMNPLVDPDMNCLPLTIPFRKYVSKSIGYL STCLRSLSSHFNQAHFLNAEFQPSQWDPSEIYKREKKPSSRSGSSSE TRV_03970 MTSLQHPPLSYEASATTTHPHFATCLPQEVVSCLKNSRFLHLAT CDGLNPHISLMSYTFLPSTPFNPTPTIIMTTNPSSKKTLNLRSNPRVSLLVHDWVSHR PPTRTRDAGREGSPPPAATQSSLATLLLNLNTSAMSSISTTIIGEARVLDVGSEEEKW CRERHLESNTFIADEGGIGVFSSSGQAQQGSTGEQERRPSIMDDDVNVVVVAVKEGRI ADLKGGVRDWAVVTEGQMNGVAPGS TRV_03971 MLASRLLRSGTSPSLLRPFSTSCTRLRAPSIRDITPDNASSFNT RQKEFRDNLEAARKRKAEQDSQSLDASAPVSPSSSSSSSSSAQAPPTAPDASNASGPS TVVDAASSLDRQALGSLSTHRIVGERQQAENNSTPGRGRLSSLIYGTKEGQQLDKDIE RSFSEVLARGKYVHSIVFHEVKPDKVDEYVGLVGEWYPKMAGMEQNRVNLVGSWRTQV GDNDTFVHIWEYQRYTGYHASLHEIGQQPGFVEFDRKLKSLIKSKKTSLMQEFSFWPT TPPRRLGGVFELRSYTLHPGNLLEWESHWRRGLAARRQIMEGVGAWFVQIGDLNTVHH LWQFADLEERKKRREQSWELEGWADTVHKTVPLIQTMKSRILIPMPWSPVG TRV_03972 MGLKRVDACNTGLGVLIFAGAVNLGQSWATVLTLFGAVFTFYVQ TWEEYHTHVLTLGIVSGPVEGILSLCFVFLTTALLGGGSFWHRPMLPTLGIPHFSILS DSAYNLPFTTWWLIYGGFVLLFSTVTSIMNVLKVVEKRIVDRRLDPQAYMTKKAVGGN RDSGEDSKYTPLYGLLPAILPWTLLVPYLYMHPEILQNHLVPVILFTGILNAYSVGQM IVAHLVKLDFPYHNVLNLPLAVGVIDGLIPRLGLLEKSFIGSGQNQVAFVFACLGLAV GIYGSFV TRV_03929 MTFFFLAAPERNFFDHNNIDNAKPQTSTSTSNLQRQLHPSATRA DDRSQSQRQADSQPASQPARADHKLNGSRRAYSTRGPSFAKANKPTPSRKKAKKKGKK KEEKPREDASILSFSSTGIHRSITVASRALLPLLSLLAVPLLCPFG TRV_03930 MRNKATSYLRKPIANPRSFLPGRRQKIRQSWSKYNLFNITQVRL PFTKSRTFFQQKWSAKSLARGYHGEQVRESQWERMFSRRLRAVVPMDPFDLARNDGSK NAAGRGSGMDKGGKEDGRQVQDTPYMLMTFAPLERRLDVAIFRAMFASSTRQARQFVI HGGVTVNGKKMQYPGYLLNPGDMFQVDPERVMYATGAPKDKSLRRAGRLRRRMGAKSK EEKEGEGEETKAEETKAEDKEQKKEEGKEDEDPRQMLKELLSGAKGIMTSSGDLLPAK RRQAIRAFQRSVKQVLSRSSTTTTMTDNLEAQFLALKNMIDEDTKRAAEAAKKAAAKK AEQAAPSTESPSSATEPSSSASPTTPSPSTTPNADTTSTTTTTTTTTNNNNNNNDINN QTSRDSKASSGIDDLAASLSDVELADYSPADLKALKLALEEIHENPIDSTKPYATPWR PRDYMSPFAFVPRYLEVNHNICAAVYLRHPVARPGRAEVPTPFNETIGGAAFAWYLRR R TRV_03931 MSKSVPPFLPELVPPNATADKPVGCWPLIWGRQSTLSYVIDLDL LFNEPLSALYSDHKKDLRNHGDSLHHPKHDYTELALDVEYFIHQHSLQDPILIGHSMG MRHIQSQAAPVSSLSEADALLSQFEPDPAIRLFLLTNLTRKSGKDHLHFRVPLDILSS SLDALGDFPYTDPRKTRFEKPALIVRATRSHYLPDHSKELMQTFFPNLKMVDFDCGHW VITEKPHEFRQKLQELDTNERGGPFSAAVDFLRDTVLSTS TRV_03932 MEHLKLILRSKTPLPIPEILLWSDDPSNPVGIEYIIQEHADGVN LHEHWPEMDMVQHMQCTKELSLQIREMASLDFPAYGSLYFADAPIEGKLKIPFDNGID LKEYSAGLIDTALSRLPKEQPADDRLPFRGAVQEHSDLIDACRKSMNILIEDGRVQTA ATPALIHPDYHKRNIYVSPDDPTKVTGLIDWQLASIEPAFIYVHGTPDFASLADQDPA EADESEKAQSEDERRLQKDLSICHQTYDVIMKGKIPKMRAATRLDPTFFRLFHYCFTT WRDGAPAIRQELLDLRSLWSELVLPGSCPYDPDEEELHRHAKQYEDFETRQKLKTWLT MQLNSGSDGWVPNEIWDAAQEANRAAYAEWMESAKELGEAEGMTPEKADRLWPFDAR TRV_03933 MRDSLELASLASSEDDLAQSSSESGVGSSRRASLENEDPIEGEL YEPTRPGHGRSYCVSSAFDFGTTLFPLSQTVGGYAPLGAPSAVSLEHDGGIRDGSLER HKTLTNLNGLSLIIGLIIGSGIFSSPGQVNSNAGSPGASLIVWVVAGLLAWTGAASYA ELGGAIPLNGGAQVYLSKIFGELAGFLFTWTAVFVLKPGSNAIISIIFGEYIVEAIVG TKVESVNPWISKAVALAGIIAVTLLNCISTKVGTRVGNLFMFFKFIALLAVTIIGIVA AVTGLSYDGNPNKEWKTKNWFDGTSTSISSWAVALYSGLWAFDGWENTNYVTGEFKNA GRDLPRVIHTAMPLMIICYVLANISYVLVLPASTISGTNTIAVHFGAKVFGPIGAAAL ALVVSGSCIGALNASVFTSGRLIYAAGKEGYFPSIFCRVGLSESSNPMTGRLQRRSFI KKTIARMFGDDIGIGYTPIYAMTFNMVLCMCYILVGEFKTLVTFYGVAGYSFYFLTVL GLIMLRIREPRLERPYKTWISTPIIFCCVSLFLLSRAVFVEPLQALTVVGFWIVGVPV YYWRIHQRDGKLQLGSWWKFWAKSRE TRV_03934 MFPRPVRLCRLSSIPRQCNVNSQFLSANRHQHSRNSSTTSQRPS KGPSGKLWLTAAAVAIAAGGAGIYTRSQGDTSSTTLDPVTFTNYELISKTPVSSTCSI FNLRPKREGGINAEVYQDAFETGIWSVQFKQPQLQVGRDYTPLPPSMQFSSLDKGNDT SLQFLIRKDGEVSSYLHDLQPGSIVEMRGPQLGLELTPDVQEILFIVGGTGIAPALQA THTILKCMRPRDETRIHILWANRRREDCLGGRSDTVGSQWSWWSKLYPTTKAVDPGEN IEERRNYVVEQLDELKRLYPKKFTVDYFVDEEKSLIGKDSIMKYINSRAQHPLVGPRK KKLIIISGPDGFVSYLAGPKMWHNGREVQGPLRGILKELNISGWSVWKL TRV_03935 MLGLELHHPTLHLKALFESSFPTTNLQQQTNASEMPSRSEIAYF GAGPAPLPTAVVEAGAKAFVNYNESGLGLGEISHRSPAANQILADTKANLTTLLEIPE NYEILFLQGGGTGEFSAIVQNLVSVWVERRRRKLATRFGDDEAKVVAELKKQVDEELK LDYIVTGSWSSKAAQEGKRLVGEKFVNVAVDAKEANGGKFGKIPVEEEWKLTKTKAEG GKSAPAFVYFCDNETVDGVEFPAFPKSLENPPGVSEEDERLVVADMSSNFLSRKIDVK KYAVIFGGAQKNVGVTGIALVIVRKDLLPPHTATPSPALLRQLNIGGLPGPIVFDYAI TAKNNSLYNTLPIFTLWIAGQVIAGLVQTHGEARVSGQEDIVNKKTALLYGALDKYPQ VYQVVPDKSVRSRMNVCFRVHGGDLEKEKEFIAGAEKRLLQGLKGHRSVGGMRASNYN AVSLENVEKLVQYLNDFASA TRV_03936 MANARRAFAFPSARAQSHRLQCFARPLLAHKQFSVSARLSSADV FHSQLQDPSYAAIHSAIKSNTLVPQTLTEKIVQKYSVGLAEGKFVRSGDYVTISPHRI MTHDNSWPVALKFMSIGASKLKDSNQIVMTLDHDVQNKSEKNLQKYEQIEQFAKKHGV DFYPAGRGIGHQIMVEEGYAWPGTLVVASDSHSNMYGGVGCLGTPVVRTDAASIWATG KTWWQVPPVARVNFTGVLPKGVTGKDVIVALCGLFNNDEVLNHAIEFTGSEETMRSLE IDDRLTIANMTTEFGALSGLFPVDSMLKGWLRAKATTAAMWGSTVPDLPSTAATRFNH ELLEEMFEQPLVADKGAKYAKQLHLDLSTLTPYVSGPNSVKVATPLDELEAQNIKVDK AYLVSCTNSRASDIAAAAKVFKEVAEKNDGKVPKIADGVKFYIAAASIPEQRAAEEAG DWQTLLEAGAEPLPAGCGPCIGLGTGLLEPGEVGISASNRNFKGRMGSTEAKAYLGSP EVVAASALNGKLSGPGWYEKPEGWNGVVRGEGEGIKDDDLLTAEQALEKVIGQLDTML LDAEKAFGAEEPQAQPEETSTGLTEVYPGFPERVSGEIIFCDSDNINTDGIYPGKYTY QDNVTVEQMGEYCMSNYDTEFSKVSKPGDILVTGYNFGCGSSREQAATAILARNIPLV VAGSFGNIFSRNSINNALMGLEVPRLVSRLRETFAGQGKQATRRTGWTLTWDVRRSQI EVQEGPDGQKWTQKVGELPPNVQEIIALGGLEKWVKNSISASS TRV_03938 MIAIGLEGSANKLGVGVILHPDDGSTPQVLSNVRHTYVSPPGEG FLPKDTARHHRQWIVSLVKKALIDAKIGVADVDCICYTKGPGMGAPLQCVALAARMLS LLWGKELVGVNHCVGHIEMGRYITGATNPIVLYVSGGNTQVIAYSSQRYRIFGETLDI AVGNCLDRFARTLHISNDPAPGYNIEQLAKKGKKLVEIPYAVKGMDCSFSGILATVDA LAASYGLGGEEQAKKDAAEVARHAKVETIDSLKDDDGVVTRADLCFSLQETVFAMLVE ITERAMAHVGSKEVLIVGGVGCNERLQEMMGIMARDRGGSVYATDERFCIDNGIMIAQ AGLLAYKTGFHTPLEESTCLLFIAAFIYNSRIILAEAREWATLFQNKANLVNKA TRV_03939 MSSPMDLSGNDQFNQLSDDFLLWLKRSSPHFKMHPGIHIADLRS TGAGRGISEDEELFVIPDDLILSVQNSEARSVLGLDDKQLGPWLSLIITMIYEYYQGE QSKWYSYFRILPSSFDTLMFWTDEQLSELQGSSVVGKIGKAAADDTILQKVVPLIQAN SRHFPPRPNMPPLNSPDSQNALLCLAHRMGSIIMAYAFDIEKTDEADEHTADDGYMTD DEDEPAKGMVPLADIFNADAQRNNARLFQEEGSFVMKAIKNIYSGEEIFNDYGELPRA DLLRRYGYVTDNYAQYDVVEFSLDAICKVAGLPDSEPSPSNPRLELLDNLDMLEEGYN ISRIPRNGTLEDAIPEDFLVLLRALTLPIEDLNRLGARNKAPKPEFSASEASLLRSLV TLRQSEYPTSVQEDESILNCLEQQNGYINDSGLNRKKMAVQVRKGEKEILTQILSLLD THLVQSNQNGSTKRPMTDDEKNSKRQRQL TRV_03940 MMRATRPKKLTPKQPIPIFREDQIDLTEDDPQTTLQSIETGVEK AEETEFHLQAAINATAFGNAAQTHIPTPETVQSSVQYDTLYRPVFSQPATYIRFSSTV EDCSGCGYNLVEEDDVALKIMNQKRDASTQCTEDQFEEVMSFFEETAQAKQPFAAVDN PPVVSYPEMEECFDGMIHEKLRPFVREIYESWKLRRTASGNRPLQPTLKVSRPAQACR QTFADIFLQFETGQDTDDGDPYVCFRRREVRLARKTRGRDAQSAEKLRRLRKELEDAR TLIAMVQQRELGRKEVFAAERQLFMQRCEVKEMKRKLGIKDDDEDLINQKVKPTTDVL GFCFSITDSDVQPKKKPTEVPVIQRPNVPQLRVPSRSTGQLGEDLQLLEDVQADKEND ILREIKQNVAKHAKWNEGYVDITRAPLTPTTPQGFEAEFRPAITHEYLPTPPTSETSE RMRDIHSGPSLETQWSALRQPSPSEDDSCRPTPSFRRRVGRGGRVLIDRRNLALRNKD GVDPIKLDRFKYDRDDDDMDIVYEKDEFDIQIMQHRAYLSAKARDQAITQAQLQAQQQ AHSQQVPNGRRLPGAPPTPGHNNVNPNSSNIAQKA TRV_03941 MIAIFDEDGGGDVDFQEFVSGLSAFSSKGNKEEKLRFAFKVYDI DRDGYISNGELFIVLKMMVGSNLKDNQLQQIVDKTIMEADQDGDGKISFEEFTRMVEN TDISMSMTLGMSIIPLPLLSHLTRRSVMLMLLSTN TRV_03903 MLRLNSLSSSCLATLLATYLGAASVSAQSPPENLWPVQTFQSTD IQTPFFNVTKKGQTEPGYIFFSPRDKARKIGHPAIFDDNGQVVWKGPEDASTYGFKPQ LLDGKPVMVSWFGFANETGFGLGSMSIFDSSYEKIHEVILPGGDNEYYKTIYEPMKFP SYMDNHEGQITDQGTIVVTVVNVTEADLRAVGGPEKGWVVDGGFLEMDIKTNDVLFRW SAAEHLDEIPVTFSLKPLEGAGTSSKDPWNYIHVNSVYKSGDSYIVSSRYSCNIFLIS KEGKIVWRLNGIDGGDFELGPGTNFCYQHEVRVEEHTVDKITLTTHNNDNADFTPDDQ TKPTTGLVLDLDLNAKKVSLNRMVWNSEQPVVSRAQGSYQVLGNNHVLMGQGAIPVIE EYDANGAIVMDARFGNDGVTNCYRAYRSAWVGTPKTKPSVKACGADPNGAVVFYVSWN GATDVDSWKIFAVSDSGEVKEIANFPKNGFETRMELQKAGSKFVVQAVGGPNDGVQSD VVMGENC TRV_03904 MLRTSLKSHLCPIKVLRRRPWPPPTAIPSKLSLTQPLEEENSPY YDPYCFYPAKLGEVLHGRYQIVNKLGHGSRATVWLARDLYQWRWLKEKFVAVKINSNN DTRKIPGDSEVDILYHISAANPKHTGWNFIRQILDTFPLENPTSKKSHSCLVFEPLRE SLERYCRRWNDGVMPPEIFRIVLQMILQALDYLHSDCHVIHTASDLKPDNIMVKLEDT TLLSQSAREEYTNPLPQKHYEDGRIIYCSRRNYGPLKKITGLVEILDFDLSVRGDGPV THDGCIQAEIYRAPEVVLDKGYSYSADIWSLGVMLWDFLEGRALFQDVDPLYVEDYDD ERHLALITALLGPAPKDLLASGKRTSMFYRADGTLRNPSLIPKDFTFQNTICNMSGER KKRFINFVQRMIKWRPEERSTAKELLSDPWLHEDFPNE TRV_03905 MFIIDIAMTSVAVALVVNRVIVRLVTSKKLASDDFVIIGSLIIC VAMNVVNVVGTFQIQLIYPYHVSNLRIAVNHGYGRPSFDVPEDDLKIALEVSCC TRV_03906 MGPKKGGGNRAGPKKSSSSQPAEISISDFVAAGEKNEAKKSKAG AKSKGKDAGGEAANNPEEPKKPSVRAVIGGASWTGKLPVNMLSEHCQKQKWAKPEYTM TKNADGFLADVILKKVNPKTNDTVTLPPFRLPPSHRKLGTQPTAVEARHFAAAYALFR VCNMRNLHMMMPPTFRDLWKDQFAALKAEDVKKGNGWMYEADPFTTFQERNNAVAELT RRKEQKAKEPAKQKEDRVQLGLSSGGGSGSGNTGGRWLKAPKIDMGDKVRGRVEELIR RRAVWNPYGIEISESGRRSMMDEFVKLGFRTRHVEEALSECKDREEALEWLLIHIPED DLPPWSLPEGYTAGITLASNDLARESKIKRLSAAGYSADLCSSVLDKYGNDERLAAEA LQHLLIYSRDLDIESESPVNGNAIWEEEHQTLEAIFDTKYERLSKNACQIMSEHGTLP SITYSFWNPARAVYPESPPVVAIVTKEIPAYIRLSATRQAIQHAMADLRGEPMIFNII DWLNENLPCILENPGKLRSISVEAGDNLNGENDLPRTSNPGSTSKSARGMALRPKGPA NNDIRRSWEAKQTTPEQQKMLKARQALPAWDIQDAILDEVHSHQVTIISGETGSGKST QCVQFILDDLIRRDLGSSANIVCTQPRRISALGLADRVSAERCSSVGDDVGYIIRGDS KSKPGVTKITFMTTGVLLRRLQTAGESVVEALADISHVVLDEVHERGLDTDFLLAVLR EALRIRKDLKLILMSATLDANMFINYFGGDKQVGTVNIPGRTFPVEDIYLDDVLRSTG FDSNTPYEGSDESEQSLGKAIQKLGSGINYDLISSTVQHIDAQLGNEPGGILIFLPGT MEIDRCLSSMKHLQFAHLLPLHASLLPNEQKRVFNSPPPGKRKVIAATNVAETSITIE DIVAVIDTGRVKETRYNPVDNIVRLEETWASQAACKQRRGRAGRVRNGTCFKLYTRNA EKNMASRPEPEIRRVPLEQLCLSVKAMRGIQNVPDFLANTLTPPDNVAVGGALHMLHR MGALDNDQLTALGRYLSMIPADLRCAKLMVYGVIFGCIEACLTIAAILTVKSPFASPR EAREEAKEARSSFSNGDGDLLTDLAAYQQWADKIREQGYRKAQVWCRDKFLLPQTLQD ISSNRAQLLGSLKDAALLPVDYKDPDCESRWNRHNKNTHLIRALISGAFNPQIASISF PEKKFASSMTGTIELDPEARTIKYFNQENGRVFVHPSSTLFDAQVFSGSAQYVSYFTK MATTFNAYGLLLFTGQVTLDTLGRGVLVDEWLRLRGWARIGVLISRLRMMLDEVLRRK VDNPGLNVEEDEVIDVVRHLVLLNGQDL TRV_03907 MGKFSFLSLALLAAQSVFVSGQGSAGTEENTEAPANVPKLGVTV STTFPNAEVFGVKLVNGQPTEALLHFTNPDPETVTVSVIGGSLWTIEAPGKLPVNVRN LTATPYGMDIPAGDQISLPYVFTNDMHPQDLTLNLAAVISDSKGIMHPITAYNGTVSV VEQDTSIFDPQVLFLYLFLLACAAGSVYFFYTLWIAPYFPQKRKGGKGSDRARKSAGV SSSVDATDPASTEGTPSTKTYDTEWIPAHHINRPEARKVKSSGSRVKRA TRV_03908 MAPVSAQAQEFFNRYEQLKAIEQTKNLLIEVSIIFSRILAKKLP NSSINSVYYVVNSNSAVLQDLLSRITELEDAYQRERLDHDRETRFNREVQMHEMELME QLTRFKTAMVYLSVSLCVLNPKLIDRSQKDQEPFVIALLDGDGMIFRDSLVQQGEKGG KDAANQLWLAIRDYTSETFTNIHSPKIVARIYANVRGLGETLFKAGIIDKPSVFEDFV RGFNGGRLLFDFVDVGSGKDRADDKLAELTRRITLLEGFPFERELASLISSYRVTKFE TLFRESKITPLSNHTWENAVTSTSYSSSNIGHPNPRAVSRTPSNSNGTAATTSAASAT SGSSSTTPATWASTIAASASTTFKDLTPSKPSTPSPPVIERNKYGQRVDRIDFKAAPK DELNRVKKLKLCNLFFLLGDCPNPNCYHTHDYKLGKQERMVLQVVARMTPCHFGTECD DATCIYGHRCPLSEAGKKDCYWGSNCRFDADAHGIDTNIVKLTKV TRV_03909 MDRRPPGFDDVATAQSRPSGAVSIIAVVVDALSPTRTSGSSYMS TFTVKDRDVGSGPWGGLKVKYFHDNKDHLPDPDVGDVILLRNIKSSIVRGERMHVSPN HESAAWVLWKRDNSNKTKPGCFKPTREESEYASRLLKNNGSAQNISQSQHGGDGKQHT SNSTPSQKKKGVLPSRKFCLLKDAEFSKFIDITGEVVKTFREADDRFILYVTDYTSNE YLFNYIQPSNDMDDDDGPYQYIKKDKDKQWRGPYGRMTIHITLFTPHAEYAREHVKEK DYVTLYNVRIKADKFSGRMEGALHTDQKYPHKIQVHIINDTETDLHFVELVKRKMQYW KKIRVELPNNFSENNQSRKAPGSDPVRDRKRKRQQEKREKLKEEKRKKKKDSDQPDFP RLVQTKRDELNPHSMYFANLSTCLSVGQSNDHGTVRASNPAIPCRLIRDILDADTHAY KGCDGVEFQLPFQNVRYRSSVRVVDYFPHNLEDFSVLYNRDLALLSGDTDDVSDDSDS DASRWWEWRFCLLVEDGGPNIPPLPPGQTRERMPLFVWGSDAEFLLQMDAVNLRDNSD ALANLREKLFVLWGDLEERKSADIDAFYSGKADTVSTKPFTCCIKEYGVKTGNKETDP ISLGWERRFQMFQTAIS TRV_03910 MAERYIPEHRRTQFKAKGTFKQDELRRRREEQQVEIRKQKREEN LAKRRGIGAGIGASDGGMLADSDDEAGPIETEVCPPCF TRV_03911 MVKGVFSDQIELQIQSTTKFRKLLSKERNPPIERVIETGVVSRF VEFLRSPHTLVQFEAAWALTNIASGSAQQTQVVIEAGAVPIFVELLSSHEPDVREQAV WALGNIAGDSPQCRDFVLGAGALRPLLTLLGDGRKLTMLRNATWTLSNFCRGKTPQPD WPTIAPALPILAKLIYMLDDEVLIDACWAISYLSDGPNDKIQAVIEAGIPRRLVELLM HASTSVQTPALRSVGNIVTGDDIQTQVIINCGALTALLSLLSSNKDGIRKEACWTISN ITAGNPAQIQAVIDANIIPPLIHLLSHGDFKTRKEACWAISNATSGGLQKPDQIRYLV SQGCIKPLCDLLSCPDNKIIQVALDGLENILKVGEMDKEAGQGPEANINRYALFIEEA GGMEKIHDSQNNANEEIYMKAYNIIEKYFSDDDEAGADIDELAPQQTQTGFALGTNQQ PTGTFNFANGDSMDM TRV_03912 MTKTQWQAISAAKQKALLASIPPEWLIHADILPPDSQLDVSAWP ETSGWFTKAELEITSSPAAVILEKTASGSWTAESVARAFCKRAAAAHQLTNCLSETLF PEAIKTAQALDTHLAATGKPLGPLHGLPISIKDNFNIAGKDSTLGFTAWVGQPATHNS ILIDLLKAAGAVLYVKTNVPTAMMIAETVNNVFGRTTNPFNRLTSSGGSSGGESALIA FRGSPLGVGTDIGIFTLKPSFGRFPNFKTKSGLAGQESVTSVNGPMARDLRSISLWAE AVVGSQPWITDPKCLPIPWREVELKRSLKIGILWNDGMVRPTPPVRRALKETAEKLRL AGHEVVDWQPIGHAQAADILDRFFLSDGGKSVEKLLAMSDEPIRPEMERYGRAVDHGV YNLWQLHQERNTLQKDYLDRWNTLGLDAILAPTAPFAAVEHSKFRHVAYTGVFNILDY SCISFPCRVAVDQAVDVPAVGETPLSKEDALVQSEYNPGVIHGMSVSLQLVGRRLEEE KVVKMCDAILQAL TRV_03913 MFGNLLQLGDSHAKRAAAWVKEYGPVFQVRLGNRRVIFANTFES VKYLWITHQSSLISRPKLHTFHSVVSSSQGFTIGTSPWDESCKRRRKAAATALNRPAV QSYMPIIDLESTTSIKELLADSKDGTVDIDPNPYFQRFALNTSLTLNYGIRIDGSIDD ELLKEIVTVERAVSNFRSTSNNWQDYIPLLRLWPTSNSQSVEFRERRDKYMSKLLEML KERIANGTDKPCITGNIIKDPEAKLNEAERKSICLTMVSAGLDTVPGNLIMGIAYLAS EHGQEIQDRAYEEIMKIYPNGDAWEKCLVEEKVPYITALVKEVLRFFIVIPICLPRVS IKDITWKDSVIPAGTTFFMNAYAANYDSNHFKEPFEFRPERYLDVADGTGTPHYAYGA GSRMCAGSHLANRELFTAFLRIISAFTIVPAKDRADDPVLDPIDCTDNKTSLTLDPRP FKVGFRVRDRAKLDQWIRESDERTKDL TRV_03914 MSEKATVSEAVMKTSTGDPEPLDIAHDTEAEKRLLRKCDLHVVP VLTFLFLLAFLDRINIGNARLQGLEKDLNMSGRDYSIALLIFFIPYILCELPSNLILK KVAPSTWISGIIAAWGFVLSVNQKFPGCVYLISMYYRRHELQKRVNFFFSASIIAGAF SGLLAYEIARLDGTAGYSGWRWIFIIEGLATVVFAVISKFFIVDWPETAKFLNDQERK MLLARLTLDNNGAQMNRLDRPALKRCLFDIKIYLGMVMYFGAVTSGYATAFFTPTILH QFGWAPLKAQVMSIPIFVVSTVITLALAWVSDAIRHRFAFTILGCVIATVGYVLLLCQ QHVPVGARYFAVFAITIGTFITQPICVTWLSNCMAGHYKRSFSTSFQVAFGNFGGILA SNIFLDSEKPLYPTGFGTTLAAFWLCGIACIILLFVLIRENRIRDAGGRDYRFQLPEE EVTNLGDDHPSFRFSY TRV_03915 MVTNAVLGGIADTVAQSISAISARCKELPRHRDTTSFISIDLQD LEKEKPPAVGELNFYRRRPAPFDFERLTRFMAYGFFMAPIQHRWFSFLSHIFPVTQSH ATIPALKRVAMDQLIFAPIGIYPHSHPHTPPYCRVGGEDN TRV_03916 MSEYQFLQDATLDTVIPHASDLNIEELLGSIQESSGDPGTYLSK IQQRQLLFFDEHITVYLVLCLSDFPKDSLEACISNLSVRFEVFAIDEGGSSELGEEEQ SSKDLIYSQTVDRKDDPFVIVDEAVEDGGDGNHAYVIWKSEAFLCHPQGSQTSASNDQ YLPRLTPASTNIFQPLTAAGEDIDPYLPASRILRLVPSTQPEGSMYNIQQTSYHPIRI IPAASARIRYSRSTSVLKKLSTTASLDFEATPFTSYEVVLEEANLSLTNGTVEPLAQA IGFSPPISCPARDDISLVYKLISSDFADGAPVTSMLDISLKVTVLVSDECRPKISMRW RTSVDFSRPTNQSLGGVSNTLRRSIISRGSDQSTQEPTTPQVTSFAPTDHGVKISFFG PPSVEVGKPFDWDVFIVNTSTKARKFGLSAVPLRRRSDVRTHVPRPSSSSSIKRADEI AEAVVDENIVYAMQQNAAPHETDLLCLSTDSKIG TRV_03917 MNAPEGLQIPPALWQEARNAEGRVYYYNVQTKATQWTKPLELMT PVEVSILFLVPLSLNTTDSSPARFGKSAMERIYGGGAPTFVAGGVGAFPTYQTPAHDR DEHDRSANDRRHGYGGGIDINGANAIAAANQQSDSGFASFEEAEATFMRLLRRSNVQP DWSWEQVMRTIIKDPQYRSLKDPRDRKAAFDKYVLEVRAQEKDKAKERFAKLRADFGT MLKSHPEIKHYTHWKTIRPIIEGETIFRSTDDEGERRQLFEEYKSELKKEHAEKQANA RKSARDDLVDILKTLNLEPYTRWSEAQEIIQSNEKIQGDEKFKALTKSDILTAFENHI KSLERVFNDVRQQQKASKTRRERQARDAFISLLQELRSQGKIKAGSKWMNIYPLIEED PRYTAMLGQSGSSPLDLFWDVVEEEERAIRGPRNDVLDVLDDKRFETTLKTTFDEFKS VMRTDRRTATIDQDTLQLIFDRLQEKLLRRTEDEKHAANRQQRRAIDLLRSRIKHLEP PVQANDTWEDVKPRIEKMEEYRAVESEDARRSAFEKVVRRLKEKEEDAERDREARGRD RPSRRDHYDRVDRDDRNGQRWSGSGRRSRTPEPDAYEADRRKAQADRERSYRKASGFS SPPRHHDRDRRDRDRDRERDRDRGRDRDRSISRHRRDRRIREDERDRLYHSRADPRTS RDELDYGGGDTDTAARSVTSERRRRRDSDTESVGSRSAKRYRRELDRLSGTNGGRRGK EKEEKAAPAVEEKAVHSGSEEGEIEED TRV_03918 MSDKLTRYVITAFMLLEIRLIPPFYYRIAIVNSDKCKPKVSELE YQPQLNGQLTSSMQKCRQECKKSCPVVRTGKLCIEVAPDSKIAFISERLCIGCGICPK KCPFGAIHIINLPTNLESQVTHRYSANSFKLHRLPMPRLGQVLGLVGTNGIGKSTALK ILSGKLKPNLGRYDNPPDWEEILKYFRGSELQNYFTKVLEDDLKAVVKPQYVDQIPRA VKGPVKEVGALLEARAQMDNMEHVMDVLELQQVKNREIGLLSGGELQRFAIGLVCVQQ ADVYMFDEPSSYLDVKQRLAAARMIRELLRPDDYVIVVEHDLSVLDYLSDFICVLYGR PAVYGVVTLPASVREGINVFLDGHIPTENLRFREESLTFRIAEAGDDIMIDKDRAFSY PKMEKTLGNFHLTIDSGQFTDSEIIVMMGENGTGKTTFCKMLAGAIKPDGGQNVPPMN ISMKPQTITPKFQGTVRQLFFKKIKAAFLSPQFQTDVYKPLKLDDFIDQEVQNLSGGE LQRVAIVLALGMPADIYLIDEPSAYLDSEQRIVAARVIKRFIMHTKKTAFVVEHDFIM ATYLADRVILFEGAPSVKSKANKPESLLTGCNRFLQNLDVTFRRDPSTYRPRINKHNS QLDQEQKLSGNFKRVEKAVPRDNRHDGRNRRWPHWQESYWRVVRDRRLNRVSISNLNA GQGWRTIRFFGEETSYGDDDDDDDTKNFPCAGSSA TRV_03919 MPDAPSDGEMFPSNPENMDDSDASHESMNAEEDAASANDDDDDE SVNGVDAGMSSHHSSDDESIGGSEDAAGEDVAEFTMENGVDAPPSEMFSSSPGSKRGV KRKSSVDEEEYIRNNPTLYGLRRSGRARPTRRLDQESSASESDVAPPPKRRRPGRTSD RPSPVQMPESSSDSDSDAYEGPRRSRQGKKQRRNNQSDLKNSYIPTHGEVRFSTRRAN KVCNYNEESDDNMFEDEDEEGTPGEWTYTVDDTTPAIDKILYHRFKEGVDLEKPDLGR RDCEYYTKWQGLAHYHATWETVDTLANCRGIRRLDNYIRREIEQEILFMRDPDVIPEE REKWSLDRERYIEKIDHFKQVERVIGSREVDGATEYYIKWKRLPYDGCTWEEGSLISN MAQAQIDAYLDRCSHPPISSRAESNPATRSKFEPIHTNPDFIQNGQLKEFQIKGVNFL AYNWVRGRNVVLADEMGLGKTVQTVAFINWLRHVRQQQGPFIVIVPLSTMPAWSETFD YWTPDVNYIVYSGPEPARRIIKDYELLADGNLKRPKFNVLLTTYEYVLQDASFLNQIK WQFMAIDEAHRLKNRDSQLYTKLLDFKSSCRLLITGTPVQNNLGELSALMDFLNPGVI EIDENMDLNSEAASAKLADLTQAIQPYMLRRTKSKVESELPPKSEKIIRVELSDVQLE LYKNILTKNYDALNHGGKGPKPSLLNIMMELKKASNHPFMFWGPEEQAGGSTRREDQL KALVTSSGKMMVLDQLLTKLKNDGHRVLIFSQMVRMLNILANYMDARGFNYQRLDGTI AAGPRRLAIEHYNAPGSTDFTFLLSTRAGGLGINLMTADTVILFDSDWNPQADLQAMA RAHRIGQTKPVSVYRLVSKDTVEEEVLERARNKLLLEFITIQRGVTDNEATSLKNKLA QAGHHVNEPTSFDDISRILKQRGQRMFEQSDNQKKLEELDIDAVLANAEEHKTVEEDA IEVSGGIDFINECQYVDVKFDDLSWDDIIPKEYLEKIKAEEELEAQRRPPPKEEPTEN TNSNAMISDEREERKAKRRARQQVNLDVEIMSDAEGPDPSAPLSEKEYRHLIRAYLRY GDMKDRQEEIIKEARLVNRDLTVVKDALNEVIEKATALEQEENNRIRALEREGKSFTK KERKAILFDHKGVKRINAETIVSRPGEMRLLREATSSLQDITSFRIPEATKGADYSCS WGAREDGMLCLGIARHGYGAWTQIRDDPELGLSDKFFLDEHRVDRKQERLSGKGEGSK SPGAVHLVRRADYLISVLKAKASNGSNAAARKALENHHRNNKKVHSRNAHRTVSASPA PSLPRKGRESEKSRRRMQNRASRDSADGSHTPLRDHRDRDRERDRERDRGKPVDSDKA RRHSKEEAHRRRSDDRTPTGGDTTLRSIFKPIREHLRRVSQVTKENIPSKSKRVAELR RLLQVVGDFIHGILDGQETAASLEERLWDYCAITYWPNKGTPGSALLTMYEKIAKDGG RVEASN TRV_03920 MAESPASPLSSLASEEFPEDVKFEDQGRSRSNSPPQVHPSKRRK MGASTWDHHTPISSVNDDIPPPSPVASISSDSSGAIPNSPGAMAILGPEEDYSGAGRD HITACLWEGCGAKDFVDMDALVKHIHDEHIGSRQKKYLCEWGDCARKGQAHASGYALR AHMRSHTKEKPFYCALPVHDTDALKSLDALAKQHANPTAPSISKPPRIKLKLAPRKEN DNAEKLDGSEQKGDQGKTKDQGDIPIFDPEVGFDEHELAMPLEQLARLLRRQIHWAEQ ESKTLEKNWELIKPMRKKTWKEKELILDDLIHSEIRVYGTPPTQEQNAPSYGGMQRVT TDRTKKPPSQDKGGTEEADGGDEQPEDQTRPQAQTSKMKSHTDDSQV TRV_03921 MSLSNKLAITDVDLKGKRALIRVDFNVPLDSDFKVTNPQRIVGA LPTIKYAVENGAKAVILMSHLGRPDGKPNPKFSLKPVVPELEKLLGRNVTFLNDCVGK EVEEAVAGASNGQVFLLENLRFHAEEEGSSKDESGKKVKADPSAVEAFRKGLTALGDV YINDAFGTAHRAHSSMVGVDLPQKVSGFLVKKELDYFAKALENPQRPFLAILGGAKVS DKIQLIDNLLTKVNSLIICGGMAFTFKKVLNDLKIGKSLFDDEGSKLVQGIMDKAKEK NVKVVLPVDYVTGDNFAADAKVGYATDADGIPDGWMGLDCGKESVKLFQEAIAEAKTI LWNGPPGVFEFDSFAQATKDTLTSAVDAAQKGTIVIIGGGDTATVAAKFKAEEKLSHV STGGGASLELLEGKDLPGVSALSSK TRV_03922 MSSQPASQGAAKPQSAETAQSEKAQNLSAPLEEDDEFEDFPVED WPQEEAEAPSGGSGNDHLWEESWDDDDASEDFSKQLAWKLPSDDLAVVKEWTLICAAT SFLLVSSSASWVFFSGV TRV_03923 MDDDVDSAERDLDKGDSSFHKLGKGIVTFVRATLGLEQDIMRQA ASRLMDAETSAYNDQHKAQHNANAVNAYHSTIYAPGTEYLLCQCMAQLMAALVGVLSE SFSESIKAFYKLRKAYIALDSIAQMEEKYLKENNLRLGVDSRFESANGSPVPGRSART VDSSSQAEPKPEAKAPLPRSMSATSLEEVTNESFQSSQRRLSRLTLGSESDVSKVAEG PQAKLDMDGDVDIFSHPVDHFIHSGTSLCFGMLLLFISMVPPALNRILYIIGFRGDRS RGLRLLWQASQSHTLTGAISALTLLAFYNSFVRAADILPDPVDGNVDDIEGYPMSRLE SLLADMRNRFPHSQLWVLEESRMRGANREVEEALRLIRNGKKSPLKQVQALHVFERSI DAMHLHQYELCAESFIECSELNSWSRALYFYIAGAAHVELYRKYLKESPEKAKEHGKK AEELFRKAPSQAGKKKFLARQLPFDVFVTRKVAKWDARAKERNIPFIDAVGVSPIEEM NFFWNGHSRMPDEQLDVALQNLAWSESNETWASEPLDEHSILALLRASLLRAQRKHEE AKTILKSEILCHDRALFKGSNKDDWTCPSAHYEMAANIWMERHCYRPFGRATSIPASD KDDQRKDEATRAAEDERRVQECKEWLEKVYRWETYELDARIGLKVTTAQETITKWEEA HTK TRV_03924 MLVTHRGTKVDIGPTTQHPAVTVAIKSHGAQQRAKISTTTSSSA RDTAIRDEDAFAKRYLASQSSIYFRKRKTYPRSFFWRVIEDSTCLELRAVDLTKSSQD HHESNVAIRLEFEDAIVPHGVALADVEGHESLSVFVLLRSRQLYTFTMRPEYFRKLEL IDENINDWCKTCSPAPLGFSFPHRLYAASPYELFISLDSGSLLRLTRKVGDDGSHWFP ITFDEKSWSFSLRGLVKWSGDQTVQYYGRSLDPNTPNAIATTSDQAFVFVVGLNHSLK VWNLASQKLVGSKNLLNRTSQSQDTSPMALNPDESAFIRVFTAERASEGSMYYVVTYS PQDDGQFKFWAVGGGITGQLVIEDLFPDSKLQPADPDPSGSVFWNVVDFQIKSMDEGR NMALWVLWKSHNSYRLYSLHFDLVDLAKVWNTNWTMTSFTFSGNNTPPSSVRSDTTDT QERWVEHLFAPGRYSPEILRTALAIYQDAMKLKHTRSLCSNSTSSSLQQDVCTTITQS VTLRQLPDTEMDFSKYYRDLEAKWQQFWQIVEDINKKTQEAISLAYDAYNDLPWLIFS GGSAIIRECDSTELMLHNDGETLGNGIDVLETCWPHRNVPRELGENPGQSASLANLAM RFRKLFPAQLSESCRTALDNEIFAEPSLSAAERVEAFHSSCGFAEFITEELFEAGCAS IEETIGYKGFTNETFFSIIDTLPLSFPGKDSELQSTAFGRNAITHGAREVLVQSRQII HDLLLFAVFVETEVNLETENTQFDGPMIFSTLIDLLKEYELMIWLGTNIRPRQKKNRS DSTSVRFGSKSCDFNHCTTVLEDLFAIHIKPQPAACVPQMYAMTQQIQDVISWVTRQG EVSLSNILVFIQCDLLASDNIELASDFLRFQPSTAWATYVKGRLYLAKSDFDTAAIYF QKAAYLLSYGKAVGNLHEMSSNLLDIVSVDNFYNGLPRYFQHIVNLFELSRAFIHVAD FANLALQALGSSPKKNETDLEHKNLRSDLLSRLFHASLKTCRFDDAYSALARYTDIAL QKSALTSLTTTILTAYGPGTAGLQKVLRLPLSLTPHLCSHVDDVLASLASKQSPLGPS IGQTVPLSSWQGTQNPPEYHRVLKAYRIARNDIRGAAEISYQTVSRLRDLRDHPTASK VKFFATEDASNDLNEDDIESRELRNELLSLINLLACMEKNEAYIVVEQFDPNANRPDS MNSILHRPSSSIDDPFASSTSSIKNPFSHSADSRSMNSSDSPTLQNHNTSLSRSSSEL KINRAKRIVITLEDLRKEYQSELDRVSRIRSGDWEFGAVEDLEMAGV TRV_03925 MTTEEASQAELDDNGLPGPGAPTPLSALEGVGGLTARDIKLITD GGFHTVEAVAYTYFSLISFSLFSSQSKCYPLWLPSLQHRLTLFRPKRVLEQIKGISEQ KATKILTEGHTPLLQPAKIVPMGFTTATEMHSRRADLICITTGSKQLDTLLAGGIETG SITELFGEFRTGKSQICHTLAVTCQLPFDMGGGEGKCLYIDTEGTFRPVRLLAVAQRY GLVGEEVLDNVAYARAYNSDHQLQLLNQASQMMCETRFSLLIVDSATSLYRTDFSGRG ELSSRQNHLARFMRTLQRLADEFGVAVVITNQVVAQVDGGPSAMFNPDPKKPIGGNII AHASTTRLSLKKGRGETRICKIYDSPCLPESDCLFAINEDGIGDPSPKDLEKES TRV_03926 MYIQVLICGHRFLEVLLYALDADRPVFPHPGSGPANTSHQALVL VYGTCVHIYNSWRIKKIGAAEKLKKAEEEATIGTKDLNLIPFGSRALESGIEIEGIWV SRTNTPLPSPIPAATPETTRPGTPSNIDLGAAALPSPRGGGSALGRRQDESLLTTNGL KAAVARGLDKNSSSDTSSTRISYESSLSSPPNGAEGEGTEQTEIPTLFDSFDAAMHAA RARSHLTASMMITNDRFDSSGVFLAYESPTILLRKTSPFTFSLPAALHQPSL TRV_03927 MQQEEEEEEKKKKKKMMMMMI TRV_03928 MTTGSIFRYEAELHAHNSRSAVYPLLIRLQPSPTETKSYWQRPS SRKASRNLVDENEPFSISRESFDSYRRSFDISARSPVSQHSDIAASRTSLDSRLSRTT CPRTSTNGNSLEPPTDSNGVDDVEDPFEDVSLADDSKPKKRGLFSRFGDSPASQQTPG KHSFHFPGRRRDQRGHISFRPATYLPFPAAELRSPFTALQAFWLLPPFLFASSFSSFL LFFFLPLLHFFGKVAKMEMYISQEEDIIEIHLKELIFLEVVSAAGIYTMAGVKRRTDA TEASLSKRTKSKSSAKPVEVKGKSKSKAAKVVELDSDEDMLDDDDDDDDDDEEEDDYE DGDEVDEKMEEEELSNESEKDAAKGNGNANGNAENKTSTSRESHAKQKALAQERKAAR PNADAIARTKKIWERLRRKSHVPREERKKLVAELYGIITGRVKDFVFKHDSVRVIQTA LKYANLEQRKNIAVELKGDYRSLAESKYAKFLLGKLLVHGDAEIRDLIIPEFFGHVKR LIRHPEASWILDDIYRTVATPVQKHKLLREWYGMEFTLMKDEISDETPSLPEILEKSP EKRAPILQHLHGMINQLIQKKTTGFTMLHDAMLQYFLSTKPGSEEATEFIELLKGDEE GDLAKNLAFTKSGARLMCLALAYSNAKDRKLLLRMYRDTVKLMSGDVHGHTVLLVAYE VVDDTKLTQKLLLSELLTQDDLVPRANDQLARIPILYSFAGKKNGWLITDTDRQILDE VCEIRSQTSKKDPEIRQRELVSAASPALLECITNNAAALAETSFGARFITEVLFDSTG DKTAALEAVAGLAEAEAAGDVLKSPNAGRMLKALVQGGRFNNATKKVEPVQPPLNFAS LLYKHIKDNVQSWATEWNPFVVVALLESEEFDKRDELAATLKKSKKRLTELASKPKGD GEEKRDPASSAAKLLLDKIA TRV_03900 MKKKKKKKKSKPADELCKLIDGQRGRRREEEEEEVEKQKK TRV_03901 MGNGSAAVLEPTYTGYVASTHDALILFEACLTGVLHHVPRRPHD RERAQLVRSGSVFIYEENASGIKRWTDGVTWSPSRILGNFLVYRELDKPFPPGEKKRA VKKGSRRPTQSARAGEPYPRLQDNGTPVNGSVGSVASVTGSAYSPGAPSPVAGAGPGG AGAGGPVSGNGTGFGPERGQQSELERALVGSLVDSYGFKDSGLVKKTMSVTVSGVTHH LVSYYSVDDVMRGVLSPPSMVESLRYIRPRQELTTKQSFRAPIEDPDQPSLDDGDPSQ SLYAYRPNPATAAAMVPQYGMPQSTGYYAMPQPYSSHHHQQQQQQQQHQHPHHHQQQQ QHPSQQQHHPQQSAHHQHPHHQPPPPHQQHPSQQPPPPSVPGYGVAPPQQNPYLQQSP AAATSDLPPKSEEYASYRGPSAAAAYQNAAAAAAAAAYPPSSAAASAAPHIYRTPSIP TRPGPSDMPPTSLDPAGSPSAATTYSRASFSLPGSIDSKPQTSPPQQQAPNPQQQQSQ QQQQQQQQQQPLDRSPYASSSARRESGYYDQAQAQAQAQAQAAHQRRASIQAPPQQQQ PPQPPQQPSQPYYSGTAATGQTSYQGPPPMSTWGATHGQAI TRV_03902 MIHPILIDQANTGNEKEKEKPSTKAVVHENIYTIPNILTASRLV AAPVIGYSILTGSHTLALSLFAYAAVTDLVDGYIARRWNQQTVVGTVIDPMADKFLMT IGVVCLAMKAAIPTIYYRWISLPPPKTMKRYWDFSLPSAEVKPTEVSKVNTALQLALL GSAMAMPVLPEAMMTAWYLQEGMVGLQ TRV_03878 MEVWSGAIDVDSDSSASLRGIRLINPDPTSHSSLSRNAKLSLRA YVNPNRIPIVCFIGPSLELHSSDCTTVDWIRHKLLRTYQTAEDGYEEFSSPRQCPVGI LVHVNEHIPSPELKTQSAPQEISDILIFGTLSRSSPQNERLSPPHPSSSAVEGQFSEE PSIQLRVYAIPLCSSIFAKARSLSTPPNNAKYNTSGTLENEPCGEFLQNIFRSPSPKR KRVATLFEAATEYHKNVRRKGTAAMSDYLRREKSSTPQLPQLPSNVKIKRENENSADF SSSLNDVSISRRRAASTTKDVRVGSRRSSLHRPGSSTSQALSTSSHKELLTSKTDGSS VHLEPGQKPKNPHPDSCEDIAAANKALLTRTILTCMRFYGFRRTHTRTTQSLHSSTRD IPESGNPAIKCDSNLPNDSRAQSVSVPGNLPPFDDIGKLDTTNHMDGFNGGEPARPEA DGNDDTGFKEMYHATYRASIFALRRFFKPSVQNIGLSGSSAYTNDIPVLGKDKAMTTI DSLLKLFCEMSEGE TRV_03879 MPGLKTIIALSFVLAIGFLLVILSAALWHNYLPLLVVATYVIAP IPNWICSRCASSDDFMESSNSGAVDFGRWFTGFLVVMGIALPAVLAHSGSIQVPAMIM SILGGLLIYGTIISFSMFFQETEEF TRV_03880 MVANNQNSSPQGRIFQVEYAQEAVKQGSVVVGIVSKTHAVLAAL KRNAEELSSYQKKVIAVDSHMGIAIAGLASDARVLSNFMKQQSLASKMTYGRPLQVER IVSRIGDRAQTNTQVYGKRPYGVGLLIAGVDEAGPHLFEFQPSGMTQEMVACAIGARS QMARTYLERNLDSFADCGREALINHALKALKESLSQDRELTIDNTTVGVTGIPSAATN GKIDTFKLYDGPDVTAFLESANEETASGETKPSEEMEVDS TRV_03881 MLDPQLSYQNHATVKMSAASNQARPVVCVFCGSAAGKSPAHIEA ARSLAELFHREGVKLVYGGGTAGLMGEVARTLVSLSGPESVHGIIPSALVKIENGHQT PLATTDKRAGKLPERTESTNVNTAECGGKSSFVNPEYGMTTIVPDMHTRKRMMAGEVI SGGPGSGFLVLPGGFGTIEEAMEMTTWNQLGIHDKGIVLLNIDNYWDGVLAWVDKSVE QGFVSTVNKEILVECKNVEHALDALRNYKLSQGRFGLTWEVEFGKDN TRV_03882 MHNENFRQFIETREAFEGRLKNMQGLEFVVAQDPIQEAAAAVAA GNAPQEPSNIWVIRKQNRRRQPGMQEEVQILATYFVLSTVTSLTKVLPVASKQLLFTP SHGHTYTPPIQKHTEASQTTATQSAQAGKEATPVPGTQNTTPGAVSPPSKLGAAASQD MRTLADALNLLSRYGDEYMDEMPLVGEPGSFIISKNAANTLAVPGTENPSGSVGTTKS IVRSPSGTPVPKPGGPDTPSASPALGGVTDSNQPSIGKGKKRAITNTM TRV_03883 MEIFCRNLPEQVQEKHLIKELKPILEHFQIHVFDFQKVGRKNSR ITVADVRKGQHFLDTYESRMKPVRGPGRPSRTPATLKLYGMPVYVSKSTNIPHKQLLQ SLCEEEEERLNARFAPAPRSIAGKIDRVRHFKVTMMSCGSWDYRANQPVFVEYFRFPC PGVIHIGKTAFEALFTDIRSMVKTSMEIPYWNVADDIYVGAYAKPSVTITTEVAPRFY ISDPIEQMKVQMAALLQTKGRPPPPKRRVGYITSGHEKISARCFTYRFALQDPRDTGV VRNLAHDRNVPKMSTWNDMCVCPRRPYKLLDREFGAYLVRMPFDYRVKFQLLKLVWNG ELSLDQASLLLPTVHRLHQQHSSDIVAQALMRIDGNSVYPSPGVLASDASIEALTETL EKSLDTILKARTEWDINLMHEKNVLVHRATVTPAGIYLSGPYAETKNRILRKYLDNID YFIRVEFLDETGDPVFFDPSANLEQIFHQRFAGVMKRGIEIAGREFEFLGFSHSSLRA QTCWFAAPFTTANGDYLNARTIIGNIGYFDHIRSPSKQAARIGQAFSDTLTSISVSKE VVWMRAPDVKRNDRIFSDGVGVISRDLMYRIWNEYALREKVKPTVFQIRIAGAKGMVS LDTRRKGEFLMLRESMVKFPTDDLYNIEICGAGIRALPFYLNNQIIKILEDLEVPFEA FHQLQQDEINFLYSTFNSTERAAKFLEDSPVPKSLRLPWLFLVLKGLGIRYTQDPFLK RVMELTTLLRLRDLKYRARIRVPNAVTLYGIMDETGYLKENEIYCAYLGGDGRREILV RDNVVITRSPALHPGDIQVVNAVDVPANSPLRKLHNCVAFSQHGDRDLPSMLSGGDLD GDLYNIIYDTRLVPRKTIPPADYPRVEAKELDRKVETEDIIDFFVTFMQQDQLGRIAT THQTIADQSEFGTLDQACLKLAHLHSVAVDYSKSGIPVNVFSIPRAPRVRPDFMAPSP RFRVADSIESIIGEKKSTMQDDDDEDEDDSDHRRIRYYKSNNILGRLYRSIDERSFLC QLRDAGAVDINTNTNVLRSIWNYVLSEVDGFLWTHLKGIFYDTRDMYEPPFTSFFLDM FMDIYRADALGIISYEDELRELMRKYSATPLKSSISEYELFVGTILGHGHKQRRRDKD NAKEMRDEYNRLVEFTISMIRGTESGGTEALERSIACFWVAIDGKGSGQKPGVRSTHA HQDKLLSFPWIAAMTCLDEVDKLQRYAPI TRV_03884 MYTLRIPDEYTEALQCYINWIYRRVIPCADSEQQTRKESITLAK AYIIGKKFGDNDFQNAVIDEVIERARGKCNDSIILPNAETVRFTYEESKGCSGFKTLL VDLYASYAINGYFYKSASSKYPKLFLVDILSAVADMQRTGRPSPAEGGPGNICKRFHK HAQGTKC TRV_03885 MVSFTLRAIGACLIGLPALITAAPTSHVSNGFHVVEQLNGVPQG WVQEGSPAPSTQMKFKLALRVMDISNPKHADYGKFMSREELDAFLQPSSQVKDSVFNW LASEGISKRSVKSNTDWLTFTTSIATAEKLFNTRFYTFKNTADGSQIIRTLKYSVAAS AAPYVQMVQPTTKFSAPRPELSSVFTSDLEMTSSANVDCNVTITPDCIRELYKMGNTF ATKDPRNRLGISGYLEQYARLDDFSTFIDMFVPSLKGTTFDFKSIDGAKNEQNSSLDS VEASLDVDYAIGLSGALSTYYGTAGRGKLIPDLDQPNITENNNEPYIEQLFYLLDLPD SELPAVLSTSYGENEQSVPPTYSSVVCSLFGRLGARGVSVIFSSGDTGVGSACQSNDG KNTTKFNPIFPAACPFVTSVGGTRQINPEVAIHFSSGGFSERFARPWYQELDVRYYLG HELEKGKWDGLYNPHGRGFPDVAAQSYKFATRDHGKTIGVSGTSASAPLFAGVVSILN SIRLAHNKPRMGFLNPWLYTIGRSGFTDIVHGGSDGCTGTDMYSHLPTPYVPGASWNA TKGWDPVTGLGTPNFEKLSKLVLI TRV_03886 MANNIDFTSLKARAIGSGLDEEAVTVNTRALIDKVLARYSGEWT VLRELIQNASDASATRVVIKFETTPSMTVPAPTATDDSSLIKHVISHHTIKRLTLRNN GTPFSANDWARLKRIAEGNPDETKIGAFGVGFYSVFSDCEEPFVSSGKEAIAFYWKEN ALFTRRLKLDERDSSPDTVFVLDYRNTTSPIPGLMPLCQFLASSLTFVGLEAIELWLD DWNLLKLSKKLAPGVQVMIPRDISTKTQDGIMRVADITREVAQLDAACMKAVGWKSPT NSSRYEGNRVHDTTASLRSFFSRLTGSSTTDDKYSKSEKSGRNGAEENLLAYKNSSVF LQITTGHIKTSVSQSFSHELERATKKPPPKTTRLAILTTSYTASPISASPDTQDIFSS VTPSRSGRIYIGFPTHQTTGLNAHVSAPSLIPTVERESIDLNARWVRTWNLELLRVVG IVCRIAWSAEMSSLKDQLLFRLKKSGRSYLQKEDISAVLPETIHISNQFVFRESTPTS QVRQVVEDSFWTCSQNAYLEILSTRGILPSHQVRTAPKDLSFMDGIPVLPEQLVSEAR GFVGRLIEFGLITEVTITDIKTELENKALTSPQLHEFLSWIIQKSKHGEINNATVKSL LAVAVANNEEGDRGSALLVLRDISCFANLTRISPELPLPPFVLPPKFSKPLPASGLLS IGWQELQVDTWVQWLVHNSSNRNLLPAEHDITRSRDFAIRVLPVVSKQWDSIPASSKR EIVDSLRQHTVIPTKTGMSKPQEAYFPSVRIFDDLPVVTGLNGVKEKFLGDLGVRKTV DISIIFERLLNNSKDRTDTGAVSQAKWSHVELIKYLASVRQDIPPEDITKLRKAKICS AETKGDGKPSEERYQVSQLFEPNAAFRDLGFPLLYWPGKYLPSSAEGKFLASLGLKSI PTAAEMIKLMAYAAASNDLRLLDKAMGYFITNHVSNNYANFDYSQSMTPFLPVEGGGL SNPSNCFTAEGAALFGFDILRRDLHPHALKFGVSHHPSINECITILIKKRPESKSQAR ALFKYMASRVAEISPPSAARIGQAPIIPIDRKPLTEKSTATMQYAAPRNCYLGDSDEY GDIFDFVDFGTEANIFLLACGSKRQPTHSELASILVKEPTRISSKLQSSEKYLKLLRG IAENMPTIRKDKALFAEMKRAPFLFASKEIPRSSDTKKSLLDTGESSDDEEEEQAIKE WHLTSATDAVIVDDYSSFSLFKEKLLAAPQEELLEDMYSTLGSSNLSSLVDETAQCGQ LSSDQRSAWKLQKLIYERSRLFLHDLPSNTIRRDTRWLEKNLSVQTVRSIKLRRSLRG WGMSHVEDRSAVMTHKLSSVVLSIAEGKLDLYQISQALVSIILSRPKLHSTLTLEMLL KTDLLELRARGYNVERILRQKAAEARIAEQQRQSQLEKEMQQAREMQEMEKKRTEELQ ESQRLAVQQQHEAAMPGIFPDSPTNSLTRHSSPPPISRPNENQPPGGLFSDLTKRFGL PFDFPQSNLKKHLSDRLTTREEEKKDSLQTVTTSPGALQSQLQSAINASQPFTSNELR SQGQQKEIEEKKTYCDERPAQDLKFFCLLGGIKIFIGKEPRDPEAFIRANHSNVELFS KILTDCAKIFGLRLETLSIFYDTSGKTIAFNSGGSLFCNFAYFERLHLEKVARGERRE GLVYWFVILCHELAHNLVSDHGAEHGFYTKILTDRYQPRLWTILLSWDKQKVLRNYAV GFS TRV_03887 MIIYDLSISVPPISHLEVFPFELFREPLVILAIADGTEIARKKD RDGNDPLKEKSGGTGHKKHPSPEGLDQLIKELDGINTDYPKSVLQQLLVFDYDGLENI ASGPEQVIWIPSPEASRPTTMKTVMCDISARVLGGLQKLSESIPQWQSIESPKVSSWG PRRALDAKSPDKLSHRMTMPAHLPSRPTEQPLEGTESPPIVNHESPTTFDEITRSIQI SNKTTSALSSSSKPGSKEHSRDRSSVVGVSSLASNERAKSRFQGRLHVLTGLLHLQGG LWPEALKDLIEGASIARSGSDYIWHAKALEAILICLLLFGWVGASFQVCNLPLIAPTL ESQGNIESHGKSEPEARSLALHNLASIIPDISNYILSLYNRAINITDEPLPQLVFSET VIRLAKLLTTMQVRDGSLDTDGLQHVVLNKPLERISLPDQQRKPNTFRKTEITSFLFR ALPSSLSSDVPVTDAVQIFIGVAAVLSPLGMERKQAFVLKELFSILIPGLVQARKIGA AEIGIHPAAGLSALSNASFDINALDIGPANMESGLRSVLSLIGGVYGAKLTNGRVNSE WQNDGHDFDYDLIEAIIERTFQNSALDTYGDLALKIEILKSCINFCEALPDFSGVLKF TVALLQAAKGTYMLTTDLQKGRPRLSPDEQTRLYNTVKRTVSVAHKLGVSDLEAEYWD DFLVRDVLIQPSSAERPVRRTKQDFGVTFSAEKGAETEPFIYNAFSKPLTPTAEAPLI AGEPATARVILQNPFDFELEIEHIQLEGEGIAFDASASNLLLAPFCLQEIGVTLLAAE EGVLKITGCTVKVKFCRERRFPIFKKIWKSVPETKLKRRGLAAKDPSLSRPTSWSSNT SQGAIPSRKPPEATTLSANIIKAQPMVEVQSSSLLQSALMMLEGETSLFEITLHNASD CPADLLLFTFQDSTTAHLQAALNNKDNLPTEIYELEYQMLKRPPLRLRTSGAGQEIPT LDPGESKTFTIEVLGKPGLRTATIQVDYACIGASPSELPDTFYTRQISIPLTVTVNAS LNISRCEILPFNGHLGWIGDASSDKKSKKQPTTYAALPDSSTVSTGGRNDPQISPVIS ELGSKYTGSDYCLLVIDLRNSWPNPISAHLKVTDSTTGEKIDSSEAGTSTLHLQEVSD VLQPGHLSRFALAIPRIYLDDPHQKIPALNAANRRQFVVSANKLSYEAETAKREAFWL REKMFESLHGLWKDEVTGREGVIDFRAIVLTNRMISALRVDDIEPSFNLLPLQKTIDQ ASCSDQYPTILQTGQSRFSVSTDTFFNLQTTLFNRSSKPIHPIIRIQPTLRNQPYTVA LELSRRLSCTGMLQRALPILGPREQKQINLGITIHCAGEYEIRATVEEVKAANTIIEP TEEKAAPSFDKYAYHHDSYQQSFDTNAPRQRRKWHSRLPCIIIARESLV TRV_03888 MGILSWFLPNGRVSFHHAGKSVILKRKPQKKGSGVDESKCISLA DLCKSATPADCQLNPFLFNGHLQTAWTLMRTDDIPVYYKRWRFEGDSPDYRGSFEVDF VVPPYDVNKTSSSLTSAQSDNGTFESSTPPDSTHPSPRTSFFTKEEFSALPSDDKKPM LVVLHGLSGGSHEPYLRNIVDPLHKQGWEVCVVNFRGCANSKVTSSILYNARATWDVR QTVRWLRKNFPSRPLFGIGFSLGANILTNLTGIAKDMGGIADIF TRV_03889 MAPTKPAGPSKGSKSKSSKSKSTSAGKSSTNRVSKSKSSTKSGA KPKPTEVKAKSRSAPEMLKKKKRRVYTEKELNLPTLNKITPVGVQKPRGKKKGKVFVD DQESMMTILAIVNAEKEGQIESRMIKARQMEEIREARKAEAEARKEKKNAKLEETKDS LRKSRRSKEPKNNKHEEQNNAKSKKEGKSKKVAFA TRV_03890 MPGKVPEDESMVDAQPLEDQEVDVEQQEDIEDEEDEEDEYLAND RQRITILPGAVETAASFQFEDEDHTLGNALRYVIMKNPDVEFCGYTMPHPSEAKMNLR IQTYDSTNVFTVLEKGLNDLMDLCDVVTEKFTLSRDAFEASKMQQ TRV_03891 MAWSRIQPSNHPFRPQSLFLPAFKPPVHVSPQRRWTSCFQDEKP TTIYALSTAPGRAAIAIIRISGPDCIPIYNALCPNRKLPKPRFAALRTIYEPGKPVSA DNALDSGALVFHFPAPNTVTGEDVLELHVHGGPAVIKSILSAIPKCASPGDAPSASIR YAEPGEFTRRAFLNDRLDLPQIEALGNTLAADTEQQRRLAIRGTNDALSTRYEQWRKQ LLYARGELEALIDFSEDQHFDESVDDFISSVTGQVDSLLHQINLHIKNASKGELLRSG IKVALLGAPNAGKSSLLNQIVGRDAAIVSSEEGTTRDIVDVGIDLGGWLCKFGDMAGL RSKLSQSQIPAQGHVFSTVGKIEEEGIRRAKARALESDVVVVVLSVEGSREQGLSLEP EVVSAVHSCLKLDKKVLVAINKTDMLSVKPGYHVMPNYKAQVSTIFGGLDPDLIFPIS CQEAQNSTGRDPGGIQSLLKGLIRTFEEISTPAGLQSDGEQIGQQFDKTYWEDSLGVT HRQSSNLQICAQHLNDFLSQINEGSITPDNAIDSIKNNTIIKQVEKDVDIVMAAEHLR FAAESLSKITGRGEGGDVESVLGVVFEK TRV_03892 MTSDKPSKSFFGRKLHKERQPDLRAETPESFGAASSGSGSRSSR YSKRESGLSVDLTGDTEYLSGVIGSIPYDSVPVRKEPTPSKMGKPNDYHQYPQFQGHQ PANGAMHLSGPRPPPGSSGTTASYYSQSDKGVKYQAWNGHNAYPTTESSNPRTSMDQN SIYSAISSAPRGSTHTISTDNASRYNYPTHSQDPRNQPSSSSGSRPSTSSRSDWLPPQ LPIVFGTLPISADGTIQRPKDDAVVDQMFYELMIKRGWQNLPEQAKRQMLAYPTSKKW TLVHQDRLTQWQGEQKRRQQNRQTGSGDGGKGLLGRADEEGSPEWYVKKVMDDSITAK ELGSLSVSLRTQPIRRKASGPVPVTNSGGDKDLDREYDIAKCLKALMNNKYGADDALA HQQIIVALASSLISPRLTTRKLVSEILTFLCDWAHGQGHQKVLQAMDQVKNMQGETGR FDAWMRVVEVSIDGRGKMGSLVGASEEFRSGGIGMENLLMEYALATMFLINMFVDASE DDLQLRCHIRAQFTACGIKRLLAKMEGFQYEAIDRQVERYKENEGIDYEDLLQRESSS MKDSIEGEVSDMNDLIQITNAIISRLRGDRSQDFFISAMQHMLLIRENSGEDSHRMFQ LVDAMLSYVAMDRRLPDMDLKQSLNFTVQSLLDKLHTDAEARRAFDESLEARQIAEAA IAERDEMKARIEMGADGLVKKLQKQIEEQEAVISLQTRQNESLKSELAEVQRIRGQEL QRNELETRELYLMLRDAQDVAASKSKMTGTGGDMGTMDPTQMNGILDREKLMSRLERQ LDRAKTQFKLEGKVWPQNGPSDRLRELRELMEGDFSHDPEIDEETKRNFTHSTFGTVS RKRSQALKANASNDEDSRDGELDDLEEEGEEAVFEKGRLVEIRRPKLDPERATALLGE IASKAGEREKELEKEKEKEKEEQEKTSTPPAEAPENKETKDAGSDNLAGFNGPPPPPP PPLPGFGGGAPPPPPPPMPGFAGGAPPPPPPPMPGFGNGAPPPPPPMPGVGMPPPPPP MPGGWKKTYLPAGQVSTVPTVSLPFIRPKKKLKALHWDKVDTPQVTVWAAHAPTHEAK EQKYTDLAKKGVLDEVERLFMAKETKVLGRSGKKTDKKQIISSELVRQFEIAFAKFSQ ISADELVRKIIHCDTEILNNTVVMEFLQKDELCNIPENTSKLMAPYSKDWTGPGAATT EREQDPSELTRQDQIYLQTAFELNHYWKSRMRALNLTLSFEQEYDHISSSLREIGQVC ESLRDSVSLMNVLGLILDIGNFMNDSNKQAAGFKLSSLARLGMVKDDKNESTFADLVE RIVRNQYPEWEGFTDDIDGVIRVQKANVDQLQLDAKKYIDNIKNVQMSLDSGNLSDPK KFHPQDRVNLIVQRCMKDARRKAEQLQLYLDETSQTYDDIMVFYGEDHTDENSRREFF PKLATFLQEWRKSKEKNISWEENRRRTEASLARKRAKPGLTNGIDSGTPTSPTSNGAM DSLLEKLRAAAPQARDQRDRRRRARLKERHQVRLASGQHVPGITVNDGQDSESTTTAE PAATPKDDTPQNSQSKGEDIADRAASMLQGLRSDNDSEGSRSRRRNSAEEARRSRRMR RRAPATTSASTNKESNDGSSLLSAHPEPEGGSRRDSSGSTASAVLGETTNEPETSPRP LPATPGGTDSNPITISD TRV_03893 MTRRRGRRSREEEEEGRSRADSTLFIPALISLVLYLTISFVIAP FIRLHRQRYSQYLPLHTISVHTTSLRDRTFDALMNITLPSSWRHRSLANEQDSDSLFD DDGEDGYELSESRREALDQERREARLARELEDGFIPDSDGEDTPGTRRI TRV_03894 MANRVLIFPKKTFKAVPLLTSTVRSSLLKPNSRRQSSLNHSDTI VKVLLVLYDGGQHAKDQPGLLGTTENELGLRKYLEDKGHTLVTTSDKEGENSTFDREL VDAEIIITTPFHPGYLTKERLAKAKKLKLAITAGVGSDHVDLDVANKTNGGITVAEVT GCNVVSVAEHVVMTILLLVRNFVPAHQQVTCGEWDVAAVAKNSYDLEDKVVGTVAVGR IGERVLRRLKPFDCKELLYYDYQPLKPEVEKEIGCRRVENLEEMLGQCDIVTINCPLH EKTRGLFNKELISKMKKGSWLINTARGAIVVKEDVAEAVKSGHLRGYGGDVWFPQPAP KDHPLRYVQGPWGGGNAMVPHMSGSTIDAQIRYAEGTKAILESYLSGKHDYRPEDLIV HKGDYATKAYGERK TRV_03895 MDSEYNISNVEDLERRMFIIATSSFGLIASFVTSAVVLFDNWKI LRKTRSFQRNPFKILFHIPNPYVVPYSLSITSIIQETVFITSQSSSYRPINGDSCKTS SQVVWTTSWINAYMLLALCLTFILRGVIPSKNFPKGIWLALSCGGVILLLLILTWIPS AAKPNLNSRCSAEPSQWVKGWADVATGLTVLLVAVYFATISVLMFRLRKSRRLSRDRR TVMKHGIFHLVLGSILYLAPLPFYVSALRHRPSQASGLVSSIAIHIFGFANSALFLIL RGPRDGLSTSPGGHIWDKRSTWSSFGGADSGIVEVYRPERREKEMDSIPLRNAMPKET HDSSTKSGLGVTGIPKPTTGEFPPRKEVKTSSVGPLPHRSAASETRGSVMKETLVSGN ASYHSNDIVPKMHCPDVTIPQTPRLAFNSPVYHSPKFSPAIAPAELYPPSYGMYPMNP RLNVSTPALVGPNSSQKPLNMPSTPTLNRSGSLRRSLDSALLKPPYPHHRQNHYYHSN DTYKFEPTPAYPAQPAHPGPVYFQPYPASPRPSVSTYSSGTPRRLTDKMLPPIPPQDP QQVLFEAAMRLRDSQISSYSAQWQHNAHLSPLPATYQSSPWI TRV_03896 MWVPCAIRGHVRFGGPPTVSHHLLWRFRSLPVRTASTYANANQP SKKLDLLAIDKRWKELWEGREASVRLMSSSKEKAYVLPMFPYPSGTLHMGHVRVYTIS DVLARFKQMKGYDVLHPMGWDAFGLPAENAAIERGIHPEGWTKQNIANMRNQLKDLGI RFDWDTEVMTCSPEFYKHTQKLFLMLHEKGLAYQAEAVVNYDPVDKTVLANEQVDSNG CSWRSGAKVEKRELKQWFFRITAFKEALLKDLDSLSGSWPDRILTMQRHWLGKSRGAL VPFTLSIPGETLDIEVFTTRPDTLHGVQYIALSTSHPVVKKMAANTPELQEFINSTGS LPPETKAGFKLPGVKAINPIYHLERNPHLPSSLPVYVAPYVLPDYGEGAVMGVPGHDS RDFGFWKENSPSEPIVSVVMPLKTGPDSNDKHGADSAFSGHGQTTDICGSYSKMPSKT AGEKIINDLKDKGSRARFAENWRLRDWLISRQRYWGAPIPIIHCDSCGAVPVPASQLP VELPAIPGSQLKDKTGNPLESADEWLHTECPSCKGPATRDTDTMDTFVDSSWYFLRFL DPKNNDLPVSPSKSRPVDIYIGGIEHAILHLLYSRFIFKFLAAEGKIENAAEPPEPFL KLLSQGMVHGKTYSDPKTGRFLRPGEVDLSVKGPPVLTGTQIPANISFEKMSKSKYNG VDPNTCIESYGADATRAHVLFSAPVSEVLEWDETKIVGIQRWFSRIWKAVEDTGNSLK RANFSSAPDALSSLNDMALPPLDKLSETESNLLHMTNNTISSVSYCLEKNQYGLNTVI SDLIKLTNAISAVPLPEESSKTSPSAQSVTPLHTTLYIALNSLLRMLAPIAPAFASEC WEVLHKPLFERVDTVPWVLSSPWPSEFLSESDIKQIRSRGAKTVAVQVNGKLRFTASV PPFSGNSATPESISKEQEQEWILSHVLQTKEGKVWLQEKNNWDKRKRVVVVGSGKVIN VVF TRV_03897 MPSSLHLAEYAIQALIAQVLGDSMRGVNYTVPGSTILPLQSRAK TISPDHDSLSEDDSDETDEEHPWSLEFDPEQCLFCGEARTSFDDNIFHMSKAHSFIIP YQDHLDTDITSLLRYLYLEIFEYHRCILCSTRRRTIEGIQHHMMAKGHCRFDITSHTS GFYNFREAHNKTKVAGISPLLRPSPVRIRGYRTRAFDKYRQSLGRRDSPPLSLPKATN EQAGTETEDDKPTSASRTQLLQLSRGDREGLAHLSNPELRSLLAIRAKHIGQLSRKET NAKLKLEKAGNITLTAHFRADTSKRFRGPWG TRV_03898 MSLSSPSSRRSTPKRRPLHQRTNSQNNVVSPPSPVRPVSPTLRL VSNKTDYSEDDDVFSNPDDVDIYSANPYPTKPAHVLLPLGSSSARGRITRSGTPSTIT PSNTWSSRPSVSPDLESSSSSQQPTGSASDLSTFMGNTSSAIWGQDPKSSNTTVARSV TPQASESGDSGNDNDQEKYSDRSSVTALPRPVATTIKLVNEREREFSRSSRTPPSRSP PSDGSLLIGCSITRGHSSSSNSSSNVARIGYTSSPNLVPLNSSSPNLLPIGSSPNVVR ARASESSLFSANSFGTAIRYIRNRGGQNTTSEPASATRSDSHTPSIPSSPPVPVLRSY QSTSTLDLNARSSQGNVSLPSMPSDIDIQAVMDSGIPIQYPILRPPSSSGSYAETSMV SIPEPLAVARPPTRRWNPDLSSVSSDMSVPMDERHNLPSLSLDGTQGRSRQVTETEQS WLSARQQSEMPDYSVMDESEMDEVSDRITHLHALRVLKHKTSATLSYRSSLSRRGSLS RSGSTASQFLTTIPQWAKLYYQGGNLAFLSAVSLVDVSRPNTPREIPAVTQVSYSEPL EEVSNQAVVRVPPGNISRPRTRPRRASQPVQRQDQPESQPARRQESMPIPEAEIQVEQ SEQAQVEPKPKAIRRDSRDPRTHWAGIVGEDEITPQPSREVTPRGVWSPHLYTDKRFR STERKIWKAPPYDESGEYIWSRRNMQVLSFCFGFIFPLGMFTTLCCQVCPSILISSST AWLIASFLPLPIKPPSVENGMPGQPDIEKAAAHRAVLADNLRYENARWWRNLNRCMTP VGLSIIIIVVCLLKISPYIYNPFTNIHNRSHYPFLDHETPYDT TRV_03899 LAASASCKDAALYQRPFVPPTPKKCPLSTCSYLVIDSATTAFAA AGEVIGASADELKLITSFLLSYPLAAILKRLPDSQPWKKNAFIIAVSLFYLVGLFDLW DGVRTLLYCSAGAYAIAYYVDGSMMPWVGFTYLIGYMSVSHIIRQIINDPTSVDVTGA QMVLVMKLSAFCWNVHDGRLPDSQLSEAQKHAAIKEFPSLLDFAGYVLFFPSLFAGPA FDYVEYRRWIETSMFDLPPGIDPSKAPPTRKKRKIPRSGRPAALKAAMGLAFIGAFIV FAPIYTTNLLLSDEFAAYSFFRKIWVLYVFGFAARLKYYGVWSLTEGACILSGMGYNG VDRNTGQVYWNKLENVNAYGLETAQNPHAFLANWNKNTNHWLRNYIYLRVTPKGKKPG FRASLATFATSAIWHGFYPGYYLTFILGSFVQTSAKHFRRHVRPFFLTPDGTAPTGFK IYYDIISWLATQLTMSFTIAPFILLDFTDCTTLWGRVYFYIIIGIVATLTFFNSPAKA QLIRKLNKRNKVATGKDAEVPGKPSDRQAANEAAAVRAREKAQADAREVQAGQTPYTE QPLGLPNDLAQDVEDAVNEIKKEIETRRRRGSVVTMPTGAELRNILEQKLGKAPF TRV_03867 RSRNILSLPSTVTARESSSAPFDFSFLFFLFSFIFPFFFFDFQK RPKFSSSPQKKKDSKRRRKSRREEEEEEAEKKNNNKRRRREEEEKHPQLFHLDSAPAG EAALFLLAAVASPCGLWLWPPSSRISLRRRESYSRTYVLPFLLCPFDAAAAAAAAVWH LACCVVLCFICCCDRAVASFFFFFYLFIFHSFCCCCCVEPETNDIQDGQKIEKTARRR DIELDDSLDGLVRGLQGIDYDEELPPPPPPTSQPTVTMRRGLLIFLLINTVIILFLVR SVFTLLTLLVEDATDDAIQNVELPGVNSSLIDLRPQLIPKIIHQTYKNESIPEIWVGP RNGCIEMHPDYEYILWTDKKSRDFIAEHYPWFLTTFDNYPFPIQRADSIRYFILAHYG GTYIDLDDPPHLPLLPPIYLYTNEKKRKKKTTKKKTNNIKKKNAIGANAVQYMQGCNR RLDPLLSYPAWVRRTAPTGISNDAMGSVPNHPFFLRVIKSLQAYDRAWVLPYITVMYS TGPLFLSVIWKEYKNTDPDGVNRVRVLLQDAYNRHSWSFFTHHPGSSWHGEDAQLIFW TLVMQMGSHWMLITFSGFLAAGIVGLALWRIYRRVLSLGSKHARYTPLASGTSSPRRS LSPSRRKMRILPLFFQRSHAKKEDEEIGPDSPYELGNR TRV_03868 MKTATMTTTTTTATATTTGTRQRLSKATARPLSYSPSLPFQEDE LSLPNFTLPHSATEPNIRSRAKSVSRTLGRSSVFGSLRSLKSMDDDQNSTRTRSRSTS SNEDDLPIMREAGNSRVHKLQQLQHHNSNINNNNNNNPPLVNRSFGIKVLHHGEVQTA GAMWRKRSHYLVLTESHLVRFKSQSKAAEVFPSIPSSWGRSAGAAAASNRLSMVSLAS LHENQLTGGAAALGGGGEGGIGIALNNIIAVHRLDDGKPYFSVEVCYVDEKNPRGSAI HIQFADPQEAQLWLMGIRAAAETAISIEPPVFDDDTINYVTNVLEQERDYDPGCFGLY RVVHRMSTKQGNRASTDDITKLASNTCLLAIGVHKIHILPTNTKSSNRASMASLVELD LDACLGIMALSSISVQASDDTFQLIFRIPFKSPSIVNLSSSYSGEIALFLRQRAEYLR PGWIHQPFAFNVPPHVQARVMPPLTFEEDYGCFDRTLIAYCAAYDVDTSKIRYMVDYH CEDAPAFQLLPREGCPDGGGYLLLELLAVFRALRYNESFATISFARVNLDILQQMRDP YGPDFDAMRTRSNVAVHIPGQEEIASLTQEVRALALKSRTLQRMDFSYCLTRSAKAAG GERDPGCGIPEAVFPLCRRNLTRVDWLALNGIELGDSDLDYLVDAASQKASALRAFEA NNCGLQVHDLNLILSTLRIQKDTLKCLQISNIVGRLSPDQFQQQAGCLRNIRKLELSQ VLRTSKSEPLLSAETLLNWELEELCLNNTTVNEQTVESIAMYLASPESKNLRELHLNQ CGITGGDVATLLYFMVDEELKPRDIHLHVNDNRLAIDCDLMFASISQNRTPTHLTMRS IEFQRDEDFRHLVEALVQNNTLRYLDISRLSIPHDAGAETSKALQRMFEQNSAIQELD ISSEQAHLDVSRFGIGLNLALTGLKKNNTLKVLKIEHQKLGVQGANTLASVIEENNSL VEIHCENNEINLQAFTIIVNAIQKNKTLLHVPSMASDCKRSLARVQREMEADVKETGL RSSLSTASSIRRSVRAAFSGSYSSSGSSNSGGNKLVKSHPSVSQGGASPGVRRASSAT LGAMNCVQPGMVASATVPQFTRPEIKFAIEALQNKWNIQEARLQRYLYRNYNMLHGYV DQAVGDDDTKSEGRPATAASLATFLNQLSLAPVEDMSQRDGTSVAAETPSSDASALSR SASFKTANNYIIEDTDTSKDDIGAVDFNLDWSFSQPCSKQSALIDRVLPGSGFSSQSH IQTDELAVPVSTQPRPALLRPTSSVRSSNSTSSVSIKTNTSMTMDRALVNGRKFGTTR TPSLRGMLTARSTSKRETQKNSDLLGSSNIPPQLDWNLPDINLL TRV_03869 MAAAAAAAAAAAAASGGGVGGCGCGRGGRGRGSEVEAGRRDVAG GCRPGRGLRPQNPAHPLVRDARRNIEPALMHRKRPGLRRAAVESWRRVRRTPETIAQW TQTRQNSSFFASSTVQPWRRDAAAALLLRRRGQPSAGFKEKKKRKGIAGGKRKQPERQ REGNRRRCERARDEEAEEKETPGMRPAEIDLLQQLIAESLRRRAGGGFCVCVCVFCCL SRASKHDLDSSRPPPLSLRRPVFLSSFGRDAGSTDQQVYRRYKHCGEISHLIRYCYVP GQGKRSLFERYLNVKPTEIGYYTKPLQVDKGADKHDTTHTHAEREKDE TRV_03870 MVYNFDPYLRDIMPVSEFDFKALNDYITSSKDTVLKDKAIAAGK KYIGSSSSMTGVLSHFHFLLSEWRELNTSHLSNSFPATSKTYTKLSRLPAVVFLRYRD GVYAVDADKEWDTSNVLMGLGKSMEKLLTIPRDQYERYRRNSPDKILKEDSPPEVYHY STCGDFLMRSQLDAYDPRLPGTGMFDLKTRAVVSIRMDAREPWNAMGYQIKTRLGDFE SFEREYYDMMRSAFLKYHLQVRIGRMDGIFVAFHNIKRIFGFQYISLPEMDKCIHGQE DTALGDAEFKLSLNIWNKVIDVATEAFPKQSLRFHFDTRETQNPFMYIFAEPMTEDEI TKIQERNLDEIQAYEKRVFYPELLESQQLDSSISEADNPTKQEPESKESASEDDIDPE KPLLAMALSIRNFVNGKEVDRPTMFTAKDTWTVKYQLAHFGKERGWTLYNACKKRRSR FLGALEDEEAPNSAFKERLNAISKEGQKWRDEMDKIEKAKGIIQYQG TRV_03871 MLSRSIRRPICSYVCQSCLSRRITPSSTVIRRHNHATLPIQPDA SSQSNSIEVKPNEGGSNEGSGAEKSSGGKKKGKGKEKGDANKASKSSKDVPLTQSANT QIAPEVEAKPAKTRKSKSKARKKKAAAQAKNLANLAGTSDENIKNMILIQQKSKLRLK KLRPSKVIAALNLAAQNGKSKSGKAAKGGLDEFAPVRSASPKLTSEVTLGDIEILREL VPF TRV_03872 MLQEKLRVLVVGSGGREHAYAWKLSHSPSVEKVFCAPGNGGTDG GASSKMSNISVKGDDYQGLVVFAKENGVNLVVPGPEAPLVDGIQGYFQAVGIRCFGPS KAAARMEGSKAFSKDFMKRHNIPTARYGNFTDYKAACQYLDSVDHDVVIKASGLAAGK GVIIPQNKEEALKALKEIMVDHQFGDAGDEVVIEEYLEGDELSILTFSDGYTIRSLPP AQDHKRVFDGDQGPNTGGMGCYAPTRIASKAVIEAIDRTIVLPTIDGMRKEGTPFVGI LFTGLMMTKSGPKVLEYNVRGGDPETQTLLPLLSDDTDLAEVMVACTEHWLDGVTLKV ESKSSATVIAVAEGYPGSYAKGRDITFDKTADSTLIFHAGTTLADGKLKTSGGRVIAA TATADTLEEAVKASYSGISTIHFDGMHYRKDIAHRAFKTSSKTSNTQESLTYASAGVS IDAGNELVNQIKANVARTRRPGSDAIIGGFGGAFSLSSCKSGFHEFSPTLIGAIDGVG TKLKIAHEMGIHNTVGIDLVAMNVNDLVVQGAEPLMFLDCYSCGKLEVEVAAAFVAGV ADGCVESGCALVGGETAEMPGLFTDNSYDAAGAAIGAIDTSKNRLLPDTEGMKPGDVL LGIGSSGPHSNGFSLIRKIVEKSGLSYHDPAPFKMGKECANLGTALLTPTRIYVKQLL ATITKFPQGAVKGMAHITGGGLAENIPRVLPKNLQADIDISSWPLPDVFKWLKKTGNV TGSEMARAFNNGIGMVVVVDESQSAGIKKYLEELNETVYVIGKLAAKADGDDKGCVLR NMQTWDDC TRV_03873 MLFSALTLGLACLANIGGVFARPTPNGDSSRPVPNGGYKTVGYY ADWDIYGRNFHPQNLEGDQWTHILYSFAGISPEGEVFFKDSSNTQKIYDGDNQSLQGN NLFGSLKQMYLMKQKNRQMKTLVSIGGWTYKESFSGPASTDTGRQTFAKSAVKMLADY GFDGIDVDWEYPADEKQAGDFVMLLAEVRKALDEYSKSIGDGYKYLLTVASPAGMFLE TLNSYVEGLTKSLTGASHYNVMKLGEMDKYLDFWNLMSYDYSGGWDQTSGHSANLFSS TTDKTATKFNTQDALLAYYKAGVKPEKIVLGMPLYGRAFTSTEEKPGASFSGVGEGSF ENGIWDYKSLPQPGAKVVECEQEVASYSYDPAKKLFISYDTPKIAGMKANYLRQMGLG GTMWWETSGDKPKSEGSLVETVIERLGGREKMDKSQNQLNYPQSQYDNIKNQMKM TRV_03874 MIGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWH HTFYNELRVAPEEHPVLLTEAPINPKSNREKMTQIIFETFNAPAFYVSIQAVLSLYAS GRTTGIVLDSGDGVTHVVPIYEGFALPHAISRIDMAGRDLTDYLMKILAERGYSFSTT AEREIVRDIKEKLCYVALDFQEEIQTAAQSSSLEKSYELPDGQVITIGNERFRAPEAL FQPSVLGLEPCGIHVTTYNAIMKCDVDVRKDLYGNIVMSGGTTMYPGISDRMQKEITA LAPSSMKVKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF TRV_03875 MDPSLNNLLKWSIENTPAANGQPNGTEQPAHRQPIDAEALQRLL ANTPSDAELMKTAMEVVRSSETTLENQLIAFDNFEQLVENLDNANNMEPLGLWPPLVE TLKDEEAEIRKMAAWCVGTAVQNNEKSQEKALEAKVIPELVRMAREDSDTTVRRKAVY AISSCVRNYQPALDQLREHLPAEIVGADEKIDAGDMDKIDAIIAHLKQA TRV_03876 MDDSDNRLFRFTKWFNNANTRTSGIYASGALFSIGLFFLIDAAS FSHSPKNGSEVHVKFVDWIPGICSALGMLVINSIEKSRLSADSFSYSGDSVAWKARFV LFLGFALLAGGLAGSVTVLVLKYVIHGYPLQTLYFGIANVVANALVMMSSAVLWIAQN MEDDYTYTLAL TRV_03877 DLQKKEREKKKEERKITKESREQEPVTKEGQLRYIYLEKHLAGG QYNKIDCNTDYMQL TRV_03834 IGWISYKESPPASMERRRTAKNEKKKKKKKKKKKKEKKKTQTTT TKATLQGQRPLYLRLGGGEGWENATKLSPQLFVFHATAIATATVTTAAARLLASSPSN HDCTRNKQAVQPHDAQAAYPFLSLPCFLALNLLVAVLPTVAAHALLQLQMANPRPIYS QKHGDDSQDQIGSMGSTPTGIATPQPDPSDKRVPGIMPSFFAQVGAGSDSTSNPTTTT TSIRPSSSSSPPPPPSHSMSSSSCSTHHTPGKHSSDDGVVAVGDPCQQTGETQQQEIL SDALKPPTSTSHSPPLTESTNLLDRGCQKSAPVSTHSRPATAQSLEVISDKDYFLAPS KHTSSGGGGRADADAGAVAVNPLPTPPRSSFCSIAHRDTEEAENGAPEVDTGVSSVYR ALKNLIFSKSSQKQKPKRHTSFPVSSVSPDAVMACHFSKPSRPSSQSLSKPDSLSSSS KSSSQNPNNHLHHPHSISSSREDLEKLTDSVAAGPRTKNTPPLTPRAMSNETDAAEKR DPPRSIIEHSPPHQGNNTPDSSSQGGRHSTASSSNARKSKSNKPSASSRSSGASEESG VPVTASLKGKLTVKIVEGRGLRPSVDPYVVCVFEWNEYISKGAKPDEKGGQTASTAGH GAGSRNRLEALGSVPIQRSNSDSGRPMAIPMKSRQSSHNSMMDGGHSNGPIEVTDPQW NHDAVFDVLGHQSELDVSVYDRSNHEAFLGHLKLSLHLKDDHTTLSGWYPLQPLAHGQ GPVSGEIYLQMSFQKTDKKQFGPNDFQILKLIGKGTFGQVYQVRKKDTQRIYAMKVLS KKVIIQKKEIAHTLGERNILVRTAMTDSPFIVGLKFSFQTPTDLYLVTDYMSGGELFW HLQKEGRFLEARAKFYIAELILALQHLHDHDIVYRDLKPENILLDANGHIALCDFGLS KANLTKDDTTNTFCGTTEYLAPEVLLNETGYTKMVDFWSLGVLVFEMCCGWSPFYAED TQQMYKNIAFGKVRFPRDALSAEGRNFVKGLLNRNPEHRLGYRDDAKELMAHPFFHDI DWVVLANKGVIPPFKPTLTSVMDTSYFDPEFTTALEQSASLNARAAALANGLNPAGTP LSPGMQANFKGFTFVNESSMEHHMNQGENYSSNTADDYMEEDFPEQWAQPHKISGGTG KAGTGHSAGPDHSHRMSGVERTSTASNGDADAGIFHDDYHFEM TRV_03835 MSIVATASPGSQRMSSNTASYQFTPPHNPSVLPQSPRSPVRSPT FPLLYSNHAASSSVPSLYSPATVRYSFYPPTKHGRTSPQDQQPMQVFTNRLPDEAYEC ILSHLWSLHISSCTEGCLTCYMRDLYSLSLTDRAWEKAVRAANGYRYNKIHLHGSDSP GPLKKYKWKRGSRLKLLRRTLRERKALANMVFELRVPELDAPLITGKQHLVLQEYRDL VASVVMVCPNLERLLGLSIPYNHEFDRLTHALSTRKKLKEHAWIIGPNAEVTERSQNK ATEVLDQAQVYQFLSHHTSWSKLESMVLHSMDSKGILEHGVFIRMFNFLPALQNLSVS GFEADNFTDRTLLFLPALASLRLEGLQGVTENGLARYVGRPEARGLKSLALIEQNISS LLILSKILASLGCLERFTIVQSSVVPSLPEGGMVFQPLLASATLKHLHWDVACPNGAD ALNQIDTVPFSKVLNTANTPNSHLAQSILHVGFPSLERLRAPLDIDPLGALQNVCRPS KNGLIMVPADRHNLPRSSHGSLPKRPLAMPAGNNLSSARIRSQALIDMAAKENDEGVK VIITDHSEDFLTPNVVIQPGYVSSEAILALNDGKAQTPALKILEFLIPACMGRVGISN KSNTTALTPRFTLQPDIPNSDADGGLISHKHLFAANQTSLFASCSSSSTHPAIPAVSQ HSKRTNTNSSFSDEISSPSTATTTTSSSSRFSVWGGSNARSNHSNSKSTSSNPPMPPK TPTSPTKGTSWPTTGGNGDQPFWARDTCNGSWNQGHKYGKEWWSHAERERNIGGIKKP NTSAALLGVSDIFR TRV_03836 MSTLEDLDDLEREDKNQKDDRKEGDKKGADKDGDAEMKEAEKKE EEDLIDEEILRSSTRDIINRRKLIENDMRIMKSEFQRLTHEQNTMKEKIKENFDKIEN NRQLPYLVGNVVELLDLDVEEEAAEEGANIDLDATRVGKSAVIKTSTRQTILLPLIGL VDHEQLKPGDLIGVNKDSYLVLDTLPAEYDSRVKAMEVDEKPTEQYSDVGGLNKQIEE LLEAIVWPMKEADRFKKIGIKAPKGALMYGPPGTGKTLLARACAAQTEATFLKLAGPQ LVQMFIGDGAKLVRDCFALAKEKAPAIIFIDELDAIGTKRFDSEKSGDREVQRTMLEL LNQLDGFASDDRVKVLAATNRIDVLDPALLRSGRLDRKIEFPLPNEEARAQILRIHSR KMTVDDKVNWPELARSTDEFGGAQLKAVCVEAGMIALRKGVNKVSHEHYVDAISEVMA KKKDTNVGIYV TRV_03837 MKDTAYTSQHLNAHTDTTYFTDPAGLQLFHILSHTDGKGGETLL VDGFKAARTLLEEDPVAYQTLSDIKISSHSSGNKDVCIQPATSFSVLNHMSDSSELYQ IRWNNDDRAPGKGNSLETIHRWYQAAKKWNAILKRSDMEIWLKLEPGTPLSKCLLPRM KMIEKEGKLTAFHHPVFDNWRILHGRSAFTGKRRMCGGYSEYSRNT TRV_03838 MSGPGAGHQFPSEDVSWMKRDILLFANSIGCTADELHFLYTADW LLQELHPNFAAFPTYPVILPFKHTSQEVIDFYSSKASRAIPGVPPLDPKRTVDGQRRM IFYKPLPVTSEGRKFELQSRVIGVYDKGKVGTVVEVEYLIVDKETGELYTRMIGSAFY IGQGNWGGPKGPASVNFSPPQGKNPDAVHEYQTTENTAMLYRLNGDYNPLHADPAPGK KMGFGGVIIHGLFSWNMVAHAVLAKLGGSDPKNMKEFQARFASPVRPGDKLTTEMWRT GKIEDGFEEIIFTTKNGQGKVVLSNGRALVRVVGTKSKL TRV_03839 MDTSGDNAGFSASSADRMVGMDHAEVRYFTRIIADYSIVMIIMK DEVRTRSYRDAIYNSKHLFKDKVVLDVGCGTGILSMFAVKAGAKHVIGVDMSSIIGKA REIVEANGMSDKITLLQGKMEEVELPFPKVDIIISEWMGYFLLYESMLDTVLYARDNY LNPNGLIFPDKATIYLGAIEDGDYKEEKIGFWDNVYGFDYSAMKDVALTEPLVDTVEL KALVSDPCAIVTLDLYTVTTADLSFKVPFTLTARRDDYIHALIAWFDIDFTACHKPVH FSTGPHAKYTHWKQTVFYLRDVLTIRAQESVTGFLENRPNQKNKRDLDITIGFEFDAQ DETRNSQGLCQYRMC TRV_03840 MGIQELFSTLFSLFNYLAHYRGIQQVKERIPQSYSLRKYYLWFG YFGLASWTFSMIFHTRDFALTEKLDYFAAGASVLYGLYLAVVRIFRLDKLRPHYKPSL LRGWTLFCMTLFTMHVSYLTFWSWDYTYNMAANVAVGIIQDLMWTIFSVKQYKRYMKS WTAWPSMIVGWVILAMSLELLDFPPIGGLIDAHSLWHLGTVIPTIWWYAYVLPVPSLL LSFLSLPPCLLVPRLLPFLLTTVAFPVQIPYPGRRV TRV_03841 MVVIRELLNTVVFAMGLLAGLRSRKLNGQYIGVMITASHNPAED NGVKLVDPMAEWEVFATRLANAPLETLGEEYAKLVDEIEIKMDNPARVVFARDTRASG ARLATALSAAMAASEVEATDFKYLTTPQLHYIVRCKNTLGTPYEYGKPTEKGYYEKLS EAFKKVMNGRTTTGSVTVDCANGVGGPKLAELVKFLPSAKDGGLDITVINDDVINPDR LNFECGADYVKTKQRAPPSSKAVAQDRCASLDGDADRLVYYYMDASGVFRLLDGDRIA TLAASFIGELAKNAGLSNKIKIGVVQTAYANGSSTEYIEKVLKLPVVCTPTGVKHLHH AAMRFDVGVYFEANGHGTITFSENALKTIKTAEPQSPAQKYALESLVAMTDLINQAVG DALSDMLLVEVILAHKGWTLKEWVATYTDLPNKLVRIEVPDRSIFKTYDAERKLQSPA GLQQRIDDLQSRYNKGRSFARASGTEDAVRVYAEAATRSETDDLANRIAAVVLEAGKA AAGQ TRV_03842 MSAVKNIKRRKLSHTSSKPVSDDVSSGSERGQETPEESGEGPTD TKAPKTFKDLGIIDSLCDACTSLGYKTPTPIQAESIPLALQGRDLVGLAETGSGKTAA FALPILQALMEKPQPYFGLVLAPTRELAVQITEAFEALGSLISVRCAVIVGGMDMISQ SISLGKKPHIIVATPGRLLDHLENTKGFSLRNLKYLVMDEADRLLDLDFGPVLDKILK VLPRERRTYLFSATLSSKVESLQRASLSNPLRVSISSNKYQTVSTLLQSYIFIPHKYK DIYLVHILNEFPGQTTIIFTRTVNETQRLSILLRALGFGAIPLHGQLSQSARLGALGK FRSGSRDILVATDVAARGLDIPAVDLVLNFDLPSDSKTYIHRVGRTARAGKSGRAISV VTQYEVEIWQRIEAALGKQLPEHKTEKEEVMVLSDRVGDAQRLAVTEMKDLHEKRGSK GATLRGRRKGDSKRGRDDMDREER TRV_03843 MAKRRIKKRTHVPPGKAGASGTKNGAASMNRSPKSMVIRIGAGQ VGSSMSQLAKDVRSMMEPDTASRLKERTKNRLKDYTVMTGPLGVTHLLLFSKSSNGNS NLRIALTPRGPTLNFRIENYSLCKDVIKALKRPRGLGKSHTTPPLLVMNNFMSSKEGE ASEKIPKHLESLVTTVFQSLFPPISPQTTPLASIRRIMLLDRDRSSKKGRDESFTVNL RHYAITTKRIDLSKRIRRLNPKEFRGKEKDKAVPNLGKLNDVADYLLGGGGGDAGFTS ASETEIETDAEVEVLESTTRKVLNKKELQRAKEAGSKSARSSGSNVEKRAVKLVELGP RMKLKLMKVEEGLCGGRVMWHDYVTKTKEEAQSLDASWEKKRKEKELRRKIQKENVEK KKALKAKTPREGKDDEEDSDEVDDDDDWDSDDFIHGEEDEDEEMGEAEEGEA TRV_03844 MVCFLPEIKYKYNVCPATNQKTKGTCLTVTAFDKSSFKVGVAPE TLRRTNLGSLKAGSPVNLERSVLATTRMGGHFVQGHVDTTAELLSVTPDGNSLVLRMQ PKDRSLLRYVVEKGFIALDGASLTITKVKDGEDGWWEVMLIAYTQEKIVTSKKKPGDS INVEIDLVGKYVEKTVQGYFEGQTNGDAAALEKMVDRLVEKKLQSTGKV TRV_03845 MNSYRILRRVVNTDKLSRFANNKAFTAACKRYSTAAPSADTLPL AGIRVLDMTRVLAGPYCTQILGDLGAEVVKIEHPTRGDDTRAWGPPYAKYKDDTKEGP GESAYYLGVNRNKKSVGLSFAHESGIEILHKLAKESDVFVENYIPGSLKKYKMDYESL RAINPRLIYASVTGYGQTGPYSKRAGYDVMVEAEMGLMHITGSRDGPPVKVGVAVTDL TTGLYTSNAIMAALIARGRTGKGQHIDACLSDCQIATLANLASSALISGEKDSGRWGT AHPSIVPYRSFKTKDGDILLGGGNDRLFGVLCDRLGHPEWKTDARFTTNALRVKNREI IDNLIEDLTEQRTTQEWLEVMEGSGMPYAAVNDIQGALNHSHVLARGMVQEVDHPDCG PIKLVNTPVKYSHATPGIRTPPPTLGQHTDEVLSNVMGYDKEKIAQLKADGIVS TRV_03846 MGSNTEFAAMPPPPTAPYTTDTNPGMINETQNTQILDIPAESVT FSHEGLDNNVSIPSMTYASSSSELSSVSSISTHEGHNSTMVASGEGPNIKAKEFNISA AKPDLPLKYSNPLTGWRNIAPAPPSPATALSPSTATIAPGATIGAISSTVGSTVPIVP TPKASPSKPTSRRRRTTGGAKPRNPRKSGRYGDRNDDGGVIKAEDTDSDESSDAQLTT TQTKSGRQIHRPTMFTPEQVQKKSVSPDTNHQQPPRKRRRVYRKGKEVNVVCLRCDRG HSPRCNAIVFCDDCNAPWHQFCHDPPIGDDVISVKNKEWFCRECRPIDTPLGLTLKPN GQIREQNGLRTSISPSMQTSGPQTPTLLAGSQLSRAEKQRYLSSLSHAALVNLLVDIS DSRPDIPIFPANLNELNTASFSSSTSTISSSIVSNGLAGGSQQYKILGQATTSTPPSL KRPSESVPASTRNGIGENSDEEEVEEHRLYPKPGNGFRLPPDSEDIDMLLEDPSSTTF SHALHGPAKAMVETNHMQIVGGIA TRV_03847 MSEATAQHTQTVPNGKAPEAAPEPQHQQQEAGPEASGVGFRPHH DLISVQPARLDDLQPRYAQVLLPDEENPAAHSWYSGFIHGLGEIIGTLGAIPCCICCP NPFTPVNQGQVGLVTKFGRFERAVDPGLVKVNPLSENLTTIDVKIQIVEVPRQVCMTK DNVTLHLTSVIYYQIVSPHKAAFGITDIRQALVERTQTTLRHVVGARVLQDVIERREE LAQSIGEIIEGVAGGWGVQVESMLIKDIIFSNELQESLSMAAQSKRIGESKIIAARAE VEAAKLMRAAADILSSAPAMQIRYLDTMQAMAKSLLIFTAQSNSKVIFLPAANNQAAM QAALANETGEGPSRRHENPFEQDGNDGFQTAMNAHVVENI TRV_03848 MGEQPNTNGTKPSSQFLSHLISYPFIADYLETLKKSPYGQKSIE YADKSIAQLQPYFPYLAKPYGYVAPYVEKVDHLGNEGLNQVDNTYPKLVKATEDVRGT VQSYFRVAEDGTKYVRSTYDSEYKLAQGGSPIVSQGKAAVSTGLMVTSQYLLWLSNLI IPHQQKAQENGNGAAKASS TRV_03849 MVVFVVGFAIESSQAHDRKFVSKSCNANIKTEISIYNRPYDAGS KAHPFPYALVVGIERYPSKITRRMGTKKVEKRSKVKPFIKTVNYNHLMPTRYTLELEG LKGTVTNDTFKEVSQREEAKKTIKKALEERYTSGKNRWFFTPLSMFLESYGPHREQVY TRV_03850 MQPNDKEENRDRELVLRHHHTPSESRSLIPMWDSADPKRAPPPL PLNPGGPNSPVTRSNASATVQAAAAALAEKSQETTPSSYTVNPMPLKPTSPEKSLIKG QYHKRMQSLQNATNNNEFASYLERRSSPEKYRRNSVFDRDDRSADTTPTRGGAADTTT PNHDSPTQARISNRYLNKPILGENTPPSATMLALRDMQIPMDLAMPAETPSKPEKPTK MPESFDALSAQIVSLTKIATSLQQEMSQLSRRSKDNATDLISLKAATNARDEDIRKSL KDLTANLSSKIFDPDPHTTTPRGTPYIHPATGGFMLGDSKPHESSPSSRKSFSGARMS SPGSFAAALERDLAASPGPISDGSASIALLEKVLREMATKEGQEKLLTLMEDVQARPT EKDNTMTMMLSEILNLVKENSGSRALVRSRGDMGNQLFGDKPQTPDFGQGTRSGPMGL SASPTPIRSNSDSNINASLQPSNPLADDLMAMLKRVKQSVTEGGGITSEVKALVRELR GEVLGMGRDIARKLEEAQKTKSIDSQVPSGPGKEEIEQIVTGALVDLRQQMDHIIEEN ARNTASTAITRPIPDSQEIYAVVKRALHEIPLPQPQLPPPQDLEPGLQRDEILETVRE AWETYKPEIELQNFGLERDEILECLSEGLKGYQPQEEPIDPDVVYQQVLDAVHTELQS FEPPRIEPDISSIREAVVSAVQESLKGIEWPAPQAVEKEDTLKRDDVLSAVQEGLTSQ ETLKRDDVFAAVQEGLSHQEILKRDDVVSAMQEGFAFQEPLKKDDVFGAVQEGLSSLP EPLKKEDVLSALQEVLANQEPIKKDDVLSAVVEGLAAQEPIKRDDILSAVQEGLANQE VQPREIEINRDDIFDALRLSLEDASGALTSNLGEQLIEHLHGMILEMKDEFKEYSAAN GRDTEQVLDATKDGLEVLRGQIESYIDRTAGANGKDEIIDTVKDGFRLLQSDVEKCIR ESTERNPSDTVELLDAMEKEFEHLRQTLSSLLIRSNTTSDKDEILDAIREISDSDALK KNTSNSEDKDEILNAIRGISESESFKNSHSELADTIKQELEHLRQTISMAIVKPESSL DKDELLSAFKEHLQAAFEEHHERKDGNESIISNTNELLDAFHDGVEQLRAEMDKLHDK PIEPSVDKELLENLRDEVAGLKAGMDRLIESQKEAEEANTARGGEIMLAGDASIGGDI DGLKALVTQLQTKVEAIEVNPVVPERGEDPDMVKKNDLAEVLNAIKEVHGSVNEVAAS SNAAREAPEKEVDPDAVKKDDLTKVLDAIKEVHGSVNEVAANNSATREAPAENVSSAS KEEIEALQTLLQGMKTQLDEITPSTSATWVTAEKVEALETLAKETKETMSVFATHIDI EGPTKEDIKNLEGIMKDVWVVVEEIKTAQNPEKEEPKEDPEKVVKGDVKNLETLLFEL KAQIEELVLPDVNTLPTKEEITNLANTVNEFKEKMDAENELTAQAFEARKVEHGGLAE KIEEAKLFIVDFREDFKTKLTESDGTLGDIKTILECLNDSAESFAKADTIKELSELVK RESERSHGDHEATKVEAAERGTALLEKIEEHRNGLKADIEAEIDKKFQEVITKYEDAQ LLVDSKFTAAEERDAQGLEALTSTKAVAEDLKLVIGGMGQSLTETCDKINDDAKTFFG RVDESFERFDHLHEEMKSFTGRVDESFGKIDNVREDMKAFTQRVDDSFGKVDNLHEDM KAFSGRVDESFGKVDNLHEDVKAFSGRVDESFGKVDNLHEDLKAFTGRADESFGKVDN LHEDLKAFSGRVDESFGKVDNLHEDVKAFSGRVDESFGKVDNLHEDVKTFFGRVDESF GKVDKMQEDVMLQNEDMKAQFDKAVAATDRIESQISQTHPELLSSIKEILAVVGQHYE HSQQSSKDLKTDLCALPNSITPLLPALLPPPPEVKEPQFEKYDDTLMHEKLDTIISHA SNKESSDAQMEKLNALQEQLNATAREVSEMMASHSKKTIQDYEDKKEEAMEAALALER RLAQKESVEAEVSALKEEKERLLQSIRELREEESELSRNRIKLAKEVAGMETALRIRQ EEVHLMEDRAEDLERRIVEGVLDHARSQLVSRHSGSDRMSLKRVPSTASTTKGGGILG SSVGMALNRRMNKRTNGSTVPNGKNERRILSLSNVSGNRGNPDRGPYSGSHNGLASLK RSHSVKTSQGYRRQSCDTRLLGSNKENESVREEEESMSEVESDAGTERRTSYTGTYAD SVSYITGSTVSQNRSASYCSTNVDGSVAAGSESWQEPSELSRVTNDEQSEVADRAETE EHSSSELESEESEDEEEDDEEEDDEPTLHALKAKEGDMVLYHQTTDSGVGMTTD TRV_03851 MLDPIPPPPRWLQDLVTPIAQRWSLPTLPYHIHEVILSFALYQF IQSIVAPRISTWLFPKLYPNFSKRTKLGWDIHVVSLTQSTLISVLALWVILVDEERKN MTPVERVYGYSGACGLIQAMATGYFLWDLIISVRHVNVFGVGMLFHAISAVLVFSLGY RPFVNYYAPTFILYELSTPFLNFHWFFDKLNMTGSRAQWYNGMALLSVFFSCRLIWGS WNSFTVFSDIYKAFHISDSSVPLSSPEFYSLVFSARNSTICLDDNCIKANAEVSKFTD FSAAGIPLWLALTYLGSNTVLNSLNWYWFSKMIDAVLKRFRGEDLPSPDKVAPPESIE GDANPIILEAASTLEKEEETLITGALDAESKASNNTTQSIPMNGGSGARRRKA TRV_03852 MNAPMQQPNYRGYPQQATYRSPATPRRHGPVPEISDLNPVGMPM PQHPPQAMPNQQMLGQRHAANPAEAAVRRSRKPTDKNLPDNVEDIVIGDVAQHYKRLR EVEKRLDASMVRKRLDIYDSINKNAKRYRTMRIWISNTVESQPWQQQDSSNSEGAMGT KLGAGRYRVKIEGRLLDEADPTAPDESDEEEETENQGGEPGAMEQDTPSAKSCKPIPQ RKRLSQFFKSITIDFDKPTENGVADLATITWNKPDVPVNAATMPPSADFDTLEFSRAA EVNLNVTINLVRDETPERFQLSRELAAILDVENDTRAGIVAGIWEYVKAMGLQENEEK RTIQCDDRLRAVSWNSSSYYLFKCKKLIKMFSFQIFGCEKMYFPAIPESTSTHTATLQ PIKLPYTIRVDPEFQKDPKPTVYDIRVAIDDPLRAKLISLTNSPDFPTMLRHVSSLDD QVALAIQALHHSKAKHSFYTAMSKDPANFMKRWINSQKRDLETVLGETPRPGQGERGM EFRRGGEGSAWDTAVARESVRYMLAKPPRATR TRV_03853 MAPAPSEAGRLAEAEAVAKTNPIKAQKIYQEVVSEGPGSSEAAS RDYEVALLGLGKIYRDQKKPKELAELLKTSRSSFSSFAKAKSAKLVRQLLDYFADIPN TLDIQITVIKSCIEWAVSERRSFLRQNLETRLVVIYMQKQTYYDALTLINSLLRELKR LDDKLVLVEVQLLESRVYHALGNQPKARAALTSARTSAASVYTPPLLQAGLDMQSGML HAEDKDFNTAFSYFIEALEGYHAQEDASKATSALQYMLLCKIMLNLGNDVNTLLSSKH AIRYAGTSLEAMKAVARAHSNRSLEEYEKALSDYRYELGGDVFVRNHLRRLYDAMLEQ NLIKVIEPFSRVEIAHIAKIVGLDTQQVEKKLSQMILDKVIIGVLDQGAGCLIIFDEA ERDKGYDAALETIDRLSTVVDLLYTNKASLLE TRV_03854 MKIKALSRSAAAQQTPGTNVQRVQRNIDPSQHPFERAREYTRGL NAVKMERMFASPFIAQLGNGHVDGVYSMAKDPISLERFASGSGDGVVKIWDLTTRDEI WHAQAHENIVKGMCWTSDRKLLSCASDKTVKLFDPYNTPSDSAPLATYLGQGAFTSVS HHETHPSFAVASSVISIYDLSRPSSTPSETLSWPTSTDTITSLAFNRTETSILGSTAT DRSIVMYDLRTSSPVSKVILTLASNAISWNPMEAFNFAVANEDHNVYIFDMRKMDRAL NVLKDHVAAVMDVEFSPTGEELVSASYDRTIRLWNREKGHSRDVYHTKRMQRVFSAKF TPDNNYVLSGSDDGNIRLWRSNASSRGGIKSAKERQKLQYDEALKRRYAHMPEIRRIK RHRHLPKAIKKAGEIKGEEIKALKRREENVRKNSKVVKPRRSEREKMVLATEK TRV_03855 MPSTKQRSGVPLSAHKPSRPAVTFDILVNVFKKTVFHPFIAWLI PLCLVAQATPYSHPSFLITAAYAICLSTFVVLSYINKRLAYGLPRNVDFKKEVITVAG GASGLGLAIAETYAMRGVSVAVLDIKDPVDDGGYVRWDEFPSLEYYKCDMSSKNEVEE VAKKIVKDLGRPTVFVNCVATAINGLPLLFLSDQAIEKTIKTTILSHFHALKTFLPGM LSSRTGGTIVTVSSVLGHITAAGLSDYTASKAAVAAVHRTIDAEIRVLGASKKIKTIL VETGQIATPLFEGLETPNSFWAPVLEPVQVAREIISMIDSGNGGVIRMPAYATFLGVY AILPASIERLARYLSGVDSAVAKATISAAAENREHAAVSLSTSSSEDSSEDDSDS TRV_03856 MTEAIKLLFRKLYHPVGFSKGYNFILFFVLVGALMGFTLARFQY LNIRGDFCKRGNAAPGECFYYLQQTRYHVGIILHLGCILPASFLVCFQFVPIIRHKFI MWHRISGYLIMLLVLISNVGALVIARRAFGGTLDIQAGIGTLVLMTTVGIGLAYYNIK KLQIDQHRAWMIRSFVYMGAIITNRFIFSAAAAIITAIGGYNAVWPCDKLDYTLGHNE TLSKYPACSTFYDGSNPQQQAIVVADFNGGDENVSAAIGLNFGMAIWIAILIHAIGVE VYLTPGESQRLRQVSYQRQLEAGYRMPGKAGLTAERLGDCSGMQEP TRV_03857 MWNISFQDDRGLLLWEMPSMISIDKGRDFTREYPLFSTYIHFLD TETAHLQMLYWTAQLLICNNLWLVYQFVSGQENRSWHKLNEPILNSRLDVSDGVPYRM PELPLSAYELRAIAVNVARSLEYFLTPELMTIGVTMFAFPVTVSLGYFQYFDLPEAKW FDFVFEHILNSSGIDVGGFLDAVANENSLRLVKI TRV_03858 MAECSLSAWKIIPQFCSTSIPRTIEFYTKSLYFTLGGTHPDDDA NTAPTFCSVFIGKKAAANIYFFQTKLSSSKPNETEDRSAGENNQEIFHPSSTMIALGT AELDEYYGILVEEGKVKITEPIEDKQWGYRQFTLEDPDGNKITFFKFLEEGNPGSE TRV_03859 MPREKKKRGRRAEKSQSKRKREDEEGSDSPKRQRTTNEDNDVQA GDDYIPLVTHDTEKEQEQGQEDDTPFYGLLDTEEQEYFSKASQTLELNSFEDDDDKRL FIESVYTEAKGKELKIACSQSCSRLMEKLIAMSTPDQVKALFEKFSGHFLHLVQHRFA SHCCECLFIRAAPIVTSEMEKPKDKKKDRKQTTETNGEDGEQDEPMNQKPAMDLFLGV VSELEGNWGYLLTESFASHTIRVLLLILAGEPLADHSNARVLASRKKENVDSITSTAQ AELTIRESRQVPAEFNSTLRKMISDLSAGLNSTYLQALATHPIGSPVLQVILSIELGC MGKEKVKDKSSVFRRLVPDDTLETKEEGVNFLNSLFYDPVGSRLLETIVRVAPGKFFK TFYKTIIRERIGSLARNEIASYVVIKVLERVSREDLQSAIESILPEIPSLVQRSRLNV IKTIIDRSTVRSADTTSLAKALESAYGEDGLVRLKTILGVEATDKDAESVKPKAATSA QHLHGSLLAQAMLQAPGRLATMIQTSFLAAPVEILIQIAKNSTASRALQEALKPSKSN TQFRRQLLPQFYGQMCDLSLDSSGSHVADALWDATSDLVFIKQRLAQELVDNESALRD SFLGRAVWRNWSMDLYKRKRGEWMSRAKGLDNPRVSAPTADSSAEPAKSKLDLARARY AARAEQQEKPDSREQGPRGKKQALSAPSGLLKAQ TRV_03860 MERPEEVRKERVVGFVEQADRASQVPGQKNNDDSRPKILDFKPP EELKAVFSEGLTSSGQGHDGLVRTVDDLLKYSVNTWQQGFLDKLYSSPNAPGLAAELL LGTLNANVHVYQSAPALTVIEKVTTRRFAELFGLTGPNAGGISVQGGSASNTTAIVVA RNTLFPDTKKNGTGDHRFVIFTSAHGHYSIEKAAQMIGLGSNAVHCVPVDREGRMIPQ KLDEEIQKAKDDGKTPLFVNATAGTTVLGTFDPFTEIAGICRKHKIWFHIDGAWGGAF IFSNRQKHKLEGSHMADSIAINPHKMLGVPLTCSFLLGADMTQFHGSNTLPAGYLFHN DVSDGSEVWDLGDLTLQCGRRGDALKMFLNWNYIGSSGYEERIDAASDVAVHLCNLIS ESPDLILLSENPPPCFQICFFYAPFKQMVHSSDNVADEAERGKLNGEITSMIANDLIE QGFMVDYAPPAAAQVEGDGKYLRCVVNLHTKKETVERLVEVVLQLGAKAVRKQRGLEL NGDFVNSNQASVPGQRPKHPLEMGRGPQGAPAASDFVL TRV_03861 MAGNLSAEDATRLVDFVNDKIQSIEDLQSLDTLLQSLQEQQDIQ RQQLREAEEILKNATKASNEHAEAVRKEAEAFKQHQADIDRRLLVVTQSEHSDEAVRK FEDSIARLQRLDVSQGYLELLSSVDQLNKDAMKTMKSSPHDALRSYAQLRSIETSIST GQDTVEGATPYLLDYVTKVSGSLKDSIRVEYTDKLQNILDKMKWPMKELPTESVIDEW MRWCDLLLEFQEPDFASVTIKKGPEDQSELPILLPLEVMSRPLELRFKQGILFFPQYN GVDFLLTHPKPEYFMSHVLDLINTHAEFFSAYLQPILNRRALNGAPMLRSLYSDAVSS FISSLLPMVRQKASSLLPTVSKHPQAFSHLIHELMNFDNELKSSWSYPSNAMEENAWK GITWEILTKESWFSEWLQVEKNFALARYQSIIDAEDGGEIDYDGVAPMATKPTKAALR VNDLLENVTELYRPLSSFSQKLRFLIDIQITIFDLFHGRLHSGLEAYLAMTSAIGRTV QGSSAGQPNLDGVSGLERLCRIFGSAEYLEKKMQDWGDDVFFLELWYELQDRVARQGQ TGKPVAGALSVSEIAARTSSSVTNNNHDHPSDAAEGALFDETAAAYRRLRMRSESIIQ STIASNVQASLRPYNQVSTWASLRSSSDDPGTPTTPSVDLVQTLRLLTADFSFLSRTL AIAPRRRVANHVLLAIQTYIWDNILMRNSFSTSGAAQLSVDISNICDTVDAAIGVKAG EELAARTMKKLRDGLFILNLKIKAGKDQPPDTSDAAGTALGLWEAEKRLFANNESARG VLSELCIDTLTEADARSVLERRVEVRS TRV_03862 MAGPLKKKRKVDSTRVPQNTRSIASYFRAGNKATSQQITSTEHD QLTDEQLARKLQTEWNKKSQDESESSTPGHRATENLLEEKLRASDEVADASSSSDKGS LPDTLALTPGKENKPKGILSLQSSSTAEDTISFSLPFDLSPLEFDPHEQKEKLQAHWD AEGGKASYAILARAFSLVNGTQSRIKIVDILVNLLRLIIVGDPQSLLPAVWLATNAFA PPYVPIELGLGGSAISKALKRAYGLDNHGLKSLYNKHGDAGDVAFEAKKKQSFTLRRP KPLTIRSVYDALMKIANSKGTGSQETKQRIVEKLLQDTRGAEESRYIVRTLVQHLRIG AVKTTILIALARAFLYSKPPAATFPIRSRAELMRLSKEELSSIYSQAEETIKACYARH PNYNMIVPCLLENGVSAELLTKCGITLHVPLLPMLGSITRDLPQMLTRLHGRSFTCEF KYDGQRAQVHCDAAGKVSIFSRHLELMTDKYPDLVSLVPQIRSGAVSSFIMEGEVVAV NNETGELLPFQTLTNRAKKNVEIGSIKVNVCLFSFDLMYLNGQPLLDRTFRERRELLR SLFVEVPNQFTWVKSIDAFPSDSDTVLNFFNDALQVKCEGIMVKVLDDKPVDCLLQVE DTATALPDGELLPPEEDQKQESTDTAEKQRGNRKRPLLSTYEPDKRLESWLKVKKDYS ASSETLDLIPIAAWHGQGRKAKWWSPILLAVRNPETGSLEAVTKCMSGFTDKFYEANK EKYAEGSDNIISRPSYVEYCGEPEVWFQPQEVWEMAFADITLSPTYTAAIGLVSDERG LSLRFPRFLRVREDKSIDEASTSDYLAHLWDKQAERAKVAEPRAED TRV_03863 MPLPRRALIAVTSANPHFYPDGKKTGLFFSEALHPFDELQSAGF HIDIASETGTFAFDEHSLEKKFLNKEDEEVLHNTNDPFNKKLNSQMFKAGDLSPHEYG LFFAAGGHGACYDFPHAKHLQAIASDVYNRGGVVAAVCHGPAILAGVKNPEEEPVVKD KTVTGFTTEGELELKMIDQMRQDKVHTIEDCLAPTGAHYEAPSSAFDNFEKVDGRIVT GANPASARDTARDAIKVFDGLD TRV_03864 MQHQNQNQDKDKDHDPSQLKLIGAYTRYSSLTFFFLPGWTARVA VLLDYYRIPHQSVLLSLPESTKLSKSGLVPALEVPALGPQFQINDSLAICEYLAEAYP DLPLWPKDAALRAQARTAVAQMHSGLCTVLRASYPTNALAKYTGAIPLYDGAAREVGN CLKLWGQSRRLTHARLAELGQEGEDEGFLFGKFGIADAFFWPVLWRFRSYNLPLTGAT PEALEWMKRMWSHPKIKEIVHAYYLQKERPETTISHYDDIFKGNPDIQFGWFPEDWEF SA TRV_03865 MLSMSSNFFQANKKKGQAGQQQGQSARPSLEDAEDFRPALRSSL ASYLSGNECQYRDGVDTQLIDTRSIDSKWLAFFACLFFFLFFLCQHSQTDSTDRQQRS RLIAGQQRDSRETAEEKDRQSICCSCSCWLLARPDSAIRQKTLQTDSRQRQRLQTADS RQQIQNRRQQAGYRIGYRIQRGRGRGYYWREKTALVDLDAGLRAGMSITSPSASAKKA IHKIDIAQVGLVSSSSSSSYSLLLQWLTVVQVSLNLQDRLGLAKVRYERLHGLRRDSD SDTDSRPQSQQQQQQQQQQQQHLYGQHNGFPSETGSDCSSSDSADARYSTPFTSSPVR SPMLSRELPRSARSRHAATFDIKTMQCMTSGGSSRKRRRCDPAIALAAAERGDSGRRR QTVPKMPRLSFNGGSSASAAPHSSPLLRRQHQRRTYQAMPSFVSETDTIPDPDIDSTD IDPQLSSRRHHLHHHLHEEDEDHHQQQQQPCTPPPRRSRFTHADDDAAASGSGADLLL YLANSPTPATINGKPATTTTATTTTTITTTTASGNDFLPSTPPTQHAAPYQLLSTPTP SQPFNFADFVNVTPSPAQRGWSASNGTSRTPLTTATAAAATTTTNTTNTTASASGGAV SRTPRTPRTTKDVRKRLNFDALAPPSPVRGSTKRHNTSTHAGPASSAAAGLALQLGEE LPI TRV_03866 MLPSLPSGAKLDLSKAFFFFLPGSSLVFSVSASISLAVSLYLRL SGCIFWLLFLLPAATLRQSKHVPAALLLLLPSAAPVSILIILSLCLSVSSLCLCLLSP FCCLFCKKKKKKKRRRKTSSCWLHCRLHTRKTLLPLLACLLPPSRLPRVSSAIEDSRL QPDSRHQTSEHREHRQSKSPAKGLAMASGSASGAASASGSVAGEKKSSGMGFFRELRR RSKAGFHSKPAASRAPTVPVPVSVHVHASPSPSLPSSSAGDSLVNGSNSPGGILVNGN GNINGNGNINGNINGISKTSTADSSVHSSSGNTPPPSIKPSLLSSGHLPYQSKSEGWL PPPPQRTVPISSQSCRNSMLGVNPSAASSTKNIAPSSPLAPRVLSISDNSWVSSETTG KRREKMLMGVALGQPEAAAAKTPLDGSIAVHHLQDASFPAISWPVRASCFKALVHLVP GPNRVRLEYVSPKQAPSAAPHTAWINVNYLPLANSPPLQLAILLGSDSQAHYDAAPAS SKKAANDLDHAVRKFRMAAYLWQAFTGEQMYRHNFGRRCFHFEEEWQTGSLSGRDPEN SIMRSEAKVHIIRCDQTVQQLRALSSASSSNATSTSTEDGLFKVAMDAVRKYFDLRPG EQRYVSALLLDTHWDSNTKSLTAHAALGSSQSADLKLALFGSHGLHSYPACLQDIVPC FSDCARTDTAYVANHNNECGSNWETASSSLGRHLHEVGHLFGCTDSDSGIMGSDYLRF NRTFMTWEPYSTRTKEQGARLLLQPDECRWNRLDALRFRFHPCFKMPNDPSCPSSDII QLWSVDGEKMLATSSAGIAFIEIFTASQTDTPAAFIEYVDSSAGNSGIPKEASFTEAE IRAQLPDSARKSKLIRLRIYSGCLSILNVSDLSVLTASKAKDWCVKIPTVTGSEQVNY LPYMPADTLQGFLEKQDVFFETNKPVTTIRVYSTEKPGSDPGGSSKATIAGIEFRYED SSSQLFGSRGQAMIDDEYTIGKFFSSSSLYFFPLLLSTLIISNCLESRRGEMMMGFHL RADKDGVHGIGILTSFGRRSGVYGCSKATQGYVHTPPTPTSVCQY TRV_03791 MFARFSRTAGPLRQGIRKYSTEAPKSSSQAPLFAGLAVAAGAGY YYWQMQQMPGAAIKKDRKPTFTGGDQGWVDLKLSAVEEVSHNVKKLRFELPDSESVSG LHIASALLTKFKGEGDAKATIRPYTPVSDEDEPGHLDLLVKKYPGGPMSTHIHDLNVG EPLSFKGPIPKYEWEANKHSHVCMVAGGTGITPMYQLIRKIFSNPADKTQVTLIYGNV GEEDILLRRELEHLENMHPRQFKVLYLLDKPGEGWTGGKGYVTKELVKMAFPEPKTEG IKLFVCGPPGLYKAVSGNKVSPKDQGELTGILKELGYTKEQVYKF TRV_03792 MRTLSPAECTLQHRSDHGNVGRLNEYQVIGRHLPTETQPTPKLY RMRIFAPNTVVAKSRFWYFLGKMRKVKKASGEIVAVNVIHEKRPLKVKNFGIWIVYDS RSGTHNMYKEYREMSRTDAVESMYQDMAARHRARFRSIHILKVVEIDKTESIRRPYMK QLLSKGLKFPLPHRVAKPTNKKLFSASRPSTFA TRV_03793 MTSLFAEFKKAQSTGSGEALAATLNPVDIPRLRAFYNTTNSLSV ASDIRYGLLQDRVTGTKLPKQEGNAWVDIYIAFWKAAGELVKLDDPNFTLSGRGTWTA LFGHWKDIAVLLNTNYSSSTLEAWTLPVLYVVGKYLRIFAIKADAEAAQDPTSTFNDG FQDDIVGDVSKNAKLEETSRIISRMYTVCLHDRYALDVVRLIVLPSSGCILSFLFVSN RAPIEESRKWGVYNTINLAFKTYFKLGSIPPCRNLLSAMKASQAELPPMESFPKSHIV TFKYYLGVICFLEEGYVELPGNFAARIHIETEKRLFGPLSKCIRKGDLAGVDAAMAGS ENEFVKRRIYLPIERGRDLAIRNLFRKVFIAGGYDPPVNGQPPIRRTRVPVKEFAAAM RLGTGNTQQKSKVDLDEVECYLSNMIYKNLMKGYIARERGIVVLSKGGTAFPGTGV TRV_03794 MFVKRSHSNAESFSKYRAGKSSSRSKRSFKDSSLSTSRRSESAR HSDSSPITDNLNSAIITICVGPDQRLFAAHEDVLCVSPYFSACCRGQFYESHTKRINL PNEQPEILSSILEYLYKGDYYPRLMHNKRRDTWLLEDTDTTGSRPSAESTIVNQADGT LILKDTAIYCAAERYGLDELKRLALRKQGLQTAIQCSTILSSARYAYANTPDSDSKLR AHYLALIIRSRSTFKRSGTMQLEMEEGGKLFFDLFVAMCNHMDDLTNASKSPFSR TRV_03795 MLSICSNPLSQVCLDRFLARQLLEQQAANPTQQTPQARVSDIQK TWSSPYPRIGRSPLSLTCAEFRSKYDVIQPDETVEEDTVVLHGRIRSQRTAGKKLVFF DLVHNGHKVQVLCNQRVVGEAGISPEKFKEFYHLLRRGDSFSVTGKPHRTGRGELTVK TTELPKLISPCLHDVPVHQKELEISPYERHVELLSSPSAANILRARSLITHSMRNFFA NREFMEVNTPILASKSGGAIAQPFVTSATEFPDRPLSLRIAPELWLKRLVVGGFDRVF EIGPSFRNEGLDKTHNPEFTTCEFYQAFADLESLIETTESLLSTLALEVQQLNKKLNN SLSPTTVSFTGPYRRVDFIPAIEEAIGRKLPDLQSDNALSQVKEIFANLSLPLPAHET LPRLLDKLCSTYVESQLIEPTFIMNHPECMSPLSKSYRHPESGQIVSARAELFVEGKE IMNMYEEENSPFEQRRKFQQQLSFRDPENAGELDEGYLQTLEWGLPPTGGWGCGIDRL CMLFTGARRISDVLSFGNLRSVTATSEPGPSSRQ TRV_03796 MSYLFGGRPQISSEEKIRQAELEVEMVTDMMTRLTNGCIKKCIP TDYREGDLNKGESVCLDRCVGKYFEVNIKISEKMQQQQQQQAAGMGGGL TRV_03797 MDPAGTTSIALVDERLEQYAARQAAEAQQSEPGTPTQQLTSSKS AQRVSSKASFQRLVLTDPVAFKYLEEDPCAVTISKRLSISGYQVYIVEQWVCSRAHPT FFINTYTGDKSHTVIAGVLSVPTDEKKWSERMRVYFNAMDQFHTRKQESSFGTVMVTN LSTFPSMLTTIPVQDGDVGLHLDDFIVNENLKRLGCSGRAGLTVKPPAADTQAKFHQL YQTCDKIELNTSVKELIRFCQLALVMFLKLAPEYADGLLCNHTERAIHEWWAETGSFL YGIEPLDGILGPTTVAAILGTFMGARNRLHAYGAPVSKDPFDIHGFEKGIYSFQKAQS LERTRRLDSVTLEKLHRVTAKAASGEVGGLQRAVKSTVAELSGKGGEMVMEMVGGGKD KAGISEIETYEIERFIQLITGDHARWLWRGKPKPPYEARYDIGDERIFTPDRGGGYIW TRRKKDDYPSNRPSIEGDQRKQTDSLTAVDDKDQLRVTLKRSVTNKVSDARAGLGRFR DAVGLPTLRPHHHKHSKESVDLDANLSPQLFMGETPTSTSSKDGGGPEGSAQMDSVAL SEACRLEPMVDQKGYSPLTPPELGRARTYESLLGKEISPPDKALDLREAEGVLGGSEV TERKQDGEYHGLIPVTRIRRRAQSVGLPELDRLVSKDKAYPRRLSSSYAEEYLLRWEE IGDIYSEAIDPTVGLADAILYEDIIFSDNQDITKRIREVGSTEVNWVDEKVTVAEKIE KAVKARALETQAEYQRKLVELREMYGASRGAMAEEEDAYMQVAKNVEMMSEKLDYDVD TLRSRIEDAEAVLRDYEHVISNLEKRTASVLDGQEAEKISWMQWVHELWNRQRNGFQT QALKDYNKDNSANFTGGSAR TRV_03798 MDQVQKEHQRLWKKAQASKCINDVQATIDLLLEARRTIENDPNS ASITLAKLQNPIKASFEATNNDLKEGYSGLNKYTKALDKLFKDKPLPTTEYDSLSQPA LVNRAVAMHLLREGAFSTADTFLAEVSRTHAESELDTAMGQAEQQASESIPDIEGLRS GEIRSQFLLMHELLHELTENRNLLPAIEWARNHREALYVRGSNLEFELCQLQFVWLFH GGGEAGISVQEGRLKALEYARREFSGFQGRYLPEIQQLLGAMAFAPNLGDSPYNTIFN NPDSWDRVATSFKGEFCALLNLSAESPLYVAATAGAIALPTLLKLQTIMKEKRTEWTS QNELPVEIPLPHSYQYHSIFVCPVSKEQTTDANPPMLMPCGHVIAHQSLMRISKGNKF KCPYCPTESHAKDARKLLL TRV_03799 MDSVKSSDGRGGEHASAPLSKFQQLVQQRTEQILAERRAQEAHR ADMERARLNYKPQQSQRFQQMLKDRVQKALAERKVQPSFQSPISTESDCGGDSERVAS SSPLSLDNGTLEEKSSTINAVEGHGISPEIVATSVTETILPTRGHKEPEKSDQSPQSP QTQQDKPSTILLQPQTSNQQRARVPHIQPRSATDPGELEQSPSPHQNTLGTKRRMDSL TTVAIYQHSNEDSNGAIMQLAVPETQEAQYTKAAPAAQETSEPPTKRIKKSTSPKNNP ACTCSISTKCEVAAANGVKDCRAIARGVIQKSISRSKSARSNSEILEILSLIKKIEAR TDLERTIPRLRDRIHFLELYEIPESSIESMKAKFLDLNNGLPAIIRNHNSIPWDIRLD CSAILKRMEEGNFHVDLSRGILTKRTFRENGKSSVSRVLDKNYPFKQSAFVVGDRHLR NGQWFPWQICALRDGAHGEIEGGISGNKVTGAVSIVLSSSGGGGNQMYADVDQGDTVW YCGTRGKNGEVSANTALLLQAAGRKSDIRVLRSSKLPKVNPYRPSEGMRYDGLYKICS YEILDQETALHRFKLERVEGQTPIRYKGPEVRPTAREVEELRKAYRHNAESKPAKEPK MKQPVAAPPVVEQKA TRV_03800 MAEVTLANPVTVSLEELRADTVSFETLSEAFGPASLGIIVVKDL PAKFKDLRAQALSNASYVASLSPEELESLTSAESKYLVGWSCGKETLRSGHYDTLKGS YYINCAFYQNPDLQNAPASEFPDFPQYTAANIWPPAEKLPNFRPSLTELCTLIIDTAA LVARACDRYALANIEDYKKGYLEHVVKTSLTTKARLLHYFPAPETDASGKETDDDDWC ATHLDHGCLTGLTSAMFIDEAENEPKQSADLTPLPELPTSPDPKAGLYIRSRTGEVVK VNIPKDCIAFQTGEALELITQGKFKAVPHFVKGAKTGGKIARNTLAVFTQPNLSEEVT AGKTFADFAREVVERNH TRV_03801 MNGGSEPSVQVLTPTNHNHDSDYKILTRVLERTRRKKFLAVDAE GNQDVFKELIYHLKTDGKLARPILEDSNMDWRAERKLLKNHLTRVRMQHSFFPRLGEL VLWYSGPSNGEIKFHWQTQTYQVYSAKEKKFIGIPTWYGGIVTQVPEEPLNLHDAIIE TNKGYALNRSGFRIELFPDPNSSNKNMSTHYKYVPLSQIRPLNFWSIYLQGVKSMDFH PSIPYALTIMSSCSMLDKYYFEGTWPNASVLCKGVYLGAELLIPGDGVRLMPLDRDGA ININGEVTDILIIDTIELRLMECDANLQSPLLSQTITARLIGKVYTLDPKLAFDRSTP LTDAEITKSFECTGMREYGLWYLRHEPDKVTEISLDRVIGRCFESEYMDIMFENVVLD LDLVGVRDARLFGRKADERIAPGKEWFCGDNRLEQLGLESVNGIEHSRCDDSRDPRMY RAIMNIINDEAVDADHRDSKIVRQLGRAHEGLIGSRSGYTTFDNAGKHSSMVATALGG EAATPHINTELVEIDETEDGDAGSSREVAPSTDSGDELVVVPVHKRRRQH TRV_03802 MAAQSTLRRPEDPLVILFRHYSQLLLSRVRNSSRTVKLAATVAL LLSIIGTGYGGYSWWKDWSKERVQGRQLLRKNSGLRGKDGSRIIYVPYKSSLTSSSTS KVTIHPTKSTTFDAHRRLFLNPPRAAGLRDGYGGAPPPSTKPGLNLAFLHQLLSLLSI MIPRWNSKETGLLLSHGVFLMLRTYLSLVVARLDGEIVRDLVAGKGRAFIWGILKWCS IGTFASYTNAMIKFLKSKVSIAFRTRLTRYVHDLYLNDNMNYYKLGNLDGAIGQGVDQ FITQDLTLFCSAVASLYSSLGKPLVDLIVFNYQLYRSLGPLALTGILSGYIGTASLLR GHSPPFGKLKAVEGKKEGDFRSLHSRLLANAEEIAFYGGADIERVFLSKSFANLQRWM EGIYKLKIRYNMFEDMLLKYSWSAFGYLITSLPIFLPAWGGAGGILEMATPGAGINRE RGRMKEFITNKRLMLSLADAGGRMMYSIKDLSELAGYTSRVYTLISTLHRVHANSYHQ PRGSQIELYSLSDVQGTIHSGFDGVRLENVPIVAPGLFPQGGDELIESLSFIVHSGEH LLISGPNGAGKSAIARIIAGLWPVYRGLVSRPRNFGQDGIMFLPQRPYLSTGTLRDQV IYPHNELDMRESGRTDNHLMEILEAVHLGYLPAREGGWNGRKEWKDVLSGGEKQRMAI ARLFYHDPRYVFLDEATSAVSSDVEGLLYERAKERGITLITISTRASLKKYHTFNLTV GLGADGMSWEIDRIGTEKEKMGVEKELQELRRQLDNVDSLKARRQEIEDELQRVWEGE QETVALASAA TRV_03803 MSDQQTDPNKPAKPHAVLTNPEVLSSPHADTGGPPPEEIEADED LLVDLEDDADEIYLVHSRVSSMKSLKLERFMNIERICLRQNQITRIYLPDNLAPTLKE LDLYDNNISHVKGLDHVVNLTSLDLSFNDIKHIKNISTLVHLRDLYFIQNRIQTIEGL EELKELRNLELGANKIREIDNLDTLIALEELWLGKNKISEIKNISSLTNLKILSIPSN RIETLSGLESLSSLEELYLSDNLLTGISGLESNANLRVLDISNNKVSRLENLSHLTKL EELWASNNQLSSFEEVERELKDKEELNTVYFEGNPLQKAAPALYRNKVRLALPQIKQI DATTNLTNLRTLISQYLKYFFCAEYFFSYRIEGDHLSREQGDGL TRV_03804 MPHNASPSAPETTEHPKKKRSFLRIPSRSSSKKNKEHSQSEDTN REGTGASLSTSQTNLTGSHSKASSTRSRHAQPLDQAGPHIGSKNGGSRAEHDPKSDRK QRGSLWSFLSCCRAPKDDDGEDMASLPPKQTVKPHSLRTTQPISEKADAGVADSGSAD RKDDADATTEKPAIKIFDDQSAPIAENSVGAAVDEKAAVTTKQEDEEESTLSGRDSNT HHQNEPVLPESSKLDPVHVLSEESGASNSLATGQTEKPTETPATIPEQQNRSDETDMH DQYPIASADEPAAEANHQIVHQTPLPPPPPLDLAYPQAASDKPVPPVPSVQSEKQKWL LPPIQDHFSGRKCLVLDLDETLVHSSFKVLDRADFTIPVEIEGQYHNIYVIKRPGVDQ FMKRVGELYEVVVFTASVSKYGDPLLDKLDIHKVVHHRLFRDSCYNHQGNYVKDLSQV GRDLKETIIIDNSPTSYIFHPKHAIPISSWFSDAHDNELLDLIPVLEDLAHSHVRDVS LVLDVAL TRV_03805 MQSQGTLFSLAVRSCKNWACLNLRGPKFSNSRLAASGQTRTIST APINSGEYIGESGRNYKIERTLQEETFPPRRVCLANAASDKFILKYIHPVNFSNLREL NCKLLGTGHVRLPQDILPEKSMFVFEYFTGHLLRLAQNDLPLQVTKRILKDALRGLAE LHNRDIVHTDIKADNIFIDWKGDGKDINVERVQLGDLEDAAYIPPGSAMIGKQAGNEM WRSPEAHASGPVNKPSDIFSFALVCIYAVHKRVIFAVGEEELEERINPLSIIIERQIS YFANEDGIDGLVKYLGDSPWVHIFQVIRDGFNSDNPRRPFALWKDVDGEFKSLICAMT NLDPEKRITVDEALAHKWFEGV TRV_03806 MFRLSPAKPSSSGTNPKAPPTSAEYDNGLRVEAKNVYMVLSSTA LPRKYDWGILIASNELGGVIFHQTLNGTVWRYIVEFEDITRSTALLVVLKLGEVPDIT AEWMDAIKQCIQATEVPGDEFTCRTWALAAIYELANCGFIGMEPSWDIMKKIEEEAND IATDALFSNQKLVMDSHQCTL TRV_03807 MHNGVLYASDSYQQPPSVHSNATGSSHSIPEQSGFEIIATYFKI RTSTSGGTAFAIFESPESASNAIRASPLTVPLPSPRPNTSNSYIQEHPSSSNPTENAM LHPSDTSGEAIECTITESEYDHKANMRKNPYNMGFQISRHWMEVQDLMRAPDNRVPLA EYADCFTKRKFSMPFRVQDRYFENTVKAGGTSLMQMWKQGLEEEKRKKEEDSKGLDAE RPQQNTDSG TRV_03808 MASATAPNLTAPSVKLNSGYAMPIVGFGLWKVNKETCADQVYNA IKTGYRLFDGACDPTDYGNEQEAGQGVARAIKEEIVKREDLFIVSKLWGTFHDPKHVE PACRRQLSHWGIDYFDLYIVHFPISLKYVDPEVRYPPEWCAPGEKAEASDVPMYKTWG AMEELVDKRLVRSIGISNFSSQLIMDLLRYARIRPATLQIEHHPYLTQEGLINYAQSE GLAVTAYSSLGPQSFIELENKAATGTKLLLEHPTIRSSAEKHGKTPAQVLLRWATQRG IAVIPKSNNPERLAQNLDATSFNLTANELATISALNQGLRFNDPPSVSDNLLFLKFTV S TRV_03809 MATDRRNQEEEGYEFVVVSGYVRRSSGWWLAVSVCLFCRFRPVA SPRPFYSFVFLFPFGDERLTERVWCTDVFFFSLFSLGGTDYIIQAHSPPLAEKKSHEK RRKKKKDFLTMQESKEKKQHPGLCVLLVFCSTCQPPRENKMEGTRVFVSGLPPSLTSD KLRNHFAQRFEVTDAHVIPNRRIGFVGFKGPDLAENAVKYFNKTFINMSKISVEMARP VSLEGLNGRKLTASFSKVDADNSDDLRARYRKHLNAKKDPPSLKRKRDTQDTEEDEKL QEYLTAMQPPTKSRTWADSGAIPTAHQEQKPATDAAKEPTEGEDDVEMHNVETAVTDI PVTEQEAKSDDVDAVNVNENPVQQNDDDWLRSKTSRLLGLLDDEDEMAAETWKGKPLE NATDQPPVPAKIPSTKAAVEEGESVPEPPPDANIESIRLTGRLFIRNLPYNASEDDLN ATFSRFGKIEEEEYFSRCLFNLMTVSFLIAAINYCKGFAYIQYVESDAAIEAYKQLDG KDFQGRLMHILPASSKKTYKLDEFEISKLPLKKQQQIKRKAEAASSTFSWNSLYMNTD AVMASVADRLGVSKSQLLDPTSSDAAVKQAHAETHVIQETKAYFSANGVNIESFKQRE RGNTALLLKNFTYGVSSEDIRKLCEPFGQLTRLLMPPSGTIAIVEFAMPDEALRAFKG LAYKRIGDSILYVEKAPKNLFEGGPPVTMPSLLNQKVVSQGFSTSDTFKADEPEAPME SATLFVRNLNFITTDAGLSDLFRPLDGFISAQVKTRPDPKKPGERLSMGFGFVEFKSR AQAEAALKALNGYKLDQHELVIKPSHKGMDAAEQRRREDNAKKASAKRTKIIIKNLPF QATKKDIWSLFAAYGQLRSVRVPKKFDRTARGFAFADFVSSREAENAMDALRNTHLLG RRLVLEFVSEEATDPEDQIKEIEKKVDAQVNKVKIQKLMGPGRKKFHVDADLED TRV_03810 MMEDHVQDIAKEAVIYRRIGPHERLVRLVSHSSDGIILEYMPNG DLKRYLQSHESSSVPTSLKLTWAYQVSEAVQLLHNNNILHCDIKPRNLLLDNELNIKI IDFSGSSLDGSKPTSGEGTRFYLPRDWREPPTIATDLFALGSTLYEIFQGNSPFEDIP SDEVTTRYEAQQFPSVTDIPCGEIIEKCWRSEINSAQEVQTAIGNLVNI TRV_03811 MADSARSEDTADASDPIAAASSSAFSEAAIVKKVTRYVKEYMSE YNSSHDFDHVMRVLGLSRIIASSRLAKAKTAVSTWNPLVLTLGALLHHVGDGKHPKAN EKPEANVKHDVTIYQLLLTFGTPIPVAEQVQIVVNNVSYSAETESEETREYVRKLVQE IPELGIIQDAHRLDAIGSIGIGRTFTYGGAVSKAGRPLQKTMAYFVEKLERLEASMKT PEGKRLALERTNRLKLFRGWWEEEMALAKSGLGQ TRV_03812 MASGADSGAEGSKPTSPSGPAGGSSSALRPSSPTPPGGPRTALR RRAAADHKESVRNARPASTRAAGAGGSSGTMLKLYTDESPGLKVDPVVVLVLSLGFIF SVVGLHDHSQILFVNWAPFLRSLLVSFFIVSRCSPSSSPDYRQKHTSYGFQLYKGRFL SSWLGRIQANVPDRIQLLRRNYNYSTYYNLYLMTQGLFDLYILAIFQDQIGSKQICDE HARWSKRDIDTMSSLIPDAATYTICTCLFHLYALFPSTSIRSPPSISAVYLGPQYSAK SS TRV_03813 MYLPNTRWTWSFVIVTTIQAACVLAFESYVFARFQLQLKSDAST NTESKTIPTFLTLYIFGFVYELVLVYDALRLKNTIQVIGLCICNFGLLIYGAVQIDQI DTSVTQLGDLGLIHPEVIDEMRPFLIAIPCITALGTVGMSFLAWKLYDEFAWTIYKHI SADLRMKRRYLTYQIYIALLKFDFFFFLGFTVQFVVIVTDTKTVEFALTLAAIPVTIL ILVMAAFWTRRESTVGMIIVILLYFGGFAYFLFKLIRMYSPAKEKAYLPARRSLTFFA VATIILIIMTIINAIMCTLNFNKGLKPHITSKKRPRGAEKEGTELHDQFSPHPVPTRM TID TRV_03814 MPRSGRGLWVTKEDDVDAGWLCKTRENNGQRDTRRELYDKESES EGDGGRVDGNGDDERDKRKKVVGKRVKRKRKRKRESERLRRESEDEEKDEQVDPGGGI SKCAVQRLGGAAFFEGWLALNNNNNTTAFQGTK TRV_03815 MSNHGTIKQVVDAVKDLVHDCNFDCNDSGIALQAMDNSHVALVS MMLKAEGFSPFRCDRNVALGINLVSLTKVLRAAQDGDVLTLKADDTPDVVNLLFESVE KDRVSEYDIKLMDIDQEHLAIPETDYSATVEMPSAEFRRICGDLNQLSESVLIEATKD GVRFSCQGEIGNGAVTIRQNTNVDKPEQNVSITLTEPVALTFSIKYLLNFCKATSLSS KVRLSLSAEVPLLVEYTLEGSGYVRFYLAPKVSSSLSKPVLHESSTVLTRWQIGEDE TRV_03816 MIPALLVVFPYRWKFQVASWLSDGRRGVNSWQKAAISARIGKTD NERFREQFGYTIIASQLLSEPSAPSYTSVSNLLSHPVQSDDDKAASRAAIFGVRGAVF TAVASFSVVLLLHWARSIHRIGWSIGRVLLLGVLLATIVAAFYVFAKRQWLRYLRSQA IETATACVGNAQTLDSAASASVVLIQEVELVSRGYRVSSPLPPVSRLEEQSQTRRCLK LRRILAKCLDEMLEKYLYVKRKLRPLVDDANLEKYYDIYEISQVGLQAAESTVVDQSV DEKTSLRSLRSSFARLYTVRKSALCCLLALPADGGEADIARWSAATEEMHSLADASAE WIEKLRDVLNEQDRTPPFHPCVFYIPNLTYVGDIISSSPIQNPNPNPNRDRYRAQLRR LNSLSQGIRGLHARMQLIREESDACLDGSNEDVDLGSTLLVQYEAIGAELRGILQEWE AGKSAMRANMESSDRQSSSSRRTSSMIKSPSSPTFSIGGSTAVDGGPADALRALNGEM QKSLNPDSNMDDDEVFEAIAMPRTRSSLTRVERIARMKEDRARQAVARERAEANTHML KELETVIKLRPHKGGARVSSL TRV_03817 MIVDATWLFHPHLRLSVRICVDNELSMECRLDTEPGISMASTAP RLETAASREATNRAPSKEPTQIRNRKAKKKAETRRSKEQEAKNLASSYLPIRISKSQP EIEV TRV_03818 MGRFDGLPTELILQICDNLSPQDSFAVALASRKLATILLPRARI ITLSYAADMKKRDLFLKTLEKDVDFSLVLVDRTILEECILTQDDEYVLPMLERGVGLV SPPGSVCASTGVNLLHLAASAGCGDTVLKRLLVHGDEIDPFAKDEDGITPLVCAVTKR KLAAVKTLIGIYHERGREIDPPDSKCPLILQAMPRGYGEIVLFLLETKGIQVNARGPF GENALHWLAKTDMTPEDEDAWEDTDDEDGDFTAQEVVNINQQGREDGDSHVADSEETE DVNVKVLKGLLKAGVSLTDTATRDRLTPLHAIALNGRYNLIEPIVTGGADISVRCANG GTPLHWAAKGGSVFSMDALIDLGASVNDTDLENKTPLFWAYACENADDPPSEFDPSPY PILYLLEQGANLSHLDSSNQSALHIFAQHDRPNGATQLLAAGIDVNIQRNDGSTALHL AALNGSHKTAAQLLRARPNLELKNAQGQTALEVAVEKGKDKVAKLIRSYTRRGVTAVG GVINDRSMEDLHDRLTTQLSIYEWRE TRV_03819 MEYQYLDATPCPVTWKRNDAKIPIFEDILDSYDDTAEIDFMAEL GSLNSLAREKPRRAKKATMFKIHEDSGSSGGSNGGQKPLYRESSMFSQPAQRFPRSAF KAPAGENSSPKVPVKKQQDDFKENSSGESPTSLKFPHGMQKPVKEQKMKKAGRTKRDK RRNTIYIPPDDDTTMATIFMDAFSPLKTQDFGCQELGYIETQILKKRQQQKTTSPIRQ RQPLEAPKRIMQELVDQPDVMGKNTGKENVPPGKSESGIAEVTGKKDVLAEASTQPMK SRSVNSPRPRASLSKQKQSVSKSSASRATHSQSSARRTTLTSSRSPRTSPATKQRKEY LPLNTTLLINPISKRKSPSELLPTLLLAPKIEIKHPALHANLADPLIHEETWLSHQEV VITQLVNTLLDTANGQCNTQGQDCLKQQLFDIYQDTYFSLLSKRVQASLLYGDLRVTE DSSGRRRRLTTDARIRRTFTKFWTDTYDIVALQTAAEVVIGREVGYNPHGGNISASPQ IFISQDEKGTRRAVESFLDAMLIRNEDMSQDNSSKSSVGDSSDVVKGYHRTALRSIMI IALLDKARMAPDTALPRSLFKPDSAYTSSTAAMRALGPVLLHPQTDFTRPLARLDCSL MYKQHPVAEYNYHIDNIAVDIRDGVILARLTELLLLEHFPERFHAKDKEIWPLSGQLK MPCISRATKVHNARISLSALTKDFPSIGSTITGIKPEDIVDGYREKTIALLWVIVGKW GLSTLVDWDDLKSEIARLEKKLGRLSRKQSSLPPCHVQTTTTDTAAYHSGLLHRWASC LARLKGLEVSNLTTSLADGHVFMSILDEYEHFIRPGSHGVKADKETSATASAPTKTPT SSTDNKSALRRRLLSLGCSSQFVLLSAAAQTSLSSCTHARTTTVAASSEEASNLTALA FLSSRLLSASKYGRAAVTIQRAWRHMLATRPVIDLN TRV_03820 MLSEELPFLFSELSSSPAGGWLAVVVVVVVVVGGGELLEVEVEE EEVEVREKKKKKAKKKRKKKKKKKKKKVRGGSKKIPRKASGALFLFGRGGVDVEVEVD DGDES TRV_03821 MPIISQTPNMAPTDEEEAAAECDEPPGCRARVSTVRSHSHEHAP TSSNKTTVSISNSRPKVTKESLLTRALLSSPELSPTDRAASGITWRSVPAFSINPSHS GPSTAELTSDGELTTPPRSTTPSPPPPTQFTRPPWVAAEPPSKPVSPVDEHELKLEAN LGRKRCITFACANKIDPVDHTQSDPVAADPPKRKSTIKFACPARPRDTDVDIKNQKHS PSKPSAGIRGSRSPAPMSLQSRYQARSLEVSQIPGIKPTEPAPATGSLKVTGLGKFEL SDATRFQEFGSSLEDEDWLNESSDYKHKMTVDDCMRKENVIRKLGEEVEAEAQEEAEE AENDEDDDENDDDDDENNDDDDEEEDDDEDDDEEDEDEDDEDDGNQDLSDDGNETDNE AGFGSSDDDDGESDYAFWTPTTTAATSHSDITIMPPPMKWKESHGSIESLASPGPLDT VPPFEPKRAAAVKEKKSKPTAPSLPDSTDFVCGTLDEDRSIEVAYISRMEERRRQKHI PIPQDIDPSFPTSDIEDEDDEDADDDVSANPTAARKQKADDDDAVEPPRGRRSSRTTI EKSSKAAAHSPRRLFGHSPRRLRSPPPPGRLRSPPPSRRTSLINPPNLGAGPTAKKIA VALAQRPNRTRTSSLPRTPNPFFARGYSSNIYKRTSRSPSPGQKASTKTKSSPTHTRG PIDIVAGLEKKRQKRKEKFWRQHCRRAAKDQIHSREKMVPGRGAERMKELGIEVAERF RAYGVGVNGGNGPKLVLSI TRV_03822 MSSVTGPTRSLRSNTNLPAEEPSAQKLFNNIRNEILNTNHSTDL TFQNISPSAGFQIATSFSEDPEIERALPRISYNPLTKVLTARVMPTTVQDCHQEWLSN ELLDMVMAGFLTVAEREELRLRVGTSMMHLFSFYFTFLSFLKFDLIDILLLALAGFAA PYTSAVKEPDACILPDSLPLPTVAVESCWSESWPRLEADRDLWLVGGTAVELVLLIRW TKISNGRVKGDLHVHGRDLAGNVVLLRTEVCDCYI TRV_03823 MWIINWFYDVLASLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VALMQPTSHPTSEELAIGNNRFTTFDLGGHLQARRLWRDYFPEVNGIVFLVDSMDVER FPEAKAELDALLNMEDLAKVPFLVLGNKIDHPSAVSEDQLRAALGLYQTTGKGKVPLE GIRPIELFMCSVVMRQGKFMICLPTHHSVKRYEAWANAKDLVREYLGYGEGIRWMSQY V TRV_03824 MADQNEIDLDSIIDRLLEVRGSRPGKQVQLLESEIRHLCTKARE IFISQPILLELEAPIKYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAY KIKYPENFFVLRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIIDEK IFTMHGGLSPDLNSMEQIRRVMRPTDLDYLLLSFMHGIPDCGLLCDLLWSDPDKDITG WSENDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYC GEFDNAGAMMSVDESLLCSFQILKPAEKKQKYVYGGMAPNRPITPPRKQKKK TRV_03825 MDLRVYSTINKLSKNETSREDERSQPEERERKDEEEEEEEEEVK NHAMQTPDQKEKRKKKDKNAAQGRE TRV_03826 MQMRRQSRSVDLSSSQSNSAAASSSTGHNTSSSSSHNNHNHSHN HNHNRNHSQHSRQQSHGVPGRSSTASALAAALSLGTLGLTSDSVRPARLTLTPHHLFY LLSRFEELSVPVGPLNIRLENINNETSSAYVSFLSKPQRPRGSAPGGGGGDRDSIHSV SSVRSVMSTMSSFWSSFGLGSFTSRDSVSKSEKAKAALEADLKYLYSSFTKIPCLRLA PDHRARLISGYEEFPFDTAVPLHSFKNLSALEIIDVDFRSFFGWDRLAEQLRSLTLKR AQLEDIGDLLTGIVLDDIDKRRRRSSKSQPSPVLGWPSSSSHSHSHSHSHHNQHQHHQ HHHYSSTAPELGKSLSAPGSPVAEPDFGTSASPKGGTAMLRGDSSEGGAGVGVRKKHG RAGSTSPGGRPTSASKPGSSHRHHHHHHHNHHNHGHGHHRGQSSKMKRSGSGSSDSSE SFSTFFPRGDRGISNMMASTSTAASASNNSNYNNHTYLSPFKWRFLRHLNLTDNSLTS VSVASLAPVANTLHSLDLSWNLFTEVPDSLASLVALRSLNLSHCMIDSLHSLSRSPLP AITSLNLRGNRLRSIAGVERLLSLERLDLRDNAITDPVELARLTAIPYIREIWISGNP FTKSHSGHRVTIFNLFRQTPGYPEDIFIDNTGPSYSERKQLVERVAEPEAAPVIRKFE QKADSKAEAVSVTVSTSGAGATTSTTTTTVDQELLSPEAHVVKPRVPSTSANAGVDVT SPRRKKHHRKRVVGVSANDTAAVHAAPVTTLPVQPETKFPDGSVVRSQPVPDPFIDNP KSKSKSKPRETMLQQQQREELPVSPTTVRPVTPVPAARTPTPATPATDDSPKAGTTTS ISTTTTTTEVETPKSRTPFPGLDNIDWDVSGDLYRQRLEALKHEVGTNWLTVLGDDNL GWTTTNTTKNINLHPQTPEFHHSPAAPIRPPPPMARTSSQIIVTGGRALG TRV_03827 MKPAALKRRQTNKTNKKKKKKKKKRS TRV_03828 MGCSISISVSIFISISISIYLSISIMQMEKDRDKGFSSLLFFYS SSSSSLITSSPTYILSLYISSLVSRQLRVTVSPLTACCLSQGLLVDRWQSQQSTLEQH QPSAISHQLSAISRESAPPPPPGYCYHHH TRV_03829 MSYSAMTSLAEAAFFDITVNLDSSKVLCLNYIIIIAGDANIFLI GIMSTSTNPQSDEHKLRHHATTTDGDANEPQNLPDYGTRFISSEHLEQFKKALDAPEA LSLTAVNDWRPINQRVRKPANGRKPRRRRKDETREGFVYTLLKWPFLIFVLTWIAVLS FCYLLTRFYILFYENLFSWKGKRRRLRKTLRSTEKYERWKDVAKELDAFLGNDIWKED DEYAYYNHVTVNAVVTQLQDLRSRIQIATDEGRNSEKEHAVEELRALLEACIKNNFAG VENPRLYSETYFGTKDLVQQFINEAEASLRILLENGGLQDHDKALFFKHLDTNFGRTA LCLSGGATFAYYHFGVVRALLDNGVLPDIISGTSGGALIAALVATRTDDELKQLLVPA LAHKIKACRDGFAVWVRRWWLTGARFDTMDWAEQCSWFCRGSTTFREAYERTGRILNV SCVPSDPHSPTILANYLTSPNCVIWSAVIASAAVPGILNPVVLMMKKPDGTLSPYSFG HKWKDGSLRTDVPLKALDIHFNATFPIVSQVNPHISLFSFSTRGSVGRPVSHRKGRGW RGGFLGSAIEQFIKLDLTKWLKVLRRLELLPRPLGQDWSEVWLQRFGGTITIWPRSVL SDFYYILSDPSPERLAHMLHEGQSSTFPAIQFIKNRMKLENVIREGYLKYTSPDRNPN AADSGIRRRRVPTRSQSERVIGAAINGEQTVDLLSDQNVNGTYTSDEQYTTDEPPELD PKQIPSSANRRDSGVIEELKRQSAVFFDDDDDDDNAATLSE TRV_03830 MEADPELLRWSSSKPSNNDTNDPGPSQLVTAEERDQALSEDSIS SLSSDDDSLEGEIYEEEDYEGDDDYVNQNYDDDAVLSRIARKSRRPGFSHGYDNDSVR RVPRRGPLKPVEPTAEFKNLQSEATSAFIDADYERALNLVKQAIHLNPEIFQAHVLLS EIFLAQGQKRKALYALFTGAHTRRKPEVWLEVANLILERADSDRAAALDDVVYCYSRV IDIDPKRYDIRFERAAINEELGYKGKAIQEYEKILEGLPHNTNALRPLAALYIELGEI GKARAHYERCISYYMNLNPEEVEDFTWSDVNIYVELFSYEHHYLAGISSLNSLARWLL GRKDDSGWDMVDDDREWDADDYPRRTATPWFVPGQYPLESYGIGLPLELRIKLGVYRL KSGYKDEALVSSLTTPSIFEFHFHWLEPDDNSPGAKLFDYGDLFREAADSMKDIQLYE DALRFYIPLQHVQDFANTSFFLAMAECYDAYGNTEETERCYLTVAEYDKTNIEARTKL AHFYEKMGMTDQALKFITEAADIGREEVLSRRKPRFGPNIGKLANHFRDIEQGASEST GQLAPTESTVPSAIGGITAAEESTTIGFIPRAVTSLPGEQRGRELASLKPDGENVRYL YAKMLELQPYMRQGQQDATGDWLDIAYALLWDFRSNRVFFPLQKKMTFLGYSREAQRK AGRLKSTNLLDELQEISNRIQASMGTPEIDASSIPNDYHGIDFDSWLDIFLEYALVLS GQGQGDEAYDTLGAAADASIWYHSKPSTLRIYICWFACALRLKDEDRLSDISRWFMKE YQFVTDAYRLFVTLSRVCGNPQRSLFHSAPSMKFMLRQVKAVDYSLPPDPLSPFKRSP ESIYQERASLTTKNSEGEYIPAEEMDVALLVLYGHILYAGNSFTNALNYFFRAYALDP ENPAILLSIGLSYISHSLKRQSDNRHYLVMEGLSFMQEYRRIRSQSSVLQERQEVEFN FARVWQLLGIGHLAVVGYQKCLEIGEEIETERQKAQNPNTVEGTTVGEQRGEAWLEDF SRQAAYALQCLYLQSGEKDLCKRVTEKWLVI TRV_03831 MKDITFKGWVSTSATSPLTYTTYEPKAFASTDVDIAISHCGICG SDIHTLRSGWGPTNYPCVVGHEIIGHIIRVGPEVAKLNSPSRDLRVGDRVGVGAQSGS CLRVDCEECSSGLDNYCPRMTGTYNGKHLDGSKSYGGYADYWRGPAWFVFKIPNDIPS AVAAPLLCGGATVFSPLFRGGAGPGRHVGIIGIGGLGHMGLLFAKAMKCDKVVAISRT SSKKSDALTGLGADVFIATDEEKNWARTYSRTLDLIICTVSSGNMPIEKYLRLLKRGG EFIQVGAPEDPFPGFRVGVMMGKGLKISASAIGSTEEIRAMLKLASEQNIHPWVQERP MGNVNQTLVDMHEGKARYRYVLVNGTGIETKL TRV_03832 MASAKNLKAGSTKAHDHDASEAASIDTQLSAPGDLGADENHRIF NVYNTTSFTNYRISESNDKTTLYYVRTSTFTPGKPEITFHVGERGGPVVGIVNFLKFS SHSKVGLGDPADNLNMTWEDLRKESFDHSKYRFEVTVNGVRKGFLWKRTRSIGVEGSV PNKFSESNLKLLDEMTGELVGVYSTNGIKSIKKMGKFQLNKNYGPEFDRMFLLTGMAL LERLQRRKHARGGGGGGGGGGG TRV_03833 MSTTPAGVPPSSTASFTPAGPPPSSGLGSSQSGTRRALDFSDSR FNQSTTSYTDNDLLSFSTTSSNFPRELDSRNSSYLSHRPTRSPLHSSHRSILNKSKGI RSPFDSSRRIPFSQDSEPVITDSRASEDNGIGWSPSKDGGPFTSSLFQPATKAQPSRL LRKPVIYSNPAGAKRAKLDETWIQSTSPENEQPQKRKKASKFPSIIKDLTARASLASV DEPSELLLSMEDAICRMYDQVRDRNSDDDIVDSSLSAVSDELSSLWQRYSPAPMEEKD GVPLSGGIGPGDSSPSIMKAIFLSSLLLQLHHPPLLEPSNQVSKSSRSMFRDLVMRDE PLPKVPIPKVLFQWLWRYHDLETIHCDMLQDIQPNPTASPMFWKFILKSVLRAEFGNV ITLLSEADFSYARTAMEDGYEQPGYHGSQLQNIQQCVNKALQLLKTCPAVQRDDWEIK GVEWAMFRKQVNAAILDLEDMAESGQSQISDDSDGPFVASHFGISFQRPDSMSFSHSA RMAESRIPWSIYLSIKAMYNILCGETENILKQSEDWVEATIALTAWWDGDDDSDISVG GKKKAPAKRRSQAPRAVDSNTEESYLRRLDYAYSSVTDTLGSAGFQINSMNPCEVGLA SVCEGNVESVLRLLQTWSLAVSAATAEIASFGGWLAASTGSEPMREFNESDLMVLSYG QPEKPLQKDDILINYADGVFSRDRLGDRSAREGWELSLEVLSRLDDQELMKTKVRELM DKVSLDTADKMDKAVVLCAEVGFSDEGRRVSERYGDQVAEVSENYGTALICYARAHCS RKIKNVIDLLTSFCLIQSRAYPAKDQLDEQLRSLLDDPSTALSAIASVDAEGAAMLQF YFSSYATLRSYYEIRDEAAGLNSGQVPKHRPLARKREAARALVAVIRSAADSIYGGLY DPDRQSAAQVDGLLVLLGEALALLDREYYQYLPILHGF TRV_03780 FELQSPLIFDESLDFSDPEESRFVGAYSDNLLAKPGNLLSMSAL DKSQKIEFLDSDETSSALRETSALLACPPGSYGTSMYNPMLDGSFISDDDSANYVQNS VYSGSPFDIRRSPSLESNCNPVPEVTSFSPSTGFEGTKLSIYVESFYDLLNPPTWSFS VLFGSCQCDCTISPLLVEESRFQYVLTVTAPTFVSTTCAGLSVPLQVVINDQEASTQH FARIGSFTYDQEARQMSPRKRRMSSASDGSTAASTHSASAKQIRINDGVKVERLSTSP YSPYLPTPSSMSGYSGQYQQVLPQGQYEHQIQMRATAPSPLASSWSPCISNATAVSCS PPISVTPIGQEMEVNPGNPTLVRTSTIQQALIGAPNPNAPFNPYAMYPSKAVLKLNGD LDAMALDWKPEECEAQRRLVQFTRRQEGSTIHADFKPVSPSERAPNSICISCIQWAGK KECYVTSVDTIYLLESLVGVRFTVEEKNRIRRNLEGFRPMTVSKAKPDSEDFFKVIMG FPNPKPRNIEKDVKVFPWKILTHALKKIIGKYSASYSSTAAALPAPLRSNYSGSPNMP SASHVDVRSGSPAGHQQSAGVTNTLPSHTAQLTPSSYLSVMGTNAGSHPSLTAAYNYS GLPSRHIQPSPVIVPSQASFDFSAYIGHNQMPAYQNS TRV_03781 MEQIKETFRRCKEEKRSALVAYVTAGYPEASETVDIILAMEDGG AVFDADIIELGVPFTDPIADGPTIQRANTQALKNGVTVTSVLEMVRTARRKGLKAPVM MMGYYNPLLQYGEERMLKDAKAAGVNGFIMVDLPPEEAVRFRDLCKKEGLSYVPLIAP ATSEARMKLLCGIADSFIYVVSRMGVTGATGTLSSGLPELLKRVHTYSGNVPAALGFG VSTREHFLEVQGIAEGVVIGSQMVTVLGDAAPGERAQKIREYCSSITGRTVQRGPVPE VADEPGLADQLEALNTTKNPAAIPAQFGEFGGQFVPESLMDCLAELEAGFNEAKNDPK FWEEYRSYYPYMSRPSSLHLAERLTEHAGGANIYLKREDLNHTGSHKINNALGQVLLA RRLGKKRIIAETGAGQHGVATATVCAKFGMECVIYMGAEDVRRQALNVFRIKLLGASV IPVEAGSRTLRDAVNEALRAWVVHLDTTHYVIGSAIGAHPFPTIVRTFQSVIGEETKA QMKELTGRLPDAVVACVGGGSNAVGMFYPFSNDPSVKLLGVEAGGDGVDTARHSATLT GGSKGVLHGVRTYVLQNEHGQISDTHSISAGLDYPGVGPELSNWKDSARAKFIAATDA QALIGFKTISQLEGIIPALESSHAVYGAIELAKTMKKGENIVLNLSGRGDKDVQSVAD ALPELGPKIGWDLRF TRV_03782 MSMTSSTFTTSRTSSPSTSSATSSSVPVPAHTGLSGAQIGGVAA GCAVFALLILLGATYFFRRRKASEPQGEKPDTSDSGISEMPDSAGVAPRGPKAAVVLG ELDSKEANELDSKEAKIDKSAAVNRARELDSTPISSPVELDSSPIEPKETKV TRV_03783 MKLGVSEDMLGRVFDGSGRAIDKGPKVLAEEYLDINGSPINPYS RVYPEEMISTGISAIDTMNSIARGQKIPIFSASGLPHNEIAAQICRQAGLVKKPTKDV HDGHEDNFSIVFAAMGVNMETSRFFTRDFEENGSMERVTLFLNLANDPTIERIITPRL ALTTAEYYAYQLEKHVLVILTDLSAYCDALREVSAAREEVPGRRGYPGYMYTDLSTIY ERAGRVEGRNGSITQIPILTMPNDDITHPIPDLTGYITEGQIFIDRQLDNKGIYPPIN VLPSLSRLMKSAIGEGRTRKDHGDVSNQLYAKYAIGRDAAAMKAVVGEEALSSEDKLS LEFLDKFERTFISQSAYESRTIEESLDLAWNLLRIYPKDLLNRIPKKVIDEFYQRSSK GRARPTKDTRDTAEPAARADNNLIDA TRV_03784 MGHLNHYLALGVIFYWALKSSAISVSSSFSSGTSRTTVSATISN GVSINYTTPVSGTCETAFGYQRQYTGYVSLPPSTTGSIQQNYSINTFFWLVESRLNPQ NAPFTVYLNGGPGSTSLVGVFQETGPCEVIEIAKDRLGTRAREWGWDRSSNMLYIDQP VQSGFSFDQLREGSLNLLDSKYTFPPSEPPANQSKTTWLNGTTSSNNVQVTTNTTSTA AKALWHVLQAILAEFPQYASVSRRAANIHLFSESYGGKYAPNFVSYFEYQNAKLKEGR LSPTDTLEINVRSIGILQGCIDDLVQNPYFPIFAYNNSYGISAITQEEKDGFLTNFSK PGGCRDKVIGCREEIKASDPENTGTVEAVNQKCLEALSTCLSETPNLFSKLGRSSHDI SQSSLDPFPPHTYLEYLNKEHVQKSIGAQMNITDYSSTVSTAFLGTADYDRGDYISEL AKLLNKGIRIALIYGDRDYICNWMGGQAAAFSIAASSSSYLRGFNAAGYAPLIINDTY VGGMTRQFGNLSFTRIYDAGHLISAYQPETLFTLFSRIVQGSVDLSTGQKVDISKFNT KGDANTTATNSAPPMAKPTCYLRKVKDTCSQEQAGKLARGDGVIVNGIWYESESDWKM PSPASKDGKVGAAWTRSVVQAYVATSTPSMIGQRSVKRSAGTKQFGSLGLFGLITVVF AGLTTTSLLC TRV_03785 MFSPTIIFSSKEYIGIANIEHPEVSGVSRNNAPHFIYRAPPNFD DRWDDPEDDLEDASLDPEDEVVFNMHIRVPMRCRSGFIIHASCYSLLEQFFSPGEVPI ARLMDLFWSCPILGCGMSTLDWGHEYGGACRVKEHYPWEQRVVKVEGSRIFYKPDISE PFFLRDPWEALKDDKRVKALVRRARLNDKRKKRRMVERSTAPISGYAEPNCLTSLTLE LLELIIVQLPTVDALALSQASKGLARIIPSNLGQSFWRSRFQPDLELGYAFEANKTNC LLDWKWLYFKFRDLTRFEPGLKNRKRIWKLIRSPISELAALGWSGDTSLRPLNIEKNQ LRWTEGRGVIHPMESECPDYPDMKSRDFQDGCKEFYTQLTAIPDDIHQIIATTVVAGE APFVSGLRFITKGGPDICLGYTRGGERQYLDIVGGSAKSTGLKGFALSVGQKGIHGLR AISQDGRCSESAGLTHKLLEPQYLVVPKRIISLEAGFDGFKMVRLAIAEYQPNSSQGN QPPRKKRKTELVST TRV_03786 MVPKMSLESALDEERREILEILQGRTQQQQNSPQSPQSQHNQSP RPHHHATVQAPPIRSMLDVAPAPSGRPGSVKSSSASVRSMLDPISPSPLRETHSAASS PTSSAHTPSIHEPEGLRRASESSYGGLPSIGKKTGVEAYQFGMLPSVPSQLPKRVTQG GKKSVTGNGNSHNSNNKHHPSSMAAVMSGADFGPLPGFPRGRQIGRHARGFPHSSTSP MRSRSPAPRSLNSLNPQAANSKSNVNTFVTDSGRVINLDHAYRKLSNAALQRSSGSLA HLPHIEKKELEDLAEHSDSDTRLAKDYYDTPDGGFSEDHTSEDEEDISSDDELWRLGV GRGRGRTRKKRDGQGTDRDLDDPEAAKKVRSLLAAAEEERKTVSSTYKVRSLLEPDNR AVAAAAEKPMKHKTSVHPNTNFDITVSQGNTPVGSDDEGDGGGRDGSPMEDEAEISEI KKAQNLNVYLSPTDQSVPNRVIRTIVRGDFAKMQEEAEQGLRRTRSYLVATDLSEESV YALEWTIGTILRDGDTMYAIYAIDEENSSSSAKPSETDLPSVTPISDGYKAVLDLVTT MHSQAGGSAKAPTRPSSSFPSPHVSTTHLPGSASDREKEKDSHKEKGLESATGSVDSR ALSKAEADRAHAIDGLTQTCVRLMRKTKLQVRVAVEVIHCKSPKHLITEAIDALEPTL VILGSRGRSALKGVLLGSFSNYLVTKSSAPVMVARKKLKKHTKFKNNPLRFSNNLAGL GSNMKLANAKID TRV_03787 MSSDGVDCEEPPCINPYEVLGVAEQAGADEIRSAYRKKALRHHP DKVSDKDKDDAHRKFQEIAFAYAILSDERRRRRYDTTGNTSESLDLEDDDFSWTDFYR EQFSVMIDGTLLDKFKQEYKGSDEERADLLRVYEECKGQMDGIYERVMASDVLEDDDR FRALIRDAIEAGEVADYPAFTEEPAETKRARRRAARKEAGEAIEMARELGVEEKLFGS SSSSGRGNKSSSSKKTKGGKGGGDGGEDALMALIQQRQKSRGESFLANLEAKYAPKKH KHKKRAAEDDEPPEEAFHKPRTRTLDRDRGHHDGQNQHQPRENNAKNKSNGRKRAKP TRV_03788 MPVSPAPATAHAEKGIKGKTSDFSLPLLFSFLSSLTYQPEKAAK MITTPTFAEMEDTARAVILCLKKCPDLAHTKVAIIGGAAICRYVAERQPTDDPELTEE QDVDFMITIPNAEVAHRRLLQAFDTMFTEYEGCLYYSHPGGKQIKVDFSTNCRLPYMP MAATIVRDVDINCLPYIGPTDLLVLSIRLCGQRNSEYSHIDRDSADAVALAETIVKEG PVVLSPIQRQVIREELAEVVHWGPKDETWWRGVLAAALSSKDK TRV_03789 MLLFNYFGEVSTSSLIARFPMIPQDGIDFDAFQRAVCLTSLNKT KHCARLVIPVSVGAMSLNIVRMRFSTDIQKHWIPRDYYTPHRKETRSDTFYFKWDIVE LVPNPCCTPTPVDEIEAAAPEILENSSALRSRETKREDVSVLINLLLRVRLGKEKWLN FPLGEIVKSDPEEGELTLRLVNGLTEGEKLPLDQYGKVEDVLINLLLVGYTE TRV_03790 MTIEMASLEDRFQVLKEVGDGSFGSVALARVRTAGSNVARRGTM VAIKTMKKTYNSFTECLDLREVVFLRSLPQHPHLVPAYDIFLDPGTKKLHICMEYMDG NLYQLMKAREHKPLEPKAVKSILFQILSGLDHIHAHQFFHRDIKPENILVSSNTSLES SSSTFSRYSHLVTPPSTPSNYTVKIADFGLARETHSKRPYTNYVSTRWYRAPEVLLRA GAYSAPVDMWAVGAMAVEIATLKPLFPGKNEIDQVWRVCEIMGSPGNWYSKNGARVGG GEWRDGIKLAQRLGFSFPKMAPHALETILPTTHWPLALSQFVTWCLMWDPKARPTSTQ ALNHEYFTDAVDPLRPKSSTARLLGRRQSDKNFKVKDVDAPTPTLVSKPSWFRRSIIG ATGRESPALANMAENTSEPSSTNEQQATMVKVSPEVAVQVQVKTKSKRATWANGAPMP ILPSIRPVSPLSNAVTAQGNSHANNTNANSPDRKAANQNGTQQANPAASKKVARQLSV NSNGNHYSDAHRAEAERALNGSTATTPTQKESFFSHLRKRARRLSGRNQTTIPADDVE ANAASAAWSGPTSNRSSMAIDPITTSPIAKQSSMAKGKNGFADLDKALQDVKYSLDKA SSPQATSVAAISQIPSPAQSVSNTAQNPAAGPISSRTRRALQLSSRPVQRYETPEEED ELLQEVLYSTSNAARHLLADQPEPPSDEHVYHQQQRQHHLQQQQQRQQQQQQQQQASN TYPFIDTDLDTQMHHTPSIRTVDDSCRAGHNPYPTPSPTARRDGLGMNMGMNMGMGIG LALTPDETPSKPMAKGFVTTNLGNRQGPTPPYDDNQWPSAVGVGGF TRV_03771 QQQFMRQRQMFLQHQQQRVQHAQQQQQQAQQAQQQQQAQQQQQQ QQTGQQQPQPQPQPQHAGIPASMPNGTQAVTSAHMAVAQAGNPVMHPGNLPPHIQQQL QAQNHHNQAPNLHQQQQHLFAMQMAHQNSAAAQAQAQAQAQAQAQAQQQNAQQRTTQA QAMHDSQGGTPHPQPQHQQPPGTSAPSQQPGIPPTSQAGPQQQQQQPQQGPTPQPTPG QHVGPPQQLAGPEGQMRMAQQQAAMQQMMMPQRMPNPMKGSSIFRLFSFAENLSGYSN RNPAPGLPYWQNFVEQFYAPNGVLRLVVFNAQRGTKQFEISTPALARYYWTQFTSGIK QIQMIVENVAEKDLPTGGQIVESQKTSFIYWFENGCQLVSTGTLVAQYGPTGKIELLD IGTGGHTEYIPRHQLQPPPSPEQKQSPRMSKPMTKRQKLSATPSVAIPDSMVTDDGVP VAVMSFLEVAEIISQMQYLFQYSLQNPHLSPPDALHQLVSTFSQQNPTLGINSMPPGQ RTPGVNGTNNQFASPAVGHLGIPGVQGSPHIGGPTHTPSPAQNPVAGPVAMAAQQSQQ GTNTSGSQGTSANTSPNVNNKRRRASTVKTEGDDNASSMDVNGATQGNANKVKASPRV GGKRQKGTS TRV_03772 MALRDEYNIAAVTNDIFTREDAEFLTRNKALSPSRIRAIETGGC PHAAVREDISANLLALQQLQRKFKTDLLLIESGGDNLAANYSRELADFIIYVIDVAGG DKVPRKGGPGITGSDLLVVNKIDLAEAVGADLDVMERDAAKMREGGPTVFAVVKQGKG VEHIVDLILSAWKGSGAYDVSLERWKNGAPKNSGSVDE TRV_03773 MAMEVAKAKKDAAKRSKADIAADREARKQFAEAQETYGRGKQVA VKSVRDKKLRSNLKSLETKYKNAILQAKDSELLLENEAGFIEAEGELERTYKVRQDEI KENVGIEVAKNGFELKLEDLGPYKADYTRNGRKLLLAGRKGHVATMDWREGKLGCELQ LGETVRDARWLHNDQFFAVAQKKYVYIYDHSGVEIHCLNKHVEPTHLEFLPYHFLLAS VGMSGFLKYTDTSTGQLVAEIPTRKGSPTSLCQNPHNAILHVGHQNGTVSLWSPNSQT ALVKALVHRGPVRSVAVDKQGRYMVSTGQDQKMAVWDIRMFKEVHSYYVHQPGSTVAI SDRGLTGVGWGTQVSVWKGLFQAAREDQEKVKSPYMAWGGEGQRVEGIRWCPYEDVLG IAHDKGFSSMIVPGAGEPNFDAMEVNPYETTKQRQEAEVKSLLTKLQPEMISLNPDFV GNLDLTSDKARREARDLDKKKEDIAEKLKNRGRGRNSALRKYLRKRGGKNIIDENRLK VEAMRKEQNERLKEKRRRGREALGPALERFVKK TRV_03774 MAPMAVPTEQHHHRNTTKKANKVFKSKHMTKGALKDLSKGKIGI ERGSRKTPHQQLMSKMQRRNQAEQKKRLKSKAKSEAVSIFSGQHGAPRHVAVIPVSEG VDVDAAVLRLNQSVDVPDNESYSGICRVRIDRFKQSITYIPATRDLISALDVCRLADF VVFVVSSDAEALDETAQVLLKAVEGQGISNVMALVQRLETIPTPKKRTQVVTSLKSSL TRYFPSLDKVHSLDSRQDCSNVIRGLCTATPKGIHWRDDRSWMLIENVEWPASSADET GDVVITGVVRGKGLKADRLVHIPTWGDFQVSSITKVPPPSRKKEDAMNIDDTVNEEVL DEPTEDAEDLTTVAPEEVFMTDDTPSMLGQERKGVLLDDHHYFSDEDEPTAYEVPKRI PRGTSKYQSAWYLDDFSDSGSDLMDGEHDGDEEMMDVEEGRPEDGVFPDNRDAMTEGG GTEYPQSEMFLDPSPEEEAKQIEEYRASKKSEAQEDLEFPDEIELHPNTLARERLARY RGLRSLKTSKWETEEDRAHEPEDWRRLLQVPDYKGARNQCIREALVGGAKPGTKVHVH LRAVPMGLQQRNPSPLSLFSLLRHEHKHAVVNINMTLNSTVEAPIKSKEELIFQCGPR RLLVKPIFSSAGTTPNNVHKFDRFIHPGRNAVASFIGPVSWGSIPVLVFKRTSVADPE VLDGSDEANTKPGALELVGTGTSMAPDHSRVVAKRVILTGHPYKIHKKLVTVRYMFFN AEDIAWFKALQLWTRRGRTGYIKESLGTHGYFKATFDAKINPQDSIGISLYKRIFPRK AVEWDALG TRV_03775 MTVLPALRSRGFVASRPPRVDLDETPPLLPRKSQEHGALTLSNK EVPHWLGESNYILTGYRVPCNSTARCFYSWFYLHNETVNIYTHLIPAIGFAAAEVFVF RHFETLYPEAPVADKAVFSLFLLTAIMCMSCSTLFHTFMSHSEKVAKACLRADYMGIA GLIFGDIISGTYVVFYSDTVLWAIYWTSLLNMHDIIPPEIRR TRV_03776 MASYSTGAGGQHAHQQPGDGSQARSQDIREALSDSQCCDLIDLI LNTADCMEDLLCPRAEPEMKGSLSRGNALDSGKEDVDLLGNETDQPQRPKRPVRSATT VAAIKAEAEASRHFGSWRDAVLARVRQALQDPNGRAPLKPRQAQQSLIDLDEGGLGQQ DCALQELPSETKDLIVNSLLLILLSLEHYNAHSRVLLVRVALQLGLQVADVSEHEMKV AHVLLDSVKEMNHAEEELKRRADQSKISRRWKVGLASVAGAALIGVTGGLAAPLVAAG VGTILGGLGLGATVAAGYLGAVAGSSIIIGGLFGAYGAKMSGRMMDRYAREVEDFAFL PLGSTPKPASEPTEARPPSSDNRLRVTIGISGWLTEGKDVVEPWRVLGPDSDVFALRW ELQALLRLGSSLTTFVRNTAWTVAGRTVLSKTALAPIVGAVMLPVTISKISYILDNPF NVAKVRADKAGQILAEALINKAQGERSVTLIGYSLGARVIFSCLIALAKRRAFGLVES AILIGSPTPSTTSHWRLMRSVVSGRLVNVYSENDGILQFLYRANSMQLDIAGLEAISD IQGVENYDVTGIVSGHLQYQFSIGKILQRIGFDHLDEREIQRQEHKLEINEEEMKNLE EERIATARAAQTSGTGTKKAAIKVQERQPRTGPSVVVSSGTLSGAATATATTGIEATA GTAVDGAEGDHTDDTDDEAPTGIMMVDNDDIELDDSSDTNECPYPDIEPEQLERDIEE MTRQRLLNARMDGIQLEDNH TRV_03777 MVAGPALRRALIRANWLVRSPAAGPAYYSTAAPTDVSALLSKPT WSVKSLLPASQQEDDAGISPKQLRHLLRLAALPQPASQAEEAEMLKTLASQIHFVKEI QQVNTTGVTPLRSIRDETADAQKENTIDLALLKSALEREEFVGRTRRIRRKPAEKLEK PDGEAWDGDALKAASKTMGRYFVVQTGSG TRV_03778 MSEQDPRVLLQKADKALQSASGGFSFFGNKTEKFENAADLYTQA ANCFRVQKMNKEAGAAFEKAAAIFTLNLNEPGDAANTLTEAFKVYRKSDPEDAARVLQ TAIQHYISTGNFRRAASHQQNLAEVYEVEIGDETRALAAYEKAAEWFEGDNAEALANK HFLKVADLAALKGDYAKAVANFEKVAKSSINNNLMKWSVKEYFMKATMCHLASKDLVA TNRALQSYCELDNSFQGTREFALLTDLTQAVEQGDTDAFGDRLYEYDQLSKLDKWKTA IFLRIKNNIEETGEDFS TRV_03779 ELDSVDTSNQTAEATRPSQIVCRAIESGHDYDLIKELLTNYSQS GGGDTISPEEKLDILLSVIACSRTDLVDLVIENGADPNGRVHNSEIPLIASAILNRNS TSVSIVKLLLAAGADPNSIPKSLWEHGVFTYQSPEPDDDDELMDSGLKALKDTLEESV NVSIRHCLFQATRTLPPLPASVEMYLLPFIKRITRAEHLLIGQRTAIKWVRMFIIMKF MTRTNSPLVMAFVGPAGHGKSSLAKELGTFIPISSLQRVDPDPGEGEDEELSNDTSPT NAPGELEVIFVDKGDKAEYQRLLSFLEGEDAFDPWLIMSIGYYLSEGEKVIIDRSNTL CIIAASSGEDKVMEYYDLNSRELEVELADTANWESRLHRELELFVANDLEKEIGTSLA GHVSCYIPFTPFSKTEAAVLAHSYLLKATDSLAEFHDSLHHAKLGESDNVTFILDAQV EACDNLATTVYVIKLGARSIEQGVFKAVQSQMVQHYLKSDLLKENRGQGENAGNAGDR CVTITVGEDFAIRLE TRV_03758 MDCPSGTCALPGSTREEKRKDYETDPAKAQETWENLRNAIGGVR RGYFSDGFTAVEIESQLHDIWDELIHAVKITPATSAELDRLVTLVSETREFGIFTQNQ ADRDAAPEREGVTLPNGQRLWIDLPYLAQEVKDSWTKESMEFTPTERESVAVWTAKLC ATGICPKDLSRCALWLFKQALETDRPVAEDDGQDDKARPTIHDLLPACLAWLEHSNSK LVKLSQENYTATYASGGGINSEEDNDNSMTVPGDLAVQAGITQPGFSIERWLFWRQRF KDLYRSGVPPVVKLARSCFEAAIFSGMDTGLEIPGEKVYLSRLFEALDKEIETREFKG CVGAEDIDIDMDWDRE TRV_03759 MKLNLVLLAAFLAAPIFANTIPEVSEAVDLADRDMSAVEEGNQL TARKVRFLFPKQGVLCSLDTMLTWTTADMPWKLQ TRV_03760 MQLFQTLLAGAALIAAVAAEGPAFTTFPKDSRVGEPITVTWAGG DPSARKKTDINMIAAHATGGSCTFTLPSSLPSGPDYALQIKQGDKVNYTGLFSITGGH GTPSSAPASTTTAAPSSSSSGEPSKPVTKPAVTSTPHASMTMSNSANHTTMTTMSSQT LTSQSESATLTPSATPTGNAASLTALSSPLALIMAGLVAFAYLN TRV_03761 MGQVPSAPRAPLVLTSNKGAVSGGTEEKTEETEETEAGEKKKKG KKKKEKKPNCYRPGGQQHLGLKGQGSLVHLASSIRQKQTSTASAGGSKKDREARRESF FFSLLSTSCSSFSFSSSLSSPPHLGF TRV_03762 MKSDPVLYDANKPEHGEEGGKKLRVVAASADTATRGIIFIFHGG LLFTLSLSLHQHNSRYHIKQYIRFYLLKTLLYPSGHSFLTPHSLRAHGHLYHSPFKMS TDSGYCSLQSSPYYKELQNGYFTAVPDVSSASSFSASDSPVSLQSFQTGTPPGSISDI LETRKEAKEILLIYRTTLAELELRRMSGSRKGLQRWVEYWTNTYKPDFARPLCQKIEL ACPEINRIFREAAQDVYQIINEIDACITSASHQDEVRDLMASMRCRIHRIVSERRVRA NQLMEWLRCDIESTPVDIQDKMFDRLKKQVYGLDPSGHYHPNPEDEEREERQERQERE DQVEENQEETIEERLRQQMGLLNMATLPDSLRQVIAVDNARSQWAHYATQGETFAMNN AEGVA TRV_03763 MASAVNGELKGSKKRKKSDSESARRSMKRRAVEGENGKDKLEIE HIQELEDQIAESRKYYNNIVTLLSKLDDASTDKAGKKALAVSLCRVFCRLLAGGQLNP PKSAVENETKLVAWLKERYQEYKTALVGILRDGEPSQQITALSLSMQLIKEQVAHYTG SDINVWSSGYFNDILAAVVQPGNDKLRAHFMDSFFQKYHDITVYTVLRLAYVFFTYQL TKHQLYALTPTKNRTYLSEQRDAETLDSTVDLLSNIGEPTDLQQRFETTYTDTSKISP KNKGPFTSENSFKIRVQTAWLAVLRNPMTKSQRKHLLRIMSHVVVPWFAKPELLMDFL TDCYNEGGSTSLLSLSGLFYLIQEKNLDYPQFYTKLYSLLDRDVLHSKHRSRFFRLMD TFLASSHLPATLVASFIKRLSRLALNAPPAAIVAIVPWIYNMLKSHPTCTFMIHRDLK KHDPSLYEEIEEEGMDDPFDACEPNPTLTNAIESSLWEIETLQSHYHPNTAALARIIS EQFTKQHYNVEDFLDLSYQALLGTELGKEEKAFKKAPVVEFQIPKRIFTDRGLEGREE DTEPGHMLRELWDF TRV_03764 MASRLAKSAIALTSVTPARYASNVPSEDPKNKAQSIVDALPGNS LVSKTAILSGAAGLSIAAISNELYILNEESVVAFCLLSVFYAAFKLGGPGYKEWAAAQ IQKQKDILNSARADHTNAVKQRIENVKPLSGVVDVTKQLFEVSKESARLEAQAFELEQ RTALAAEAKKVLESWVSYESQVKQREQRELAESVIAKIQKELQNPKMLQQVLQQSVAD VERIVASKAQ TRV_03765 MLLSSKIPSGYHQDTIGMSSANTVCSCAAVSLATGGSLSSQPAL LPQRIASRPSYPGNHSACLLLLSSSSCELLLNNLFAKMRSSKKSSPSDRARREVESEA FRARLQSVQALEGAYPEFEEIVHHNLEHKYDVVVELRPQAPACGKSVDLVKRKKDGYV CVRKCFYVWGKAKPWRWLREVKVVRVMDHPNVEKYLEASISPHKGELFLEYCDLGNLA YFKQWMASAGKPIPEAFLWHVFRQLIRALAYMHTGLRNDREIVNDNVPDKRNWNPILH RDIKLENIFIKSLDIAGEYPLIRLGDFGLSVSKKDLKLPFAPYGSEGWMAPEFPNCSP RSDVFSATTVIQCLSMVNWTGANPYGGVDDVYSDDLQECVLVGMTPAKADRPRIRELA RLVYPVPVLPFEGLPDEAFVRRLPPREERAITPSQIFRPYH TRV_03766 MVIMKKKRKKTKEEEEEGEGEFIDRDSPPA TRV_03767 MPLLRLHKKSDKDAADMNHPPTQTSEAPEFRIIRSDTYTEETID APPFQTVESPGTSPRKSFGLFRRSIDSGRSSQDSHSPRESRFSRLHIGRRSRSGSSSS VNIPDNLPEVGDTGDEQEREAQWEKRATILVQGGSHSPASLGVQKGNRSRSSSVGKVN DPEGDETIQEAIRLHEAGGKQPHPVTARMSIALLTQPPSDLEKSTHIFGKLADPQGQN NALSQVLFGLALRHGWGCQPNTEMAVQYLSAAASNSASIEEEALRAGMVKGGAAKGEL VLAIYELANCYRNGWGVAKDPAAARQYYETAANLGDTDAMNEAGWCYLEGFGGKKDKA GRTEWEQDAGEFLDLEG TRV_03768 MSEKKDHASSSQMQKRGGSNSFSRLENSSPFSRIDSTAEAVGEA MQVVAEDPLSSPGHGLVEEPEDVFEKKAPEGSQGEGESAEPAAGQPPAELPEQFAELP IELVSLTDSTPPSIDTLSGLFQDFYVRAGSHISTHVSTLASRLHRNSSASSLQSQASR SSRRPTSSHGTQKDTPEQQMLTAEEVAEKRKARKLLQFKQHALEEAVERRACETIYDK IWRHRSTIDDVRDEKLRSKTAALLVMGIELKDLGVDISKQGAEETVDPKECVAAARQC LIRMNDERYPLGKLQQLVAAHKAIVDALTNILPSSSSADEILPTLIYTLILSPPEGVN IISNLNFIQRFRSSSKIDGETAYCLTNLEAAIDFLENVDLTNLRMEEGRAPIDQPDLN LLHPAPMDSNSDEALEKSPSTVSNTTSTAPSARPTLPTNPSAQQRLSDLFQPPAKALG AANDIVRSTADQGLKSISSTLDNSFAFLFGRLKEVQISQGDNARPGTPIVPKTLDDAR KLVQVKAGTVPSDETVSEDGSNIGDRTPTPTPSISSRQPLDDRLAELVGGRRRPGTTK RDSSTNRSDTTASDDTAASSISSSTGSTNQGFGSVRGFGTSLNPLSHFPSMIRGLARA PDPAAATSSTRAKSPGPSSVARGAPGIQKFLDMGNASELKVGDIPELLEDYKRLAAMV RAQQNN TRV_03769 MLPTNILLSLLAVSSSYVSASPQDRYGADQIALVPSEEAGEEPQ SLRTTHQFSLRHVFHHGTYENPTLHKRLDVEPDADLWMESEDGQKVELPKTFEASGQP VKIQRLTDRRPEVVEGRLQAARNPGLASFIPPPEWTIDDVSGPDITDRQTILSLAKMT ANAYIQKPGTSQWLSLEHTPWKHSNRFGWENDGLRGHIYADKGNMTIIIALKGTSAAL FDGEETTTNDKINDNLFFSCCCGQGGQYFWRQVCDCYTTTYTCNITCVASSLRSENRY YRAALDLFANVTELYPTSNVWITGHSLGGAVSSMLGRTYGLPAVTFEAVPEALPISRL GIPVPPGGDPHTPDADYSGAFHFGHTADPVYMGSCNGATSICTLGGYAMESVCFTGKR CVYDTVQDLGWRVAIGTHRIVNVINDVLKKYKTVPSCQPDKACVDCPNWKFFRSNGSE HTTTKTTSTTPTATRTETCKTPGWWGCLDDTTSQTNHPSTSTSSTSASSTSTCKTPGW FWCKDKSTTETSTTTTPGTTSNPPTTTPKSTSATTTTCETPGWFGCNDPTTTSTTTTS TSPSPSSSSSSSSTTTTCKTPGIIWGCNDVTSTTTSSSAAAGSTSTCKTPGVLWGCND ITTPASTSAAARTTSSCKTPGILWGCNDVITTPASTSATSSTTPTCKTPGILWGCNDI STSPTAGTSTPTLTPHIRPTATG TRV_03770 MSTKFKPSPSPSSSSPFAAHEPRAALFLNRFTRSAAVIYATPSI VNVLGIPPSSLISTSFYYCIEECCLPQAVQCLESAKANDSIAYLRFWFRDPTDHYNTY SHPPPERRRRYTNAETNTNTYDYNTYPSQSGCGQGPGSEIQSLSTPYGSSTPASSQFS NQRPSTSCSASPFSPHPAPIELEAIVSCSSDGLVVIIRRARPPIPGSVKHPGHPIYGR DVCFSTPVWSTSDEKPIYATIGPSADGTETYDEYGDKRLAPGGPEPEALMDIIRNAMH T TRV_03729 MSGHIGRRLFSTLRTSFTSGFQSSFLQPGRQGALGPFTENCHGS ASSFNLFRSRTIRRFSSSRPNNVRKVSRQFASGGLLVVGTTSTRSAATVETGAAACAA ASTEKSVYHGCRRFGNNLNGLGLQSAASWGWRRSLHTSKEGGEKEHTPETRRQQPSEA SNVNAEASKPVPGPETPAGPPKTDGGHGRYHLMDRLPHMHRPTKEELLAAATGFRSRL KVRFKWFSIRSVRPFNVDEISTFFSWVLLGHVLWIILGTTTFFSLLIFTINTVFAQET LARWIGNYLTRSSGVKVVFESAIVPKWGDGVITFKNVFVSRRPGQGKGNVSKGSSKTV AAAAFQEMDHPRQQNDEETEDSNYTQFDVSIDTVNVTLSFTKWFNSHGLLKDVHVKGI RGIVDRTHVKWSEADAQIDPKSYRVEHNPGDFELDSFKMEDVLVTIYQPNNFRPFTLS IFSCDLPRLRRQWLMYDFLSANMMSGSYDNSLFTIHPRQTHRYTGAQLQGGLEEDGGR SPWKKHNRIRIDGLNIDHLNTGVQGPFSWIHEGTVDIVADLMLPAESDESLGKVMSDF YDRMEATVTADRYHPNDSALAKNEDNKRFVVTDLRIHLNNVRAVVPIFNRDLSYVNNA LIRPIVAYINSRRTFIPIQCRLVKRASDFDGSWTIFDSGLMDDLSAEVCTYLLFLSTQ PKLTGLLQTYDAFARDVVDEQARTRRFKKVGLWSLQLAAQAIFMGMAGNII TRV_03730 MPAPTARLDKPEENEVSALQPAQNEEDIMIDTSGAQPEEPSAPQ TQGQEDSEMAVDEEGRLRFTPIKASGNAYRVETRKVPIPPHRLTPLKAEWPKIYPPLV EHLKLQVRMNMKNRAVELRTSKHTTDTGALQKGEDFVKAFTLGFDTDDAIALLRLDDL YIETFEIKDVKTLNGEHLGRAIGRIAGKDGKTKFAIENASRTRVVLADQKIHILGGFR NIHVAREAIVSLILGSPPGKVYGNLRTVASRMKERF TRV_03731 MNSLLTHPDPHSQPVGYSFSEQSSGAKQHSFYPYTDNGGSTLGI AGDNFAILAGDTRSVSGYNINTRYAPKVFKIGADEHTGEGGHIILCVVGFSADGRALK ERLDAIVKMYEYHHNKPMSVRACAQRLSTILYSKRFFPYYVHAILAGVDEEGKGALYS YDPVGSYEREFCRAAGSAASLMMPFLDNQVNFKNQYVPGSGQGNASVARKPEPLSREG AQQLVHDAFTSSVERHIEVGDGLQMMIVTKDGIEEVYRPLKRD TRV_03732 MLGLGSYESSSEDESTKDTTVGVKSALAVDQKKQGDGLLEAKTL SKEPVEDTQNEATGPSIPSTEPVDDSTKPIVGPLPPADYTPQLSEETTQSDKPSSFIS IGALRRDMTLPPFPNLDIPPSPPGSPNPEMNKKFEHFLSLKKQGAHFNEKLASSSSLK NPNLLQSLMKHAGLDERAQYGTSLPAEIWDVTSLPSWAYKDELSKSQQSIRRKLEEKK TDRDAIEFVSGSGNGTPASGRLKSSAAERVMAGLSREPSPLKLDQSKRNDQDKRQRRV DLEYRRHLPPIFLTVESPIGVADTLANWRGDMFALFVF TRV_03733 MQYIDRVLNSLDIQENYSTYGEHSLNAKNLHTYLSKLLYQRRSK FDPLWNHILVAGLDDEGKPFLSSADLLGTTYSAPALATGFGAHLAVPILRRLFPEENG IDNITKEQAVDAMKQCMRVLFYRDARSSDRYSIAVVTKEGVELKENEALENQSWAFAD RIRGYGTQVN TRV_03734 MPAFSDNIELILSHQRLVRFLAKDGLVYHGDAILPSGVSDLAKT TKARVIKGEIFGNHQVTDQVQEVKKLLCPLARKDIKTIRCLGLNYAEHAKESNMPLPK YPILFFKPVTAASGPTDDIPVHPMAQECEGLDYECELLIIIGKEAHNVPKSKALEYVL GYSVGNDVSHREWQIKRGGSQWGIGKGFDGWAPFGPGIVTSKIIPDPNALQISTKVNG KAVQNSNTKDMIFGVAETVAFLSQGTTLLPGDIIFTGTPQGVGMGRKPQVWLKDGDRV EVSLENVGSCSNKVVHVNGSSKL TRV_03735 MLFKNNSLQSTLRNIQTPKTTLYKVNKIPSSRNIINTTLSNYHN NKMAFFPRLSGGEFTPLFRLLDDYDAHRACASETNIARSFAPKFDVRECKDGYHLDGE TPGVSQKDIEIEFTDPQTLVVRGKTKREYTSTNMNNNAIEEAPTPAAIEDEPESSRRN SHQATVEDEEPATTSRPASPARDNKVTKASNNSVKKADAPSFKYWMTERSVGEFNRVF KFPSRVDQDAVSASLKDGILSIKVPKAAPPTLKKINIA TRV_03736 MSVKNSASPASSGASPAVEEEPRSPISTKMDGFKRRFSRSKSNF QRRLSLTSNGDNNAASAAVSHPRAPDPASPALVGLGLIGGGSTAAAAVGGHHGNTDAP MPSPQNPPSGQPRLVSARRRSFNISTRFPLFSKSRQHTSHQDDSVFHYKSNPEPLRRS WTDVGAATDTAATTTTSAAYPRPRSASPARAISPSEQGYTHLGTFKFGSLHVVNGCAS PAPSSKKQRSYPDLNAIEQLDSKPETETEQVQEPLPEMETVMVRAEEPVTMPAIATPP PPIPKRSSSRLNIRRSEGAVEAQHLPEFATQSSPRSKPKLTPLVTSRRPSVSWFDDCP TSPAGFGSPDSAHLRPLTPPSVQPTPMLVTTTKQTEHDDNLFEDEGMEIPVFWDDTVQ SHESFHDPHFHSSLSKERQETTKLESSKRSGALNKTDSGYSSASGKSASRHRYTSSRQ RNSRDRNGRLFDGDSNQALIHCDGGINDPVEGTISITSHGDKEIINAVFPWPPAHLRY PVEPYTSQEIRPKQQPQEPTYENKADSMSEYNLDPTYPVRAKFEPSRPPPIPPRFRQS MQVSRPRPADSQHTQCKIPSPVGLAIEISPKCISPREPSPKDRTHRSSNNERSSRSHA RSHAKGSSHKSYSSKEHTTKSHASASNMTKSNPPKSSTTKRPNRRYRDSTPARMTGGK PPTAPHVHARSASDATSSRPSKGINKDKPLPALPPPGHPRFPEAQMLTPPLSRSTSMG DLKLLTDTSPADLINYIDNHTPVKIDNNLWGSLSTDPELDLELLPLNLDLNKSALDNY QPRKSLQESINQSTATTRQPKKLHRSASHFSFSSSINQKPSGRYSTFPPSPVRDVFFD RKSRKAKYQSTPPTSYRFSQLKSTVTERRSSHLPGAPSTTCAAQMALAHQKPGALNHI ESYL TRV_03737 MLKLLQTMALIDDCGGVLTVYFPSSSNSDVAAAASYLLVSVDKR AARPSLVSIDGARKKRGSIEHPERESSPNNNSSPKLPELPKLLFVPSRSDPPGPGQGG RKPN TRV_03738 MSQSSNVITTLADNADISISDEGTIWYWVVTGIFSLSAVAFLVG SFVVRNPNVRLQYYLSALSSYILSMAYFAMGANLGWVAVEVEFRRVGQFVVIDNGTEN PTRQVFWIRYAGWLLATPLLMTQLLLLIKAPLKLILHEVFMVSIVMMTGLGAALIPNA YKWAYFVYGFFACAALGWTMLKSGYNHVRATTPSLKRVYLMFCIAVSIIFLLYGLAWG MNEGGNFMSPTGEAVFYGILDIWGGPLYCVFLLWAAHRYYRTEMLQLPI TRV_03739 MQLHWFITLLLTSSAIAGVVDTFSAPQLAESLGIRDVSFIDNVH ITAADKA TRV_03740 MALVPPPAAAELAIAPTDPPAVSTCSTPRARYDEAAADGKSDSD VEDRFDGAGRAADGPGSNGDAEGRYSRRRPNDDGIYYPEGGFKAWMVVVGSCFGTAVT LGMMNTVGTIQAYVREHQLKEYDEGTTGWIFSTFIFLGFFGGVQVGPVFDAHGPRMLI LAGSVCLGASMLLLGLCTQYWHFMLCFGILGGTGASLIFTPALSAISHWFNEKRATAT GIAAAGGSVGGIVFPLALQKLYTVVSFAWATRIVGFVVIFCCAVAVILVRSRLPPKPG QTVIPSLRILRNPAYLSVTLGTYFMEWALFVPIAYITAFALSTGAMSTAFSYQLIAIM NAGSSIGRALPGYVADKLGRFNNMIAALVICTAMTITLWLPASLLTTTPSSAPTIKAL SIVFALIFGFASGSNVSLAPVCVGQLCDTNEYGRYYATCYTIVSFGTLTGIPIAGSLL QHTGGRYWGVVLWTTLCYVISLACFIWGRACCVGWKLGVKF TRV_03741 MSPSRKKLRKRLVAPIKLTALERQITNGQKKLDEEEEEASVSAP ERARPTGRVFFLAAASTSLSSPEEADYDMIWKSHKYVSQPSV TRV_03742 MASRPNFLVIVADDLGFSDAGCFGGEIKTPHIDSIASNGGVRFT DFHAAAACSPTRSMLLSGTDNHIAGLGTMNENQTEFQRGKPGYEGYLNDRVVALSELL RDAGYQTLMSGKWHLGLDPDHTPHARGFDRSYSLLPGAANHYGWEPQLQNPDEKAPGL MSHMPSIYVEDDRRIDPSELGENFYSSVAFTDKMLEYLRGKDAEKPFFAYLPYSAPHW PLQAPRKDIDDYRGVYDEGPEALRQKRLRKLEELGLIPAGTAPHDVVVMGNRMMSRFW HDLTPEERRFSSRCMEVYAAMVQCMDTQIGRVLDQLRQSGDMENTLVIFMSDNGAEGA LLEALPVVQNNIFEHIDQYYDNSIENLGAYNSFIWYGPHWASAATAPSRLYKMFTSEG GIRVPLILNYPPLTAGKAGIDHTFGTVMDIAPTLLELAGIKHPAPSYRSRPVYPMRGS SWLPWELFGRQAVRQGDWKALHIPKPVGPGKWQLYNLSEDPGETKDLGELFPDKLAAL IAEWKKYEKDVGVVGAMQYGVLGVDDQDVIQQW TRV_03743 MKLSIFPFLTSDEFSQACREFIRLVDGCDGQLEPLGWTKARFDE TGAEPVLVVRKYTDRDSQPTESTETEPGVGEKNANIKDEEEDIEEKEDDPVRQILCIQ QPSLITSILTF TRV_03744 MRALQGFDFFGKEMKIVYAKGNSDIIAKLRGTYNPSGPNEDQGI STELQKSIFNAPPSAATATTSIPPKPANGEAAEPAPPQGVKRTREEESDGEAPMDEES DVSMEASSDED TRV_03745 MAPPEAHHLFHRPIADHSFSSDRGTLAVAKDNVVELYETAGNKY TLKEELKGHDKTVTSVDVAPKSGQIVTCSQDRNAYVWEQTGSGWKPTLVLLRINRAAT FVRWSPSEQKFAVGSGARVVAVCYFEEENDWWISKHLKKPIRSTITTLAWHPNSVFLA TGSTDSHCRVLSSYIKGIDQRPEPSVWGERVPFNTNCGEYLNDSAGWVHGCAFSPSGD ALAFTCHDSSVTVVYPSAPDQPPRAMLNISTRLLPFMSLVWISENEIAAAGHDCQIYR LNGDEAGWKLAGSLEVKAATRGAVAEESALNKFRQMDLKGSTKDDSKLQSVHQNTIST LRVYSEDGGTVKKISSSGVDGRVVIWDV TRV_03746 MARVSILLLGAAILHSLLPTATTAGRVAQDDEGYSVPYFENTGR QVSQPLFEELNELANIVDIAYCVGNWGMTKPFSCPGLCEQFPNFELVKTWNTGPLLSD SCGYIALSHPPSAKRIIVSFRGTYSIVNAIADLSVAPQVYVPYPNGKEHPYAKCDNCT AHGGFMRSWENTRPEIIPDLIEAMMKYPDYQLVVTGHSLGGAVAALGSLEFKLRGWNP HVTTFGEPRIGNQALADYFDKVFGLNSTAPSNAGLGYDLHLPYRRVTHTNDPVPLLPP PSLDYRMHSGEIFITKVDVPPAITDLRVCYGPTDPTCISEEEQEYVRKLQDALPWMPS GMPDNDQSPLDPENADSEVDTEMVKPWELFFAHRDYFHRVGICFPNIFGSPDKSDKNK KSPWWKFKWLFPGHDNL TRV_03747 MSSGHNTGKEGDEMFLNSPSGHEQPIVSPLRVAKRGTPSPAPSS SSNPQPDRQGTAQPSVSPLPYPDDRRAQDQSWNQNNSSQQSDSRKPSQTTYSPASLSS PASSNADIPAALKPQDRRDPKQAQSSLAERRGAAAPKQLESPTAESPDKEGLFQRLPQ RAAPQQPSSSTQNNNPSVVVNPYPEYHQQYWPPPTQSVTSSSNLQAPTSSINRIDSTA STSTTKAQRGSPPPPETPIVGPGQQPSSDIEARYAASGIAGAATLSSIHAHNHAAQQR AQQYSGIPGRSNPPTVQHAAVAPPRPWTPTEQPGTEPHGPPRIYQGDSDVTPVPVTEG PSSQVSRAPQPITTAVTAPTSTATTTNNHNHSNNNPQGQLEDDFQRLNMTASPPPAYS SVMGGQNMAYPQEKHGGPTAQYPPIAGSVAASGPPSVAGSQPSIQSHPAFANDSRQAH TGTPSQHNFQAGPSAFPSSSKQSEPSSAHPGPGPASPPPLPEGWIAHLDPNSGQYYYI HLPTQSTQWEFPKGPTPLNLNETPMSPGHSTFGGHGLTSPGLSFAKPLASPALSAFGQ PLASPALSAFGQPMPSPGLPMTPGYEGSVMGMNSGFVGPPPASGVEMYKVAPTNGVYF GPYLRYTNMDMERGLWLGSILLVTDASQPPTIHIHKSTDLSPNPRQLQPARIASHQRW IFYKYSIDIQMDDNSSHKWTYAITSHLGCTRYEFLVAGRHETAWRVVATSGNDFALNI NANERSRLGGVGYMWKDIMQKHVECGGFHAQLCLGGQINADRLWKEVPSLKQWLAISG KEARKTAPWTAALEEDVTHAYFHYYTSHFDQPHLREAFAQLPHISQVDDHDIFDGFGS YPDHMQFSNMFKNIGRLGIEMYLLFQHHTTLEVLRHVVDDSDLFTITGTGWHFIKYLG PAIAVVGPDCRSERSPHQVLAGPTYQGIFPKVATLPPSVQHCIWMVSVPLVYPRLESA EHFVQTVATGKKAVTGAYNMLGRVTSSVAGVVGAKSAVGSGFDSVKRAVGKSGLMGSV LSPFGEIDIFDELKDQWTHESKDLERTYLIRTLQGIAHQRSIRMTFLSGAVNCCGAGL VHDPSHPTDHKTMYQIISSAVVNAPPPSYILKLLHGNNKPLYIPTNGQRSVTAQVSDT KEDMMELFQTDVSGQPREHRKLMGRRNYVAIVGYDPDAVQAAYSGMQSPMYPPNNSAT GSFPKLSLAVDFLVQGEGSFGNVVKFGPVIVPSLELGR TRV_03748 MKAECYRPGTYHVVVNPDSFANLTDDSEDSQDELPPIKRTVRPS QSASHKRNTSKSRPRSSTESSDPNVVILAKFEDSMALNTSYWKSMRSSPLTVTSRSKS PEEYEDSHLTDANKPKHPIFIGSTGNLEDAKLLGHFRNVVWKHLVQVGLGHNHAHASD INMPGADIFEKEAANFLPLFHAMMAVSALSLSRQDPSKSIDSLQHYQQTLPFLQTSMR SHQDLSSDGVFLTHFLLLIYEIAAAEPGGSNLWSHHIQRLIRICYMRGAILQREPFPF VVWWICWIDLYAMFTGAGTGDFVRNLLAHDMIPAALSQLYPIGEDGKSMIFPGEEHTL PVMLRLNRDTFILAIRLGILAADCRRDTVSQTFPDGSIGCTLLGRAAKEKRLFDIQQS FIQLWTTPRVSLFQQQVHQLPPRSKEVFQHCSTLFNSCMVYSYISMWPNQAAETSAIS ALDIDQRISAILSIANEITSMGRYDLRFIIFPTFIAGVAATSPTHKMIALDILSNFEA NEGVGRNVATTRQLLQTVYQHQTNAYMRRGHAFDVDWLDIMAKQGLQLVNFGL TRV_03749 MVESTEERELSLVSKLELRVALADSDSKLQSLLHTYLAPLLLKL ASENASVRNKVVSLCQHINTRVQSQSIALPAEALLKQFKETDNSLVRHFDLIYIRHGL NRMGPTSLTLLPSLLHGISQFNGSEAQASILFNLILKLLPLIKLPPKGSSDDLDLGKS MKLLPGDSTYLSSWFGKLLLLTPTIEACPGLSIAETRFLSRQSSDGLTWDPTAPGGLN ILDTKVAALKFLSSGVFDDSDRFIPALIATADPNARVSDIGDDILKRFRPDLESDHVV DLLYSLYLGSTEAGGALPARPALKMKILHFLSKSVQATTKTQHIIRILNDALTSDSFG GARGLEVSKLRQQIFSFVTWLTRMGAPSDLNLVAPNIIKALREMVEGQGWPTTSQPLK PAEFSSRSLAYESIGLLAPKIDPSLTRENENPIDIDLLEWMFTSLGCDTSGPQIFVSI DEALGGVLNSITKNLDQNSIDQIRPILTRFATIEPGSRDEKTGFNIVRSTRFAAVRFS NRCMPYNDICGRSIDLLAIAGGQDGGLEIAEEGGKGLDPYWYRMLNPCDNIAAPVTEG RRYVFPRFKELAKYLFEEDNEENDVLRQALWSIFTSEKYTIVYETAITYLRNLLLCEA LVNTDAIQDIEHNWEQRLDNVLSNDEDARASIKVYLAECDDVLPLLLNACLKGLFLNS GQSAGRSATHFIEIASLTSNKLLQPLVKHSQALIDTLLKGASPQQETAAQAFGILISH PSCPQAHRGQAWEALSPHATSWQTAIGTAVYKTRGALLGQAYMLSRLRIRGAQLQELH EKSQKCLDTALDILKGSRDSALRKAAYDIIGQLSLSSAITLDNIQGDKWEAIIESIST DAKKHSEPAITALGRLSLIIPKDDTGSTKVDQLLSLLHSLHEVKVIEVQFAIGEALSI VAEGWKSTSLTSSLDVDVEKPSSNIPSHVLSKILEKVMEDCKASKPSLRKASVIWLLC LIQYCGRNEEIQSRLWKCQQTFIWLLSDKDETVQESSSRGLSLVYELGSQNLKDDLVR DLVRSFTADSSNMGGGKISEETELFEPGALPTGDGSITTYKDIVGLAFEVGDPSLVYR FMSLASNSAIWSSRAAFGRFGLSNVLSDSSENGYLSQNPKLYPKLYRYRFDPNPNVQR SMNDIWNALVKDPNAVIDANFDAIMEDLLRSVVDGKQWRVRQAACAGIASLLQGCQIE KYDKFLSEILTKSFKVLDDIKSTVRQAAMSLCQTLSEIVLRAMESGDTSSKLMLKHII PFLLGREGIESSVEGVQKYSIMTITKIVKKAPGPLIRPFAALILERLLTSLTSIEPQA VNYVHLNADKYGLTGQAIDKMRLTAIRSSPMMECIELHLLDSLDDESMAEVVPALEAS IRSAIGLPSKVGCSRVLVILSSKQVLFKPYAARFIRILRKLVLDRNETVSASYSSTIG YLTRLASNEDVLDTIKFAKSLYFESEDTAHRIVAGEIMNSMSKLANDRVQAVAAAFLP FIFLGMHDTTDEVKEFFTKTWNDNVSSSRVISLYLDEILDLVSTKLDSPQWPIKHASA LTVAKAVLSIDKNMDIPTARSLWPHLEKALEGKTWDGKDKVLEAFVKFSSLSKEFLKE QSDIKDRMKTIVIREAKRKNVDYRPHGLKGLGQFARNLEDLDMTKETLAIVSPVFEEM VEEVKGDKMDIDSKDSRVPNIEDKTFIAGIECLYNVANPNISSSVLGHYLAGMSSVIK PVITHSGRSVYPTLFDCVKDLFNRVDERLLSGRKEDSGSTPMFLDKELASTLFKEHEF LFQDIDLPIESVRSKFAQAVLAYVKILKKSSLPLDTSQKCAIEKWKANERSESVKAVW HEILEQV TRV_03750 MRDFHEITYKPQEIVAQEENAPNSEPGNPQKNPIYSDISSKVLE EIQNIDLPRSYGTKIDTLCRHLLWLRQHDPGAKSIVFSQNKSFLVTLSHVFYWFKIGH SSIDDPSGIERFKEDHTTECFLLHAKAHASGLNLVNATHVFLCEPLINTAIELQAIAR VHRIGQHQDTTVWMYLVANTVEESIYQISVARRLAHIARRREENLKKREHSMSSSFIS ESYLDLDGNGHAESNGTSHNPLMSLRHLDENTLESANSHELEDVTPGNLFSGPGAEGE KVRDSDVWQCLFSNQKRNGTLSNEVADAETMGDVENDVRRVLRATAAEARQLNGPMP TRV_03751 MRDTKRSQNLLKNEEELIETGLEFDKPPLNPSLNDKHELDVPVV ALVRNPHHTRPGVNLALWTSTHEVLLEEVEGLDSRLENCLVDALRTSISNSTSIYCAH GKLPAVYSQTHLKRGPDAQTRFYVLETRILWINSAEIRYTIPDFALKALWQYYKTQLD LPEDSSYPPHPLPKLYPHVEPPQLGLSDFYDNVHVPPTQQHIPQIEGLDLIKCSLFPF QKRTVSWLLTREGMDILPDGTLRPREVSEEIPLSFSRVLDADGRPCYVSLLFRVVIRN LSSWNHAERRLRGGILAEEMGLGKTVEMLSLICAHQRPDIINGSYPPTPAGLLESRAT LIITPPSILKQWQQEIAIHAPGLRVKHYTGLKKSKVDDRELTHDLASYDIVLMTYKVL NTEIYYAEDPPDRPSRHAKQAPKRKSPLMQISWWRVCIDEAQMVESQSSKPARVARII PRCNAWAMTGTPLRKDIEDIYGLLSFLHYEPFCLSASIWKRVCTYPPVFKSIIRKIAL RHNKEIVSRDLRLPKQKRVVITIPFTAVEEQHYDQLFQQMCEECDLDRSGAPKSFEWD AQLIQPQTRAVIAKMRSWLSRLRQACLQPKVDTATARTLGSNGPLRSVADVLAVMIDQ NETKIRTEERTLLISQIRRGQIMENALRPRESLEIWKDAARRSGLIVKGCRDQLDEEL KRRAELAKESVTKNEVNGDDNEEDEGSGDDESENELLNRLGVLRHRLRTALEIEHMCE FFMANAYYQIKTDPEITEPDSDSFRELEKQEENGYEVAKLIRREMLADTIQMVNGQIN RLKVKVQKDGVFHVPTMSTALHSVGIVSRRLLDQVEDFCDAMKPHTDTFNKWLDHMAQ LLLQALVDEEEGSELKGDEYESSTKHQDEMYVYMEGLRVMFARHFAAITGQVNNLITH EVGVAMERAKIGEGPAPELYISLINKCEELKLPRGQLSLRGLVNELRNLVVSLERQEI QGSSRARAEQLIVKDILREVSKLFSVQSKAIPPLEKQMELFRSVMNKRLEYYRQLQQI SDTVAPYDEDRKGKPLNEEDFVTKLEAEKEIDSKLSSLKAKHRYLLHLRDESGTDENT RVCIICDSTFDIGLSANSLSLDSSQILKLNDF TRV_03752 MSTQTPTEQAPEPAPQAAPQAAPAAPTPAAAKVEAAAAAWKAAA EEWNAAANEWLAVSEAGGATEAAKVAIETMEAATKSIAAARAAAAALANCPAPAAPAR PRRHRPHQVAKMRARRAERRRLEREIKKEKEEE TRV_03753 MMKRREEEGKEEEEEEDEE TRV_03755 MVGAAGLILLAHFDTLKHSRLHGGFLIMFIGGYLLSALFICLEY LRLGVEYKEHKIIFMSFLVKVFFILVELALAIAYKVLGKRRRTRDTAAIIEWVVAFIF ALYVLSFVVDLFPAVKSKDHIPQGERSRAMAQTSSSLESGSYPSTQPRLSQEAQLATD QMVDVGATYRGRVGDGTRMPAATYNPHTYRQTPLS TRV_03756 MASIQLPLEAFTSRLNLTERLNGIRSQSMSSRFANLRPVSEFLD IKRVSKPANFAEFQSRASYNLSYFSSNYVVVFIVLSIYSLLTNLALLFVILLVLGGSY GIGKLEGRDLDVGIFRATTSQLYTALLVVALPLGLWASPLSTALWLTCATGVGKSQRS QNRLTESESGEEEEEDEDDEDESDGEEEEGEEDPGVSLVPFVDNRRRETCGGEVDEIP GEWSESDEDESMDEAEDSDGENNDDGYDGVTGYDGHELSHNDTIAYAVELAMRDDDDV LVENALEKIRYARANRLNNFTLTDREVDALERRRRRNAEIGPSRPRTPATNGTVKTPS KGSARQIYSSSKKSRPSDTVSVADTTYSSPAYLGHYSVPSSPVPSHISLRKSSNRLRQ PPATSPRHEPNFPPPQPPPQPQFYPFDPHPSMLPGPTTAGAIPLSVPQSPYPMYPRPA YPPPPPNIMCQPVYSPLPYNNYLNHPSPDPFAMHGPPLPSNQATNGTGHSVDGVETIN TTSSSRDEGFARRRKSSTKSSPKKTQPASTKPPDTPKPDHASPASPTMTETSKSSSSK PTTTATRNGFVRPKRKKYKWI TRV_03727 MLPLDEAENEDDIAAEEGFVPDIDDLEEMAEDDDGIDLFADNFE RDYANRPDDGYAGVDIDDADQEELDPAARRQLEARLNKRDREIARRRKMPAAFLQEDD FDGVPDLSLQTRRHRRNYDEESQDADMDDPEADLTLEDMADIKADNLTEWVATPAIHR AIYREFKSFITEFTDKDGISVYGTLVKNLGEENSESLEVAYPHLSESKSIIAYFLANA PAEVLKIFDQVAMEATLLHYRDYHRIHSEIHVRITNLPVKYTLRQLRQSHLNCLICVS GVVTRRTGVFPQLKYIMFNCSKCGITLGPFEQESSSELKISFCQNCQSRGPFTLNSER TEYRNFQKLTLQESPGTVPAGRLPRHRDVILLADLIDSAKPGDEVEITGIYRNQYDLP MSQRSGLPVFSTIIEANHIVKSHDQLAGFQLTEEDEHQIQALSKDPNIVEKIIASICP SIYGHEDVKTAVALSLFGGVSKVAQGKMNIRGDINVLLLGDPGTAKSQALKYIEKTAH RAVFATGQGASAVGLTANVRRDPMTSEWTLEGGALVLADRGTCLIDEFDKMNDQDRTS IHEAMEQQTISISKGGIVTTLQARCSIVAAANPIGGRYRGTLPFSQNVELTEPILSRF DILCVVRDMVNPDVDEGLASFVMNSHYRSNPVKDAQGNPEEDVSEDSPESRFKAQRED AIPQELLRKYIVYAREKCHPKLYQIDEGKVAEVFADLRRESLATGAYPIT TRV_03728 MDQNLASNPADVKIDGAEAPPKRRESFVSENSQTASEFINEQLK LEADAREALPYSFDTCTRPLGALRQSLFSCLTCNPPPENPSSPYNAAGVCYSCSISCH GEHELVELFTKRNFTCDCGTRRLPSTSPCTLRADPTTGQKGAHSEDPTPDNKYNQNFR NRFCGCSDTYDPTKEKGTMFQCLGIGTVETGGCGEDWWHPECLRGLPRTASTDKEEDE DLPLPPGFPDEDDFETFICYKCLDSNPWLKRYAGTPGFLPPVYLKDTVLGDSGEALQD RGNHTPDPLAIPRKKRALEDEDSIEPGVKRAKQESSTHLVEPNTQTTKTKEKHDLLPL DIPERQFSLFVKEDFRDHLCKCVECFPNLEPNRQLREEEDVYEPPLSEDGDGQNANNS AGSIHTGSLLDRGEAALSNLDRVKAIEGVMVYNHLRDKVKEFLKPFAESGQAVGAEDI KSYFEKLRGDDQAIREAGGKPSTSRDEDEVEDDKKGNNRREQSGKQ TRV_03715 MADTTVITKSDASIDAVSNQGQEHRLENPEKSRWERSWPTIACG AGLFSDGYLNNPEGRTLTPSQVIGSVNTILSTIYPESYNASSAVKNVPSIAFAGTVIG QLLFGYISDHYSRKWSLMASTIILIIFAALCAGAHGAGGSQAGLFAALTAYRFFLGIG IGGEYPAGSVAAAESTGELKHGHRNRWFIMFTNFQIDFGFVVSALVPMILVLIFTENH LRAAWRVMLGLGVIPPLTLLWLRLKLNEPEEFNRERMHKYPVWLIVKFYWKRLSVVSL IWFIYDFSAYSFGIYSSVWLKIVLGSSAPLWKTFGWNTVLNLFYIPGSFSGAWGILGF IMAGCYKYLATPQNVAGFVVVYGIFLSLGELGPGDNIGLVASKTSATAIRGQYYGIAA AMGKIGAFVGTYVFPIIKDNAPNPIRAGQDPFFVSSALCLFSAALTVFLLPHIGQ TRV_03716 MLPTSAALSSARRDQIHIPSHSRPSNPSSSTITSTSQTQQADFY KQQALKQDHSNYHSTSLKMVMPSVNRTSLHPTGVQYAPLPSLKSPLSSIILCQDPSTS AGAITDRPLARPSHPHTEIEEELHETAHIDYDRVAIVSHLEWEAKPIELSIYSNWSLQ IANPSVASLYEDALVYETGTAITCSGALTAYSGAKTGRSPLDKRIVKEESSSKDVWWG PVNKAMSPEVWRINRERAVDYLNTRNRIYVVDGFAGWDPRYRINVRVVCARAYHALFM RNMLIRPSRAELEHFHPDYVIYNAGSFPANRWTEGMTSATSVAINFAEKEMVILGTEY AGEMKKGVFTVLFYEMPVKHNVLTLHSSANQGKDGDVTVFFGLSGTGKTTLSADPKRA LIGDDEHCWTDTGVFNIEGGCYAKCIGLSAEKEPDIFNAIRFGSVLENVVFNPETRTV DYDDSTLTENTRCAYPIEYIENAKIPCISDGHPKNIILLTCDARGVLPPISKLTPEQT MFHFISGYTSKMAGTEDGVTEPQATFSSCFAQPFLALHPMRYARMLADKIREHSANAW LLNTGWVGAGATTGGKRCPLKYTRAILDAIHSGDLAKAEYEVYDTFNLHIPTSCPGVP SELLNPKTSWTGSADFKQEVTKLGVLFNDNFKKYADEATPEVIAAGPAVAAAKVEEAV KPAAAAVSNVVEQVKAAVAEPEKVLNGNAPAS TRV_03717 MATPRMNRTNINPNVINAQYAVRGELAVKAEEYRLALERGEKLP FDKVIFANIGNPQQLEQKPITFSRQVLSLLEYPPLLQNEEALKSTFGYKSDVIARAKT LLADVQSVGAYSQSLGAPGIRQSVADFIARRDGFPASQKDIYLTGGASAGVSTILNVI CAGKKTGVLVPIPQYPLYTATLSLLDATCVPYYLNESQSWATDVEEIKTSLANGEKAG TDIRAIVIINPGNPTGASLNPAAIKDVIDIAAEKSLVIIADEVYQTNVFKGEFTSFKK RLRELQAEFPGKYDGIELVSLHSVSKGMVGECGHRGGYFELVGFKPEVVEQVYKFVSI NLCPPVIGQCLLECMVHPPVEGEESNELYQKEYNSIADGLKQRAFALYEAFKKMEGVE CQEPQGAMYLFPTIHLPPKAVDAATKAGRKADEFYCLRLLDATGVCVVPGSGFGQKEG TLHFRTTFLAPGTDWVDRIVKFHGEFMNEFRG TRV_03718 MGSDPQFAKYPDLSLSQDIFNLSNPACHASSRQSSLKKLQAAIK EHSMAPLYRHLAHPVEGVLNSTGEGSSQSPTAAGSSPGSTGVVVMSDMLARRPSTSRS ELVWDEKLYDRLVAENKRELDRLTKEEEEAAEAAGETEVQAARGKKAELWARVGDKDK AIAAYEAVFENTGILGTKIDIVLAMVRIGLFFGDKVFVKKTVDRANTLVESGGDWDRR NRLKAYKGLHLLTIRNYNLAAPLLLDSLSTFTSYELCSYSNLVIYAVLAGSLSLRRVD FKAKVVDAPEIKALLGEGEDRLSALSGSLSSGPGAGDEEMTDVETGATPTPATASKVV NLTTLGTETGVQSEAEAPVDFTSLTKLVSSLYMGQYRSFFSALAAVEDNFLSQDRYLF EHRAWFVREMRLRGYQQLLQSYRVVGLASMAGDFGVTIDFLDRDLAKFIAGDRISCTI DRVNGIIETNRPDDKNKQYADVVKQGDALITKLQNPDLLTPFRLYFYAHILSLNFIIV YPTPVPPSSQTAKAMASIKTIKGRSIEIDTNDATSSNN TRV_03719 MTAVLVATCTTTTGLEHHLGNISCRPGGAPIQNRGVIGDQEKLL LRIRADTQQAQQDLLTGSPGLAMSQTRGRGKTSVTAKLPSSAAKENVVGRNGISGLAA KRKAIDYDEDVEGFQFTRAGNVKKPKQTNGVLLNGASDGSSTPELSSAASVLRQQKKK PVTSREQNDIIEPSSESIDNRTMKPKSKRPVQDSTEPMNQKQSKSLKKIQTPDPGPIH VAKKRKPGSSKDSAPKIPPKDNEEAIQSTPEEIRNASTKIALPFADTPVIQRNKDMRK EKSRKGQRRSSLSLRGRRASSLIDSGVSNASEGLPEPRRMRQLLTWCATRALGEKPTG SRSEDESARLAARVIQEELLKDFGDRSDLTDWFSRPETTTPAVVIKKPNPKNIQNADK IKELEEHIRRLQAERQSLAALIRPPSVPSIGTKSDIIKHPTSKQDDRPEPLRRDPSAV NQSLLDPTQASILNILGHSESRPKEASSKTANSSPEIDTSILSILERLTRLSTSLTPT LDSFAAGIHNIEMFRQSADSLSSHILRICAQRLEERDGLQGKNADVLTLPGGKEDGKN SLQGTVVGGREDLSDILRALSRLERR TRV_03720 MSQELFGLVSSSYTLGGLLGALLAGPLSTKYGRLLVLRSSTLFF ILGPLAETVAFPISLFVAGRFLSGIGSGASLVVGPIYVSEVSPSSSRGMFGAVTQVMA NIGILLTQVLGYFLSKGSTWRIILAVSGCIGVAQLFGLVFVVESPVWLADNQNMAQAK KILQRIRGPTADISEEIRTWGTSAPAGPRDSITVETEEEESLLANPSSRTASSSKEPV SMFGALMDPIYRPAIISVIAIMLTQQFTGINSIVMYSVSLLSTILPTTAALLTVLVSC LNLIMTVICAPLSDKLGRKTCILLSIAGMGTMSMLLAIGIASNFKVLSAVATLLFVAS FAVGLGPVPFILASELVGPEAVGATQSWALASSWIATFIVAQFFPSLNEHMGGKRQIY WLFTAMALILGSFIWWWVPETKGKSGMDEVWERTRRASD TRV_03721 MPQRKEPIAIIGSACNFPGNATTPSKLWELLKEPYDLSKPVPQN RFNADVWHHKDNAHHGTSNVTKSYFLEADPSAFDATFFNIPPNECEAIDPQQRMLLET VYESLCAAGVTMESLRGSSTACYVGLMCDDWAGMLAKDWDCLPQYAATGISRAIMANR ISYFFDWHGPSMTIDTACSSSLVAVHEAVQVLRSGDCNVAVACGANLILTPDGKSRMW DQGANGYARGEGLAAVVLKTLSQAIKDGDHIECVIRETAFNQDGRTTGITMPSNLAQT ALIRETYKKAGLDPLDPNDQPQFFHAHGTGTPAGDPQEAEAISRAFFNDDQTVEKKLW VGSIKTIIGHTEGTAGLASLIGTSLALQNKTIPPNLHFNQIAEKVKPFYTNLQVPTTS HQWPSPPAGQPMRASVNSFGFGGANAHAILESYELVESHKPCDNTPLFTPLIFSAHSE KSLRGILDAFSEFLNLENSPDLSDIAWTLQKRRSALAYKIAISGRTTTDIVATIDAAR KQKEGLGVRSSGPEKLTVLGVFTGQGAQWPTMGSELIKASAHVRNIIADLDRSLQELP EADRPSWSIRGELEADKRSSRLAEAALSQPLCTAVQIVLVDLLKAAGTNLEFKAVIGH SSGEIAAAYASGFISARDAIRVAYYRGLYAKFASSSSGKKGAMMAVGTTLEDAEEFCQ LEEFYGRITVAASNAATSVTLSGDEDAIDAALVVFKDESKFVRKLRVDTAYHSFHMNA CSEMYLKAMDSCSIQFRDGNPSIPWYSSVIPDTKMACANLNGQYWADNMNNTVLFSQA GITAVKESGPFDLAIEIGPHPALKGPCLENLEQATGIGGTPYTGLLSRGVDDVKAFSS ALGYIWEYFGPSAVDFEGYDKVMSSGAQRNNLSGELPGYSWDHSRSYWLDSRIATSYT TREAPHPMLGVNVVEGTTGTQIQWRNILFPKEITWIPGHRLQGQNVFPASGYVCMAIE AIMTLASNRDVQLIELEDVDIARAISFLDDTTGIELIFNLNVLSSDHDSVSASFQISS CPKGDNVLTLNGKGKISLRFGDPIVNALSASQMPQFNMTNVDIDRFYKYLSDMGYNYS PPFKAISSILRRKDAAVGEITDSRGEAWEDNFLMHPGFVDTSFQAVFAAFSSPGDDRL WSIHVPTKINRLSINPSLAVFPPGEEVVWPWQAAVTSGTHDTPTADIEVFAPNKNGVL MEIEGIVLVPLAKASAENDVKLFSNLVYDLAQPDGAIASPHRLPESDAHIARVSERFS FYWINQLLNTITTKEEEETLPHFKHMLRWCRFVHKQVIDGEHPFVGPDAVNDTLDYVE SLVADAGDRADIGILRAVGQNIAHVIRTRGNIHEYALKDNILDRFYEEAIGLDITNQW EANLAAQIAYRYPRMNIIEIGAGTGGSTRMILPTLGKAFSTYTFTDISSGFFEAAEER FSQYADRMIFKTLDMEKDLSEQGFTEGHYDMVLASNALHVGPDIDLTLSNVRKLVKPG GWLINMEVATYFPSLREGFSMSGFPGWWCGAETGRPWGPTITVDEWDKVYRRTGWSGV DTFTPNIDSIDHLVVMVTQAVDSQIYTLRDPLSPEHAHPPRDNLVIIGGSTDEVANIV TECANILRPHFSSISNVISIDDFSNSESDAVATVLNLAELDVPIMKELMDNQPQKLDG VKEVFSTPREILWVTKGNRSESPFSRMLVGMARTLRREYSGINFQALDVDLLDSNSAK LFAETLLRHVNLNEANSRLVPGSILWSDESEYHLENNKIYTPRLMSADKLNDRYNSYK RRITHEVPANSSCIELTIKGDSYDLFEPSPLKPTAGSDGDSEITVMKSLLQSVEVPLV GHFFLCYGKNNSGENVIALSDQSKSVVHIPKPWTIPYSGEANSAQVLLSIAANLVAHL IIEDAITNSTILVHEPDQIVAAAISKLAVSKKIRTFFTTTQISKKGPQWTYIHPKTPR RLLRKQLPKGVSLFISFSNFSDDQAISNLLECLPVYCKQSNRYLFFGNKIAKRFGSDV DLVSKYLSTGFDISKAANFMVPENRSSLPLDKVPGYSDKNKRLLVVDWAVDTQIPLTV EPIDPYANLFTAEKTYLMVGLSGEMGQSLAEWMISRGARYVVLTSRRPKVNAQWIESM EDEYGATIKQMPLDITDTDALQACYNKICRTMPPIGGVAHGAMVLVDSLFQKMSYDDL MAALRPKVLGAINLDNLFSENTLDFFILFSSITALIGNAGQSNYIGANSFMESLGLQR RRKGLAASVIAISSLIGLGYFERAEDLDIDQFSRSGYRNVSEQDIHTLFAEAIVRGRP GTTESHEVVSGVVPTYADGDIRASYLKDSKFSHLILERTSAKQDGTSSAQIPVRIQLL TATTEEEVCDIMQSGFTRRIKKMLRIPEEDDFNATASLVEQGVDSLVAVEIRTWFIRE VDIDMPVLKVLGGSSVSDLINDAMLRISPDLTPNLGAEGAQVEANRKPAPPEETPTIS STPVMVQKSAPTMQEAEPNLKITNVNPAAISIPDITTPAILLSTEVKEIKSDRQNFDS LAKSEVTEIMSFGQSRFWFLNQALQDKTTFNMAILVRLSGRIRVKDMERALETVVLRH DALRTRYFSTGEYMENPMQGVIPTSAVKLVVKHCKDESGAYRELDEIRSHVWDLNSWE TMKVSLLSSSETMHYLVIGCHHIGMDGFSFNIFYSDLEKAYEGQKLPAISKSAQYGAF ARKQREDWDNGNMKADLAYYREIIPTNLNPIPLFPFAKSSTRLPLDVYGTHSADIRLE PALTRKIKAASRSLSSTTFHFYLAVLQALVFRQLDDCDEFFIGVADANRTDDKFISTL GFFLNLLPVRFERSAAEKFGDAVKQVRNKVYKGLAHSKLPFDILLDELKIPRSATSTP IFQVFVDYRQGVEEKQKYMGLDAIGEKWHLARTGYDMTLDIVENATGDTRLELRLQQC LYTAKHTKLLLEGYANLLMAFADNPAVNWNAPDVWDSKTINDAIEVGRVSERGTNICL KDGTGKIMTYSQMARRVNSICSALVTANVTEGDKVAVFQQPTADWVCSLLAIFRAGAV YVPLDLRTPFPRLAAIVDQIRPQAILAHSQTLGDVEHINTSASAVVDITNLPTNSPFM PNIAKPGAPAVILFTSGSTGTPKGIHINHSNIVKQLEGCSKQFDLKSSASYVLHQTAY SFDKSLEQIFTALVHGGALYVVPAEQRGDPISITNIMASEGITHTATTPSEYLMWFRY ARDNLLKCKSWKCALLGGEVASDAVLEEFRKLGMPIRLLDSYGPAEITMSCAKVELPY RSMVVGTQAPVGFMLPNYSVAIVDPKMNPLPLGFAGEIVIGGVGVAGGYLNNDELTRQ KFIANNFGGEGMLYRTGDKGRLTEEGALFFEGRIDGDTQIKLRGVRIELEDIESTIIQ ASTGALTHAVATVRGEQDSAFLVAHVVFSTAYTEYRDELLARLPTILPLPQYMIPTVF VALDNVPFTAHFKVDRKAVQALPIPKVEQKSTEELTEQTETESHLAGLCTDFFHAGGN SLMLVKLQAMIRQSFSVSIQLFDIMNAGTLQNMARLIDDALGVKELDWTAETDLPTLP KSNADVSPPKQKDMVVMMTGATGVLGRNVLAHLVADDRISKIYTLAVRPQSDISILTR IPDPKGKMVIKHGNLDKARLGLSEKEAMLLSSELDAILHLGANRSFWDSYYHLRATNV SSVKEIVKIAYPRKVPIHFCSSGGVTLYSGGFPPASGHDGYVASKQSQRKPDLDGLTG SIGIIPLERIAGDIVRTLFDEATKLSHPEVITHSSTLNVSIKGFADKIKQDEAVSKLE GMPALKWMGLAKKKGWSQFMVGHEISMSNSKDHIVSTR TRV_03722 MSTGQAANEDFERDGEVGADEEVEEAASQSPPVNSGYLPLPWKG RLGYACLNTYLRNANPPVFCSRTCRIASILENRHPLRDSSQPRHATKNRPDRTKPPDI ARGQKFVQELGLANTRDLVTMIRWNARFGIRFMRISSEMFPFASHAEYGYKLAPFASK ALAEVGAVATELGHRLTVHPGQFTQLGSPRREVISNSIRDLTYHTEMLNLLNLPPQMD RDAVMVLHMGGTFGDKERTLSRFREAYLGLPQDIKDRIVLENDDMSWTVHDLLPVCEE LNIPFVLDYHHHNIHFDPDQIREGTLDIMTLYDRIRSTWIRKGKTQKMHYSEPTPEAI TKVQRRKHNARVSTLPPCDPTMDLMIEAKDKEQAVFELMKIYKLPGFEKINHVIPHYR EDWVKTPKKTHMLVGTSQLPKLNPEEANMGGPYGRVYWPPGMEEWLRPRKCRLIPKAR PRVGGAKKREEESSLEEGEGEDYLKLTNTSSDKEEPAKRRKFTAKSEDQEIQSPENPF GRKLRARKNVTL TRV_03723 MQSARPIISHVKQHDPVIDGPAPQITEYKHVFFPRLACMSKTHL EAWIFDAVDLTTSNVAVTMTFFRDGTQAALGKCPIRTTFRASLPDGAKIAGELFATES VVEVIPEDGKITGKWVGGKKEDEPGDAGWAQYEVSLDMSEATVTINLPTIRGTLTLQQ RSGRNIENSTESQLMGPGLSWVHSIPRAKATVDFVFIQDGESKNLRFEGYGGKDYCRS TEPMQDMMEGTTYVRGHAGPYTFALFRLFSRLHPGKTYTRATLVKEDALLFEMVSDDS VSLSDNYLSFRSTYSGKLRGNYSDHTTGYRIDFVSPGQNKHWSFELKHETLWWSMPTE APPAKTGNNGFISTVTGGEIGEPAKQGMATIVHIQMTALKSDYKGISNRQL TRV_03724 MTQLPDKQTALTFGSDGNLRVSHEAQVATLKPDMIIVKTAVVSV NPVDTKMEAGFSKPGLIGGCDFAGVVVAVGSGVKRNVKVGDRVSGAVMGSDPLDPSSG AFATYVSAPADITLTLPENVPWSVGGAMSTIWFTAGQALFQNLLAELDVWPILRPAKE PKTVLVYGGSTSVGTTAIQLLKLAGLRPIATCSPKNYELVKSYGAEEVFDYRSPTCAE DIKKATRNNLKYALDCISTKGSIDICYGAIGRAGGHYTSLDPFWESTANTRKAIKATW IVGISMLGKDIAWPPPYERPGSEETRAFGGKLAQILQKILDEKKMKPHPLRIKEQATW YDVLEGLDEVKSGRVSGEKLVFVF TRV_03725 MFDFHIDESNIKVDMLSFYNDSIPFLESSAALVTEKPIMQDVTS QNIPVSPYTKETSEVSRGQCSTTEMAPDNYVRESMVFGLAQYDQLCCLQRMRSCTGND KRGVFSRLRNYPIHGMLEHVRRLTELIRQLMPISDSGDSSPRILCSELVSETIPDIDS CLLNEEALMNDFSDMLAHPFSESCLSSGDSISRLSPLTPSKQTPVDTSTILLFVSCYL RLARAFALFFTDLHAFLLFPSAIDPTPSGELRIFPGLKLGSFQSYVGVSLEISIAIQV SERMLCRLHDSLGLSWNQCSSESLPNSQLSVDAWSNADTITRAMIKTIQAQERIDAQD ERGDTFTRLLQIMENVKKLVKTQPFL TRV_03726 KNNSRFKPDKEGETIQYTGLSQSAVREIALCTELNHPNVVRLVE IILEDRCIFMVFEYTEHDLLQIIHHHTQPQRHAIPAPMVKSILFQLLNGLLYLHSNWV LHRDLKPANILVTSCGAVRIGDLGLARLFYKPLNSLFSGDKVVVTIWYRAPELLLGCR HYTPAVDLWAVGCIFAELLSLRPIFKGEEAKMDSKKNVPFQRNQMLKIMEILGMPLKQ NWPGLASMPEYGQLQAFALGPGTSHIHKPSSLEPWYNACLKNGSYSPSSTAGTPGKEG FDLLSRLLEYNPAKRITAKEALEHPYFSTGSPITANCLEGFEGKYPNRRVSQDDNDIR TSSLPGTKRSGLPDDSLTSRAAKRVREM TRV_03691 MAPPDVLMVGTGEYTTGFVGGAASTSDKKVGVVGLTMFDLRRRG KVGNLSMAGVSGLKFPHIITDVYSKHGVKGKHLQTNISEVYNGLSTSFESYPADDKVD ANAYKAAIDALEPGSAITIFTPDTTHYEIALYAIRRKIHVLITKPATKTLEDHLELLA ESRKHNVFVFIEHHKRFDPAYSDARNKARRLGDFNYFYSYMSQPKSQLETFKAWAGKD SDISYYLNSHHVDICESMVPEYTPVKVSASASKGAAIELGCVEETEDTITLMVEWRKK EEPSKVATG TRV_03692 MAERKRTPLQPGVYVPTMTFFDPATEELDIPTIRAHAIRLAKAG VAGLITMGSNGEAVHLSRVERALVTQQTRSALDEAGFKHIPVISGATDQGARGTIEIC QEAADAGAEYILLISPSYYRTAMGDQNALFEYFTKVADGSPLPIIIYNFPGVVAGIDM DSDFIIRLSQHPNIIGTKFTCGNTGKLCRVAAAMNAVTPASSLKMASVERSPEQAVPP PYFAFGGYADFALQTLVSGGSGIIAGGANVLPHLCVEVFNLWKQGKLAEAMEVQKILS DGDWIMSKYTIPGTKFAIQVCYGYGGYPRAPMPRLTEAQEKEVADKIKPAMAIEMRLS DVA TRV_03693 MTLHEQDDRSTDADKDTEIVGTAIRVGPKVTLIKEGQRVGVGAQ VFSCGTCKQCKNDNETYCPNVIMDTYGSKWPDTGIVSQGGYGSHVRVHEHWVFPIPEK LATTSVAPMLCAGITAYSPLVRNGCGPGKKVGIVGLGGIGHFGVMFAKALGAETWAIS RTRAKEADARKLGADGFIATAEEGWEKPHKFSFDLIINCANSSKNFALDKYLSLLDVH AKWVSVGLPEEDGPSIKAQSFIANGVLMGASHLGSRREMLEMLQLAADKGLQSWVEEV QIGEDGLRDAVTRMKKGDVRYRFTLTGYDAAFPKPPRPSL TRV_03694 MSCCTSNANPGRRRSTLRSRVRPLTSAGEHHQHHLLHHHHHHHH QTQDGIGRVHDGSRGEAASPFAGESSQSAIVETDETLAATAPRSKHRHSKSFSSILHG ASELKTITRSLSISIRNKGKRAAQVPSEQLLENQGHDESKAGWFRSHRLPRRRSLGGI CNLNKFYPPVSSPPLPSNFKDFTCTQQNGELVGGAAGRKGMLAPPPKGEDEGMEIFEL AGHLGVKLALDSESGISINIRDTDDNAKKLDCIRKDPVSLPAELMAHILSFLDADSLK NAELVSRSWNVHASSSNVWREVFYREYRDSRNVTRTPGIPKRAMGLGRKKPAQDWKKV YKVRHTLENRWKSGKAAAIYLHGHQDSVYCVQFDEYVIPLTYSLYSAPCLTDNLARNK IITGSRDRTIRVWDARYPWPCLKVIGAHQATSDSSVPVTPWPITPPADSTGSSPFISI CPPVASTADLISHHESGEYHNASILCLQFDDKIMVTGSSDASCIVWDMQDDYRPIRRL KWHQAGVLDVCFDDKHIVSCSKDTTICVWDRETGQLLKRLLGHRGPVNAVQLRGDLVV SASGDGVAKLWNISSGLCIKEFASKDRGLACVEFSEDARTILAGGNDQVIYQFDANTG ELVKELEGHSGLVRSLHMDNVNGRVISGSYDMSIKVFDAKAGKLSVDLPGWTTSWMLS AKSDYRRIVATSQDARAVIMDFGYGLDGIESLEG TRV_03695 MASKAATPMSIPKTMRAWTHQRAGSPSKVLSMKDDVSVPTPPTD TSILVRVSHVAFHPGVIILMHFIPSWLRRMPAVPETDFSGVIVRCGKDVPISNGSRDF KPGTPVFGSLPVKPYLGTGQGALAEYIAIEAEAIARKPTNVSFAEASGLGVSAHTALV LVEAAEVPKDARILINSPCGGVGHFATQLLRHRNPTARITGICSGHNHALALQLGCTD TIDHTSFPNAGGQTLVQHLASKYGNDEEKFDIIFDAYGSQDLWISSSKYLKPGKDHPY VTVGPKMGVSIMEVPGFLWKVIMNSTLPTWLGGVPRPYKQISAFTNTEAIEKCRELAL AGEFNTHVGETWELDQALSAYEVMSSGHARGKVVVKVWEPDEE TRV_03696 MCFLYIGAFVRHQIDRPVPVQSLIKCRMQDNLEFLQWIKRHWDQ YYPGGEYDAVARRKGSGAPPPAAGGARSGTSSATGTRRGTTPTSAAGRARVGGANNLA LTQELNAQKEAIAGLEKERDFYFAKLRDIELLLQQAVEADPELEKGDDSLVKHIQAIL YSTEVLPLSMS TRV_03697 MGGHHIDRRKHWKGPEYRIKDIVQREVEQLPKDRRNNETPPKHE TPRTTTVVLTVIEAVLMDGATAAVFTVPSLPATVSHSRLGVVTIPSGSKSPIIVSPFP TPQTKTPELKSVSQPPPSQPSKPSTNVSLPSSIHSLPSTDVSLPSSQHSSPSTHVPQS SKPSTNISSPSTIPVTSHASTSVLPHPSSKDSSIPSDTQSTPPSKSSNSSTLSSSISP SKPASISSTHSVSSSTSYSPSSSHTSSSSSISLSSVSTSSASKYPSGGGGGELTGGHP QPTAPTEPNGDHAKASSNSDVPKIVGGVVGGVAGIALIIILLLLLRRVRKRKAVRESN LTGGIMSSGASADRNTFSTSNTSQLSGTVLGGATRVFDRFRTSTASMAGEPVERGFQK IGGRKLASVLETGGDGYDDKFGTDEKSLIVPPRPAGHQKETTATDGEGSTGSGLHKEL GLGGPLSRSADRPISDESIYSERVAFRPSPARTPIATPVGSAICEGIATTPQSGIVAP SEPLPAASTSSGRDEIGRSLAAADGSRASKFTEGV TRV_03698 MAGIAAAEPADASIHHDPNITATSAFHSSYDHPSIAQDNLRSTL KTRHSADSPPQARHSRHNHVNGTSLSRTSSAAGSGRNNVTESTYLTPHAGRKDSSLSR THSEADSLLDLYSRDSGNRSVSSVLDASERKDNKPFYQIEAEDPEHAQWIHRDKLAKI ESEELQQLGIRLPAPFTGSKRGRGRSHEAPNGINGVITTEQGEQWPAIAKDEAEKRHR RGGSSDVRVDGIVPLETDDNEIINFDPRLPEEIAADQEESDSVYRQPGLRKSSSRIPV LASSHHPIPQEHLDRGVPLPRTRNNTLDNGNEDSIVYPKTRRPSESAFREREVTPDSE SKADVTTQDSNSTSTSHPSPSKAKAPSKSTPTGRKTSQPPNNRKTSVTQKPKTPNSNN AGGSPNSTPNGRPGTRSGDNRPPSAAAYSGINRPDSDPPWLATMYKPDPRLPPDQQVI PTHAKRMQQEQWEREGKVPAIYDRDFSPLTIQTYDSNGAVTPSPQPTEQEQEDSNQQQ AQQELQPLPETLSPTKPPSTRVKSPDPSGNNASGYKAVPNIHSTTPAQPRLNSSSAPA RPRPMDVEEPPVKEKGCGCCIVM TRV_03699 MVAKHANFVEFHDEELSSLGTQQSLGLVALLHISSYLLAFKSFD SPTAFSLMICSALVFAADMAAGEVATEPKRRRSMEAMVGNFLIAEKEEEEEEEEEEDG LDEGLRDDSYMQCERW TRV_03700 MLRLTLDIDDGPAIQDALQEITNQRTVPNIFINHQHIGGNSDLV AKAGQLSTLLKEAGAL TRV_03701 MNSLPPSLHTPLRRYLTQCARRNLTRQQPRWLSTSHTLRATTTK TGTREEVRYSTKAARDQNARHKRSMAISAAGLIACAISMYGTVTYYFPDGLQPETNAD NNDGQKSQTAASKTKGDGKIKLEGPPGGVALNESSTVIIDGIEQVPTGNSTIPNFPKT IRLPRTVDGSNPDLKIGDELPATDNVNDKSADEYQLLGLGLRTVSFLSIQVYVVGLYI ATADIPELQARLIRQGANPVVPGVAPTDDGLAATSLVPHEREELQASLLEAEKGEQIM DHILRQGGIRTVFRIVPTRNTDFLHLRDGWVRAITARAQKANARAKQLATADKSASDV STAVEFGDDSFGTALSEFKTLLGGGVRKNVPKGQTLLLLRDKLGGMGIIYQPGDTKPM TWLGRVGDERIGRLVWLNYLAGKTVASESARKNIVDGMMGIVGRPIGTVEMKVV TRV_03702 MGKDDKGHINLVVIGHVDSGKSTTTGHLIYKCGGIDQRTIEKFE KEAEELGKKSFKYAWVLDKLKAERERGITIDIALWKFETPKYNVTVIDAPGHRDFIKN MITGTSQADCAILIIAAGTGEFEAGISKDGQTREHALLAFTLGVKQLIVAINKMDTTG WSEERFKEIIKEVTSFIKKVGYDPKGVPFVPISGFNGDNMIEASTNCPWYKGWNKETK AGGAKTGKTLLDAIDAIDMPTRPTDKPLRLPLQDVYKISGIGTVPVGRVETGIIKPGM VVTFAPANVTTEVKSVEMHHQQLAQGVPGDNVGFNVKNVSVKEVRRGNVAGDSKNDPP SGCASFNAQVIVLNHPGQIGAGYAPVLDCHTAHIACKFAELLEKIDRRTGKSVEANPK FVKSGDAAIAKMVPSKPMCVEAFTDYPPLGRFAVRDMRQTVAVGVIKAVEKAAGGAGK VTKSAAKAGKK TRV_03703 MKVAIAGYGDLTRYICEEFVQAGHELVILTRSFKPQIESPGISQ AITDYTISSLKAPLADCEVLISTIGDMSNAYTSVHHTLIQACQESPKCKRFIPAEFAV NIETYPDEPGFYYAIHEPVRETLRNQTNLEWTLVCIGWLADYFVPSKNRYIKDIDECH PINWKANKAVIPGTGNEPVDFTWARDVAKGLASLIQAPTGSWEPYTFMSGERSCWNDM AALIKEKYRPDMPIEHVSLHATANMIKAAKDEDTLILADYYLLSISQACAIPQDKAKA HKEKFFPHIHFRNLREGLSQFDEKPNSIM TRV_03704 MASTGPPSEQPVPTVSRQSRSRDDHDTLECGSFTAQKLTDSNVL RPQQHGSPEHLHVTNRRFFIGPLPKGWGQNRRRHWYKSCLGIRDYSSRNQTFSADMSV GYQRQSTRFMGLSSTTRFKPSFPQPDDVEAEVEDQDEEQDEDQDQENRIQQQPPVENG QTAGGSQVGPRVIRSIDAGREAQRRDEDAGYFGLSHPNIKRIQDQQRPKNSPLATNSS LVVRMSSDDNVPEAYFTAPDTEPQSTTPKVNPMPQDNIKSQNRKSTESGQPQSSSSLP YRNSYATTGTSALSTTDGQGLETDVGSLSSLIPHNKPPPSSTPRERRQPKPQQLALQP QEPRGEADQTEAELAESQQPSKLINRVISDRVRYGVEERIENGQRRLQNHLGLSDDSR TNRKQKQQTRRHGEVLRAEKMLVRIDVTAQTVPNLYNDNASLKIETRPVENWREYLVV CRQGYDEQTPYLLQFYKTRVIPQVQGAKVKRKYAHEVKLSQGQANVNLFSTLDKTVVV WHPTKMDGTRIFIMRARAAAHSVEWYTFIRKVLGWKQPSSLFVHAPDLDVSLVLQNPF ERFAKDNYDENEGTLLNSMGEEQAVSTGIIRTCMDTLTECSEWSQILDIWKKSEKMGL AWRRYDRIEWIQGGNEERMYGTMAMRTSHDLELRPKRHYPTSTAVEPSEPLMEEPSPI EGFLILLTSQQGTHRRWGKNFSKRLYFYSEDQYLCFCKPTKASIPPAPKRPSVPEAGS STAPETIRGTPVMYTVDPYPVKNGQISWLHDGGNKSYARYCDQAAYTENRRNTNNLSQ TEGYFNLGRVTEIRTVSETESTGTQRNGTNLGTQRSITNRATTSSFSHSDNDHVFELE LDDGLVVRLRAYNTETRDAWIHRLRQLTTYWKARVKADINILKSIRQRNLEKLDIDEE QESVIGQFAQKWEVARADASPELFNVCGPSGCRAIKGSVRTFSGEQVPHTHQSRQTIL DLRDCYLYSGIITSSDLLYHSQTFDNNHPSHNSTPRIYPSDGWTSADEDTATTFVIWN NTRRVLFHGEEERDGNQKTRRWRHVSRLGTSGRSIVFKARSRAERDLWVLAIETEIDR LQQQEDMRIVPEK TRV_03705 MATTATIPEGGTWLDTMKRSFEDVPVDESSDNAISTTEFLEAAE SLVKLFDILGSVAFTPVKNDLLGNIKKIRDRHLAAPAESETLQALVLNELKTKQHKAT EGLVWLIRGLDFTAQAFSRNLSMESEELASSFREAYTNTLKPHHSFVVKPIFSAAMSA TPYRKDFYAKLGSDEQKIHAAMKKEICALEKRVAILNEFLARKEAKW TRV_03706 MAEPGSPAAAAAGNQAAADQSKVKQLFNFTQIFFFSLTFMSSWE SMALNLTAVFTNGGPRALAWGIIVVVAGGLAQSASMAEMASMQPIAGAQYHWTHYLAP QKHRKFITWMQGWITWFAWVSLLAGVANTTATMIQGLVTVNFPDYQPERWHLTFIIFA MLIVEGLMNMYTFWLIPWVELLAGILHVVLFIVFVVVLVSMAPRHTPGWVFGDHSSSS GWNNEFISWNLGLLTPTWGFVGFDGAVHMSEEVRRAKQAVPRSMVWTVATNGVLAYAI IITILFTMGPIDGVLKSNFPIIEICRQATGSVKAATAMVSGLLIISLAVNLASIASTS RLTWAWSRDGGLPAWFSVIDQRHCVPVRAVWLSIVIVMVLACLNIASTTAFGALIALS TIGLFSSYFIAIGCMVIARFSRDRPLELGEWNMGRYGLAVNIFAMFYTAYVTIWLPFP SLLPVSAQNMNYSLPIFAFSTLSAILYWFIRGKKHWAGLNKEVIRLVVERAEEAISSI FHLLYFQKVSSISAVDGRIPF TRV_03707 MCRILLGVLALWGLAGVLSLSLQCGLAQPWKSGENCWLNQYALH VGLNIGSMITDFALVLLPFLFVSQVQIPCIKKLAIAALFGMRLIVPSFTIVMTITSRS YFASSASERSWLAVMPTIWMQAILCISIVATCIPNLKRLLAVLRTGLMAGTVNEFYEL SVSGGDSKTVASASQLRSGKTSYSKENYGTGTPRPSTSGGSVSGTPTMSSFTGKAHAM RHLHPDTAYTMGKKEPYDNAYVVESKIPSCKRPILKLQGLLWERSLRPGTTRAKVTDR GSSLDVLTAR TRV_03708 MTKWNINIDALFTTIPAKSVNEAELIKVIPAANAGSAEICKLVK DNIGGTEVVSFLFQKRRFRYNLEKGCFTPLQYVLDTEPKPTLGYFQESRGLTSLAKVD DVQQHYGDNTFDIPVPTFVELFKEHAVAPFFVFQVFCVGLWLLDEYWYYSLFTLVMLV VFESTVVWQRQRTLTEFRGMSIKPYDVWVFRDNKWVEVSSEKLLPGDLVSINRTKDDS GVPCDILLVWGSAIVNEAMLSGESTPLLKDSIQLRPANDRIEPDGLDKNSFLYGGTKV LQITHPNAQAGQDSGYQPLNGASAAPDNGALGIVIRTGFETSQGSLVRTMIYSTEPVS ANNVEAFMFILFLLIFAIAASWYVWVEGVAQDRKRSKLLLDCVLIITSVVPPELPMEL SLAVNTSLAALSRFAIFCTEPFRIPYAGRVDIACFDKTGTLTGEDLVVDGIAGLTLGQ TGVPTDKYGAHTTVAKVTDVHDNTTLVLATAHALVKLDEGEIVGDPMEKATLTSLGWT LGQNDTLTSKAGSAGRTGDNLLESVNIKRRFQFSSALKRQSTVAIVNILDKRLSKRSK ATFVGVKGAPETISTMLVSTPPYYEETFKHFTRNGARVLALAYKFLSDTEIGQSRINN LKREEVESGLHFAGFLILQCPLKDDAIKAVRMLNESSHRVVMITGDNPLTAVHVAKKV EIIDREVLILDAPEHDTSGTKVVWRSVDDKFSVDVDPSKPIDPSILAEKDLCVTGYAL EKFRDQPGLLDLIRYTWVYSRVSPKQKEEILLAMNEAGYTTLMCGDGTNDVGALKQAH IGVALLNGTQEDLNKISEHFRNTKMKEIYEKQVQLMQRFNQPAPPVPVHIAHLYPPGA NNPHYETAMLREAQKKIAAGTAPSDVNGVPTITSPGAQALQQPDDTNLTPQQRKQKQA SLAASSLADKLSASMMEGMDEDEPPTLKLGDASVAAPFTSKLANVIAIPNIIRQGRCT LVATIQMYKILALNCLISAYSLSVIYLDGIKFGDGQVTISGMLMSVCFFSISRAKAVE GLSKERPQPNIFNIYIMGSILGQFAIHIVTLIYISQYVYSIEPRKEKIDLEGEFEPSL LNSAIYLLQLIQQISTFSINYQGRPFRESIRENRAMYWGLVLTSGVALSCATEFIPEL NTKLRLVPFEPGFRVRLTLTMILDYVGCWLVENILKTNFSDYKPKAIAVRRPDQLAAE EKRKQEEEEDKEKQKEAEKST TRV_03709 MPNRSIGGGRVLGSGRSINPAPPKPLPKTSSSNAISPSASSVSL ASQTSNSQVSSDTQDLSSRVFLKNGDSSIAKASRSTGALVCPICNEGMQVEVKDWFQT QVEKAKRFQPLAVLNQKLKGLEVFESNQNTQLLPPSTRPTAESSVSEAAKPIDPDDLI TKSHWQQRSLNDVCLDPMCEKRLTATNGCINCRKCGRLFCEDHTMYQMKLSRKPTVDK AFLEISRLEKRLTRLTQLLAGLPLEQVQSKRWAIGWQTDQRKALEQSVVSWQDDVEVS NCPFCQQEFSAYIFRRHHCRTCGRVVCGDPATECSSLISLDVAKYCKTTIFSKRDFME DIAKEPPDVRAYKNLVQFERGIRLLLPRFHKILAALQFVSPQLDNSRGCFLTIYTTRD PDNPPEPAQLTEASKVRKRLIDSFAQYDVAARRIRDHPTESPTQAKLQQAIYHQATSF LHLHMLPLKTLPKILKHATPHGRNFSFDSSSSDGRRMNGGNAQPRSLASIKYGNPDQG GSTTSLASNNSSALSALEAEEKELRDRLIVLEEQRFFVSEMIADANKRRKFDEASSLA QNVADLNKEIDHVNGMISQLDFEGLFTGDSGQ TRV_03710 MVQWGRLFSGEPRRGRHQYLQETRRLLPRTRDQVITPALPAKEV TKVALRLKHLVEQVVCCELEESLITKANSTVITKEVIQTAKEAGGEQYRACVVFCLLV CVRWFKIQALVELWDSDLHDLRAVACEILAKRVIESEDDQDYLMLEVLLKRYSILRDG EPTSPANVIERAVDLHALTVIGSAGYQKCIKYLWQGWLCQDDQDPTNFIEYRERNNPS YWSHFNPDRLRASVYQNAVQVFFSILYLVLFTIVINTVNPTGDLDVAECILYGMTLGF ILDEVTKFWKVGRFYFGFWNAFNSTLYCLLLVSFVLRIVALTHSKDVDNETRNYYNQL SYNFLAFSAPMFWGRLLLYLDTYRFFGAMLVVLKVMMKESLIFFALLAVVIIGFLQGF VGMDQADPDSNMTAVVLLQGMANTVLQNPSFDAFQAFAPPFGILLYYLFTFVVMVVLL NILIALYNSAYEDITGNAINEYMGLFAHRTLQYVRAPDENVFIAPLNLIEIVCLIIPF EWWMPSDRYDKLNNYVMGIIYSPLLLVTALLESANAQRIRLNRRLGEEDDDTQEEWEN AAESAGFDFKRIDDHPDTGAWDKVVTKTKPNVEVDQCVLEVRELKEQVRQLTQLVNTL MERQGISTAPANEEGQASQETNGNA TRV_03711 MSLTNCRFYEEKFPEIDSFVMVTVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVSPEDVGKCEERY NKSKSVHSIMRHVAEKTKTPIEELYQQIGWPLNKKYGHANDAFKLSITNPAVWDDVTF PSAVVKDELISHINKRLTPPPTKVRADIEVTCFGYDGIDAVKDALRAAEAVSPQVKVK LVAPPLYVLTNHCLDKTQGVKLLEEAIEKVQEKIKSSGGSCVIQMAPKAVTEQDDADL QALMEKRERENQEVSGDESFSESDEGVVEVKKKKVVQFSNPSCQLLFFFSHALLLFSF SYVWITSLPL TRV_03712 MNNPPENSDVSASSRLLDSHASSQQPGDARSRTHGTFTPASTQS YGESSQISEAGYLQGRDGISSAPSGGKSVTKQLAEAHGLKNQRAMYLAYYVPFFNWIT QYRWSYLRGDFISALTVCSVYVPMCLSLASNVAHIPAINGLYSFIFHPFIYSIFGSSP LLIVGPEAAGSLMVGAVVRSTVEDGKGIDDDPRGNAAISGIVVGMAGAMTLIGGITRL GFVDNVLSRPFLRGFISAIGFMIMVDQLVPEMGLSDRAREVLLGTYGSSAEKLMFLIQ NVHYSHGLTCAVSFSTIAIIMVFRILKKHLQPRYPGVVFFPDQFIVVVLSAVLTWALE WDKKGLVILGNIKGTSNLFRFEWPFQTDKLEHIRNAMSTSFIISLLGFFESSVAAKGI RSASKGGIEGMTYSPNRELVALGVANMVGGCFLGLPAFGGYGRSKLSALTGAKTPMAG IFVALITLASVIWLLPYFYYLPMAVLSALISVVSFSLIEECPEDIRFFIRIRGWSELV LMLLIFFSTFFYSLYLGIALGLGLSLLQIVRHATKPRIQILGKVHGTRDRFENAEVHP ECVEYVAGSLIVKIPEPLTFANTGDLKNRLRRLEFYGTNAAHPALPRVRPPEHNKNII FDIHGVTSIDGSGVQVLSEIVEEYVSHGVRVYFCRIPLPGSEVFQMMEKSGIIDTCGG ISHFVSTVENALQLAETEYINYE TRV_03713 MAQSGTELFSTSWTLHRLSPLYHGQDSKSSLLEAPDCIRAYANR LRDTLTGNVLGGIVQTSTLSPSIGGLDDILARAGALKECKWANIPTWSYWNEEHSILE DPDQETSLTLTPDQSAGILINLQYDNATYKAALLAGPDGYRHEGDERPEGTTFLPLLV TRMPNALRQSLLSFLSETFDTRISVLRLPSSFLCSAFERYLATLNRTASRTKKHAAHQ TAASKVESRAFIESIMKEVQLTLSFPPPISTDLKSLDIHIPRDSLGAFYDHGLGSLEV ESNKKSIERDGPSAPFLKALSEYFDHNLAMKLDLRDFTGRENSPTGDSKGQNIKLTKV SCGAFVLGSEGRIKFLANPGRTILLDDSDEEEGDGIDVDEREKRLVWKANEELLRLLI ARATGQWKDIDRWP TRV_03714 MKQHYPPSTCSKLEYVVNHIVLPPRLPGKEEVCEDDVRCELLAF LQAASITLKADSDAEINAVGRSIFNVLEICKATNLYGKLDKSSLLHQFQKIQPNTPII LHVKEQNAGLLIWKNERDGEETVIFESFEVSPVSEKVLSAEGPLQWDFPGETIVIPNT VFTQPSFQESLSNFLESASTESIKRFAAGVLKGGSVAFENRDTTDPALITQMLMTLLE ANGSRIFPPLLRKRVRDEVSWAPGGGKPWRRLPFWLVLRVGIERHLHLQLGATKGRAY YKFLLCLMFSAILGSGTDSLSPDLISLLTAKLARRLAKLEVHREKALHNDRVTYNRLF DRFELFFQTSISNARNHVADIWNTFKRSIRRKIPRFPLHADENSQYLSLTNSEKEIEN VLSQYRIDRSRTANNPSAKDFIPKRSNAFKKFANTYNSLSQRERVSNEALKFPHSSAE ETCIELAVMIWNYYNEAEPAYNGNPEQKSIMILHMMVLWVELDKCATKIYPLLLDYHP GISSSLLDVLQLSSLKDSIRLNTVQEYIETRCTRSLSRRTIFDDPTEGCFAERYFDLS EDSLRLQNLRSKIESQAQKNYDRKVQEWQQKSEIFEALQKKITLSSCTYITNRHGGVD HDKNCEKCENQHRANRMTIQIHEHPLPENPVHARAVVFELQCPEAFKSYRNATWLLFG IVACPHEQPPAYPRLLISEYRELSKFFTGSSEGIVLASTTKSLLSTHYRGVYFPVRLE DICFDNNLRVRYYDTTHNIFPNRQSHVSSVSHHLQMPNLTNSPFSSIAPPGSLSGSSS YEILASQSSCPPGLNAHEFMAYKSLFSGVVRRWPTILIELGSSNLNFSTKGPCTLICQ LAIQAGPRDTNDVFRVVHKIFRDETFCNRLIKLLEERLTGIAMNWRETDCMEMLITLI LRLCALSPLRTIDKAISLLKKIQETLLKWIRLLRKEICAACEPDMIENYSDFAFTVAL LLRRTFAVYPENTPRYTGNMAESDIRCFIEASISLQDNIPPDLKSLSHFQWRALVRDL KMVYRMRPILLQSLKNHPGSLGAVLTDIWAQNTLLLGQMSSLQLLSGDEWAQLVINPT NQTKRQVVHFHFLEGHLLVDGEPLATLPANYRNAMVLDRLFGNQRLQVYPSSLHGMSY VLPFEVKKQRIHIGFRDGKPFVRAESRRTIYEFLPYEIFGDRRNFDLPGSLVENCIHW LDLKSGTIKIRRKPDIWYGKDSYWEVDFYNRKARRRTVTLIDPHSQLFRLIANVFDGF EHPGYITIYQPASKNSSVELRRLELDFIVTKSGQLRCKQLNALIDMNQDAGTWYGLKS KLVLRDVIDNEQRSIIVPMGPLQCTRNGIHVSVFAENLGTYGRFFINTSLGRLDCPVE PWTLYAKAQFHAYTSFVLPDELTGRTGTEEAVHCLKSSYSQPWTTLNPGPLGTLQTVA LLAPTRIYYPPGSKTVQHTIWNENLTPWIQHEIFSSLVEKILETERTLRQFGNKEIDQ PAVRPASDDFLMIRAYRRRNIYQRPNAGFDDLPIVKDEVYNPRDRFQAVRRRSNVFEI SSLVKNWSTNTGSHADLIRRMESWPTIGGYSTVFDKVLLSDILDIQFDVSWGSLVNFC RDSEEKNKYHLMMTMALMSFSNSADMEIIRTLLLFATIPSLKSLTPPNWVHYKHFRYN QQPSVVYLRRLINNCCVPYTGDERGVLPLNIKTRKRFEAAEREHEKRVEANAIAFSEY IFAQWPCLEPKIQGMPDFPLLNVHLALKVILPDWSRLFQNSELSNYLAKVQATLVSEI FPTGFRGQDIPTLASNLLRKACTLYTHESHHTKNTITTYKASQEEGIRRVFTGSDNPP SLLSQSSGFTQILELEEIIGKIKDSPSLVRHQYGHDLMTSLEALRSIKIVNDIPADKE FDESTIYTNIGSATQKVESHVEHLRSVLNKDDSRFRWLHPAGLWPCMTMVTLLEHLRS TFKGEFGAGMKENLIALAVEIIHRQRFIRIKGAYLRRQDRVLEEEKNCMPHSNWDPSL HPDWLLLQIDADICIRPNQVEVAHATISPSSQANAVLQMNMGQGKTSCIIPMVAAVLS DTTKLLRVVVPKALLLQTSQVLQGKLGGLVGREIRHIPFSRRTPTSTDMINSYLKLHK DIMSSAGIIVALPEHMMSFQLSGLQRLVDNKLPEARRMIKIHGWMQTVCRDVLDECDF TLAPRTQLIYPSGTQSTVDGHPHRWQTAEKLLELVSGHIWGLWQRYPGSIEVIQRPKG GFPIVYFLRKDAEEALISHVVRDIIDGRTSVIPVQGCCRSEIMDIKAFISEVSISPKT VKRVSSLFPDNSAARQNIYLLRGLLVHRILLLTLKKRWNVQYGLHPLRDPIAVPFIAK GVPSEQAEWGHPDVAILFTCLAFYLGGLELSQVRQCLDDLMKSSDPSTIYEQWITNSE KLPKILQDWNSINTEDESQVYQLWSHLRYQMPLINYFLNQFVFPKHAKQFKVKLQASG WDIPLSSPHANLGTMTTGFSGTNDNRTILPLTIKQQDLSSLVHTNAEVLTFVLRARNY VVAKDSEGKRLTEEGLLALLKRMEIRILIDAGAQILEMDNLSLAKVWLKVDHSAPAAM YFDPENKPYIIYRNGYRVPLLASPYAENLADCLVYLDEAHTRGTDLKIPADAVGALTL GLGQTKDHTVQAAMRLRQLGTTQSITFFAPPEVHHSILDSRKLPSSAPVTAADVIYWL LVQTCIGIEQIQPLYFSQGMDFCRRRQAAVDTPKYLTDQAQRQQYCKALQQEEMQTLQ ELFRPIAKVKSKATVAAYAPHIATHVKDLEHRKKGFQDFGDAVHSSALQEVEQEREVA YEVEAIREVQKAPRFKALNFPGIHEDIELFCRTGTLTSYSSAYQQAFIALRNTGIGQK HGINSCATSSKLFLSKEFFKTVRLPVGEYNDNYIRQVNWILWNPTTEAALVVIPEEAE LLIPLHQNAKHLHTRLLTYAAPVTRGMLHFSNLDFYSMPPLPENWKAPLWLTVELGIL AGRVYFDYSEYESICHYLGLTSSPVETAPSHEESEVESKEPTQDMGGESVIHTNNPTF TPKPLSFLQEWLALRRKGQDFSNTPMGYICQGKILNERSPFFSSGRDIRVNVPKLKAT DGRSDGGNVESPGASDYESEDIEDQRRESTGKREIFTDDSSESDYHDNAIE TRV_03672 GLYRHGGACCAFTTGGKLSKEQPGDDALKKTANSSKGIAQSATD KVRNAGEKEITNPSNPGNKKPGTLLSETTVTSKEQRKVDWAIMKEMAKYLWPKILNVQ VPFYFKSIVNSMNIDFAAIGDTAWTVGGSMIIAYGVTRIGATLFQELRNAVFASVAQK AIRKVARNVFDHLLRLDLNFHLSRQTGGLTRAIDRGTKGISFLLTSMVFHVFPTALEI SMVCGILTYQYGAKFAAITAATMLAYTAFTITTTAWRTKFRKQANAADNQGATVAVDS LINYEAVKYFNNEQFEVSRYDQALKKYEAASIKVTTSLALLNSGQNMIFSSALAAMMY LASDGVATGQLTVGDLVMVNQLVFQLSMPLNFLGSVYRELRQSLMDMETLFNLQKVNI NVKEAPDAKPLQLRQGGEIRFENVTFGYLPDRPILKNVSFTIPAGKKIAIVGPSGCGK STILRLLFRFYDVHEGRILIDGQDIRGLTVSSLRKAIGVVPQDTPLFNNTIEHNIRYG KIDATTEEVKRAAQRAHIHDLIERLPAGYDTPVGERGMMISGGEKQRLAVSRLILKDP PLLFFDEATSALDTYTEQALLQNINSILKDKARTSVFVAHRLRTIYDSDQINVLKDGH VVESGTHIELLDLGGVYAELWTTRMLTCLKIAQETDIATV TRV_03673 MDFEASSLRTEEQFWDELDDVLKRQCDSHEEIDDSLRTYLSLLE SCRGIRIVPLRAYLSTYPIFLTRNSRVEDFFDPDHDITRCTELVLSSPLFTNNADYIR LQIIYSLLQEDSHENLLGIVSLLLFDGCENEATFEMMCEEGAFCRLLELIQSLRGNDH SGSGVEASLHRLLMDLLYEMSRIQRVKIEDLVVIGYRVALLPGTWEKHVLIIYLHFSL IVTVDDGFIKYLLQIIEGPPEDPNDPYHYPVIRVLLVLNEQFMVSSHDPTGDLTTTMP LTNKVMKVLSMHGSLYKTFGENIILLLNREDETSLQLLTLKILYLLFTTPSTYEYFYT NDLRVLVDILIRNLYDLPEDAMPLRHTYLRVLYPLLAHTQLKYPPHDRREELRKLFTT LIRGQWSDTDAGDDSERILHFNEVDDTTKRLVLRCSQVDWICEGTNWFTKELSEPASI ETSRTNGHANTDSLGSVEVPSIGSPISPQTSLDENLHGHDASSSPNQHPTAVSTTLGV DSARSSSHSIAKVASHKEKPGVVIPSKGVTVVKQKPEPPVARRSRARRRAESKEAGER ASSNHGYRGSSESRISKFHLAEPEDAAENPTSASSPVRKIEDNTACSSPKEPVVQSPD SITSTSYCFPPSPASHKIHIHHPSTPPAVPPPRRSSHSVPPTHPAKSHHHHHVRQQHS ATRPPPPPPHNPHNCPPNKPSQKPEPPRTRRWRAHQQHQKHQDTNGHVNTTPDTQNCS PSPTEASHNPTSSQPQTPRTNGSTDIPATPTITVEMVENELPEQMENAHIT TRV_03674 MLDICYKSKRKEKAGSLWLGITLFTLFSLTSLVVVFLHLRVSPT PLFISPETFPSLLLPLPLLSSTSHHNLISQKYPLTITDFACTQLASTLLTPFSVPAAF SCVLSRSASSGDASIKLLIIILTPLDTLFLSLSVYLLGTVRSKMKTNLFIFAALFLPG SVVARPHNHNHAYGLEKRAYVTDTIVVKVPEVINWVDNAGNTVTIETKGMKSFKTIHP TSDNGDVGTTIAPNTATMATTTVTNIPTSVSTVVPKPEPTTEMKIPDLKPEPTEIKQT TKDITTTKVKPSEPVITEPKSTDKQTGVPPVQPTITEPKKTETTAIEPIITGPGTTAK PTEPLTTSTQSLAPSKPSTVPAPTSSPPKDPTEKWTPANGFGICYAPYAADGKCKNQD QVNADFAKLNDYSIVRSYGVDCNQIAMMLNAAKTYNKKVMLGLFTIQNVDSDLNIFLA AAKNNWAYIYAIAIGNEVVNTGKASANALVNAVNHSRAILRANGYNGPVVAVDTVNAM TAHPEICYASDFCAVNIHPFFDPHTSASEAGQFVREQSKAVSNAVHGAKRVIVTETGW PHGGYQNGEAFPSRENQRVAIENIKQAYKDTNGELLLFSAFDDPWKVDGSNTFGAEKY WGIY TRV_03675 MDLQETQRITLEYLHVLADQFQRVPGSAIVLRYIKSSYQNDPVR SAVELFLFLFAVRYLLAPKYSTKRDFVPLSEEEIDDLVDDWTPEPLVLPSTAQDEEDV EKRVVLTGPTGPKSKLVNGRTVTNLASYNFYNFVANDTLKEKAIQTVRTYGVGPCGPP GFYGTQDVHMRTEADVATFLGTPACIIYSQAFSTISSVIPAFSKRGDIIVVDKGVNHA IRKGIQISRSTVRWYEHNDMDDLERVLSKITKEQAKKPLTRRFIITEGLFQDRGDMCD LPKIVELKLRYKFRLILDESVSFGVLGRTGRGITEHQNVDPAEADMIIGSLSGVFIGG GGFCAGSKEIVNHQRISASAYCYSAALTALLATTASETIALLHNSPELIVQLRENIKA MWTQLDPRSDWVYCTSAPENPVMILVLKPEVVSSKRLTIEDQHHILQEIVDETLANGV LITRLKPVPDETISKQNHIPPAPALKVCITTGLSKKEIEKAGIVIRHAITKILRQKR TRV_03676 MSGHPHPQAVQQDHYDDGYNGHGQGHGDSYYQDQHGGAYYDQYD YPQGQHQQGDGYYDRAGDQHYPQDGYYDNQQQYYQDDYYDNQYYDQGAGDHNQGRGRR RPHDSEEDSETFSDFTMRSDARGGDGDYYGRGDERYNSYSESQMGGRGYRPPSSQISY GNRSSGAATPIYGTDALPMGQRSREPYPAWTSDAQIPLSKEEIEEIFLDLVNKFGFQR DSMRNMYDHFMVQLDSRASRMTPNQALLSLHADYIGGENANYRRWYFAAHLDLDDAVG FANMKLGKTNRRTRKARKAAKKKAGEEQKDEAETLESLEGDNSLEAAEYRWKTRMNRM SQHDRARQIALYLLCWGEANQTRFMPECLCFIFKCADDYLRSPECQNRVEPVPEFTYL NEIITPLYQYCRDQGYEIVDGKYVRRERDHAQIIGYDDCNQLFWYPEGIERIVLEDKT RLVDVPPAERWNKLKDVNWKKCFFKTYKETRSWFHMVVNFNRIWIIHVTAFWFYTAYN SQTLYTKNYTQQGNESPPAAAVWSAVGLGGAIAAFINVWATLAEWCYVPRQWAGAQHL SKRLLFLLAVFIVNLGPSVYVFFISQDAGKDKIALALGIAQFFIALITFIFFSVMPLG GLFGSYLKRNSRQYVASQTFTASYPRLRGNDRWMSYGLWVCVFGAKLGESYVFLTLSL KDPIRILSNTRIGICHGDAILKDILCKYQPKILLGLMFFTDLVLFFLDTYLWYIILNA IFSVARSFYLGVSIWTPWRNIFSRLPKRIYSKVLATTDMEIKYKPKVLISQVWNAVVI SMYREHLLAIDHVQKLLYHQVPSEQEGKRTLRAPSFFVSQEDHSFKTEFFPPLSEAER RISFFAQSLSTPIPEPVPVDNMPTFTVLIPHYSEKILLSLREIIREDEPYSRVTLLEY LKQLHPHEWDCFVKDTKILADETSQFNGDDEKNAKDAQKSKINDLPFYCIGFKSAAPE YTLRTRIWASLRSQTLYRTISGFMNYSRAIKLLYRVENPEVVQMFGGNSDKLERELER MARRKFKICVSMQRFAKFNKEERENTEFLLRAYPDLQIAYLDEEPPVNEGEEPRLFSA LIDGHSEILENGLRRPKFRVQLSGNPILGDGKSDNQNHAIIFYRGEYIQLIDANQDNY LEECLKIRSVLAEFEEMTTENISPYTPGLPPVNFDPVAILGAREYIFSENIGILGDVA AGKEQTFGTLFARTLAQIGGKLHYGHPDFLNAIFMNTRGGVSKAQKGLHLNEDIYAGM NALLRGGRIKHCEYYQCGKGRDLGFGSILNFTTKIGTGMGEQMLSREYYYLGTQLPLD RFLSFFYAHPGFHINNIFIILSVQLFMICLINLGALRHETILCQVKKGVPITDELMPT GCADLNPIKDWVNRCILSICIVFLLSFLPLVVQELTERGFWRAATRLAKHFGSFSPLF EVFVCQIYANSLHNNLSFGGARYIGTGRGFATARIPFGILYSRFAGPSIYLGARSLMM LLFATATVWLPGLLYFWVSLLALCISPFLFNPHQFSWNDFFIDYRDYLRWLSRGNSRS HASSWIAYCRLSRTRITGYKRKVLGSPSEKLSGDIPRAKFSNIFFSEIVGPLVLVAVT LIPYLFINAQTGVRKENNPDHKIQPTNSLIRVGLVALGPIALNAGVCLGMFGMACCMG PILSMCCKKFGAVLAAIAHGFAVVGLLIFFEIMFFLEGFSFSRTLAGMIAVTAIQRFV FKLIIGLALTREFRQDDANIAWWTGKWYGMGWHSLSQPGREFLCKITELGMFAADFIL GHVILILMLPALCIPYVDKGHSVILFWLRPSRQIRPPIYSLKQSKLRKRRVIRFAILY FTMFFLFAIVIAGPLIMKTLKISLPDIPLQLLQPSGLNNNDTSSSHTGKAATGGAGAA NTEAAKLFRF TRV_03677 MLASTLTLPTSRERKKKKQKKKRKKERKDRVHTTSDFGARQEKR VEEEEEEEEERVKREGRAESRDGESEEKGKKGGKGQARIRKEKKQKR TRV_03678 MASDLPDQNRQPKNEEAQGAHPVDQALPRRATLPPILTATPPCL PVYLYLTARVFVRLARLSLLCLAACFMRADYASPFSLSCPRKLQPTKKVNVTFSGFLQ KGKTTSSNSFFTLALLSLLLFRETSSSIFFTLVRVHSPGRLLSLS TRV_03679 MKLSSLAAATALVAGSVVAADLDPIVIKGSKFFYKSNGTEFFMR GVAYQQEFSTNGTSSDKNTYQDPLADVESCRRDIPLMQQLQTNTIRVYAIDPKKDHRE CMKLLQDAGIYVVADLSEPSTSIIRDDPKWDDVLYTRYTSVIDELAKYANVIGFFAGN EVSNNSTNTDASAFVKAAVRDMKSYIKSKNYRAMGVGYATNDDAEIRKDMTAYFNCNK QEESIDFWGYNIYSWCGDSSYTESGYDKVVEEFKAFNVPVFFAEYGCNEVQPRKFTEV QALYGDKMTPVISGGIVYMYFQEANDYGLVKIEGGKPKKLPDFNSLQKQISKIKPSGV QMDSYKPTNTALSTCPKSSTWKASVKLPPTPNKDLCSCMVKSLSCVAKPSVTGKELGK LFGTVCGSDKDACKGITADATTGTYGAYSMCSPSEKLSFAFDQYYKHQSAKGNGANAC DFDGAATTQKSEKPSGSCANLVNQAGQDGTGSVTSAPGSGGNKPDEGAASTISAPSVN LGIVKLGAYIFCAVLAGAGMILI TRV_03680 MARLYTKPKETDQVAKRSTKGGGRKTVENEVVARPQSTAGDDEM QEKISSESARKVEQNESKDGPRRTVRSRRHIDAQEKLISQLSDLSLTSKKKGKIYRER NEEEEVVERFNKLELQGADEDDEEDMMEKRLPDEEDQTDLRRRSRRTFKKNSSYSDED EEENEEDSTMGRDEGDDTEDFDSLDDFIVSDNESLSLYEDSEYEDEKDSDEEGGKEEN SKFHPSPDHHLDSDAEAQSEPQHRPRRRLFRGRRKQRCSTSSHISDNETCEGSDRHNN TPGSNTEPLSSNNMGNNTSYPGNQEEDEKDTAITADILLDSRPFLPAPASPKLSKEPK NQNKVSVDSTTTKNTNSRAIDSSQGTPPSSAESPEQDSSNMDFVTPPTSPTKPRLKSP SKTQKNRIPPSPHKSNIDMFWDQEAVNEWNDKFSPRKMKAPNFYKRHFDIFSDTEGED DDDKQTFGSRDNDCVITDVSIPRHLGTPEPDAIGDKLPLHTSPIKNNASPGKKQAKAS ASTKKALATKKREFDERKHTLATNFFNDLDTMVTGGEILKLAKSAGGVNIVWNNKLTT TAGRATWKKELITRNRDSTEQLLIDSSSANLCSPNTSSSHATPSASSSTTNSLPTDEP LILSSKYSAHRSIRHNATIELAEKIIDCEGRLLNTLAHEYCHLANFMVSGVLDQPHGA SFKQWAKKCKTALDAHPEYSGKVKISTKHSYLINYKYMWCCTTCGQEYGRHSRSIDPV KVRCGKCYDGKLLQVKPKPRGKAKV TRV_03681 MAESTANVDTGYELVELYGGAMKVLIPKGFTNMGTPSGHLNDKP KFESIYPIPDNQEVFRSTSTKTNIIIELVERLEPGSYTLPEEVVAQLETSSDTNKEEL SAVLYHLHDMCTSNGDTYEILDLPKPYPVQLIPSPAYTCQALVTAKGKISDTQRLLNM LSESTQQATSTSTSTSTGTAGTAGTTVTAPITEHESTSTCHFFLVRLAQYGTDILVYI NVPHDMLEESGVPGAVAQEEMRAHDIMANMMRSLGIIDYSLFGG TRV_03682 MSVPDTSPPSWDLTPAISLLHSFSLEGCQHERPASPKQTANGPI NHATFASNALGDAESEKGAQPKLGDFGALFTFLSQTTPPKLGTVSDNSATHASSGKKD VLEAAAVLKHQLDGSRSPTKKVILKRDVPKRINSAGLSVLPTPTSGTVLVSPKSILRR PPATTAGAVVAAEAAPAPKGKRIVGSIIHIEPLLTGSTIQRQQALVSLLEEKHAKVRN NLNHGNLRECLCRPLKTAPAGIHVFVDMSNIMVGFHDCIKKSRDIPLTTRVRRLPLSF QNFALVLERARPVSKRVLVGSDRYPAIDEAETLGYEANILERVHKAKPSSPRKTKLSG GGGDKASRRALYDQSSSSETNAQASPERWVEQAVDEILHLKILESIVDTDTPSTIILA TGDAAQAEYSDGFLKMVDRALSKGWYVELVSFSSITSRAYTRKSFRDKWGSRFRIFEL DIYAEYLLDL TRV_03683 MADAGRKDFLTKAKEELTPDSTKSQQDKIGEAFTDTKDRVARGL QPDDQKGTAQQIFDKGQRASDNQSGGAAQAIGDKVKSALGMDTKPE TRV_03684 MAALRSGGAGSSPNGMSSTPPAGANVAPRQAALANRLNSALAAS YADSEFRDALETLDSRGIQNTAETRRTLRLDVQKEVIDSNGKIIQDFGRVAEQLSRIG DVIANLNTICQDMRDRLSVAHKETGPVLEEASTLTTKKEEAETKQALLNAFNRHFILP EEDVQVLTSLSEPVDDRFFSILGRTKQIHKDCEVLLGSENQKLGLEIMEQSSKNLNSA FLKLYKWIQKEFKSLNLEDPQIGGTIRKGIRVLAERPSLFHSCLDFFAEAREYILSDA FHHALTIALSGEGADANTKPIEFSAHDPLRYVSDMLAWVHSATVSEREALEALFVSDA DDLAKGMEAGIKSEPWSRGEEGEPVFDGQKALRELITRDLSGVARSLQQRVELVVQGH DDIVTIYKVANLLAFYETTFSKLVGADSALVDTLSSLERFAMEHFESLVRDRVKTASN DSAGLLPPDDLSPPEYFTECIESLEQLMKAYDSSFSHAVLNKSFGEGEEGNEFTPILR STLDPMLDLTRKSTNLLKDSFSRTIFETNCILAARTAVSAYEFASSTHLGSLSNTLAN LRAELHKFQHDFLLEKSGLDTLLRALEGYNARSESDNSSSKNKLETVAKLPEFQPAAL SAVSQQLDDFLPAALVDATDNLKRVNSAGLVKGVTEEAVEAFASDFEFIEGMIVGADE AKASVGDTDKDAGEERDEEEEQEWSLRALFPRTTGEIRVLLS TRV_03685 MATVCSPFSKAVVRCMQKLSLIHMHSVYHLLTPSLEVLLEAPLN KHRRLNNSVLLTVDLTKAVADEAIARGDSIIITYPVDATPGAMADWLCQCAVPSSVQP RPSTETMYPSPDPPADPEFQDAGMGRKITFEQPLPLNDIIDSIAKTAFPQSNVIGTDT EHTVGFSIAIPQGSSVSDITISSVAACPGSGSSILMKNGTPVADLLLTGELSHHEALA AIEAGSVVITLSHSNSERGFLQGHMRSNLHERLAREWREARANNDLGRSDSDESEVQV LKQIFADDSVCIDVSQSDRDPYQVVCWQNGREA TRV_03686 MLSVTFFLSSHSAFTLIASHDKKNFLIPLFRHPLLFLLLSYFLC FTTYVLPPRLPHTTSLPPANRHHNPEKNHSSPLRPSLFILYHGPTGA TRV_03687 MFRLPFSRASASLSVSVSRAFHSSRPLAVSQGDSIPDLDVLTEN SPGNKVNLASELASGKGVIVGTPGAFTPGCSLSHVPGFLNHPKLKDAGKVFVVSVNDA FVTKAWGESLDPHKKSGVRFLADASGEFNRQMDLLFSSAKVFGNDRSKRYALVVEDGK VVKAFVEPDNTSVDVSRAEKVLG TRV_03688 MFMLMLMFYAGCNSLKLKERRGWAGGGIAKQSDRQGDQGMAEDE VEDEVGSRDKMLSSCSCYLLTTLLLLTGLSLVELCL TRV_03689 MDRVKWTGKEDKMAKRKKTKKRKTKKKEREREREEAKGKKKKKK KKNNKKKNKKKEKKYSRVARAKGQRQARPDIEVLEKGKGKNILVFSLSLSRSLFYCLS SVSSTVSLFYLSISISISISVSSAPPTRLNHARLVNSTSQPDELASSVLSLSGLPQGL SVSLPWTDLGRPPSRRPPGDSTRLVLSSSSFLPLLFFFFTAWLACLLLLLLLLPFFLL LLACILVLARRPDSDSLFPLPSLHPSLSSSSSSSSLLLSTLYRLLSTLCCWLQLAMDN PPPSGSAGNSSDFVSLSLFSLSLLTDDDDDD TRV_03690 MLEDPSYAQIVRWGDDRDSFVVLEVRLSLSLSLSRFLYFYPVSN NPVVREVHQVHPAEALQAQQLRQLRAPAQQAWEFKHPEFKADNKDSLDNIRRKAPAPR KQAPAKEDSIPTQQLDLLNSQLLAQQQQLQHLTERISQTSIEHQMVLQEVRRVQKSVL GHDQVVHCILTYLHSLDARQKRDSRAAAAAASLSFQSQPTDLSPSQVAGIDENPAASP LQHATKLLNEMTAENQFNFSALEGIVAATPPLDPQQQQHHQQQQQQQAAAAAAAVQQQ RANAAAAAAAAAVNGVNGVNVVGGVVGMTPPTSAAAAAQQQAASLSSYPKMNGELEQV VYPVGATNGIDPMYSEHVNNIPYPLPTNSSANKDMDVPDVRRQYPVGNDGRKKSIYPD PGWARSPHILLVEDDQTCRQIGGKFLYSFSCIVDTA TRV_03661 MRDPVLAAAAGADHSEKPAVAAVAVAVAAAVVVAAAGVTGALEE EQRRLAKKIEWKANSGRTLRRRKGQQQRSTKEKERQRERERGYTQKHRLGARTAGPSG LHGEAAGQHPDATHLYPTDSYGATRRAAGPQPTTGVNETGRRAQREQDSRCRPGTPST GNAARPATGRKSLNWASSKRVEGGSLSGASCGAGHGTRPPESSAGKAAGWSGNRWSKR KIVFAFSLDLSFATEKLQKKKKKGRKKDPKLDEKGKA TRV_03662 MLELEREMERENEMREREREMADRYHREQQHQQQQAQAQQQQQQ QQQQQQQQQPHPVQSHTGSIPIHQPVASKVPSSIHGPNGLLSNLATATNAPQPSIQAS NPPSSLFGSSMQHSEGPPRQYLQPSQPVLAFNSGPSQVPGSVAALAQGQQPILNVSFP PPTFHPIFFSYKRSKLCLCEWSTHNENDVLPSSAV TRV_03663 MAPNKQDALSYLDQVKVRFVEQPEVYNRFLDIMKDFKSQAIDTP GVIQRVSTLFNGHPALIQGFNTFLPPGYRIECGTDDNPDAIRVTTPSGTNTISMQSGS RPSLGAPEGAGPAVQSASASRPEYYDQPRSAWQHGHAQQQAQPGHPSGAPGSYSPKSQ HMAAGMYGQQQQSRHNPSQDTQYDYQAQHEQQASSEAASMVHQQEQRGVSQLQNAVSV ASGASRQPMMQLPPGSHTPGPSQPMGSMAAGMGPSGVMPGQVDASKRGPVEFNHAISY VNKIKNRFSDSPEIYKQFLEILQTYQRESKPIQDVYTQVTHLFGAAPDLLEDFKQFLP ESAAQAKAQAPPSTRQSQEEVPIISNVRGEPGYGSAGLPQPQMARSDVKMPPLGQFNV KDSTKENKKRRGAPGAQAVGGGMPGMQPAVGDMNGAQMNKNGPQQIGMNKRTKLSHKP SATDAHQPTISPTLVPALPEPIPPSFSLTPSHEEYAFFDRVKKFIGNKQTFGEFLKLC NLYSADLIDRNVLVNRAAGFIGANQEIMSWFKRFMHIEPKDELIEPKAKPDSGSVNLS HCRALGPSYRLLPKRERQKACSGRDELCRSVLNDDWASHPTWASEDSGFVAHRKNQYE DALHRIEEDRHDYDHHIEACIRTIQLLEPLVQQISLMSDSDRSSFKLPPGLGGQSETI YRRVIKKIYDREPGQRVIEEMFNRPCLVLPIVLARLKQKCEEWKATQREWDKVWREQM QKGFWRSLDHQAIIMKGNDKKVFLSKHIQHEIQTKFEEGRNRRRTGVHVSNYQMDFAF EDSEVVLDATHLLLCYLDHSSAGFGADPQRVMAFIKDFIPVFFGIDREAFKNYLSEVY DSSSASEDADDDSSPDEAPIRPKRITSGKKFELLREVLNRCPDRSNRPELDLRAGSTA ASRASTPLSMNDSTPAPRSGDNFDIAELRWMDHPTRGNFHHKREYPLNEPYKKKVHHF YCNLTIYCFLYTFEILYRRLLNVKQYEKEAHEAVRRAMAPKAALELGMIDKTPADLLY DTDPKANLYHQIIRMCEEAITGNLELTHLEETLRRFYMKNGWQLYQLDRIMGGIAKYA AGVFNGDVKDRSSDVINLFYKEREKEETTHNQELQYRKQVERLIKEGDIYRVTFVPAS KHVLVQLLTPDQSTLESDGLGHEARWSYYVSAYTMRDPTEGVLFSDMRMPFLKRNLPP KMDSDEEYNNFYKPLVHHDGLVIRICANSYNILYEPGTQDWWYRHETNKEIKAPENMR EYASLKEKRRDRFRGKFVNNPAWARGLSKDEVDKSNQQFRTWVGAIAEDTVPAVATSD TAAEPKSDDKAASSASREKDTAAPKVKGEEPADEDQEMGGVDAESSK TRV_03664 MKSSLFVAAAGLLGSAVASPHAHGHGKFHRRAVPNPQETCFCET RVVTFYGPPTLVFPTPTPTPTPIETTTTVQSTSYTTVTVNTTVPASSPAPSSSETTQL PTDIVIVYPTPGTYTIPPTTYTVPTTATVCPSSPSSSAPTGPVTSGAPPCPNPTTIPP GTYNQPGMVVTITITKQIVTCPAPTNTHPAPPPPPPTTTPAPTPAEPSPPPQAPTSKA PEPPKTPTSSQAPAPKPSGGLGGGKTGGMTYSPYSNDGQCKDAGTVMKDISIIKGAGF TTIRLYSTDCDGLKNVGDACKAHGVKMIIGVFISETGIEGAGKQVTDITGWARWDLVT LIVVGNESIQSGRTNAGALAGFIISAKASFKSAGYGGMVTTTETVNIWQASGSTLCDA IDVLGANIHCFFNPDVTADKCGSFVRGQMDLLSKICPGKKVYNLETGWPKQGNPNNLA IPGVSQQKQAIESLVKEVGPDSIFFSFVDDSWKDPGQYGVEQHWGCINVFQSM TRV_03665 MGMRTGKATDIDVPSRATISVNTDSVRKMSHVRLEGRNSSTSVC ELAEEEPPDCCWPFWPPPSTAVLMLARLISALIERGYIVARNSQTSQQDMNYIYIYVY IYVYLSSI TRV_03666 MTLIALTFFFVGTLVSGLSNNFTQMLVGRSIQGVGGGGIIALTE IVVTDLIPMRERGKYFGILSSMWSLGSVLGPVLGGGFSQNVSWRWIFYINFPFVGISL IFIILFFKLTRIPDSLATKLRRIDYIGSALFIASAVSFLIPVTWGGVMYDWSSWRTLV PLILGIAGLIGFMFYETYFAADPMIPVTVFATRTAIVSYIETVLHGLVLWCGLYYLPL YFETVKEYSPILSGVALFPLGFTVAPSAVVVGVITTLTGKYRWSIWMGFFLSTLGMGL LAILKVDSSVPAWIFLMLPAGVGLGMLFPGLGFVIQASALKGHMSMAVAMFSFFRAFG QAIGVAIGGVIFQNRMVAKLRKYPEFSAPGLAEKLSKDAAVLVQVVRGMPEGPQKMHL KEAFTGSLRFVWIVCCVLLAVGGLLSVFTASYDLNQGIDSEQTLVEKKDRNSTVETGV TPSQKA TRV_03667 MGSQQPPLSPPLPVYLRSTPTRKELPGDAQPGSVAMHGGPVSPV RADQSSSAEMGHLQHPHPHQQHPHQQQQQQQQRTDSPGGQSDRQSEGADGQPDAQSEA RHSDESVIEPYQPLQYHHQPFHGHVRSTSASASISSRSSSNRNAHGADHLGLPHGHRR SPNARAVSSHSQADLRPLSFVDLLNVPYPQPPPAPNALNNAYLRSSVGNNASLLSQKQ TFDMYLANVKKTNDPGAQYEFAVFMIHAAQDIDLDDSTSNNNNNSNGNTNSNNPNSNN STDKSETSKAELLREAKGILQRLADRSYPYAQYYLGDGLASGLFNKGKPDHDKAFQLF VAASKHGHAEAGYRAALCYEFGWGTKQDGAKAVQFYRQAASKNHPGAMARLGRACLAG DMGLVKRYREGITWLKRAAESADVQYNAAPYELGLLHEVGYGDDVFQDESYAAQLFTK SAELGHAEASYRMGDAYEHGKLNCPRDPALSVHFYTGAAQLGHPMAMMALCAWFMVGA EPILEKDENESYEWARRAAECGLAKAEYAVGYFTEMGIGCRRDPLEANVWYVRAADHG DERAKHRIAAIRAAASGADPNSAAARRLQKNDTPFFSHLYHSLYLSLSLSLSFFSFGS NLLAIYLQG TRV_03668 MSVYPSTTGNDPVYEETAAPELVDTSETISSGSTGLKSITKPER NTTSLERNPSIDVNSVPSTTGGGPTPSPRPPAAADGRQADSSHRRMQRKLSRKASKST KEERRLEEKRRQQEMDNIKPPSLWNVYCGMITFWAPDFILKCFGMPAKAQRRAWREKM GLISLILLICGFVGFLTFGFTQVVCAAPPVRLKVNEVDQGYMIFHGRAINLANSRHPA VLGLPDASVVVFSGDGYGGQDGSFLFQNVNGACKGMITPKEGSDIPSNSDGDMGWYFP CVTFNQDGSTKPNFTTPEYLGYKCHTSAAARDAFYDLRTTGEVYFSWDDLKNKSRNLL VYSGNVLDLDLLKWFNTSQVSYPSRFDQLRESEELRGVDVTHRFQTGPEKRMFKCLTQ IIKVGSIDTETVGCIASKVVLYISLIFILAVVIVKFLLALAFQWFLARRFAAPKTAMD PDSKERKRQIEDWSNDIYRPGPRLADPAMPDRSSKRASNIFPTTSRFSSPYVVGSNKQ RNTITTMSSQNNSNRLSAAPMYKLGNPSQGTLSADLRHSLIGSRTSLMVPGHDHRHST ISDIEGQGPAEFVHEAVVPQPPPEWQPFGYPLAHTLCLVTAYSEGVEGIRTTLDSIAL TDYPNSHKTILVICDGIIKGKGESISTPDAVLSMMGDHAVHPDDVQPFSYVAVASGSK RHNMAKIYAGFYAYGPDSIVPPEKQQRVPMMVVVKCGTPEEANKSKPGNRGKRDSQII LMSFLQKVMFDERMTELEFEMFNGLWKITGISPDFYEVVLMVDADTKVFPDSLTHMLS AMVRDPDIMGLCGETKIANKSDSWVTMIQVFEYFISHHLSKSFESVFGGVTCLPGCFC MYRIKAPKGGQNYWVPILANPDVVEHYSENVVDTLHKKNLLLLGEDRYLSTLMLRTFP KRKQVFVPQAVCKTTVPDKFSVLLSQRRRWINSTVHNLMELVLVRDLCGTFCFSMQFV VFMELVGTLVLPAAIAFTFYVVIISIVRSPVQIIPLVLLALILGLPAVLIVITAHRLS YVLWMFIYLLSLPIWNFVLPTYAYWKFDDFSWGDTRKTAGEKTKTAGIEYEGEFDSSK ITMKRWRDFEKGTQPSPFPIL TRV_03669 MIKKRKRREKEKGVGWKKKRKNEGEEEAKKMLLHSKMGETREIC KEAANAATVQRDQVSSSPGEEEEDEPEQLTAPSMQWRSRCFDTGRQLKTKPGKKRGRG EPAGAPKPEPATALLRPKQTDRQPLTAETSRVSTPQVNRRPVSRSPRARRRRVVESRK VEEALLLSMFVSSLDFRRPRPSAFRPAQGKKRRDPRSCDVPSLL TRV_03670 MVDQTETTAGSSSSSQSVPHLSATEAVDDITKQEDLVMNEELPH SAETREDSHLSLFGPFTQPTSGLLSTPLTSSPYPEEEHISEDVPEITGSLAHLPDPYP LISIPAHLTLYSNGHSFYHDRDMDEQEDVEELLDHPAPFVPESANDDTDDGWQEDQWM EPPDGNGSLIEITELSGFELDRLPHDRVGTGPGSISTNSEKDGNDATEGFYPSDDEDS CRDSESICGNVPSLPSTQQEQLSTNHDISNNPNNTAIGPITPPVEQAEFPPIELLQFA LPDPGDHYFDPSGVDSDMADISMHTETFERNLTVEQFIRQWYLRARMSQDRLGIKHPY PPIASEAMNVQNWQRPTKISHPDDHKGRYFDIQNIPWSSKLNVDRAAARSLRDQWYTS YHNLRFQPHGYAITPRNTENYFKAKNMYTKFKATMAHFQLRNLMSVRASNVVQYVYRN KVYSVTPFYDVQDTILELTESVSSNPVAEPLKISTMKAKHGVTVVGGFSGEYAYKGEI HGYDKVEGRITKHLNGITNHIDIVQHRTSHTPQAIIASNDNSIRILDCETNKFIATHR FARAINCTDTSPDGRLRVIVGDSADSWVVDSETGKPVQPLAGHRDYGFACAWSPDMLH IATSNQDKTVNIWDARMWRILQTLDSDVAGYRSLRFSPVGGGPRTLLMCEPADRFVIV NAQNYQTRQVHEFFGEIGGADFTPDGGRIWLSNMDSRFGGLMEFDRIQWGQEFGIGHT RRAKIEARGDVYYPDLPNEWLPEAELDDDPRCVLSASERRIRYLRLMSDAEFSRFNIY LG TRV_03623 MRKPDNLLLILAVEYTDPFGLFPQVKPLLSQVLPLRNLHWKSST RPVRSIDSLQIDFKPAHTVADDQKRLSDGASGAVSQRRHQIPGLLQTPYLKIYLLRCD DNETYKTTSRKTLREWIKTRTSAAPTGPDNHDAFEWLILHVIPSTAPSPEVTEKAAPS KWPGRGSTSVLEKVKADFNGSSKTAVDRVAQLRIPKADADKKQPELTAQLDDLVAKLK YFILTSFDLRVGQYEADIREKDSQRSLPGWNFCTFFILKEGLSLGLENVGLFEDALIG YDELAVGLDSALRDQISGTGDQHGGTFLNYSKDLRSKAEAALAAESSEKAEASSSSED EDGDEGEDDNDGDHDELFSNPIALDQDIFPLQPQQKPYRDMILANNISVFDFRVYIFS RQLLLLLKAARSPPSNRQSGSQKNAEKHNLTLLAEICERASEFITLACRTLRKDVETG LAQLEQAPDPSRKEDVITNIVSSWAYAAVSQVLIQTSTKSLEIPKVSLRTSKDLVDAA VLTSFATGARPGVPKRTSSLMSPTSRPSSGLALNPLGTIMSAPQKAGGIELAAAQADL YHLARCQLGALGRRRGWGNRWHSMPLLLNEKNGFTEVSLSDEPMDKKRLPVVPTSAGV ETPCLALSVESKKKFNCLYERITDQIFRHNIAANRFKSAEIMMADIALLKYETGDYAL AASYFHQLSNFYRSNGWETLEGTMVELYSRCLKQLDRKDEFVHALLRLLGIYSSTIQH SLTLQSSMHCKVEEYVEDLFAVSQSLSKTFPVLIKDFFRDIQVQPGISHFQDRDGFQA QLSLRYALGEKIKIDNIKMRLVNALEIPNNELWLENSDSTIVKSKGTKVLLDSSTTVH GKYYVDRIEMRAGNITFTQDYDNQVAASHILQDTTSKSLTSEAERPYVVCFPAVDAFV GKVSQSRSINLVGHRSLDIELSSGWNDISTGCLRLRPATAGLRLIISESSLIEGALTL CEEIKGGRICFTSFARASTATLRVPYSMEGTQTSLSVSVEAEYETPKGQFEYISSSSV VSTLPVSVNVQDLFQDEQLFSRFSISPGMLIPIRVFSCEMKSSGKYDVQTSVQEGEIM DVFPKQPASLLYKIRPRDDFQPDKDSGSTRPLSLSIEFACLNEECLSVLEDRFTKDVE ASPFAGMKQLLVPFLQTAFSAIWTAHTLETIGLTREIEVYSYERMQWREAVESMDGDT QTHLVQWLREWHNTNKTIPLPNNRPVNAVRHITIPVDIPDVQVVHTARLLLKDISPGQ THVGVDDMISAELIIRHTRRWCEVAEQEPQSSIEFTYEIIASPDMWVIGGRRRGNFTC AEGEEKRFQLLLLPQRAGHLLLPSVDIKSFATGPGQQQQQQQHRLSSPTGGEHLLQSD NSFAPRPRRLISSELDYRSHGETILVSADLQMTTINLDGFGDAAAGSWHDAERKTDAA VA TRV_03624 MEPIIMDGDMDNIMDDRDTLCFDPSVLNASTPSLGRDFEDMFFR PSSAPQLSDAMSCLSPSEMPNKSQNDVPPAGSTPSDTRSDSPEDSSHSSSMDSPTGHL RNASLASNHSGLFSPDSAATERYIPGWPNADQLSLGDEGASFLGQESGGLLTRDDSME HDVESSNKAMASAFDFDSAASSPSPLKMELNTEKSKPTVFKQSFRTPSSSTFTGSSSD AGSSQQSALEEAFGGIGMNSGSPLSATLSPTSTFRSPRQAFESLKCTPGVVFPLNDRN TAMFHPNISMENYIRPRLIVHPTSLKSRVETQIPIKLTLYPMPPGVKKLRLPSHAISK PKFLAKPDIKRSPDILELRASVLCTSAIQDKKKRERAFARARGEDVSSKSPKDSEESQ GDEDLPLGGAEVKICAGCIQRERKRASRKKQRKPEEDELFQRDEDKRVIVFNTTEIKD WTDPPRTPNSTNCDPSLPIAPLGAMQVELPMRIACYCRHQNEKVGFQVLFTIFDYMDN PIAQAITNSIMITDDHKTHAPANYATAPNSTVTDPQFPPAAMFPGNPGIDLSKPFVHS VPYKMSPSANDLQALRNRQYPLTPVSSNQSQNNSTTAAAPITTPRNLSRQASPSDGTG PLAKRRKQSGSGKVPSGLTMSRIETQRTSPSASNSPLPSSLPSANGSSDKAYVTPAML NPQFINGPPTPNNNDGMFFSPLDTTNPMDNMGQSSLISAPNSTHPSRPGSPRSRSQDQ VTGLSSTGTQNHSWSVPTGLPPPQVPSMIHKLVPAEGSTTGGSEVTLLGSGFYPGMEV VFGDTLATTTTFWGEKCLNCLTPPSVKPGTVPVVFKHEHPRLGQQQPGQPIIPKPQIF FRYVDDRELQMYRIALGILGQKLNNPADAYQTAQQIMGGNGNSLWNLQNNFQGDGSSG GQQRQAPANNQTANITDTDAKMLVYLEFMDLDGNPGSTKYNSRSPSGQTLLHLAASLG LTRFVAGLLARGANPDVQDKNHNTPLHLAALSGHTHIVHRLRLAGANITAPNLRGFTP ADLATTLETHRAVIASTNHYRSRSVGSTSPLRRRPSSGSLDGFWEPTSSSDAPETEEE STDDSDSGRTSDVPELLYAQPKRTKSESRKEKKQYFSIPRKASISQTSTLASPEADVV AGMARNPSPPVALVAWRDQLAAQINQFQQNVSRAFPNLPNLPNLPNLPALPTLPQMPT LPQIPTLPQIPTLPDYQTHPMIQRISSLVPHRPTSAWSTNIMKDGWGRLTGNSSPPAY EELYPHETDSGYADKKSSMVEAALDAAADQHFAEAEASSHSHASSSKEEFGDVTIGSR NISKVHQDQLRKAHAQKMKRFRSDRNLFVIWIPLLIIIVAAMLKNLIPDIWQAVSYGY ELVMSHVNPPVPNRNLLAT TRV_03625 MHLIWPLVTVFLLQDSLVTAVIPLEPKGGGLCGKAFPDLQAERL TVDEKWNLSPGDATWEFEGTQENEYGSTLGFSPNTSKESNNTTPYHFDIKSYGTDIAL VSIEGSPFDQFQVTATIGYPWSTIGRIFFRRFRGDKGGWCTGTLVGKNLLLTASHCFP WNYGSRRWMRFVPGFGHGKTQAEPFGSSYVSQCRGVKNTLNVTGLDYVICKLCEPLGE RVGWMGTAWWKDTQAYVKGPWLSSGYPTEPFKGMTQMLVTNLTLDGVDPHGEIGVELE SKVFASAGWSGGPMWEYIDGHPTIVGICSGGERSCSEQPGGCFMANLSDPYHDVSAGG KLMTELVRYGQEHWS TRV_03626 MFKKKPSIKPLAPLRSSDRRKIADKIIQEYGISVPEAPAAQPEA DETSAQPATTTPSLSSIRNSLLPENTLAGRFSTTVGPDLQLVHGTLYVGTHPDGEERV LWIRLEQGPGTDGRIYPTVYSLWRNPGLVPLLCTTGHVMEKLYNGADLMTPGLTNGPP FPAGAVKGSVVAVSSLDKPSVPTFVGVCEIDVASLTQVQGAKGHAVRGIQWEGDELWS WGSTGKSGQPSPDHLDGWIGEPEATEEKLQDLELGDDEDLDGGADGGVPINEPPEEEQ RVGGEEEEEKDGEEELPPPTTKEIDDAFEKAFIYALYQHKKDHPGDQNHGLSFPIQPS FLISNLITPFLPIFSPNQAQYYQIKKTSWKNVKKFVKHLDKIKLLKSKDRGGETIVMD VDFDDILVDQFVPYRLPKKTSSGGAKPKAASGNTSGSVSSDQVNVKTLYRPSSKLVPD LFPPLSNTDVNNYYSASDVSKRLNDYISSQDPPIISPSNPRILTLNAFISNKIIPSND IATLSRGTIPRDALLKRLLQDPSLCAPFHAILKPNQTLSDVKPKPGALPKATITIERR TGSKLGTKVAGLDRFGISPQLLADELSKKCASSTSVSQAVGAAKGEMEVFLQGDHRGV VEKLLVSKGLKSQWISIVDKSKKK TRV_03627 MGATSSQDEFAKWAKLRRRHDKAMEEYDVKSTYLSSEDLAIWPV TIFEGVLVTDISIYHPGKKLNAMKVSFDWTIKTVRWASTTGITIILQFWFSKSPIYDL PRGWFPWQVEWILSFPRAPLGTVSIQVWGGACGTVIALVGGAVAAVVPALKSSKQSKG PAVAQKTGTPRASREQTPTRKTQ TRV_03628 MSLDRTKPAETFTTHSSTQQQQQHHHQQHADSQTSTIPQLHPGA QPPPNSSLLARLQVYSTRIPQSYVTPFCGAGAGVASGIITCPLDVIKTKLQAQGGFLR RNGKLVQTEALYKGMIGTGRTIWRDEGLRGLYKGLGPMLLGYLPTWAVYLTIYDRARD YFYSRTENWWLARTYASLTAGACSTIATNPIWVIKTRLMSQSIRPSNDGFHAPWYYKN TLDAARKMYASEGIRAFYSGLTPALLGLTHVAIQFPLYEYFKLAFTGFMMGEHPDAGN PHWVGIGAATFLSKICASTATYPHEVLRTRLQTQQRISPAPSPEGISFRVSEETYRSA TGVGAASSDGMPNRPRYRGVIRTFQTILKEEGWRAFYAGIGTNLFRAVPSAMTTMLTY EYLRNIIHWGQHEGELILASSVENHHL TRV_03629 MVEQPWFMPSAAQHINITNPVIGRRGRPQQPPASQPAVRNRRIA HREQGEEHLETRLKSQGVEDIIRIRTGAMALTSMITRVFEKPWVTKAMTPIANWYCNA AGYRKLGLRCVILAIDVDGGYDDLIPEDNDTVQLALKRLPPKEAYDRMYRIRRAVQCS VEHQLLPEKDHTKPEDDIRYLSPIIEAIKRENAERVELDSLIIKR TRV_03630 MSVVATLDAGEVLDIASVGPHGSPTVSQSQCCGSVEEDGRGDKD RKDSEDREKPRGITKSKAAPKRKTVVSVVASTPPPATPASSSLARPVDPHRTAAPLLT PRGSHITAYPSTTTTTTPTTTVENLLTEAIAHLLSVDPRLKPVIDKFPDAPFRPADLA VEIDPFQALVSGIIGQQVSGAAAKSIKSKFISLFSVTTTTDTQVEADGDDEDEVGDAG LRYKPAPFPSPQQVVSMDIPTLRTAGLSQRKAEYIHGLADKFASGELSARMLLTASDE EVLDKLIAVRGLGKWSVEMFLLFGLKRMDVFSTGDLGVQRGMAAFAGRDVSKLKAKGG GKFKYMSEKDMVEIAAPFSPYRSLFMWYVWRWENVDVAVMQA TRV_03631 MAITKYLVSALAVAGLAFAKGDGDGDDDDGRRRDGQMADPITDL TTDCAGDLTIENQQDVSTLSSCEKWDGDIIISEVVKSSISLTGVKQVTGSLKAKNSSI TELSAPNLNSIGDALSLSTCTALRSLDLSSLTKVKTLSLEALPKLQALGFTRTVSQAT SILITNTDLTSLQGLDLETVGDFMVTNNPHLMEINVNKMTNITGYLNFAANNKQLSVK FPNLEGAHNMTFRNVSEASLPSLHKMDGLLGFYSNFFMNISAPNLTATGDLVFTSNSA VMNISMPKLETVKGGLQLANNSALEDIEGFPDLKLITGALDITGKFKTVKLPSLKEVR GDANLQSTETFGCEPWQKLKDSDVIRGKLTCRERQEKPKTGDDQSGGDEEGHKGAAVA FAKAPAAALLIAFVGALQFFL TRV_03632 MAGIRYQRTDAEEEEDEEGSTMDAVDEEEDERERSEGEGDTA TRV_03633 MLLPKGGVTWKSAKANLPPWKAVLMLISKPRFIISIAAAGLVVL LWGGVSRSTAEMQSSTGRYYCFGPSKPPNHMSANEMVDWHSHLQTPVIFNHHEPYEVN NTSIQNINLNLVKATPKAAAMEERVLILTPLRNAARHLDKYFDLLSMLTYPHHLIDIA FLVSDSNDETLADLAANLNRVQSMPDNIPFRSAMVVEKDFGATLDMDVNAKHGYEAQA PRRKMMGKARNFLLATALKPEHSWVYWRDVDIADSPPKIIEDFVAHNKDILVPSMFYS SLFGCGGYLFINHAIDVWFHRYVDGHDIEGRFDYNSWVESDKARKLAATLDKDTIIVE GYREFDTGRRHMAREGDWRKNKDEELELDGIGGVNIVVKADVHRAGINFPSYAFENQA ETEGFAKMAKRAGYQVVGLPNYVVWHHDTEEKPGNV TRV_03634 MHTEQQNGREELLQPCYYRVTLNKETDTEEERTALLNACHQRCA DRTLKVLEKNGSIFIKLGQHLSSLGYLLPLEWTTTFIPLQDKCPVSSFESVQEMFLRD TGHTIDEIFSSFDPMPIGAASLAQVHVAVLRETGQKVAVKVQHPTLQEWAPLDLSLTR FTFSSLKRVFPEYDLEWLAREMDFSLPQELDFQMEAENARVAREYFSKRTNAPLIIPK GRRPPELYSSVSCPADIVLIVVIWAKKRLLVMEFISGHRPDDLEFLDSNNIDRDEVSA SLAHIFNEMIFGDGAPLHCDPHGGNIAICKNNTRKRGPNFDIVLYDHGLYRTIPKEMR INYAKLWLAVINADEKEMRKYAYEVAGVTDEEFPLFASAITGRDYTVLAQNQVASSRS SEEKESITTALGDGMLQELVSLLGKVPRIMLLILKTNDLTKYATCAVFSQEMELISQQ GSIFWPPNFLRFLQAWVSYLRVEIKLEIYEHWLSLRNRLGLTNH TRV_03635 MAVTETAAGRAASRVQPGAAPPPDSSIEDHFFWTYTEEPHRTRR QAIIKAHPEVLKLCGHEPLTKYLVFAVVSLQIACAYFLRNTSMLSWQFFVTAYVVGAT ANQNLFLAIHEISHNLAFKSPLANRLLAIVANLPIGIPYSASFRPYHLTHHKSLGVAG LDADLPTALEAFFLDSLLGKTFFCTCQILFYAIRPMFIYTPKFTYIHLINIVVQILFD IALCKFTNSLQPLYYLILSSFLAGSLHPCAGHFIAEHYFFSRIKTGGTESLLTLRQQK EQQVKSIAPVDPTIPPPETYSYYGALNILTYNVGLHNEHHDFPAVPWSRLPKLHEIAK EYYETLPCHRSWVWVIWMFILDKDVGMWCRVKRAEGGRIVGGDKKASKADSGWTENEI QN TRV_03636 MDMRLTSTPSILISDSAAEQIPARHRYSSTRNSASSGSCISSST GRHQAQPSYSDSTPPSPSYGPMAIPRSQETIAPPPLPPPRFIEELANGHDSGWRWGNT FHPGGPGVSGAAAGPAGTEMDSRDTSTSRDTAAGTMLPPINPGSSLFGGGHARPPLRR RDETFRLDADEQRAVDARRPGSASMSEHETALGPRSAPLIGSYGDGNAFSPTSPLTRP SRSLSQTGSLSRTLDTRILPSTRQKPLSEKSVEHSINAYDRNLLSKIGGPASPPRNAV LGLTTTPRDPTRVQTSFSALTLTDETLSPQDIRWGSGPPSAGISPGTGGSGFSDYIAF RNQREGSSSGLSPMEVDQFSQAREKYAGGISSGPVRYGEVAHSLPLHSGRRSHDRSFF PDLDTEMSMDEAHSSSVHSKTTRQRSLGERMPSYAEGISPLSNHGMKRRASSPPQVAR HEDAALSTSSADRRMSGFPFNNGICTSPGGARYQSSHGSISSISSASMRTGSYASSTG LSVGASSMSSYDRPSPGGISPTDVDHYDRGGLMSSPAQKQSTSAPILSMPRPAQYPEP SPTSAADMKKNSVSAGSGRKGSHTCPNSSKNPQRVGRSYICDCCHTKPKKLDSLEELR AHEMEKQYNCNYCHKRFKNKNEAERHRASLHIRHHSWSCGSLAGYESAFHPSSSSSNG QASGSTTSTHDTCGFCGMEFPNLPAPQWDVRIEHLTSVHKFGECNQSKKFWRADHLRQ HLKHIHAGSSGKWTNILENACMREEPIADPGLPSIGETPDGKMETDMMGHDGDGES TRV_03637 MGPTIEGSDNEPQDDELDLRDPGSDVDVGANADIDEQDESELIG TGEDGDTTAPEGDGQVHDDDLGSVSSGRNMSAAEAGASGSVSDGGEGRSRQRSVEPIH DSVEDLGSVPDDTPSVQDSLVSSPGSSAFAHRGSSSNLSPVPHRPFDRRFQSRLSVSS IPPSNRSVSPALSLAHSRNSSLASHLRFDSSTPELPEEDSEPAPWEVVRWTKLKKIAG QVFSEVDDILFLLRTWYQLIDFSLAVSSGSITALAISADHSTLAGGHADGSIFTWEIA RPARPFLHIPPIPRDQLDSRRADGHVTGRYPELLPSTVVRKPSSVLAFSPLPLGNVDQ PTDSLGLVAMLTPYLLVIVSTTPVARTQYKSGRPKELAAHSALTATLAWFPAIKLKGK DSEVSKTKLVYCWSNVLTILDVDENKQTDDSDKDRPVNLRFKPRCRWRADEAIVAVQW ISRSVLAVMTITQQLLILEDNSLRVTDSSDLIHKHIYHVDLFSRQLHTLIEQLNDDDD ENNEQDQSMHGVIADAFYMSFRAYKGRLFLLGFNDICVGSLSNWADRLLALVESGDFI GAIRLATSFYTGRSEKLTVGLPEEDELRHQVVQEKLLEMMSASLRFAFGKNAESDIER LQKSQLSDLADACIFACEAMDNHEFLFEDVYSWYEEYEAYGIFMDALEPYIIKGSVRA LPPTAVKSLISHFVTTHTASRLEEIICLLETDTMDIDQVTSLCKKHNLYDAFIYVWNR ALHDYVSPLRELLNLVRKHKTQESGTDKDPEVIAKDHANASRMFPYLSYILTSRVYPT GDELEENEALSAKNEVYKLLFSGKPGRGDGHGNDSSPFQSLRMMLEFDTPSFMIMLNE AFEDSFLNDPVDQWNKGEHAKSADGSSISRQYLLRILLEVMDSNSSDFGSSDTIYLDM FIARNLPKYPQYILLSGSTLQQVLVRLCQYPSIEMLDDCQLSAEYLLSTYHPPDIQAL IPLFKEAKFFRILKSTYRTEKRYSDLLRTYIADPEDQQHVFVCIRDYLRPSSSLNKKQ RRDILTTMEEIAKDLASINIYEAAHTVQSLAPELHEKFLQALQNDSASQYEYLRTIIE DEQKPRTDTRSADTVNHELLEKYLQLMCQYNPSHVADFINLTKVGDLQLEAVIPYIEN SGIVDAAVILLAKQGEVTNAMERLTRHLSTLEAGLCGLLQNADDTPDSANAAEAVTDL ILSVEKYTQVGTWLCKEQSKAARRVHEGGKFNKRGSSVFEQPLTYDENLWLVLIEAVV KIAQQISPLLRRGLLEDNSKGAREVWQVEADKDDEDASQPGHLSSSFRSLVQQVFTSL LTSTTKARHAPHQKTDVSFLRILRAFLTRAAAASPSLSELRTVIGSIFSAYTYEESLL SLANTMLDKDLFVHVNEITKLRQKGWRPRGQVCEVCRRRVWGPGTGAYIWDAWENEWD QQHRRRRNQFPDSDRDYERDINVSSRNKGKGIAVSSAPTSSLTQNEPSSRREKMAAVP VDNSGTSVSGGGPSQATSATSTGTTPAAPVDLGPLIVFGCRHLYHQSCLTEAVAQQYS GDNTSPSIPTEPTFHRHIHDRIEPRQFSCLLCK TRV_03638 MEFLPSKDNPSGPIESVRVNWYYRPRDIQRKTNDLRVVFASMHS DACPLTSLRGKCTIKHRTEIANWDQYMKAKDCFWFERMYDRYIHRYYDVIPTSHVINV PQHVKQVLDERWKYVLVEIGRRKELTSAVKTCKKCQQYAANRAQEKKLEARNTPMVGE SRTNNDTEPELVEEEEEEQPAADADTAAATQRSSPANEQQQQQQQQQQQQQQQQHALK PATAEQISQAKLWQFRYLGIHCRVEDALDYDDRIYPRASSRLGSRHQANVVPWYGHPV EYFKPAETKRKYVRSGPKKEVKLSKEALAAQEADRAERASRPKWVQEVPPGYIARGED EPITVDGKKFHTAELQFKMPDASQLSSARGEDDAPGSHLSVEEREKFIDEYMDKAKEV ATSKGIPDYSTNYLDKALALLYEENFNVEPALARLKALHRYNDLKEPYLKPEEVKLFE AGVAKYGSELRSITKHVGTVKHRHIVRFYYMWKKTPKGRQIWGNYEDRKGKKLAKKAD SAAKLLDDVADDYDDSAFDNDKAMEKRRGFTCKFCNTRSSKRWRRAPAVPPGFTVPAE QSGKREKGNRLTVSLCQRCAVLWRKYGIHWEDPDEIAKRISQGGNKSWRRKYDEGLLS QLLTTSESDVRINQTTATIAASIGVHVTTEVVKETASNPPEPQQQQNQHHQHQQPAKK KTKTADKDANAANAVMADAPPPAPPPPQQQQQQQQTSSRKRAAEKAVQEAPPPLAPEP PRPKVLPCAVCKKMDPMGDQHLSCRDCRLTVHRDCYGIDASRPAAKWICDMCSNDRNP TVSTSYECVICPVTETEHELMEPPKTTHKKKSEREREKERLEREMVNEAIKLYRQRQE AAGKPVGPREPLKRTAGNNWVHVTCAIWFPEIKFGSAKDLEPAEGIESIPQESFKDRC KICKTENGACVSCRASTCNARFHVGCAHQAGYNMGFDITPVKSSRKDVVSTATMGEET GVVAPAIWCPHHNVQTIVHEMGESKGSTEGNALQIYARTFKQADLTLTGTARKAAYVQ HSSPSAAGKRAAAAAMTNGVGPSTATTASPGQGQHQHDEVNGTGDVEQGSTGQGKDDI PKKCFRCQSVASPKWWRRAQPHQPPHQQQPVNGLGPLDLIRWPSSMHHTNDTNGDIPE RIVYECHKCHLKKHHTPPTLTPVLAPLPPVSYGPPDRDREMGQARLPELHRNGYASSP HAQPVQAHGPSHVPPLAPLTHPHGAPEWRPGPGPAPAPGPEYEQRPPAEYLHRKGISP APNGVQLGPPPPPPPPFHQGPPPPPHAQGRMNGYPPPPPPALPHPHPHHQQHPHPHPH PHHQHQHHQHPHHQHAHHPPPFANGGPPPPPPSLPPPHQYPYGPIAPHPSGANTPYPG PGPGPSTPGTGPGPAPGPGPMHFSPPPPPSRTPLPGSHPPRMFPIDRPVQPKPNLSSP SAARRSLDPHQPQPPQSQSQADPSPTAGPTATPSRPRSESMGRQGSMGSMQPQSAPTS GSAASASPSLKNLLL TRV_03639 MAAAAAQHRGRDRDTSTTTTAAPPATSSTTSSTTSAAAAAATAT NTTAMAAAAADRSKDRDRGDVGEAVSSETASSATTPAPAPAPYSTRSRNRPRINYAED TELDAELEQPPAPVSASASAGAGAGATSNSTAKGTPGRKPKDRDAAAQTTSSSSSNTR IASPASNSERPPGMSTRRAAAAAASNGTAAARDKNSDSHGHAAAASTASTTTTTTTTA STSAIPGTSTFSANPNTVVVSSKKRKQPGSSTTVQNVVPANGGGHPNKRFIAAGSRNS EEAVTTSMMTFRSSRAMLRNGKLKADDGTLLAPNGNVYYVYFILIFFFFPSHLYIYYI LSFSCMY TRV_03640 MLPPLTVEQIARQAGQYEFDPQVPLRYWLRSAGLLVKEARIYEQ EGNDQQAYLLLFRHAQLVLINLTAHPDARDPKNKQGLAAAKKEVQASLNKLEILKPRI RRLHDRYQELQQRSQAENHAAATTTASTMEDHTATTFSDLDATIQSATTVQDPALAGR PEALQAAENRDFAVRLAQSEFRRRAFARGDARRPSYASSEASVSTANTANTTNTAKME GDVLARRLQEVRSMLSSGLSKSNTTSSSSPTSRSPTLMPIEQHLSGYRYPSIPRQSCQ HHHTGSHSPIRPPKIEQAPPVPGKTPTIPAKIPDPDITSSSTAASTTSLLPPLPSKVP PLPPRAGSVTPSPSHTPTHSRSNTRTLLSSPPKLPSKQPPTPDTEKDILHPSTFTFKP SSYLENGTPLRTIFISPDLRTQFLSLAAPNTAANLETCGILAGTLISNAFFISRLIIP EQESTPDTCEMLNEAAIFEYCEAEDLMVLGWIHTHPTQTCFMSSRDLHTQSGYQVMLS ESIAIVCAPSHEPSWGVFRLTDPPGLKSVLNCTRPGLFHPHDETNIYTDALRPGHVFE AKGLDFETVDLRPSTSSQK TRV_03641 MLSKDTEEECLVQDAGGDAADHQQAQSTNDKFFRYFQEEVTKVE ERITKLHTTPAAGGEQASAADYCLADISRLSDEVKDASTYIPSYDQRAYASAIKALQE KFADAKEAIAPRKRFAFKRSRQPTPVQNTTDEVMQDTPETPQTTALNPSPEPTTRVAL VSHEKVTDNMEPKPLTAVDEGKKETQQGEQTVKEQEQQQEEKEQLQPQRSESQLPAAQ VISFSDIENSHATLPGSAPLRNASLSVSSVQKSVIDMSSIPELGRSFSTCIFRDSCDS LAIFGKVDGPAFISDVIDSVVVVTCHQFRMHDCNNVVVYLSCTTNPIIEGCVDIKFSP LPEAFSAQNGKQADKWSLVEDFNWLKPEPSPNWSVLEDEDTVEDHVWGRILGDKRGMK LTEILEKTGVTSLAN TRV_03642 MPASKLECCCGRGDCAYLEHNSAALAELERDVETAARLGQALLD RHESYKIEAESTRDRMTAEMEKLQTSKKETEAENARIVEENRGLLDQLEKLNQLLIES DAQVRTLTTTLQSTQLEVRRLSSSTKRVEQLEEQLLQLENEQAKLEDTLILTQESESV AIQRWRKAECALGDLHDQVDRIEREARKERERHVELIGRLERRRMVERELDTAAGRIK GAAATASLSRNGTGTNVVSHFVRDILKDNASLQQCVTELKEMLHNSNEDVKYLREQLL LHQPLDPVPSEEHTYGNLVSLSEELDEDKLPDQMPQEIHVHHHFHNPISTPSRKEKST PIHRRQRKRRPVLPPTLHENPSRAIPMSQAMHRPTNSASSVASAFSQASSSLPGAGSA LSSIPSSPLSGYRSSSIFDRHDSGFESSRPTSPESVIFSPPRYNKQSHRPRLSDASFR SINENDELDKAESSLLSVEGLAGTRPDNDVNQPVECNGAENSTVEKGHNPQQELDGEG IGSSRCNDSSSGPGDEVTRDLNSSIHPLGDALEFNNSTPIQSPKISCQLHRSNSHESL LSVSGMDLHTTSKHPALALRGNPSFFPRPPKRVSNTSIMLPSPSPIISKTNAIVPKAS LTGEQTSVSLLSSVVSSNTRGNLRAVSDSASQIHSDAASTISASSESTVPSKTGASAR TIGIRKRMGSWVREKWSTNTVDEPGSTASSLTVGSLVPRPPGVNQNGPILGLRPPPPA PVSLHPENLNHDLLQESLLEE TRV_03643 MHAGVERDMEQQRIKRERQADFEMQRRLEEEYKKIQSVSDSVPP LNITGNKGANQQT TRV_03644 MTALQDHSTPARQTDETQHVQTGMSSTMAVDPAYRTKRIKDAKT EAQKEIEDYKKQKEEEFRKFEAEHSSGNQKAESDANKDAEAQLLEIKKSGKDKGNKVV EDLIKTVLDVNPQVPEKLAKKA TRV_03645 MKTTKLLGHHSYTKAQKSLFYLSRPQQSRRFSFFSQDRTSRLLD RRLAGLGHLIKDEYANIRHSYCFDELRIAKRALPAIHYWRGIKDAYSINGVEVITAPV SPSASIEQRAEELLQGIENRAEGRKVNIIADFTLSGLDARYMISKLRPTTFEVVSLTT IATPHREERLSQLYFILGRLGLENGAFKQLTREYLAHTFNPDVMNVDDVKYKLTNAVP DASPVQLADINLGIIHNEEGPNDGLVSVASSKWGCYKGTLVDVSHIDLINWTNRLKWF AAEITGNRKK TRV_03646 MVTVLSDSDEPPCADTDPSILSESPRPAKRRRVYNPPVSSPTGI SSQAEEAEEEETGEDSLDDEDGISIGSNNETEEESEDGLPPQKAQIPKTRGEAPKQQA NNLKVYQPKYYTNQEPVFVTQLTQPSSSPSRIRGPRWKKPQPQQHNPSPPRPPPPTHS PCPPIYPPRQQSNGDSSNLQNAEGSDEDIKAAIRESLKSLREETSVRGTKIRNRIANN PVEKTGDVSSLPPAAGNSPDLTALALDFDPDDIPDVFGSSPISSPRQTPTNGRVTSQS QTGLQTSQRKQTNSQPKSLRQTTLFGGIASNQSPSQSATSRNWPLATQNEVPTHHALN SEALETWTYPMNLGRKRDYQFNISYRGLFHNLLVALPTGLGKTFIAATVMLNWFRWTK SAQIVFVAPTKPLVAQQVDACFNIVGIPRSQTTMLTGNVPPGLRATEWESKRVFFMTP QTLINDLKSGIADPKRIVLLVVDEAHRATGAYVYVEVVKFIQRFNTSFRVLALTATPG STVETVQEVIDGLNISRVEIRTEASLDIREYVHAKNVETISFSNSDDMKLCMDQFSKA LRPVVEKLRNINAYWGDDPMSLTPFGLTEARKKWIMSPAGRTANWGLKGMVNSIFTVL ASLAHAIELLKYHGVGPFYRNLVSFRDGPTDGSQGKGGKYGRQIMDDANFKSMMTTLR SKMTDADFIGHPKLEYLKRVILNHFLDADTNSHTADSPKTRVMVFSHFRDSAEEIVRV LKKHAPIILPHVFVGQASAKGSEGMDQKKQLEIIKKFKEGTYNTIVATSIGEEGLDIG EVDLIICYDSSASPIRMLQRMGRTGRKRMGKVVLLLMEGKEEEKYFRAKDDYEKMQQI IASGSHFTFHEDKSPRIIPRDIQPRVDEKRIEIPIENSQAELPVPTKRGRIPKRPPKK FHMPDGVQTGFTNALTLTSADKKPKKRKNLPEHQEPSPELAELPPLNEVTLTPDQTLQ LRRNFQTVAGTAPQVMRFPRVDAYPALQRFCRPTVDVPHGTLTRNLTKAVREMSEFGI DCDEKYESYLPDIHNWEPDDKVPSPISDDGHEQDSSIDKLGNGIVTKTLDRQQRTQQD DQSERGLSLMCTQASGSFGFNNDNINAPEHSADDDFADIDQLFAESFASNDPFARKPA AKTTRDVVPVGETNEDVAHILSDYSGFSSDF TRV_03647 MADTQFDSALDLLRRLSPRNTKENLHNITTLVPDLTEDLLASVD QPLEVRRCPRSKRDYLLCDYNRDGDSYRSPWSNEFDPPIEDGTVPSERVRKLEIETNK AFDIYRDLYYDGGVGSVYFWDLDDGFAGVVLLKKGITPGSKNSGAWDSIHVFEATDRG RTCHYKLTSTVILHLSTGSDTLGEMDLSGNMTRQIESDMAVNGDESHVANVGRLVEDM EFKMRNLLRMITQNLCFPVAYIMMLTTVLLEEVYFGKAKDVVSELRSEYIIRCLPWYC YSEY TRV_03648 MESEKAVFSPADRINELNEVDKDVAQILQSAGLAIQSLTNNASL SQGDLETSSSTTDASLDSRKKAFKTACSQYFALVSSVDVKLRRQVYALEEASIIRAEP TISLKAGDSMVAGAGATTQLSPGTVNPLETSWLNSRKDTVGKDKEAELWAEASRFMKI VTAKKGNENPDSSAAQADAGGKQAMDVD TRV_03649 MAPLEGRQFFEANKNLFLPEHTDDIRALQTLGLPEHVQDNNIAK LYLDNKYRLTLSNPAFSNLMQFLETKHKEGGTVMSALLSSYCTIITKDRASDDRFSFG AMLARGKDSAGVPAEDEGIPGHHPGSAYTGDNPGIAGALPRLKLGQLPPEATLEGDVR GELEEEDSKEDLGPGKVTLVQHFDQMIKKEEDLDAPSRSEIPYPPSTARDVAMEVQKV KENRDRFKIEGRSGGVAPGVSVCMFTFHNANQGITCMDFSGDNALVAAGMQDSYIRVW SLDGLPIPPTYPDSDDSTPKNSHRLYGHSGPVYAVSFAPSIASPDDAEVKTNARWLLS SSADQTIRLWSLDLWRCIVVYKGHAGPVWSLSWGPFGHYFVSGGHDKTARLWVTNKIR QQRIFVGHDQDVDCVCFHPNSAYVFTASSDHTVRMWSVSTGNAVRMFTGHTGNITAVC CSNDGRLLASADDHGTIILWDLAPGKLLKRMRGHGKGGIWALSWSAESNVLVSGGADG TVRVWDVAGPPSEASTGQSRVLTESGGGTKIDASNSTNAAPNQSAATAASVTGAPKSG SAKGSSSKKKKGKDAVVTADQISAFPTKKTPVFNVTFTRMNLVIAGGAYTP TRV_03650 MSDLQKSFAKSRLAKLPPEVPVFDEITESRSGPEVYNDHHEDDS SSASSASSTGTIVPSQSQKLFERGSGQRARSRSNFVASPWNDFFETELFIEDDMDGLQ INHHVYFTSPTKSGPLFVTHHGAGSSGLSFAACAAEIRRALPTAGILSLDARSHGLTT QSTTDSQKYEQDLTLETLSRDLIYIVKKTKEKLKWDSIPPLVLVGHSLGGAVVTHVAK SGELGSNVLAYAVLDVVEGSAIDALQSMETYLSTRPSSFPSLASGIEWHTRSRTIRNT ASARVSVQGLLREEPSIDSHVAASWKWRTDLAKTKPFWENWFTGLSKKFLEARGGKLL LLAGTDRLDKELIIGQMQGKYQLQVFPEAGHFIHEDQPVKTAQILVDFYKRNDRSALV LPPKVSDMLASKDSAQKWGLKK TRV_03651 MSPLWGLRHNGREEGREDGDEEEYVGETGRPDNRRRAQDVDERT RLLPAEGNGYLHPDDPAVSPYNLWSVRALRGLTLTVLFISLLWWILLLISIFVSPPGM SNRGSGFFDFSFTTLTISNLLIALIFFAIPSTPMTIWATTLSVLLSVSLFLMLGVPRL RIEEGWVGIASAAWAVVISLYLVAQTRLVAQGKRQEEERLTGREETRRSLAEWLAILV QIIVMAVTVLVGILLMSTLSLRAKDATLEAPGQRYYVDSNKYQVHLHCIGGDHSTLKN SNETTVTVLLEGGESPVEYTFQKWVDEAYQHGVIKRYCYWDRPGIAWSDNAPSPHSAG MSADALSEALAMADEEGPWVVVSAGVGGIYSRIFASRHLRDIHGIMLIDTLHEDLLHN LGKPGRGLMLWIWGILSPLGIDRLAGAIFKGRTRADRVCGMRAYQGGKFIKAELQESL VADSITRSEISSARHIQSPSTPLVVVSSGKEVRRSQTWADKQEDLTKITKNLVAWDVV KGAPHQVWDTLDGRRTLEKRLGELVKQAKH TRV_03652 MTVIPESPAGGLAAKQQGIPPHAQKATFAAGCFWGVEHIFRRRF GNGKGLLDASVGYCGGKSKLPTYRQVCTGQTGHAEAVEVIYDPTIVSYQQLVEFFYSM HDPTTKNRQGADTGTQYRSAVFAHNEEQLRIARSVTDQVSRRWWKAPVTTELNPPDQW QWWTAEAYHQLYLEKNPTGYVCPAQ TRV_03653 MAGGQLYNSITSIFPPGLRALLLLLLVYLDMTIFGRAVADLTVS ISTTLLTLFSPLHKPPLRLSSLFFIDSELCWLSYSFERDNLHRRMASVGGIPAVNVLW STKQHLYFSSRTARLIIGSQCRPAQHILHWARYHHGDKYVGGHRDKLPSPPPSTALAT PGRQRTAASLFQPPSPPLSGFPSSQCQTIASPLSMLSISSITRSLFTTAMSSSPIVLK SAMKILSFIADSNSPLLNPDRNFILNYLLRKTLYTQFCAGEKPHEVQRCIDEIKRVGF SGVILGYAKEGVPDKKEAAAVLDLDSTDADTTESNQKVAEYEVNTWREGNLHTVDLAG EGGFVNIKFTGAGSEAIQHLVRGAPPPPHLYEAMLEICQRALSRNVRLLIDAEHQAVQ PAIDSWALDLQRKYNSRSDSTAGERAVVYNTYQAYLRSTPKTLSQHMSIAQDEGFVLG VKLVRGAYLGAEPRHYIWDHKQETDTAYDGLADALIRREYNEVLTPYVSTASPKSPTS PPVTEPESIPKPTFPEVDLLVASHNRYSIKKAQDIRNQQSYTGQPQIGLIYGQIYGMA DELSCELIHRGKICHEGEVVDTSMLVKPMVYKAVVWGSVRDCMRYLVRRGQENMDAAS RTLDTRRAMAKELRRRIFGAWF TRV_03654 MPPAISPDGKVDRYSSVHFYKCNCTACLKFGLFHMRLPRAPQDF LLLSPLQPENDLTAYKILEEGSTWYFCPTCGVRCFSFGGKGRVKEVDVEEWATKPADT TDMKAAAAAAAAAAGDEGPKKIKTKAWTIDEDGWDEGLRSCYLSVNAQTLEPEDGLDL REIVDKKWLGYLDYREMKEKQRFDRPHVGGSW TRV_03655 MDSCVPMPACKSQTYNWDDLNSVAPNTKYLGDASKADWVSSGEP KSAGGNLLLTMAPSTVGTLLGHNHYMWYGKASAKLKTSRGRGVVTAFILMSDAKDEID FEFIGADLTTAQTNYYYQGITDYTHGKNQSLSNTFEEYHTYEIDWKPEKITWSIDGQT IRTLERDSTMNETTHQYNYPSTPARVQLSLWPAGLSTNGEGTIAWAGGLVDWKSEDIQ KNGYYYALFDSVTIECYDPPKSAKVEGSKAYVYTDNSGTEGSVKITDDSTILKSFLGN GKDKDKDYPSPTKGGFSPPRPTEVAVIPGLSGAGPGLDGKRPGDGEKGNNGGSGGNGG SNPSAPQQSGFTQGPVGGDGNSSGASAPGDQVLQGSLFAVFIAIMALVTL TRV_03656 MASNDPRPSNDTDRNDEESTLLTGERVSRTINDDSRRSPNFWRQ VGLFTWALVATAAVIVLAIVYQHDHSLPQDPHRARHTGKRNMIFMVSDGMGPTSLSMT RSFRQHVDKLPIDDILTLDKHHIGSSRTRSSSSLVTDSAAGATAFACGKKSYNGAISV LPDHSPCGTVLEAAKAAGYMTGLVVTTRITDATPACFSSHVNIRGYEDLIAEHQLGEY PLGVQVDLMMGGGRCHFLPNSTSGSCRADDKDLVKLAQSKGFHYFDDRKGFDALKKGE AAKLPLLGLLAPTDIPYEIDRRYREKEFPSLEEMTRTALRTLSDATKDSEHGFFIMIE GSRIDHAGHGNDPAAQVHEVLTYDKAFAAVLEFLENDSTPGVLVSTSDHETGGLAAAR QLHESYPEYLWLPDALANATHSSEYLEPKLNAYLEGQGHGQSREEKRKHIREKFIKPA LGIVDTTDEEIDRLIDNTGRPAAYLFADLVSRRAQIGWSTHGHSAADVSIFASSPHDA LPLVGNHENTEVGGFIATYLDLDLDAITKKLKGVKTKPSTSSEESASDYSWMGKPLDK NVIVDQLDTYHGDFKRRLRKRGPDEEPVHQGRSDCGCGNHR TRV_03657 MHPPSTLLLRSLRSSISKSISTSSQPSYASLQPKISRCLLKPTP AGVRTYTSDKSNPDPNVRAQPRPRRTTLSSADMKPKSNYRGPPPEGTDAQHMTDLNSL NILSGAAVPATAIDACHHDGFQLNNGVRIADGNGCLLVDGEAFVWKPWEAAAGKERSF INEKGQWEVPEGAWGALRLLWPKPGMQLRSSICWQQREAFKKLQPPCYRLDREVGLLL RTLGGHISFL TRV_03658 MGTVSIDGLGLAAHQMQPIRRALDTLHNFSPVILFVVFAVAFVT NTIIANRRIEPKAQHTKGARTGPGGRPLPTRMRSAVLIARKTPDFSPATKLAFKWLAV GVLFSFIAEAAIHVAHIVLHRKDHWCEYKLFFFFFFVVDVVDVAVVIVAVAVDEMTSI HVVGTFFVHTLVLISLIDTTPSPTVAQLITWIVSMLLELVILALFLDINTSPHHEPIV GDPLGGPLRKGLTTWEAGLVVTASARILLLVSLVVIYSLTSYALKSGAQNSGTATETT SLLHSGNGTAHANGDRYGASHRHLKHDDDDQPEEDGWVRPKTLPSTSWWEYMSGYSLF FPYLWPSRSTKLKSIVVFCFFLVILQRGVNLGVPMLVRNIVNILAQEHGNEFYVPWGT ICLYVIFRSLQGSQGLIGSLRSFLWIPVGQYSYMELSTAAFEHVHSLSLDFHLGKKTG EVLSALGKGSSINGFLEQVTFQVVPMLVDMCIAVGYFFVEFDVYYALVVGIITFLYLY LTIRMAQWRGEVRRMMTNADRQQDAVKNDSMVSYETVKYFNAEPYEFNRYRGAVSDYQ AAEYHVLFSLQLMNTCQNTVFMLGLLITCFICAFQIANGQRDVGQFVGLLTYMSQLQG PLNFFGTFYRSIQGAMINSERLLELFRAKPTVVDAPDVDELRSCNGGIEFDKVHFAYD SRKPALNGLSFECKPGTTTALVGESGGGKSTVFRLLFRFYNSTSGQIRVDGHPVESVS IDSLRRHIGVVPQDTVLFNETIMYNLKYANPAATDEDIYDACRAASIHDRILTFPDGY QTKVGERGLRLSGGEKQRVAIARTIIKNPRIILLDEATAALDTDTEEHIQGALAPLSK GRTMLVIAHRLSTITTADQILVLQNGQVAEKGTHEQLLAMKGRYSSMWRKQIKAQRAA AEAQALQDRAERLRSSSVVAGGGEDSSSQSDEDRHAETTARPRPTLSQRSTKAPEAKP AGP TRV_03659 MLMLISEEEKKKKELELFESRRKAKGEKKKLKRKKKSERRRELR RRERERETDREKGREERKEEKGNRPQEQVPVYAAEEEEEEEKKKKKKKKTEDGEMARS KVPHRAEQKAGGTKAAN TRV_03660 RAGAGAGGAGEEQAGAGPCHPEAAFVPLEHAVLELTKEEGVLVE AVDGQAKKMYVPVASSREAIVLLARR TRV_03622 MDGGDGHQKKYDTSYISGRVVRETPGASSDRFRQPQQSGSLRRT TLDSTPQDGATPLPPYGGSEYFESTPYNTSQLQSGSIQYQQGFHNSRNPPQSTQQSTP QEQQQRLQQYDHNIVYNINPHDQTPSSYYQPRQSAAIEVLATQFGVPQYFPPDESSGG AGPSAQYLSPQDQSPGFPQLPPVSRAGVAASFAENMSGFNSTSGPDPQDRQEATLQRS SGLDEAYNRYQQTLKQVFYDIKAGRLANASESMLEMSEWLLGNAVELGLVRDQEDLHA DRIDMWNNFNTCWLAICQKQKDTTLEMFDTGVRPAELLSEAALQKLGRELVRLCDKME QYGLVDYQMGVWEEEILCVLGQCLDLLEGHEETSASNNRNRLPTADH TRV_03581 MSEEKERGKKKRKSKTKEKKPRVEARPGQNVTSEIATPDGVRNT PFWQRQARNDRANLQLSPVWFAPHHRKKIKERAKSEGAVMEHG TRV_03582 MAQPPKAADWADDEEFDDSNALPPQQVLTNKDGTKTVISYRFDD DGKKVKTTRRIRTTVVKEHVNPRVAERRGWAKFGLEKGHPPGPSFDTTSVGENIIFRP SVNWKAQAKEVEKEGEKGSLKEQLKDKKVKCRICNGEHFTARCPFKDTMAPVDEGAGG AGMDMDGGDDKPAPGGLGQSGGSYVPPAMRKGGAGVGDKMGGKYERDDLATLRVTNVS ELAEEQELRDMFERFGRVTRVFLAKDRETNMAKGFAFISFADRSDAARACDKMDGCKL MHFVFLVPPFEHETNACVVGYRHLILRVEFAKKSADSK TRV_03583 MASPRKFATRPPERYLAQERHQDDSKTFSRENETADQAMADTEQ IKTPLTELLKIRHPVLLAGMNVAAGPKLAAAVTNAGGLGVIGGLGYTPDMLREQVEEI KSHLVDKNAPFGVDLLLPQVGGNARKTNYDYTKGKLDELITVVIESGTKLFVSAVGVP PKHVVDRLHAAGILYMNMIGHPKHVQKCLDVGVDIICAQGGEGGGHTGDVPTSILIPT VAKLCQGKTSPMTGQPVQVVAAGGIFNGQSVASALMLGASGVWVGTRFILADEAGAPK DHQEAVRTAGFDDNVRTIIFTGRPMRVRNNAYITNWEENRAEEIKKLTSKGIIPVEHD FEHMEDIDDETIDNARPHLMGKAAAVVNEKKPAKDIVDELVNDAVSWLKKGNGYLTKP KL TRV_03584 MATPHPTQRAFIALGSNLGDRVAMIEEACREMEARGIKIRRTSS LFETAPMYVVDQGTFLNGACEVETSKSPMELLDTLQSIEIDMGRRKVIDKGPRNIDLD ILLYGHETVSNDRLIVPHKLMLEREFVLRPLCQLIPNKYPLVEGEGKTYTSHLQALPP SNPPPIAMIPMPAGLPPITPTKPSRNTLLMAVLNVTPDSFSDGGIHSSTDPTALLETV KAYIDAGVSIIDVGGESTRPNAEPVTEAEELSRVIPVIELIRSLPEASKIAISIDTYR ANVAEAAVNAGADIINDISAGLLDPEMLPTMARLQKTVMLSHTRGTPKTMNKLTHYPD GVLAGIQSELAERVNAAEQAGVRRWRIIADPGVGFAKTQDQNLTILRNLDQLRQAEEL KYMPWLVGVSRKGFVGRITGVKKAGERTWGTAAAVTAAISGGADIVRVHDVSEMAQVT RMADAIFRPSL TRV_03585 MKVGGNESATKYFQSHGGTAALNSKDSKIKYTSSAAVKYKEELK RRAAQDAEEYPEEVVITDVAATATPEGSSTPAGDPDDDFFSSWDKPSIKRPSNPPSRV GTPSSGGRSSPFLTPGPNGNGSRPKSPLSSTEKGNASSPPVAVRTATAVRKGPAATGK KTSVLGAKKGPKLGAKKVVGAEAIDFDEAERKAKEEAERIAKLGYDPESERAEEEAAT KGKSASSIVSPTPISPRTSFGTTRSHDRSASEVERLGMGMGRLGFGQVGKPKAPAPKK LGFGAVGHANSAVDDEELEQTRSKFGNQKGISSDEFFGREQFDPVAQAEAKTRLSNFE GATSISSNAYFGRPEDELPAGDDYGDLETAAKDFVRKFGITAGDDLENLTNLVGDGAV RLHAES TRV_03586 MEQVDEKPSNCVNSPTPANTETQRLLTNPLSTTIDKDSKGNEHM RMNFHVRHHLPRGPFDRILTPNKPSQVSGPLNDGVVLVHLVKLAGQSDFEYHLLALDV KGKRKD TRV_03587 MKITGFTSHDVRFPTSLDNTGSDAMNAATDYSAAYCVLQTDSTH TGHGMTFTIGRGNEIVCTAIDALATLLVGKELESLTADWGKTWRYLVSDSQLRWIGPE KGVIHLALGAIVNALWDLWAKTLNKPVWRVVADMTPEEVVTCIDFRYITDALTPEEAI KLLREVEPGKRQRIEEAESNQAVPAYTTSAGWLGYNEEKVKSLLEKSVQDGYRYFKLK VGGDLDMDKRRLAIARDAIGYDKGNVLMIDANQVWSVPEAISHMKELAQFKPWFIEEP TSPDDILGHAAIREALQDTPHGAIGVATGEMCQNRVMFKQFLQSGALSVVQPDACRVG GVNECLAILLLARKFGVPVVPHSGGVGLPEYTQHLSTIDYVVMTGKKSVLEYVDHLHE HFEYPARVRNGYYVTPMEPGYSVQMKAHSMEKYSFPGEDGKSWWRSEEAKPVLQRARV V TRV_03588 MGSCISRQLPEDQERRRPRLLTKWKQRRALKKAERHFRRASPTF EETKEIDTLRATEYTPLKDHVYLDYTGAGLYGEKQLRTHFDLLRSSIYSDSSSTSNAA AIQRIREHVLSFFRASPDEYELIFTANASHALKLVGESYPFTPQGELLLLWDNHNSVQ GLREFARGKGTPITHVPVMPPNLNIDEAFLKKSICTSSDSHRLFAYPAQSNFSGVQHS LKWIEEAQAHGWDVVLDAASFVPANRLDLSQWHPDFVPISFYKMFGYPSGIGCLIARK QTLAKLQRPWVSSGKVPTMTMTLLDSTDSSNGGQNPVAARKWHEVFEDGSVDFFGLPA VEIGLNHLSSIGMETISSRVKLLAGWLIDRLLELRHSNGQRVVIVYGPQNTVNRGGTI TLNFFDPTGRVIDERVVDKRALPINLSLRTGCFCNPGASEAAFYLTEEALLNAFNQEA AAKEQEGNPKTFDEFLLDMGMKTGGGIRISLGLMTNFADCFRFLQFAHGFIDNIPTET DLKPRPHC TRV_03589 MQLQPPTKDEIVRTIFVSGITEGTGGDEGVERILRSAGNLRRWI RATDADNKPCKFGFAEYEDPESLNTAVEVLRDIEVPVNKQRPSDGEKDDEEVEMSKLM VVVDDSSLKYLEQYESNNTQEPEAVHSRLETARKNLAAVLAELTNPSAQAKGEGVDKD GDTAMGDGEKQADGTSAEVITIPITVEDELSDIPAEMRETVAKEIAAFRERSNRRDLE RLKREEEIESLERARNAGHSRSNRLSSPSRSTSGPAGGANGIPLGPRDRSVPNAPSGP KGYGHQIPKDYQKGVSFVNNETEIIPEEDDTDASDSEVERRHQEKRNAELEKQALDQE RRWLNRERSRTAAVEREKNREREEGQRAEEEKRAMAKRLREWNDDTEASRKVEEYYAD RGVWIRKRATFRNREAAMDEADRAAEEREKAKEAQQQEQARGMAEDFLARQAQEMESR TQPRKEPQRFKLALGAAAQKAQRRTVAEVEGLLEDEEDSSATARRPLTDIKFDSIAET AGLTDEERAQAARQLAAEIPSDKEGLWQWDVKWEFVDEAVLSDQLKPFVEKKIMEYLG VQEQMLVDVVEEHIRKRGNPQDLVEQLEGALDEEAEVLVKKLWRMIVFFSESEKRGLS G TRV_03590 MLASIKSAMTGAANLVSGQAENTKRARVRVVNNTTRPIVAISVI HKCSSNGHKSHQEWAMVQPGKASVPEMEVEYPAGSGSSSDAGGDSSWLAVWYSEDLQA LWHCEPSESGFPVDMLDKQSREEIQRVEEALATGSEPGSKGAQLATALARSTTDRAFN SNSLEGLVRHQLRDEDANEVTELVINANETMTFKSKSGTTEAKVNSQPAAA TRV_03591 MRTGIFALAALLVSGVMADDGEWRKVEPHTMKALRELRARQSGG SSFIPGTTQGHGKNCVDAFGAGYELCADSKVCYNPTEGDLCCSEGYRLLTAFQGLDPK ECAKQHNVELPPTYGNGNTKPSGAPYPTGSTPPTGGSHSTGAPKPTGTLPTRTGTLPT GTHTGPTATSPPIYTGAATHNAVQGAAAAIVALGLVQNFL TRV_03592 MPFAQASSLEAWKALEQHHTTAGRHIRLNEEFQKDSQRFEKLSR TFYNEADKSETLFDFSKNLVTDETVSLLVNLAREAGVEELREQMFKGEPINFTENRAV YHVALRNVSNQPMQVGGKSVVEDVNSVLEHMKQFSEQVRSGEWKGYTGKKIKTIINIG IGGSDLGPVMVTEALKAYADRSFDIHFVSNIDGTHIVEALRNSDPETTLFLIASKTFT TAETTTNANTAKDWFLKTAKDEAHIAKHFVALSTNEQEVTKFGIDKKNMFGFESWVGG RYSVWSAIGLSVALYIGFDNFHQFLAGAHAMDKHFRETPLEQNIPVLGGLLSVWYSNF FGAQTHLVSPFDQYLHRFPDYLQQLSMESNGKAVTRNGEHTKYTTGAILFGEPATNAQ HSFYQLLHQGTKLIPTDFILAAESHNPVEGGKHQRMLASNFLAQAEALMVGKSPEQVK AEGAPDELIAHKTFLGNRPTTSILAQKITPATLGALIAYYEHVTFTEGAVWNINSFDQ WGVELGKVLAKKIQKELETSGAGGDHDGSTSGLIAAFKKKASLL TRV_03593 MTFLKRILISSPLYLVLFSCLCAVVSASRLTHLDNPAKPAYKYG DNLPISCLNRTISDHRLTNQQVTDVAGKLQFIPFPECNETGLPLAFHYGVSETITCTI DSLSDSLYHLLEYYVHSDVPLACRIPTAPLDGSSTKFSSRKDDIKEKDKHKKKKNKNK SKDKDEDEAESGDELSSTPFTPITFAVQGTLQYSHLHMWTDMNVLFHRSTSVQSKGAK PSKHHINGQVVAGSAYSFPLAAKRDKEGKLDKTISVPLDPWAAGKGSKIVRGEPVTFT FRVGWVDESDVSFLSAQPRGSWGAFGMMIWRASMFVMAGVAGGILAIWWDRTLGSRRK GSRWDGDGLLGSIPGSSRGFFGSRRAGTASSSTSSIGGYGGYGLSSAGSHGYNGFSSG KRD TRV_03594 MDVRRMANGLVSKHTSRLVRQHFSRPAYISQPLCQPVRTISTSQ PLSDRRKDAPADSQQQQRQQKTPSPSQNNFDVDSISNLLDDVYERVGSSNPPSSSNVT AGFRNLFQNSDVGRVTRAVRDSVANSSNEGGRAVPKYPELKLGPKLGRTIAVDPSRGI DATTAFSMLEGVVSRNKIRSDQNAQRFHLRRGRKRKARKSKLWRELFKKSFKVQVARC MELKRQGW TRV_03595 MARETLSAIIQTPAAASNLAIIDVRDSDHVGGNIVTSQWVPSSS FDVHVPELIRTLKDKEKVVFHCALSQQRGPSAALKYARERARVLGEDESAKQTIFVLD GGFVKWQEVFGNDPKLTNDYVKDIWDDY TRV_03596 MRQCLTSDEGGYYTSRGTPGSDVFGKEGDFVTSPEISQMFGELL GIWIVTEWLSQGRRSSGVQLMEFGPGKGTLMADILRVSLSLLNEHGILQLMGDTYQSV RNFKGFASSVEGVYMIEASPTLREIQKKALCGDAPMEECDIGYKSTSIHLGVPVYWTE HIRILPQTEDKAPFIIAHEFFDALPIHAFQAVHSPPPETINTPTGPAELRQPSLPLNG TQWRELVVATNPEAEREPDGDDSSVKNDKKLEFRLALAKSPTPASLVMPEMSSRYKAL KSTRGSTIEISPESHTYAQEIARLIGGPNPTDKNPSPTRTPAGAALILDYGPSSTIPV NSLRGIKNHQVVSPFATPGEVDLSADVDFTGLAESALDASPGVEVYGPNEQGSFLRSL GIAERAAQLLRNVKDEEKRKQIESSWQRLVERGGGGMGRIYKAMAIVPESGGKRRPVG FGGEVRM TRV_03597 MAPNKKEESASFEAIIHNARLRRQKQALTDDFFGKARKSGTPGS NVSSRRGSPVTGSLASRVEKVSLPRDPCSLPNQNRVASAGVKKQQAKPTTTRQTRESR LLSGILPIIDNTNRTKTQGPGLNIKGRASGPCVVIGSNFAPGTTAEDIEAAFSPTGGD ILQCRITKSYPSVTAQMVFAERRGADSVVAAFNSQKADGRVIQVRIDTANPATVLAQS NSASAPRTKSAFDSSRELADQERRENRRPAEFQDGRFGFADPAEQPRQQQPNSYDQDH RHNGQNWGRARRQTEKQHNSAKPDLYDTMMVDPQPSRTRRR TRV_03598 METTDRSVYNTQGPGMNSRSTRSSFRFFSRQKLSTPPDFSETPL RRAKSAQGFFAGTFKATPFFKRASVVPNQADRNVSDDNGAVFAGSDSDHESDVLSTFA PIHPAESLAVQSSGSLGETNDSHQLSEETQEATLEEKKGLLDPTPGSSTRSVILVSRR LKNYFRKNRSFWSHEIAASFLVQCASSVFLHEIHGNSPFLLSPFLPSPTGFVVYLHLA SNRRKGYADQP TRV_03599 MDNNSVAVNGAISTVATDTPKDEGSDIYNTPLNVGPSLQPENAV PSNNETIATEGSGRPRIMIPGLTLLSEEDHRNSSNQPLESVSKPSPNTAGQVPETTDR VDTVMSGDGIEVPNENITESVGNPNAKERTDAIPDASLSAEAEIAALAAEMIEGIPPA TEGEQQPDGGDHPEWEVDSSPYESSSESSTDSDSSDSDEDDDDDYELLGPEEQARLLM AAEGGSDDEGGDSGRAVITEVRTANEKPDEMVSMPDITITPEMKVEMLGNVESIVDNV VLVRANISGEYQVLETGSVLCSVNLKVIGVVSETLGRVQQPLYTVRFPNAEAVKEAGL EKETPVFYVVDHSTFVFTQPLRGLKGSDASNLHDEEVGDDQIEFSDDEAEAEYKRQLK LKRQGKREGKAERGGGNRGKGPSHPPSNLRHSELNYDDNGISNDNDAENGWYRRLARP QGLHEMMGGEAPVEMPHTPDKYGERSFRGGRGRGRGRSHDRGSRGRGGRGDRTW TRV_03600 MERVTESIMLLLFLPHSGRTIYIAKMHHWHEAGCQRPDVVIIDD TARCSSCLSRWSHEESVEVTSTLTVPHIESLSHLNLSWPETVKYTHPNTSYDDISIPS LPGSKPPHDPQLVPSVANTNSLDTCPEGYIRLLWLHPGQSDDPVRGDLMDLPFRDLDA PPYQTLSYSPFDDPTSISEDPSNEKLSEQKPQYSEEAFTNILCKPQPRNRPVYVDPSC DVIYVTKNCEAGLKAVRHLSAALPVWVDLISIDQHSNDDRSRWVASIKCVFANALQSM IFVGAPSGDSDIALDLLSLITSRRSVRSRVAATKEGPKSALKSLFKRPCFSGLWLLQQ LIYAKHPLILCGDRSIPWPCFSFMPNGIRRCASLLLFNKDAWTRLIDKDYYNILCHAL THECLDPRDKIYAILGLLGETGISIDYSLPVEVVYTGITAYLLQNHLSFRLFDLLGAD EHRLNLPSWVPDYSQRLQVDNSFDITTWVNDTDDQIEIGESKSVLILYPFEFHNPEEL PVGLDQEVEIGSDGSLSIFAVKLCDIFPMDSVTKNPQNSQHFIVIDKGLHGHILISLK KKEYEIGDDSIFLLNESPYPVVLRRDPRKGTYSFLSLVSMALAYPNGDDVTWRLPCGQ EHGTIWPFDVPLRVSQTTTQKIQEFYSSLLELCEVDPTQIPMDSDVDLVTAERKAVVD FGILRLTGFNTLEADLKSTWQGYKEKLGWMLRDQAAIWKFIRHTEQEHQKDWSGVGSM VVKERVGLLDNYATGIKTEYTWNLRQFFWSFLKPTALAPDLLEGVWNPAYEAIKSHTS DFQSWAEVTEKLMNAIAFSQTALGSSMKFFPGIDLPEKWSSNWKSFSVAREGGIFHDG EAFGSECSWNWSEFERCLDLRDTVLAQALPEELRPQENHEMQAHMSFRVWGLNLDQRR RITIV TRV_03601 MYMSMLGLAAFLDWQGGLKTVQGIICFGIGAMVGWPFAGALAIP FLLEEIAVAWSLGDIAPAIKRVLEGVVKCLLILSVVVLVDSIFYRQFALVPWNIVAYN VFGGSGKGPNIFGTEPWTFYFKNILLNFNMWAVLALSSIPLLVLQALFRPHKTSAQTL FRSVTLVMPFYMWFAIFTLQPHKEERFMYPAYPFLALNASISLHIVLAYIGSSDPGTL VGKIPAKVKLIITVIPVLLAINIGLLRTVGMVTAYSASLQVFDALLQTDGAPPEGFVC YGKEWYRYPSSFFLPNNNLRAKFVQSEFRGLLPGEFAESGAGLGYFPGTWTVPKGMND RNLEDPEKYTDISQCTYLVDSYFPGDKETKPEPHYILDTEMWEKVSCKPFLDTRRTGV LGRTIWIPNLPFIPNKYQRVWGEYCLLKRRTA TRV_03604 MLSSIPSLSTSYIRHSTGPFAEQTLLQDQLDQLDQLESQLLLSS YQHHQHHNHNLQQDQQQEQHHHHQTFSDISDSPSLTFPVYHSCSTPPPESSSSAVASP YAYDSASPVFSVQGSPETDFISSFYHGAGGFSMPDESFPPREDGLSHTPPPPSIKVFQ SSPHTSSSNTNNNHRTTTAAAAAAANNNNNNQMLLQSGLSYEGDLQTLLPPQQRNRHR LSPRAPGQTSGTPSAIAKPQQQQQPQQQQHQQPAQHRRTPSSSSNVSLTSGYHSYSGY DQSSSKPLPTPEQTPVQASFLGQPQFPSYDPSSSYRNAGDHTDMEMAMRRAVFEQQRQ HQQQQHRQQQAAHAVEEEATTFPSYTLPPSVSTLSHNSSATTQGHMNARANSPRDASP WAQQVSVFQNALHHPSHMNSSLRGMELMSHNGHEEQPKTISPKDAMLEFHESPADSSS MPLFPSQAEASQFEAAVATTLANTDFSNDAFAPFSSASQNTPQPYFLGQTAGADVLQY QTNTPQQQHQPPHQQHHSHPQHQQNSAEFAAALAGLDQAAGVDRKMQDHDSDLATGRP DDTTSEAGTYSCTYHGCTRRFETPARLQKHKREAHRQTTPGSHMLSRDNSTLGARGLS ARNSQAGPHRCERTNPSTGKPCNSIFSRPYDLTRHEDTIHNARKQKVRCHLCTEEKTF SRNDALTRHMRVVHPNVTWPGKQKRRGRED TRV_03605 MMTSQEVRRIKKERKEERKKEKKEGRPISTGRKKDAGWAAGVEM DMRVAGKKGVWGVDRAVRVVRWGLVSLVSEKEKKK TRV_03606 MKEFRILITNWVAKTPTQNPEMGPSPNSPPPSLGGQMLTRAHAG AGARSVPGLELGNEKFSTTSNQTASSKNSQQPASPAEETRGREKSNEKRK TRV_03607 MQPRSASRGSQYIRGTRPRDRQQQLQQLPPLHTKQHQQHPQDGY DGKRYYSPQQQQQQQQYQAYPGPASASPDVPEDEEPVYLPSIGNFQSLHSLVNNLPQF DTTNTTTTTTASPTSTAAASTSTNPWAESFSFPNGRPLASRTRHLNPVDRPFSVAVGG HPQQPQPQLQLQSQSAAASYAPAPRSTAARPMSTAAARNEPYRHAYSASSVPLAASAS AASSSSSDAASIERQAYQAVSAVRRSPGSLLRKESYHAAYPAAGPAPVTTTSTAAVTS TATSASGSSSSSSSLTSVHQIPQPTRRPPPVPVQAPASTQIPSYHAYSGTSLAASNSS SSLDKQGQPTASSTSTTSTTSSTASTAAARPMSAAPGAPKTYHAYSANPSASASTSSL PQADTAASTRRTLPSAVRSHSYYGTGSPAAPPAAPPAAGVATNPMASSSTSSLDRQVR QSTAHRSASVAAARPRPTTSGSAAVARRATSNAAAMPRPASAVAVRSQSYNTPSGTPA ASDMARRNTVVFDSDLTRNKNPQPNPPLIPLQQMQAAQAPASTSTASPSSAEQASKQP RFYALLRASMVVPDTVQIATVEEETTAIRQRSVYGPQPRPRSSRRSQWPRASSRLFTG SSMTDITDKDEADPQTDVVVESRASMSCPSLVPTSNLASASSTTLSTPKTSGEDYKSQ YRKTKSFPLEEPSGTTTPTQSQVKASDSAAAPTPEAPPAPTSKPPAKPPSMSRTVTAP ADIQQPQARKLIKRNPSAPKPRPNSRVAQTSRSMSLVGTPRLPTSGASSPPPLPRAMS VHIPSPATAQGQAADRSKKPRLTSLWSGLFRLRVSS TRV_03608 MTGRGKGGKGLGKGGAKRHRKILRDNIHGITKPAIRRLARRGGV KRISAMIYGETRNVLKSFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRKFPFLL LLFVSFLY TRV_03609 MARTKQTARKSTGGKVPRKHIASKAARKAAPTTGGVKKSRRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREISQDFKTDLRFQSSAIGALQESVEAYLV SLFEDTNLCAIHARRVTIQQKDIHLARRLRGERS TRV_03610 MLRPRLLALRHSRAFTSSSSLAKPKPSTLSTTTTTITSTSTSTS STVLSTAFSRIQASSLGRALLWYGDKQKKRPYWTQFFSTVVIFLLSDFSAQLLVPWIT NDTTHDDQQKTKTQSGDSTETTKGILEKFMFVHNNFNYASKFLSIATKVVLSQVIYAP LFNVYFFSAQSLLSGASWAETLQRLQVTLPVSIVNSAKIWPAVSAFMFLYIDPAFRAI FAGTIALGWQTYLSWLNQLAAKEVRERELVHGYLYRWLHRVGSSAGSNISFSRSSTST LLSMSSSSFDFLEGWTSDDSHSLSASETELDISPDEALLLADLIASVADKPAATATGS SQHHHQAAPDIEDHEYLRLALEETFLPDDDSFSDKEHQHQTARNPIQLDADKDADKDA DKDNADGNNGDRDRDNDVREEEDSRTPLERFRRPPMKGLSVSDLVSPAWCELQYTYTL LHGPKQTTPAMKRGTVVHQKLENEVHTSVPVTVLSKEDAWALRIWNVIYALRTLRDTG ITREMEVWGMLDGEIVTGVIDCLSRDRPTTHADLHPLPASYSGVRSTKRLHNTRAGWA FEPIGQNIIPLCEEGGIYITEVKTRDAARTGTRLPALDSPSFRPARIQLQLYYHFLTR MVESEDVSVFDIAERYGLKPHAPLSDAFLAQVGSINDDYFENEACSWSQSRPDEGGDG DASSVGDRLDSLTVLLNHNSLSNLWDLLKENLRLTFLRPSTSASTSTSTSTSTAAGDN QVTLLSPILTVSYVAQDRPTTDTEREPENTVSKEDGPTDAESGSNTPTPTPTLSETHN HNYTQLGTRSFIFDPDSLYPYLIEGLAWWHGRRPAHAIPVSQAWKCQSCDFRGTCSWR RQRFEMHMQKIRERGNSNR TRV_03611 MVVDGEADADADADADVGVGARFDDESDFEGEEESEENEDEEPA EEPEPSAKRRKTGRAAAAKKAPVDDEEEDEEEIEEEEEQEQGEEEKDEEEEAEGDDEE KDGDAEDDDEVAEKPASDKKAAAAAATTAAAAGGEEEEEEEEEANE TRV_03612 MRVEVKIPGSLESYCIDERGDKRVATEALWLETFVCGVLRSYSY ADDGSGDAIKKIVGVRRFNPITNTEMEHKFLDAAERLFFNGSLSFKYVMNCVQLANGG PPPISFLGRQLSSDPETQVPNTVTNHLTSGLLKYINTTGRYVSGINLFEKLRAREVEV SSLLARVLISADEEVQAVRLMHDALKDIPMDYALLDCQAAFCQSKGEHEMALECAKRS VTAAPSEFSSWIRLAEVYVSLEKWNLALLTLNSCPMFNYQDRDSPRMPQPTRVILPVL PESLLDEIDEGQPKEGTPHDMVHPTLRKLHAGNYQGTFLKAYNLLTKIAASIGWDQLL KIRSEVFVMEEEYRVERQTATRPGSTAHNASTVALHDGASQATRTTQEDDDGDDEEDD DDGDKSENEQGASEQNGGEGGEPKQSSEHHGIEKPNQTVASEVVKSGNDDQENTNSTS QFHNKRLCERWLDNLFMVLYEDLRVYTIWRSEMAQSRQQSLDYKKSPTEWEILGELAE RLHHLPEAIEAYKYCLSVRFSPKALRGILKMYERQNDTRGMLNALIRLIAWQYRWYSE FSPDLLYIIRKLIEEEGAVKVRSIVQATNLPQPILDLTHQYCQLCATFRSSGSDG TRV_03613 MSTFAALNIEADDSPEEEIDDTREIQIEEALKLYQTALKLHSLG PKHYKEAAEAYDALFKSEIFKLPQYTDLGADISDDGFIEEVDEPSAVSVAAVDETETA ASALPQTLYLSYKNYGQFLLDTVRHAWEQGGDIADTTPSTESAIRHFADALERDDTDL ELWRKCGRVGDALRSHRISRFCLESVFEGNGDGIDDGFEQLGIEQAGAVGDLRKFFTA LHDPLSLAQLPQKQPRKALLNFLQRQIDPFPYLPSALKQLNGGSISCGLAFPKIKRLT VTPSANSWAALGEKLLELLSKDGSDQGTAEVQGNLQICLADSDITMASPAATLPIIQG RRPSKHKSSASTDMGEAESKPKASTEEATAKDTEMKDSGQVDEKPEPKGDGEVNGNTG EDAQDDTAKENEDENTVDKDKEEGEKSNEPTDDIEARPGPQTRKRSSASIFNEEPTDG VRTKSKRIRARESIADTQAQPEEVIFDQTRYFEDRLEVYTHADEWMFSTANDLLSKFG VEELGSIDQIKQILASTESDQDTTEITPETLAVRDLRYIVENWSDAFGKIALHSDIFA DTQDGLKGVRKSGLSVFLEHSKQVGAKAEQEVDLVDNDSLEQFAESVNSSNLTIQEVA FKWLEKYLKPDLQSLGHDISTVTDSSYATQRMPQSTRDTLTQILVRLDGFVYARMREF ALNLEKRILSHAHETPYSFTPDDLTAVEMSQSIYELHLDIYDSIICQKEKDQEAAVLQ QDRLNRWRALTRGYISYYLDLCAVDNRQTAIVLRHLWATTFHENMLDDQDREYVLACL GDIRRALVSLGDPVITLANNTTMPEISTTAIDQEVSRLKAMSFFTDIFGSGKDDPVHL IETIEPVLDPSAVRYTDENGNVSENIEPSTTVQNLIEFLNQGDATLKLFLWRRLREAY DSIDYPTKVVSCHFRALETAVQELGRPVRMDFPVNERRSSLLKWLKLADDILTSVMRR VVNNSEVSFECFDWAHLQMSMSSLARLSRILQSFAFYEDGVRVGQITPADVRPASTAK SLEQFKEKLRGLFVKIWMVQYALLREGIGQNKDLFDTPLDDRIHFLRSVHNALGLRSY CKYPKNLFVKLMRDELLTLETDDVYEDDLAQVLYDLYKFKFSPHLDVSFEHGCPYEPL DQEIALKLVDFTISQTKRMDIKDYLKSDLKTTVDALQRQIGLTGKSEVSTPLNKRILS RFLKTPINPLDLFRCVQGITGLSMIPVYSRSAELADKGWFTFLGSAALAKFRSLKKIG PTPTDDLDLAATLFRHDLEHGKDRWETWYQLAQVYDLRLEEDVAWSAEKLNNDREDLA TIERRAIHAYSMAVATAVQTGDLKPSEKKQVSELYAEFGLRIYASTREPLSMGAFDVS PFMRHFSSDADQRMYEGKPFSAMKPFSAWYFASFLFHKATKENPMNWSNDPLKERYKT IEPSDILDSLTDAIDALPKKKDGRASEPILEPHFKLVSITHKLVRRGDLDPKEASEKL LATPWAKGLSPATDLESWKPFILGVLKQLQNADKSNWHHRIVLRAAHIIYDDSKDKEA AIAAKNDLTQHIFTKTMTVQVWRPENERAGRHFVYTTRYVYFFVQLLDQLDDRANLDM LVRRVRRKVNDYLNFPKLWEDTCITYIKLLRRIGNIPEGKEDAVFKGVSLDDFTLYSG RLDAWCQNPAKEEIPTIELLRDAVELKKLNGTVIKSGMFDDLVADIYAQLYEKTLPQF VEQVAGEENRERMKVDHLLMAGDSGDGAETPPATTSAQAPASRPRAKGVTRKEVQKKA DAIAAKAPRPAPKPAKAVEDEAKQTQAERQTEEEQTDNGPAAEAALDENKEEKGKEDA NADDKDENDGVEKEDENNEEEKEEENNEEEEREGEDNEEDEKEGEDNEEEKEDNPEEE DKEGENIEDKEASGPDPSIHDTTEEESGLSELETEAGSGDTLKPSSPIFQHLLRARML SPKAGSELSTVTSGEGGDNDSIPAPSIHDTKMEIDGEAEAGDDGSDTEELPED TRV_03614 MERPKSSSGQTSLFQVYLRLRPPIQNKHDAKQDPFLSIEPPEDD EPFPTHITVQPPNDARKRAVEKFAFTKVFEEEATQLDVFQESGMPSLINAVLLENRDS LVAALGVTGSGKSHTILGSKTQRGITQMSLDIIFRSLEKTIQVPDDEAENPLLDSVAA SDPSEAQIYAADHFLEMIYGDGDRGRISRAQTPMSRSQTPMVGHSSQLSISALLGKNI HTSALSHSALEQIRQKAHIYPFIPQLPTYNSDHNQDTSWSPCTSPKPPSQLPFWNRNH NNTSKNVTKPHVKDSFIGTSSRRPHLPRVSILPQDPDTSGIEISVPETDEHVVLVSMY EVYNDRIFDLLSPASQVNGTRAANNQKDRRRPLLFKSTEGSTDRKVVAGLRKVVCSTY EEALMVLETGLTERRVTGTGSNSVSSRSHGFFCVEVKKKVVDRRYGYESWAGHTFTIV DLAGSERARNAKTAGATLAEAGKINESLMYLGQCLQMQSDLQDGNKALVPFRQCKLTE LLFSNSFPSPNNASSNSHPRNSQRAVMLVMADPLGDFNATSQMLRYSALAREVTVPRI PSIASTILSAPPSSATPKPGPGASSKAQVAYADQLELAALEIAQISDEYEALAVRFSE EQALRIEAEFKLKAAEERCLLIEQDVREECWAEMEERMDEEKRRWQAALDEETSRNEN HLDMKIDILSRGVKTERITDLESENDALRRKIEQLERELHSRSPTKKTRSKKNTIPGR FDSTDYSFIPANESDTENTQLDMKKFNIKSSPLAQSGKPKKLTTRQWDLAPEGAYD TRV_03615 MLELLVLELYTTRRDATRKSYANIEARLELASTGRAGCKNKECQ EKKEKILKGELRLGTFVDTEQFQSWAWKHWGCVTPRQIASIQEIVDENGDRDMTLLDG YDEIPADSQKKVADAVEQGHVDDEDWKGDVEMNRPGKVGFRVRASKKKNQKDEDEDED EDDKKKSGKTKKAPKQQKGKRKTDEADEAEEPATKKAKTSAKAGAKKTKADKAKPQDS KEPEVEEEAKDEEVEEKKPKKSKTKEEKEKKATAAKEKKEKEEKKPKEKAKGKAKEKE EAKETKAKAKAKKDDTKDTKEKKTAKKDTKEKEKKEPEQKKDTKKEEAKEKKEKKPAA KAPKKAADKDAPSRRTSGRVSRTKAAAT TRV_03616 MSEPIPESIPTSHDSRSKRPTKRRALTPLSEQAQEIKTLFKDPS KEIRLPEPSKPKTLAPPPEIIANVQGSSAGAGSGEFHVYKASRRREYERIKLMEEELK KEKDEIEFNKAREEAKRKDEEKTEKNRRKREKRKKGKGKKGKSGGDDDDGGNEATDAA VKGRATIGDAAVPGSKEGGHENGEVPGVIIHDED TRV_03617 MKLFYAKSESRKLISEKKNRKTKKKAPARLDICVVGDTQNEGRQ IGRKAQMSNIAAAKTVADIIRSCLGPKAMLKMLLDPMGGIVLTNDGHAILREIEVAHP AAKSMIELSRTQDEEVGDGTTTVIVLAGEILAHALPQLERNIHPVLIISAFKRALSDA LAIIEEISLPVEVDDDKAMYSLIQSSIGTKFVSRWSELMCGLALKAVRTVSLDNGSGR KEVDIKRYARVEKIPGGQIEDSEVIDGVIINKDITHPKMRRRIENPRIILLDCPLEYK KGESQTNIEVSKEDDWNKILQIEEEQVKRMCDAIIALKPDLVITEKGVSVKQNITALR RVRKMDNNRIARATGATIVNRVDDLQESDVGTQCGLFEIEKIGDEYFSFLRKCKNPKA CSIILRGPSKDILNEVERNLQDAMSVARNVIYHPRLSPGGGATEMAVSVKLANLAKSV EGVQQWPYKAVADAMEVIPRTLVQNSGANPIQVLTALRAKHVEGRSTWGIDGDTGKLV DMKEYGVWEPEAVKLQSVKTAIESACLLLRVDDICSAKSLNQAANMGGGEE TRV_03618 MSPQTDLQVSPSGIFKRVKDKIQACLDLKKKHDMQLAELGEPTD SYCYKSTLVKYHCPQTDELHATTDTEAFVNSWEVTNSQFRRLHERFKRLFQSPAVDVT FPNFRWTARVNDIYATWMGHSSVYMEFYWSGLTVLCDPVFEDTCVYRGVKERRLNPPP CKIEDIPFIDIVLISNSHPQHFSYRTIKKIHKIHPFCQFIVPRGCGDWFRENSIDTVT ELDWYEKVDFQSLPYANASDTAQIVEAGWEERKDINATIWCLPCQYESPMGPGSRAKG LWAAWLIKSEMCNVYFCGYAPRILSGNYTHANISLTRATGYRTPEDVPPGTDPLFTRV AKRPYTNNRCPQELCRIIGSIRPCIDLACIPIGGYKPRTLWGGRNCDPTDAIMMLEDL GAQFGLAIGYGTWGTTNEESRAVEDEFERACVARGCESGKFNITGIGQTIGYPQTAAR GPVDPQSLCENRPEWLKMKLREKRGYDPCCGSVPYRPRAQ TRV_03619 MLGKDAAAIASRSMEPNGAAADQASSVAAAKLNLTAGNAIQTDK GLFAQLSDNPFFTAGFGLAGLGAGLTLAQRGIRHGAILLRRRMLVDVEISIKDDSYPW FLNWMTLYQRSQLTNQAARGQTQTSFMDSLLNKLTPGMRHLSIQTEKIEHANGAMHTH FALIPGPGKHILRYKNAFIFVNRVREAKSRDLQTGRPWETVTLTTLYSQRHIFEDLFK EAHEYAVKTHEGKTVIYNSWGAEWRQFGQPRRKRPLSSVILDAGVKERIVADVKDFFS SGAWYHDRGIPYRRGYLLHGPPGTGKSSFIQALAGELDYDIAVLNLSERGLTDDRLNH LLTIIPARTLVLLEDVDAAFSSRRVQSDEDGYRGANVTFSGLLNALDGVASAEERIIF LTTNHVDRLDEALVRPGRVDMTVRLGEATRYQVSQLWDRFYGDLDESSAYKKEFLDRL AALGIIEDEDGRKRDRAMGTSAAALQGLFLYNKGNMEGAISMIEGLVSRDAA TRV_03620 MPDSPEGQFQLSPAMQSSNKTGQSSINRSSGNGNINASMRAHRP RRTKSPRMSPVLEDTKVGGQTEAVILRIIEDTNSIIPSQQARTEPNYPNNTASREDKI FVFPQPHRRVSPSPSSMSRSSMPKSVDSQSPPPVPRLNGNSQHKFAVFPPPRSSTPNR SKSTTSSSRKRAKTVSSTNSQGDSTPGGWSSPSTSEGEPRDVGNSPGQDNSSPLPPPP PPPMRSIFPRYDPDLPLSQQRYYPNAGSPRSSSDQAPSRADYGSLSPPSTSACPSSFT SVDGPMIAPVESLERLWNATCGESSGSDAGTFHLNIRRIDSFTYNIGAGSVAFYTLKT DTISDFELHKTHPTKPNTKSPVVTLNLDHIQNRSSTTIPIFPKLAEILAREQSLELAR QNKLSPVQAMEAESAAVSQVKAKETCLFEWQDAQSRYKLHYQAMMNSSPATPGSRPQS ARSSVPTCLLNASVSNSLPPFGGPSYGPTVVVSVSNSGSSSRGTDTPLAAVDLEHMTL TIFSNDILSAVPSLHSIDTLVAAILTVTVSNETTKQVLESIDIYAPKPEEFPDPYRPP TPSPASVLANHTPTNSNGSANEHSNPISTSTSTPNNKPACIEEERRYFTPTGKQIFTT QAEREEYEQEAELMAQVRRNQRKPKRNQSVSSWKWPWKRQPEVEEIDLEAGIGRSSNA VKQQQQRQQGGGGHDTTTTTKQQQQEQQKKKRKPTLVVEEIDVERYGRYADGTPRAGE KLPGPTRAALRALFWFFSLIMWCLTACVKGVAWTLVSLTQCVSGKKG TRV_03621 MITQRGSRRPSADTRTASQAAAAASPSPSPSPASSASSVSSSPS PSLSPAVRKGASSARLRPLRQHQHQQHQQQYQNSPVGLRKASYAASFTESPTQIQPAD GAGRGHGSPAATAPASGSASGGQPYQQHHQHQHQHQHQHQQQRFYQRPYSPQPRLKPS PSMPNVRQQKSYSPFQADKPSPRPSPMALSPSRPNNHRYYTPELHFDIPPPSRTSLQS AMTVASSVEQTSGTERSSVVTKTSSTTELSPKISEEDVQGGKQGDHRGQEDQLQHNSQ EEGQGTLGYGDMSVDDAIDMYLDGFADDPSPGSPAKRKSAALVRALLSTGAGDDDDNN IIIKPASTPPDTPEPESLTPGNFNSADFNFDFAPSQPAGDKSPSPRPQTALPSPSASP PPPPPPDTAAAATTTTAATTSTDMQPPQKQLQPPFIDLSISSEPPPLLKPTKTRDQYG FRKATTHVTIEQYDSWYQSYAEYQKIRSEKWYALLKASGIDEAVPTTFPIRSAKLKKY IRKGIPPECRGAAWFWYAGGYDYIRRNPGLYRRLVETALRSPMNDDKEHIERDLHRTF PDNVHYKPDSSEDAGASSGSGSSNLKHSSSSPDTPIIQSLRRVLYAFSLHNSNIGYTQ SLNFIAGFLILFLPEEKAFWLLHIITSSFFPGTHEISLEGANADLWILMVALKESLPS VYTKVVSTAPTTSRSKPPNLSTATRLPDITLGLTNWLMSMFISTLPFETTLRVWDVLF YEGSRTFFRVALSIFRMNQKQIVSLGDPMEIFQVVQTAPKRMIDPNELMMDCFARRFK LSQARVETLRQARRAAIREGKDRLSQLAGPRKFKTDPSGRPSTGANSSSPSAWRSFKS FKQ TRV_03509 MLPSVAHQPLQFLPHRHTQSVYSTNTPYDLHGQGIHSFQDDFQF SQYANHGPSSLIRGSRTHCPRPIQRPEVHNLPDGRLPAGSASQENTLRRKTPNGTLAA GYDATPGDRSIQQPATKHILVSSLDNSQIMPQPGLSLDPLQQFKTVEPLPLSQHQNFP PAFKQDANRINTVHNGIPQEFPNTNWIRSLNPPQGGIDSVLNQTSSLTAGQRYNYWQN LPTVPTVLASSFQPGLGGPESAAAAQYGQYWPDGPYMPPYRPTTFGDTRYQSTPSLGQ HSLPTNNRLADAQSLFNRQSLPPSEFLAPGFSWNPLPAQSTINAQQQHQVYAHDLSRP QQHYAPGHIPQKAPDQIWDQPQLQYGSSLPSKHDVHHLDSVDSLRWQQTSQNGLHPSA SNVTRSPRNPEFKEKTLSWAHSVYVDLLASLHNARKKASQGADSHSREPLKPSIYPKP PRQPTSDFSHSENMARKSRNHDPQVQAMNMHPQRSHSIHLDQTVGGFFDTKRHPAHRQ FPSIDDSAQLRHLNQSFNHGMSSDMSMNGYRTIRRSHGASMSRMFSPAHEAMSISEKA VSALEMLGSLCKESGWTWIDGMLVGGCLAYGLGDYNRAMRWYNRVINQDSTHVEAISN LAASLLALERREEALQHWLRAIKLRPSYFDAVEHLIGLLCSSQRGKEAVNIVQYVENS LRLPPSGDYFKSNRACDQSDTESEDRESSVSTTESADKMAFDYTDSIQSPLAFARRGS DQSTPGFGSSGYAIPGADNGRILGLIHAKGNMLYALGDNVAAAGAFEEAILISTGKRR GMKSLIRHILSSFADDNRRGIPTCRPYDPKETVLLFPDKALQTAKLVFHPHGILPGLQ FLPDGLAKRAAISTTSNSLLSLAKIYQDGMASTSSNGTLRSTPGVRDILALYYLSLSL QPSPSTANNVGILLAGIQGTSAKSVRTAGEYQIPDIPGVTPGSGIALALAYYNYGLTL DSRHAHLYTNLGSLLKDIGQLSVAIKMYELAVQCDNKFDIALANLANAVKDSGRINDA IGYYRRAVNANPDFAEAVCGLANALNSVCNWGGRGGISPGRGIRDLWHVDDQGRLRDA KEMTADTGWIKRVVDIVDKQLKDGELWGCGTLQNLTPDQLCSALMSPSSNTTDAMSRR TTLNSLLRSWSGKRWEGSRTVRLIERMVKYIGWQWYQDRYVHRREYPASRYARPNFPG ALTPPSAPTVLPFHTFTCPLSAKQIRHISQRNGLRISCSTLRSPWLPATVYPPPAPPN PQIIVGYVSSDFNNHPLAHLMQSVFGLHNPSRVKAICYATTASDNSIHRQQIQREAPV FRDVSSWSVDRLVDQIVRDGVHILVNLNGYTRGARNEVFAARPAPIHMSFMGFAGSLG AEWSDYILADELSIPPSTLAPRGQSARIEDRLYDVDHNEDADDWIYSEKIVYTKHTFF CCDHRQSAPDARAPKLTWEEEQANRWKMRKELFPDLKDDTVILGNFNQLYKIEPTTFR TWLRILAGIPNAILWLLRFPDVGEHNLRQTAKAWAGEATASRIVFTDVAPKHTHISRA RICDLFLDTPECNAHTTAADVLWSGTPLLTFPRYKYKMCSRMASSILTSALPQTEDGK QAARQLISSDEVEYEKTAIELGLGMKYEPGSNQGNASGRLFELRKMLYLNRWQSKLFD TRRWVNDLEEAYEKVWANWVQGEEKDIWL TRV_03510 MAAGIAVELRFLRSLMEVRLEVLPVVEDWKKKRQTQGGEAERER ERERKAKKKKKRKKNNNNDNDDDVDQSAAGKRLTDGQTHDEESDKKAPLFEYSKTARR GRFMGSHDRSTKFLAGLKPALAATVETYEVRPGGFKRSGTRDATASGPGEMQAGGEAE PGAAERDNKRRNKRKREKGRDKDQGKLKNRRSVFNWRWILEGMERQKVKETEKDGRGG GETALVDKGGITATGRPFSDNTSDNETMQDYDADDRNRPAERSVGVEGRQLDHSSQAT RWA TRV_03511 MPPKPDPTEVKVIHLRATGGEVGAQSALAPKIGPLGLSPKKIGE DIAKATGDWMIFAFVAVQTGLINKQKGLRVTVKLVIQNRQATISVVPSASSLVIKALK EPPRDRKKEKNIKHSKSIPFDEIVEIARIMRPCSFAKELRGTVLEILGTAFSVGCQVD GRSPKDVSDDVKSGEIDGTTAVSALGFFYLYYYSERASHYSMGFTK TRV_03512 MDYEALKDQWNDAEERDGVRLSWNTFPSTRMEASRLVVPIGAVY TPLKQRPEGTLLQYEPVTCKMPCRAVLNPFANVDIRARIWICPFCLQRNPLPPHYKDI TENAIPPELHPENSTVEYRLARPAPAPPIFLYVVDTCQEEDSLKALKDSLIMSLSLLP ANALVGLITFGTMAQVHEIGYTECAKSYVFRGSKDYSAKQVQEMLGLLAPNLRVAAPQ QPNRPNPANSPAARFLLPVQQADYQITNVLEQLQQDPWPVANDRRPLRCTGVALSVAI GLMETSFQGAGGRVMLFTSGPASEGPGLVVGPQLKEPIRSHHDIDRDNIKYFKKAVKF YDNLAKRAAHNSHIVDIYVGCLDQVGLLEMKGLVNSTGGHMLLTDSFTSSQFKQSFVR IFDKDESDNLTMGFNASLEVLTTKELKVTGLIGHAISLNKKSSSVGETDCGIGNTCSW KMCGIDPAASYGLFFEIANQGGPAPMQQGPHRAMMQFLTYYQHSSGQYHLRVTTIARP LSSPAGDAALAHSFDQEAAAVLMSRIAVFKAEVDDGPDVLRWVDRMLIRLCSRFADYR KDDQTSFRLEKNFSLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNHESVSDSLVMI QPTLDSYSLEHEGSQPVLLDSASIHPAHILLLDTFFHILIFHGETMAEWRKAGYQDQE GYENFKAILDQPKEDARVYSPAH TRV_03513 MHSQERAACFAAQRREARDDAADEDGERREGHSIFDSSDDSDTR FIMMQYDRNRVDTKRRAGIDHKKRQFATPVYKHLQYSHRLNFYEVPPTAEITLEQFEQ WAIDRLRVLSELEACSYRNKTPAETAAHIAPLLKKFLPLNSNTSLGSSSDDILQAERQ KDHYSHFILRLAFASTDDLRRRFVRLESMLFKFRFQQDDARERREFVDSLHLDWETVT DEEKAELGEALLASTPGLRWVDDESWFKVDWEAVPELIERRSIYVKKGKAYVPLREQL SMILAEFTSRLEKAMELTSRALPRLDEDDRLSPILQHLSKNFGTADSSYSEGEGAVPG APINAASVDVLAQHFPLCMRNLHMQLRKNAHLKHFGRLQYTLFLKGIGLSLDDCLVFW RRSFRNITDDEFNSRYKYNVRHAYGDVGGDMNRRGRGYPPYSCQKILTDNAPGTGQTH GCPYRHFSPDNLVSLLEAVGITDRETLRGVREDVGRTRYHIACNRVFEHVHKNELKKV RDEGIWSQTELDTIVHPNVYFKRSYLLKNLNNAPKTEVEMTS TRV_03514 MVGASAATRRLKKAARIILVGAPGVGKGTQTERLIKRYPQLASI SSGDLLRENIRNKTEIGLKVQSALLAGQLVPDATILELISSELTSKGWLVPSPNVSST SAPSSKKSLNSSASFILDGFPRTAVQANSLESLVPINLVVHLLTPPSIILSRISSRWV HPGSGRVYNTDFNAPKVPGKDDITGEPLVQRDDDSTEVWKERFRKFEETSKPLLDHYE TKGCVLRIEGNSSDDISPKLFAEIDKRFC TRV_03515 MRWLFWTSDNDKDECNCNSKPSSNSNEKPSTLSRATKDWNALSN ATNWSHFIEPSNLIPTILLTSGILFAVRIHRRYLRRIPEAPNISPSYLRQRSILGKVT SVGDGDNFRIYHTPGGMLAGWGWLRKVPTSKKDLKNNTVRNLSINIVISLVEISNLLT DSHPPDQYSRVVATVYAYRFLFFPQDIGLQMLREGLATVYEAKSGAEFGGPEQEKKYR NAEALAKKKGKGLWKTKGSNNWESPRDFKSRMNTMDQEKGSSA TRV_03516 MSGQSKLSPWQSAVAGATGAVVANALVYPLDIVKTRLQVQVKSQ KLLKGDISDGTVHYDSTIDAIKKILADEGLSGLYSGMNGSLIGVASTNFAYFYWYSTV RTLYTKSRPNQKLGTAAELALGAVAGAIAQVFTIPVAVITTRQQTQPKGEKKGLIDTG KEVVNSEDGWSGLWRGLKASLVLVVNPAITYGAYQRLREIIYPGKNNLRPMEAFCEFS VKCLVIFPWWLSTKLTGYLVLGAMSKSLATIITQPLIVAKVGLQSRPPPSRKGRPFKS FVEVMSYIIEHEGTLGLFKGIGPQIMKGLLVQGLLMMTKERIELLFVLLFAYLRTLKK EKLQKVADAAAAKAKQSMPATLK TRV_03517 MSQLRDIKAQALEAEKDVRSASTQKEELQAAINAAEHYMNALRL VNDPVEKRLFDSKCKEYLTLAENIKQSKDGGQRNIPVIPVLQPAALVDREPTSTRTQS NREQIILLENSKLNGFVFPPWSMTAISDLREFQVDDEGELFLDVSDLQICPVQREIFD GWKRPAEILGHHTSGSPILLGPNPMDLVQDVTTDCSVVASLCTGASPWKEGVSQLVRS IQMYPSEDGKVPCISPSGKYIFRLYFNGCYRKVIIDDMLPSSKTLRSLHVIDRNNPTA IWPALVEKAYLKVRGGYDFPGSNSGTDLWVLTGWIPEQVFLHDDELAPGHLWQRITNF FQSGDILLTLGTGKLTEYEENELGLISLHDYAVLSLQERDGIRQMLIKNPWAAGPVWK GVGQLSENYVFSDGSEHETSHPSTTAPGTFWMAFEDVLQNFDNLYLNWNPSLFRYRQD IHFRWDLSSASIVPGCFAGNPQFAVSTKSGGTVWLLLGKHFKTGDYKKNTNQLLEVAP DADQTGFISLYVFEKKGTRVYLADGALRRGPYVDSPNTVMRLEMPPNSTYTTVVSEQS HQRSLYSFSISAFSMSPISINPAKETYSHVKKLSGAWTTSTAGGNAESERYPENPQFQ IQILEKCDVNILLEAEDPGLAVHVSLIWSNGDRVACVRSRDIVVGSGDYRRGVALAEK KDVTKGMYTIVCSTFTPDQLGNFTLCVSSTSPCYVRQLPAEGAGHLVMNSGIGVFPPG TDRILAPISIPRLARLKLICRRRGSSIGDRNAAPSPILMTVELGQGPYKEILAGSGDG NFSDDVRGARIESVDLQPEFERRGGIWLVVERIGGPGGQVEDRIEVEILSEERIGIGS WGIGDG TRV_03518 MSTNIPAGLKTADISRFVTRAAQLEKAKPTISYWCNFWAVTQIL DKHLHQTDEECLKYTTELMDKLEKFKKEHSNDDTITDDTAGQAYVEQFALETFQRAEN AVRANKASMQTADTFQAAATFLDLGQAWGQPDAETASKIKYAKYHAVRIMKAIKAGED PNISNPKNTEASPETSSQAEGTVAAGDTQAPPEVPRKARQPSVEDIPDDFDKVQSKLA AQSSLNESLHPSRSSSAAPPPRPTSALNIPNAPTSAPGSGMPSPPISIPPGMVDFDDS LRQRPSQLSRATVPDLPAAPSDFPSPASSNADLPSQLGQKSDFSSLNVFQSFPPPATD PEFPPTESHALGPPPRTSPGMGVDRTSHPQPPMVPPITQIPKDTLPVSSSTHGTSSSS QTVDEEAISNAQKHARWAVSALNFDDVNTAIKELQKALNFLNPR TRV_03519 MFQELLEASIKQIRNTKWVQERFAITNIDTRGFDSPYGTLTLTQ AEDHSEENNDSLLAGMTLLVQVAKATNYPEEVMHGGVLAGNMNSIQASDDRIAGDSNL KDTYLVLGGTEGDSKEYTWGYQRTCDKHEIFRPERLDKVLYRGGLVARALTRINVGVV VEGDEIGSSIRARGMELWTTDHYGLMADLEVAEVVEAEEEKKD TRV_03520 MTCIIGYRRTGADPAAADENETQASSSENQLDGTRLGIEIPLAC AKEGGGGCLYHSLAALLVTPTIPFSTYLLLSFLLLLLLLLLLPFSLFSSRRSFSLSLP RRKGPVNIDVLVWWTWKNRAEPIIKTIQGQTCFFSADSIFLSPPEQSSYFGVVISRC TRV_03521 MSDDSSNKPSVLVVGGLGFIGRHLVHHIHKNNLASELRVVDKLL PQLAWLSPEITEACKDRFVQADASLQQSLPRVFDRADGSQYDLVINCGGESRYSHQDD VYRLRSHALSITLGKEAARRGVKAFVECSSAAIYKVDDKPRKETDKLKPLQKLTKWKV TTEEELAKIDGLNLVVLRFPYVYGEYDTGLLTSVICVGRTYKEIGRPLTFLYAKERPL NTVWVVDAARALWTAATWRASKGPLPSNQDPQPFPTTFNIVDHNNTLKGDLADALERN FGIKCEFLGSLMSQFAKMNQEQILDEMNEETLEVWSELLLAKGITTSGPISPFLEKEV LKDADTTVDGSLFEQTTGFTYLKEKLDPDWLSSVIKSYEQMNWWP TRV_03522 MANESGFRALSFSFLTEPPLSSPTVPQSNDISTNGTTTTQPGIS IRYGPATDNEVDMQDADTNGVAPSKRKSRSSAGRPSYVEAESSAEDDKPLSFLATHRL RLIDFLLPLFPHFYSTNRTDSSQSKRRRTVPKPEPSDSDEAPLISQAKKGKRASVNSA EAKRLVAEKADIEKSAEKEAQSLRQQDRQAAAKKKAAAKTAAKPTKAKAATTNGKKAL TNGIKKSESSDEDVPLARKAPAKKAAATPAKKSKPAAKKEPSEEAEAEEEEAEEEYRW WEDPSKGDGTIKWTTLEHNGVVFPPPYEPLPKDVKLKYAGVPVTLRPDAEEVASHFGT MLNSTHNVENPIFQKNFFNDFQAIIKKTGGAKDPQGKPIQIKEFSKCDFRTIFEHYEK LRAEKKAMSAAEKKAAKAEKDAAEAPYMYCMWDGRKQKVGNFRIEPPALFRGRGEHPK TGTVKTRVMPEQITINIGKDAPVPAPPEGHRWKEVRHDQEGTWLAMWQENVNGNYKYV MLAANSDVKGQSDYKKFEKARELKKHIDRIRKDYKKGLKDELMVNRQRATAVYLIDQF ALRAGNEKGEDEADTVGCCSLKFEHVTLKPPNTVVFDFLGKDSIRYYDEVEVDPQVFK NLKIFKKPPKKEGDEIFDRLTTSALNKHLSSYMPGLTAKVFRTYNASYTMATLLKKMS ATGTIPEKVKQYNDANREVAILCNHKRTVAAGHANQMEKLSDRIKGLQYQKWRIKQMI LDLDSSMKKKKGAAYFELDEDLDMEWIKEHQAFLAEELRQKIRKKFDKENEKRAADGE KEMKAKELEERLKAADELEAKYKKENKTKKVEAEGRGPTVEKFEGQISKIDQRIENML LQAEDKENNKEVALGTSKLNYIDPRLTVVFSKKFNVPIEKFFSKTMREKFDWAIKSVD EDWEF TRV_03523 MFSRTARPAWKAGSAAVARVAPANAANFATLREIEGRLKSIKNI EKITKTMKIVASTRLNKAQRAMTLSRAYGETSEAVFGQAETKPLEGLKTLYIVASSDK GLCGGIHSGLSKATRRMIMEKPDADIVVLGEKSKGQLSRSNEDNLVLSFAGVGKDVPT FAEAQAIADQICQLPTDYASIKIVYNKFINAQAYEPTTVEAFSEEAIIQSPNVVAFEV DSEVLANLREYALANSLYWALAEGHACEISNASKNAGDMIQRFQILYNRQRQAAITGE LVEIITGATASEDM TRV_03524 MTSSLSVKASSPITTAEIQGKETPTCFDKLVAAIKKKIPEEITD VYIEVWPKE TRV_03525 MSLFYAPWCGHCRNLKPAYEKAAKNLDGLANVAAVDCDDDKNKP FCGQMGVKGFPTLKLVIPSKKPGKPRVQGYQGARSAKAIGEAVIDNMPNHVKRLTDKN IDEWFSLGNDTTKAILFSEKGATGPTLKALSCDFLGSISFGQIRNKEKSAVELFGISK FPALVLLPGGDKESILYDGEMKKQPMMEFLSQIAEPNSKQAPEKPKQTKKADKSSKSS STPEKPDDSAEPDSSKDKSEDSTTPEDSKSSESTEKEETGRKTPPLRSLASKIDLKTT CLSDTTGTCLLALVSLPESPSAPPPPATMEMITALGEISHKYTKSHANIFPFYLVPEV TEEITNFKKLVDIPSDGELKIVVVNGRRGWYKFYNPEERGGFSHAGLEKWIDAVKFGE MEKKKIPEGALIVDAPQKPAKSEETPEETKDKVDETAPEPEPEQEPIQDSKEAQQQGH EEL TRV_03526 MPRKALDSRIPALIRNGIQEKKRSFFVVVGDRAKDVIVHLHYIM SSVDVKQNKSVLWAYKKDLLGFTTHRKKREMKIKKEIKRGIRDPNTDDPFELFITLNQ IRYVYYKETEKILGNTYGMCILQDFEALTPNLLARTVETVEGGGIVILLLKGMKSLKQ LYTLSMDIHSRYRTEAHDDVVARFNERFILSLGSCNTCLVVDDELNVLPISGGKAVAP LPPVDPEEEAKSPSKKELQSIKTELEDTQPVGALVKLAKTVDQAKALLTFVDAIAEKT LRSTVTLTAARGRGKSAALGVAISAAIAHGYSNIFITSPSPENLKTLFEFVFKGFDEL GYLDHVDYTILQSTNPDFNKAIVRVNVHRQHRQTIQYIQPQDAFALGQAELLVIDEAA AIPLPLVRKLMGPYLVFMASTINGYEGTGRSLSLKLIQQLREQSRGGTKANGIDNTDI TDRATGKPARKEGGSHPGGRTLREITLSEPIRYAQGDSVEKWLNKLLCLDATLPKSKL NTQGCPHPSQCQLLHVNRDTLFSFHPVAEKFLHQMMALYVASHYKNTPNDLQLMSDAP AHQLYVLVPPVDENATKLPEPLCVIQVALEGQISKQSVLNSLSRGQRAGGDLIPWLVS QQFQDEDFAGLSGARIVRIATNPDYIGMGYGSRALELLIDFFEGKFASLSEDENPASE EMVRVTDAELEESNLLDDNVHVRDIQSMPPLFGKLSERRPGSLDYVGVSFGLTQPLHK FWKKSQFAPVYLRQTPNDLTGEHSCVMIRTLSTGSNDASWLGAFVSDFHKRFQSLLSY QFREFPSVMSLSMSESATAVSKSDPSLKPSPVTKADIDAVFSPFDLKRLDSYANNLLD YHVILDLVPAIASLYFSGRLTPGVNLSGVQQTILLATGLQRKTFDDVEKELKVAATQL MAMFIKIMRKVSTHFRSVLEGAVADTMPTTNKAITQRETTDAHDDKIVEDRFRPLDVD LEDELKEGGREINAELREKQRALIDALPLNQYEIEHGAAGWEEAEKQIRTSSSKDGSI KTVSVKSSAKRKVGETARDIYEKEIGSKERKKIKKGTEGRRRD TRV_03527 MSCLVYPQSPPDSVYDDDDDADANAEEEGRDEESLISFYRAATE IPEVAAW TRV_03528 MISIYEFWKFKWSKRKSSYEQGSSVWELVSQICISTALVIAWLA IRPVQNGWKSSIICPIVTNERQVLLWLRILAAMIDSAIVIMAEKLANMPIRQSSGPSL PFTTKWGLLLLGTTVSWAIGKSGAYLLSTPKEEPLFSPNIFSNFDRIILRFLLVSISI ISASKWVCPKPPLPVLYLSLVSCLPNCLSH TRV_03529 MAIIYITLGGENISGHPINALMIQGRQLHAQWLAEARYSIDLQG AVSEYTERYSLLPPPSSLIIDNFDQIHQDLLPFRTLAPSTLRHLTNSMASSQWNDIAT VVVRNGVAEAQPGIIPTHRWMIEGIVQMITPFAQYLPDMDIAFNLNDECRVSVPWDTI QEINHTARKNLSSRDYPMSHVWSKDRSLSWALSRSNDQLVHSHFTDMSRKDTFHTIVS ESCPPSSAARTQYQWKHDTLCSDCAELHSMGQFLQDWTLSGDICHQPDLADLHGFFIA PSAFKVSRKLLPVFSQSKVYGFNDILYPSAWNYMEKARYNPSDENPDPCYEDKENTIF WRGTTSEGFSEAGEWQGMARQRLLHLANNNTNPVSVLLPRRTEGIYSYYTFPPSEISQ SIGANVSIGIAEDVARCGGKDCSIQRRELGITNRVQFDEHWRHRFLFDLDGAGFSGRF LPFLQSNSLPFRTAIFRQWFDTRIMPWLHFVPQDIRFHDFWSTLGYFAGVRTVDEAGK VTKVLMKPHHREGRIIAREGRKWAEESLRKEDMEIYLFRLLLEWGRLTDDRRDELGFT G TRV_03530 MRTTGLLLLGALAELGSATYILEDDYQPNTWFDQFRFFSAKDPT HAYVNYLDQAEARSQNLIGVRNNAVYLGVDHKNVATGEGRSSVRLETKKVYNHGLIVA DINHMPGGECGTWPAFWTTSSAWPNEGELDIIEGVNQQKQNDYALHTAQGCSIPERGD FTGSVVTPNCDVKALGQAENQGCLVEDTKGSRGYGPDFNNATGGVFATEWTEQAISIW FFPREDIPKDVNSEHPDPSKWGKPSAFFGGGECPIGKHVRNQRIIFNTAFCGGWADGM WPGDPICSKKAPTCMEYVRENPSAFEDAYWSINYMKVYQQGTAPTKPSQAPAPPSSTP AMPTMKSTSTVSSMVSATQPAPTASNPTGAPMQPSSSSSNNGPQPTGGNGNPGDSCPP PTQPACRTYVTTKTYTLVSTMMPSGPQTTGGIVPVPSAALEDIKDTAQRRRRRDMERH ARRGHHN TRV_03531 MALNNKLALERENITHTLTVLRINVDEERFKPFKEHLHIPVDDV DDEDLLQHFPTTNAFIRSGLESGTGGVLVHWYVVVFLVSFSLFSLVAMGKSRSATVCI AYLLHKDPGALTPREALDLIRRTRPLCEPNDGFMEQLELYHQMGCPDNVVDHPVYQRW LYQRAVQDSVACGKGPELNEIHFEDQGISNNSIGDSYPRKQKRLVPDATPCPHIVIDT HVITAVNMRPHLSPPTHLDASITFSVILGSNVF TRV_03532 MAQLLQNIWHLRRVADTGAKSCLICYKPSTSVLVTPDNEEEVAA KKRKEEMDREIEKVKKEYEEKQRKKREKEKEKDKQKDSEKTDDKKKADDEEESKKDEK EKDDKNAASPVNASTDDMPRIYSLHRNFYQMRVDRLKAIEKSKRDRQRLQNPSTFPSV PKTDLS TRV_03533 MIFNGTTANICRLGSLLMDLDALAGVVSYRHAGDDVALVTAAVD RITIENPLKEICDLELSGQVTYSTGRSSMEVSLQVAKAPAEGEVVRAEDVLITCAFTM VALDPKTKNIRPASVAPLLVETAEERRLFEKGEHNYNAKKDLRQRSLKTQTPNDEESD LIHAMWTKRAGREIPPELSGVSATNMKDTRLSAAQIMQPYDRNRHNFMIFGGYLLKQT FELAYCCAASFSRSRPTFLCLEPSTFDNPVPVGCVSYLNAVVSYTQDSPSTSSTGQKF TRVQVRVDTTARNIDHGTSNPTGTFNYTFLVEGQHEVWPQTYDEFMIWVEARRNVENM NASLPSPDNVAITYKEGATE TRV_03534 MQFLWLCLLSAVTLQFTGTLAFYPIKLPDFTKGLVSNHGSIDRR FFTFPGLYKHAHTGSTTLNIRRGPSNYRRDNNYPAQIASPPTAPNTLGINNDGYDFSY FSEVKVGSEGQKMWMLIDTGASGTWVFGSDCTSKACGRHNTFGKEDSKTIKVTDEKWG VTYGTGKVSGVIVNDTMSFAGFELVTPFGSASTASDDFLNYPMDGILGIGPQDPNAKT PTVVQLLMQQKLLKSNVIGINLQRASEGATDGQITFGDIDKSKFSGELIYSNVVPDGY QWEIAMDDLIMDGKSLNLKGRTGIIDTGTSFLILPPADADLIHSMIPQANKGSGFYTL PCSTKVDIKLSIGGVEYTIQPDDYVGNETATKGTCNSLIVGRQILGPKQWLVGDVFLK NVYSVFDFDKNRVGLAARKYAGTKNPPSSTPSPGMFLLHAILCPKTISVLMLHIDPTS NKAPSGGSPGLPAESGSDSTTNGEATNGATSSPNSSSSVLTPTWLTLAVFFAIGSSLW S TRV_03535 MMATDALPVDPGKAQVIDRVPKVIKEINFGVLSNQDIISQAHVE ISDRKLFDLDNNRAVARNGPMDPRLGISNKSDTCETCGGDLQECNGHFGYVRLVLPAF HVGYFKRTIEILQDICKDCSKILLPEDERRSYLKELRRPGLDNLRRMQINKRINVRCR KTPVCYYCNAINGTVKKASTGALKIVHDKFRAFNASLSAKKIPPPSKLAFDRSFEEAK KSNPDIEKHYRRVFDDLNPLRVLKLFRRISNEDCELLSLNVDGARPEYFLWQFIPAPP VPIRPSVGQEGPSTEDDLTAKLGDIVQSNLNLKMALDKGAPVQTIIECWDYMQLQIAV YINSDIPGLQNTDSKKTIRGLCQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLRID EVAVPQLVAMNMTYPERVTRYNKEKLRQRIRNGTKTWPGANYLIKEGNSFRLFLKYGN LNMIANQLREGDIVERHLENGDIVLFNRQPSLHKLSILSHFVKVRPHRTFRLNECVCN PYNADFDGDEMNLHVPQTEEARAEAMELMGVKSNLATPKNGEPIIAAIQDFITAAYLL SSKDNFFDRKTFTQICLSMLDSSTRFELPPPATIKPQMLWTGKQVFSVLMRPNKDCPV KVNLDAACRQLKLVKGQPRDLCENDGWLCIRNSEMLCGVADKSTIGAGKKDNIFFVIQ RDFGYAAAAEAMNRLSKLSARWLTNKGFSIGITDVYPGESLVKLKDTLINTAFQQCDD LIAAASKEKPQMGKSDSRQTAENEITGILNKVRQQAGEYCISEVSKHNAPLIMATCGS KGSNINVSQMVAVVGQQSIENARVSKGFQDRTLPHFARHSQHALAKGFVRNSFFSGLT PTEFLFHAMSGREGLIDTAVKTAETGYMSRRLMKSLEDLSTRYDNTVRDSSSFIIQFQ YGDDQLDPVNMEASAKPVHFDRTFTHAESTTYDKDEKGLSADEILALCEELIAPERKK LVRYDLLGNTLSYKAENDFATDQWESNRDFLSSIEEYVAAKAAQLRPSDNEEGKEQMA FTHTSKISARTLKAFITSCLDKYMRAHVEPGHSIGAIGAQSIGEPGTQMTLKTFHFAG VAGMSITQGVPRIKEIINASKEISTPIITCELVNKTDMRIAEIVKGRVEKLFLKDIVY YIEENRSQTSSFINIKVDFSTIKKLQLELTLRQILLAIKKHKAFKAADLTFRSFNSHI HIYVDEGASMAGVSVSEQKITGSDPYIRINYLRRILPNIQVFGYPDCTRAVIRVGEKV ATNILLVEGYGLRACMTTDGIEGHRTRTNHVMEMRDVLGIEAARTTIIDEISSVMSGM AIDPRHMQLLADVMTYKGEVLGITRFGLAKIRDSVLQLASFEKTADHLFDAGGAGRRD TVQGVSECIIMGKTISLGTGSMEVVRDLKLLDSHVGKKKTVFDDAWRDICEPKQRRRA LPRMAMAC TRV_03536 MAAPRSTSLKALRSLSQQPRRSLHITGAYSAQVATGPDASTLYH SRTLADLRAECQKRNLGASGNRRPANIPFQLVDRLSNHDVLQSRAFSIAMRRIDRHAF GQQQSSSSRPFNTSRANRSPQDSSPVDLAYMPTFMESASASQSMPRIPTPPDSFTHYE MAPASASVMKPQIYTVTNDMGSNGPSPMSEVVDNHALDFNPFNLTEAVSRSRDGARAS ASSQETVGGAVRDLWKGMLEDIKGTSQSSISQ TRV_03537 MSTPKLLSSQAIAKHNTASDCWIVVNNQVWDITDFLQEHPGGPS IILKYAGHDATQAYSAVHSASVIRKGLSTDKLKGILDQSTIDAEWSKGPAQAANRPTG ENEKPPLHTLISAHDFQLVASKTAPAKTWAFYSSAANDLITRDANKSSFDRIWFRPRV MRNVREVNTKSSILGCSVSMPLFVAPSAMVKLIHPDGELGIARACQSRGIMQGISNNA SFSLKEISDAAPDTQFIFQLYVNRDRAKSAAQLHECSANPQVKAICITVDAAWPGKRE ADERVKADENLTLPMVPAKGNNDKKGGGLGRVMAGFIDPGLTWEDVKWARQHTHLPLL LKGVQSADDAVMAMEAGIDGIMLSNHGGRNLDTSPASIIVLLELHRRCPEVFDRMEIY IDSEIRRGTDILKAICLGATAVGMGRSFLFASNYGQEGAEHLIDSMYYLFSYIFFFFH PPFWPVVMRDELEGAMRNIGITSLDQAGPQYINTADIDHLVPESASHPYARSVSRSKR PSKL TRV_03538 MGDSAKERKYIDIGINLGDPVFRGKYHGKNVHEDDLQDIIDRAV EVGCRKFMITGSDLHESRHAVDLAREHSGLCYATVGVHPCSAKLFDSYAGGPEKYLAE IKALAMSAKESGHAVAFGEIGLDYDRLFLSPKDQQLKYFEAQLEVAVEVQLPLFLHSR AASEDFERLLSARLPQLPKGGLVHSFTGTIEEMQRLVALGLDLGVNGCSLKTDENLEV VKAMPLDRIQIETDGPWVLQSSFLSAFREFCYSLNQLCFV TRV_03539 MTLPTPFTFLSPSAGVDDEDACFCSAFFFFSLSFFLASAGIKVD YIIGRKWMFRSDRLPDHVSPAKPSAYAAAEKGRRSQKHGRQKRQAKGKAKKTIGGIIW PG TRV_03540 MARQNQVFLSLLAFIIVVLGDGDPGGWFHVAQQEVYGCGFESGP SRPPTPHGIGMPNNFAEVVKGIYRSSFPLPVHLSSLAQLNLKTIVTLVDEEWSPEYSS FVRDNGITSRIIPILANKQPNVFTPYSTIVEVLTILLDTRNHPVMVHCNKGKHRTGCV MACFRKAQGWTSVAAIAEYIYHSAPKTRTLDRNYIQEFDENTVADLVKKTGAQKWLPT FPPIKYTFDSEDEENPHMNGNGAKKSELATSAPPVSFHGANGLRHTNSL TRV_03541 MLYKSIDLGSTIHMQKDHEIQLYISSSVQIAHLNDSPCLEELSI ENLRRQDVWVSWGSNSTIQINISVKIQTSLATKVSIPGTLRTISGLLTLPSVWSVYGL DATQDGKLHQNETNTLNITLQARPTRDQATSCELNNIPTSLSALLDGNPHIQPPAEIP TIFVRDISIQLVFSNGSSSPILLPQSGDNYNGPLNVLLSDSDGWESLDDSTPFSSQEL TSTRFSGNSNYGSSTHECPVSGSHNISPIRSIISLLNSGLLNLLNIPSPDTRSIQSMP SNGHQVSLAELSPVIFSPGYKMAMFQRLSFVPLVIKGMASMIRSMDSKRCESTTSKIC DIYRFKSYTAGFGTAPVSSGIRDMLRYILWVQTQNSLYSANKKPKRSHLFATTVSKQQ GILGCPANTLRKANSVEALVAISGCSDSDWHIFEDEEEDDILLTDEVYSEEQEEWYKS PSLSESIIDNDGFHFITTPEIAGLVPDQDGILSSSPCLLAEVDIGPILERSGEEHDCN TGIPMDPGAGNDPTMEILQSSSPIILPLSASQGSPCNDDIDRSEELDTLPRLDSSDVE MMLL TRV_03542 MAHPSKPYGFSKPRVNAEVTYAGALPTPLPSSSSGSIPAYRPNR VRRTEGSSESEAPSAMGDSEVYNENFRSGGPHLVRLPALNHHLTQNDPLLVAWEDKLE APVRVIIKNYNIDIIGVGPLRRFSRRSAEKYDTALVTARKHVVTSDSWFRACKEMLQL FRSKGFMQLNVEIIDTRANTQLISYPVSVSDPFVDSWRVLRPVILEILAESDWTLLYV VRRRHVNHFEGPITVAITVTEESTNDWTAVRDRIVELLDTRGHYNVAVEICRSNIWHA SSFDNRVLDRCDWAIKAKPGGSLGPRGSTTSASTFGGFIDLQHPISQEWKRFGMTNFH CVVAGSESHPSYERWVREGIKPGDNSNNLQLDHPALQDDEFSLRHYRKEIDEVQHDVS DNLRQRILSGDPSVSRSQKTIYKRQQATLSEIGETLRIATSFDQQKRYLGSVYAASGF KVNKQRKLLDWALVDVNKCRLTVNEIPPDGTVNSKYRVYTPPQPVMIGTSSVDEGDRV FKVGRRTGFTGGTVNGIRLADLQGWVTNAQGQREYAQGTASVILPWKCDTFGDPGESG SFVMDHEAKFIGLHIGGDRDRNIGFVIEAQDLFEDIKEVTKSRDVRI TRV_03543 MNRGDKLDVRTAEASKYSEEEGRSLLSHGSHEDDDYLIVEEPSS TSGTPSSQSASTPKIPPSLSTSPTDQPRTGRTSNRVRFDIQDDGEEDTGQTFESRRDV DWIANQDYLDEETAQVPGDRSRQVVPLLTNIEAPSVTVATSDFIPEDHLENARPRSGL GSAFMNMANSIIGAGIIGQPYAFRQAGLTTGIILLVILTWIVDWTIRLIVVNSKLSGA DSFQSTLEFCYGRTGLIAISVAQWAFAFGGMIAFCIIVGDTIPHVVMGIAPSIRDMPV LWLLTDRRAVIIIFVLGISYPLSLYRDIAKLAKASTFALVSMLVILITVIIEGIQVAP EARGEVKGSLFVNSGVVPAIGVISFDHNSLLIYGSLRKPTMDRFARVTHYSTAISMVM CLVMAVAGFLTFGSKTKGNILNNFPPDNVIVNIARLFFGLNMLATLPLEAFVCRSVMT TFYFPEEPFNLNRHLIFTTSLVVTSMVMALITCDLGAVLELIGATSACALAYILPPLC YIKLSKQSWVAKIPAVLCIAFGTVVMCISVLQTAAKMIKRKFLSISLGNNSSSITFC TRV_03544 MAEASVTDDERANRMKALQMADLGTARREHTSTVDRPNKLHRIG IQQIEAIRDSNRKGTEAYTLAETNREKLNSWATYHETLEENMDAENLDPLLDGQTHRL ALEAKIKGTNLDYPASSSRGKKATRGALHSGRGGGAAGSRGRGGTSHQSNTRSGDITK SDPNRLNPTVFTPTKKALAPLPQRPLDPSKDCNNPPATRDGDDFMAAVAGVQFATVAK PVQPPETPKVPEAPTKSQDVSPVPPMSQECSVPSDNFQKDVLESPLQQPQPEVKAALP SEPNAEAAAPATNLKPAAPLRIDVFTNPSEIRDGQGADTIPLKTDHGFGSYLPQPQRQ STDAENHDADDLFLCTSPEATAAAQIEEDKTEGVNLSTSLLDISDGPEDTIPQAQPAP QSAPQRVEPKDESNATKEELAKLTKILSNQSLLDPEIVVYLQERRERLEKELVEKELA RNPEVVKTTIHTAEPGNAKPIQSGASNLVTSVATPNAPTTANLSDITNRNTGDVVNPN SSLRITLTLRSENIKNAPMPVEVKKPEIQNSSNNTFDHNIVYAIPGAFPSKPPTTSPH DVPLIIGDHLLPGRPMKKCEPPRPSAPVYEPKLHHLPGTLSGNSNQPSTSNQAHQFHK PMMFTYHATSGGTPVATSTSFSYAPVRPSTTGSQPAIITHTPPVSLVSPTGSAVLNAP KSRFKMRDTPQFPISAATMQYYSGTFLEEQMKQPTTPIRKTNENINPAMGFVSSQPIE PGQKSGDHSRNQSFSLSPVANTFRPVLQSRSPIPAAFGAPSSGRSATSHHSKFSSTAS ETPREPKSVFVAEMAKRGVSVSGAPVNNQPVERKKSGMESSKYAH TRV_03545 MPAIGDQHRLGPGDNPAISANPYLKGTGRVEEFTPTEVASLRER LNKQLGPEFLSSRPSGGGKVHYITADKCINLANEVFGFNGWSSSIQNITQDFADENPT TGYGHIENCKGKAAAFEKAKKEGTTDALKRTLRTFGNVLGNCIYDKEYLSKVVKIKAA PVKFDVDNLHRHVDFVPPKVPTAAPTNRIIKQEYQNNGPSNLPARVPDIENITAEDSL AYEFEGEFGKEPDYSRRSTGQNGPSATHPPTDARNFANASKVATTVDTRKPIASVSAN GTVPSHNGQADGINRNNMMGPKTPVPGRFAQDKNNDSRHPSGRQTMQPNGPPFPHPND QGRPKHDPAPNNDGNKASGAQSSEDKVSEDHVVGFFSARAAEALINDPHTAVKSAPVF DPQFDSPSIRKTAGIDHTSSSPIVRKSLQALQQQAGKVPLPGAKANAPTPNSGHGPIG RPPMTSSYRPPIRRSMGAVSTGNASTASNMPPPVRNHNSPINGGQQQNEYGKRLPLVD TTNVPNNERTKNQADAAKRTRVEDANAPKQNLQGQQ TRV_03546 MPSDLELLADMGFDPERAKIAVSKSGGCKSGRPMFTASAFKFLK ILDDFGFFLKKKILNTDILSFHSVQGALEWLEANQDKSLEEIQEETAQKQSEAGASDE PPELKPGEEPKSLVCNECGKRFRSQGQAEFHASKTEHVDFSESTEEIAPLTEEEKKAK LEELRQRLAEKRQKMSEQDKKDQKRNEEIRRKSTKETQDMKEELQRKEQLKEAAKKKR EKQEEIEAKARIKAKIEADKQARRLKAEKEKAEREGRVLEEQKAQPTPAAAPVASKPA SAYTEARLRLMTPSGNVIKSFPVDTTLFEVAAALQQEGNQVNSFTQTFPKKVFTQEDF GATLEELGFVPSGSLIVG TRV_03547 MKEDPSLDAKCRDKFLVQSVAVSADKEFSNVASIQWQDVEKKSK SLIQERKIRVNFLPSDDSHTNGVEPDSEPHAQSSPHAPQFTTPVVQRTVGNGSTPPKS EDMKHESPPEPSSEPTNTFGMATSSTRVEPSQSSDDLLSSQLAEARLQIKQLQQQIAD NEVRQRKKPVEGGELSTSQSSLKQAQPPTQLAAAGGVPLQAVAGLCLLSFLLAYIFF TRV_03548 METLDTDALRSVLRSLCDRHPEIASEVMHTAPRPSVSAALQVLN NYQSTLQSSFPLGGNPSSDYAYNRVRQHLTNLLEALNDFTPHFLPPNESQPSTSLSYL DGATDIIHQLPRWTTPQHNLEKYAAYEEMSKAWCLVIREAAKRGGGIQLQYGGWDQKL AKHNETAGGKLQDAVNELNQSLGWIGGNVGNPCNSNAGSSQGDMSSIRQQLMSGTYGA GLPLKVGPW TRV_03549 MDDLFDVFEDKPGLKDATNEPPRPRKARNKKRRANGDIKSENVA TANGTESPEPVEPAGEKSDAAEAEVQDDQPDIKRLRVDQEPEPVVADTFETEQSREVA ASAGFQGQQDGKAVVLSHQVRHQVALPAGYDYIPISQHKPPEKPARVWPFTLDPFQQV SIASIEREESVLVSAHTSAGKTVVAEYAIAQCLKNNQRVIYTSPIKALSNQKYREFAA EFGDVGLMTGDVTINPTATCLVMTTEILRSMLYRGSEIMREVAWVVFDEIHYMRDKTR GVVWEETIILLPDKVRYVFLSATIPNAMQFAEWVTKMHNQPCHVVYTDFRPTPLQHYL FPAGAEGIHLVVDEKGVFREENFQKAMSSIADRQGADPADAMAKRKGKGKDKKTNKGG DKNGPSDIYKIVKMIMMKNYHPVIVFSFSKRECEAFALQMSKLAFNDNSEKEMVSKVF NSAIEILSEEDRDLPQIQHILPLLRRGIGVHHSGLLPILKETIEILFQEGLIKVLFAT ETFSIGLNMPAKTVVFTSVRKFDGVSSRWITSSEFVQMSGRAGRRGLDDRGLVIMMVD EEMDPPVAKDIVRGEQDKLNSAFHLGYNMILNLLRVEGISPEFMLERCFYQFQNTASV SGLEKELAELESSRDAMTIEDEGTIREYYDLRQKIDTYNSDMRAVITHPNYCVSFLKP GRLIHIKYQDYDFGWSVVVNCQARKPPKNAPREEYEPRESYIVDVLLPVSEDSFLKSK GVQPLPPGVKPANKGEPSKLEVVPVLLNCIHSISMVKIKMPSNLKPEESRKAVKKQIM QIQQRFPDGLALLDPIENMNITDDEFKRLLRKIEVLESRLISNPLHNSPRLPELYDQY AAKVELVKKIKETKKKITEAMSIIQMDELKCRKRVLRRFQFINEDEVVQLKARVACEI SSGDELMLSELLFNGFFNNLTPEQCAAALSVFVFEENAKDTPAITNEELAKPLRDIQA QARIIAKVSQESKLPVNEDEYVKSFRWELMEVMFEWAKGKSFADIW TRV_03550 MRNLRNIRFSEVPLLGGLPLAATAWDASTDSLICAFGPAEDNAV IELKRKLQHEDANPEALPGDMSLIASWDAPCPNPEMKCDRILSLQYFPDTLIICLVLE GGDIIVVREEPQPGEDKIEIVGSVDAGISAAAWSPDEELLAISTKANTLLYMTRDFEG AADIALSQEDLKISQHVSVGWGKKETQFQGKRAKALRDPTVPEKVDEGKLSEFDDGKN TLSWRGDGAYLALNSIETGIRRVIRVYSREGALNSVSEPVDGLEGALSWRPSGNLIAG IQRLDDRIGVVFFERNGLRHGQFTLRLSKEERLSWASNITLAWNVDSNVLAVQFKDRI QLWTTGNYHYYLKQEVSLSIDGASPLCTFRWHHEKAMRFTASSPSMYSSSILSSVWVP RKLLTSFEASLIDTDWVFEVAAGSTIIPNDFGSTAVIDGCTLKLSPLKVATVPPPMAL CELAHDSNIIDVAFSKTSAKIAILSAASFTIYTWNLKSTSPLEATLHSSYAISPSRRP RLIAFLGEDDIYVVTQDEFGGEVVEWTGLQSNASKPVFLPEEGVHISSIFPDSEQEKL WIAHISPSKRVRSYSFVRNNEAEDSSVVTWQEGPATDTSWARAIQVPSGDDILFTLSR SGALYANKRLLTKSVTSFLLTSAHLIYTTAQHLLKFVHIAKVDDLEIPGDTPEEDERC RSIERGAKLITAMPSKLGLTLQMPRGNIETIYPRAFVLAGIRGYIENKKYKSAYLVCR AQMVDMNILYDYMPEQFLDNIPLFLDQVKRVEFIDEFLSRLRNEDVTKTIYKDTLKLS QPTEVSRGNAPGSDQAPATSFGKIKAENKVNKICDAFIAILSHRIDTNLQNLVTSHVC KSPPDLDAGLSLVAKLRANAETERNAEQAEEAVEHMCFLTDAHQLFNYALGLYDLELT LMVAQQAQRDPREYLPFLQKLHGISELQRKFEIDNHLGRFNKALKTLHALGSYDDLKL YTIKHGLYKDALELYKYQPELLWDMTQLHADYLYDQSNYKEAAIAYESLGMFKPAYES YKLAHMWRESLYCAALVPLSETDMNELATSLASTLTDENKDYVSAARIQSDYLHDIPT AARLLCKGSQFGDACRLLVLHNYQDRVSEIVDHGLAEAMGTMTELLADCRSQLQAQVP RIQELRAKRAADPLGFYGGDPTGAGAGDVDIPDNISLAPTDASTMAGRSLFTRYTGGS STSRASSRMRKREERRRAKGKKGTVYEEEYLVNSVRRLIEKVNSSIEEVEALVQGMLR RGMRERAAVVEKNLDEVLGMCRDCLSDVFETPAEASSDAQDKEDEPPAGAISSGERVY LESMEALKGKGREPPVVKAFKKLSLLGG TRV_03551 MAATNDQRVYRASSTAPVNIAVIKYWGKRDATLNLPTNSSLSVT LSQSSLRAYTTASCSSKYPTADGDSLTLNNKPHSIQGSPRTLACLADLRSLRQQIESS DPSLPKLSTYPLRIVSENNFPTAAGLASSAAGFAALVRAVADLYQLPQSASELSKIAR KGSGSACRSLMGGYVAWRAGEKEDGSDSIAEQVAPESHWPEMRALILVVSGAQKEVPS TEGMQLTVATSTLFPSRAQSIVPERMTAIEKSIQERNFETFAEITMRDSNGFHATNLD TWPPTFYLNDTSRAAIRAVHDINRAAGRSVCAYTFDAGPNAVIYYLEKDADCVLGTFK SILTSATEGWESADIKNTNLLEKSIDPRAAELLSKGVGRVFLTGVGGGPISKKEHLVS ESGEILTIAA TRV_03552 MSFDRLSSLEAQPSGRRQADSEYHDDPEFQRLTDFLSNKIFTLT SNTTRLSNQISLLGTKRDTDRARERVHNLLEETREGFREAGEGIKKIQAWEDVTPAQK WTQDNLSSKFKSTLDEFQAVQRRALEKQRASTAAARTAIEESTAHTVPEGEENQGLQQ LQEQPRLASQDDVDFQEALIIEREAEIRNIEQSVGELNELFRDVGHIVREQGGQIDII SENVYNTRDDTRGAERELRTASRHQKNARNKMCCLLVIMAIILVIIVLAVVLG TRV_03553 MHVGARIATSHFHNLRLAILSLNSIQTVVWYLFSAWWFSEVYKW SSSADAQLNWVNPGKSYERSHLNERPIYLHCFFIMLALVQSSMHLYFDMDEVPVPVAK RSPLTTSRRTHRLAPLSVQFSNIAPNILFESAIIGSATAVIGPILYMLFFRRTAWSWT LYFAKLFWNFPRSAADPPGLLPPSNIMFQLRSATSGSLLVMLWQISNFLFSAFLGQAP LKKGNPLTHGAADPNGSLLNGLKSKKETVLAFAFWELCLISQEFPDRRKEIFRDIDRS GGSAWSQILKASEDILNGITSRIQEYQNPQPQATGATDGPKESGPKTQEMVQTLPRIT QPSKNENILLASPKPNTRSEKFEAAFGPVAKSYGQSQDWTSAAKARARAAITHASNAL LSPEQKRTISNSAQELKLLTSPPSASGPPNPIIQQFMKSPVGLPFRQSFANRLRGIAL GSPHSKLAPIIDATESLKCLLIASLEEDQFGKVQTDVPSVVKLFTKTIMALESLVGKD GLKPHWSEVDSESLDGVQHSRSVEQVEIVINALKNGLSELLAAFSLYLNEVGVVGQDL QLAREAAKITDV TRV_03554 MAPLTSRFPLDDAEDENPVSFVNRDRAGIDTEQSTYQPHTMTSS YLSSVSVPATPSVLSQSRNVSQTDLARKKKDSQGMLLSESRLYALDVAGPLHHQQLRH HKRSKSYNPRSGMMGSSGTSTPRNQDGEWILRTGLALASSTREEKGQSWLVKRESSTS LVSHDEDGRKTHSRGRSDFQGCRSGMSTPLAQSRRGSHSHAPSKRSSRVDLAMTPSFP TVHPHQSGIVTPRSRDIPGLVPDFLDESIREELAALQTHLPENGNTDNNETGQNSTLP FASQEYYESDLSEDDSEDEEISEIEVHRLTQERGFGLGSWIDRLVEWTVFGSEDESVQ PPVIHGSYRPGQRANLNVDSNTQEITELNPTDQLETASNSGMEKNDDPGSDTEKFSTT MKIIPADEEGGWANDVRWLLRVAGNAVL TRV_03555 MHSILRRRCIGNVAKLQSRIYATPSALPPRHLLSIADLTPAEFT ALVRNGLSHKQAIKSGSIPQSLRGALSGQTVAMVFHKRSTRTRVSTEAAVTRMGGHPM FLGKDDIQLGVNESLYDTSVVLSSMVSCIVARVGPHSDVANLAKHSAVPVINALCDTF HPLQTIADFITIKETFAPQSTPGQSTSSSLGLEGLKIAWVGDANNVLFDLAIGATKMG VDVAVATPKGYEMPTHIVDLVKHAGEGVRTPGSLTQTYSPEEAVKKADVIVTDTWVSM GQEEEKLQRLKAFENIQVTSELARRGGANEGWRFMHCLPRHPEEVSDEVFYGKRSLVF PEAENRLWAAISAIQSFVVQKGRIV TRV_03556 MTNTTVSPPPGEWQSPQPSSRREAVVDHDHDPALSETTPLLAHR HEGLQEDEDDSIDSISRQKNRGLRWPTVIALVVLCLSVIAILILRFAAPSMMKQYAQE ATVFKPTGLSITNFTPSGIKTRVRGTVVLDASRVKNPTVRDLGRFGTWIGHEVESEKS EVDIYLPEYEDALLATVTLPPIKINIRNGYLNEIDFIAEVATGNPDGIKGAVSDLIEG NIAQLSLKGMARVPLKSGLLYLGSQYITETVVLRDFSVLPDFNITNLDIHESSGPGKS KAVVADVAVAISNRYPIHLQLPPVEFDIFVPSCTPDEAYIMVANATTDSVNIEPRKPI TISIHGFAPKIPQKLIRSCPGTTASPLDLLISKYIRGLVTTIYVRGGSMVSPTVPQWL QELLNSVTVPFPFTSPGFSRLIKRFSMKNVHFYLPDPFSRPNTPESMPKVSALVQAVV GLPSHLNVPVNISRVRTNADVFYHDHKLGIINIKEWQNARVQRAVDDADGNPIMVVEF DIQKAPLQVTDEDLLSEVLQKMLFERQGIPLRVDAHVDAELQSALGEFIVRDIPSGGN IIVQPPYGSGFTLNDINPHMQSIKIIETTKNSVLSRITVNFTNPTNYTAHMPYFDIKL VHNSSDVAHIIGHNLHIHPGQNTKVEIDALWNPLESGGKNTTVALKTYTNTIPSLPRL GAALSRFEIEVPLPRLHLPGQGDGNSGPLIKDATVLFSLLQSFNS TRV_03557 MKSFLVRKRNNPIVYRILNITQQLAIYGMTSCMSTPVDGMILLF TSTYFPSRCLKACHYLMHPPTHVGWIDTAQQVLLPFPSTPLNMCSMHGKHIPDGRVMI ILDDTQKQG TRV_03558 MRLINTSTDTTFVFSIDKHNFTVIGADLVPLEPYDTSHILIGID VKDGDSFWIRMVPAGDGCSRFRKDHVPDERMGALYYGKKTNMLPKSEGGGYDISCRDE PYERLKRIQKWTVPDPLLAPDLMTKTQKIGIDIWNPPGRPADAPKIANWGVGPQPMWL NYSKPIVKNMDIEDWPETWTVYPANDYTHEQWVYLVVTGQEKQAELARTQAPNAHPLH FHGHDFALLQQSYEPFNSKNLNLKLDNPPRRDVVLLPSNGFVVIAFKADNPGSWLMHC HIAWHASAGLALQILEDKNDVVKYLKSHPEDVKEMRRVCDNWDKWYGNADNHYPAEFF QEDSGI TRV_03559 MLRPSKKKKKRKEIKWILELLLLFIITHKLPDSKGEAKEIKGSL APPGERRYHQQQQIRLSSSSTSALAYKALHRRSPPPLPVSDTSPQWSAPAAVSSILYE TPVPSTKPPKRHVLNCLVQNEPGVLSRISGILAARGFNIDSLVVCNTEVEDLSRMTIV LRGLDGVVEQARRQLDDLVPVWAVLDYTDSALVQRELLLAKVSILGPEVFEELLQHHQ EMSTPESDTNNPSSEEAQHREMHKVDGSLSEIADYHPNRLAASEALRHKHEHLEAITH LTHQFGGKVLDISTNNCIVELSAKPSRINSFLKLISHFGILESTRTGLMALPRSPLTS PAEEVEKEAADIVDTSTLPPG TRV_03560 MSPLEETIFRTKAWQPLRRTREGLDKAIKKIEELMKQELPALVD ERRFRRREPPERVERDEFGRPKWPEERIPIDLEPIPGFNLRAQVVGQGGQYVKHIQQK THCRVQIKGRGSGFKEHGTNQESDEPMYLHVAGPDPNEVQNAKALCEDLLSNVKEQYE KFKENPPPQRGYHGGGGYGHRDRHQYGMGYSSGGGHHGGGGYNNHSSPQTPSGMTPQS GPGATPAPPAGGAQPDYSSQDAQYYGGQDPYAAYGGYQNYVAYYQYYQQAAQQQQQQQ QQAGSTAPPPPPESAAPPPPPPPPSSETPPPPPPPPSGGYNSVPPPPGL TRV_03561 MVDQVASLEARAIPVATINSTTPGSKRKEILADILSGHPVTRLL YVTPEFCQTETFRRSISTVHQQGELTRVAIDEAHCVSEWGHDFRPAYKALSWFRRELK DPIVPMTALTATATARVRHDIFNLLGLDTSTLKKFSTSSARPNIHYEVKYLPECAYDL TVPEDNQIDHLISWLKGIHHRRLSRLSRLTNDAQGEMNASPLVPPPMYGIIYVPLRAL CCAISSILSSYSSFNIKAVSYHAGLAPTERERIQAMWAAPHKNATPPKNPAKIADTRN QKSTPPAFYIIVATNAFGMGIDNPNVRFVVHWTPPRTFESFVQESGRAGRDGCAAISL VYYNPSECVRIIDRIRQTGDFANETVRLTSKRTFEHLTAAELKAQEEAKAQNTEAILR SFAKVVKYCETTDRCRHAMIREFSDDLELELARNRPELSSQIACRSSESKPKSLAVCD YACDHCKEGSVVLAQRKKAELKSANQLCENEEGEDAVYTKMNLLHFMESYLARRETNY LVDMFPW TRV_03562 MPRPFLPPTAIRLQTTLNSALCTESTDHRSEYNDTPHPETDGQR NIKRKRPKYIREGDYRSDKRSPAVIVKGPKHGLQSKGTLPQEFNVDDLTYNRAKHANT EESKLLFGLYLGVLGKAEGTTSLKVVLSNPQREVLVPSRPCKQAGNDDLDANGVSHLI SLLNQEDCGDQELFAAYRRIPSPGVSYLSSKTRGKLLHRFAKPERKGRTGVFYYLTLV DDMCDASLEMSPSLWTAAIHLAGKSASTVKREDLDAAIGVWRRMEHEGNISSSSVAFN ILFDLAIKSGQFRVAERIIADMKKRKAKFSRFGRVAQIFFNGLKGNARGVRRAYDDFI KAGEVVDTVVLNCVIASLIRSGEAKLAEIMYERMKEAHERLKKNTSEKAVMYPLPSQD YSAYRKASKRLGRVLGMTSYLHDKLPDHHRALQAAMPLTPDAKTFHILLSYHAHVTGD LGRFLSLLSEMEGTLSIPSHGMVYILLFQGFAIHGSKKNTRWTYPCLQRAWLSFLRAV RDYDASIQTKPRTVNRKARFAWSTETSFVEGKEHETEATAEEVEEAEEEFKEEANRIF HGTFNDAREELEDEWKYENSVYLGRTVIIACLRAFFTCGGRSAVLGVWEQIDQLWQGN LKQRDIDAVRAVLRELVPPRCH TRV_03563 MGKLNFTALQVRRTAIAQQKAGKTPKLPCWIDIMERIPPSSVLV RNLPQQHPLTRERMKTLPGKTEPRSVIETRPTRRTKSKKPSRMFQPVKMEFEEDQLRR EFYRDHPWELARPRVVLESDGKDHMRYNWDSLQQNRKRVDGESVVQRQLHLLNTVPDI TKEQAYDIARREFYKLRLQEDVERRIAQEEARATGAYFGLDENTIGMELENQEYDRWK KWAETEIVLQQSSRAAFAGTEITEAEAESSMAGNDLSAPESKLLESIKPL TRV_03564 MSRMDDTVRPHRPHRTDTSNEIKEPTYARTGSPNNGNSSRDEVS TRPHKPAPSKLLAEDLIIELAQRAVDSGIQDTKRSLAGSEAVEGVVRPKLTIDLGHAN IARVPEAVVNIIKDEVASRLLTKEKIYIFFRLSLSNNHIDRIPSRFSECIHLRYLNIR ANNFTEFPRVVYSLTFLEILDLSRNKITKLPEEVRNLSSLRVFSIMQNFLEDLPSQLA DMSKLQVIKVSGNPLNSSLKAVLELREADVNHLEMADNEKDSAITTELKRFLKSRRAA ASPEPEYHDQSETTEPPRPPKRGNRFPVIPRSNGTDSTSGSRSPSLSRPPPIPTRSHH RMASGQSGLIHRSNTLASVSERNRSNSEGFIAGSGTSRNKRMGLISRKNTDLGTLDEM RPYRNSHLRGLSHGSLLRSQRGGMPNDGSNSSSPSSPMDRRRLKDGFVHRLSSLPEQR VKTTTKNPVVNSARSVLYALYQIHPHISSLINVIKAEEYRRSSLEIVFYNATTHLDQL NEALQNIGDADLQDKEVAKRVTESVKQECATCITAYTHVGTQLRANVAKAVALSDPKY IRTLLLMIYGSLIELRNAYAVFNVKPKPRRSKMAKKLSITTTNQPMSKFIPERESEPS VTPTRERPPTSRRLRSETTVHLPPIAPFPTALPPFTPHPPGHPSHSAHPTAPPLTIGG RSRSSSRSNAFLHSSGTSSIANTPRSGESFNLLQTPVNNRINPVTGLDEIEEDRIFEK IFIQLSSAYKATLQAVPLAAQQFSQCLKAAEESRAPQHLRNLCRKLLGRCRSCVDVAD ALDNRLSNMKLKEPGGNLRNQREFWHLCKTFIQTFVELVLDIREAKTFCLLPSEIVAT LRIVQKTIREAGRLIDTSPWSYLAELHTSNPSNAVSQPQPNGHLHYSNSSTLIVSQSG LVNGSSPQSATVPATPLSAALGPAAQATVPTTTTSSNTSNTSGDRMFAGDVFQRADLL LSMAPSAPLFYRR TRV_03565 MHLAILLAIISLARAAPSTKGYTVAISLPGKSAFETRRLPDAPE IPKNWAGRLDIPGTPKGNSLFFWLFSAEDKAYDDNLIIWLNGGPGCSSLVGAFLENGP LRFMGNSTMPERNPYSWAKLGHVLYIDQPVGTGFASEKVPVASNKEVISNLYSWLVSF DAIFDHILRTKKVHIVGESYAGIYIPCIASEIVKRKSELPVNLVSIAIGDGTIGLNTG MSSLGMVGFLEEYASKLRIPRDIMNAISFGDHACGFDIIRQRAKVYPPRAPFHLPGRS GSANNTEISNMLRKGVADESLGSCNIHPDTPEKIKSSIVNSTCYGHCAVFETTADYMS SQQCFSICNINYGCNFTNPTSTLEAYFSRPDVQIALNLMHPTEPLRPFQSCNPKILET LMAPANRPVPPSFEILPDLLTTHKLPVHIYQGRLDMLINHVGIEVTIQNMTWNGAQGF QDSLHFEFGRRKDKAVGLWNEERGLSYHLFFEGGHFLPADLPKEVFSYVKEVVLRQ TRV_03566 MMDSPHKANPSKARPHGKDGEDSGYCLSHSAWMDNDSQNVPKQD SREQSKASSHHHHHRHSGDSSMNNHAISQHPLSPQYNGLRSSLPPPSPSPPPNPFPYH HPELPYPSFGEATSQGSPAAEEADEIELERNDDSTTAAMLVQIPTGMQLTSHQIKILQ KAERLPPIRTSTLSELDLNHIMRNINLRIDVNFDRELHFQPIAGEKGHEKRKLAEVYW EAIALEISVHSYQSTSRKQKSVDSWGHKRATSSSVGAFEPRLPAMLETLKDVVSSLVP ERDQQSVMQNLDVPFLLQQVHKGVLDMVNLTKWLATLLKTHCAPMRDPWADRMVAEIS EGCETGNIYKMVEGLRTMFGTLESMKLDVANHQIRAFRVILIDDTVRFLQSDLKKRIA ANAIDIQQARRWYLNLGHQPLSKSPYLQHELRGSYAPITPLFIGLFSFLTPFEHPKRL EDIFEFEADRLWFIRADVQDLIGLKICSRVFEMVAKEQGRHRHNPYYQQAKAALPSRI CSILDNCSDGMSSGFNSRSVNINSPTTNHKWKNNIGLVTLEIAQCICSLGGYSKSNTF PDRKTLEYVEKCLDSFFSSPTGQFREFNLSVQGELEEATFGYVKRYLTMTPLEISEDQ RPREYPESTKYAYPQRLLPDVDGIAKRLAHVGVLHWRVWAPVLYLRDGPGITFAPGSN ISTMYATE TRV_03567 MPSIISKLHTLKVRQLQKLALSIGILSSGTKVDLVNRLSETLHT YDHQARGKNGFGSSHCSTETKLQTPNGPGPMSILSIDMGIRNLAYAHLLVSPAVQASD VRTIESVYQPLSAAKISLNAWDRLAISSFPTCDIHKDPSTMTISKLGGLRKAIFQPSS NPQDEEGQPEKESKEHFAPYLYASHAYTLITSLLEAYKPTHVLIERQRFRSGGGSAVQ EWTIRVGVFEGMLYAVLHTLQQQGKGEFGRLFVQGVDPQRVVRYWTNDEVKDTTPDSK KRVSSKEGKRAKIDLIGRCLSRCVGVGDFDKSSKHEIPLELGLVEGSQAKDIVQAYLD RWNAGGRTAKRCNDIRASMEQSNGRVENIGKLDDLADSLLQGITWLEWQKMTEKLLYS NAVIPA TRV_03568 MIRFPIEHLRAKCLSLSGCKVHEEEISTDNKKILRTRPRVGCNP PVVIVWPSLFSLEAALCLALSCKERERSRGTQSLNWLGILRGGGGRHTILKPDKMTGG RGRRRCILNCLARVPARVSPFISGRAIPSFLLHQPLSEKCVTFIDRSHSDELISSSPS FDLFLQALLRSISPTCDSRFFHTATMHFFSKTTIALTVALAAFSDATSHGRHRYRHFN RQDSSLSVPLSTGYATPTSSMGEAPAKKPVDTALAGMTSSPAPMGTGTNPKPTPQDPS CTVITSVVTYTLGPGNSVTSYTYTTSVPITHETRTVIPVSSNWHIRSKSTKTNISLQT PVGPQSSVPPTTTRTTTTTSTSTSTEWVTVSEVCTSASQGAPGSAQTKAPENPAGNPG PAPTSAPQPPAGAPGPDNTCPCPPPSTVTVTQATATITATVTQATATVTVTVSTESKP TKAQEAEAGNHAPAMKSPDQATPPFPSPKPTHPAHPSHPPHHSHPSQGMPHGTGSPAY HFPTGLAY TRV_03569 MAAQLPQLDSLRSQYRKSLQSFNAGLDDFRLLDIVPPEGEQNAQ PGSLYVLDSSFNPPTIAHTQMVTAAVKAANAKGPPPSRLLLLLAIQNADKQPKPALFE DRLVMMRLAAEDVLQALAQDTSRGKDGRICNLAIDIGVTKRPFFVDKAVAIAGDGSVY PTEVEQVHLTGYDTLVRIFAPKYYAAGDLSVLSPFFSLHRLRVTLRPGGSWGDREEQL SFLSNLAKGATEDIGAKREWASRIELVESNAVDSEPISSTTARNAAKDGLELLSKLVT PRVLEYIMSKHLYATDD TRV_03570 MNLAGFKKDAAGASAAWSGLYILLAGRRKQEFRKKWSARGMVRG AAIGVGLANLVGGGMAYVLGTREDEE TRV_03571 MPSATATGADRPSGAHSRQHNQGNQDRKYTPEQKAAVLRVRKCS ATAFYEILAVEKTATDGEIKKAYRKLSLLTHPDKNGYEGADEAFKMVSRAFQILSDAD KKAKYDRFGGDPDNRFNAGASTSSPFGGFGGFQPHPGRQYAYEEEISPEELFNRFFGG AAGGGFGPFGIVSQASNGHFGNVRTLSLTNTAGGGIFDGGPQFVFNMGGGPGFRVHQF GGNRPRRRPRDTNEPDPSQTPPGVASLTQFLPLLLLFILPFLSNLFSSTSPSTPSFKL HTPDPPHTLQRMTPKLKLKYFLNPTDIEDYSPRKLHQLDQRIEVDYVANLRRECQSEI NYRDRLVQDAQGWFFPDVEKMREAQDLELKSCAKLKALSS TRV_03572 MDDYVEFFPWLEGERIFSSLGRAVVKRRRQDGEGFEVAKVGAVN ENEIAVLDHISRCTTVKTAKLRGVSIVRENSIMIMDFLPGKRLDSVWKSMNGDDKEYL KTQIQEQVVLLRQCSIDYVGGISHNRYVRDPYRPFKGEFCGPFHTEADFDKHKVSLLG ARDPAAAKALEEKLDALRKPASKPRFVLTHGDLCPHNILVQNVGEGNDTEWRITGIID WERSGFFPDYMEYTIAMTSEFHDPEWKQFLNEVFSGIGLQCSPQRVEAEALAVERLFG EVTYFGH TRV_03573 MAWASIVHEATRRPPSPPPGHVYHHTCFVYSPDDVSTSSPPIIA YISRNGDIKMRDIDLDWTNNPRIVIKELVTSKRMSRHDFEDILRLASQENSREDMKCS NACRHLREFQPGFDLLQWFGRQMAEIYLVALRSGLEDDLWEPDPPEYNPTSFPSCIIQ TRV_03574 MSAPDQSSNARPLFLARFRQCGFTCRHTEHWGLFLARSWDQCAE GTLFHVVYSGNPTVSASVHAVTGSYSHGYFNVPKFHPNTSGQLTGLSSIITAAQGGIS ASTLHQVSQAIDAQFPYNIANNNCQNFVIRVLQELHKQGKVTTEALSSVAGRVGGTVF TPPAGNWATA TRV_03575 MDNMDADQIRNKRLAKLASQATNSSSSPNEESQPSSNAASPAPA PQQQLPQPSSSGGTKPEPDSDANTHTEMKRIRITQAGNQGSAAKGLSKPPETIEEFEN RTICNIFRLSLDENRRTDIHGQKLTYLRGVRQDLEEDKAPVRMSVTILDQALLEAASQ TDNQKPLSYLLPCWKRISTLFKGFRKPAVGDPKYDIILEARRLCMGYCIFAATMPEMF GSSNTCSDKLNRVESPPSAPLKPHLLLDPDDDQGLNQEFILEALKRVEEDDSILPAFV TAVEEMSQDLSRITLDDDYHPYMMALRNLVRYPAIATAITDSSKFNPATSAPDFETMT ILGPWFALSPLHPNVTLKYFSSPKTRDQLFILNAQRSMRMTQQLVQSELLDIINQLIR ASKSAREHVLDWFAASLNLNHKRRALNVDPKQVSSDGFMFNITTCLDQLCEPFMDATF SKIDRIELEYVQRKPRVQMRDETKINADQETSDAFYDKTVDGSSNFITEIFFLTVAAH HYGSESLTTKLNDLHRHIRDMQSQIDRFELERPRWAFNPVQLRMFEEALKKYKDRLEL GMALEYALQGLLLDELWQSRSMQFMRYVIVWLLRLISKRDFPKEQVQLPLPEEQSEVL KFLPEYFLDDIISNFRFILLNMPQVVASTQGDELVILCITLLQSSNYIKNPFMKSGLV TILYYGTLSRRSGGRGILVDMFNSMPFALGHLLHSLMTFYIEAEFTGTHTQFSDKFSI RYEIFQIIKCIWPNQVYRDKLSVEAKKNLDFFVQFVNLLLNDVTYILDLSFTSFIKIH DTQEELNREGSSMEESVRKEKEEFLDGEKRRAKSTMQLTNETVAMLKLFTEALADSFT MKEIVQRLADMINYNLDAMVGPKSTNLRVDNPAEYGFNPRNMLNEITDVYLNLMDKES FILAVARDGRSYKPANFEKAAEILRKFALKSPEDLAKWDKLQAAVKKAKEEDEQAEED LGEIPDEYLDPLMYTLMEDPVILPSSKVSIDRSTIRSHLLSDPNDPFNRAPLKIEEVV PDINLREKIEAFKAEKRAARLAEKAAENSDKMETGAG TRV_03576 MESFGMANFIYIISTSEQGNGFSGLSTWHKVLAVLYVLHYVNRA LITPLFLAPSMSPIHIEIFFFAILFHYLASSTFASWLLGYKTVLATAGTPEAPGAMYD TTIATAPKLTGYMAYVPYIGLAIFLVGMYGNIQSENTLFELRKEEAHRRQKKQDDSAA VRSNGKSIYDKVYVLPPAEGYFSSILFPHYALEWIEWTGFVIIALSVTTLNVASVTAE SAASTGVVQLAPFYAPVAKFFMSTCGLPLPFPVLLICVNIMTTTAVRARWGRTWYIEH FGEKAVGGRGAFVPYCKWL TRV_03577 MGRLRELKKATEAYWGGKISRDELLSEGKRLRLEHWKIQKDAGV DLIPSNDFAFYDQVLDHIQLFGAIPERYSKYGLDPIDEYFAMGRGHQTPATDKESAVD VPSLEMVKWFDSNYHYVKPTLQDNQVFKLSATPKPVVEFKEAKEAGIVTRPVLLGPVS FLALAKADRGQKVDPISLIEKLVPLYVDLLAQLKEAGAESVQIDEPVLVFDLRDAVKA AFKPTYEKLGALGDKAPKLVFTTYFGDIVHNIDVLANLQSLHAIHIDLVRNPEQLETV IGALGPKQVLSAGVVDGRNIWKTNFKRAIETVELAIQKLGKDRVIVATSSSLLHTPHT LASEKNLDAEVHDWFSFAVEKASELVVIAKAVTDGPASVREALEANAKSIQARAASKR TNDPKVKERQAAVTADMHKRKSEFPDRIAVQQKELNLPMFPTTTIGSFPQTSQIRVQR NKFTKGEITAEEYEKFIEKEIQDVVKIQEDLDLDVFVHGEPERNDMVQYFGERLTGYV FTTHAWVQSYGSRCVRPPIIVGDISRPAPMTVKESKYAASISKKPMKGMLTGPITCLR WSFPRDDVHQSVQAQQLALALRDEVVDLEAAGIFVIQVDEPALREGLPLRAGAARDAY LKWAVESFRLATSGVADGTQIHSHFCYSEFQDFFHAIAALDADVLSIENSKSDAKLLK VFVDEAYPRHIGPGVYDIHSPRVPGEEEIKARIEEMLQYLRPDQLWIDPDCGLKTRKW PETKAALVNMVNAAKFFRQKYSK TRV_03578 MTKQDDDEEDEDEDDQDEDDDEDDCADGRDGRVRPPKTADRLQQ ASRGPSGAIERCFDDVDMSVSLSGDRYRGINGGGWRLMAASYTHPCSGLYGRQDSVSL LLANEKEVVSPLCLPPSMFLQLLAHFSWLYQRKVPPSHVTRSILAPGPQTHSVYSHAR IELERVVSHLYALFFFFRPFSFSYFDPRLKLSFFFFFFNLPVLFLPSLHTISRPQLQD GLDILPMAKEAEESVTSWQL TRV_03579 MLDAIFSSANAPVHDGESRGLPPPATDCALARAHSKNGRSRLGS IGGRLHKRFSRDGRLQPPDAKLDERASMCDSGHASIENKPLFDILDSGNTSENAYDSD AHRLLTPQITARLKSSHGNRTILENVCPVSARDVQSLSREKFCLGLDGAPASNRGSQA TEPVNLREAYRSGEDGVERHSSAGHSDSARKSTSIYLVSPDSDAGPMTPLKTHITRAS CSIIEDDYRKTPTLTPSDLGASDSPDKEISPLSLADSPESVQINVTARNKSTSPDVCQ SNSPQADDTQIRINIGTSVYSSPASETPEYERKLEEFSAIESPIHQVKKSLTSNRVSP ENVPKQRAPANTRREPSIATQRDSCSFVRRSRFIEDLDDVFTLKFDETEPPKEDYPKT EPVSRRLSDGWLSGGKRLGYGYNIALGERYPRLSCSEGAADEKYNSAIRSQSYTDYKN GAPSEYRLPIQDYKRISRQSDSPDSHRRPRTATREGSHTIRRVSPFHQSDIDRSDHSS RVTSAIACLTKKVRRHKRDVSSCTAASSRSNQSHGLEPFPSLGTEVDLEMPLGFDPAR RDIGSGVYNRNHPTIKLVQALREPSNPIKESTEICPMAYDNISDSEDDGEDIRPEPIS AEVWSKLYDDCLQNS TRV_03580 KAGTWESAMAAADVRDMLDLPADGQPRPAKKQKVVEKRPEGITR ELYALLGERAPPIALNENKYKGRRKWMSKLKVRPWVITPFKNAARNDDLVLKHWQRKP LAKNPPPNAETGQGGTTDKAAEGASVETEETSAFAKYNIKAQHPRRYTTEEYDKLLKS DIWSREETDYLMDLVEEFDLRWIVIADRYDYSPNTDTTADGSSSTALVAPTRRRTMEE MKSRYYNVAAHMLAIEHPLSEMSEAEFDIHEKMMKFNPERERTRKELANTQLNRSKEE VTEEALLLEELKRIVANENQFIDERKELYARLEAPVSNGNTAMYQTSQGLSQLLQSLL QADRNKKRRPLLGPDTGVSSPVGNAPSGGPSARESRPETPAPTPAAPANNKKGAAANA AAQPTIRTLTPTEEVKYGVSHHDRLTSGVQFRNDKAQKLTQAKSNIQSQKLAAALTEL DIPPRLFMPTEKVCKEFEKLIHQVNLLLDTRKFAEKVEGEIRVLEASKAERERKENEE AGASTEGNEGAEGATATANTSDPDGTSGTKEETEAEAKSTSPGPGKAASVKADAAVGS PAKGPGGTHKRSASVLSVVSDKSTKKQKR TRV_03508 MKYLYMWFLQSLNITPCSIGPQAYFAKVKKGKTKSNNKLNLKNE KKKENSAEKRSKERKKKWKLEKENMTLIGSKLGTFIRFPIFLLLFMVSLDVREPERKK EGGEDDIAGLLVQITRIYALTEHFL TRV_03497 MAPSPPRLRILSVGGNAVSAFLSWRLQATHSCDVTLVWKSSFES VQQYGISFKYGYSLSTLRSRLGGYLGWLTLIVKIRSKVFGNERFKPRHVVRTPEEGAS ISSSYDYVILCVKALPDIYDLAGVIESVVTPQHTCILVNTTSTIGIEAHLEQRFPKNV VLSLVSAVDIVQTGASEFEHTSSTDLWVGPASKNAMIPTAIQNDMAAALAITLGTAQV NCKVSENILQQQYDRMIGPIAFYPISVLFETPNHSQLLEKVGVRQMVSDVLDELIALA KSQGCTFADDFKEKTIQAMTAPTENPSIMYQDFQARRPMEIETYLGAPVKMATENGVK IPRIETLYAMLHHINITNQNRQPPTEPSSPVVTLPPRVSSAPSRPPMNGAPRGGGNFR GQPPPPRRGPSSMANNPRPLPPQANGHGPRAPKQGMPRDLSYEDPSLDEFSHLVLYED GQDDAQSQHGGYNGHTNGGPSPSELALKERELMLRQREIALREQEIQMRRRGAGVARA PSVRGGFDDDDEDGYDLDHRSPAIPQIDPDNFDMMSVTSRRNRKTPTTPAKEFRKNPE MNSSRPPSVFSRHFGAGRHRASARIMEEIPGVHDSLLDNPMMSYSSNRYGNVDRREMH NESRANSLTASRMGEMGHGTFPPSRRTSQSPGNPSLPNGRMGRPGTGPEGGQMPLPNG MGHSGRPSPPGMMKAPVPRHPPGQGNAIMPHQVEQHVGVSNHPYPPKGPSNVRSLTGS ASASAESGDSGASAHIDSENSAYSSQSSLDPVHRGHAVR TRV_03499 MILYLFPPGVPRIFKDKKDDFFWQVFESGHGGLASFVILQYLRS TVQAGDMQSMCSVARKVFDFTGRCINSNVAKSGWKMDAHICFFLLILH TRV_03500 MARFKDLPEEIILFILEYAWDAWREEVENHAHLESYMGSPHCSF QRNLLPVSIHVVGILFELINRPRYLLEEQFERLMVYWVAKQVRHPRVDDYEKEEWLES MLPRPATQFFLEMIGKETSMTRLEWESQESVRCPELVGSINQITEYLLCETQQQSDHE RSALKSKYQYTLCALAAMKLYSGNQDPFFEDFFEEYSEADSKTPERVTMDICSAAGIV AIFEDDAALLTRVLTRGPFCVNTAHEFFGNPILVAAQKGSLECMKILLQQPRRRFVTD GAGLNALHIAAIAGDVSMIKLLLENIDGTLYQERQRGLPLTLAAEKGHRTAVDVLLHG LDPRPIADDVDEAIAAAAGAGREGIVRYLWDYSSLPANARTVRDIARLNLNDEETVNT VDKADDGDVDEADANELDADDNDDLDLWLSNPARDAPITSCAVDDMFGMSLETDMLLA AAIKDHENIVSLIFDSDVLRPQTRRGVAASCFQAALQHDCTKVAKMLLNRFEGLANEK YGESATEPLAFAAACTATNVMKLLLERDDIDVNSRDASRATAICYAMRPPMETVDRVT DEFEALRLLVAHDKVDVNCADSFGDNALMGAAITGDRRMVDLLMSREELNVNASNRFG ETALSRAVVLGNIDVIGSLLARNNIKADERNKLGQTPFMMTAVTGRVDIMEQFTSRPD VDIEAKDPKGRTALWLAAHRGNVDAVSYLVRHSANINVDLNVRDIEGVSPLEVAEGKH SAKYERTVRVLTGECKINETAERPSYIDADPASFLLPFDCCVACELIHPKAHGIYFGQ FPGFSDPSCNGIDLNEDDLSYPDDDNRSFSDFSVSDHSPSDDGKIRYYHPNCYN TRV_03501 MTGRLLAYLLDTKRLIPGKPMQLMFPMRDTSVDYLDPSYKIYQT RCSARAWIQLRFHSHCRVDFSRGTKAELVVCLVHTPVAGTIIDLRFSALLKIIHP TRV_03502 MATEEDLIDYSDEELQTTDAAAAPAAPAANGDASKKGDLTVGGA GADKKGSYVGIHSTGFRDFYLKAELLRAITDCGFEHPSEAIHEDTLFSRRKENYLWKH ISFRARDTGLWASFCGVRPQSRIELMPLLPLLVFLGLYWRKGNVTLTRRETSKHENLV FIELFSTLKIPPRAALQISLYVAFQQVCIPTAILNVDVLCQAKSGLGKTAVFALTTLH QLEPVPGTCSILVMCPTRELAYQIKDEYARFSKYMPDVKTAVFYGGTPIQKDIEILSS KETHPNIIVGTPGRLNALLRDKKLSLRNIKSFVLDECDKMLDQKDMRADVQEIFRSTP ADKQVMMFSATLAQEIRPICKKFMRNPLEVYVDDDTKLTLHGLQQYYIKLSEAEKNRK LNELLDNLEFNQVIIFVKSTVRATELDKLLRECNFPSIAVHSGVSQEERIKRYREFKE FNKRICVATDVFGRGIDIERINLAINYDMPIDADSYLHRVGRAGRFGTKGLSISFVSD EENMQVLKDIEKRFEVALPEYPEEGVDASTYMA TRV_03503 MAKKAQKAQKGSGSRAQTHLHARVAYLCKAADYLQSATSPKPSK DGNKSQVNYDTISISEQEISKGTLNPGVSREKNPFSYISRQYGNQLRAVSQKSQIRLN RETKRSICKRCDSLLRPGVTCSDTIENKSRGMKKPWADTRVITCGFCGTQKRFPQGVQ KSVKLADRPKSRLPREIVPAPPDTQSG TRV_03504 MTDDIYDTCSGKGTPRRKMKKVHKSSGTDDKKLQTALKKMNVQP IQAIEEVNMFKEDGNVIHFAAPKVHASVPSNTFAIYGNGEEKELTELVPGILNQLGPD SLASLRKLAESYQSMQKKEEGKKDDEDDDDIPDLVEGENFDSKVE TRV_03505 MSEITQRRTGVAGGSTAHGHKTSAAQGQPQPKNGSSHALKELVA LLTLTKIVLARPFETARLVFLLIHQFAQQAYGSAFRPDRDITDQSGRVILITGGNTGL GKETVLELAKHQPARIYIGARNEQKARKAMEDIQSRLEQTVDIRYLPLDLSSFESIRE AAKLFTGDCERLDTLILNAGVMGLDPYRTKDGYEVQLGTNYLGHFLLTSLLLPTLQKT ASQPGSDVRVLSISSAAWQMAPSSPSRLLSLMTSTDDLLASNRWTRYGISKAGNILLA SELARRYPEITSVSIHPGVILTALYEQGRASSIVLKYGLALLVPFASSEKDGAKNHLW AAGCARSQLRNGEYYSPVGIPDWKNELVHDKDTARRLWEWSEQHITDN TRV_03506 MDLAQLVTNYAPPSPRRSSYSTQQSRRPWGTTTSSNIKATPSPY ATVMELPPSPEAESVVRYSLPPISTLFQDIESVSPPQSAKRPKFDDWEEERKYRMILP PTPPQRPESSFEESRRSPSASSTPTLVGSSTLTSPASDASIERPTSRTTYSNRSSFSE TREARYPSPANSNVARFASPEQKVSSPEFYPASVPSFKPVPAAQPQSQQAAQHHHHQM INMGEMTGTTTWQHRHYFPPSNTAAYPLNHDRYICRTCNKAFSRPSSLRIHSHSHTGE KPFRCPRPGCGKAFSVRSNMKRHERGCHSGRPAQRGPHVG TRV_03507 PKAFILWHAFLAFPYTLLFFSLLPRACRPMCGHRVDLHPPAEDQ PTNPRSLTHAHFLRLTKASRTRRGHGMTWADAAGEAKEGHRGSLEANHSQQPAGHIPN PLYRTLHGTDRSKYVYILLETFFSNDLCSTFEEKESQKTKKGVSSPYERICRIYVDLS GRSTLPPSLPPPPPPLPFFFFFSRRVNFCNPNLITFASYLAFGQIW TRV_03445 MKSKRENSRLTHREEQLWGLESRVPRQPAVNKPALKQAHKAITL GRKREDSGRTLDSVQLRRGEARRASVKGLAEVKVKKILEATKILSRAKEKKKKKKKRK KRTKGGGDGLLGQMNERRRMRQGTAETASARRRANGVDEEEVEEEEGGRRRRGGGGEE KEISGGVALLFFCLRAGGSAWGRPLFFLLLDHQTGARTLGRTTTRYGYYYCCCCCCCC CYSLPFRSSKARAGGVDSGQVARSGGEGSVPVGRQRVRDNPPPLVGRRLCAGRRGEGD GKDPRPVLVIGRRVEGGPVSYRNSRVVTGAETASQQARPA TRV_03446 MEEKVVKVGLVVEKMAAMLPEIPIAEPITILPEPEPEPEPDSGS DYTRSLETATETENSSEPEVDSDKKSDSETDIDPPAASNGIPTPTRPSPAPPSPHVSP HVERSNPMGHGAAQTVSSKDPKAAAQAASDMKNVVRRKLAGYVGFANLPNQWHRKSVR KGFNFNVMVVGESGLGKSTLVNTLFNTSLYPPRERTGPSLDIIPKTVSVESISADIEE NGVRLRLTVVDTPGFGDFVNNDDSWRPIVENIEQRFDAYLDAENKVNRMNIVDNRVHA CVYFIQPTGHSLKPLDIEVMRRLHTKVNLIPVIAKADTLTDEEIALFKQRILADIQHH SIQIFEGPRYELDDEETIAENKEIMSKVPFAVVGANSEVTSADGRKVRGRRYPWGVIE VDNEEHCDFVKLRQMLIRTHMEELKEHTNNTLYENYRSDKLTQMGVTQDPSVFKEVNP AVKQEEERALHEQKLAKMEAEMKMVFQQKVQEKESKLKQSEEELFARHREMKEQLDRQ RQELEEKKARLESGRPLEDKIKRKGFSLR TRV_03447 MLVTGKRKAKENNKAQREAENSIRRRKEGFGHGMAMAMAHGTWD ISILSSTAGLGWAGEADSSLLHDRYFCFFTSSCSLLRPD TRV_03448 MCRLLAAELFLRCQHIQPCHLKHPLQRCHAPRGQRFRNPDAATN PGRVPSRACPEEHWRTATIEVDGYCPACTQQREETRLLLQSSFNREETGDDAGAGDGV ENEDEDAGLEEQQIAGEVKEYSCGHRRVRMDPAVLQRFSDDPDPDHPDAEDQHERGAA QEQEHAGDDTRAVERCLRWDKLRLRVELAVKRGSCSYRYFTQREEQRKPANVDLDFLP LLRLRLRLQPRWTERTSRQNRQPDRHTARLQDDSIYAARPVFFFFSLCFTLLILVCRV LLRAACPPRGILQKTAMRKEDEKKKKIKKRQRRRDREEKLTVTYTTVAQGSYRFAFVA AAATYGIVVYKGYVARGRLGGSIPNDIIKLAGDENVQYLGMALVWLCSRQLLFALLPF AIYSFFHVATYTRTYLIPTFQPSPDAGPASPSGRPAAAKSSPLADQIGRFVKTYYDTS MAMVAALELSLLIRLIFTALTFSSGSWLLLVIYFWFFRSRYTQSSFVQAVVAHSTARI DAMLSHQSTPPAVRQGWEVVKNAVRRLYTVTDLKPYLARFQQQQGPGKKPQ TRV_03449 MIAGGIGGTSGDMLMHSLDTVKTRQQGDPHIPPKYTSMSSSYAT IFRQEGIRRGLYGGVTPALCGSFPGTVIFFGTYECSKRWMLDVGINPSIAYLAGGFIA DFAASFIYVPSEVLKTRLQLQGRYNNPFFKSGYNYRSTADAFRTILRTEGFFALFSGF KATLFRDMPFSALQFAFYEQEQQLAKRWVGHRDIGFQLEVLTAATAGGMAGVITCPLD VVKTRIQTQQNPDAAPRPTVTPNGHVHAPSSPSTSTSKSSAQTRFISTSSPSTSTVKP GAAILDTSSVLTGLKLIYKTEGVAGWFRGVGPRFLWTSIQSGTMLVLYQYFLKQLESY QQLRELRSTSI TRV_03450 MKKTELEAALDSHLSQNKNRLASESKLSDYYERLARPPRGSPIK KEPKVEVTSFDGSSSVKRSTRRRSVKPKEEVEATDDSDESSPKSSPAPEPAPVATQTP SRPAIKFPSLPPSPAVVTDAIDRQTTRVRKSVSEAWDQSGMTERTHSLRSLLSSTSTI QTLILILELSSVINAIMPWRKFTFPAIEALNTSACTHNIPDLFNTFQPAFWSPLLLWA STSVVLPSIIAYFFDINLKMSQSTSPTTRRSAAVAAAQDKGKVCGDPLVFNVAKALIA SIVYGSGFNFWNLFSQTSVLKVVSAVPWGLQGLLTGSAICTLGSLYEAILRK TRV_03451 MFRSASRTLLRGTPAQQLRFAQSRRWISDASSPVQKKQGWRSTV VRWGLAAGAVYYYNTSPIFAEEPPLEIAPGSQYKTQDTAVSQTIESLEAAKKTKPKAR PAPVTSEVKKSSPEETSIGAAAETGAPISGGEQPPVPAPSDAEQEGEEGQEAAFNPET GEINWDCPCLGGMAHGPCGEDFRAAFSCFVYSQEEPKGMDCIDKFKAMQDCFRQHPDV YGNELDDEEVDAQLDEHIASEKLAEQKASSESSEAAPAAVPEPSQSESTSSKDKLQEA VSKELEAIQSKASKE TRV_03452 MKRLGLEKTEVWITQVIKNICYLHMICVFFSLAGILPNAIVGDL DSIHPDVRKHYQSMEVLIIENPDQYSTDFMKCLSYLADNCSDIVNTTCQHSDNGSGSY SNNSSKALDVVIFGGLGGRVDQGFAQIHHLFCTTASASEQIRRPNGELYLISEESISF FLRPGNNVIQTFGGSYFGKEKEASAGLSGRQTNNADQQAYFSENIGIIPFGGPSIIST QGLEWDVSDWKTEFGGNLSTSNHIRADLVKVETSAPVLFTVELAPSLKLDESNFSR TRV_03453 MYNTSAAYSQDLLLSVTRGLSKISKTDGFVRLHTLEILLCNHLV IEEWAKVFDFTAIKNFSLIHSFNFDVHYPSPWTYLRSRRVTLKRLKTNIYMAGAVSYL RPFNSIEELYLMGSRKSADFGYIGFYFSNLRILLIMEFVIDIGLFVRNLHLLIGACPK LEELGFPLLRKIQLLRNMPFSPHLPTGDA TRV_03454 MERARKSTLNLERRFAVSDVFEVSKSLDSSLKKNTAFIKRLRTG ITAAGLPTFITDVRSLSLHKYLSEIISAFYEGLCKLKTPGEIAAGVEIASAIHQRFGP DEFTKRLAWSLGRGLTSPDKAQLKAWTPEVREREEKERLSRHRVLLRVVTEFWLVGLL RTLDDVERPDDSMPKCKDSVPTLGGKGADGSSKPRQSQSAKPNQDRDEEPFPLEVLKD LLGYDREHVNLPLALLFARTYSWDILGIKTLEEGRKTVETNGTTTDTSKETQGGTSGD EAGTNTVQLDDPPLVPEKLQTRFKNVLNRYLEDVKKHVSRDQKALANQSRRNAEAYVK SGEILEDRQSNFEKQTKAHEKLVSNTQSLCEILGVEMPDLAEKDASDGAMSGSIGLVK TGEYLRGQGEGAGIWEDEEERRFYENLADFKGKVPAVLLEDGKKKKADGDDQSKVKPD SEGQNGTAAPEGTSKPDSQAADSSAREPEESGTTIANKSVGAQVDALLSKLPELANKE AVDQLALDFCFLNSKASRNRLVKAVQEVPKGRSDLLPLYARLVATLGQYLPDVPQGLI TYLDDEFRSLQRRKQKEFLGQVRTSNIRYLAELTKFGVVPEHVIFHCFKVSLDEFSRM NIEIIGNLLENCGRYLLRNPETAPRMASFLETLGRKKSAQHLGQQERMIIENAMYYVD PPPRPAIQQKEPATFIHHFTSSRILTWFQVVNILERIFSKPGKIKYGNIHLLAIIISA LYRYHQSFVIGVIDNVLERITIGLENNDFKFNQSRISEVKYLGELYNYKMVDSPVIFD TLYRIVTYGHEGGTPSPGKINPLDMPDDYFRIRLVCTILDTCGVCFDRGSAKKKLDFF LTFFQYYLHTKDPIPMDVDFVIQDTFALTRPQWKFAADFTEATKLFAEAVAQNYQVQE TDKAPEPEDEAESSSSDEDIEDDGAPDIEEVSTDEAEVTANEPDDENESESEEEQIFV SRQEEERDPEAEAEFDRAFEKMVSESVESRKFERKTMFDVPLPMRRANPREPAQVEET KEPSPTPSSTMPFSLMTKRGNRQQAMLLTLSVKTRTIDLPSDSSFAVAMKTQQQAERE EQQRIKNLVLNYDLGDDNDSNDGDSNSSPTHHFILRPNTNAKGSLKGSDKRTSSHRDS RNDKSARSGFRARKLQLSDVDWYD TRV_03455 MITEEQANQLYHEPKTGSFEAPNVHDHNTGHTSSAISSTESSPQ LRQGEWRPQHKSRQYSFDAQEQKHKLQSRLTGLVKGKETGFTEREGH TRV_03456 MASKAVWQAPAGNKGFTDAGIVIIGGGISGMCMAISLLKNNIRN FVILEKSAGLGGTWKDNKYPGCCCDVFSHLYSFSFAQNPDWTRLYPSQEEILNYLNDV GDKYGLHRYIRFSSMVEECRWNEDEKKWKTTVHVEGAKDSEFGERYTISSDFLLSAVG QLNYPHYPSIPGIDDFKGKLMHSARWDWSYNIKGKKVGIIGNGESSSSIILVVTPWHW LSLRCINIIGATAAQIIPEIAPEVSHLTVFQRTPNWVAPRHDMSMTLPIRFMFRYVPG VLSKLRALIMDVRESLFASILKPESQVSGYLKKISVSMMKRQLANKPELWEKLTPNYP PGCKRIITSDDYFPALARDNVSLETGHIDRISESGIVADGVEQEFDLIVLATGFRTVE FMHPIKVYGENGRSLSDIWKNGARGLYGITIESLPNFAMLYGPNTNLGHSSIILMVEA QTRYILSMLTTVLRSKQRGRTLTITPKVDRIDEFNESLQKDLAKSSFAHPNCTSWYKN EEGLITNNWSGNVLDYQKLLSRIDWTDFDMKGDDSVKGRTYTRLGRVREESLIGLKTL STAAIAAAVIYGVSRRSPDFFPGLLRYLHLS TRV_03457 MATYTVQQGDYMWKIANDHGIALDALIAANPQVADPAVIQVGQV LNLPGAAGGTPSPAAGAAGDAAAAASAPPPPAASAEPAEASRGVVNVASAVPSFQGGR QGLKTVGYFTNWVSLLSISGFSSAGLITMCSNAPVLCRASTDATISLRISQRATSPIF SISLDSFANVRPETGEVYLSDTYSDLEKHYPEDSWNDTGNNVYGCIKQLFLLKKQHRH FHILLSIGGWTYSSNFAAPASTPQGRETFARSAVKLVANLGFDGIDIDWEYPKDDTEA YNFVLLLEAVRYELDKFSAEVVQRPDGGRLLLTVAAPCGASNYGVLRMADMDRYLDFW NMMCYDFAGGWDSNAGHQANLYPSVDNPASTTFSIDAALRAYMAGGVHPAKIICGLPL YGRAFEQTDGPGKPFQGVGEGSWENGIWDYHVLPKDGAEEYNDENLGASWSYSGSERK MISYDTPLITRKKVDYIRGVGLGGAMWWELSGDHPVDHERSLIKTVVEGFGGTGALEM RENNLNYPTSEYDNLRSGFP TRV_03458 MDSSPPRKVPQGQSTAGVKRAALLPPFEPCSSPPLPRPAKRRVL KGEDSFSTYPTPVPTSSTAILSSSPSRIPPALQRTQSVTSERAPLSDVPCVMLEEDGE PITMGRSSASCSYQLSPNRLISRVHVKAYFKPASHPFDRDKIEILCMGWNGIKLHCQG KTYELAKGKTFTSDIRDADVMIDVQDSRVLVQWPRPERKDSNSEHTWDESSPIRNADS RSRHGYSESPLKSRERLLSPVSPSPAVQALGSADPLTSSRSVPNAVVVYEDESSPVRP KKSTTDPKSTSQSTERASISSDANARNSISSNLTKSDELSEHDEENDPIVFSFGPYGE NLLPRMAAVNARDTPTASPHQKPLKRSASARESSSTKESTDSSRSAIQNHIINQLAFS RLSSTPFSTIVSNLPSDLVGTGSSKKPKVSNTFIRSIIEDTRCIGTVNRKGKDAAGKQ LECEYYYTPDLDTDEMRKQAVVNELRKPGLRNCRKQHKQYFWKRPKTP TRV_03459 MVNGGLLVLNPSEEIYNTILCQLEDPTATMRYAFADQSLLSDIY QNRWVPLPYIYNALKPMRWPGVHSQIWRDYKVKNVHYILSSKPWDDEDLEGWGRKQKR YSVTDETHDWWWKINNERMAEEKANGVIRDGF TRV_03460 MINRPIKHVPRLAEVHSRLLSTRRNSLLASASRLPAIERVRDGK AIKYTRGRFIFDENEQMDKRRIRFDMDALASVAADSTSATKCVGIQRCPDGLYNKAFV LSMDNGKEVVAKLLNPNAGVPYYTTASEVATMEFARKFLQTPAPRVYAWNASTDTKNN PVGAEYIVMEKIPGVQLSEIWWNLKLKQKLKVFAQIARYMRRWTSVNFSQIGSLYYTE NIPTASNEPLYFENGHATFNPRFTVGPSTNREWSDAGRNGLKCNSGPWRSVANYRKAV GDREMMAVKTITRLPKQLAMLYGPNPLYQPSAEKKLEALQYYSQIQDILLPREPSLNT VHLWHNDLHDENIFVDPDSLEVQGIIDWQSTHIAPLADHCLDPSFLGYEGPDVGDNLE KPELPDAIKALKGEEREAAVAEYLDKVIMIGWRRLVRDKNPSQHQAIRFQQSAAGNLL HLSRRIFEAGEPHFLALLLDLRDEWTNIGTSSFPLKFTDEEVDSIEADVERAELGVRT MKLIERRLGNLWPEKGVVEHENYEAAKAALRQVKSEIIDEFKTYPGWNSEVFESLWPF DQ TRV_03461 MKFSSGILSLAVAASVQSVQASYHAHGHAHHHRVLDKRADSDVV TIPGPKVYAFILNGQHMSKEEVCAGVKDGRLDWSGQNHDELCGFPVGMQKGSPPACPA PSYVPSPPPAPSSPPAAPQPPSKSPETPEEPKKPEEPKKPEGPKTPNPKKPDGPQHPQ TPTGGEGVNRPFPDGEIDCGDFPSKYGAVAVDYLGLGGYTGIQHTTLVGEVFGTIRTA IAGESCTDGAMCSYACPPGYQKSQWPEQQGSTGESVGGLACRNGKLYLTNRKLSTRLC IPGVGGVHIKSTISIEISICRTDYPGTESETIPVPLPAFGHLPLTCPQAETYYFWQGK STSAQYYVNPPGYGPAKACQWGHAGLPIGNWAPINIGVGEKGGVKWLSIFPNRPTTTA ILHVTIEIVGEGLSGKCKHKDGKYYTDTGVNEDGCTLPYSSSSLLAAALIPLLLPLIL HHLTSLRSVYLIYSPL TRV_03462 MAVSVVKTGPKYQLDADQVLRASTALLSHIKAEDQRKAADSTVK KLPLGDDSDSEDYGSTGEHSPIWLILTTKKHLVDKNRMKPGKIAVPHSLNPSSTLNVC LITADPQRAFKDTIADPAFPPELAAKITKVIGFSKLRDRYKSFESRRQLLAEHDLFLA DDRIILRLVNTLGKIFFKSSKRPIPVRLEEIQKVDGKRVKAADKKRPPTDEKIASVAA PAVVAREIERAIACVPVNLSPAATAALRVGWSNWPAQKLVENVSAVVEAMVDKYVARG WKNLKAVHVKGANTAAMPVWLADELWLEEGDVKEDEVKKVEDSSKKRKSIEGADAGKK SKKSKLLTSTVVERDEEEEEELKARKAKLKAQKAKAVAKVNGDALLLPQEASSSSSKK PRTKLAKMKKAT TRV_03463 MISIARPTVLRATRSIYPSAASTLARSRLLSTLAILEHKDGKVA GSSLSAIAAAIKLGGPVTGFVAGKGVKAGVAAEVAKVEGVEDVLTVENEAYDKGLPEN YAPLLVENIKKGGFTHVVASHSAFGKNVLPRVAAMMDAQPVSDVTAIEGEDKFTRPIF AGNAVLTVQSTDPIKFFTVRGTAFQPGSSTGGSAKITDGVDPAAPSTTKWLSEDLTTS ERPDLSTAERVVSGGRGLKSKEDFDRIMTPLADALGAAIGASRAAVDEKMADNSLQVG QTGKNVAPQLYLCAGISGAIQHLAGMKDSKVIAAINKDPDAPIFQVADVGLVGDLFEK VPELTEKLKK TRV_03464 MSQDVDYKALLLEAEERARQEKELRLKEEELRLQAEERASKAEA RASREREQRQQATQKTTFDEYLWGCHRLISVTIRVQRIEHSTQGSINKPTGKVCPRYL QEWGDCSDTLQYFYQRVYDFLRQERLFLPVITLEGLGQILNHPIGSEKDIEFYGRLAV ERHVYNIITELCKMEEAREEFQLGEEITFENHANSIDDPDNDTDANYSPIIDRAFADQ FCIHKVKEGTTTLLTTVEYKPPHKLPPEYLNSGLRPMDLWEEVVQRDIKKIPPEEKIK YNAEKISASVLVQEYHVMITEGLEYSYVTNGISYVFLRVPEDDPQTLYYFLCEPNTDV HADDIGPWTAIARVLAFCLMCCQSTARDHTWRNHQLRHGLRWETDLDYVRSQIPKEEL RQTPPGSEYIPSSSPAGSFTVPDNGGGIRTRSQTRCAPNTETQGSGPTDSDSDPEAPS GSRAHLGGRKRNLSELTSSSSSQHGDGAGQRGQRGQVQQRRQHVESFCTQKCLLGLRR GTRLDMSCPNVGRHRQGNTNSTHHLISADQLVSLINRQLDENLDLDCTPYGKHGSYGQ PFKITCRSYGYTVLGKGTTAFRWNEVRREVEVYRFLHAAQGSAVPVFLGPINLDMVYH VHGAGSIRHMLLMGFGGEEVGKRSGELASHIERSTRELHDLGVIHGDLKPNNMLWNAE LQRVLLIDFHGSKLMLPLERTKKKQKAMSQESKKKSLKRLADNGETNEGIKRTRLHLC ET TRV_03465 MSTSATASNASRLSIFSRRSSMAKNSDNKLFSSASSMMSTSSIS SIAALKAALRRQKENSSELSKKTTRKQTTCISPTTTEAARASYFAHRA TRV_03466 MKTATVDGDDERSTMALSCSPRPLLQELPMMSAADHAKRKFEGG PETEIPKRVKTGPFVMDDDDDDDDDDDVVGDNGIFQSHPHPDASSHRLDNEKAGLEEA DSLWQRKAIVSQEASTRPQVTAEQYTSVSIKTCSGKVKYIRQRVKKDHVPYEKLIAER SVTAAGKATRSYYGIDIHQLMDEAKRLEPSAPAAVPSVEAQGSAPGTAKPAHRLWTEK YRARSFKDLIGDDRTHRTVLRWLKAWDPIVFPGLAKPKLKKDNFSNDAEERAHRKVLL LTGPPGLGKTTLAHICAKQVGYEVLEINASDERSRTVVTGRIKDAVGTENVRGVTIVE DGKVIRKPGKPVCVIIDEVDGVVGGSGGGGEGGFMKALIDLVQLDQRNSSRSKTDGQG TGRKGKKKGDNFRLLRPLILICNDVYHPSLRPLRQASIAEIIHVRRVPFDQVVQRVKS IFEKEGIQCDADGARKLCETTWGVSGTRNQALSRGTGEGDIRGILVEAEWVAHKLRYD GLTSSSRLTKLWLERHILHNQQGSGLSRGLGRGGAKEIVSRVFLDGAGFPHDRQDKQG FQDPFSREKSKAPVGVAELRKRAAISRLSEMIDASGEYDRCVTECFLTYPTQTFQDDT LLSKPNAAYDWLCFHDQISSKVFSNQDWELNPYLSQATIAFHHLFASSHKSEDGSKMD IDGDGEEEHPFAGPRADFAAFEAEKQNRAILVEFQSSLSAPLNRTFHSTETVVTELVP SLTRMLAPDIRPTLIGNSGGKGAVASVRKDSERALLKAAVGIMHGLGVTFEKTKLETE AGGYGGYIYRMEPYVFLSAFINKPIHCFSPLRPNVTLANHTRRSLDSLNSFSKVKGTA LASGSISAPVRYAVRQALDQEYRKSLIKRQSEAQNPHYGGPGSSKGGNGNDNADDNED SSKQAKSGRSIGPKRDFFGRVIQEKPQGNNSLGAGPGSGKGAGPGHDASKKAKKVWVS YHEGFSNAVRKKITMTELLSGL TRV_03467 MTQSERESRDFIAQCSKAAKEFNACRIAEGKELHSAAAGAADLL PLPASSKREAQDEHVDSARPPKRVIFCDALDITDGAANAIPERTSTFGFVSVLTSATA HPCSLKWKNVNVAPAEPEAGERNSIPQPAAISSPVSSPGSTTSSSSTDTSDSNSFVAV PEPFQSHVTPPAAPEHDVASASKGSPVPKNINNNMEMLISHADAMNRCMSQTYFKLSE FQTWSMKNTTALETRLTNLENKLVDQDAAFQRSMASLETQLATVCVLEDLLFNSNGKI AKSMKQLADLKVKLDGVDDDLEREHKKSQTILVDISTKIDSIIRDISNLHSLAHRQGP ALSRVEACSNRVEACSNRVEALEEEILSRLGDGLHDHPSLDSENTTAINIPKKTATSA RIDSPVDSE TRV_03468 MDQSTGDSGNENKPTATSIDAQSGADETMASPSRPTGSQSGGVS PEKATPTQNPSDNPLDAPPSPKGQNDEMEDEEMGGTNDSKADNDAGDEGDTSMLQQQT DGNVDGPADQSKAATEASARSNLIAQTHAIILPSYTSWFDMNTIHPVEKKALPEFFNS RNRSKTPAVYKDYRDFMINTYRVNPVEYLTVTACRRNLAGDVCAIMRTHAFLEHWGLI NYQVDPQSRPSNIGPPFTGHFRITADTPRGLQPFQPGPNTMVTAGKPHPSTDRAAAAT PLSKSDLNLEIRRNIYDDKGKEVTPSESKDKETNGEDAVTNGTPAESSTKAMEASAKE SKKSLNCYACGIDCTRVRFHYAKSAPVSTTTNPSELKYDLCPNCYLQGRMPSSHQASD FVKLEDSQYTTIQDREKPWSNSELLLLLEALENFDDNWQQISRHVGTRTPEECVMKFL QLEIEDKYLEDPVEGTSSMLGSAGGREPVSQLENPVLSVVTYLAQMTEPSVAAAAAGR TVEEMRRDLQKQLDKRTASTTKEKDTEKTGEKGKEAESMKAEDSMEIDTANEGQEASA SSTSQGKTAQATIASIGLAASAARAGALASHEEREMTRLVGAAVNLTLQKLELKLAQF SELEAVVEAERRDLEQARQQLFLDRLGLKRRIKEVEEAFKAASLEGNEAGAAVAAQAQ TIGLGENYTFQQTSADNGVNAVQPYSASGGPDYKSYEI TRV_03469 MSSSSYEPQDDAPESPSETDEPRKTIHDSSDAGPSSRQLQRLTL ASSPPLLPRKRKRVEKWAWNKRENHLRRANALPITSYRGLLIQATDEIAQEPNHGAPI HNSQFGIVRWSTEEKLALFSALARKGKGAIPEIASFIGSKSRIEVQQYIMGLEQALRE HHITEKKFRGITFSDIHAAAEISDECCLALEKAANALSVQEEQAHNISGKRKYKDMWL VDSNAASFLEEKIQTKDISDVDPSAHHMLATAQLFHIPNWINLSRNVFMNFGGHRIED NWTRICFHEETPAVTCDSFTDFYTIALSLTRRLVQSSIFFALMRIRATDERARDRDRA IRKDDVRNALDTLKVQHNSREFWIYAARRCSLDVREYVSKNSFKTTLLSYDEVEKRLS SSSLELPEPQQGEHENQEEAQEEDNEEEAQHEEEEEEEAEEAEEGSDVLPMNNPEKYT VEDSSSSFEGSEADVSMGDVSSSGTDEESLSDEEEIYAAAIDKETSREEERRLWRILK PTPKSESDIKAEMESDDEGLFSSSRRRTKPLTRSKTIQDLQEWRNAVLPMADWELFGP ETLTVNEEIRENHRIKRQKISNDEQTG TRV_03470 MQKISRCSRWLGLANLYCRGYSAAHIGARLFSSAASVRVSDGAP ILNPEIRLRDYQEECIQSVLSHLDAGHKRLGISLATGSGKTSLILVHRKELVEQAAKH CTRAYPDKTIEIEMANSHATGMADITIASIRSLLSKGRIEKYNPERFKLVLVDEAHHI VAPSYREALAHFNLGEANADSPALVGVSATFSRFDGLKLGAAIDYIVYHKDYVDMIGE NWLSAALFTTVKSHVDLSRVGDGPNGDFQTHQLSLAVNTETTNDITVSSWRSKAGERK STLVFCVDIAHVRDLTAKFREIGVDARYITGQTPKDVRAKELEAFRNYEFPVLVNCGL FTEGTDIPNIDCVLLARPTRSKNLLIQMIGRGLRLHPDKANCHIIDMVASLNCGVLTT PTLLGLHPDEGLDKISAKDVKEKRENFDKDPAQGQGTKKSRSPEDEDIVVGFTDYDSV HDLVQDASGEDHIRSISENAWVQVSPHRYILSAPAGRLTISKGNSGLFSVHHVVSLSP SGNSKSPFSRPREVVSAVDLVQAIHAADTLASRIFGGPVYIASWQPWRKRRASPGQIG YLRKQLGKEIPEKITKGEAADMITKLKHGAKGQFKELLSSKRRSKRELEKIAKWKEMA ASEEVKIGPLA TRV_03471 MEEYPALHQLNIPPSIPAFLKPRTRNAQRKMNALVIGLTGVLSF APFLGDLFAASRKDVAVVRVTLGEFDLFKEGVKTDTIGGDMPNVALFDANGQRIGFKN GEKHGKVKSGQGYDITVEPFESDNRKDPEYVTISASSSDAICIAAVTVTGADQGKKMD KTWAWNGGFGFLCGNLAWYPSKKLTTASERSPCIWISNDGRFPMGFSIHIPDFSHEEL LPQFKYKKETVCDSKPRQHFYKDINELQCPPVFKEFPKFDEETGYENITQVLVDGVTT CKPAIGEEVSDEDLSRLRKGIKNHSRIGGETWTYGIKRSHVTKRREWQPLGCDLKNAV VVSKGYTARAICEHPTSAGPDYGNREEGLFCDMCTKKLWPFCSVKATANCFDEEHHII RGDHPGFKRGINGTSVHKNYEDIQVWND TRV_03472 MTDWIVALGRFNNTIIFAICERQIVDILDPAVGVLAFMTSPLLG RILLTKSRSTAAINIAALHVLSSVNQGLDELTQPMGYTRLQRHLMIGEAIAANLKNAT IKSTYEGVNLGHHRLLCSSMIAENHSPQDSVFASIDRIYNLFCGAIITGNISLVQSMA ASVDVNALNVFFGRPLGLAAVWGHLDIVRYLLDHGADPHIIAERWERWDNDILTINNG VYEHEYRCPGGSALSAAALGGNKEIVSLLLEPEFRLSPSSSEYHRAILCAVRIGRTDI VDMFLEVQGISIYDLKHFKDLMLWEAVCHNRESMVQLLVDNSADINRDGPLIREHGCA LHLAAQNGYDNLIRLLLDQGADISYVSSYKSLTPMGFAARGGHLEAVQVLVEYGEKLE HALVPAASTGQSHMVKWLVEKGVDLNYCHYDENIGIQALVQAIMVKNLGIISILAAAG VPLNNDDSDLSRDPVWVAKSLSSPWVFEFLLSIGAQDREYSEDQLIDKREERMYELGR YGLLDVNQETWMWQGKY TRV_03473 MRFFSPEKLAVASVILGFPFLAAGRVVTSSTGLDISCDDAHCPE SIIPRGPPIIFKPGGKPGTKPGDRSGGDSGGKPGGSRSGSGGLEVEPLGTGLGLGDIR PIGDYKDKGTQNLASLRQAITKDDPDTTIVSKDEDVHPPRSFYNLFMAELYEAQPTFG AKGSQHPELLNLLKKEDTGFEFAAHTSWTEYSIRSKHVSQAALQVNHGGYSRNGEFII ANTAFKDAIPYPKGHPQRIPNNEITWQCFAKVAKEKTKNLKGLILRDIQNRGTWKILR EAYEKKGIPLNQKAVWKPDPNDPGMDGLFKTIVGSDNIAGKLLILQNHHKAIGNKEIN KVITWTRHAEGSLNKLTVFVGLREKS TRV_03474 MVFSLWFGMNSDRDNVHPLLTHIIPAGHCTCQTSTTFNCSESLA TLPKKQPSSPAPVPSADWNFEFDRDKANVNLSPLQCKTAFPGLFEDPYRAAAFWDSQP GISTEHLDKIELKNGMARAIIHRGELYIVATQAAQEDHRKKILAVLSSMHHALSATAG NRTQPSIEFVFSIEDRVDDISGTGHPIWALSRKASEESIWLIPDFGFWAWDNAANAIG PYNQVVDRIQRQEVTVPWSSKENKLVWRGKLSFAPKLRRNLLDIARDTSWGDVKEIVW SEKQNFISMDSHCKYKFIAHVEGRAYSSSLKYRQACRSVVVAHKLQFIQHHHYLLQST GPYQNFVEVERDFSDLPTKMEHLLSNQDLAERIANNNVKTFRERYLTKAAEACYWREL WASWANVFNAVITDSFADRGLRFESFLLLESQKMMAFSHSNVRDV TRV_03475 MTAGSPPESAVQKAILADSAYQKAAAPTFLWPPKLLPRLPFFFN FFLSSRRATPSPLSTRRGGRDSCRRALARSRPAAASPAASFEPTKQLAGRKEKGKTLL QPLEQLPTEDVSQPGRNDPLITAGGSLNGNGIDDQKLFAAIHLEVALLEWNSEPTRQD GIAWKTRTPAVRRDIELRLQLQTTARARLRRLLENTRNYNTNRKKNYTQMMENSVESQ RLRRPRSRTACGFPVSTIIVTILGLLLVFGIVQSYLTLQIDSQGCKTPSMLPTYIKLG GFDTEHTRFASKYNLYLYRERGVDDYSEEDIGLKGVPVLFLPGNAGSYRQGRSLASEA SLYFHNVLQHDQDRVKVGTRSLDFFMADFNEDMAAFHGQTILDQAEYINDALSYILSL YHDPNRARRDTDLPDPVSVILIGHSMGGIVARTVLTMANYQANSVNSIITMSTPHARP PVSFDSDLMSTYKQINSYWREAYSERWANNNPLWHVTLISIAGGGGDTIVPSDYTSLS SLVPDTHGFTVFTSTIPNVWTGVDHLSIAWCDSFRKAVVRALFDVIDVRRATQTKQRA ERMSIFRKWFLTGIEPNADKLLPSKGKIKQYCVTSAITRLTGTIEPTTLLTLEENANA MLKQGERLVLHGLGHHEGPKAHLIPVPPRGGVPGKKFTLLTDQRVEPSGSGKLEILFC SDPPSRAGQSATLLSLNLDLSGGNAASPRLVCKSSYEDVIYIPASNKNSKAAFDDAQP FSYFQYSLEDLTEYQFVAIVDKHEKATPGFLIAEFSDSSDSVIPTRVSLGRLLSAGLT ILLPADRPMVTDIKVPALQSSLLAYKLKLNRQGCKPDSELFAPIVRQYISDPYESKFF VNVKAIDVNLHGVAPYMPPHIRDNAAGSGISFELWSDRSCSAPLQISLQVDVLGSLGR LAMRYRTVFAAFPLVIVAMVLRKQFRVYDQTGVFINFSESLELCIRSSLPLTFLGFSL LATTLATSKSVVTQGVQSSWQSNATETPIDYGKNDLLLGSQDTFFWFLVPLFGIISVG ACVVVHYAATAIIYLLGLLRSTVAARQGYIKHENGKAAPLLWSLSTRHRIVNAVILLF LVATVIPYQFAYVVACIVQLITCVQASSHARETRSGNHANLSNFAHSILVLMLWILPI NIPVLIVWGHNLAVHWFTPFSSHHNVLSIMPFILLVETLTTGVMIPRITSNFKHITSG LFFFLAVYAAIYGVTYAYLLHHIANFVVTWLVVLYFFGNGLCIPSIDRITRLPDFADT DFSNGHIKKLP TRV_03476 MRFLISSLLSGLALLTSLHAFVLALPREYTIAMSNPFHESLFSC PAASWPRVKLGSENTPQEPSKDLRKILSQISPKRIEATIRKLVSFGTRHTLSTQTNAT YGIGAARDWIESEFQRYANASDGRLTVKVVGYDQQPDGNRIPFPVRISDVVATLKGEG DPERVYVVSGHYDSRNSDPLDYKGIAPGANDDASGVAVSLELARVMSQRDLPRPKATI VFAAVAGEEQGLYGANFLAQSFRNSSTNVEGMFTNDIIGSSTADDGTKEPHVIRLFAQ GIPPLNVENQAMRERRIMIGGDNDTPARQLARFVKETAENKHTDMEVSVIYRLDRYLR GGDHRPFLEAGYPAARFTEPNENYAHQHQDIRIDKDPKTGKDIQYGDLPEFCDFDFIS RVGKVNAAALWNLAMSPGMPRNVRVNTNALSNDSKFSWDPPAGGNALVGGYEIVWRST TAPFWTHKMDVGMVQEATIDLSKDNVIFGIRARGKNGERGVAVLPFP TRV_03477 MDRRLDAPPTYSLRYDGLETSFSETECTAWDDVISGCFIAGEWL GTSALRQIRMASPIAFIGSTGGCANSCLAHTLKGGYNVVALARTPSKLTDQLKVQGIE QSVIDNQLTVIQGDVNDIEAVKRTVAPACNNGALVPTIISGIGSTPKLQASIWQPVTL NDPNVCEKATNNIISAVKEVQSSHGSGMPKTQPFLTVVSTTGISKTEDVPLAFRPLYH YFLAVPHKDKLKMEEAIFNAVADPTPTSRVFRGVMSVRPSLLTGDYNIATGKGWKTLR VGTDDKPAVGYTIQRADVGEWMYHEVVANRGQNYTNQKVSLTS TRV_03478 MSERKDWEYGSKLFSFGFLSYATIVWSLKFNMLFFYRRLVRGLH IERFILPAFGFVTAAGIASILTFCLACVPFTKLWQVYPNPGGQCYPQNPVTFYTVAVL NVLTDMCIITIPIPMVLRVQASIMRKIGLMMLFGLGLFCMIAAIVRVVLIFKLQRHGD GALWSIREDFVAVIVGQAPLVYPITKPRFWKSIFGNERYEDTSEHSQQYKDSKASSKR KPKDPYMITQTELTMVDKSESTEEIMKVEEGKMAGGILVQRTYNVDVESSRSESGHED KKYPGQAF TRV_03479 MSSAASSASSVQSGRSGGTSHVHGHSYSPDQSRAQPDLDTLVSH LAAAKRSLSSIHHVWRANEIVTAARAALEESVIVSARTGFLRRGLDEQIRLLFKVRTE VEEVAHRGRAEFSAAIKELDTVDRRLQQTLDSLREITLEPAFRSQEQRQEEAERGVSK TLHDFIDEHAVDDIRSLLKDAIDNLSAAQTELDVSNRAFDNDLQSIQQALDKYRLSVK RGSVSSLSLSASSSASRVKMPTPAVIPEFLHSLELNAQEMADLLESLVRHFDLCVTAV KHTEGGGILARNITGDLPTDVGVGIRAGGNPQSNTSDCNNINNDDAGNPNPQLEPLTD SDYRDMINVIAKDAGEAEDVVLEIQDRIAEMESILERVLEQRDSLITAGVSTTAVYRR LVEFQSTRLLGYVAQSHKFEQTWKTEHERMESGVADLNDLRGLYMGFLDAYDGLILEV ARRMSVKRAAEDILHDARARLDALYEEDVRAREAFRIDQGDYLPSDIWPGLSMPPSRV VFRRIREDDDTAQENKDETTSGAKPDDVDQQHPEGDVQPTTKLNSSSKGDFGESIPSL PKHVIEQAFARLNARGTRLPP TRV_03480 MDTDNSTLPTEQSAVRETCDRDVTMSPRKRRRLSVSLEPELTEP EAAGTPGERCSTADTPESTASEPRSLFNSTPTEGNIAPFLTKHIKDQHASRNRFAPID SSLPRRKADSKYCYRHRPDLKCRRQAAEPTVDQMQRDLSTLSQNDQQSIAHFWSLFSA APSKHRNLMLQGIVAQCCFPQLSFLSASVRDLIRIDFVTALPPEISFKILSYLDTASL CSAAQVSHSWRALADDDVVWHRMCEQHIDRKCEKCGWGLPMLDRKRLKDTKRQVQLRA AGKEIAPNQRPQQQHRPWKAVYMDRFKVGTNWKYGRCTTTIFRGHTNGVMCLQFDDNI LATGSYDATIKIWDIETGKEIRTLRGHESTIRCLQFDDTKLISGSLDRTIKVWNWRSG ECISTYTGHQGGVLCLHFDSTTLASGSKDNTIKIWNFHDKSTRILRGHADWVNSVKLD TASRTVFSASDDLTVRIWDLDTGKCIHSYAGHVGQVQQVLPLPREFEFKHQSNCADDR SDRLSGSESPDHRGSHGYGSNNAPDQQPNTSAPPTEPMSPLFEALFTEDQGRPAPPRY MLTAALDLTLRLWEVHTGRCLRTFFGHIEGVWGLAADTLRFVSGAQDHMAKVWDPRTG TCERTFTGHRGPVTCVSLSDSRMATGSEDSEVRMYSFKA TRV_03481 MYRDSGMCVHLSEETEVGLKYEEHDGFGQGFAGLDQVVPQGDQG GHMYVPGVTKMQGRVKSVRLNAPERHLEPWTNRDGQKEIYVE TRV_03482 MGAGLKPKEKDYRLPFHNAIAIFNETTGRYEPVTPAAKPKKAAT TIGAVPQPKPRPTKVQKAAKPAKTALFPNLLPGKDGKLGPKPFVIRKVRLPEPQPPTL APAKHK TRV_03483 MGGCCMNCDPFRCFRGKEELDSEEEVQITVSDGSTIVDSADSSD SLAPQLPPINRLSSLHIPGVQPPSPDPQHDILFSEEPSPSSFAEMIYYHNGSAGLPQS DMDALNRCHAAALGRGSVQACQGPTLRNPPATMGPRIIDKAPDISFDPLTEAMQAMAE ELKEEQEPEKTLEDPARSQAKNEDGCKIALVPDPVAEARAIASRIVVPGPEETEEKDG SHVAFTVDIKPPPRPLPLNWKPSQVVDRVCKGMDAMMEVRAGINRVLRDKPDYVVGLP YTIQHKKELEMRALGTVIRFANCIAEDKRLHDEKEPIRLLVTCFEILVQCTSASLAAA LTAAEDSKSPEEDEAIRLQVQRWLVQTFSDIWVLEKETARYLARFAMDIDS TRV_03484 MTGGIKLEDTPERRPEDNTEPSTTEMHSTDPANELEGIEELEDD EFYDDIFDDELDEIDFGASNPSDFTKSYNRQRKLNEVAADANAPLSSYPKSNPQKPTV NTFAKVDDQISTLSRHAGKIRLDDAQSGLKSKGGRGADKSDRATSEQVLDPRTRMILL QMINRNIVSEVNGCLSTGKEANVYHAISQPDDDSPDLHRAIKVYKTSILVFKDRDKYV TGEYRFKQGYNKSNNRSMVKVWAEKEMRNLKRLYSAGIPCPEPLYLRLHVLVMGFLGN SKGIPAPRLKDVQLQDEDLDSRWKSLYMELASHMRTLYQDCRLVHADLSEYNILYHNH KLYIIDVSQSVEQDHPRSLEFLRMDIKNVSDFFRRKGVDTLPERTLFEFITSVDGPRA VSGDLGPMIEVLEKLFTARAERQEEIGDQAEGEENDELDTAVFRQQYIPQTLEQVYDI ERDVEKLRTGKGDELVYRELLASGAGPNKSVSKNENEGDEDLGEDSDVSGGVSLSDNG SQSGDADEVDPFAKKPPRGKRFEDKDAKRDHKKQVKEEKREQRAKKIPKHVKKRLVNS SKRK TRV_03485 MFIKQIIIQGFKRAFANGILYNSYKDQTVIEPFSPKHNVIVGRN GSGKSNFFAAIRFVLSDAYTHMGREERQALLHEGSGSAVMSAYVEIIFDNSDDRFPTG KDELILRRTIGLKKDEYTLDRKNATKSDVMNLLESAGFSRSNPYYIVPQGRVTTLTNM KDSERLVLLKEVAGTQVYEARRTESLKIMNETNNKRAKIDELLDYINERLGELEEEKD ELRNFQEKERERRCLEYTIYSREQAEIASALESIDDQRQAGVEDTDANRNRLMQGEND IAQIDTQKAELRQQMELLKLEKDQLEDDRRDASRALAQAELQRKSLSEGQSAAQRSKA EREANVERVNAAIKEREEELSTLLPQFNEMKEQEDQAKFSLNEAETGRQRLYAKQGRI SRFRNKGERDNWLQSEIQNTYAQVSTVKAVRMQTTKEIQELENDIALLEPEVEKLRKE ADGWGDNLQSIDQEVQTAKDERDRLIDQRKELWREEAKLDSILTNATHEVERAERALA HMMDQNTSRGLAAVRRIKRQYNLEGVYGTLAELFDVSDRYRTAVEVTAGQSLFHYVVD TDETATKVLEILQKEKSGRVTFMPLNRLKPRASNIPRASDTIPMIEKLQYDPQYEPAF QQVFGRTIICPNLQIASQYARSHGVNAITPEGDRSDKRGALTGGFHDSRKSRLDATKN VAKWRDEYDAKKARGGEIRRELEKMDQLITQAVGHLQKAEQKRQQLHSSNGPLRQELK SKRDLLHNKTDALEAKRRALKNIEANIDSLTSQIAAHEEELATPFEKALSNAEEARLE SLNSMVQDLRREHAALSSSRSELETRKSILEVELRENLYPQLDHLAGQGLDVGEETAQ GNLKESEREVKKQQKALEKLSQKLQKLENTIEQQNNEASQLEQRRADIKRELEEFAKS IEKHQRRMEKSMQKKAALTAQALECSANIRDLGVLPDEAFTKFKNTDSNTIVKRLHKA NEALKKYSHVNKKAFEQYNSFTKQRETLTKRREELDASHKSIDELIMILDQRKDEAIE RTFKQVSREFARIFEKLAPAGRGRLIIQRKTDAATRQQEDMDSDEEEARRSVENYIGV GISVSFNSKHDDQQRIQQLSGGQKSIYIHLTLNYKKKG TRV_03486 MYGVKWRAVALILSFIASNQLELLKRKPSDLRRYAAWSSTIKAR YGNIANFLCKERLHWPIDRDPQTLCQNPTLFADPRDYKILRNDWPYGLTPDITHMCVW VKNRIDTTPETGDVTEESRALIDDFVHRTFTSHLSGFSDAADRVIWFKNWTALQSIRS LEHIHVLVRDIPDKVIVEWTGEEARELSQPDGALL TRV_03487 MTPLPHTPSLGDNFLSSGDNTDKLSLVGQRKVLKPNEFQKFVLK EKTIVSHNVAIYRFALPRPTDILGLPIGQHISLAALVEGQTKEIVRSYTPISSDDNAG YFDLLIKSYPQGNISKHMTTLKIGDTMKVRGPKGAMVYTPNMARHIGMIAGGTGITPM LQVIKAIVKGRPSNGGNDTTQVDLIFANVNVEDILLKEELDELAKTDKAFRVHYVLNN PPEMWQGGVGFVTPSMIKAHLPAPADDIKIMICGPPPMVSAMKKATESLGYKKANLVS KLEDQVFCF TRV_03488 MPLRNRKTEGQQSCRPITRKSRPATSRNRTSAAAVPPANASPAS ISLSESESDIERVSQKANALLGCFLSFKNHNDDASTESISRDSSVIPTKRAAEPNETS TASERSKKRDDHSSRINNRTQTQSESPPSQRASSSLYPVVEIPDRTPQSIEPPVHRHI SHTSTRLKRRNTKKIEREDSPFFDCIEAPIPPASRSSRRRKGPLKYYGRTPRGCSPQN QNEENYLASKPLSPPPSSLSSVSEPLNGSGRVCYRSATHIVVRELLVDFAPEYAPYCS LKERKDLESLLKTKKHTNTSCEGALLHADLCMNELNVLYRFMRSGEKTRNEGCIQDLI TEYTRQLFGCGEIEGLLQRLATLHEVKLVLSRYTADILEFLLGCRNCEMAQQIKSVKH LITICRFLKEKFLVSALVVKNDINAHLKALLQQVDEQKLRAVAEEIKILGRRASHAFR AFLIDAKNGWLSTSPSTLRAYPSKPYEHLQPYDSANVLSHIRARELGYRVYRGKASIY QTLAKSANENWEHWKSWKGASQDVVVLEWSPDGTRFVAGSATSCSPGNMMYNRRNNLV VGDLTINSLKELPDHRMPRALFDPTRANEGGSGNDSQLYTSISAVAWSNDGTRMFSSS YDRTVKVWDTSSHENTKCITTLRHPGKVLVMALSKVDNSRLATGSDRENSFLLWHVEQ EYGLALSSSLEIHLRHKKLMTPTSLAWGVNSYTKDLLAAGMSLPDNTDMHGVPPIGGH LALWRMEEAGVSQVNVMPNSQNVFDISWHPTSPLFAAGIAACGRSKGLGSDIRSMVNV YDPLRGKRDIVSYDCPGIDINDVTFCPFNENYISAGCTDGTTYVWDWRNPDKILHKLK HGPPIREQDVGVQLALWGDQPNRFYSGSSDGCVKLWNIMAATEDVFVRDIANLGTDIT SGALSSDKTTMLIGDTGGSIHILSTSSPQDDDKSMRFEHAGDELAGNLDSAASAANAL IESGQIVRHDKFGPGKGPNYSGPYAAWARPPDTPADQLATTPLTMQVRMQQLCGSATM RRKYLDDTTYNHVRTQIQLAKYRNQKTYCAVPGSSSIITTEESSS TRV_03489 MHQQLLRSSLTVYSGIFKPRISISSFSSYCRLLPRNLGRSRTPP PYIDRRGTTLSLTTATRPVLTMASDAIPSIASLSIQSVSSEVSSYPNCYPAFNPVDKY RAHIAELVAEASGLEPAFVYTKILWTNMLDKGDLILPIKGKKPQEIAADIAAKFPKSD LIEPPVVVGAHLQFFYSHRPMTENVIRSILANKDAYGSNPNVGLRDQRDASKGKKKLV VEFSSPNIAKPFHAGHLRSTIIGGFIANLYTILGWEVLKINYLGDWGKQYGLLASGYE KYGSEEELLKDPINHLFEVYVKINQDVAAQEGPIKELKEQIKAKKEKNEDVTELEKKL EPLVDASYDEQARRYFKSMEDGDEKALELWRRFRDLSIDKYKQTYARLNIDFDVYSGE SQVKDESIKKSYKAMENAGVSESSEGAVIIDFAKHGAKKLGKAIVIRKDGTPLYLTRD IAAIVERDDEYQFDKMIYVVAAQQELHLAQLFKTTELVGKKDLANRCEHISFGMVRGM STRKGTVKFLNDILKDVGEKMHEVMQKNQAKYEQIEDPVATADVLGITSVMVQDMSGK RINGYEFNLENMTSFEGDTGPYLQYAHARVCSVTRKAEINPEELGSADLSLLTESHAV DLVRLLAQWPDVVFNASKTLEPCTILTYLFRMTHMLSSGYDVLKVVGSEPEVKMARMA LYESARQVLHNGMRLLGLNPVKR TRV_03490 MLSWRKEDAGKQSRKARLEGLKEAGKAAGRGGGGRKSDRSVKSW TDGCTRRGRLSSVVVFVLFCCSPAAAAAGGRFCGRASDVTLGTTISVKKDVEVFFFCP LFDLDFFSSSSPAGQTSHPARLWSTVSFLFSSPVESTAVFFAGDSPDCTAGFITASGG GLLETRDGHAYARERERERGREDRPRGSFPFLQLRSKVREAEKKFLLAYPYTYGTNIR LWNHVEEKAVFLAVTSDVELALGLGYRLRIRESRDREDRIATQQERTS TRV_03491 MSFVSSQQSYAAFCEEFDEDANVAIPETRRVANISAKRSKPDLH PVIISADGASDSGYSSRTAATIGSSGESLASGASGASSSYAASMNNAMAGRDIPRVRS RGDGVDREKQHTRKAKEREQKDLDGMQHDGMKPPSSRGSNRPTALTHAPKARRRESMS AGRHPPGVCAECDRFGYHTQGIIPNMMDASSYFNQIPGYDVPPSPQTPRYQPMDMGMS ITPAITHSRRSNSSSYHQQSRPVSFHPGIVPDMNMMYMAGGPSAPYGNSHAGPPLSAS AYTNAYMGSPFDSSYTQPSPIMGSSYENSSQVMMHYERPRTASMSRPSSERPRRGSVY GPPVVENTPPTPSHMPNLDRKLERRPSREQRARRQSRSYHDEDYYRMPPPRLPASKPA QPQPPVQVIPIAKRPTPKKAVTTPAATTVVHRPPSFDMSSMREALPPPRANQYHHQPP QPQRRTSRSAAREPSPERGTTQVITSTRPRRTATYYDAARPARVAVEAPRRPRRVSTY GIEQENLLEQKQREVEAYQAARAPKAPQLTTEMVRKASRRVSKARTIHQRQPEPEPEP EPEPEIESPSETDTDTESEEEEEEEEEEEEEEEEEEPPMQVYPISRVHRQQPRQQLRQ QHPPAPPAPPAPPAPQVPPAPVPLTTEMLRKAASRRPPPIPHSETESDDDDDAIEDDS SSESSDSEDDTSDVNTKNGSATGSRVDDDSITMIIRGVKIGLSSDAIDGKTINFRSGE EGGVELNIGGRKRDRYFLPRSDTASTSSRREADDIRRLREEHRAERDSRRSSRSGYSG RGLLE TRV_03492 MAPAPVVAPSDEGALSPGSSSVVAEFLKSIKRTTPSNIKDFLEP RNMPTKSLETNMEGTKILAARDKEPTTPLPVYGEGAIDPHSINMQGMLALFALLGAAL VIAAIWFFFWAKNGGFIWRENDWDDYKSTVLRRKGPDGRTLSNATKSTALGGGSIVAR EYRDYDDATTAMETETVATGKTGRTRRGFIAMKKKLLRRQKESDWEGGHDEDMRAYRH EKPAQVGGMNREADGTYHGSDFTPTNTHTNTHNAGSEAGYTYTYDEKSQWTQSQAGYT NKYEEMDISRGRNASGFSFVAGEDTVSHATEEQRPLREPSPPPRRRDTDHRRTAGSRT DASRTEASRSDVSRSEASRSEVSDTERRSRHARHSQRPRGPRTSTSNNRQSTSRKRTS MPGSYTEPLDMSEYSYQHLDGSDNGTSNMSYHQPLPALSKGYRRTGRGRRRDSLSDSD GE TRV_03493 MRSTFHVEEQQQLLQKAGEAEIEYGATGLQQPWHQSSLLSLRHI LAKNLKSEFVPSFDSYYDFTSLSSLNTKDTDVGDLPDMLYERGSSGSEQCVPQIQMLS LSSPPTTVSFPEMKAKLTPGTSQAPDQSSIASSDWEILKLELQQLVRDHGKVAKHRFS TRQKRAKLRQKRTASTDLGISLMRELNAHFAREGVSSPLLALFEQYKSSWDEYLAMER EYNEDEDGLDDREFHLEETQEKIKTAVEQYGTDRGAISKLSHKDEQVDFGPNITYEGG VYHPLMVEYLSRRGTLDLVNERLWELRLEHKEAFNDYQMGSGLTAEPNEYVIDLLTNF RRYEDEILREKEALERETEQLKAQCDQLGLSEEPSPIIPEDDYAAITLSNQLQSMPAH KHLLWEKDTPQFFESALAQEPLDTGEFINKWIFHQLCQSSIQVLHLKESFISENMKID SEEELLDLALRYWKVDAANKPVRRPMSEIYYDTFNANMMPIDVKANNIQLEVPQVPDP SPIRSFVAESIHESQKKAPRIDDTPTNKHLNTRPGLYSSKTERDTHFGNKPQLNFGRG QASSAIFHYDLPPQYRTEQGSGSG TRV_03494 MLSKVQRISLFIALVALCAITLFLAHLTSSPVSQLAASMSVTHV VLFQFKKEVSPEAVRNLSTRMLGLKDKCLHPLTQKPYILSSSGGVDMSIEGIQNGMTH AFVVNFASKEDRDYYVQKDPMHQDFVKSAGEVLEKAQVVDFINGEL TRV_03495 MSTHLHSLSPGDTLLIAAVIPSYSWTPNKHEDIILIAGGGGITP LYQLAQGILNNPDDTRTKINLIYGVNNESEILFQDQFDQWRHQFPDRFKATFVVGSPE PGSPHEKGRINADLLSKHMVLPKTGEKSVATKVFVCGPPAMEAALVGKRHDGILKQIG FTKDQVFQF TRV_03496 MEAVKHTLITFRGRLSGWPVGRVLAGVTAAGFTTFIITRNFQTS SRMSQPATKAAFWKAGPSFLRLRLHSSEQVSPTSKRLRFELPTPDTISGLGLCCESTY FHSPLFS TRV_03435 REICVNQVAETLESELKKYLEERAPSRVQGEKNHIGRLSASASA SASASSSASSAKSFVSLFLNDEQA TRV_03436 MVRFRNLPIICRLFARADDGKPPFPTQQLFVLGLCRICEPIAFM SIFPYVYYMVGSFNVTTDDRKIAFYAGIVTSAFTLAEFSTGMLWGRVSDAIGRKPVLI MGLVGTAISMMVFGFASSLPMALMARALGGLLNGNIGVLQTTVAELVTNKEHQPRAYS IMPFVWCLGSIIGPAIGGALAKPCDSYPSLFPEDSIFKQYLFLLPNLVCVTVLLAGIT IGILFLEETHAEKRHQRDRGRELGKYLVAKLFRGEDVARGMKNTSCTGDEDAKPFLYH DDPPPGYDCLEGPDTQESPAAKQPIVLSVETKKSKGFIKAFTPKVKYIILGYGLLAYH SVSFDQLMPVFLSTPASNVPVELPFKFLGGLSLSTKTVGFMLAVQGIYSMIAQLVLFP FVVRSFGTLNTYRFVISVWPVLYLAVPYLVLLPEALRLPAAYLALICKITLHVIAFPS NAILLANSAPSTTVLGSINGVAASTASLSRALGPTVTGFLHSRGLEHRLSILSWWACG LVCAIGAIESFWIEGDKAKPVQQKDVEKLAKMDVDDCEAGLQYEESELSLDKTRSPSE SSRESSTPNSKT TRV_03438 MVVLPLFFAFFFLVQGNCQPRDEIPYVRKYFYVGGQYADDGNGD HIFRDQMYVEHLVPTKGPIKQQPIVLLHGQAQTGTNWLNKPDGGRGWASYFIEHGYEC YIVDQTSRGRSPWIPENGTIATIPAEAIQRLFTATARYKLWPEAELHTQWPGSGVIGD PIFDAYYASTVQFLKSQIQQETTIQAAGAALLDRIGRPVILVTHSQAGAHGWLVADAR PELVHSIIALEPAGPPFENVIYKGPYSRVWGLTNAPLTYSPAVIDPETEIVKQTIDDW PGSHCIIQADSPPPRQLPNLRRIRTLVVTAEASFHRTTDWCVVRYMEQAGISVDHVQL GDIGIRGNGHMLFLERNSDEIAAVLRRWMEEKDTKPAKKAQEAQEAQEAQEAQEAQEA LEAPEALEAQGEEKEL TRV_03439 MLEYRTEGFNGCAVKYSPFFDSRIAVAASSNFGLVGNGRFYILN LTPQGILPQQSYTTQDALYDVAWSEIHENQALVASGDGSIKLFDIAVNEFPVQGWKEH SREVFSVHWNLVAKDRFSSSSWDGTVKVWTPDRPQSLLTLPTNSCTYSAAFSPHSPDI LSCVASDSYLRVFDLRTPAATNHLTLQMPIHGANSPHKPGFTPATGPVPPSEALTHDW NKYRPSVVATAGVDRTIRTFDIRAPHQGPLSAMIGHEYAIRKVSWSPHLSHVLLSASY DMTCRVWTDGSEGMPPGDADLTRSGPISTIGRELGRMGRHTEFVTGIDWCLFGSEGWC ASCGWDERLCVWDARAVMA TRV_03440 MAPKKENAKKAAGNARLILNLLFKKAEAAAAKQAAADQKKAAEE DKEWQKGAKSSAKKESAEEKKAEAARKKAEREALLAEEEKSLPSKPKGNAKTAQKKSR GTLDLGQLDVDPTSSKKGATLNASGIDYALDALSLGSKDALKIDRHPERRYKAAYAAY EERRLPEIEQEQPGLRRQQRIALIKKEFEKSEENPFNQVNVAFDATKEEVAAAREAEK AKIESRLTGR TRV_03441 MTKIEESIHSPTAEMESSPLMKLPFETLEAIFSHVDPEDLVSLC LVSRSVREYAASRLYRSLDCILQPKSDLEHKWPIDRLAKELETLTTSDFNYAAYIKSI CLDTAPLTDDNDYQFQEQIADQFKYDTPCGKFFNNLLLASIKRISTLESFRWNAGLEL NPAVFAALAKIPSLQHICIRMPAGVEPIQKKSTPAPPPGPPTQPLPLPVQLNQIHMHS APPIASVNHLSHNSTGCRDKFKSRKYWDPTQTFSHFNKINSLEVLEMDSLGYIDEISK CILQSSGTLKHLKLSFSERLALSARKKKDEEISETSSVQDEEELYQPLPPPPPPGQPA AFTSSSSRNSEVRRERLAQEQVLARLFSLDIDKNAMSQKESNELDNAVIGTTKGRQAG DDADVSLINDLKSVLEKLNTQQPKYQAVIAEIEKVTGPYQDSEKETGNETQQGEQSTA PPAASSSKKDGDNAVAADGNKDSKDLEPKSGDTDTLIPGDAEDMDLEKRLQTLVDMEH PDDISEGEDQEFVDEMIDTAPRLKAMTNGTVHFGKSKGKGPASKIPADNDDHSINEHD VIHNYVRQHHGIALDSLSIYLVPVKPSILCRGINVWTLRHISLLNVGPQRALWATLEN LNSYNPIPLVSVYTDNVTSNLLSFLGGLRRLEELFMIERSTRSRVEPSTPKPTVTVEG MRDLVLAKHIGTLKRLMIRNDNDLSWSLDPASVLLISRNGHKLIELVAHLGSRCFVRY SSLFLLFFFFLSLYLDSVTDDLSRLQHLLMRHMSTMESLSVLHVLFSDPDYCVSVLDE VRESAIDNFAHYSSVKDVYIGVSYASGGPVQTRCTFMKFRNRSSLTNKESRTFDTNKN DTADIEEDSINLDPSTCVVLSEVAHFEDTPGVKVWKKENWNLML TRV_03442 MELRLFYPFLAALLAIFLASSVSSAAHTSNWAVLVSTSRFWFNY RHLANVLSLYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYNNADRALDLYGDNI EVDYRGYEVTVESFIRLLTDRLGDDVPQSKRLGSDAGSNVLVYMTGHGGDQFLKFQDS EEIGAWDLADAFGQMWEKKRYNELLFMIDTCQANTMYTHLYSPNIIATGSSEIDQSSY SHHADSDVGVAVIDRWTYYILEFLETQVTSANSKRTLGDLFDSYDESKIHSQPGVRWD LFPGGEAEGRLRTVMDFFGNVQEVEVEAGSSVNDTEHSVKEDLVAIAKLVEQWKEMEK EHMENIRGPSSVGQKKPTLPSETGSPIHKLAGPMKMRDADDWSKRVIGLSLLFGIGGV WFAGSLRGRS TRV_03443 MYLPRSLISHLYQQLLRSHNPLSPPVLILVALEPDALCACRILT ALLKRDYIPHKIQPIAGYGDLSQAGAELVHPMHTSNGGSGGVVVCLGVGGLVDMCETL GLDVNKDEPGNMGGIDVWVIDARRPWNLTNVFGGMGEPATPRGSGTHAIAGVDGVDRG RLTDRYRPGKGGIIAFDDGDIDEELAAEREAYYTLETLPEVGEEDSDDDDDATSDEEV QPQGKKRKSWSGRYDGDIAASEDEDGRPAQRRRSNSGSSIASPLRLREGRHDSSQSSR SGSPVSDSPSLILPKEPSTRTLRKRLIRLKRKHESVLQAYYSLGTSYSEPISSLLYSL ASELGRDDNDLLWLAIVGVSSLELSGRTMSGVGISDASESGGSAGWGGQRGERIRQIL RDEVRRLNPPDDNEAGREALRSELNGVIPTNARSPTDTSILLSPEPRLLLLRHWSLYD SMLHSPYLAPRLHVWNETGRKRLRKLLAKMGVSLTQCQQNYTHMDMDLKRDLRQKMLQ YAPMYGMEGLVPPASSGGHSGSREGWGFARCWGWKACLSATDIGVILGSILEVGSLGP STTVSHTFSSHSGEVLAGATQAAKLGTDSANVLSRFWSAYDALAPTSDSPTQLLAAIP LAQHLHRAILRTGTALLSKHQIRHLRAFRIAVVKEGPDVKLFTNPGALTKLALWIGEA VRIQEKEKGTGMKIGKRRAAGTPLVLAGLDEDRNVYVVVGTGGGGGVIDFAAMAKRRE EQRKKKETREKKKAEREERRAKRREEMQDDDDELAEEEESSESDTESDSESEPELDSF SSKNLVRNRFGIAFQEVVHETNARVRIDSFEHCVVEVQKEDLGAFLEALSFRSVVG TRV_03444 MVRLVITRVTDADWDQLVEVQFRAFASEQFCQFVNGKDTPLNRE RCKKKYTCHEPEIWGGALWLKVIDADEVPSSHAKSNGNGGVNGNGNGIGNSPAILGAA LYRLNPNYSAEDLKRTDLEPESFKWLDDPEERRIAVTVIHDVMDRKMRFIKEPHIQLS ILFVSPEHQHKGIGGSLVNWGIQLSKQMMLPLWVESSTAAYSLYKRYGFKEQIRSRVI IGSWDIEYSILKWEPEQKHQPVKSK TRV_03434 MHDSQDAILRSQYLDFLFITNITPRRPPYIATMPSPRDSSAHWE SHLTDVAPCHFPRLGASPSGPKRPMTIKVALDQTQALKELCESNTATLPAALRAAWSL VLRYYTGAEDVCFGYQDATTTAVLPVARLAVEDDTEMSRLIETALIEYEDSLPFHGDV PSSANGPVGHRLYNTILSFRSAAKVGTAPPSRAANMALPED TRV_03393 MASNDILCASVEDRTFGPWAQQCRGAFDFTLLFEESILTLVPLC IMILLAPFRIAYLFKKKRKVEDTPLVHMKITSLAAYCGLQLLLVILWTRPDVTRTQLS IAVNVLTLVGSILFILLSYAEHLYTTTPSLMLNVFIFFTLIFDVARARTLWLRDANGT GEIIAWGFTATVALKFVILILEVTEKRFMLKPEYKSYPPEATAGIFNRSFFVWLNALF WEGFSKLLFVEDLYELDKHLLSERIHQRMNDAWEKVKSKTPNSLLMVTFKTLK TRV_03394 MGQYQHLTYRAITMVRGGLISMLYRKATDINIQDVDPASSMTLM SADIERIVQGWQMMHELWAAAAEVGIAIFLLQKQLGVACVVPIAVSILSLFGSVIAMN FVMAHQASWLEAIERRISATSVMLSSMKGVKMCGLKEVLLTNLHNLRLEELNISKKFR KLLIWNMGFAFVSQIFGPIITFAVFAAINKNGGGNAILDISRVFTSLSLFALLSEPLQ ALIMSLVTFLGSVGCFTRIQEFLDKPSRVDSRRQPEQRITNLFNLSQQTLALSDKDAS KNGEKINRSSASPTIRSTKSGGSFPLKGLTHSNPEVDAVAIRDGCFAWENEKGAVLKS ININIPRSKTTVIVGPVGCGKSTLLKAILGEVVCLGGVVEIASRNIAFCDQTAWHMNE SIKDSIVSVLDFDEKWYATVVRACGLAQDLRQLPRGDQTIVGSKGIALSGGQSQRIAL ARAIYAQKDVLILDDVLSGIDAATENHIFHSLLGEEGLLRRLGTTVIITSSSRKLKPD AVTIGEIILANNNRAAKRIPYADHIIALSSDGRVDEQGKFEELNVSGGYVSSFNLPSP DWLFKPEPERPLPAPAVIDETPQTSDELEAAANRRMGDMAIYLYYARSIGWPTTIVFM FFISAFVFCISFPTIWLKWWAASNIEAPYEKLGYYLGIYVMLGVLAIISLILGSWQMI ITMVPKSGEAFHFTLLKTVLSAPLSFFSTTDQGVTMNRFSQDLQLIDMELPVAALNTF ATFVLCFAQMVIIGVASVYAAIAFPLIIGTLYMIQKYYLRTSRQLRFMDLEAKAPLYS QFTECLNGLATIRAFGWQEALEKKNRKLLDRSQKPFYLLFSAQRWLTLVLDMVVAGIA VLLIVLVIKLRGTISGGYAGVALLNVIQFSQSIKLLITFWTTLETHIGAIARIKVFNE TAQPEDKEGENTQPPDNWPAQGGIEFKSVSAEYRPGEPILKNVTLTVAPGEKLGICGR TGSGKSSLILTIFRMLELSGGSISIDGIDLSTLPRSEIRSRITGVSQDALILKGSLRL NIDPTGVLGDDAMISALKSVQLWNIVNEKGGLDVDIDEIHLSHGQKQLLCLARAILRP STILILDEATSNVDNKTDEIMQRVIREKLSSRTIIAVAHKLDTILDFDRVALLDGGEL LEYDDPYTLLSTDSSFSRLYASTMAETPEDVEVISVSDEMISTPGTGRNTHNRPSSSS PPPTSTLLLFSSSSFSFHHHFDVHFLKISSLGIYT TRV_03395 MTATPGTTKARKPSTKGEGSSVVPRKRRRRAVGSGAADDCFTCA SRQVRCDRRRPYCTPCLDMGRQCSGYKTTLTWGIGVASRGKLRGLSLPISGAKKVTQS TEPRSVPTQPAEAKAAEKSRPVQKETEPAPTQTPTPNSPWSAINLTPPRSTSSSGSNS SITGSVAGSISMTGSATTSEPTYANLAAYNSTYSPIDACGLPLYRAVPEPLNINTSTS TSTSISISTTNTHGTSGTSSTSTYVELGSPYGSPYSATTTHFSPLEVYSTPVTQKRHI EEELDESETAVSSDVPIYNANTNNTYHYQPYPTASFSLSQPFSTTWIGRNPRMRYLIG YYTEVIAPVIVTFDSPNNPFRLYLLELAKDSEALQHAIAALSLSNLRQRRRNWGLLST GKTDPSRRSLQAHCRMTERAFEEAFGVTTPEEQLREESYHKGMAIRSINAQLADPVQR RTDSVFATLLMLCLFHMCDTGLASFRSQFAGVKKLFMIRGAGRDRGSQDSDVMKWFMR MFTWFDTMTATINDRDSQMNGCLLEVTTSGQDEWALENLAGCDPRLFRAVAQLGRLNQ LSQAKPVDNSTARMERPVPTAAIPQSLTHYPLPTTSATRSTNTANTSTPPLVDMPAIP VSQLDPRTEFWREWHVVRQKLESWRLPDLPGAHAHAHNHNLTHSPAPSLASTISTATT STTSTPTSTSLFTAPLPASPLSHVNPANLPEISNISESFRYSALLYLERLAHPTTPSR HPRFQTLVSAALHYIQAVKSDVFLLWPLFVVGSECMGEAERAIIRERCCDIQRDSGFV NNLSCLQLLERIWQEEDPNESGSGAPPSGELLGGAAFRWRRIIDSQRLTDEYIVV TRV_03396 MYHYPSPPSGWSAYDGYTPPSPHYSSYYGPPPPSASASASAQAA QAAAAAAAAAFATGSPHATPRSKKHAYRASFSAGYSQQTPQQNYQYSWQPPYDRPEYF STPRKQHSQPHHDHVSPDYYYYHHSHHGYGKQTQHSPNHHQRPKHRRKMSVPDNVSDD GSIPGLDEYDGYYYQQPRRRPSATTYYTRRRASTNHYHYPQTSSAAAAAAAAYDDPLD TPKRSRARRASTFTRPTHSSAHDPYSQSHSASKPKPSAYHSASHSHHAQPAPPKSPPR ATEADAIHHNIPPGYSIKNWNPKEAPILLLGSVFDANSLGKWIYDWTAFHHGATAPMA DVAGDLWLLLIKLAGKVKRADECAPLIRSTEAREMVEDFLESGERLWARFKRLLKACE HFMWKAAKREHAARGGSPATITMGRNAGCEFVDSIFGRDRELENTEKLMNSVRLWNMR FDANCGELLREVSK TRV_03397 MYKGEREASGFTGWELGVKVLVEVVSQISQGEEEKIKKLDSRRQ TVKIASSKDRSSSSSGKARQCIKDKIGRGKERKQRKSKTDDTSGKQEEKTHKRKKTQE NENARQTDLKAESRAEQEDISR TRV_03398 MADEVIELEGKPAPEQEAVVIDEKREKALIAKIDRHIVPFLVGL YLFSFLDRVNIGNARLYGLEEDLGLKGNQYQIAVSILFIPYCQRQLLEVPSNLFIRRF TASRYISVITTIWGLVATLSGITQNFAGMVVCRFILGAVEAGLFPGLIAYMTLFYGKR EIALRVGYLFSAAAAAGACGGLLAYAIGFLDGTAGLKGWRWIMILEGIPSFLIGIATW FGLADDPDTAYYLTEEEKEIGRARRRREIGQTDSAQLFHVADAKEGAKDWTIYLFCLG QFGTDAVLYGYSTFLPTIIKAFGDWTGPQVQALTIPCYALGAITYLIIAWFSDRWQKR AVFTIAFTCVTILGYGLLISDTSSGVHYFGCFLVACGVYVAVGLPTAWLPTNLPRFGK RAFATGLQLTLGNTSGIVTPFLYPHHTAPRYVMGHAVTLALAGLSVLIYGFMWFHYSR INKARAEGKEDYKIEGMTHEEVQEMGDRNPQFRYST TRV_03399 MAAKAVAVIAGVGPGTGASIARRFAQKYPVALLARNPKNYEGVV DDITKGGGKAVGFSADVSDASSINHAFAEIDKAFPGSLLAAAVYNVGGGLSVKPFLEL TEDEFTQGFKTNGYLFI TRV_03400 MGRQNLLAERRKSQSYFVIVEYQDRIGGRLHNVKFGKKKDGSPY TVEAGANWAKKYKLRALATDYDNKTTYDKTGKNDFSKIIANAQAAMEKVVTHAGSLLK NNIQDKTVRAALRFMGWNPAANNAHAQFADWFGSDFESSFTPEENSAVFSSVADNATF KHFSDDNLFVYDQRGYSTFIRGEAATFLQPNDPRLLLNTVVQVVNYTDNGVTVVTNDG GCVQADYAVATFSLGVLQRDVVQFYPPFPNWKKSAISSFEIGTYTKIFLQFDKAFWPN SQYLMYADPHERGYYPLFQPLDLPGALQGSGILVGTVVGKQARRVEAQTNQETQEEIM KVLRTMFGESIPDPTDIWYPRWNQEPWAYGSYSNWPPSTSLQAHQNLRANVGRLFFAG EATSQEFYGYLHGALFEGRAVGQMLATCIDDPVRCTDKNGQPRYPVLSGVTPYDLYNE ENGWFVSTIA TRV_03401 MVLNPQQTGFSDDSPPSDSDSYFSSPEYSEGGQLSRFAKYPTRV ELPPFSLIKRIFYWTEANYQRSISRLIEDRLDFVRVITNRFPTQEETDALVSQASTMQ NMPCYGGVVGLAVGSFIAKSKNAAEEAAANKSASEHFTPNQNRPLLKLNPGELKGRLI RASFILPIFGFIGLVFGEVVGEAVAKINMSTDSRLVPLLKEVGQLDQKEVMARIRRHN AERLAAARRQRNNLHPASQPQRAEYYAANDTSPTNSYGQQGATESSYYQSQPDIQAGY SNQSAIGDSKILRETYRQGETSEYSTSSSYPSTNDYSRDWRQQQTYPPARDSSTSSTE GKSFWDDDASPVSSEFTSTPDSSSSPAPHSGSAWARIRQQSMGDSSANAGNNNTSSSG W TRV_03402 MSSEHYGVRMSTSSGSRSASGSLLSSPPIELNNDLSPCAATASL FLFSQGPTVIALHHDSLAVDRRFHRHRDDVQIIAVDNVSERGAGRLVVSYDIGHTAII WDLFTGQEITRFVSFEPIRVATWLRNGHVAFGNIKGEIILFEPSTSEHISARTIFDLI TALAPTMDCNTFAIGYLNGSILIATLLPTFTILHTLTTTRGPSPVISLSWHASSTKQK TDMLASQTIDGDLFVWSVAKQPSTESPRVIRALKKSESNNTSPKWLAWSKNGRIVQHN DGESLAWDVRTKNVSYETIPTIQGVQGIANYGPTATLFTMGPNHTIQQYDVANAVMVA NAQHIPQKPDPPVEQPPAAESALTVETLAKHTASEQKHKSRPSQGSLPGVESKLDLVR AEASKSPGSGYSVPISNGSRPRNRTPYAPRPPGSNYSGSATTFSLGSPRPSIAETLHS GYSARYAMSMNSTESTLSKLRTVSIPGIWDDPVTDLFPYIRARLNSVSINPSRRFDDP NLGPDELRRQMLTVIFGWEGNIEDLIRSEFKQHTYGSQHSAILARWLLPSESDIMVPM VEASTSSYVNWMIMALSLLTKKEASKEPGQALAKKLIASNDPHAAAAVLMGIGEGELA VELYVSRNQFMEAVLLSCLLTPAAWTRQSYLVRKWGEHAAINSQQHLALRCFSCTETA NPVSWKGPTSHPSGPNPPSEKNQVTPMNGPPSNNLSPTSRLKNQSLKLITSFGSNNNS TFQFPGLASADRTPTNMPGVTPIDAGLGDQMFSPGGYNSSLRPGGHRGQGTTPVSRTH PASFGRERLPSIGESPNDPTPTVSAPRSRLPVDQSSESDKEIKVIDVPLVPPPASNLN QTTTETLEYLTPARYTPLNESMKEKETPMTAVPPDRTLSSSSGRENKLETALGQNQRS SNGSQSRKPDGLQIQWPPVDDQSSEDPPSEEMYYQQPSSNRRNGDRGDGVMSPTASTR SARSGTSVPLSAKSLDKYISSLDGASYHAQRQKPHKKSGSRASSKDPNGRGRSKQRYI QPAKRSPSSPIPMSPEDIALYSSSSKDYVGVRPPSRNGRMSSRMRSNSPGARSRVSGR STSRRRDFDRSIRSPTSPVPMSPAESSGDIDHRFRLLNAERKQRYKSRERSANRRHDR SRSAPRYSSSEQRNGTEKDSDTSGETGNRSDPVYPAYNSNDEQPQPQLSGHNQIDNQL DEHGRKRSAAAELEARRQSLARRPSAPPIPLPGEASLNQILSGRPSPSPGPQTHGRSN SSFTQRAMSKSTGPTPSSLNERVPFTMPIGLPATPRAMRHPKYSTGYNDSDAPEVPEV PPPDAFYSYERRPSFNIPRSMSAPIPAEDGMPTHPHFQRQLPSSRTNRTPGHKREPSN EGMTLENSRVENLRAEHSRIPSGPPPPLLPELQHLHATATPPPPPPPPAPPSRQPPPP PPPPAPPSRQPPPPAASVQNDGPTHDEGRNSLISGVGTINIAIDDSHSPGLFGPPNPN PNFRAASLPAHQQSLHQLEGNMRASADPHKRGRSVNDNVQFKFRNLTDRMRSSSRPRN GRSPASDRQDVCSPYESLTINGPIENRI TRV_03403 MACTAIQPPSLSSSLSTLLSAASYTSSISNSNKQQNFDSRSYQT KMWRGYGFGSSAASSPVSPPSSFPQPAPPPPSPCDGLLDIYPRKTSFSTISGSNTSCA FPSWPNRSSLYSGSEDDSHGSASAYLSDEDLFPISSTSSSSNPMLAAMETPFGSDSFD DNENAIIGNPELTTEEQIRHMNETEDWRMRQYVQAQAQARALQALRAAQLAAVEHAQQ WRKQLFLNLGFFQAIDHRLLSLLLLSTFSFVSSYDTNDFNESTCTTAAAPITSTITIT VTVTIHRQPTNENKNRLPDESLPPRLRRDGGCPRLSEDAVTVTFAAKRPTNFFRAASS HRHRIPQQRPPSPSPSPASSASSSSLPVSRDKNSPSCPEAEADFGREGLAYVSDLSRR LMHARGPGHGVALLSHPLTAPFLPSFRAQPCLSLFDTQSLFFFFFYFFYFIFFFFIRD TRPLFLIISPFKPFLMSIQNTGQLPLPAPFFLFLHASPALIVV TRV_03404 MLTYNQNGTSRGIASIIFSRPETAIKAAKELNGLLIDKRPIKIE VVVDASRAPPVPTPKPLTERVAQPKSQPKPASAAKAGRKRDARGRTQRGRNAGRPKSK TLEELDAEMVNYFAEAPASTNAPAPAANGAAPQPAGNGEDLGMVDEISVSRWFIPVLF KYYPNANVVFQ TRV_03405 MSEKLDKALDELVSSRRQARRQRRPAAKAAKAAPVGGVRKSTRQ PKPTSKATPTAPAAGSGDGKIIVSGLVSH TRV_03406 MEVAGLVMGVAGLFSVCMDVLDRVSNYREFSTQSRQTVVSFEAN KVRLKDWATYAGIENGVLKDSHHVRLDEPEILSAVKLVLEEISTLFDSIERSQSRLRV PQVVVSSAESEGKASKNSSKPSIRGGISWALRGKGSFTSQVTMFGKFVDELHNLLPKE KDGALLIETRKNTLIQTQKRVEEWLDAPETEQQYERHLSACLDGTCNWITTEPAYKTW ESDYFPRETAKFLWICGPAGSGKSVLCAKIIQQFTEKSSHPLAYFFSTSHVQAGGHPD GVVRSWISQAARHDERNLELVQQAMDHVEAGRRASQAEVWKLFKAIASDTTPFTFVLD GLDEYEIHDDERAQLLRGLKEHAKGVKCRVLIVSRDEVDLNQELSPSPGNKGESTMLQ CQISKSKTKSEIQLYSESIVNKKLGKKDASLRRELAAQMAEKCEGMFLWIKMQQDQLR SGKNEKQLRNIVSTMPTGLYQVYERNWANIQRQPANERRRAMSILRWATFAYRPLKLS ELVQALAICDDDDEEVGEEGEEGNGENDLNDDEPPEFPVDELPEEIDEEYVNGEIKEL CGSLIEVRIEAPDYMMRWNIDTPQGYGTIHLVHASVKEYLLSKFANFGNISSPGKLLS SSASQAMQHSILAKTCLRYLTYPEVWDCSVLPTFDVDDGSFLNYAATSFDTHALAAGE NSQLAQQISHFFHKSPNFIRWKHHVGGVGAGPLYHSCRLRLLDVARISLKEHAEDPDA LAGRFGTALQVACFGGEMPIVELLVESGADVNLEGGEYGSAVNAAIANGDNQIISYLI EKGAKLDTRNKEGRTTIHHTAKNGNIKLLERLISNDADIAIADNEGVTPLHLAASSGN LGTVKFLLEKGANIEAATSTGRTPLCYACQAGNTDMIKLLVREGADIHHAPSSGNTPI YEASCQGRIEALQYLISQGAVVTAESKALKDAASGGYTAIVKLLVKAGVSLEDRVGDE ALYKASVHGHTWVVKFLLLEGVKAENVLDPARTYTPLFNAVLGGYTNIVKLLLWKGAS PNIHPSMTKDVASETMLVYAIRSGLTEISKTLLRKGANVDPEHNFGISPLCEAIVRDQ VEIVHMLRLRGADPTKRDKLRYTPLLQAAIRGNEIMLMHLVRGLAGTTNASVPKKYGT YLNAIAYGGNLKLVQWFVPLCGPAQYKDSEGRNPAHFAARGGHADVLKFFLDNGIDPQ ALDITGRGIVHHAACGGSIETLRVALEAQPLDVKFTENTWSPLHWACKRGSVELINLL LEYGVKESTVKTTDEPAAEWTPFRIAVYHQNKSLVRNWSETKYKLDDTIVLQGYHSIS FAGCSWCLHVRILQFLLVTIADQRL TRV_03407 MEIKSWVYLSDAHFYRSYQPGGSSGYKPDSGKDPLSNADDCLRD AILLQRLGVNTIRIYNLNPALNHDECVSIFNAAGIYLLLDVSTPEYGEYLNRAEPASS YNKQFLTRLFSMVEAFKDYPNLLGFFGGNEIINEDAAKNVPAYIRAIQRDLKDYIAAH ASRAIPVGYSAADVRDILKDTWNYVTCHSTLTPSSNADFFGINSYSWCGDSSYTESGY DKLVELFSKTSVPVFFSEYGCNEVKPRIFTEVQAVYGPEMTKAMCGGLIYEYSQEANE YGLVYLGGKDNTTLLVDYENLAGQFSKLDIKKLQSLDLSTTTIKPPSCKPGLITTKGF HKMFTLPMRPPGVQDMIKNGIKNPPKGKIVEVKKTKAEGKIFNKEGKLLKNLELKVLK NDQANVPGENTSGTPTGNGKSSDGSKEESGAGMNMFNSHFAYVLAGIVALGQLIA TRV_03408 MARAVRQENEAQGAQQMAKIRQAVADAKDEGFPADLEEREGFFM SQVAKGEGLCADSSSHVEAAVAFYKALKVYPQPKDLIAIYDRTVPKDVLEILAEMVAM DPSLKLGAFTSDSGAESAHSVE TRV_03409 MNSTIESEILIQPQKADRQEEKKIIGTAGLLFVARLEGSFGKVE VIEALFFSDVLSFCSFFYLFGLPLLGFTQRQFRRLQQLQPHNSNNYGINYDIRQQQIY AIEIKDRGAMDVKGLTLRSKASRRRPKISAPQPITNHNNSAAPAAGRAPEARHHPQPS DATSDLVKRRYSAKFNTLPGIDSEAPPVPSLPSAPIDHFASDREPTSAGGAQPIRVDA NALRNPNLPIESYVTGLLEHASEQDIRDYQSDLRKLKSKTSSDLQQSVYQNRTQFIKI SKEAEKLREEMSTLRGLMSELTTTLGQANATNGANGSQPDFDDAPARRHAHRSSIANL ESMWNIQLQQLWKNVERSQKYLPAIPGRHIVMESSQWVELDSATWKPRRPVHIVLLND HLLIAAKKRKRVDPNTANGKSVSVPTKLVAEECWPLQDIDMIDLGSGLNSGEDMDERG VPNAVNIRYGQSSFTYRHDQRNNKAKNELVMTFRKTLEELRKSLKSEAEVAAKSREAL MNNSQPASHRHSEFLDTMDPRDKLEVLIDVDGKQQNMRWVEGQLDELDIDIAIQVFET AVSRVEKLRKLAKGLKGNQTAQEAINSGVDARANKLAEILLRALVDTNSFMNATKTNV AWLTRLGYDDRAREAYLNARSQIITKRARHCVFEGDLLLYIFQISFVYFTMIRNTIAI YQQCFPSPMSSASIKWGKDHLDDFNATLSRQLSSVEPSNPVWEKCMEIVYQHASSLSE VGVDFKDMIRVEGLVVKSPNSTPTE TRV_03410 MLKPLLCRQWPIPGYPAASARRFSTQTSQPIIKIKDATFFRHYP IPGDSQKENPPLYPNLSFELLPVDNEASRSDRARNSQKHPFWAVIGSSDRSVFLEILC GRHICIPPAARSYPLLATEEITLKDPRLRFPGRAIRYIGLNGDAKKDAGGVRGSYLSA RYESRKEETDFTVLQFLRGQTSLNPLEGTEDIPYTHGKLLHQVVADLGLQKLLDMPLS NLSNGQTRRARIAKALLDKPEVLLLDDPFMGLDPPTVKALSPLLFNISLRGSPQVILS LRPQDTLPDWITHVVILNKDHTVALQGEKCDVLNHLEIWKAVAHRKLNPMSGREQLLP RNKDEEKQYTAFSAQDRLKYDQAEMLFKEHRFESETALLHDLEIMSKPPDFGLKRTKN YGEPIVEMEGVRVLYGEKTVLGGWDQTIEGKKKEGLYWEVRRGQRWGVFGLNGSGKTT LLSLITSDHPQAYSLPLRLFGRSRLPEAGKPGISLFDLQSRIGHSSPEVHAFFPRSLS IRASVESAWADTFLSKPKLDQKRRLDVISALKFFEADLNAEFLLGANHEAHDPSVSWA DNTLFSSLDVAQQRLVLFLRAVVNKPELIVLDEAFSGMPRSLRDKCLHFLEAGETLEE STGSRRVPDFNMWHLPRLQSHAMNEARHRGLSASQALIVISHVKEEVPDVVSHWMRLP TGSENDRGLVGRASTGTSSNSFRIGTLKDNQTIPGDAWDEIWA TRV_03411 MPAIPSSPELKGPSREPPFPSSPSTGGVVAYNNELHGLRTTTSG AIFSPASMQLGSKKGRPVGLELEDGTVYRGFNFGAERSIAGELVFQTGMVGYPESLTD PSYRGQILVITFPLVGNYGVPSRDKMDELLKGLPKHFESNQIHVAGLVVASYCGEAFS HFLAESSLGEWLKEQNVPAIYGVDTRALTKRIREKGSMLGRMLLRKETSVSHDSAVEQ QPENWRENYEVLDWEDPNKRNLVDDDVGLKYNQLRCLLSRGVEVLVVPWNYDFPNLAG KEYDGLFLSNGPGDPATLASTVSHLKIALKENKTPIFGICLGHQLLARAAGASTLKMK FGNRGHNIPCTSMLSGRCYITSQNHGYAVDSKTLPEGWEELFVNANDASNEGIRHASR PLFSVQFHPESTPGPRDTEFLFDVFINTVKECLESKDALSKPVNFPGGTIKENTAAHP RVHVKKVLILGSGGLSIGQAGEFDYSGSQAIKALNEEGIYTVLINPNIATIQTSKGLA DKVYFLPVNAEFVRKVIKRERPDAIYVTFGGQTALQVGIQLKDEFEELGVKVLGTPID TIITTEDRELFARSMEVIGEKCAKSASASNLEEAMEAVRGIGFPVIVRAAYALGGLGS GFAENDDQLRDLCTKAFAASPQVLIERSMKGWKEIEYEVVRDARDNCITVCNMENFDP LGIHTGDSIVVAPSQTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPFSREYCII EVNARLSRSSALASKATGYPLAFIAAKLGLGIPLNEISNSVTKVTCACFEPSLDYVVV KIPRWDLKKFTRVSTQLGSSMKSVGEVMSIGRTFEEAIQKAIRAIDFHNLGFNETSAL MSIKNELQTPSDQRLFAIANAMHSGYTVDEIWELTKIDKWFLRKLEGLSLFGKQMSSY NATTVPIPLIRQAKQLGFSDRQLARFLSSNELAVRRMRVEAGIIPFVKQIDTVAAEFP AFTNYLYLTYNGSENDVAFDDQGIMVLGSGVYRIGSSVEFDWCSVRTIRTLRAQGHKT VMVNYNPETVSTDYDEADRLYFENITLETVLDIYQLESSSGVAISMGGQTPNNIALPL HRLNVKILGTSPEMIDTAENRYKFSRMLDRIGVDQPAWKELTSIEEATAFCDKVGYPV LVRPSYVLSGAAMNTVYSQDDLANYLDQAAEVSREHPVVITKYIENAKEIEMDAVAKN GVMCGHFISEHVENAGVHSGDATFIVPPQDLDPETVRRIEEATSKIGNALNVTGPFNI QFIAKDNEIKVIECNVRASRSFPFVSKVMGVDLIEMATKAMIGKPFEAYPPVNIPQRY VGIKVPQFSFSRLSGADPVLGVEMASTGEVACFGRDRYEAYLKALISTGFKLPEKNIL VSMGSFKDKMEMLPSITKLHKMGFNLFATAGTADFLREHGIPVKYLELLAGDGEDLKS EYSLTQHLANNLIDLYINLPSSNKFRRPANYMSKGYRTRRMAVDYQTPLVTNVKNAKI LIEAMSRRYDLSVAPIDFQTSHRTVSLPGLINVAAFVPGIAEKDSADFQEVTKASIAA GFSMIRVLPVGINSFVTDAQALKLAQLNSQKGSYCDFNFSVTATATNADQVDLISSEV GSLFIPFNHLAGNINKVATVTTHFASWPSNKPIITDAKGTDLASILLLASLHNRNVHV MSVTSQEDIKLIALSKEKGLRVTCDVSIYSLFLSQETFPESCHLPTKKAQESLWEHLG TIDVFSVGSLPYETAGKEASPTVGLADALPILLTAVSEGRLTIDDITKRLYENPKRIF ELHDQQDTFVEVEVDRPYVFKSQGAWSPFTGKTLRGSIQRVNFQGKTACLDNELLSDA PKGMDMSSHTPAPPQSPSMKEMAPRSPMAMGSIGRRLSFTTTPQARPSPLKKADSLAT ADELGPPLYATPSTGGTPSLQELLSRSTFRHKHILSVNQFNRSDLHLLFTVAQEMRLG VQRQGVLDILKGRVLCTLFYEPSTRTSASFDTAMQRLGGRTVAIATQHSSAQKGETLQ DTIRTLACYSDAVVLRHPEESSASTAAKFSPVPIINGGNGSQEHPTQAFLDLFTIREE LGTVSGITVTFTGDLKHGRTVHSLIKLLQFYQVRIQLVSPKALSLPEDIRQQVIGSGQ LIVETNELTPEVVARSDVLYCTRVQKERFADQEEYERLKNSFVIDNSIMNQAKSQMVV MHPLPRNAEIDEQVDFDQRAAYFRQFYFASLQTGVFGTLYQFLCHICVTKVLFDFMSV TRV_03412 MYVTTICDVITDILIMSVPVAFVLKSSLPRSQKLGLVGLFMLGL AVVVMAILRNLETDGKSKHPPPSWLLFWSAMEATVAVMVSCFASYKSLFATRSRSTAY HCQSGYSASVAVSSRAPNKKTWTDTDSREEIIRRTEFEVSYELAPIPEHRVQSPEWEG NRVK TRV_03413 MFSSLSRPMRKQRLELFSSSRPVEQNERDDRDFEAAAQTEPEAA SEENIEDYEIEEEQFEDGPDSESQAPLLPMFSVHLDAIPVYQLTHDLRLLISSRCETT LTWDQLRSPQVSQFLLKPIQQIIHSRHLTKATLYALMVNCLQFDKEATSNPGISGASR TRAMVCELLAIKLLKEYTVRSLIDALSYDFHPLQGQASKLSTGTSNGRPWDSNQGSRA LLKDVRISCLEIAIRAQAKRFLAHPVVVQQLEALWAGTIVFYSGADSLHRQPLPDAPL QFHGYGSIYRRGYRADTKGIPSVQNKHISRRSVSIYDPRDASLFKLSRLRVPRYRQLL STLSYAILLCLFLILLQKRPLHLTGFEMVFWFWSAGFMLDEIVGFNEQGFSLYLMSFW NMFDVGILVLLLIYYVLRLYGAIVPQNQKAYAAGLAYDTLAANAVLLLPRLFSVLDHY RYFSQLLIAFRMMAADLIAVLILIIIACSGFFVAFTFSFGDTNASPSSVAYALFQMVM GFTPAAWTLWDRYNLLGKIILTLFLFICHFLVITILITILTNSFMAIVQNAHEEHQFL FAVNTISMVKSDALFSYVAPTNVLAWAITPLRFVIPFRRFLKLNRTVIKITHFPILFC IYLYERTILRPAAIEPTDLIRSRFNPVDPIGDQERSFSPFTPRARFRVREPSVATHQK DRALDQVFDLSGEGTTYQEPRRSRARQRLSTANVSNWMRNIGDGSSRAPPEDQDRKVV EDLEARRVWPGQSQRRRRNRGMSGHNFFTDTTRSFASDPEDFMSVAFDTTEVNKGPRP RVYLQREETMTAPTQTDVEVQGDDELSSDENGGGDSSRDGDLPDHRKTQETVFPTENM LESSVDSSPMLSRPSTAKRFSRRNSPSRTPRTPRRHHSRNPSAATILYNPVPPEATKS TTGSKPPSPKQKGKTGTTTPNRRRLQSNLKSSQLTTRPRPIPQPTAAAFSVPDHGIQW SLNNLPSQRRRPLSLTLGLGSDIGDNEAVGGGFVGGVPGSFNTQMAYAMGGMQQDRGN DNRDMLSRLVLARMKTLEESFRDVLNEVKDLRHVPGRKERVRPVASRRQNTTATSSPK QGVDTPTREESRRGGEKKLQGGFEPKDEPLSEEESESSSESRLRSF TRV_03414 MKRKFLASYNPDSTDYAALPAYGCAAFNNDLRGLLHTFNTTPPS DSLASARREIDNVRDIMTENIERVLERGERIDTLIDKTDRLGSSARDFRVRSRDLRRR MWWKNTKVMVLLIVVVLFLIYLFVGMGCGLPAWGSCVGHGARHEE TRV_03415 MAKVNTAIACSRRKSRKAHFSAPSSERRTIMSAPLSKELREKHN VRSIPIRKDDEVTIVRGTNKGREGKITSVYRLKYVVHVERVSREKSNGQSVPIGIHPS KVVITKLKLDKDRESILERMGKGRAARAKSS TRV_03416 MAYNGYGYPQYQHTNSRAQQSQTPYAPPSQTPKPAAPAPENRSQ DYGQQNNSWNGQNVSRQQTSSQQNVRNSYWLSPANNTASQPITYQQQVSYRMSGTNQT TTAHQYNNQAHSLGGTNTHVIDNYGGESNPNIGVRRDMVNSGARYTSSPPQGQAAAIP PVTTSQNPQSYQSTTPSHQSDYMQNPRTVAATAMTALSTAARRNYTQNAPAASANSYQ VSNSARYNASAGDPTGHSPATNTSSSSNTYQYQTTNPGQVIEAGTATSNSLNQTSNTS DMSTHSVTSSAEPSPQLQHRVHQTKPATSRSPTLFQHPNRTSSSSQIRRPGQEFSMNT AKPDNGRPSPAMSQRPYSQPTDTSSVTAESPTQNSLPRFVDPTNIYNPYYGQFGTSGV TNTAHASVEPDVTSVTGGIEGIQVETQQNSTQPPAQNATPSEVAPNTTVSNVATNALE ASITKEASKGTPQGVSNDAPKKARKRPNRKQKPGTASAPISPSTQPQTTSTTQEEANG KEDTATQMQRMLDEMRKKDPDLFKSILAANMGKQESSGQTAPQVPSKVDNTSAKTYIE ESSIASSSQLPPPHSSNNLPKKKARNKRATGDAVFLISTPAQKGASPSIINNNNMSTE NTQAEQHHHETALPSTRPSQTQNIKTIIPEQVAKPGFIDGLPDLGKFPALRRKRRLKA SLTANESSPAPNSNLGLENEISAAHLSGQPVPAPEAPTSVPQPYASLSVEEQRLSLLD NFTKTGHAEFPQSPNIPPSSTEDIQLTQEGHPRTTLDAPLPLQGQSQPAGDEVGKEER MTSFWPDQKRRLLAESACQYISTFPGNDSITSDFISSLIEQNPSYVQLCNMLEGHGYT INKVHFAKHLLKTFPDLGESSNKETKATSEPAMQTSQPSLPDSSFVSSTPADPIQIAI PQQNLEVTALQPGSVPSKSVSALPLSREKQRQKSLNLLHISKRRRKSAPQHRSSISAS PAPQPREPFPNSKEAMARKRTFAEIVDLSQAISDEDESEAEDEVLDQPNLLEIAVEDT MNTSLDVTMEDAINVTPVQRNTPEILPQCPSEDRKVVPSVQKQLVRSREPSPAVQPMP EKPTSMAKPKHVDATPQPTGKEDLRRYNGIVKPMNKAKALKRSYYDPRTIARDILIAA GRHPTERSLNYHLLKLKENFQFVDYTSDLETFRWDLVDPGGPPPPKIAPEPLLSQPKS HSRDDSISRDETSAGRRFQSERGPSQLRISHTVNTDSSSTPPRPMAPTPQKPTFASSL PTRRRPGRPPGAKNKPKAIPSVASKVEVAVPRATPQYPSNKYPIYECGFDGCGAKLHN FEIFRKHVLKLHSQSGEEQAICRWTGCASGEAAPRIFSTEGLKQHLDSVHLSPLAWKL GDGPKSVSSGETGKFHLPIVIS TRV_03417 MPPRKGDQPKKAKPSVQDKVYHFLNFGSASIPSPLLTGADAVKK KGSTARKQIAQLEAQAASNKSADAKRKAMEKEKREKEKAAAEQAKREVAELFKPVQVQ KVPFGVDPKTVLCVFFKKGNCEKGRKCKFSHDLAVERKAEKKNIYQDTRDEQDPKKAD TMDNWDEQKLRDVVLSKHGNPRTTTDKVCKYFIEAVENQKYGWFWTCPNGGDKCMYKH SLPPGFVLKTKEQRAAEKALLDKSPLKTLTLEDFLETERHKLTGKLTPVTEESFAKWK KERLDKKAAEDEARKAKEATGRAMFESGEWRNQESEAESSDDDDEGDGDAWNLESMRK ETEALRDKSEQERVAKLQGSTDAPEDTAVSSREPPATVEGEG TRV_03418 MANPKITWWQRSSDSDPKRNTIGLRFLVSDLGVAPKETKQPGST DTAQKDTDSGTPSKDSGEAETAQAENKTEKDASGEKVFHGPGGSRIVVTPTTISFMGY STFSKTLYDVELKLAGKVKPDSATCNIQKQLANVVLEVQKQELDTSYWTTLVEAKKLG FLKTDFEMWRDEDEQEPVKEDFGPTSLEYEDALGQFAGMEGMGMGGAGGMGIGGDEQT QTGDDDSEMPGLESGEGTEEEKGDTSSTDKPKIEVLP TRV_03419 MEALKAVFFKPDPAAQRLISSHQMRKCNALIRSNTRKLDRDISQ LKAIEIKTKQFIVAASKRAQRNPSQAEQAAKETRIFARELIRIRKQSARLTTSKAQLE SVKMQVNEAFSVRKIEGSLRASTSIMKDVNTLVRLPELTGTMRQLSLELVKAGIIEET IDSAMPEDQLLEEEEEEADNEVDKILQEILQGRLAATGGIKPEETLQTEEPVPEEFED QEATLEQMRGRLEALKS TRV_03420 MQPECYRSTITASENHSRYNQPTAQHGNFSHPECSASRLSGRDD GSSGYTTSSVVLPPCTSDSRLDGDAADTGLEAQLPAKPVSSPCHSSDDGALAMHRKLS EDLSPQVISIESFPNAFSRYFPGPALTEKNEGRQRGDDWEHNLLKRRAFCRLTALSSW RNEYILRTRLLHSIARGKPTSYQITDQRKSTRAGSTPAAHAVVTYNSLLQFPISHIDG TFGSGIESKPPAFVHGASEEGIATQSDPTSKKSGAGKWGLLSESRMFNHFSDSYPGEA PWGLGAGNLVGNPNVMDVSQPHGMVYGEGCPQGRTYFLSTSEKRGRFFPRSVLDPHYE LGIPSVNAAHFGICATWIAKSSETLKSTNGLCGMITGTSSGILTSYALGPHPSYDQRF ERGQMTARWALCPGVPIIAIRVDDKLSAKRLSQRRIWITVLNALGELFYLTSIPRGLD TYQRLEPEALEKAAWLTGRTVSWEIVESSRRVPVPDPFNKLSTNATYSPRSSSDEMNL NRDQIVAETKDIERFLAFKPIHFRTIYEGWDMRRKLEVDFAGDDGKGAGESLMVVCCS ADDDRVASVKRFTRVRTGENPSLDNIGSTSPASEPEAEAKSSLFGQGESREVLSSGNR ASSPMRNGFNSLPVTVEWRVSNLTFEGPKSAEITACALDMSTFARLTKFEDPLLGMCG NSEVSSLCSTPFSVLSNPLVSSAEIPGQRGRYMAVGTSLGSVFVWDIRAAIPGNSHMV NNIMPIRIIHTDSPEISSLALTALYLVHGGNDGLVQAWDHLASSTQPIRTLNSRFSSR ARRRLVQATTANQNVGHNFFAAGAICLDQDPTRLRGIVALGTQLRYWAYSSSAADQYK SNKRRVRYSHRGSNSSPETQRFSNSGRGALMDYIMNEKAELERQNIAKEKELAHLSGR FGTDLLGSDASDEDLLAYACLLSEESYTSDERNRRGSESSSSGSSSSETIASDETFSA NPLTRQISTPTLDTVDEELEPGLAEAIRRSLQDTDASQSSKEGSVLTEEVIPDVCSYS FSPSLSGTSSQDNVDDLDLAIQLSLAEQEGQKAPQEEFPALGSTSNTPSKRRGRGKRG F TRV_03421 MCLAKERDVDMVLLAGDLFHENKPSRKSMYQVMRSLRMNCLGDK PCELEMLSDASENFQGAFNHVNYEDLDINVAIPVFSIHGNHDDPSGEGHLAALDLLQV SGLINYYGRTPESDNIQIKPVLLQKGRTKLALFGMSNVRDERLFRTFRDGKVKFFRPS MQQEDWFNLMSVHQNHHAHTETGYLPESFLPDFLDLVIWGHEHECLIHPRLNPETNFH VIQPGSSVATSLVPGEAVKKHVTILSITGREFKSEPILLKSVRPFVTREVVLSDEREM QKLSRKEDTRTETTRFLMGIVEEMVEEARNEWLQQREAASDDDDDEVEPPLPLVRLKV ETSRPGGGNFDCENPQRFSNRFVGKVANVNDVVQFHRKKKGTALTHGKSDAPDESAVS HLVALDAIKVEQLVREFLTAQSLTILPQNSFGDAVSQFVDKDDKYAMEMFVNDSLESQ IKHLMNLDRDQDGIDQDDYEREEIIQAAMDKYREQLEELFAKGKGRRTGGKKRFKPKP DGWDTEFDGVWEDQPGALVHSDYEDGRNNDDDDGEEEAPARATTTSRRRGASTAAAST STRGRGRGASRAAAKTTTTRARGKAKTTAPPARGSRKDVLDDDDEDDEEAFLEAMPGD DEPVVLDDIPENIDDDEDLFVQQPAPRSRGRAAPTTTTSRSKAASTRKPAGRTTAASK KVQGTLNFGRSQPSQSQTLGGTSVSGSSTRPTRAAATRAARSVSVMSDGVEYDDDEDA FDPAPIVSSGRSSRRR TRV_03422 MVSLLRLCSFLLAAGSTLVQGSPIIAPSIPPCEPPSNFTGPSNF TSKPGNGASPFWLIAHRVLTKDGVKAALGHGANALEMDITGWWSGWFGDHDGLLTSAG DTVSDWFDEIASRRTQGDPVSFVWLDLKNPDFNKNGVNIVSLMILCREKLEKVGVRVL YGFYSSQTNGPSFKFVKQVMNENEAIGIDGKFETVEKDFEEKGIPLQKRVFSSGLFNP DFNFGNCEVHSSGVCAQLREGKESHEFSKVFGWTVSSYTRKDHVYKMMEVGVDGLIYG FVASHYYDHADIRHTLSTIRGWLEEHKDTHRLATVDDNPWSSMSKKGSRKSSWVKGEV PSIAH TRV_03423 MPQFTLYAHPIATAPDRVQLAIDEAGFTDYERITVDIPNKEQKT PEYLARNPFGKVPTIVTSDGITMYESRGIARYLCSRYKFDLLPNPNDNAAVALFEQEH ACETACFDTPVSTVLFETAFKPLLGLEADPASLEKNRKALSEYLDVAESIFSKTGKKF WAGDKFTLVDINYIVPLARMFERGQGDVITSRPALKAWWERCNERPAIKAFIAKVPNV DELMVQFKQKAEEKQ TRV_03424 MPNQQLTRAVAAGSWISDYLSDYLSDHRQQAGARDWSGSPAWLS AGFQAVSRTGGQEDKERDKEKDREKDRDMEGGHEGGGRTAKTTSLSLSLTLSHTKRAI QSDGGPCRAMQSYAQLCRTMAGRWRGNGKADARASRASDDGLITPPTPLSTSLRLHLR LPLLLALFFFFPSSSSQLHLNNHLTLIIISSSSNHGPYTEDRDLDRFINNKFVKGTEG KTFETINPHNEKVICAVHEATEKDVDLAVAAARAAVEGPWRKVTPSDRGRMLTKLADL LERDIETIAAIEALDNGKALSMAKADVTNAAGCLRYYGGWADKVEGKVIDTDHETFTY TRHEPVGVCGQIIPWNFPLLMFAWKIGPALATGNSIVMKTAEQTPLSGLYVGNLIVEA GFPAGVVNVISGFGRVAGAAISSHMDIDKVAFTGSTVVGRQILQAAAKSNLKKVTLEL GGKSPNIVFNDADIDNAISWVNFGIYYNHGQCCCAGSRILVQEGIYDKFLARFKERAN QNKVGDPFKPDTFQGPQISQLQFDRIMGYIEDGKKAGAKVEVGGERHGNEGYYIQPTI FSECTDDMKIVKEEIFGPVCTVQKFSTEEDAIRIANNSSYGLAAALHTKDLNTSIRVS NALKAGTVWVNCYNLISYQSPFGGFKESGIGRELGEYALDNYTQIKTVRIRLGDAMFG TRV_03425 MVDPSVPQPGPARLKPNAGPDEWLEAAKNCKYLSEHHMKQLCEL VKEYMMEAGGMPGDTRPAAPSTQAPIITSEDIEPPSTITDPKLKRRLRKSSPPNSVIE DDGDEETGGQATESKGDDETGEEDKSASPIGNFIFLGDYVDRGYFSLETLTLLLCLKA RYPNKITLVRGNHESRQTTQVYGFYDECVQKYGNASVWKACCQVFDFMTLGVIVDGKV LCLHGGLSPEIRTLDQVRIVARAQEIPHEGAFCDLVWSDPDETEDLTWAVSPRGAGKI DLSLTAYMSFCPKERADEFCAVNDLNLIARAHQLVNEGYKYHFDSQAMVTVWSAPNYC YRCANMASVCEVREDLKPVFKLFAAVPAEMRHVPASRPQKAEYFL TRV_03426 MVSNSQCQFLTWVRKASRSRKQNHLDHYQQKAFEIEKDFNYSSS SISSEGTERQSLDRNHQHISSPAKISLARLIANDAAEADRLLLACRELGGFYLDLTAA DLGEDVMSDADRLFGLGRDVFNLPEDEKREYDMVKFGGYYGIANTAAYRYKGYRNRAL DFKEFYTVSKDEILGIQCPTKIPQPQPQPIQSSLPLIQTYIRNSHAIISLILSRLASA LDLPPESLKNIHRRDANSGDQIRWIKLQASKEARPPPENDVLLDEHSDSNSVTLIFNQ SGGLQFRSPQPDSSLHNLPIPRENPIITTTTTPTSTPPTTNPTPSPEPTMDFSGGPNL PANWIPANPLPGHCIILMGEQLAKLTEGGVRANVHRVCAVPGMQTSNPRYSLVYFSRP EDDVILKQLAGIPVVPRPMTAHKSSRELPSQCKRIGKVFEGKEWMGWVRPSSPRFKQK R TRV_03427 MFAGSCIGVILLVMSLEFLRRLGREFDKHIAGQPSLFNKLGFGT SAMAAANSHPVGSEFTDDPTSSKPTDANGSPKLVGGRRSPTLLQHTLRSLLHMVTFGV AYFVMLLAMYYNGFFIICILIGAFLGHFVFSWKSKDKR TRV_03428 MLQHDLAWSTRRCRRQLPSAIAHAFTIHQRHRAETELEEKPGIA VLLIDLPPNTMSQKNQQKASPGVLAINPAATQKAAPPPTPKGHSSKGNAPRLKLHVRR LPPGLTQAEFETTLGDCWKVGRGKVDWFLFKEGKVSTDPSKPSRPGRAYLRVTSSVTI PELSDVIRQTTFHDARNTYNDSALLGPPTLEYAPFSRVPSGKVRNDARVGTIDQDAEF IAFLESLTNPVTKPSEEETTEAADKVEKPTITPLIQYLREKKANKAKEVAAAKAAKQA RSAAAKESKSEKSIGKKQLLSRADKSTSEKQKKDKAAKDVAVKDAVKAANKQAANGSA KAENKALASSVNQPSQASPAPERKRERGSLTAATKILRRDLGLTTPTRRRDKAGQASS SGPGGNATAESAKKDQQPSSSKLESDNPAPTPKGKNAADSTPKSAPSKQPKGTPPAEP AAARNNAAGKKAATTPSTPNTKASSTPAAQKSQQQSSQSPSSNGTQAFLKHANPSQGV TEDLLQKGFSQFGKVIRVEIDKKKGFGYVDFAEPASLRKAIDASPVSIAQSQVVVLER RTSAAVAQNRGHGNRGAGTGGGGGGGAGQGPTHANTQQQPSQQGSRPAQAGSSPTAAA APPPTTSPASRGTPGPRGRGSRRGYGRGGAGGRGK TRV_03429 MDPLTLCLLLFNFYFLVTATEYRRDIQGSITVLDSTVSLLPSKA QFLSGEGDFDSPKLNFVNETVYDWWYFDAVSDDGESSITVCFFTSSSTAFPFLLPNKG ILPVYIWASFPNGTVSVYAVHAQKAVIETSRDGYAGIYHPIQMGWQGSADLSRYRIFF NDTIHEIKGTFDIRSVCPNPQPMAFY TRV_03430 MAQTLMDSQANSGHISPQASQQQSPLDPYGHRFRYPGPQQSPLP QHPSSFQPYPGASMSMSQTSVSDSSQRLGVPVQVPVEGQQPLPQYTRPYPSYNLPAMN GPVMSNVHHPSSQMALIGSMQPNILPTFNSGHAASLQQMYTHQHPQAHHMHGLGPPGP QNDRPFRCDTCQQSFNRNHDLKRHKRIHLAVKPFPCNHCDKSFSRKDALKVCFTYPCP FSSFLSYKHGINPYFAQRHILVKGCGKDPHSESDHSRIIKSESSAPFSASHSAKDDDG SSATPPSATGSRKGSNGGI TRV_03431 MIALPVRILSLAAGLAIIIYVSSCIWNVFARRQVARRHGCQPVA RSSNKDPFLGLDVIQRGVRNFREHKSLERMAGNFYKFGNTFRLKVFTTPVIATIEPEN IKSILAINFKDYGVQHRLQVLEPLLGRGIFDTDGDHWAISRALIRPNFSRDQVADLAM FEKLIPDLFALIPRDGKTVDLQKLFFRYTTDSATEFLFGESVGTLKKPQPEFGFSHAF DYSLKNILHKIRLGPLGLLYYDPKAKECNKICREFAQQFVDKAVLTVESDKNRDQEDS KANGQRYVFLHELASRTSDKRRILDEVMNVFVAGRDTTASLLSNMFFVLAKRPEIWAK IRTEVSSLNGRMPTYNELRNLKYVRYCLNESLRIHPVVPTNDRIALRDSILPMGGGED GNSPVFVPKGTIVNYSIYAMHRRKDFYGPDADVFRPERWEDGQLQPRWEYLPFNGGPR ICIGQQYALTEAAYVTVRMAQEFKTLESRDPEPWVESLTLTICSLNGTKVSVIPA TRV_03432 MEPNVGRGVFAPLARIEQLHERNVQLSKEVDDLHIQILREIARQ GVVLPSVPIAYPEPKSRVQDLKRIVKYINKKTPAWRIRILQRRNHLAYGDNGQDSDEE MEDETESSASEIEDIIPGENQMVAKRVTHELTHGGDIVDDVAAILYAAEKGMPDVAEF KHDFLCAYCVTFESAMEIVKSGPIELLTTFDILATITEYPAWKKMDAGEAQKDRAAIA EMAMHVIQTALVMKDAYRAALFGPDGILEGTYHRMQDMYLNIILGIRKYPQ TRV_03433 FPPGQRQRQEPRDRSLETDAETEAPQRRLLVVPVSSPLPPLAHD KLERKLPDSVTRGGLLRESVFSDWRDGTALENTEELQKNDPLATQIWKLYSRTKTQLP NQERMENLTWRMMAVSLRKEKEREQAQARLGQQNEEAQRPQEPASAAEPMNVDSFVAP SSSISSPTGFSPSMSEDPSSQHAVASAIPIRNAKEEQEDSPMGIVMPASFPHHPHVGT QTSEFGYVQRRVRKTSVDERITDIMIPQARKRPAESSPHVPPVHGLIISHDPDPDAGM TDFTLDHHHGHSQSQSQGHHGHSHGHGHSHSFTLGSHPPHPAPLNLDPFHVDDVANAL DHPSFTTGFQLSPTELSHIQSGAFSNLYSHTPLGSSINSTDFFSSTASGYHSAVSTPQ PGFEKDHSASFQHPVGSFHSHSLSNLSAPATSRYMYHHAGNDHSFNMAGSSGASSVVN PSGFSMQQQQQQQQQPHFSPSTCQPMTDSSARPSTSLSSAKGHVFSFGGDSDNEEDAG NVFLDRNMDLSADFSILDDATMNFGSGMQWEGSYTGSINSMPSAHSQPSKPLVMGAGD LDERSSDWAQLGSLNRTHGSAASVTELRNRDPDPRRQKIPRTISTPNATQLLRQGGDN TNSIAASNNANNSGFKSPHSPSSGPNSNSPSRPASPGPGATKNAEPAGATTSCSNCFT QTTPLWRRNAEGQFLCNACGLFLKLHGVVRPLALKTDVIKKRNRGGGSNVAAGAGARA GKKTSRKNSTNQTSVSSAPIPTRPSALSQPPTPISSTPSSTGTTPTSSSVPTRTTVPI AAAPPRQPLPITSPGSNVNVTPTTASGTPSRLIPGRPRCLDKSSVSGMYANVRNPPEQ DLSGRQRVTQAPPQQPRQPPAAFNPAHHSLAAGATRTNSQEWEWLTMSL TRV_03380 MYLFYSFLRSKKKVLGVAASFAGQIGSKSIGAREAELFLLSCPG VFSLSISQLSCYLASICPKPASNNLSYRIQETSNEEQRAKLPSDHVFIAALPLGSSGP GPSAVGRQRHPIQSSVQLQGTHHRQRTQCKNPIDLGDAALESLKASLPVQVPYHFKDS SSATADVQRNPSAHAETVAKYFNATEADVSRMIEDALKAKPAWESLPFADRASVFLKA ADLVAGKYRYEIMAATMLGQGKNAWQAEIDAAAELADFLRFNVQYAEELYAQQPEHHA PGVWNRSEYRPLEGFVYAISPFNFTAIGGNLAGAPALMGNVVLWKPSPHAVYANYLTH KILLEAGLPKDVIQFVPGDAQMVTKVALAHRDFAGLHYTGSTAVFRELYGKIGQGIAA GTYRSYPRVVGETGGKNFHLIHSSADIHSAAINTVRGAFEFQGQKCSATSRVYVPQSR WTEFRDILVRETEKLKMGPPEEFANFIGPVIHEASFDKLAGVIDAAKQDSSVKLLAGG NHDKKQGYYVQPTVLQVSDAEHELMKKEFFGPVLAVYVYDDAAGESAFREMCSLIDRT TDYGLTGAVFAQDRNVLRLAEDALRHSAGNFYLNVKSTGAVVGQQAFGGARASGTNDK AGSANLLTRFVNIRSIKEDFLGCSEVEYPSNK TRV_03381 MLVLRESDVAPMLQQLTLEECHRLLQALWRSLAAYSASNAAASG GSEAGSVHQPMRESIRTADGSTTLFMPASDTTTTTGIKVVTLPGAGGPPAGAIALFSP KSGQLEGILNAEMITAFRTALASMIPFHLFQLPQTQGQDGRGNRLLVFGAGKQAEWHI RLGLLLAPSIASVTVVNRGRGGLDRLRASLGDVAAARSDVSFVYISREEEEEEEAKYE GRLRAAVSEADAIFCCTPSTEPLFPYSYLLRQHAGGEGEDSQERKRRFVSMIGSFRPH MHEVDTDTLLSGQTLLVDSRQACLAEAGELITAGVRPEQLTEIGELSPASSLFASSSS SNVVFKCVGMGIMDLAVGRELLCLASERGIGVPIQGF TRV_03382 MSTAAPTGKVHKRAYQVPSINHTKAPATAPTPTPAPSGPGDSIA GTSVAATPGVTTTSTTAGITPTRPWEESTIAIAGTPASLPGLVTQAGESSVSPIAVPP AIKREHSLQHFLAHDTPRPSTRGDKTAEKLLRDAAYTSHDALNLLFEAGRHSERVSRP DHHHHNNHTAGAASQRLGPTPTRELRPSAAPSLHDNTPDFHPWSNLRFVRTGLITAEE AFDLVNYFHTYLAPFTPIASSSFQDPSMHAELLEEEPILAMTILMLASRYMKLSGPGS ISRSYMVHERLWQHLQGMISRMIFGQEQFTGGTHNHDAPPEASNLSTHEYPLAGSNFG SLRTLGSCEALLLLSEWHPRSLHVPAGDDGDSIVVKDDVRRTIASTAGIGGRIRIDWL EPVWRSDRMCWSMLGNALALAVELGIFDEYDNTTIGARESRRDIWSNPSSSQRAYLVQ HLLWVYLTQTSGRLGWKNLTSVSVSHHDTSIKHGDTIRCWVGVASLMKRGNELLFPSR ERTREIVKTGEYLAVLRVLNPLLREWQKEFDRAKLSRQMRSILTIEFGYVRVYIHSVA LQAVIENYYHVMHEGGTMPEASLLEPFEGNREYFMEVIASAKSILQTVVEDLLPDNHL KHVPIRTYSRILAGAMFCLKATSLGAKDFETSGSLSLVERTADALCTCVVDDVHLSNR FGELLHALAASLRNRVVQMTASEQPSGSIIPNTLASHRQYAQSFEGDTNNQMMVCGPG SYHELSMGRTGLGDHSIADPEYDNCQAGSTSATAAGTPAYYAMNPASGLIDQVQNDPL INFAGLGPNQLGWSGGQDFFDMLGPLLDVQYEQYK TRV_03383 MTATGCGGPNWIHGTRNNPLVEISERPGTVTDSWEGLQTTFDTD GRLLDPAQSAKVADFIWTNIDRAFTLSQKDCANIPASKSLLDFFRDELAQSGFSQAEK DACLESAKMWGAYIGSPIERQSLKFFLLEECLEGTNLFVASTYKKILQHVARPALEGA EIRFNETIVTLEGKTRSVGTDSRVLVRTSAGKEYHFDEVVATFPLGWLKQNKQAFSPA MPQRLSEAIDHISYGRLEKIYVNFPAAFWRQEPAASNSINPTFQFFSPSYVEHPSTPY WNQECLSLADLPGSCAHPTLLFYTYGTCAEHIVSSISGHPADSKEYYSILHSFLLPYI SRLPGYDDQNPSCKPTGFLATEWQTDPLAGNGSYSNFQTGLTDGLGDIEAMREGMGID RGIWFAGEHTAPIVGLGTAAGAYWSGEEVARRICSM TRV_03384 MTPDPMTGQQSEQDGHDDPGRRGDGRPKALRRPDDRLGERGQEE EREHEHEQEQDQEQRQEQPFLMLSPTLHAPANGLGPRGHAHVRSRSLAAPSSAPVMAR AHSSPGLDSRGRYVFPQSGPGKPSAVGVTPHELPLRRPSPLRTSFDSVGSGSQPLPSS HSIAISEPILEQPELQALPVSYTSHPSQSAASYTAYGSSGSRPGSGRSVSPSWSPALH NTLPRVSRRRPSSPLLTHVPGQTSNGYTSNGHMSNGGILGSSATTASTPSSASSPSRP SPLILPAKFNEPYPAYSLSLASSMPSTPTSIRSRSPSISSLETIPDIPDAEAAAIEAD QIARLKAAADKADAAVEAGGFPHDLKRRSAVDISSPSPGSRFGSGWADKRKRWSVCGA EGRQDLDLETIWED TRV_03385 MDFQIISDLHLETHPAYDFVIERTAPYLALLGDIGHLEHDQFFP FIEELLSRFEIVFLLFGNHEPQSYTMDAARRKVMAFQDRLSSHSSPCPPSTSTGRFVF LSQTRFDVSDSLTVLGCTLYSHILPEQDQAVAARMSDFKMITDWTTKTHNHAHDADLA WLNAQVREIEQREPHRRIAIFSHHAPSLDPRAVDPKYAGSSVTSGFATELRDQPCWTS SAVTTWAFGHTHYNCDFQLGGVRVIANQKGYYASPRDTFVPGRIYSL TRV_03386 MLGSTGVRAEDGGSGSSGGLGEERLDGGGRERGARRKKMMGYLK AANELRQAYSAQWTRGYQDQDASSPGMPGGYPEGEATIHGDEEMILFPSYGRRHVHGG KHHRQTSLSTDAADEFEAALPAAQNECQKPQSDTAIVDVDARGWIYSPQRGPMNRKTR ILLALARRLSGIQVSSATSSDVDDSSAPGSPKSPGQDDASINQRVDSIMNKAQEGVEE AQQASQQMSPEQMAAANAQLMERLTPFLTIPAVNIPVTVFFFNKTQSQSRTISTNASG QFILRASLDFVPTHVRVLAAEHLSATEEVSLSDPGGVSLISDIDDTIKHSAIADGAKE MFRNTFVRNLNDLTIAGVGDWYTKLAELGVGVHYVSNSPWQLYPLLKKYFSLAGLPPG SFHLKQYSGMLQGIFEPTAERKRPSLERIIQDFPNRMFILVGDSGEVDLEIYTELAMA NKGRILGIFIRDVTTPAAQGFFDRSNPRLERRRRNNSSIDFSSLLKTPENKPTLPPRR KTDREETVVPMGNLIDLDDCDDDVPKLDISSQKPVSTSVAPPKPAKPAGLRSATIESK GISNNNNTTLKSGQGPSSTANRKPVPGSPGRSATLSRNPSTDSYVSSFRNQAANLYNQ LPSAREYAERISSAIPLSSNRTTPTSSPKAKPAPPPVPPPRRSATITNTGASMSSSKT TPGATGTSSPSLRKVQEGTDSLDLPAGDLNRTNSMPPTSFRRANSYQSSEAMTSGLNK REEMWLRRWERAKEMLDRDGVPLYSWRDGGDVEEICLELVKKAQKKIGNNNDCSK TRV_03387 MERLKMELKKDEARDKKETRRREEDGKDEQRGEQGRKTAMPDQK GSPEGPGRRLMPGNIISTALRILPRAEGLQLKSAQETVALVGHACMVESGFRLIGLGE EHRTDSGDVLPRDWNLGSPPSAFKYSHSHHPPSEYLLQVNRLGSMTVIYAVALFNSRT TSFDISTDDFISDSKLPIDATATSEETIRSLFVSQSRLEEFCRLFNENIVQKLMPDPS IAQTGEGESKEPLVSLREQAAEQYPSRGPLRDDRSPGPTRPYPFDDPLAIPSREPIPA PSGDFPPPRFEDEYEINRGPRGSFPLHSGYGRDERQPVNIGERDLYPQGLAPHDPLQR HLGPTRGGRGGFGSGGGMHPTFDDPMFGGGGRGEGSYDLQVPPGARYDPVGPGDGRPY GRGSGSRSGSGFPGGPGPGGFGGFGGGGGGII TRV_03388 MFPFPAWPFEVFQSSLGDILMSAGVLQKCPVTVCGDIHGQFHDL MELFRIGGPNPDTNYLFMGDYVDRGYYSVETVTLLVALKIRYPGRITILRGNHESRQI TQVYGFYDECLRKYGNANVWKYFTDLFDFLPLTALIDNQIFCLHGGLSPSIDTLDNIR SLDRMQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVAR AHQLVMEGYNWSQDRNVVTIFSGMSMLSSSLRSLVNNANPVNVISSKLLL TRV_03389 MATYYGKNAVIIGGSHGIGLEVAKTLVSQGARVVVSGRRAEPVE AAARELNGLVAGASLVVQSDITCMKSHEEFEDSVKQHLLADGDSSIDFLFINAAYANL IPFKSVTEEDFDKHFNTNTRGPFFIAQRLIPYIRQGGSIVFTTSVSIGTGYPGMAAYS ASKAAVYSIVQTLAAELASGENGKEGIRVNAVSPGFVDTPTMGIIGATKEDKEAFAKI GAQTSPMGRIAKPIEIAKAALFLGFEATYTTGIEFVADGGSRYLSTQLESH TRV_03390 MSSSPDMAHGPPGKMVLTLLKQPGIEAHGPPYPPPGAGLGGRPT LSVDVAISAVFIAIFLVGAVSHFTLFRKNLKRNYKFIPSAATGGFCIARIVANTLRIV WAAKPMNIDVAIAAQVFVAAGVLILFVINLIFAQRMLRASHPVVGWSRPVSYAFKILY ILIGLTLVTVITATVQSFFTLVPNTRRIDRDLQLFGGSLLTFVAFLPILILAFIHLAP QRQPRDQFGSGSWSAKVIIVTAAALLLTLGAGFRIGVSASPIRAATNPAWYHHKACFY IFNFVLEAMVVYIFLFGRVDRRFFVPNGSSKVRNYSDNRIEPKTSDNDEEGQSQVVMS TRV_03391 MTDAPSDKHSESDGDEEVFHDARFPPDEEADLLSQSHSYKADAN TLFSASNYSEAITTYDRALAVCPNYLDYEVAVLKSNIAACHIQLEEWESAVDAATSSI TFLDRLAPIALATTSQSSKDADTGSNKTADATSRVVELPDNTSQGQEDEVLRTLKEKD THREDVLRIRGKSLMRRGKARMQLGGWANLQGAEEDYKSLLELGILPPQDTRAVKTAL RELPAKINAAKDKEMAEMMGKLKDLGNGILKPFGLSTDNFKFVKDEKTGGYSMGFEK TRV_03392 MSFLRQMASQSRRTMFTIKKSPWKIPSSQGPSLPQHELVDEEIC PGYNPASFYPAQPGEVLIKKFQLLNKIGWGSQSTVWLARNISRNKWQSEQIVAVKITN NNNVEEAHHEKEIEYHIAHLNPKHRGHLILRTCLDAFELIGPKGKHMCLVYEPMREPL WIFQKRFISRQIPLPIAKTYIFFLLVGLDYLHSECKIVHTGDTLSPLYLRFTSTNTSL DLKLDNILMSFESDEILTSFVKKKQQMECKLDEKSGQTIYRCNNDFGPLNGKQFTNLI PKITDFGLSTRLDGSNQLSSYPIQPDYYRAPEVILGCGWNEKADIWNFGVLHLSLISL SDKLWNILGGKELFQQVHDQNGLYDAKSHLAEMIALLGAPPIALIARSKAGSGNNWPQ HVTSKTGKLCINPQEFFDGPFFCSEGEFYHNELIPSRKLESTIPFLEERERDAFLSFA SQMLSWDPDQRKTARELIDHPFLKLGDK TRV_03372 YLLTVLTDFVTYPRPGSTEKTKKYETSGKKREDGEKQERRSQKK SKRKTVPQHAAGA TRV_03373 MADKEAVPVQATEQHDEKQVIKDVAQDPVLDTKAGSDIDAEKGE GFETPDGEEPTESEKFNLRHIGESLPLSAWLVAVVELCERFTYYGMNGLFQNYIQRPF DGSEGVGALVLTGVGLGHQGATGLTTFFQFWCYVTPIFGAIVADQYLGKYKAILYFCF VYMAGLIILVATSVHSSLAHGAGLGGFIASIIIIGIGTGGIKSNVAPLIADQYKRRVP AISTLPTGERVVIDPAITIQRIYMIFYACINIGSLSLLATPYMELYIGFWSAYLLCLC VFVVGTVTLILGRKAYVVRPPTGSIITNAFSALWIMVTTRNMDGPKPSYQAEHGNRRT VAWDDQFVDELKRALVACKVFCFYPIYWVVYNQFSGNFVSQAAQMEGHGIPNDLMQNF DPIAILVFIPILDRIVYPIMQKLHIPFPPISRISVGFIVASLAMAYAAIVQHLIYSAG PCYEHPLACPEGKVNGVALGNHVHIAIQTPAYMLIGISEIFASVSGLEYAYTKAPVSM KSFVQSMYLLTNAFGSAIGEALVPVAYDPAIKWMFVSLACVSFSTGILFFFIFRGLNA KEEEMNRMDKDKV TRV_03374 MGNIVGYRSVVYFVNWGIYGRALNPADLPIDKLTHVLYAFANVR PETGEVYLSDTYADIEKHYPGDSWSEPGTNVYGCAKQLFLLKKQNRNLKVLLSIGGWT YSANFPKAASTDAGRKKFAESATKILLDLGFDDETEANNLVLLLKACRETLNAAQKNR KFLLTAAVPCGPVNYEKLKLKEMSAQLDFFNLMAYDFAGAWDKNAGHQANLRPSKSNP SSTPFSTSAAVDYYTNNGGVPKSQIVLGMPLYGRAFTNTDGPGKPFQGVGQGSWENGI WDYKVLPKDGAQEKKDDECGASYSYDQASRTMISYDTIPMVQDKTKWVIDNGLGGGMW WEASGDRGGKNATKDGGSLIATFVEGVTANGQKGLEKSQNSIEYPESQYENLKKGFP TRV_03375 MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGGKKRKKKTYTTPKKIKHKRKKTKLAV LKYYKVDGDGKIERLRRECPTPECGAGIFMAAMHNRQYCGKCHLTYVFDESK TRV_03376 MLQNFSFPPEPEGSKDVASLASRRHFAPRPIAPAPAGGRGCHRR GLSLDQGVARHQQNASMYGHEMHDWSRWLDIGDYNNHLNSGVQRPITPLQPIENMDSS LLTPATTPCWKPSTAFPRNCRTAHSSPTKRVPPPLLPRNGESMQRAKSFQGSENGYNP KQNSKGFEGPPEFRLPEPLESKMPTTDDDIFSDLTFDSLHNSDPFTLGGLPSPTMTSF SSQPEHSKETAVELPDQKAKKVPIAPASTAALPTRSRPATSSGTTSPIKAALAPRVVT IADLKLDASIDASLEETGITLEDICGYIEGPDPVDNKWICTFDGCMKRFGRKENIKSH VQTHLGDRQFKCNHCNKSFVRGHDLKRHAKIHTGVKPYPCDCGNSFARHDALTRHKQR GMCSGAFVGAVRRAERRGRPRKERPKYGERREKATRARQREKKKASSPSVQEHSSSSS APSPESENLEAPSTREHSPVKDMLFLDMESISLPPDVFTFTPPASPSYSTGNIGSPAR SYYSTSQEPDETPLCLSPSKRYLADIPEEIIEPPSFECGSPTPVKRETKKDLIGSPCR TIWPTNQGPTLTEDSNSTEFDDVFSSHASTSAFDPDQLPPLGPQSTTGISESGSSQYD DEIFLNHLNSEAPNLDSDYFPLSLSPSTDAFFDSFTTELK TRV_03377 MLPRRILSAPRECALLRASVRRHIYTAPSKSALNNSLGRNAFHS SNRKLEQETTSPDAGKPAIQQGKLESSRGKRTSRSPAGKTSLRRAAVEAQISKDVRRL PVSPDIPSPEPKVVTAYAVAERFDIAKVMEILLAKGYEPDPLETGLYPQVVHIQVPLD SIRRTTSLASSDLSSDEVGDVFVFPSGTVVSWSLPETFASYLATTVLLPAAENPHIER METEDLDYIEDPQRDNSFMKGDVIILGTNPVPEEQKTQDIRHPNRTTVDTVLTKIAFS SGLARSTKLAVLESLLLNYFDSTRNIPTQLSKGSRLPYTRSFILRKTGQLLSLRAQLN LYSELTDSLPDIFWDSRHELGLEGNYDQVGKALDVGIRIKVLNEKMDYAQEIASVLRE RLSETHGLRLEWTIILLIAVEVCFEVLRLWKERKQELAAMKENRENITDGNTLSS TRV_03378 MEAAGPSEAKSGKHKIQPGTSTTDAGERDTPKDEVVSEEGEEEE EEGDDGEDDEDDVEDEEPRLKYAPITPVLGPLYRNKDATSTFMTAGNKMHVYSVPFFQ RLRVYHAHSASIDSISVSPFPPPAPTKADFAPIIQSGGSGASASESPNGSKPQPVTIP PTPSNSIYIATSSMDGNVCVASLVDPKDVTLRNFGRPVSAVALSPEYKSDRTYVSGGK SGNLVLTVGGRVGASSNATLGGASPTGWFGSLGLGGNNGKDRVLHNGEGAISCIKWSR SGKYVAWVNEEGIKIMRSHLHLEQTEAEHAWERFGHTDRPRSQMWDEMAGVWKPRVVW IDEDSLERETASVVQVDDASTRSQRLGNHGPEKLLVGWGGTIWIIKVSRGDQSAGVGK RRIASAEITTKLRTDCIISGVSLYTQNLLLVLSYIIPDDDDPGTPSKQAGPARGIRRR QNGLQPELRLIDIDTEEELSGDILSVRNYENLSASDYHLDTLPLSRIASTTHRGALES ITAGLIDATLYPKRLFSSGTSSRSMGSSADKGSGFQVTGTVDSSGLLHGGKYNKEIEI AATAGVRVFVHSPYDCILAVKRGFEDRLAWLDSRERYEEAWELLEQNPDAFNVASGEG EESPPGTPTPSAPGHAGLTLLDNSSIQTTGHSGSTKLEQEKRRVGELWLKQLVGHGEW EKAGNICTKVLRTTAAWDHWICVFARNGKFDEITPHVPIDIEPPLPSFVYEVILGHYV SRDRVRFNELIELWPPNLFETDSVTAAIQDQLLLTDEGTDDWRNLLNCLAKLFLVGGH YREALRCYIKLQDGDAVMSLIREYHLLDSVSEDVPGFILLRVPKNRIKTAPTAELEEA TSEPIKLLVREAANGIVRPETVVTQLQEADLGLFLYFYLRALWRGDFVSKEGGRPAIR ARGHHRTEAEAGKLVADEGRIMIDGFADTVVELFANYDRPLLMEFLQSSTSYSYDTAC SICERRNFTPELIYLLSKTGQTKRALQLILSSLNDISHAISFAKSQDDPDLWDDLLSY SMDKPEYIRALLTEASTAIDPIKLVKRIPSGLEIEGLREGLTRMIRDHDIQASISLGV AKVLAGEVAIRMDALRKGQRQGMKFDVCHDEDSAAEDADGQDKDAHPGTNGETIDEKQ ERPSKDVTPGRCAGCKKPFVEQGELILLLRPVDSISFVILTGINDLLETETIVVFACG HIYHVSHLHGPPTPEEHGTPQEQDPASPRLHYQPSSIQSPALSRTVGLKVTNARLLRD KIGDGCRICAESLKDSAQQ TRV_03379 QVRPARYDVSFSSLNPISSHLFPSLQVFIDSPSAINMIVSTKLI LAVLAFDAAIAAAGMWRRDIVALQSQHLSTIAFTSFFRDLLKTQLLTCLSCIARAYGP ANVHHGNIFGRDGKYMLTPMFAKENKQRNASKMAMLTGIIGEAAVTVTVTDCNSASGP APSGPATSAPAPSAPATTIVSVSVPDVTSAVPTGRPEAPITSSVEHTTTGASTEVPPP SMSTPVSETKTSVVSHETPRPTRASPSETVATPTPSAMASRHVGFNAVLAGVIGAAIF AAL TRV_03368 EMIADLKADSRRWDVEVRSRQEGGQPRGTYYDTYNTPPVSNRSP ANYDSSSVRPRPPVAYSSSRPQQPQQPSYSTYPPTQPYPAHQPQPSYQDYGTQPGYPQ EGSSYVPSGGPPYSTQSTPPVTTAEQSYIHSQGAYAYPGGISQPRYSGQGYENDRDYS PVGQSTSPYPPSTGPDPRIMETGYPVESAYQDRQPDRGHPRNPAPRH TRV_03369 MKWLLTLLCLSIYSAAVYAVSSTGNRLLVIQEDETERDLFSTFW ADLESRGYKLTFQSPKNTKLSLYHLGARAYDHLILLPPKSKGLGPSLTPKALLDFVND NGNILVALSGGAPTPNGISSLLLELDIHLSPDRAPIVVDHFNYDTISSAEKHNVLLLP RPERLRPDTKPFFNGEGILALPNVAGQSLGNNSPLLAPIIRAPATSYSYDKRDDDQSI DQKLPTGSQLALATAMQARNSARVTVLGSVDSLKDEWFNAKVQAPKGEKVETANKQFA QQLTAWTFQETGVVKVTRVEHHLNEKGDIESGPKELNPSIYRIKNDVTFTIELSEYSY DQWIPYWTAEEDAIQLEFTMLSPFHRLNLQPVDRTEHAAIYRTSFTLPDQHGIFSFRV NYKRPFITNIEEKHEVTVRHFAHDEWPRSWRISGGWVWIAGLWSVIGGFLAFVMVWLY SAPPMKSGDSKKEQ TRV_03370 MVDMRKRFRGNQPFHLAKETDSVTGEVVEKKKPVPQLYAGRPAY QGVKEISGDEVQMDPEKTRGL TRV_03371 MLTASAAQPIINLCYRQSKRLFHVLSAAQPRRPKQESISGEIAK ASEFTELCDLFGYETEEHVVQTKDGYLLGLHRLPWRKGETHVYENSNDVDNEASRKPV VYLHHGLLMSSEVWVCLTEEKRCLPFRLVELGYDVWLGNNRGNKYSKKATTMSPSYSA FWDFSIDQFAFHDIPDSIDYILSVTGQPSLSYIGFSQGTAQAFATLSIHPTLNRRINL FIALAPAMSPAGLSNGVVDALVKASPDVMFLAFGRRSILSSTAMWQAILYPPIFVRII DTALLFLFNWSGKNISTVQKLAAYVHLYSSTSTKSVVHWFQIIRNKSFQMYDDNAGSK LSIAAKSRFYKPAKFPTKNIKTPIVLVYGGSDSLVDIDVMLEELPAHTTATEIAHYEH LDFLWARDVDELVFPHVFKALSSQTRTAPAKLTEQFDGPADDTCFVDYAS TRV_03351 IFYSQHRSYEKWKMEALEFYVKGSRLVFGLIYTHIQQKISAVGH RWTYQETPSPKNVVVLGGSYAGVHLAQRLTESLPTGYRAVLIERNSHFNHLFVFPRCG VVSGLEQSAFIPYDGVARSAPPGIFKHIQDSATSITDNQVILASGEKIDYEYLAIATG SWQPSPAKLASTEKAGACEEMHGSQERVERADRIAVVGGGPVGVQVASDIKSYFPQKD VTLIHSRTQLLPNFGPRLHEHVMKTLKQLDVNLILGERPQTVTGDIASMAKDKIQEAL SFRDGHKETFDLVIRCTGQRPNSSIIAKLFPSAICKQSGQILVHPTLQINNGPNMPNP NIFALGDVAKTTGPRMERTARAQADIVASNIVSLITGYTPLQTYRVTEAHGVIKLTLG KHDWAMYYREDSGHELMVHGKAKGDNIDVRPVWQAMGAKYPPTPASAPTPS TRV_03352 MVKTRFVCVSDTHGYGTREAAFRLPKGDVLIHAGDITNKGTVEE FHKSAQWIREADFEVKIVIAGNHDAPLDKELYKGHTKFFHGKKVVNSDECLELISAVG ITYLDHTSKTIRLKRKNGPRTMFKVFGSARHPTNNFGWNAPFGYPANSPEDARKWWSQ IPPDADVVVTHTPAKNHLDLTTHHGNIGCEYLRQSLWSIRPRLSICGHVHEARGYERV IWDVDDTKPGTFGEISTTVGTLPPRESKKMSRVDLTGKTYPRLANEGPEDPARSETCF INAAILATHYPHAGGRKFNAPIVVDIDLPLDDEQESEQN TRV_03353 MSTFEPVVVIDGKGHLLGRLASTVAKQLLNGQKIVVVRCEALNI SGEFFRAKRMHTPFPPADIWKKKKWKRGREDESMGKNT TRV_03354 MIPHKTARGAAAMERLKVFEGIPEPYDKKKRVVVPQALRVLRLK PGRKYCTVGRLSHEVGWKYQDVVARLEERRKVKGAAYYERKKAARRQLLQAQRTASVD NKTKEQLAQYGY TRV_03355 MSGAKARLESVSAQLQPQQGDAASLNRNRHTLSPTFFLPRAAAI EPDAEAIYHVTANNKVVRKTYQQFSDRARGLAYYIKKCGYRRVGILAPNTPGFLESIF GIAAAGAVNVAINYRLKAEDIAYIFTHADVDSIIVDKEFVHLLDEFRKSSPHIPLIVD TDTDADEGELSGDLDAAILEGLKHDEQQGGPGWDGLEAQAADEESLIALAYTSGTTAR PKGVEYIHRGCYLAALGNIIESGLNFQQGRCGYLWILPMFHAMDYPEIWRMLKEENIT HFNAAPTVNTLLCAAKEAERLSRPVRVTVAASPPTAHLFEQMTSVNLHPVHVYGLTET YGPITKAYHMPLWDTIPLKEKYKRMARQGHGFLTSLPVRVIKTDVPEGTIIDVRKDGQ EIGEIVFTGNICARGYYKDTAATDKLFAGGVLHSGDLAVWHPDGAIQILDRAKDIIIS GGENISSVALEAMLATHPDILEAGVVAVSDTHWGERPKAYVTIQADKQVTGPDIIAWA KNSSGISRFMVPREVEIVPELPKTSTGFFSFLLFISILHLLLSFVVLGSPCILSISIA VDSLAISHLDLPVANDFTKSTFTGDPTSGHPPEQLLFFFFYHPVITISISITGVSSRS LSPTRDKEKPRAKPPTAPATPTKLAFLPALTNAVAASPSAATERKSARLCCPALLHFT IHHSLFTVH TRV_03356 MACRSRPRDSGGDSSSSTSPERAIDEDNDFFMLEANDSQSSIGV GNFRNLRVDSRRDQDMPLPPIYRLPPEILIAIFSKLSSPVDLLNCMKVSSCWSMNCVG ILWHRPLCNTWDNLLKVAHAISDEESYFPYYDLVKRLNLTTLKSKVNDGTVFSFVKCK RIERLTLTGCKNVTDKGISDLVEGNRQLQALDVSDLESLTDHSLNVVAANCSRLQGLN ITNCVNITDDSLVQLAQNCRQLKRLKLNGVAQLMDRSILAFANNCPSMLEIDLHGCRH ITNASVTALLSTLRSLRELRLAHCIQISDEAFLRLPPNLVFDCLRILDLTACERVKDD AVEKIIDSAPRLRNLVLGKCKFITDRAVYAICRLGKNIHYIHLGHCSNITDQAVTQMV KSCNRIRYIDLACCNRLTDASVEQLATLPKLRRIGLVKCQAITDRSILALAKPRFPQH PLVSGLERVHLSYCVNLTVEGIHSLLNYCRRLTHLSLTGVHAFLRNDLTKFCRAAPDE FTPLQREVFCVFSGDGVGQLREYLNHSALRERSGTAVTMYDDDESPDEVDSQPSVSGL LNAAAINDGDDHPMAVQQH TRV_03357 MVSSRRGFNPIAFTPWPVTILSSLVYLALIIPIIVVHHLVPPAP KESPAGVDLEEAWHDLQHLTRQYHPYNSHSNDEVHQWLLKRIHAISATSARSESQSGP EVFVFDDNQTNLTFSSAGVAATAITGVYFESRNIVVYIRGTEDEPGEWWKSPDGEPSG KGGVLVNAHYDSVSTGYGATDNGVGVITTLQLLKYFTTPGHYPRKGLVLLFNNGEEDF LNGAYAYSQHPMSKFTHTFLNLEGAGAGGRAVLFRSTDTEVTRFYGKSEHPFGTVLAR DAFKLKFIRSETDYHVFDGVFGMRGLDVAFMEPRSRYHTDQDDARHTSIDSVWHMLSA AITTTEGLVSYTGDAFDGDSGDGGKLNNGIGTLGVWFDFFGSSFAVFQLNTLFGHSVA LLVVAPLLLIITSVALFAVDKMYMFSMYTYISESGGQVSLYGLRGMFRFPLILGISTA LTIALAFLIMKVNPFIIYSSPYAVWSMMLSTCMFFAWFISCVADFARPSALHRAYAFS WMFGIMWVFLVIATVYQKQHGIASSYFIVFYFAGVAVATWISYLELFGLPKTQDYARR QGRLSDRTPSSDSHFLAPSADELPSSSSAAGRDFNPEDVEDEEPTESTSLLRGQQRTT FANYASARGSQESNISNQGNNSLHPKDHRLEQKWSIYLMSSAWILQFLLVAPIVIILL GQLGLFLTSATYQIGADGGSQLVIYIGIAVLSVLILLPLFPFIHRFTYHIPTFLLFIL IGTLVYNLTAFPFSHSNRLKLAFVQEMDLATGNNQASLVGVEPYIHDAVHAIPSVQDG KISCTSHGYGGRTKCSWPGLKPKVAEGPYKDWVSYNISQTKDDKITRFEVSGKNTRAC KLLFDSPIADFHVQGSVVDKRIPHTGPKGVSEIRLWSRNWENTWTVDVEWTKKNSERT GKVMCLWSDDNDLHVIPELDLARKFAPWWVAITKLRDGLVEGSYSFEL TRV_03358 MSEDDGLTGAYKGANFAIKIIIGVFIGISWYNALELCVLVFVTF SHYRGLYFWSLLISATVGVMPYALGFLLKLYNLMDNVWLSLVFLTVGWWTMVTGQSFV LYSRLHLVIRDQKILRRVLWMIICNIFILHLPTTILTFGSNSSHQKSFVPAYKIMEKI QMTGFFIQEVILSTLYILETMKMLRIAPKHGNRKIMYQLLGINLIFIIMDLALLGTAY ANLYVIETTIKALIYSVKLKLEFAVLGKLVHLVNIHSWNPEFFSGSNGYPDFVDPTQI TTDITRPPQCINSPPRPPWSFPDTLPITSDLQFCGKADVAPSSSGSLATTHIAPCTSN GVPVPTPVDVTHATPSQVISPNNLPKWPS TRV_03359 MSSEVTLSVVDNDLKDVIQNFFEIQSAVHGYLGPETQHELVRKL SVTRSYLHTVLHGLFCYRLLIFVHMFRKSLTISLKTLSDHTTLDPAHADAASKQPNSN PALPQADPPLHTIKLPPEIVDYVDAARNPDIYTREFVELVQKGNQDLKGKAEAFSSFR DTLAREMASAMPECKKEVIRVVKATGGDAQQL TRV_03360 MGPKSASTASPGKRGRPSTKAGTATSTKVSVEVPVPKGAVARET SSFSLESVLHFALLASSSLLLSTALFSLSVPITKGDLAWTSKRLDSWRDVMVLLAWRV IELAVPWFSGYDAWDALCFIGLIHLPAYSLLLNFYNIRPTTIIAVATFTILSSTIPFS YFRPLGPAHSGSPAVNRAILADGLTTAYITVASTLVYTTFIYLSFVTWLPTHLVTYFN GLPDITAAHAGPKGFVSLFLSLLPAGYGARDLLFVTSASQPPEKKTEPKTTRRRLRSS DSRNEEEEQREESCIASLYKKAWLGLSPASRTLISRSLALALMTLANTFVQLAGTISG VEPKGALGWGSIWSLATLVNAFFYGWVQEASGV TRV_03361 MPGPVDAELVKKVYGDYGEVKRLFDEARDHGIILLDEGLYTFDL ANGTLLTIYASPFTPSEGDWGFQYSPKEGHSFDIKDGVDIVMTHGPPHGVMDRPYSSS RVGCPDLFKSVFRTKPKLHCFGHIHEEWGARLVTWRENNNSEASHLTVIENDPDKSPV ITNLSRLGGSKHDEPEVREAKLEKLKTSQREGCYSTRCFAKPGAQTLFINASIKGDED HDQCFHLPWLVDIDLPKPT TRV_03362 MRFIRTYNAAAGLGLRGAVRPRYATLPQLRRHYGSQDVAPGGPP QPGKEGPDPDESSREKSSNQQDNSLKATLLRMFESSATTFASIAVLGAAGYSYHKYYK HLVLKKMDNAFEPGDPMLELAGVKDPKQDPKGSKDMEKDPDHWLERDEQGRIDEIING AIGHYYLLIGEKGTGKTSMILNAMRKVDGSRVAMFEAHANLEIFRIRLGKSLDYEFHE DYIGSLFSIRGPRDTTALLDIERALNKLEKVAIKRRNVNQNPLILIINSLHLVRDDDD GRDLLEMLQQRAEQWAASGLVTMVFNSDDYWIYERLKRYASRMEVIPVPDLPKGKALG ALRRYRSRYFKENPPQSILEEVYNKVGGRLTFLNRVAKSEDMIATCNEICEAEKTWFL NKCWILGEEMDDDVMDEQKYSSAAMVLAKALVDLEKDMEKTYDDENGHILPELPLHKA RQIMTRADFIQSYDHDNIFTIDSKARVRADSVPMQNAFREICSEPGFDQYLEDTLDRI SAIESLGRTREITVKDFWNEGKFKATMRDTKGRETGTLEIGVVKPEKEEDDD TRV_03363 MDQQSKGPEHSKEVPDDTKPENTSQELANGNKTEGEQDDNNNAG DQRKAPSRATQHSGYGRSILASVYISSLSGLPNGQLQVSSQEQVQDVRQSIVELPGTF QYTCFHLETEGNRINDYVELSEVKGLKADSEVVLIEDPYTEKEARMHVVRIRELIGAA GNRVDTLHGLSAGLSLYDSVAAGEGLPEEAKKTHALDGYDVNAPLDLATVLPKDQSAV PKTVRSVSLSPWNPPPYHLRQKGHLLYLLVTTNEGEQHQITSHVSGFYVNKCSNSKFD PFPRPAPKNYSAHSLLTLISLISPSFNESFKALQEYNNKKDLLTTFPFQNSIPNNPWL VPASQSQATAHISDATRSQENYLIAGIDNSETLRDWNEEFQTTRELPRENVQEKVFRE RLTSKLFADYNDAAVRGAILVARGEVASLNPMEARDAQIFVYNNIFFSFGADGVGTFA AEGGDEAARIAVGKDVMGVKTVNQLDIPGLFTPGTVVVDYLGKRIVGQSIVPGIFKHR EPGEHQIDYGGVEGKDVVAKNEAFTPVFEKLSKALRVKKHAVWDKDGVRHELEGSVET KGLLGTDGRKYVLDLYRITPLDISWTEDAEGHDEYPHRMPVLRHELVEAYWRYKMGLY VKEEVEKRRAAAKETKAVENGEGGDAEKKDNSDQERVDISNFRLALNPDVFSGQVPQT EEEKEEWARDEKEVRDACDHLRSKALPELVKDLYNGEVGFPMDGQSLGQLLHKRGINI RYLGKVAQLAQEKGSRLQSLVALVVQDMVARSFKHIANRYLRNLPPPFATACIAHLLN CLLGTEVNSKPRAEIDESLRAIYPEGDFSFEEVTPASLAADIEKQVKSRYRYTPEASW TGSLKHFQVLRDISLKLGLQLVAKEYAFSKSQVKEQSPAGNGAHSDSQDEKKKKKKKG SSAAAATPAPAPALTFGPDDIVNIVPIVKDASPRSALAEEAFEAGRISLMQNQKELGQ ELILESLSLHEQIYGILHPEVAKLYHQLSMVYYQTDEKDAAVELARKAVIVTERTMGV DSSDAILSYLNLSLFEHANGNTQTALVYIRHALELWKIVYGPSHPDSITTMNNAAVML QHLKKYPDSRKWFESSLAVCDELFGRQSVNTATLLFQLAQALALDQDSKSAVNRMREA YNIFLNELGPEDRNTKEAESWLEQLTQNAVSIAKRAKDIQARRRRLVNLPGRSSLTAQ AQPSVGQTTSEMVAGVDGANPSLDSRSIDELLKFIEGGDAARSKPKKRTTRNPKLRGS KQTSVKP TRV_03364 MRSGHINSRSKPPPPQIPINLRWCNRALRPLTSIYVRLEKHWKI SPLRDEEYIQRAYGGCESSFNSSQNGRRSMSGSGNASDSESAKEDPTWVPGDAVRKPI KHRYSGRKERSRTNFRSKVVVRSPETEKLQPGQLAIATPLILGKRRMVSFPKMSTSVD STENEMPQAQKMFSYSKRKYLGTSWSLSEIAHGIEDTYNDPSYVAIVQTIFSAWDTFL RMSDPQQSKPHRGANSLLSMALSTTSKYILQEQERVNSLEEKDEETDVAGCIITELEK MYAMGDNGWRPLKELVRSHGIHLMCEAIRRRWLSPQLSRRIVLQSFSLQAHDAASALL SAMLSISPVIPPPIRLDSNLFKSSHSVALHTLESYVRPSGGFSIFFREMASLLDRGRL PAEWIATDSMKYYLTAALQSLAADDEHSFASLRLITSSILAAAGSKRTIPPQVLSNLR RSKRGAIRVRKSESEAGFTSSFLNPKASCYDPISIALSNSITSILTVLSSSHFAKSGF GKAVASTMYNLLSYVSTIVQRDIERTIFDKGKNKLNLQPTRACAILLSDFLANFLGNS RKESQRQSAICASPILHNLGYLTAMHTNKKELVEELADFILQIARCLGRVQNDNGFEV AKRFTLAFNTSSVKKHPILRIVLSKVAVEVALKFAESTCLQEHHDWASYIQDQVAACD FSEGDMDELQPLTPSLRRTETGFRWEDGIGEWVAKSPILSRARSSKSTPPLPDSTAST SQRGMTDLKMEISDTEESSSGFSMTSNQNGDNSSVSSEYSDSPPPKRKRLAGSFGDQS SIRVSERGQNNTYFPQRQAARHWSPSIDSQSDNESRHCRRVARKLVSPTTTGHQRSTG GTRMHWRNGNSDNVAVVIEVPRKRKPNDLGAMSLKEHVVCRRKRRATEPFGSKSSAFT PTDKEEENEEDEEEEDILNHAPALSQRFQHRIQTASSQTQTRLKKFRSARPRRSARLS LAERVIPCSDSSEDELSFC TRV_03365 MRSSLLLAPLLAGLAAAAYDKESSHHEEGPHIMTPQEVYDEKVA RYYILSGGSVFAVILVWSAVIRMSAHIRRLTSLSNDTQRYFITAHSFWAWMKRHVMYA PLLWKRHNREYRLSTALNMGTLPTRFHTVLLLMVVGSNAYLCLHKLPFSSPEKEFLPS IRKRTGTMATVNLIPLVLMAGRNNPLIKLLDVSFDTWNLLHRWLGRIVVLESLAHTIC WMVGKVHTDGWTDLRESLGSSNSILTGIVATVCVVVISLHSVSVLRHAFYETFLHFHI VLVIVFLAFTWIHLVGYSQLKYLLGAILLWAFERAWRIVTIVYRNFLRGNTTATIEAL PGEAMRVTLRVARPWTFRPGQHLYLYIPSVGWWTSHPFSVAWSDTEESDTDEKGLVMT KQDVLGLQHPTMSLVIRRRTGMTNKLYEKATENGATSVTLSAMVEGPYGAEHVLDSYG SVVLFAAGVGISHHVSYVRHLVAGFADGTVATRRLTLVWVIQSPEHLEWIRPWMTSIL SMNRRREVLRIMLFITRPRNTKEIHSPSTTVQMFPGKPDIGTILDGEIEKQVGAMGVM VCGTGSLSDEIRFACRQRQTPTHVDFIEECFTW TRV_03366 MIRRLTQYTKQFQRPVTTLVNSNVKMAAPASDITLYTSQTPNGI KISIALEELGLPYKVVAIELGQNTQKEPWFLEINPNGRIPAITDTFTDGKKIAIFESG SILEYLVDRYDTEHKISYPKGTREAYEVSNWLFFQNAGLGPMQGQANHFNRYAPERIE YGVNRYTNETRRLYGVLDKHLSQSKSGYLVGDHISIADISHWGWIASAGWAGVDIDDF PHLKAWEERLLKREGVEKGRHVPKPHTIKELLKDKEAMEREAAKSRQWVQAGMKSDAS K TRV_03367 MAWRNQGITGSNNIPLGRRRFGDEPDETPSSSSTPAHMDGGNKR GRSPVRADPPADGTKRRKKRNRWGDAQENKAAGLMGLPTLIMANMTNEQLEAYTLHLR IEEISQKLRINDVVPADGDRSPSPAPQYDNLGKRVNTREYRYRKRLEDERHKLIEKAM KVIPNYHPPSDYRRPTKTQEK TRV_03325 MLIPDGYLTEERKAENPDKDTFHTFFSETGSGKYVPRTIYCDLE PNVVDEVRTGTYRQLFHPAQMITGKEDASNNFARGHYTIGREIIDDVVERVNRLAENC DDLQGFLLFHSLGGGTGSGFGTLLLERLATHFAKKSKLEFCVYPAPNLSTSVVEPYNS VLATSSTMELSDCSFLLDNEAIYDICTKQLTIERPGLENLNRLVAQGKQFDTCF TRV_03326 MNMRFMLWLVSGFVGLALANVEKTMFISPNVDMAQSALLNVELK TLSPSAPSIREYLEAAFPTDTAPSGKRSWYRLEGLVPGQRYEVRVCWLATQPTEFTLS THQPSEILQSPNLLASLAEFSEIQAYRNKNSTSLGGTSVRPAGVTLYLVIDAAADYFT SDKGLMANVPPVLVDLILDPYIFNILPTSLVPTLGYVLVSAVLAWFISRYIWSQLSAA AKTLDVDAGKESRVQSQSVNHWIGAARKKDNRINFFSC TRV_03327 MTIFRPHLRFLFKPHFLYFQSPAGQSSRPFSTSQILRTALDMPP PPVDTTQRLAKLRELMAQNKVDVYSMQFRYTIKAPLIITVVYSFFFFLLLALKLCLRK TAISQSTLLHVMGVETLIRITAAFISSFTGSAGCAIVSMSKAALSTDGRYFSQAAKQL DSNWTLLKRGVEGVPTWEEWTAEQAENGKVVGVDPSLITAGENLHYTPLTSVVVTNCS YVIADARKLSQTLKTTGGSLVGIDQNLIDAVWGNERPARPANQITVQPVERAGKPFEE KVEDLRKELAAKKRSAMVISTLDEIAWLFNLRGSDIPYNPVFFSYAIVTPSVAELYVD ESKLSPEARKHLEGKVVLKPYDSIFQASKVLAESKASASSGSSGKFLLSNKASWSLSL ALGGEQNVVEVRSPITDAKAIKNEVELEGFRKCHIRDGAALIEYFAWLENALIKEGAQ LDEVDGADKLFEIRKKYDLFVGNSFDTISSTGANGATIHYKPEKSTCAVIDPKAMYLC DSGGQYLDGTTDTTRTLHFGEPTEFQKKAYALVLKGHISIDNAIFPKGTTGYAIDSFA RQHLWKEGLDYLHGTGHGVGSFLYAEVPLSASNVLSNDLVICKEVQTAHKFGDKPFLG FESITLVPFCQKLLDASLLTEAERKWVNDYHARVWEKTSPFFEKDELTTAWLKRETQP I TRV_03328 MDQPDGSTVQQADIDALIFEARQLATDLTTPGLASQVASIQERL LKLQKSSAGWMIADGFLGCEDPKIRFYGPLTLTMKINQEWDILNVEQRLALLAQLMNC FVVLIDSAEKPLVMRKFFSTLTTFFFKPGSPWTYSVRHVTVTLANGKYLPEDKSEDPT LALHALSALSHERLVALLSFCTALAEESTRYLTEGLAPFLFFPCFLTPAYTSSSTESG NRLQANTNDVFHLIDYGFERAVNNAGSVSNQNVTDHSLDVAKESIQTLHAWLQAFHNG KINPPGLAEKVKKPLGFATHFFGIEALALPVMELFAETIANQYKLVGKDHMDAIINFI VGPGEKYAIALMNGEYDDESLKFLDLLLRFSALDQSNIIINGPSDEKREKVLFLLYKL FHAPGYPQVDDCAVILLLEFWTEVASDIDELVLDGALAVSEEIKQKLARVISEGYDKL RFPSHEVSETWDDNELSLFVYFRREFAEYLLEVYPLLGVDVIRHILEQASNSIAKNDW EGFEVSIYCLGSLAESVAENEHADHILDDLFCSEVFQSVCFGHKEIPLKVRQTMADMI DHYTPYFARNGKLLTPVLNFLFSSLDFPSCDPVASRSISSLCQSCRKFLPMHSQGFID KFHQLCTKSSLGDSTLERVAEGIAAVIQATELDRERAVALLKLLNPLLQEAQAACQQA SNGQYEEGLARSLIVMRCTASIGRGIRAPDDDVIDLDTHDSRPASDSFWANDPLGVSV TETVIRILDTLVGQFPNESYMIEATCDVLKAGYTERHPGPYVLPTQVTVRFVKATNIS SPRFSNVMATATAFLASRSSTPLVIEQEVTELTLHTATLIQTLTVSANSYDPEAAHSC IDFLTRLIPRYYVQFFNLQCVDTTPPPLPAILYFTLDVLKRPEPLPLRASCSFWAAIL SLTDLPPGLISTGASMGPPRPNEPPGFLDPYLRVLGESVMYQIAGNCARSDLDHFSEV IKKFVFKHQGIARLYFGNGLASLDVSRKAHASDTGATQPLPAPSVTQQDLQKFLSTII SLRGARQTNANVKNFWVSNRGKGFAYA TRV_03329 MAQEHPATGTTGENGVTKDFTVKAGLAQMLKGGVIMDVINAEQN TSRRRISMLTRHFDLQARIAEEAGASAVMALERVPADIRAEGGVSRMSDPGMIKEIMA AVTIPVMAKARIGHFVECQILEAVGVDYIDESEVLTPADHVYHVTKHSFNVPFVCGCR NLGEALRRISEGAAMIRTKGEAGTGDVVEAVKHMRQVNTEIARARSILQSAQDPEIEL RAFARELGAPYELLRETAEKGRLPVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFK SGDPRKRAKAIVQAVTHFKDAKKLAELSEGLGEAMVGISVRDMSEKEKLSGRGY TRV_03330 MASQFLRSACRPLLFAVPLCASYSVLQTRISSPIRCDYASGPLH PSVSEFTLKHEGPSSQQKALFGGLITAETARQISLGSALGVLTGLGLRIFSRTLAFSI GLAIIIIEWAASKGYDILPTSWLQRHIKSVDFRKLTHENVPFKASFGTTLAMAAFGEL TRV_03331 MKRKQQEISSDEDPLQSELSNTPKRSKQTSRKDNELNGTPTKHS APDTPSGRLRSRLTKQTPTKNVDFAPDLVSPLKTPTARALFKTPTKLKNVDNGTPNGT PSRIRNADRSAKRKSARVLLDPNEDDLWDGSNKLAREIWDVPSDDNAVPQVDTVAMGG TNRPKEPDSKPEHAAKEVPKEPPVTPRKRGRPPKVRGPEEQTPKTTKTPRTPRRNERV TTPDGDLPPQEKYFFQTRTGPVQTSNNTMAKSSLFTHEEFFEHLGKYKDPLQEEKDYL LELHGRSFPQWHFEMTEGFNVCIYGYGSKRKLVQRYADWLYEHCNQPPAIVVVNGYTP DITIRSILATVAMAILGPDDTSKLGVQPNDVLESIRAALLKNPPPQPITVLINSIDAP PLRRAQQQSHLARLAGIPHINILATTDTPNFLVLWDITLRDKFNFVFHDCTTFASYNV ELNVVDEVHSLLGRKVRRVGGKQGIGFVLKSLPENTRKLYRLLITEILMMLGDNQDGE EEDEAQIEADDPESDNRSGKVAAIEWRALFHKASEEFISSSEMMFRTQLKEFYDHQMI TSKLDPSGAELLGVPLSREEIESILEDLVIDG TRV_03332 MALNHARAIGQVSHYACRFSKPGTPVSGQVRQFTTPIDTSIPAS KQKFVPSSGTYPKGFKVSGSHAGVKASNTSAPDLALIWSETPCSAAAVFTTNKFQAAP VQVSSELIRKKKGNNIRGVIVNAGCANAVTGKQGLQDARSMSRKADECNGLPEGDSST LVMSTGVIGQLILNKVPEAQSNLSSTHAAWLRTARAICTTDTFPKLTSQSFTLPSSPG RTYNIAGMTKGAGMIHPNMATLLGIICTDAPIEAPILQSLLSHSISRSFNSISVDGDT STNDTVAILANGAAGGTPISSIDSKDYTAMQSILTGFTQSLAQLVVRDGEGATKFVTV RVKNSPSYEDAKRIASTIARSPLVKTALYGKDANWGRILCAVGYTPDLAPGTVVPVKT SVSFKPADGSPELKLLVNGEPESVDEERASTILQHEDLEIVVDLGGGASGEAGKGGEE AVYWFCDFSHEYVTINGDYRT TRV_03333 MLASNRGTLMELGITPIISSGMVFQVIHITLSIVALLAGTQLID VNLDLKTDRELYQTAQKLFAIILSFGQACVYVLTGLYGQPSDLGAGVCVLLIVQLVVA GLVVILLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGPEFEGAVIAL FHLLLTWPDKQRALYEAFYRQNLPNIMNLIATLVVFAAVIYLQGFRVEIPVKSSRQRG VRGSYPVRLFYTSNMPIMLQSALCSNVFLVSQMLYSRFSDNILVKLLGVWEPREGGSA QLYASSGIAYYMSPPFNFKEALLDPVHTVCYLIFMLVACALFSKTWIEVSGSAPRDVA KQLKDQGLVMAGHREQSMYKELKRIIPTAAAFGGACIGALSVASDLMGALGSGTGILL AVTIIYGYFEIAAREDDFGAGLKGLVPGN TRV_03334 MTKFVGRCLSLLRKKEGSGGCGSLNHALKYSFQTWCQAGALHED KCPSRAAFCSDSLLSGSGGINTFVARYRKEYQTTDGVRKLGDQLNNLQIALFDALSGL FGYKEIAKKYGHEAIKACKACIMPLSLQPPHTNAS TRV_03335 MATKGRHRDKWHHWASSPRHAPTSTVRSLVSRMKSKLSPPDSTK MGAADSICEEPSPRDMSTCSKGEIETSEESPCVEGVPSTPAISTASSSVDIGNSTMVS PFSPPDRACSTTPSEERCTKQDVQGSSGSPSPSADVDGDDVFGPSEPESQGEPEDDQV PEETGEIGNAAEVEVGSNEKVPVEEDLEEDLDYATDTGASSEFKEIPREDSVDDEGYS DKEADEGGKKEDGGNEVQEEEEEMIPSREVDFLLENAEVTRRNDLAALQDEFDDEIRY LANERNQLLTEKMFWQTKFVTAIKGREKQRSRASSLTEDNSRMDAINKMLQREMGLIQ DIIDKQYKEMSEIKSNCLGTVAQLTQACEVTKTYIDKAKEENMKTAKELAILRKVVMK IRQPSKKLTEFSMHHDFKQALEVNQELKSKTEAQQEELDKLQAQLAERDAKIENLQAS NTKLEEQLDDLETFNSKYMNKLEEASRSLTYYQKSLLQARDKETQLNDRLATALGEWA SKKKKFKNELLECRAKLQLKDTMINALHGQSSIYLENWKETINMLSEKSRGDELSVKL ADCLQETLDRNEALEIELGELREKTLTVLNQSLS TRV_03336 MGPPQSTASRPKSYLSSIIRSIINIIKALIINAYTAIHASLDAV LPPPKRAEIRSQLASFARERPILASFLASQLLFCGVPLVLFVVQVVSVLVFAFSTALV LASLCALTFTAVCTGLALLVLVPVLAVTAFVGVSVWFWGWVGWWALVSFGIVQTEPST GRVRSEGAVLAGSQGQARVDGFNGVKAPRDGVPLGIPTS TRV_03337 MSHIRHCPEFQLARSDSKNPATTCQGLTADGRRCRRTVIPTASS KQDDFQRGRGGGGRGDHITIETPGDLFCWQHKTQGEAASSLSRQRPARVLRPRSSLDT LIERVGMLSVDEVHSVRGSAGILGVNADRNGASGRRSADASYRRAEQVAGIATLHVPK APKKKSKQRMKFVCFFAPLDSDDEHHPVPRRSSHVRPAPNLGDPVPQPLPNSSGRRHA RLDHERDSHRHNRSSWEEYTPPRRKQLPPTVEEPSPSNNQPLRHSLSARRGPSQTEYL LSWIPSSLSPDTTSKLLQRLAEPLSPADEAGYIYIYCVTPRDSQPQADEIASLIPSSP DRHEVRRRRTSDIMSSAGIAPTSRITRHDPAGRRTATTITLKIGRAVNVCRRLTQQCS HNLTLIRYYPYHPSSGAASLHLPQKAPNVHRLERLVHLELADIRVKPGQPCEECGRKH QEYFEIEASREQLRRVDECVRRWVEYSQLNPTS TRV_03338 MRILSSPPTNGSLFSRTASRCILASLVCYILLVQYCRIRSHRDP TSAFFDAREGYKSIYSGFRASKGANFIRYIDAVASSTTNVTTNATTALKPPPGNRNAS LCVGIASIARKDVSYIEATVGSLLMDLGPREREDLYLIIFIPHVDPTIHPSFESKWLS AMADRVLLYNVTTAELDHLRELEREGGLFREKGLFDYVYLLKACQEIGAPHTIMVEDD VLAMDGWYHRTKRALIDADQQTSRLGASQYLYLRLFYTQGLLGWNSEEWFIYLLCSVA VIAALWGTLVTTRRYVPRSNSLLTNKAVLVICFIYGPLCIVLFFASGRLSMLPIPSGV HQMPRFGCCSQALVFPHNRVPDVVSWYEKKKIGFADSLLEEYANINNEIRWALTPSVF QHIGAKSSKDGSGDDVAKQIWNPDFELNDPITLQAEHNEATKPAKSMQ TRV_03339 MPLPGQRRTYFVPANFDNPPPPDGPIKLGQLVVQPENPGRPVDP AGPLAFEDFEGMKRYTNSTKFSLQDQNQMASEGGLFGRALQAVSVKLGVSFSSSAVSY VLNEIETLDVEFIEPTDDYVKASMDRPRVKAALKKQFFKKRLYMVTGIKTAYPGAKLD RKDAFSASLAGESEASGPQGTGAVGGNAKFSASELRALALVPARPFVYGYRLQECYYR IRSLNHREYVDGALFGVNKQSTSDKEVEMREEDIDIEFNFIDGKDLCDLEAEDDETEF EIVSLVDEHDGSACDVIMPLAA TRV_03340 MSWGFGSEYDVVASAIRKASEKRKIMLVAESNAGANIPKLIFPA NMPEVICINSTDGYGKVSNFNPPPRKGHYNFCTLGEAVLDAWRPSDSSGLRGRDTGTS FATPLAAAIAASFIEFFRQDKFGRLEIADSLKNEVRTREGMLKIFVAISENFQGFNYL RPWSLLECRGDCEDRCVEARESAGRKLSNILHDAVPSPADCEMLPNAPILAHAVAADV RALAHEHEPTCLAGTRVELFRQIEAWVDGTPEKCICWLSGRLGTGKSTISRTIALQYS KQGQLGGTFFFSKNEKNLRTLSKFVSSLAHQLSGLSPSLRVAISTEKRHNTEVEQLAM GIQWEKLICNPLSLLNPRHSPLIMVIDALDECEGLSKRQVMGIINLFVNAAALKNIRL RFLITSRPESHIQAAFKDSSQNVYHIELDKLDKSTVDLDISQMFRGELGNLHTEKRGV EAHWPSEESISRLTERANGLFIYAAITCRYIKEPGATSMNDRLTRIFQNQAFDSLDNM YDHILTQAVTGPEKEVMVEQFRMILGTIVALFEPIPETSLCELCPKRLELEVVCSRLD SLHSILVIPESPKEPVHISHQSFREFLLRKREENRGIWINEQMHRDLFTSCIRLLSSN SQYGLRRDICSLRHPGIEINQISRTRIEEYLSIHTAYASRYWIYHLKELDSLQRQDVG LRDNGPVHIFLLDHLLHWLEALSLLGESSEGMRTIQLLSSMINANVSPQLYAFVEDAK RLIRYNRAIIEQAPLQVYCSALVFAPEQSLLRKHFAKDLPNWIVRYPKVQPKWTLLRQ TLGNLAGKPSQARHFISPAFSKDGTLITSISGPATEVKSFRVWDTTTGALLNTIEHLK DADFAPSEKLVVSVNFSNTVEISDAVTGTVTRVLETTGHGNSAIHSVSFSPDGKLVIV WAAQMLVQIWDVESGTMLKTLERCHCPNNFGTPRHLAFSSNNKLIGASIMGGHPETWS MTCIWNAEDGSVVYQLKGCYTYTFSPDSKLIALIIAGKTRILDLKKRSVLYTIDACTN VAFSLDGKLALAQAEPEILGATQISFRVAATGKPTGLTIYLKGSSTPDTELRHMDVDH MVFSPDGKLLATYNWACYLRLWDLASGELVELFKNSNSVSFSPDGTVMASGSPDNLIR IWDMPTPTPAHFIPILNKAKDSIKRLWSAPAPEEKIASAYGGNIFALVLSPDGKLAAS GGCGLDATGAPVVIWDVPSGVPLQQSKLNYSIGKNILFWPSDKRLVSADAYGWLVTLD TTSLEELPMIDKSHRLVLNMAISPNEGMVLVALDTYPPELMHEEYHIYDFNTGKLLLE LNGRHAKVEALTFSPDSRLIATGSSRTVKVWSTDTGEMLREYKTMDICQALAFSIDGE KLLMVSGPRQKQYLQEVITSTTLCELPDCNIWQLLPSSVRDDIFFTNCGPLQIPTSND ATLANSDIHSLFVTNSWIMYDGCNVLWVPHAYRPSRLIVRDNLAVFGLAGKVEIMEFD FSGMPRSTDYQSNVCLPEQNIPPVESYI TRV_03341 MARTLLAFQHHAKLYTLQTLVTAMRPFAALEEANRQLFKQGSEE DHVVVTEKTIFHPQGGGQPSDIGMITSPSGSSFTVTSVRMDALQDGQVLHFGRFGPST FAPGEMVQQEIDVEKRLLYSRLHTAGHVLGAAVKHLVEKEVEGFEELKASHFPDSAAC EFRGLIEGKWKPSIQDKVNEFIAAAMPVEIEWWDEDDFRSRGLERLIPDPTLVPPGEK SRVVNIVGAEVYPCGGTHVDSTDLCGQTTVKKISRSKGTSRVSYTVA TRV_03342 MAILLTGGTGKTSIRLARFLQNANIPFLLASRRGSSAAPVGMPA VKFDWLDKETWKAPFGGKPISAIYLMEPLVAEPWKPMIEFIEYTRNVHGVTRFVLLAG TSTDLSRPGMGVVWKHFLETGVDHCVLRPSWFMENLTDEAPGPLIREYSKIYTACGDG KIPFVSAIDIAAVAFRALTDPKSHNCDYRILGPELLTYDEVAEKLSAHLGRRIEHVKL SGDERYKGLTDAGVSDYFARFLTNLEVAAADGFETHMNNTVEEVRAGHPGAWTTLLMK IYIRGSRYN TRV_03343 MVQLRHHQRCEDLVEWRTNAHSIQPDDTIKEETGNIQHVIAENN NDTIPRVSSEARKTTTIQAKCVVGSDGAHSWVRHQLGIPMEGTSTDAVWGVIDAVFIT DFPRAGCTS TRV_03344 MRFSQVITAALLVACASAQQLNKGETCTSNRNCEARCGGGEFSI SSGKFVCSNDNANNEKVFSCLGCTNLPESNADRISEICTEVTSEGDKDIYCIIENEGF ASHCEYYNGELKKVKELSTYDEAVDACKKASGK TRV_03345 MTTEHDNGIKCIFAWPGIDEYLSARYLEYNISKDVVEGGGVWAL ENVSGIGIEFEKLRMRITMEEKVKMMKELGAVFYPDPRDCPSLADLYPARDVYPKTPI GRTING TRV_03346 MVVFFLRFNFTLNFNGLKLATPLHSISESADTSKDVEDAVQTMA SGGAVIRGFYHSCCLHCYLRALVDRIGVSPDDAIFGYIADRTRSPKGPFIAGLLALAL STALFMLARSPALFVIARGLQGFSGAAVWVAGLALVVDTVDDSRVAEAMGYTTMGLSL GSLLGPAAGGVLYDKLGFYGAFYVPIGLIILDVILRVILIEPSATKQWKVMESDEHSP LLQPPTPNTNDSNKPNSTFQIFHLLGQRRLLVALLGGLVGALTFSAFETTLPLFLIES FHWSSSSIGLVFFIMAIPGMAGALVGKLVDRCGPRVSATVSFTIAGVTLIPLRFVHHP VLAEYSLLIGLLATNGLAICAASLAAMSEVFEVVYSPESSGASNNANPVAQGYALYNM AFAGGQLVGPILGGFLKDRLGWNTMTLVIALVSGATAVASALYAGEQAPYDELIGPEE VTDA TRV_03347 MAEVSEKPNTQDDGVSKQENRNPASSASSTSDKEKATKKGNSDA TKSSTPEDLDAQLAHLPEHEREVLKQQLFIPEVKATYGTLFRYATRNDMIFLAIVSLA SIAAGAALPLFTVLFGSLAGTFRDIALHRITYDEFNSILTRNSLYFVYLGIAQLILLY ISTVGFIYVGEHITQKIRAKYLHAILRQNIGFFDKLGAGEVTTRITADTNLIQDGISE KVGLTLTALSTFFSAFIIGYVRYWKLALICSSTIVAMVVVMGGISRFVVKSGRMTLVS YGEGGTVAEEVISSIRNATAFGTQEKLARQYEVHLKEARKWGRRLQMMLGIMFGSMMA IMYSNYGLGFWMGSRFLVGGETDLSAIINILLAIVIGSFSIGNVAPNTQAFASAISAG AKIFSTIDRVSAIDPGSDEGDTIENVEGTIEFRGIKHIYPSRPEVVVMEDINLVVPKG KTTALVGPSGSGKSTVVGLLERFYNPVAGSVLLDGRDIKTLNLRWLRQQISLVSQEPT LFGTTIFENIRLGLIGSPMENESEEQIKERIVSAAKEANAHDFIMGLPDGYATDVGQR GFLLSGGQKQRIAIARAIVSDPKILLLDEATSALDTKSEGVVQAALDAASRGRTTIVI AHRLSTIKSADNIVVIVGGRIAEQGTHDELVDKKGTYLQLVEAQRINEERAEESEDEA VLEKEKEISRQISVPAKSVNSGKYADEDVEANLGRIDTKKSLSSVILSQKRGQEKETE YSLGTLIRFIAGFNKPERLIMLCGFFFAVLSGAGQPVQSVFFAKGITTLSLPPSLYGK LREDANFWSLMFFMLGLVQLITQSAQGIIFALCSESLIYRARSKSFRAMLRQDIAFFD LPENSTGALTSFLSTETKHLSGVSGATLGTILMVSTTLIVALTVALAFGWKLALVCIS TVPVLLLCGFYRFWILAQFQTRAKKAYESSASYACEATSSIRTVASLTRENGVMEIYE GQLNDQAKKSLRSVAKSSLLYAASQSFSFFCLALGFWYGGGLLGKGEYNSFQFFLCIS CVIFGSQSAGIVFSFSPDMGKAKSAAADFKKLFDRVPTIDIESPDGERLETVEGTIEF RDVHFRYPTRPEQPVLRGLNLTVKPGQYVALVGPSGCGKSTTIALVERFYDTLSGGVY IDGKDISRLNVNSYRSHLALVSQEPTLYQGTIRDNVLLGVDRDDVPDEQVFAACKAAN IYDFIMSLPDGFATVVGSKGSMLSGGQKQRIAIARALIRDPKVLLLDEATSALDSESE KVVQAALDAAAKGRTTIAVAHRLSTIQKADVIYVFDQGRIVESGTHHELLQNKGRYYE LVHMQSLEKTH TRV_03348 MIQHLPLPSLTFFHLLPSFIIDFSSSSSSSSSSSSSSFHHRLFF SAYKEKTALFPFRPPSLFFFFFSSSSTPTKRTNNTRNNNDNDNDNTDNNNNNTRHQAA DKADSSSLSSDLPLVAGSIKNHSVRLAFPSSSPTPPTTIHTASFKNCLPGNTHTHTTL QHSSTPPEHSSFSPRSIMASTATNKITLTSSDGVEVTIERQVAERSILIKNMLEDLGD SGEPIPIPNVNESVLKKVIEWCEHHKGDPPSTGDDDVDSRRKTTDIDEWDQKFMQVDQ EMLFEIILAANYLDIKALLDVGCKTVANMIKGKSPEEIRKTFNIQNDFTPEEEDQIRR ENEWAEE TRV_03349 MDVAWAEGEVDAHQQEQEICPPPHAAVQLAGHAGQTDKQTDRQA DRQTSRQTDKQTDRQADRQTSRQTDKQTDRQADRQTSRQGDKEKRRKNGQQREDGEDA GSERRRRKEDGIRTANDKDSSHQIARRKLFTEIEGDGQQLNRILFLRP TRV_03350 MTLLKWLWAETGSDIPNPPPQLVYHVLRLTMFLLSLILEDWAIH ELVPNPRRRRQAVVLVASCYVTWTYQTHTFSNSLETLLVLWSLVLIQRIVENKVGSNY AMVYINIYIYIGADMTSSIGRRPLSLISLAFFGLLFSFFAIAIDTAFYTLPDSLSAFI RNPTITPLNNLLYNSDSSNLASHGLHPRYNHLLVNLPLLLGPAYLVSIWSLFTRGMVS PTFHTRNTRAYSALFGALIISLFPHQEARFLLPCVPLLLTCFKPPRSRTFLAGWIVFN CTLGALMGIYHQGGVVPAQIHIPTLLSDSLQPSQQPPVNVSVLWWKTYSPPYWLVGDG SSMGAFSITTHDLKGMASGEFINRTVSSIPRCTRDSNPFEFHQGLPKVKVEGGDMTLL VAPRSASFLDRFVVPDGGEDESTDVPSREIRLHKLWQYDRHVNMDDFDPGDDGLWGAV ERVFGRHGL TRV_03314 MKCTRDPDSASCNRCKRKSLSCFYESHRRGRKPGTKLRPKAERL KAAGLPALLSSAPPPDTSSAVTPEEVIQTSIDTRSPKRIKSDTSFSSPDLPDKPIQPA FTSENPLPTKLASITQLTHPLSDSLAPFALLKKSSTPGNFSIANILNAENESPAEEPS RWKDCLANDAPIDQNDPVLQNLINLPIAQGLFDSFFKYMNPFICQFDPVLHTVRYVRN RSPFLFTALLSVAAKVFCPAIYTKLHEHSEKLLHNIMGSGEKSLEIIQGVCLLTHWKE SNDTRAWMFIGYAIRGGLELGLNKLKPSILDKPMQLASGFEEEMELREQRSKERTWLV LFIYDRSLSLQLGMPSMITMDPLIRNVQNWHQHAYATPGIDEMVTALTQLRIIGFELL DLFWLDPLHTSPEFMKKDEFILRLCNNELDRWEARWHLIVDEGEIHFNLPPVCLGSKL TYFEADATLAQRFLVRFYGYHLRLLLHSFQLQLSIANGSASKPALWILLSFPGELPGE AESKVLDYILEASEYFGRQSPTDNTSCHYQSQFLANVVAQYRASRASPASSVKRPASK ELCDLMQETTSGADAKTQVNLSPIAVPPLHQTSLPSPFQTSQQQQQQQQQQEDGLSQP QQPQQQQQQQQHLTPLNHHLQVTSHLQNDLFSSSNTPPPIPMHMPLNDPLHNPHHDQQ TLPLIHPDHNQQLLACARPLLPPSNIECTISGSINSGMMSSGRIFASPSLHPSSTHQA NSNNTGTMMGSGGTASASGIPVTSSPGPAGNAPNSSDSNDGYDNYTPTNAQNVAPFSN TGTWENLFAHAGFNINGGAFLPNPGSS TRV_03315 MDSAPSWQWPEAASTADSRAGGSAEPEREETGGQPAPEPKRYPH RTCRICLESVPPTTHLPSDSFPSFLQSKIRVTYESSDPELGRLLRPCKCKGSSRYVHE GCLKLWRNADPAYGRRNYWQCPTCGFEYRLERMTWAKWINSQVAQLTLTVGILLFTIF LLGFVADPIINIYLDPLDTITGDLWDDGTDGLGAMDARSTWFEHLMKGLASLGVLSFL KVVLAASPWQWWNLRNSGLLGGSGRRSANTGRSRAASVSWIVLAIGVATFLWGVYKGV RAWSCRVLETAGQRVMDVPLDDDDEDDAEDEDGREERASSASRRARSRKND TRV_03316 MLRDQANLGGVEYALNAVNQGVTALGIKATNGVVLATEKKSTSP LVDPPSLSKVSLITPDIGMVYSGMGPDYRVLVDKARKTSHTNYKRIYNEYPPTRILVQ DVARVVQEATQSGGVRPYGVSLLIAGWDDGIEPETAEAKEGETDAEKKKASGKTGGIL KGGPSLYQVDPSGSYFPWKATAIGKSSTSAKTFLEKRYTEGLELEDAVHIALLTLKET IEGEMNGDTIEIGIVGPPAHHLLGYEGVEGARGPRFRKLSKEEIEDYLTNL TRV_03317 MAAAKPELPGQMLALEEHKRGRKRPRSSSGSNGMPQNEAARKAS LEGLEDALGSIRPRGYQREMLEASIKQNIIVAMDTGSGKTQIAILRIRHELERCSNDK LVWFLAPRVPLAEQQYRAISEQLPAYQTKILTGADNLERWSTQQIWDAFLLNTRIVVS TPQILLDVLSNGFITLRRIALLVFDEAHHCVKASPENKIMRNFYHARIDQLSETNDLP SILGLTASPTSKLIEDSLSRQCECSLLMESRQLEQNLDAFCKTPAIHREEMMQYVHIP ELRKISYQKDSIIPHNMKVKFLHMLDDIDIESDPFFQRYKGKTDSKSTERFLRALARK TPGLDQLKRCFTKVSHMYGELGHWASSAFISEIYRRTREERAKLVDHSWSEWDRDDSS FMCNALEPVVAIMGERCWNSTPDAVSQKVEHLVDLLSSELTGSSRGIIFVEQRATAVM LSHLISRYPELAHIKSDYFLGNSAFSARKADLTELSKPGDMKNSIDDLKSGKKNLLVA TSVLEEGIDVSACDLVVCFDPPKQLRSFVQRRGRARKKSSKFVIFYAEDDTATYKDWE AMEDIMKERYLSNKEFIDRLREEDDEEEVEYESLRIESTGYGVTPGLLLWDCLLTIYY TVRALLTLENARAHLSHFCSTIPCEFTDTQPDFIISKTGIKDMLTAKVLLPTVLDLQF REFEGIQAWKREKMAKRDAAFQAYLQLYKASLVNDHLMPEHCRTTDEETAHIEKRSSM TTCSEVFNPWKMVADRWHSTDTFYQSSIVISSGTEELPKMILILPIPLPCDFTVKLFW NETSTLSASVSPQELTVSDEDIVSAPLATHILLSSVFPSRMNGTSRDFSCIFVPELAR GHGGHESWCTKVNKSILGRDIESGGLGSIGDFGLARRTDIYARAWVAERFVWMKRVRE EDDSESVEDEEEEGEILHVEGEKWPKRADFLHPVANSTGSKPHHTARNCIPARQSCIS MLPVGFAKFALFIPSLIHTIGRYLLAEELSKTILSPIGFQNIQLVLTAITATSAREDT NYQRLEFLGDSALKLHASMQLLAEHPLWHEGILSKKKDSIVSNSRLWKAAVDTGLDQF ILTTCFTGAKWRPLYNSTYTNNAGKIGEKSTRKLSTKTLADVVESLIGVAIIDGGENK VLRCLELFLPEINWLPSGERIEILYDSAPDFNDDCPRDILSKIEPLIDYSFTKKALLA ASLSHPSNPISGMTYQRLEFLGDSILDNIVTRALFRCKREIPHQDMHLIRTALVNADF LAFLCMNTSTDETREDVCVSPTGEVEVTSSTRQVSLWQYMSHSSTDIVETQQAMARTF EELRDQIENALRSGARYPWTLLSTLNAPKFFSDIIESILGAIFIDSRGSILACQKFLT RIGLMDYLQRVLEDDGIDFMHPKQRLGVVAQSLSVQYVVSEVHVKPGVTQWKCQVLVG DEEISRVDDGVSQNQARAKAADLALEVLRTKN TRV_03318 MIVSICTSQSMPSGSSIDSTDRSPHVYSSRPKILHQNDGGPVVA LPAPFPDHDETLDPFALKCIFAGIFECDKSFEDPEDWKLHVTGHLMSHQPPSKVSCIV CKQVFSNPQVQPVQLQIEMPNQQHQQQQQQQQPVGGEAWQNMLDHILAEHPRWGACGQ VEPCPDFDLMRHMYCMGIISTEQFKLLQLTRETPPHLPQNGSAAEPYFIQTSSRRERR QRRPRLSNAGSRSKIHL TRV_03319 MAPSKQIFKCIGIVGAGNMGATMAFGFAERGIKVSLWDAKAENV RNVMDKVEGEKGVEENIRPFYDIHDFVKSVDVAIDQDGRGRRPFIFSITHGWPADCVL ASMMDDLEDGDIILDGGNENYRNTERRQEEMEKQGVSWIGMGVSGGNHSTRPGPSLAL GGDSDAIRAVMPLMKEFAAQDARTGESCVANVGTRGAGHYVKMVHNAIENGMLSTICE AWALLHYGLGKSYDEIGDIFENWNSEGPLRNTFLVQIGASICREKKKPQKDSDKSQAA DKPYILDDMPDAVRHADDDDTAGTLYWSVMEAADRHVSAPSIAAGQFLRVSSVNRRQR LKVAQKLGIAPPKRLTHIHDVPTFVEKLRDAAYISILASFCQGLELIARGSKDEKWNT DLGDCLKIWRAGSIIQAEYISDLLMPALTSGAHIMNMKLVDEVAAAMNKNFDSLKQVV LKGTESDAYIPSLSASLEYLKYEGAKMLPTQFMEAELSFFNAHRYDWPGIRG TRV_03320 MPQPSFATCTEVGPACPVVATTYGYYPQLGSNAFLTAFFAFLAL VQLGLGVFTRTWSFLIALFMACALEAIGYGGRLLMNKNPWSKDAFQIQIVCLILAPTF LAAGVYLTIKHIVIFVGPEHSRIKPKLYTWVFILCDIGSLILQSVGGGVAAAATKSNY KLLNVGNGIIIAGIAFQVATMSVCGLLALEFFIRAFRSGKGFSSSGLPHGAAARKSFW IFCAADAFAYTAILIRCIYRLPEMAGGWGNPLMRNEKDFLLLDGMMIALGAATFTIFH PGFWFPPMRKGGAGSKDLQGIPPSEGTSVNDAAEK TRV_03321 MDGIFGLAALHIASSAKHPSEIVSYFDAALRYHTLASSPFREAL NNITPANCEAVFAFAIITTVFTFSSTQIAPGGRESGTVLEDVIAIFELLQGIKGIFSV SEGWLEIGWFSSSIRIESEDVPVNNEPGTEIAFRKLMAFTDETLASANAEEYNVFKRL VHKLELCFSIFREKQDQSLVLSWLSMLDKNTVCEARRGNPLVLLLFMHWAVLMHLMEP RTWWAKGLGAGLVAELLTRFPSDPRLDEMTRWPREKVNLRPIKLLT TRV_03322 MENFPTLEQAARGRQGDPMRHPQGGGHHGPSPPSNPQQQQPQQL QQPQIPPASAIPLLEPQGPPQLPPQLFTTAAQLLDLTDKKLVLVLRDGRKLIGVLRSW DQFANIVLQDTIERLYAENLYADIPRGVFLVRGENVLLLGEIVRQCPCKDTSLQPLIM SPMQDLDKDDDIPEPYRQAPASEVLKLKKQAEERRKRKDKRRSTHLQALGFEPEHSGE ILF TRV_03323 MASLHEKLDKIKSPKLQNQHHTGVVLSAVEDTLRDQNAEFTATA YFAALLALLPQSINTTQGIVNKELATSVVYLLDTVSAFVPAPLLRSKFAQILGSLVPA LTLAEVEAPLLKSSVGCLQNLLIAQDAAAWALPASEIGPRRAIAGMLALAVDHRPKVR KRVQDALIQILRQPPPSPSLDHPAADLCAETALRTLNDSVTATLKAQGRKGKHQPDHH QQQQQHHEPAVSHALQLVKAIAAASGGWPSRKIEPLCELLMNVARSSNEYLTMGTFEV FEVISTGMADEFTSSKLPRLLDAISELKPAHNDSQLLPPWIAVLSRGYDVSAQVSAQE TFEKLPELFDLFASFLTSPSRNIRTSASEGMISLLVACVPESVLLEASVYDEKIIERL ARSATGLLAVKYQVARSEVFNVLEAMFNALQWKSSPVMNEVVKIVGDLRANENFQGKK EAEKVLGAAINVMGPKAVLDIIPLNIVNQKAGQPGRVWLLPILRDHVANTSLAYFRSE FVPLSEALYQRVMDYGDAEKTVEVKIFETLVQQTWACLPGFCELPLDMREAFDQPFAE LLSNVLYKQAELRVDVCKALQNLVESNQAITSVESETEDLVLQRRVTREEARKNIQHL SGFAGNMLAVLFNVYSQTLPQFRGYILQCINAYLSITGEQELIETFGRVTSMLESALE EEANASSKPSTGRKDKMPPTSHTLMDLIVTMSIYLPRSSFATLFSLASVVLNKSSSDP QLIKKAYKLIPRLAGTEAGRAALSERSSELQALILSTADKTPAPAHRDRLLAIHEIIT HLPTEDLHFIPGILSEVVLGCKESNEKARTAAFALLVHTSQRMIDSERNPPGTKIRNS LVAHMPDDAPDAPATIEELFTMVSAGLAGSSPHMVAASVTAMSRLLFEYHSQLPTSMQ SDLVQTIELFLTSNNREIVRSVLGFVKVAVVALPDDLLKPRLPSAVPKLMMWSKEHKG RLRSKVKGILDRLIRKFGAAFVEGLVEESDRKLVVSIRKERERQKRKKEAKHDEDEED TQQQQHVRGQQSAQAGEKSFSNEFDKAVYGSDSDFMSGDESDASEIEIDSAGNTTKRA ISSREKGSSRRRTGAGAGDTGEQYIRELSPESNPLDLLAPDALASISSTKPSVRFLAS KHKQKRRPRMDEDGKLLLGEHDDEMDISASGAGAESGVNAYVTAVSGPDALRKGQKGR LRASQSKKGDDDDDMEMGMDVEMDVDDVEKSNNARGQGQAGRGKAKGLAAKSGRRGLG MGKERGSPGGSGRIEKRRRDSRGAGGAGGRGRVGR TRV_03324 GMSYTPSYANDEHRRIAAAALRLSRLHPPASVSSSGAPEPDGAV RVPQAAYILDAGCMDFPVDIEVVDADADADAADVDADTDRDAEDWRRIKMLAADSTGS TEGSQLRTDLLSHSHSHSLSHSRRSHQSHRSRLSQQSRRHSSQADHQDAAGRDHEEEE EEEEEEEEHEYEEEEYDEEADEDELEPGCSHENAFFVLLRISFCLPPFTIFAALYAFI SLIFLLLAIPLRLCPPSHFFKPPTSLTEQVCRLLVPLLRLNRRTVTSPTNNNHDHGRS SSRSSSSHHHRHDHHNNHHAKRSRRHYSTHSSLPASALTSPRQSYTISTSTPVSASFS SSTIPTQPVTATDSASIPASTTTPAAAAADSSASRLYANYSAPMLVFVHLLSPLLLPP TLLAAWICACFWIFVMIMGNPDGKEKTDDGRAAVLAVRNWWCLWLASARRKRRKRDPE AGAV TRV_03302 MASDPPEEALHFRGKTLTPESPRPLHIAEPSNIPLLQNQMDASY HDTSALETVPEVKEHTLNPVLVEQGLQRPAVGDQMQMVDQTYAMLQSGLEQMNASAAG SVSGITGSLPGSNAKTESMLSENESILASTTRDEVLTNSLSSSVPHPNPTAEPDLQHH QTNPDTAAHTHMVGTVENNSITAAHLAPTYPATQGVAPLYGAYQHQQQPPPVRSTPAT GYPLASAQNPGDVNSDISQSSLLDTAAAYQSMLGSNVPSSASYITTAVTTAAAAAAAT TTTMPTSAAHATEPISQPDHTLSDIAVSNMPISYASTYPSRPLATSHENEPMQTSHLD TSPDTSPSKETLQSDKRNNLPQRSAAMDASASLSSSLSSPEVLQQVPPKSHTRKVSNT SRKRDSYDENIESTKGDPQEDTPWGPDIQKKYDDFLRDERIYVTEAHWDRFPAGSRLF IGNLPTERVTKRDLFHIFHMHGKLAQISIKQAYGFIQFLEPDSCRKALQAQQGSTIRG RKIQNRHLEVAVQLRTDMIGVPEDWQSAISEKRTHTGTVIEVHDLLHPEAHAETRITP ENAVLIDMILERGGGQGPDHLGLEMHAIVALVQGTKGMTANLTCQFQGEIRVTSRRSR LLFWKMQTSWSTPFTQVFRCQRANRFTSEFVYRVENAFRDRGLRCDVLILSPRIRLSA VVRRQVMEGVLAIVRISRANQYSGKIPLQIFDRSGGMDNVRFNEYSELDLSLAAEVVV HARNSQKPNPIQLQPPLLPAVLPPQPIPQPVPAQSDQAAIANLLSNLDSSTLHTLLSS LNQQQQLQAQQAQQQNRQFHSLPVPVTAPPLNSTNLAALLGNLTRPGNANPPSVPTTT APGTHFVPPPNPIPHPNTNLASLLPKGPNPGQNGPLPQPQANMQVQQIMEQLMKWKQ TRV_03303 MAGAVDGRDDSQEHLRGFIQPVQRAWRPECEQADESRKQSQNLN ACFDKLTSMIAEAGRVAVPGETSPEQKKKVQKLYVIDTEKTTKVIKKTTANGYYRQRA ANEARIRMKKEHSNKKSSRKGRSDE TRV_03304 MSASDKARYYLEQLVPELREFKKKKIFTEEEISSITKKRSDFEH RINARGPSPADYARYAEYEMNLDALRKKRIKRLGVKAPMHTGQRRVFFILDRATRKFH GDVSLWQQYIDYARKQKAYKKLAQIFTNALRLHPREVDLWIYAAQHSLQDHGDMMQAR GYMQRGLRFCQSSRQLWLQYAKLEMIYIAKIGARQRILGLDKVEERDGIDEQNDDEGA DMMMLPRLTGEDINSTLEGDEPDQKSLETLNATPALSGAIPIAVFNAAMNQFDNDAGF AYDFYHMLLEFEECACLRRVLGHVVDSLITAHPANAKAQECHIRYPVAGINPCSPEFP RAFSLSLGRLKKHMKDDNPVLAQLAPPVTSWLQRLLETESLDPALQKVIQVTLKSVGR QMESCRIS TRV_03305 MAPKFKDGDTVFSFNGKWISWSHTVVGYAAFLGALVVGLWLHYH KIVQNEHYGYPDEWFPSVSATIGDRYPERSVFQIFIAITSGPRFALVFLWYILTARPN SVLPKAVLGVGIFRTLTCGGWTYVTSTDDHGWHDIFMIAYLVATLPWTLGCLALSPPN PRALKYRRMFAGLFFATLVPLVYFFIQHKVHKIPGAYTTYAFFEWALILFDVAFDAVT ALDFEGFEITVKDIKGISRGTSKSDAAIEKEHGVPLGERFFLIEIFDAAADIYNGFVF WSTLTSLGVVVWCKLIITITRGFELTPYLDFPLWNMGISGYEAFVMVSISPLLLAMPA FRSFAVRNVKLLHLLSLSGLLAYQVQYPSYRLFLVGFSVAMGCLTWAAIFYGERSQPG RLETRVTAWTVGLLASSLMKYACHTNNPAWPIVNEKNGGWNKTVLVLALIAMLRPSRR NYVSGDYMTSSNGRKGSSFLSALGFGGLTFAMHSLLSDSSTMILWVWEGFPVRGPIAV PHGSLTLLAMAAGVYLGVTYPRLAGSWTAFGLGSVGAALLTGNSHWLGFYGGLILAVY VMAVAPVLISSGVKHSPATVFGLGFFVYNFMVLFHVWIVAYAFVPGGPLVRERTDWVM TSMMLLIGAGVFSSSVSQTTSSAKYRKPVVNARKQRTYYFYVLGALQIFSFAVGFMRF PTNDYTPYHKDEKVMTAGIWTVHFSLDNDMWSSERRMRDAIKELELDVVGLLESDLQR IIMGNRDTTQFLAEDLGMYVDYGPGPNKHTWGCALLSKFPILNSTHHLLPSPVGELAP AIHATLDMYGEQVDVVVFHSGQEEDPEDRRLQSEYLSKLMGSSNRPMILLSYLVTKPL EGNYNTYVSEQSGMKDIDPTDWDRWCEYILYKNIRKIGYARVSRGTITDTELQVGKFA VGQPEGKGERISEDQVPVGMRFPAMFRGEGVRGHRYHVFDEPRYYN TRV_03306 MDRPPNVPKSRMPLWLGLAALGAGGYYLYSAGGDPKRATRKFED DASRALHGNRPTPSTGAERTAAQAGAKLDDAYDSVRGTARKIDEGAVERAKQGMDKID KASHETAKGITSKLEEFDKSVEEKAAEAKSGLSSWLGGSKK TRV_03307 MAVKTRRATTTRASTARLPAKQPGITAFSRVGKAGTRTASSKET LSLKRKIEEPALGDSELVQQISPSDEKKPAKRSKVESTTEKDEPSAQPHVEYSPDAST ERASVPSSPTDSSHSERPSEFLDLVDLNSAFLSALSLHFAHNGAMAPANIRDLLSSTE KIWNKRKVALQDIQRVLHIQGLCRSSSQPQSGFILTSYGPNTFVERKGGALSSKSKST SMLNDKALKAEFLANLESYCDDKGDNDKKPSQDIFRTIPLAPIHASKGSVKAVRGQKT IEELFGSLKSKPMLTREPYTKQPTPLKESTSTNSRRNGLLERMKMKALRQSKLPPPPS KDVVLKQTALGRISEVINVLLLLSPLSCKIDDAMHNSTALRKSYTMDQIIQRIEDSMR TPASREEIETCIEILSQSKVAKDWVTIVKTSQMKSVVLRSDRRPSPAEITNESMSLKF TRV_03308 MFTFTPLLGAQSTGSKASQSILELDGGVKILVDVGWDESFDTSV LKELERHIPTLSLILLTHATPSHLGAFVHCCRAYPLFTQIPIYATIPVIAFGRTYLQN LYASAPLAATFLPSTSVTASDPSSGLTIQSATSSAQGPSGYENTGSGRILLPPPTNED IARYFSLIHPLKYSQPLQPLPSPFSPPLNGLTITAYNAGHTVGGTIWHIQHGMESIVY AVDWSQARENVIAGAAWFGSSIGSGTEVIEQLRKPTALICSASGGDKFALPGGRKKRD GLLLDMIRSCAAKGGTVLLPTDSSARVLEIAYVLEHAWREAADSEDSNDPLKNTPLYL AGKKAHGTMRLARSMLEWMDENIVREFEGNDGVEATTGKAAGGASNQPSKGAQSQKSA TGQKSLGPFTFKHLNLVEHKAKLDGILESKGPKVILSPDTSLEWGLSKHVLKHIAEGN ENLIIMTEPLNYLAEEHRDPNNAYENILGSKIWKLYEERKDGVALEKSTSGELLEQVH SGGKEFTLTDVQRTSLDSDELLIYQQYLATQNQLQNTAQVRGNTTLNNAADAMDDASS SSESEDSESEQQGKVLNFSVSLPHSNKRKMGLSDADLGVNILLRKRGVHDYDVRGKKG RERMFPYVATRKRGDQYGEFIRPEEYLRAEEREEAQLQDQRAPSSGNAPATPGQKRLW DKTGAGATNGPNKRRQTSQPSDNVYIPNDGPSPPNGLVSSDVENAEASEEDTESQTLI EGPSKATIVHSSISLNARLAFVDFAGLHDKRSLEMLIPLIQPRNLILIGGTKDETMAL AAECRNLLAANRGAGTTSTTKLGVDVFTPSIGDTVDASVDTNAWMVRLSRPLVRRLKW QNVSNLGVVALVGNLQSSQAILLQEEVLEQSKNKGKGEAWKATGPVESQANQYLIKNE KIPVLDILPASLVAATRSVTKPLHVGDLRLSDLRKLMQSSGHSAEFRGEGTLLVDGFV AVRKAGAGKIEVEGAARPSPNYSTTLKQNAGTFLAVKQKIYESLAVVSGHSG TRV_03309 MLRKGAINPDIDSLAPKNTSFFLFFLCTYSFFSPTSISSCINHP FSKVHLVQVLNYTAHQTFPSASRNTNRFTHLGAMAEMRYISPDGPPLGGMPPQDFAFL QAFHMPHFMAYPSIIQNPPPGPPPASTPAPAPAAGAPAATPAPQVSTFPLTIYVVQHG LTDQVILHQTFTTNVPPPAPAPMAPASSPPRAPNPNPTAAQAPSPASPPSAAPAQGRR LPSGAYPPDSERAMVLPTGQGYIFPKKHTTLHIIEAFTAPWDNPGSTFQWRSYRVPSS MSISELIDQLCPTKAPDGRDATSRGVIECLEIGDGTWLKGSEFWIGGRRGGDDNMKRR VSQSLTAVGWTEQRGTIAQPVWITLSIAV TRV_03310 MRLLWASSVGKHSTVLYRRCLSTTPTPFNDHQANPITLRCAQIF EQSKSGTRPSSLDHKELRVQGHVRFLRKQKRFAFAHISDGSSLQPIQAVLTPEQATNL TQGAAVEVTGIWQPSPPGKQQSHELQATKVDIVGEADPAASSSTQTYPIQKKFHTPDF LRQLPHLRLRTPFNALLSRLRSEFIYQVTEEFRSHRHLNFVQVQPPLITSSDCEGAGE VFSIRPRDVVIEEGKAVEFFKSPKYLTVSSQLHLEAYAAELGNVWTLSPTFRAEKSDT PRHLSEFYMLEAEMSYMDSLEPLTAFVETLLRNMTQRVYKSPVAQELFSFKATDDLTK DTSNADLEKRWMALMEGPRWPRITFAHAIKHLHEAVNTGRVTFEFSPSWSGGLQLEHE KFLVDTIGKGLPIFVTDYPKAVKPFYMLPSSGSSDESGETVACFDLLLPEICEVVGGS LREHRLSPLIQNMREHGLLKLKDSTPEPSSTVDAKAPYPHLLANEELGSIQWYADLRR WGTVPHGGFGLGFDRFVGYLAGVSSLRDLVPFPRHFGRADC TRV_03311 METYHGHVRTPADAIILFEACRIGLLPRVQRRLSEKERQSIKSG SVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGSHAAQASRAGKTPDST RGSDEDGGEGGEEGPDGYRYKPDGLMKQSFSITTSTGQHLHLISYYARSHPTVPGLNQ PSTDPSLRHIQPQKGLYPESSVNDQQNLPVVTRGPMAGTAFSVPPPVQHYARPPAAHP HGYSPTTYNWPVAHPPPMNGQPPHPAQPGYPPGSYLPPLSGANGPPQYQYAHPQHPHM PPSQPVYPPQYDRPHLADPPPGPVAHASNGHSASPRGYPYYATARSPSHPQAGHMGPG EPVYQAGSKPDSRHSVSSTGSHSPREAMSSLQHTPMRSPGLSHINRSPQQSSPQQNGH SHVQVHGHGHAHPLDVPPPASSATAVPSINALMNGPPLNDSARIPGYHQGVPAPGMAG DGPKDIPSEKIGFGEDMRALRQLDRVFTA TRV_03312 MTILSMRELLQFPPGDNETDTLINGVHFNTTTLNYFNYTLYSNG TLSNGSKCWLTFDIYKPLLLSNGTFINGTSCYVPIKGLKARGSVGIAFAALFAATLFL SVINLRKHGERFLPLEKRWTVVGRRAQWYWMIFLTVCGVVSCFMSIDVDRDYLPQTAI ILQSFFYYLMIPVMLAMIWEGVRHWGSWQERQVVDRDSSAFPAESTRERQELFLPLLF YLFAFLSFFLYVPRSWSAIEKQRTFEQQEAIAKQAATDSRFKGGNIIAGFCICIICYS LGHSLFRYKWQAQGQPSLISIVMSIPAKFIIVITLAGISVAFNIASSFIWQISPLKYD GNPGYLYGLGYGPPLVIVTILNIFAYLESNEDRELIRQRIQRGRANDTELGIEAGRRK PAWWRRLRPDFKGDVGNSYEARLRALTTEVGGGRPTQRNIEQRVEMGVIDTNRYRDSV DSQAQSNADPFTDDHRHPQNPQSTGSEPTEAPVEPGPRTGRLHSSTASQFSQSSGETL VSQARNQPRVRSMLDV TRV_03313 MVTMRASLLACLAIGGLARGAFSAILPRELGREALSTSRIQHPT LRTTKAAFAPFQNVTASTQAVTTTLPLVASIINKPTFLTTSYMPYTSTISVVEKCYLA GKKITMQGKEITSEVISKPLVNSEPNCSVANTVTVTITEILFRTTVLSTPTPSATKQP TLVTSTATEPSPTVGSIISIPSSIKALTTKSATAYPSIGLGPGGWNASTIVTPLTSEA IKAGMVEYEMQHSTAKPSTLSMRSQVHEGSGGDTVIATAKGNVVAWKEELDGKQPSPF ISTKSIAPIQTSGMHTFMATGSLHIPGTIDEYSFDDLPVYSPGKNKTTAFPPIFSPYH HFFFSSGWSYGPPPAEPFTPVSNPHIGIHVPTKQEGEKPQSKGEFGGGPRASHKLFWF DAFSAQVGCNTGNSSRACELTIQGLKYSAESGTEIRAGVSRFTIPPCREPKHCILTEV LFGDGFSGLSGIEIEAQMDGRATMWYIDDILLKWHDDTCAAGIERQRSRF TRV_03296 MHFISLITLSLVALANGAALKEEATPSNGNNLVPAQACNVGYNY SGWYLADGLGTYFTPSVVVLALP TRV_03297 MPDRPPFLAQATTQSTSSSVPSLSTSTTCSGNSDTSKTPLHSSS LPHFFHRHSRGSSLGGTTSPPTASSHLEPPTSPSRGHFFSSFSHSRSRSQSRPKSPAP APIDTSTSHHLTHGGQQTTSPTHMVSPELNTPDSAADECQKKKRHSRKSSSSNHKRRS STMTQVGRHGNDWLFNGFSVRDHVGKFLDHDK TRV_03298 MVNHHHKDSTPHKDSTLRKASILPLEARKANTTHRRDSIIPLKG STLPMAALLLRRDSMAPLHRVVPRRTDIRLRDNILPHKDTHLKAILRKATLRRDIPLS RAPPSPGYVPGQMAQGDASREADILRKAMKGFGTDELTLIEVLARPDPLQMALISHTF NSRHKRNLEKDIEKECGGKFRDVMMALVRGPLMQDVHAINKAIKGMGTNEKLLNDVLL SRSNADMNAIKTAYKATFGRTLEADVRGDLSMQTEQFFNLVLAATRCEESAPPNQYQH DQDMSQLWGAMGGNTGVPKNTVWQILTQRSNNQIRALCDDFPKKHNTALSAQITSHFS GHIRDALVYMLGKAVQPVMHDVLALEDTMAGMGTNDCLLIERLVRIHWNKHHLEQVKQ EFEKKYGKPLRSRVAGETRGDYEKALLAMLQ TRV_03299 MTTSTAVPAFTADLPTLLSITAALSIMPLSYLLGTALIPSNQLR NRLLFFWHAYDAGTHLLIEGSFLYHCFFSYKQLQPGETIPGVYGPPYFLNRPDRAYGP AYGVGASARMWQEYGKADARWLGADLCVVCLEILTVLIGGPLAVYICYLLTRSSSPSA TAASKAKYSSCLWFSSIILAVGELYGGFMTFGPEWFSGSVGLETSDPVYLWLYLVFFN VLWVIVPLWVISVAWGEIKAAFATAAVANEQTAKKLN TRV_03300 MFRAKNIQASKPLGLQPRSSTSIAGRQTGRLSLQQYAQRSSQIS RPSPSSQRGSGEQLFRPPANLNYLFNVDSNENDSNGSTSSEEDEDDDDVEAQDTKEPP EIVDLTGNSQETATPPNINDLSPIKSTNSLNQEPTKVEQLSQSSRRSTSSSQTRMGDD EAESWLQLRLAEEAAKKKRTIFAPLPPVSSQQRPHPPSPTPIRLTDAAWGSQSLRRKP NIWVSPSFLPVDRKHAACLERIDAIEAAFKRLEQIGLMNERSSIEKMEFENFKAKFDA KIPLTSTERRRLHDIQRNIERREYSWSVNKMALEKNIVKKRGYSAVNTSAEANVSETI TSPRNPDEPNPSSSRRKRPTSHAAEQAELIQEDDKSGMEVETTDDEEDDDDPEDAQED DAPEPVWAYHVFRTEIDPANPDPTPVYISSHLSRLRAESKMRDQISACYGNLKERTRM EFRATFEEDFTEQAVEFASGRMVIVRVEREIHEEPVKRKKRIKLERIPTKIYTIIEQI RTTPVGDITSEHPAKAEVQSTKYTEMSECFILRKDANAHANRLMLAHLTSHLDAAERF NSDVTGDIDMQARLYLHELDEGERLYDKKHVLPEVESGMRKEVSIRVLEHLVRGPRN TRV_03301 MEKPKEGSSALVDLGKELTCSICTEILYQPLTLLDCLHTFCGSC LKSWFSWQASNPTDGNRPRFTCPSCRASVRDTRHDAKVATLLDLFLQSNPDQVKSAEE KEEIASEYKHGDSVLPEVGQIVRSASDDEDERLLAEVRDMSLRDVQGASGSGSGSSGR RHRSPLAPRSRGRSERSPGAQAREQRVEDARRRRRAERRMNASPAMRNPRDMSPDSRR VEHQSSLRSLIGSTGESAIEDEILRQIAEEGLLDGIDLHSLTPTQEDELTERIAQAFR RRHRVPSPTHISRRRESPSPAQPRPVSQDRQQQQPHQQERSPSASASTPRAREPTRRA PPTSRPRLLEPLTAHHAANHRRSASDQGTGTRRRRTSPTPPTGFSLSSEALAQPAART ASGGSTAGSGAGSAAGSGPGAHSTGPSRRRASNSSLPPTSLRNQAILPLQVNRSRRPS SPGERQRRRSPAAINPNGGNTAVEPPPPPPAVATAPSVVSEITGIHTSNRRQASQSQS QPSTPATATAAVNTPVTTSPPPGPIRRVSNPVILPSTVPPAQMLPEPSISCERCGKNN IQYELHKVCKLCKSGNYCLCARCYRLGRGCLHWFGFGHAAQYFFEKKMASLNKPLNEQ EPPHKLTWRRYLRPPEERVEQSSSSSHSPRSAHVPLSRRVQMGMFCDMCERFTDECFW KCRECNDGEWGFCNNCVNQDKCCTHPLLPISRVKVKPKTDAQTSPRTTASLPSSTEAA EYRPLTFSTQCNVCTYPIPPSTTRFHCIQCNEGDYDMCTNCYLKLGASGKISKENGRN GWRRCLQGHRMIIVGFEDHRVGQMRVVVQGLVGGHAWKDEHIPTPSSGARRDTSNNSN TPTSPVSETNGTPGRIALQRQNSGRWTWTEPTDSSDSNFRQRRRLNRARQHLSSGPES PSDSSSSSPFPLSTTRFPPSGGIGLRLIAKWSHHPEPDNNDEIMFPRGAEITEAENIN DDWLWGCYAGQKGFLPGGYVLLIDEVGADGLR TRV_03293 KRKEKKKEKEKEKEKGRSRRKEEEKKENKN TRV_03294 MTAVASPPSVQSAPRLGWYPTGDGGQGSLSSMSADEVSRMLMPR KSVQRSNSSSSLSSNSSTSSTSTVTSQLPIQAQSNGVSVPAQGSSAESSSNATTRKKP SRYTWSSSKADPVSGVTNTRPQTVSVPPSGVSASSAMSALHQTPPMVPLQHIMPPQQN GVRPGSRPPHEPAVVLSLLPINGTFERKQINVPYFPEVLRIGRQTNAKTLPTPANGYF DSKVLSRQHAEVWSDRAGKIWIRDVKSSNGTFVNGQRLSPENRDSEPHELREHDVLEL GIDIISEDQKSIVHHKVSAKVEHAGIHGPNMNILDLNFGDIDPTTGGGLLPHHLSQPL SHMRGRAGSASSTASAASVRSGQSLPGNHINLLHQQRQMNYWSSPISIEQVVKKLTCE MKQAKQLNHDLGQTSGFLSTISNPETSNKERASLLNNIAESPVGTKPPARPKLNKTDS MSRFSDPPAPPPQQPLPEKPDAPPRTFSTDSLPKMSLRRNDTEKAKAATDITPAQSSQ ILSLIEALTTTKKELDLQASKVKQLENMLRREQSARESAEERARKLESQPPSQVLLSN GTHPGPDEQVDVDLGVAEEESKAPDDSTTTESPEKKDFKPIANAESEEVSPPIVPEPS SDELKRRIDTLLAEMEAAKKQMNHYRESAERAEKEAAESRQTLAEMIEKIRQEKAQES ATAAASASKGSPRSRGVLEAEVETATAAPPGEESASGSSTTNIDKVIENCRSIDPEEI RELKSAAAAFAKPQRQDSVLLERSAPYASMLGVVLIGVGIMAYLNGWHKVER TRV_03240 MLFTQRAPAFDVCRQPLNINLGRRVSNVRSEPSKDSNNHNHTSE TGSGFGGGRNRRSCCEVADADSNGSSSRATSDSTTPSPTNSTANESFSRLSLEAPDDI PRGSPCPWTYTSTAEQIFSTRVFSTDRNTSDTDSPMQVSQSLSFTVISSPASAMHEED VVPKIEEIEDEEDGTVPLSLHHGEPQDGKSDVPPSPTACVPRKRGRPRKHPPPVPGQA KVTKGRSKTGCITCRRRKKKCDETKPACLNCQKNAVVCEGYPVKEVWKSGKQKIEEAA RRHSFAIFARGLPVLIDGIETDIDRRFLDHFVNDFSRVLTLINDDSNPFKEILLPMAT QHKGLMHSLMCLAGSHLSARDPEPMLKERKHYHFHRAITNLRNTIAAQSSACSPSPSS TTTSTTTAETSPETRPKESRESLPVEDPMIASTIALCLHTICEGETKGEYRSHMDAAR YLLITQRPRNEKFRQFIVEFFQYHDVSNSVTTLDRRPICFAGDLRLPDFVPHAQAGAL LGIFDGLFHYISEITVLRDRIRQRINQGIEPAIDYQTLSEAVGIDSQIRAWEPSYPAD NPNWLASQLYRQSTWVYLYRTIRPSRPDEKISQVVDDGLLYLNQLPLDSGAYSILLMP LFLLGCSAFEPYQRERIKTGFDSVQAYSSLRNIDAALRVVEKVWDVMDTSPNLSWDWE KIIDDMNMDFLIT TRV_03241 MVISQCNTPSLVEKDPSDPSLETSETKENAEDVTQLPDRSHLSL RAVQPVDVEVNDLALHVDTTPSIFQSPAAAIWSRISPSKGQAAFKTILDGVTASMPHG TLTAIIGGSGSGKTSLLNIIAGRMGTSRMKVSGGITFDSKGTTNDNRSAYLMQQDVLI STLTVRETLQYSADLRLPPPTTVEERHAVVERVILELGLKECADTRIGNSSHKGCSGG ERRRTSIGVQMLGNPSVLFCDEPTTGLDATSAYQVVRSLKRLALDGRTIIISIHSPRS EIWGLFDKVVLLSRGSVLYSGDADKSVVYFEKQGYSIPPFVNPAEFLIDLAAYDNRTP EAELASRDRVESLRIAWSEASGKKPKKREPTSSNRQPITGRSTHESVGFTRQFWVLTA RTIKMTIRDPMGMTSCLFEAIGMAVLNGWVYLQLDGSLTGIRSRQGSLYTASSLNGYI ILLYEIFRLTTDIQLFDRERSEGVVGVPAFLLSRRAARLFLEDLPVPILFSTIFYFMV GYRLAAAEFFVFLVLNILTQYTAITFAAVCISICRHFPGASLAGNLSYTLQTVACGYF VQINQIPVYVRWVKWGAYTFYAFSALCANEFIGPNGSEYGQFYDCPYSKDPMDPHCKE YTGRFIVDSLGIPQNWIWRPIVVLASFAVFFYALAGVILKFNKIDIIVAQPRNAEKDH SVGKEKFIARPQADSRQVSIKLENYSLDIKKRFLTRHGFQMKNLSIIKPITAEFEPGK LNVIMGPSGSGKTSLLCSIANRLQGSIGTRYYVGGDMLYNGAKPSRDVIRSVTSFVTQ DDDALMPSLTVRESLEFAAGLRLPTWMSKDEKNRRAEAILLKMGLKDCANNLIGSDLI KGISGGEKRRVSIAIQILTDPKILLLDEPTSGLDVFTATSIIEVLNGLAAEGRTLILT IHQSRSDIFQYFSNVLLIARGGYPVYAGSGPNMLPHFESLGYECPRTTNPTDFALDLI TVDLQAKSRETATRAKVQRLIDSWEVSPHEGRETEASMIAVPAELGSLKRKPTAFPTM FALVLRRSAINLRRQPYLLLARTMQVIGVAIIMALFFAPLKDDYAAVQSRMGAVQQIT ALYFVGMLQNIAIYPYERDVFYREESDDCYSTEAFILQYTTLEVPFEIISALLYGIIS AYAIGVKRTVTMLFVSSFNAFCITSCGESLGIMFCTLFSHVGFSVNITSIVLSIATVL GGVMSLNIPSVLQAVNHLSPVKYSISNLAPYSMHGRTFICADNQRLPNGHCPIETGEQ VLSLYNLDKDPKINLVALGICVVSYRLVAYTLIKVVRSRTVWKNLRGRLSKAQRNTKD TAKDSKRT TRV_03242 MHSNTAVIALSALAALVPAALAGGNLGTANVQNACGKDVYLWSI ADSAGEKMITLKDGETHSEQYRANSNGGGISIKMATNPDHKDISQFEYTVSEPQVFYD LSNIDGYPFVEGGVSIHPSDSSCPAVVCEGGVKECKEAYNQPKDDHATHGCPQETDLN VVLCAGGGSAGPKKMFKPVQEKAANRPRHPHARPE TRV_03243 MADFSMYHNLGDGAMPGDERMKSQIRTGPAAPQFVPPVAQPPSG YMQSGGAPQQTYQHPHGFTQSSPYPPSSAGYPSPQGNVQSPADMQSGMHNLTAQMGGL GIAGGGPPSSAGGTTRAHKKKDRHAYHEFGAPAGSSQAFNGMPPGSTQQPSQFLNQQS PQVAPPHGGFGSPQVQQQRNSSVVGDGAVPTQGRVDPEQIPSVPRSRDVPAQYYLEHT YPTMERHVPPPSTVPFVAHDQGNSSPKFARLTINNIPSTAEALASTSLPLGLILQPLA PLDEAEQPVPVLDFGDSGPPRCRRCRAYINPFMTFRSGGNKFICNMCTFPNEVAPEYY APLDPSGVRVDRIHRPELMQGTVEFLVPKEYWNKEPVGLRWLFLLDVSQEAVSRGFLE ACCDGLLSALYGSDGDGEEPESTEDGESKPRRNLPEGSKIGIITFDKEVHFYNLSPQL EQAQMIVMPDLEDPFVPLSEGLFADPYESKHVISSLLTQIPTLFSFIKYPEPALLPTL NSALCALESTGGKIVCSLSCLPTWGPGRLFMRDQGMGPGTDAERKLFTTEHVEWKKTA TKAAETGIGVDFFIAAGGGAYMDIATIGHVSAVAGGEMFFYPNFHAPRDIQKLSKEIC HSITRETGYQALLKVRCSNGLQISSYHGNFLQHTFASDLEMGSIDADKAFGIMFTYDG KLDPKLDAHFQAALLYTAGNGQRRVRCINIVAGVNDGGMETMKSLDQDAIVSILAKEG RQIFIFLLVSVVVANVPRLAASKVPEKALSEIRASLTEKSIDILASYRKNFSLSHPPG QLVLPEYIQEFSMYMLSLLKSRAFKGLFKAINKYTRVSTGGHEPSDRRIHDVRMLRSF GCRELSLYLYPRIIPIHNLDPKDGFSNEHGQLQVPPSQRASFSKIEDGGVYIVENGQI CILWIHALVSPNLLEDLFGPGYNSLKSLDPNTSTIPVLETQLNAQVRNILQYLSTVRG SKAMTIQLARQGIDGSEYEFARMLLEDRNNEAQSYVDWLVHLHRQINIELGGHRKKED GSVAGAVGAGVESTLSGLAGLRPSYW TRV_03244 MAMCIGSSVAELVSAYPTAGGMYFVTKHVVPKEQVPIFAWIQGW CNLLGQTAGVSSVAYTVSQMLLAAASMNSNLDDDGNYSFKPNKTIDGVYNRTALQTVL LSIALLCIMGIICSLTTKSLHRIILWFAPINILASIGICIALLVLTPNKQSAHWVFTN VTDGSGWHSKAFSFLLGFIAVAWTMTDFDGTTHMSEETHDAAVRGPVAIQTAVVVFGA FGWMLTVTMCFCITDLEAVLKSPTGLPAAQIFLDAGGKTGGTIMWSFAVLVQFFTGCS AMLADTRMAYAFARDDALPFSK TRV_03245 MLPPFRIKGIVGHPLHRRRRSRNDDGLESDSASILSPDNQYRAE FEVQGDGVVQVTANEYDRTIEQIPEARLQYQDEDDGETVIVGSSLELSQLIGELLPNS YRLPLSKLNAENDPVMHTFEIQQTLPVVDVWRNFRLRTVTNSISGQPSCQLISPSTGY RSNASYCLKTGSPDPPYATNKPSVVQADTLNESAPSGQHECCLGAVANMGSNKQYPMK DTSSGIWNGPNHDTELESFGEGVSTERKFITEEGKKQVYCLFQISIRRGQTNTLKAYA AGKRMREIYKAYWRGSYRPELEEGHTTGTSGDFWSTYKPTHQFSGPSPSIREREPDSP ESPIEASPKPLLSEFEAELSRLMAQNSGLESHPKPIDEPAAATAKESTSDSASTAVQG PEIGPSENNNAQPTSRQATEASEAISHIVTVFGKSFQSLLSRVSILTAELADRLPEVE QRVTDLHHQIPDQMQATIHETLQVMGGHIQTLAGAMQQAATSARSSSIATSEAEKVVT AQLNNLRILASDIRDIGGSLIAGVEKSLKPRDNSANKGTTDASSAPETVNPATKDIFI GNLPPDATENSVISVLASQGFVGTVTFPRDSLTGEHAGFCYVHFPSTYAADAALQALR GKLIGDYTINVEPANEHSLPESSNAAESSGTGIQHPTPELPPLTTNTRRPGFPSFVPV SELDNDTSNIVPSEQNFPTSSLCEQAAIPIPEVHGNTQTQPNTALLDKSEANLAERYP PLFASQSTDNLSTRPTPPDTYPGASLLSALNDDGCGLSRYPSMQQLEQQHLFMHGPWP TPDRPAPRPRTTYENNINLASTGEQLAPPVPSRIPGSWPWDQDSQSSIPSRPNANESR SEHDTSHGPSHINPPVLSDLSSPFNPHRASPFLASNVPGLRRSATERVRRPRPSLFGN NPYPQRPLSSHELAEISAACENPSDLPGTFPREAPTAAVIEASSNDSTELCLSQLRAL GFGDDRSQDANRLRIFAEAANGDLADAIEMIEEERKAYEQRHVQF TRV_03246 MPNSKPSQTLKNHVNQNVKQVVLGNLQFKAWFDSRYPEELVRPG DGTLYVCRWCFRYTCDKQAYLGHMPTCTSKHSPLGTQIYEHGGYSVWEVDGEDQKLFA QNLSLFAKLFLDHKSVFFDVSSFLYYLLVYTNPADPDDYHVLGFFSKEKMSWDANNLA CILIFPPYQHKQLGKLLMGISYKLSAWEWKDGVIGGPEKPLSEMGHKSYVRFWEERMA RFLLHVSSSGVKDEQPKTSNAKPPPAKKYRKKPPRERMSIQDIGQATGMLAEDVLTAL NSMGVVQAEKKPVKRKRASQPEGDDDLPTAVIKRSNVLEWSKSHKVNLRDPVHDEGFV GEWATSSDKESD TRV_03247 MIARAATAQDDITGDGTTSVVLLVGELLKQANRHISEGLHPRVI TDGYEIAKDEALKFLESFKLKRNIDRELLLSVARTSLATKLNRTLAEKLTPAIVDAVL AIYKAPAKPDLHMIEIMKMQHRTASDTKLIRGLALDHGARHPDMPKRVENAFILTLNV SLEYEKSEINSGFFYSTAEQRDKLVESERKFVDAKLKKIVDLKKEVCGNDPKKSFVVI NQKGIDPLSLDVLVKNGILALRRAKRRNMERLQLVCGGTAQNSVDDLTPDVLGWAGLV YEHELGEEKYTFIEEVKDPKSVTLLIKGPNQHTITQITEATRDGLRSVYNTIVDGAVV PGAGSFQIACAEHLKSAEFRKTVKGKSKFGVDAFLESLLIIPKTLAANSGHDIQESIA VLQDEHSEGNIAGLDLVTGNPMDPVQEGVFDSFRVLRNCIASSAGIASNLLLCDELLK ARQMGKQGGPQGMEE TRV_03248 MLVKPLRQRQRRKKKLRLFLLLAIFPASNTPIQRSSSSRRQLTG IKLLKDTVALSDMADFLLFEGPVGYSLFKVIHKPDSVGNRLKEVQAANQDLAKFGKMV EVVSFLPFEYVFFLHVIRFLRVLICGRNNKQALSEINDVSEGVASETLISFLELNLPK ASKKKSVVMGVSDKGLAGSIKAAFPFVECETGDTSEVVQDMLRGIRMHSGKLLKQLRE GDINTAQLGLGHAYSRAKVKFSVQRDDNHIIQAIAILDQLDKAINTFSMRVREWYSWH FPELVKIVSDNHRYARCALFIKDKKDLSEDKLHDLAAIVDDDEGIATSIMDAAKHSMG QDITGTDMENVIAFAERVVSLGNYRKNLHAYLVNKMSVVAPNLATLIGEVVGARLISH AGSLTNLSKYPASTVQILGAEKALFRALKTKGNTPKYGLLYHSSFIGRAGTKNKGRIS RFLANKCSIASRIDNFSETPSTAFGNALRQQVEERLEFYASGAAPTKNEVAMKTAMDS ILADIEVDGDGDEDVEMEDVDKAEKKEKKEKKKDKKEKKEKKEKKEKKKEKKDKAAGA SDAETDATPKKKRKHDAEAGSEKKKKQKK TRV_03249 MEQRVLSVHKKSLKRKHDESDLSEESVSPLSSPDQPDEEGKPEI IDQADVKPPGAYLHGNVANKFRDLDIRCRTTNNEELRHHPPPEYDIQPQSSEIPPELP THGKISKTRENGDDSKLLYSTARSTSFTNEATPEKKDPACLESPPPTSNGNTDAEATE ESLTWDESEITGHHATDPNDDGYGLNGIGFKPSAEVAWARSQHRKDQVAEWKRRMASE AREARSRRRQKDEDICNASYIAKGSPKQKRVKFDA TRV_03250 MPRLGMREAFSPRDARDGEEFLTAGGNNKSSLGATKIVMAADSR DQASQAEAPTKAEPTTADGKTKYEISKSQVGKLWEELGNPKEPVNLMPGGTYNSAGGK PKEVTVMDSLRSLSLEKFASVYKAPCARDSLLLGIGTGFGVGGLRAILGGLKSLWSAS NWAVGSFAIVSLAAHEYCQRQRAIEREGMKEAAELMRELKLKKQKEREAARKLHEEEE ARKAEEARRKSWTNPSNYKFW TRV_03251 MARRPGKYGNNYRSQSSSFIPKKSKTIGVSSLRSSEATSQDEKF QALRIADGIDETMGFARYEAGKKRIGWLCNMHSTTIEDPKVPGGRAAVEFYFLEENGG SFKAAVEYDPYFLIATKRGHEAEVEEWCKRTFEELLKGVKVVEKEDLKMPNHLLGYKR KFLQLSFANVSDLLAVRKTVFPIAVKNRKNVNAMDTYAELASANAGFDLFDDESNNRG QNSLQDAADYIVDIREYDVPYHVRVSIDKDIRIGKWYTVQSIHGLITLTCLEERLQRA DPVVLAYDIETTKLPLKFPDAAIDQVMMISYMIDGRGYLITNREIVSEDINDFEYTPK PEYPGPFTIFNEPDEKAVLERFFSHIKEAKPSVMVTYNGDFFDWPFVEARASVQGIDM YAEIGFRKNSEDIYQSDYCAHMDAFSWVNRDSYLPQGSRGLKAVTVAKLGYDPDELDP ELMTRYASERPQTLAEYSVSDAVATYYLYMKYVHPFIFSLCTIIPLNPDEVLRKGTGT LCEMLLMVQAYQHNIILPNKHTDPNESFYEGHLLDSETYVGGHVESIEAGVFRSDIPV NFTVETGAIDELLRDLDAALEFSITVEEKKSMEDVVNYSEVKAQITERLLNLKNCPNR NERPSIYHLDVASMYPNIMTTNRLQPDSMIKESDCAACDFNRPGKTCDRRLPWSWRGE FLPAKRDEYNMIRRAVENEKFPGKTKNHPPRSFESLDESEQATIVKKRLQEYSKKIYH KIRETRTIEREAIICQRENPFYVDTVRNFRDRRYDFKGKQKVWKGKVESLKSSGAANS EIEEAKKMVVLFDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGVTCLTGAHIIQMAR ELVERIGRPLELDTDGIWCMLPGTFPENFVFKLVNGKKLAISYPCVMLNHLVHGRFTN HQYQTLSDPSTFKYTTHSDNSIFFEVDGPYRAMILPTSKEEDKNLKKRYAVFNHDGSL AELKGFEVKRRGELKLIKVFQTQIFKFFLEGSTLEETYGAVAEVANRWLDVLDMHGST LADEELIDMICENRSMSKTIEEYGGQKSTSITTAKRLAEFLGEQMIKDKGLNCKYIIS AAPRNSPITERAVPVAIFSAEENIKRFFLRKWLKEDPGDMDPRTIIDWDYYLERLGSV IQKLITIPAALQKISNPVPRVAHPDWLQRRINTKEDRFKQKKMTDLFTKNPLANISTN IMDHRIPSTGDIEDMMQRRSQKPGTQLGNKLIQKRKAPGDNTTSQDPFASLPPVMPSI DKNYEDWLRYQKQKWKIQKQARLRRRKLFGAKKTAASDSTGSFFRNQAELTYVNTWQI LQLRETSSPGEVRAFVLIDRKVHTITIKIPRQIFINFKDVDLPDVDITGCSVQKVNHT LPNGHPSVHLFQLSMPEETYLREASKISLLLNHPSVEGVYEKKVPFNIRAVLKLGNHC TFDEEQRGVLGKGLERGFDLSTLLPAPEPGVYLEGAEFQYVLLYHIVSGEREIFSIFS TKSREAHIVTYTKQRDIQGFPNVDKIFTEALQRKVDEQNSETWQQVFQYQDKLHFKTT QVTTKRKAHLEVGDIIKKFRNENTMPLMVVVQSPKSRQLPRLIPSLKDYPMVSLRSNA SDNELPPLGWQPYAARQIVVHYLALDSWINHLLGFARYGNVPIGNLEKDDPKHLIDIL YARQLEQNNAVLWWSASPLPDHGGYEKDNILGPLNSVEMTSVNIAGAYSTVCIELEVR NLAINTVLTSSLISDLENNEPALLNPTAPGGQQTISDGSGVLYSDTAFSAAGILVLRD MVKSWWNEACKGNGMADVMVQHLIRWVDSADCCLYDHALHQYMQMILKKALQQLIMAF KRVGSNVIYANPNRLILQTTKAEVGNAYAYSKYILQSIKEKPLFHFLDIDIKEYWDYL IWYDQFNYGGKACQEVVEADKQELEAIMNWQICRFLPTPLQSVFVDWIVEYVELMHGL KKPATVSGGADGTPRQTQLPFRPEDESESVEITSVLSQSFSKALKKQVAGLIRRQRDE MLHPELASDYDFPVLPGAMSPKHERNPTLVLVKYLMQVLGLSKYTALEVRLLRRDLLA LFDIREFSDEGKFESPSSSLVLSQIICHNCTMARDLDLCRDPELLPESGTENKVSTTL PPWTCPYCSTEYDRLFLEERIIGEVQGLVMEWQAQDLKCAKCAGIMINPFMEHCSCSG EWVETIDRRATEKRLRVYKSIADFYGFKMLSMVTKGVLEGI TRV_03252 MAAQGHPEASRPKTEVQPCRYKTGKTLGAGSYSVVKECVHIDTG RYYAAKVINKRLMTGREHMNILTLVDYFETMNNLYLVTDLALGGELFDRICRKGSYYE SDAADLIRATLSAVAYLHDHGIVHRDLKPENLLFRTPEDNADLLIADFGLSRIMDEEQ FHVLTTTCGTPGYMAPEIFKKSGHGKPVDIWAIGVITYFLLCGYMPFDRDSNVEEMQA ILVADYSFTPEDYWRNVSPTACEFINRCLTTDPLARITSHEALQHPWINPPADPLNPE ATGKTGTGEDLLPVVKKNFNARRTLHKAIDTVRAINKLREGGGLMMDGAMSIDPRPER VNGDQVTPENGGHDGHMEIDSRGNARGQTEEQIREQEKRVKNVVTGLWNQSAAGRR TRV_03253 MATPAQCYFCFETLAASFDGGKPPKLSVVEELWAEFETAKALAL RAADNEDLEGGGSVEPPVDTDGQEPQDDMDKGQPFSSTLQPPSIRRLQSAASSGSSSV STSTPPALSTSSSRSALTSNTSISSSPSPNTSSIFSPHKPSYSETLRRTPPDASYPLF VTWNTVSRSGYKSLRGCIGTFDAQELSSGLKSYALTSYGTTYKESLLKAFGDTRFSPI PLQLLPSLSCSLTLLSNFETCSHALDWELGTHGIRISFVHRGRRYGATYLPDVAIDQG WTKEETVESLMRKAGWEGASSAGSVTRRFLRSGSSSGGNPDRPWEGMSEFKTVRYQGL KASASYSEWQEWRKWVESSPDHLATLEASR TRV_03254 MAGPTEEDIAWLRSTFRPIPKPQLPDDCVEYSLYWIPVTSTPTA DVDSTDSTRNFLVEVQKYASGLVKQYLQNYIWQRDNFKLELTKEDGIHLLRGRTEYGD SIEDEWVIVYLLREVSRKFDNIWVKVTDSDGEFLLVEAAAALPAWLEPEIADNRVWIN KGELVILKPGAPTTAMEKKKGNVEKLTFRNARDIVTTEPKRLMRSPTIEEEAFYRLRN YPNQIENNLHSSLVTIPRKIAHLLRTRPGYISSAIEAFYLRDPISLRPLQGKDSINLV FEPTDFVTVSVKFTKVGFAQLKSQDFPAPAKWKGRVPTTKNSKDSERADMGMKLACGF EMLLSDPQNRDKQYVREMKLILEDVDTGEEALPTDAEIKTWDMVDDDESWLDIGFEDL ESELKGRGSGEKAKRGGDFGDKAAQENLQRIVSKFEEFLNDDTAGYDGAGLINEFGSD DSDGDTDEEFSSDGEDKEASFDEEEFSRMMKEMMGMPTASNKGSSFRPKRIQDLDGGP SDGDDDSGEIEDMSKKMEAELRQAGFFDQFNSRKAAKGKAPIRGNDSSVHQDESEDED EDDLDENEIDINLARNLLESLGSQGGSSGPGSNMLGMMGMKIPKGDRK TRV_03255 MAFPYIDTPRTEVDGNATFISQGQRSATRHNLSALDSVENSFVS PTKDHDILRETSDSRNRQRRVSLRTPRASQILKSARLDKRTLPNSALPKGEFTPLMNS VTKNNFLRTGGDRARGVPATPALSKIDESNIYEGASMNSSAYDATPVPQGAPSSGQST PLPTLPQRDGAGGIINKFDKENFGLKLKIHYLEEQLRKAGPELNQAALKENTELKVSK LTVQRDLHRCKKSLIHAERDLESCQLQLQELRAKLTSKQSDGASQETIKWMRQEMESK DADIADLQEQLRSAESKRAEEAANLREEINELEYTIREKDRHIEEKEEEIDNLQSKHA DENANMADLETELEDARSKIEDLQDSLERARTETEDAETACEQALQEKEQAVEDLREL QDEMANKSISASGLTRQLEERANKLESELTSVRQQYVTLQEKLDEKAQFEHRLQEQIK DLRQENSSTERELIFLQKEHASCQGNIEDKMHSENRLQERIEDLKQELSSAKSDLQHE LEQARHEKAIAIRDQKSTLARLQALEDELQHKGETKTLLQTRHDALTNESKALQGDLD RAKRVIAELEEDAAAERQESLNTLEEMRNRHKDEIDRLNLQITTLRREVDKKDSLFNS DLDKWENIKRSLELERDRALQQAEAHKRTVDSLQQMETTKSGREKRLQDIIDSEKQRR LQQEELLTRQIKELNDDISSRREASETQRSELLSLKEQLRVSRREEQTLKEKVQGLED EIIVLQASLEEEQQYALAQRKAGSLDTGGHLQSIAQEKQALREELTATKSALNELRVT LAEVEAERDGLQDELAQYDHVGDATRVDHEKLELKKAKLRLERDVIRLNTEKISLQEA KDALQNEVDNELVRAAAEEERLAAEISRLQDKLFMADDKKDRELLSYRSKTTRLEARL KELEAHLHNPVPPEPASPPDHADVSIFRQCLAETRERERIILQRESDLKSSIRLLKTR ITDLEKENHDLQIAKYEGSSPIPSSPASRLEEELRNLRAQNIEAHKALKELKAKNREL EQQRYSANEESQNFSEVLDLSTFDPESIAAKLSRREARIRELEGDIQRIRGERSTALK NLNAADRRIRLLQDRHPKAIQDMSKQLEKQKSRHDRELEVLRMELLWNQVRLIRAEQF RRDLAWYKEVSQYREQERIRTTCAQIERQMIANMGIVVSEATEQLSPIQKFRAGISVA LFIARAKRVTIEWKKNSQIGDLVKRAKKDQHRKHGRVLQR TRV_03256 MSNTDFLGRAIDTVKSAIELDNAGDYEKAYQTYYSALELFMLAL KWEKNPKSKEMIRAKTGEYMERAEKLKNHLAGLDNRKKPSAVGANGKIAHGSGKGGRG DDDDEDAESKKLRGALAGAILTDKPNVRWEDVAGLQGAKEALQEAVILPIKFPSLFTG NRQPWKGILLYGPPGTGKSYLAKAVATEAKSTFFSVSSSDLVSKWMGESERLVKQLFN MARENKPAIVFIDEIDALCGTRGEGEPDASRRIKTELLVQMDGVGKDSSGVLILGATN IPWQLDSAIRRRFQRRVYISLPDMAARMKMFKISIGSTPCELTVPDYRTLAELTEGYS GSDINIAVQDALMQPVRKIQSATHYKKVLVDGVQKVTPCSPGDQGAMEMTWVDVNPDE LLEPPLVLKDFVKAVKGSRPTVSPEDLAKSAEWTALFGSEGA TRV_03257 MADAQKQLQALSEEYQKLQTELEEAIAAREKLEAQQQENTSVQK EFKTLDDDANIYKLVGPVLLKQDKTEAVMAVEGRLDFIEKEMYSEAVLPSSKRIEKQI TEIQEKSDNKRGEIIQLQSQLQQPQPGGAPVAA TRV_03258 MAKVENKAVLIVIDGWGIPGPDSPKDGDAIAAAETPVMSGFAQE GSKTAQGYTEIDASSTAVGLPEGLMGNSEVGHLNIGAGRVVWQDVVRIDQTLKKGQLG KVENIVKSFENAKNGNGRLHLLGLISDGGVHSHINHLFGLLQVAKEMCVPKVFIHFFG DGRDTDPKSAAGYMEQLLQKTQELGVGELATVVGRYYAMDRDKRWDRIEIAMKGIVTG EGEESHDAVKTIKERYEKGENDEFLKPIIFGGQERRVQDNDTLFFFNYRSDRVREITQ LLGDVDRSPKPDFPYPKNISITTMTRYKTDYTFPIAFAPQHMGNVLAEWLSKKHLKQC HIAETEKYAHVTFFFNGGVEKQFEGEDREMIPSPKVATYDLDPKMSAKPVGEKLAERI ADGKYEFLMNNFAPPDMVGHTGVYEAAIQGVAATDAAIGCVYEACKKHGYILFVTADH GNAEEMKNADGTPKTSHTTNFVPFVMANAPEGWSLKKSGGVLGDVAPTVLAAMGIEQP EEMDGTSLLVKA TRV_03259 MSVQPSPSRATPSRKTPGVSSTHPNTPSIQQPQGQSQSQQDEAI ISSSDPAVAPPFPPPQTFDILPPLHALLARLLSNQSSSFATGQPGEPGLSQSTEQTPG GGIASLDGLDPKSLLTGASAVKIRIQKARNAVEEMPDIERTIAEQEEEIVMLEKRIGR LRGVLDDFRGRSEKLAGGL TRV_03260 MATYALSQAHREQMEKSLVDSDPEIAEIMWLLLAGRVTVRAVTD RETPQEKEIKRQRESILLIASENVTSRAVFDALGSPMSNKYSEGYPGARYYGGNQHID ELELTCQRRALKAFNLDPEKWGVNVQCLSGSPANLQVYQALMRPHDRLMGLDLPHGGH LSHGYQTPTKKISAVSTYFETFPYQVNLETGIIDYDLLESNAKLYRPKCLVAGTSAYC RLIDYARMRKIADAVGAYLIVDMAHISGLIAAGVIPSPFEHADVVTTTTHKSLRGPRG AMIFFRKGVRSTDKSGKEIMYDLENPINFSVFPGHQGGPHNHTITALAVALKQVDTPE FKQYQEQVLKNAKAVEEELKKLGHTLVANGTDSHMVLLDLRPKGLDGARVEAVLEQIN ITCNKNSIPGDKSALTPCGLRIGAPAMTSRGMGEEDFKRITRYIDTAINICKDVQSKL PKEANKLKDFKAKVADDSVKEIVELRKEIAEWANTFPLPV TRV_03261 MKKNKIKKNNLEEDEDTRDITVLFIFGQDKGLRRLLKLRIGCAK YNMKAAPLSKETVSDSSSSSESEEESTSSEELEQKQEKSKEPVESTQESSDSGSEDES SEDESSEEQTPPPPPSTKKRVTIQEENTVNPVPTKAYKPPPGFQFMQKSSTQPSDISQ LLSNLDGKQLWHITAPIGIPVSSIESLAMDAITSGEPVLTHKGEAYKLQENQLGGSEK QKSLLVPDKNGNVYRRHKLPVSRTYHLEQVVKIPQGDSFHANGSVDISALTKKPPKQP QHLQMRYKPFGSADQLPETIGWSDEEPEPEAKQFKMPKGADIGRKEKHKKRKQDAVLV LDEEEEEEDANTKKSLPIREDGEKKKQKKAKKSKEDSPEVQKKSSKKHRDETEEERRA RKEERKKKKQSG TRV_03262 MGSSVEDPYKRHIRPLHLHKKWSLMMQRLSQRGRDLNVLTCGPG GSGKSAFNRYLLNHLLSLQPEKDNNKARHGDGVLFLDLDPGQPEYSPIGHVYLAHIRS PTLGPPLSHPVLCPEDGSIIRAHHIGSSSPKDDSKHYVQCTMNLLRYYYTSMHETYSR CPLIINYPGWIFGQGLEILTGFLEALRLSDVVYMSETGPEEVAGPLKSVASQFRIPFW TLPSQPTEYATRSRGQLRQMQMLSYFHMQESRHPTSAFLCEQRSSTSVHWSATPLCHT RAVKVNYSGSSQGILGVMIAGFPYDKEHILDLLDAAIVAVVAIEHADAIKPVPDDVEP IDMLFRAEEDSRSEDAAEEEDEGDTEEATSPAAVAAAAATKRQAIAERLQPHLHRTKE GIPYLFSGNGTCAHLNPQHSHSLGLALVRGIDTSSQALELIMPIRSAAVRDALERGHQ IVLVRGHLDNPDWALTEEYFAAKWAHKHLSAQLQKMQSEGRSREEREIYRERLKQRLY RAVRVPWVQPEKTKSGNLGKNQKTRAIWKLRRDDEAEDEDEDEDSSGSEQEW TRV_03263 MVPGTSQIYEGLLRCVSVPSTPSDWNITFWLSPWGRRAEPGREK GERRKKKKRNESLRLSSGVFLRFFFLQTGLVLLTYDGLSALYDDNYDSRTKDEGEKER EKKPEAGVKGSAVFLFLFFLPFHPPLVLSVNNRHARPSRMREMDAQ TRV_03264 MRRRSPFEPQRPNGREARDDNGGSTERRKRRRKEETKKGRRKHE ERRGTGDKGVFVRATLTHVIFRLLGSLTAIVPEILTYIDIDINIKNPNPHSCTACHFG SVLCLDLVACHRHLDQLQLLPQRGRRRETPGEEEEQEGTARVSPILPQPTWSATVSHN ADEAMECPLFLVGGHVWGCRWKEEEVDSHNTTTTTKYRPNLLEPVHLREVRGKLPKGQ KRETLVWEGRSVCFYVASPLGSSG TRV_03265 MPLGGRVDNKAPLLRPQTRRLDEKQAERKKRLFNGAQAESLSQR IISSSSTSDCHLRPTSQPSRTASHEQATKEALEGDKRVKFPRPPQKTQDAGRPQKKRQ EEEDKKKTRRTRRTRRARSQKPEETQKKQRRIETLSSLCFFPRWLLCFFCSFSFLF TRV_03266 MDFIVGAPCYLSLLVTTCYLLLADIYSAQLSLTHFCEVHGPTSI LCSQILPAHCRQCTPDSPASSPNGSPSSSSSSSAGSLHVRDRDAPGAASAAAADVLTS SSSPSSDRCITTSAIEPLATPPASAPVTAPRIEDHPWFTAERPVRAANNGGSYSNGNT SNSGTGGAVGGGGGGGGGAGHLKKAAAAARFGGAACDRDTCASCRLKLPEDVSKQLPV DGNGSVEERGLHSSPVLRSREVVFACRGSHADHYMHNSSSSNSNNSNNSHSNYYDDDD DDGSHTCSTSVSSSHQHHHNGSSSNNYYSPVSVHSSYSSSDSSCHTHVVTYLSMRGPA NPEDYALLRRASIRTLSCELLPRGLSSGPLSFGDQSAGYTIAYVFRLPDPMARGKRRS YALVALAGKDAGRTFRASPLIWRTFGRIANNIVSAAEKFQESEKIREYGPSPVHSSSS TTTTTSSATASTTISARAYTPISSFLTGRALDPDGQPRRAGQVRARNLIEIVGNEYIF AELHAQFVALLQQLSAMFASPSDNAHGAHMPDSASAYYEDYANTTTTSSRSSSDRLRG GSGSTGITSAGSDHSLSQQFSGLNMSTSIPKPIPISQRRRMVA TRV_03267 MIFNPNEIHASFMLFYQFNPHLLNIETTTNILVKALTVFPSPAF SLSLALLPAYTQPFHNSAPAQGPSATLPIQTADFVESVQKLARLSTLLESAQYSLFWS TLNSDDLYADLIADVAGFEELIRVRIAVEVGKAFREISAEMLMDWLDVKGLDTLEKFV VDVCGWEVDKSGASGANDAKNVTVRVPRNKENEARGEVKGEKVGIEMFGRVIRRGFEQ PA TRV_03268 MDSYDSDSSGIDEELDDYTETGVLLGYASKEELSDAISHLGGWP TWLDPATPPPGNFAKCKVCNDPMPLLLQLNGDLPEHFAHDERWLYIFGCVRRTCSRKK GSIRALRAVKRHKTVEEREKVFEKQKEEAQNKPKRDLGADLFGVKSSSGTSGSSNPFS TSSIGGGNSLANPFASLPPTSSLAAVVPQKPDDEMTTSPSSAGESLPETFAQKASISA QSSKPVAGPQAPWPEQSAFPPPYPHYFFDAEYEALSKTEAPVPSNVRIDTLEAENEGS SSTGTKETEKELFESSMDKSFIRFSTRLEHNPEQVLRYEFRGTPLLYSTSDEVGKLFA SENTSQAQSNVKVQVSGSKGNSKIPRCETCGSERVFELQLVPHAIAMLEEGIEGIGLG PKDDLGMEWGTIILGVCAADCAPETIGEAGWREEWVGVQWEERLQPK TRV_03269 MTESLPKDKEEDNEKDKEDKEEDTEDDENEAEEEKFDPTLCAML YNRLIRIGFDGSNREQDGESLRTNWFEVWKTDPKVEKCRERFSEPLVKFLEQIEIVVR KDGSAPYHDVLIYNYKSIMSPTGIDDDLGLGVLKDEGYDCICLFSTNMASVPHRLGLV MDLDDLLVRYIPSFSCHYDIEDTRRWYKLEDVLLKLNCMAEIGKYVPVIRDNEFRSTL EPGWKAIPWTDSTLNDTLAAWEDLVNTIATRLPDDSSKRDTQSEPERLAPEDKIPSSI RGFTRGFLLRALKPPFKFIAPGLTVYDYRGPPPPIPMKRDRDGTMIYATPYQEIRGAV YHSPIILFPFEESVEGEVAGLWILPEEDWADSIRLVLPYELKRFPTARRIFFYMQSRT CLFQSPECPLYDDHHTSLRTLFIFWKELVEKGVWTVGAEGIEGGKDFYRHAEDKEKGE WFDVGECFDFPPHVEIHL TRV_03270 MIPRNLISGLYLLPFVVAELNIYGYLDLKTLADGFHTTTACIAA LNQTVDCDARTAVVAAVADTYYWTLDNVTTLCTSQCQQSLTSWTSAVDAACGNRPIVE DGIVKLASSTPLTYKEGFDLVCLKSGDSWCMIESQEWEGSDILKYPTDYCSTGDPEYD GPECFEKGFDQLAIEAGDERMTSLYEKDLLCSDCFLKVFRQRLLSPFLLKGGYTSYLV EQFQDMQSYCSTSMPYATSTSEVFMGTATQTMPTGSPPPTTTCGGPTIQPTDPPLSCE AITDKYNSHLDLHGEFSLASKPRFLKANAIDL TRV_03271 MSPLHILQDSVIANAVFNPANCQIGAGSGGSSTAYYLRTYADYY SIPVNITIFEREDYVGGRSTTVNVFDDPTLPIELGASIFVEANKNLMKAAKNFGLEIQ DAGVARPKEATDNLGVWDGSKFVFQQREGNYRWWNIVQLLWKYGWAPMRTQDLMKSTV GKFLKLYRYPLFPWKNLSEAARSSGLVEATWATGAEFLKENHISEAFSREIIQASTRV NYGQNLPLIHGLETMVCMAAQGAVSIQGGNWQIFSGMVEASQAVLKLESQVTSLKRAE DNTYTVSHNCKANKTEDEVFDQVVLAAPLQFSDIKLEPPVDDQPDNIPYMTLHVTLFS SPHKLSPKYFNLPLSNMVPETVLTTLPKGLNLGHRRDGVGPTGFWSISTLQKVRQPNT EEDEGKYHYVYKVFSPERLNAMFLSGILGLEGPINGTIADFPEADISWSYEKVWHPYP VPYPRVTFESIKLSPGLWYTSGIESLISTMETSSLSGMNVAALMVSEWTEDFNPKMNI FEGESS TRV_03272 MSRAFWRLCELLAHQGQQELPTTFPPETEEELQRLYDQVSGRQR SRSTGGGENEVVATAEMGSNSGSGLADGSANDAFDTQTLKSSISNLSQDNSPMFFDDN SASIINFKPTEPLRITCLTIGSRGDVQPYISLCKGLLEEGHKPRIATHKEFEGWIREH GIDFAPIDGDPAELMRICVDNGMFTYAFLKEASENFRGWIDDLLASSWKGCQDSDVLI ESPSAMAGIHVAEALGIPYFRAFTMPWTKTAAYPHAFAVPDRNLGGTYNKLTYVVFDT VFWKAISGQINRWRKNQLNLKPTSLDKMQPEKVPFLYNFSPSVVPPPKDYLEWVRVTG YWFLDAKPDWTPPEDLVAFISKARADKKKLVYIGFGSIVVSDPAAMTRTVIDSVLKTG VRCILSKGWSDRHGDPRSSQMEVDLPPDIYKIDSAPHDWLFTQVDAVAHHGGAGTTGA SLRAGVPTIVKPFFGDQFFFGIRVQDLGVGICMKKLNSATFTRALWEATNSQRMIIKA KQIGQRIRQEDGVGKAIQSIYRDLEYAKTLTYLRRSAAGSPSNEGIEEENNEDFLDGI EESWTFIGDDIFTKAQDPSSDLSTMMDGAAAGTLPPPDDDEDDVAC TRV_03273 MVLLTATPGIISAIKALPLTAREELSLTETPILNDPISHEQLIS IARRLSSLPSRSTNTGEEDDVAETVDSLTCEGSKYTLNSLLRGTKLYIPPPPPKPAPS PEYLALKAKLLAEAEQNQYNSPLHPLSPSELKRQPAAIFASTSSRIHDGAKQSVLMEH DESDPITPSLVLNILVSILFTGFATYWGLSNFRIPKLSTLLPWSERPSSPSSDPHAYY YYPHAPSSQPFRVFVSLFVGILVGVAEVVVYASYLRKVANAKAKEKAKVEKKVFIGLV EDPPDDKSQVPAKASTSVSFKDGEKEEIWGKGVNGGARRRVRDKWREKENKLEHE TRV_03274 MESKDSSQKPEGAEVVVMASSRAIISGILTPATIVISVATGKIT SVSKTVLPESEFNGQNISYKDYSPWVLMPGLVDAHVHLNEPGRTEWEGFYTGTQAAAF GGVTTVIDMPLNSIPPTTTVPWLEEKVKAAEGQCWVDYGFYGGLVPNNASELKSLVKS NVKGFKGFLIDSGVEEFPAVSSGDIKSAMEELADEKTVLMFHAEMESPGNSEGQGHGR CSTFKSPTSYSTFLSSRPPKLETTAVQEILSLSYVAPNLPMHIVHLSAVESIPMLREA RGKGAKITAETCFHYLSLAAEDIQDGDTRFKCCPPVRGKANQDQLWDELLRHSSDGVI KTVVSDHSPCTPNLKMLPSHIPGNTGELKSGDFMEAWGGISSVGLGLPILWTEIQRRY KGDFTPAGKPFLEEIARWCCSNTAAQVSCDKLKGTLAVGYDGDVCVFDDKAEWVVEPS TMLFRNKCSPYQGKTMKGIVRETWLRGRKVFTRSEGFHGKCSGVRV TRV_03275 MSTIISWSIRPLSLLLVGLALLPNVSQANPSVNVALSASFNSAP YLVELLETAAEENSTSYFPLLDRIADGRFVDATTEKDLYEQFIQVLSEDGHITDPVAL SSFKFALSIRSTAPRIQAHYQYYNSSVENSLMAAQDAVCQVWAHYDGSQYCSPTLEHA QQPLIAGRDESLEKLLPFDRMLVVPNAQDEENQQSLVLYADITSPLFSEFHKAVSRRA RDGEMSYRVRYRPSTAASRPAKPLFVSGYGVELSLKRTDYIMIDDRASGKGDSKETPL DSKPTLAVDGLSDSPTADLEPLSSSEVSTLGLNAASFVMNSDDPFDTLIKLSDDFPRH SKTIAGVNATSEFLAEYEENRKNGLQPGINTMWINGVQMSPQNIDAFSLLAHLRQERK LMNSLNELGLQVQEAVKLLSNPTITMAQKVHGSQRYDYRDDIEGGGVIIWLNDLEKDS RYKDWSDDIITLLQPTYPGQFHELRRDVHNVIVPLDLANKEDITLFVEEIQAFVLNNV PIRFGLVPTQLSDLSKEQAKIAYYLNKTYGLESVFSYFTEIYSSQKFNLPSKVDFETA IKDRVPKDDQSIILFEDVLKSTELDALMQKSRGYLKRLAIDRENPPVYANAIPIERDE RWMHFLIDRLFKDVQGLQRRIYEGDYKGDVWLPSFYLEEASPKFNTLVIPDDPSDITV KSINDAYSKYKEAFETIPRIPGKGDSGIDKWAQLALVIDLDDEHGAKQLANAVAFHKK NPEVEVLILHKPSMPENGPALSTELHKLLDDGRDLEIDAIDTLLDKETKSKRASADAQ KFYSTIQPVITELGADGNGMHVILNGRLIGPLNQTTILDTQDLELALQYERSKRLTPV VIALESLKETDKIRDHLAFARLTSMVALSTISDIPEGTFQRPPMIRTEIFNSWVTKHS AITVSNSEAPLIKIVATLDPATEVAQRWIPILKVLSQLHGVSLRIFLTPLETLKELPV ERFYRHVLEPAPSFRDDGSLNRPGASFHGVPQEALLNLGMDVPPSWLVAPKDSVHDLD NIKLSSLKEGTNVDAIYELEHILIEGHSRDMSKNKPPRGVQLLLGTERDPHFTDTIIM ANLGYFQFKAQPGHWQITLKPGRSDNIFNLDSVGGMGYSPKPGDDNNEVSLLSFQGKT LFPRLSRKSGHESDDVLEDGSKKQGSAKNLLSQGLDFASGVFSGVSKTRKEKHADINI FSVASGHLYERMLNIMMLSVTKHTKHSVKFWFIEQFLSPSFKTFVPHLAEKYGFSYEM VTYKWPHWLRPQREKQREIWGYKILFLDVLFPLSLDKVIFVDADQIVRTDMYDLVSLD LEGAPYGFTPMCDSRTEIEGFRFWNQGYWKRFLRGRPYHISALYVVDLNRFRAIAAGD RLRGQYQSLSADPESLSNLDQDLPNHMQHSIPIKSLPQDWLWCETWCSDESLKTAKTI DLCNNPMTKEPKLDRARRQVPEWTVYDEEIAQLARTVGAKDIELDHTQHEAKETEPED TNKDEL TRV_03276 MNSQNSSSAPSGSEGAALPWILEHLLAYPGSYEIPLRTMYTLNS SPRMTDLSSVSPTLNGSAFSKANTAPSPTGSAPSSRHEKHGSADSSMSDTAARFKAQM MAQIAQLPSQPASLPPSFITSFVRRSFPPVLEEVDFPQALTALDYLRDLENRRKREVV NAFDRLGLTGTESERQELSRRYPGVLAWLNKIQENERNVIALYTQVYLRIRYWALVNE MLLTPFNRYNCIALLNTLFPPLDSRPPTPHLNGKLLLDHRMRFYDYICSFEKKGVQVL KHVIEQDKRPEDKTGWPVARCMIDKYLDLANSMINECSEVTGCQHFAESSEGQRKGRK VDSGISFTSSERPSTSGSSSSSSKNITKPSTPPSQSQQLKGTNGSTLEKIAREIKKLK SRGDLRDAAKEPKRPNTVKKIKSSGLLRETMRGLSLSDEPTFDPDKFKQQRKQWEAKN AHKLRQAAE TRV_03277 MPFSFSFLLASLDINDAEKQSGGEEKKEKETENHDGRRETTGKI KGE TRV_03278 MQIAIEFGYNNTAFLHEPVYLEKIGKNVRKLELFGATKAHKPLA FEAILGVALYIFQENPLDSTTPVPAPRGGTEAEKSASAAFLECFIQYNELVFRAEYDP LRQVGVLLMPHNVHIHGRETRKDEILAIQPSLSNVEDVKKMKASYPILSIATGVTYTL VDFTNSPNLLNLLEAGEPPTPELDTSWKQRAVDESVVSRHYGAVYFVQDEADFTEEPY ITSLQVRVIQDGVEQTATAGACSTLAAYLALQKGGNNSSHAFAMKQTTTTGRSSQLCL QIGLDENGTSVKRIVLSGRCTLISQGTLM TRV_03279 MTSTILPGDILQLSQPNAPKLGPNLHLLTSQAKAQEPQEPTSQI IAASQAGLLASDNKRNATTSILPFPSTRYIPCQNDLIIAQIHHSSPDFFHCIISPNSP HAFLGQLAFEGATKKTRPQLKAGELVYARILSVGVGAGGEVELTCVNPATGKAEPDGL GPLNGGMVFDVSTLFASRLMMTGPERKDGAGPGGVIVLEELGRKFESYGGFEIAVGRN GKVWVDSSAEGDVGVMMVVAIGRCLRETDEKRLDVVEQKKLVLRVLKEMGVGK TRV_03280 MSNVHTPPPSYGESAQTPAPPPLGRPELSPLTLDGNLIYPVVPP TTALYELTHELDAGYRKIGISRLCPNRNTAQHGNVREKHIYDFSQPIFSSSVEITGKR KSCLSAALCLRMSRGIIKRGWELFRLRLNGQTDDLIFRIRPRHSPEKQETLQWEDANQ SLVAIETVCIPGGSNVRPVFHIVCDMDDRFIDALITAWCAKIWIGHQFSAAAMRDPEG DMGASRTFGG TRV_03281 MTISGIANSTGTSLFLRGKGLCSTTAQHDWVKVCLRDATLMLTM AKLKPEPELVFERKNKNLLKIPKNARIEKRPIPHPPAASPYAGANVPKVVYVSTKSPF MSIAKRVQKLLREAEKRATQSICLGNKRKSSKDKLTQLKNASEALRKEPVFIKATGRA IDKAMEVGKWFDKKDGFTTKVKTGTVMVVDDIVRDEVIEAEKQLTGDAQQTDDQEDVA SKADAERKQGKNNSKPDNGDLSDLPDSRTRWVNMVEITITMD TRV_03282 MSNKKNEDQDMSRRDEKFRPAPQYRARGDSGSMGGILSQLENSP GAAVLAYCFSSISMTVVNKYVVSGSSWNLNFLYLAIQVYSLFSFVLQRFSINVLR TRV_03283 MIYTSIKALQFLSVPVYTIFKNLTIVVIAYGEVLWFGGSVTPLI MLSFGCMVLSSVVAAWADIQAAVNGFGHSGETAAAISTLNAGYAWMGLNVICTALYVL GTRKFITSLNFKDWDTNLAKNFPAESRNNILIGMLYSGLGAIFISYSSAWCIRKTSST TYSFVGYLNKLPLAISGLVFFDTPVTFGGVSAILLGFFSGLIYGYGKMKQKEMASQVL PTTRPTMSASSQSQKDASN TRV_03284 MSDQAAGVASDATERCAIGISFGNSNSSIAYTSPDGKAEVIANE DGDRHIPSIISYVDGDEYHGTQAKSQIVRHPADTIAYFRDFLGKEFKSIDPTPCHQSA HPQQHEFTIAFTVQEGTEEEKSTLTVSEVTTRHLRRLKNSASEYVGKQVNAAVITVPT NFTDAQRSALMESAKGAGIEVLQFIHEPVAAVVAYDARPEAVVTDKLVVVADLGGTRS DVTVIASRGGMYTILATAHDYELGGAQLDQVLIDHFAKEFIKKHKIDPREETRSLAKL KLEAEATKKALSIGTNASLSIESLANGIDFTSNVNRLRYELLSGKVFGSFTDLIKQAV QKAELDLLDIDEVILCGGTSHTPKIARLVQSLFPDITTVLAPATSPTAIHPSDVAVRG AAIQASLIEEFEMADIEQSTHPMVTVTAHLSKAIGVQLVSSDEANCIFRPLLNTETAL PARRSAQYPAPKDGGDVLVRICEGTREIKVTKVEPKPKAEDEDEDEDDDDDEEDEEEE VREIAWNVSKPIAEFAIRDVKPQGKIEVMVSVNEQLAVQVTAREIGGKGGVRGAVEPP QVVENGA TRV_03285 MAAWAYPPLPAERLKEKEEISLSNELQWLLNSLQGTLASLRDGL QECYALLAPNDTGSTLVLSSMRSESVKGFVTRMGSKIVKGDVQLRLHSLPPPRGSPST RLCLSSNPAAPELVLNQLSTVRRLINDSLDIVDISTYTGDSRDASFISGQLRLLGDNL AEARQTLKGEGEGIKQPWFEGSADGNSFDPPLPPYLSFHLSISEAALVLYLRTLESTS AESTPATSFAPHLSLGGFSLRDQLFGVKQPTHDETGDVFQWRGEEVRVQEKVRVESQD PSLLSAMAKISALEHEVARWRAALSTLMGDESD TRV_03286 MGIKQWLLSLAVVAISSTATQARVDDPAGKAAQYHKEYALFRSA NMPSPDKLASGVGFHSFRIPAVVRTNTGRILAFAEGRRHNNRDYGDINLVYKRTKSPT NNGENPTDWESLREVVGTGPHTWGNPTPVVDGNTIYLFLSMNDGAYSQNGGNTLPDGT KTKTIDSTWVGRRHLYLTTSTDDGDTWTKPVDMTKTLTPDGQAWDAVGPGNGIKLSTG ELVIPAQGRNIIGHGPSGNRTWSMQVLKGAGSEGTICQTPDGKLMRNDRPGPMGHRSV ARGTLAGFGPFATDNGLPDPACQGSILSYNSDEPARTIFMNSASTDRRTAMRVRISYD KDAAKFNFGRELKDAPLGNVGNEGGYSSMTKTSDYKIGALVESDWYEDKGGEKSHRCI IWRRFNLSWIINGPNN TRV_03287 MKPLIKRFCFLSSLNEKDDISADYEYPKLPRTSDVFVQLPVTHT KRFNSTSTDPSSPVRKEEFYQLLDKIYASHEELVQQIDELELKDLPESAINEDLDLIK SISTIVGYRTPEALEAKVRAVWEKHGVFVPPDELHEDGLKLYRRLYGEPIITKPEEPQ KEEDQIFRENKDGEWEEVEMAQDHHSTEPKAAEGEYLVGIPESAGHEVDTHTTERSRQ VAASLGAELFTEPPTFDSEDPFVQSHPFVDEGKFGTSPRSVTAPAATMTRPITQMTAA FSNKHISDTAHKIFGGDQLPDSIRVVKSRPKNPIPLTASQKQMSPIESTLFMSVLYPG IYASVLSALVETRKRLGTKWIRGLMCKEGGPSILDASGGGAGVFAWREVLRSEWSLMY PDHPEGSLATGKSTVLTGSDTLRHRVSSLLENTTFLPRLPNYLRLAGESSLGPQKPGN RKNYDIIVAPHSLLHFEEDYQKKDYIQNLWAMLNPKGGILILLEKGHKEGFAAIGGAR AMILERLIKSPGSSEVSDPDPAPETQTGQIEKSKGMIIAPCTTHARCPMYVEPGKMKQ PKQFCRFAQRYVRPHVLQRILGNPSHNHEDAEFSYLAVQRGVDRREVDGLVQDKHTTN AAFAGYEHSDDINEANANDAKKEIIDPLLWPRVVLPPIKRKGHVSMDLCTPEGKIERW TVPRSFSKQAYRDARKSSWGDLWPLGAKTRIHRPVRAIKIEEATPAKKRIVKPGADSR RRRAALADESEEFDSDEEQGRKLADRVKSYHDQRITGKNKKENKVPKWARQMEVKRDR KYGKQLGHE TRV_03288 MASALSASVSSFRASLQLLESSIDILDEGVNDFPRLCKVLQSTR HFELLPEPTLKEAQQAIMDEITPSISHLITLASNHTDKLARRQEALKAKAELQEGRLY QEPRSSRSDLHHDRKRTSMAGGVPGSKAAELRRLTQKKERLQYAVDRLELQSKQRERQ LRKSMAAP TRV_03289 MLDLQGLCFSFLHIPRKLHLALLQPVSRATKSVVKRSIMFVFRR EDLPKDPVFPTNLKQLGYFINDKDEIRMISNPEEKFLYRINANERYNELQKEAMNTCI RNIVISRLHDQGLETLRLPLGAKANEQHVPILVSPTFKTDKRIIVVFGEPVQDLGIWA YRIIGHETINSGSAVDFSAAVLGGKGESPAPGLILTNPGQLVWHCAGENAISLPSWLA LPRKHAVDPPMKMTVRNKIPGHETWQDHITYVFDEVLGKLAPDAKIDIIGLAEGSLAA VRYLAEHWSTWKSRISSMALGNPLHDMNHLHPAEFAEFISTRCRAYLISDKELGQPVA GRYEYGCNCYSSGESKNVECIIPRAYESMLKWIDDMHDKPELKETEVFVSEDFEIAEP GEEFGGGNGNGAVVEELN TRV_03290 MDSDPPLPSPPRLNRKIRVAAQSSKTRPPFPYGSSTSSKSLNKL LGSSGTPSSDPPLFSSDDFQSSALENYDTTAREDAEDGLPEGPIGRKRRYRGTWWGQK LGNELQSMQNKRTKRMGFKSKRNVDSGVWLGSTDTTDDGDESAAVFTCGSDDSVFCEE MGVSTGRPASITRCSASRRFPAPETAGIPIESSSKSPVTKGSRSTESTAHAKARQIIN TCLDEGDDRVDLSYLDMGTIPPHILPPLAQLTKQPTVLEHGASAQIFSPLEPSLQLYL TRNLLTYVPKEVFDLVSIRFLSLRQNKLKEIPGAIRKLTLLQELNVGGNNLRYLPWEL LGLMQEHGVLKNITLYPNRFLRPDQSEVVKWHINTEAGGIIALYPNTRESENGNAPAT SSEALEKWKPIHVATSAPEYFDMEGRAVDRQRIIPTNTPSLRDLALKACSRSPYFSRF IEDDEIMAPPGSSLSSSLPSVSNTRYQDPIVRLLSFANEVRQTGEKICSVCGKSYVMD KVRWIEWWDCKPAETRGQEHGRKPGQRFCPLPFMRRGCSWVCTPDLPTAEEEV TRV_03291 MRFFITFLSALLVLFAPFCAAQDVVYSTSTHTQTVTMTIIHSVS APTPSMKPPVSANSTAPYPSSMMTKTWGTGTGTGSVPTPTGSNPAPQPTFNAAPAMVA SYGSAILAGSVALLFGAAL TRV_03292 MLNIPLILGAIILLGTRKAATAALPPRPCAFAVTAANDDTCQSL GAQWGIGMAQFLKWNPGVNCNALVAGKTYCLSAGDSEPGPTASLTPSPQVPTTSRATQ TMISKASTGTLVSRSGPIKFLSGMASDCLFYHPVSPGDTCQSIVDQYKAFTLDQFYTW NPSVGKNCESLWLGYY TRV_03223 MPGKKRASTSSRGVANLTAEQLAKKRANDREAQRAIRKRTRTQI ELLEQRVRELTSLQPYQDLQEALRQKHILQAENNEIKRRLASVMATLQPILNHHSGPL TSTIPPLSPHNDVPSTRVHRPNSVANADIPPTPPPQSDYAGSQQQAPQGEPSDRRLRN PVSVESTNGGPGAYTSSPATSVSPSPSASSQAHGHRQSWQQNAPTSHNADNAWPPHNQ LFDYQKRNLTHNLELSGNGERLGLNFLLDSTQNVPKVNDFRIGVGSSSANGPLSYNNR LNTPSYGDSNMCPYSIPIRNVEPTCPLDGILLDFLRTRQREAAEGVPNKQLVGPPYPS VSSLLNPAKGIYSHPLSKVFTDILSKFPNISNLPEQVAVLYVMFLLMRWQIYPTRENY DRLPEWMTPRTSQIVTPHAAWIDYLPWPRMRDRMVASYADYDFSNWFIPYTTGLSLNW PYEPTDTLLATNDSDELIINPVFERHLRDLNNWSLGTLFATTYPHLVDTTRIVPESNS QHKNRSSG TRV_03224 MENGIVKNWEDMQHLWNFTFYDKMKIDPTGRKILLTEPPMNPLR NREKMCETMFEGYNFGGVYVAIQAVLALYAQGLSSGVVVDSGDGVTHVIPVYESTVLN HLTRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQIKEKLCYVSYDLELDKRLS EDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDVDQPGIAELLFNTIQAADVD VRSSLYKAIVLSGGSSMYPGLPSRLEKELKQLWLTRNLHGDPERLDLADKDNMWISKQ EWEEQGPRALEKLGPR TRV_03225 MSPQSFSQAAHHSHTPRDEDQFNSSTSERRSSLSRRRAAAAGPS NISSSTDIRLRELKPANKSTTLFSADDGHTKSSYTSAEEDSDGDMAIGRPSMQRPGES RSKVPLLKGDSRGRSASVGPASMEEGRPSLSRARPSFRSKSPDFGAKNATRKKYIIAA FALFISLISFTVQTQTAVNIQQELGWNKPYCMLYLTHGSWIFLWPVQLLVLRIQKRHL TWSAFWRKHVYILQTTAQMVETQELHLTTRQSNKSPVPYMVRTTVFVALALTIAGGSW YVAVDLTTSSDLTAIYNCSAFFAYAFSIPLLNDKLRFDKVFSVGVAIVGVIIIAYGPG GPPEGTPAGDAETLKASNRALGNIIIGVGSVLYGLYEVLFKRFACPPEGTSSGRGVIF ANTFGSMIGLFTLLVLWIPLPIFHLLGLETFQLPRGETAWLLIISTLSNASKYKSPSA IIFATGILTKYPPAFSGSFLVLISLTSPVLSSVAALLTIFLVTLVDWKFNHKALGFTS IVGGILITVAFLLLSWSTYRELDEERRKRMEDEGPDSESDE TRV_03226 MLVVPEVPRVILGLMTFGPDEKAMARITSIDEFKKCLDLFMSKG HFEVDTARVYVGGKQEAFTAQAGWKARGLTLATKWYPRTPGDHKGEKVRAKLEESLRE LQTDCVDIFYLHAADRSVPFAETLEAVNQLHKEGKFVRLGLSNYTAFEVAEIVTMCNE RGWVRPTIYQGMYNAITRSIEAELIPCCKRYGMDIVVYNPLAGGILSGKYKTADVPAD GRYSNTHSGGELYRRRYFKDATFDALKIIEPVAEKHKLSLVEIAFRWMLHHSALNIKE GKDGVIIGVSSYEQLEQNLDDLEKGPLPDEVVAALDEAWMVAKATTANYWHLDLKYTY DTQAALFKPKESHDPMEQAHISGSRPSSIHQIVSLVLINGFFFFFFFFLLYRGWKMEQ DKHRYRFLIINPNTSAHMTDAIKPIVNGVNFRGKSAAEIYSGGSELSGELSAVRFDYF TAPTEPTVSKEGNILEGIPSINNGRQSALSAEHCFPHLRELVGEYDAFLVACYSPHPL VSMLRRAIGERNEKLQRESTDGKASFQRRRQYVTGIMDASVEMSAYFAGLNSAGLAEQ HAPGKFGIVTTADEWKEELDIAAREMLQSRGSDPDLIFAGVETTGLTAGELHSAPAEL VRSRIKSATERLKDSAGPSLRVVSMGCAAMAGMEEAVLEGFGESQGVVVVDGTAAGVA VLLAQFRRTAWWFAWVDVVACPQVKTAGASRFSSEKPQPQSDVINSNAQVRSSGDGFR SYYEQYTDISGLCREIITIQAGQCGNNIGTQFWQQLCLEHGINQDGNLEEFATEGGDR KDVFFYQVLSAIQNGPYSNIYNPENFFIGKDGSGAGNNWAAGYATGDTVQEEIFDMID READGSDSLEGFMLLHSIAGGTGSGLGSYILERMNDRFPKKLIQTYSVFPDTQAADVV VNPYNSLLTMRRLTQNADSVVSLQRPINSYLKMQTRHQTGN TRV_03227 MSASTTTLRYPGYMHNDLVSVIASLIPIPRAHFLITSYTPFTGD QVEQAKTVRKTTVLDVMRRLLQPKNRMMSITPGKSSCYISILNIIQGEADQTDVHKSV LRIRERHLASFIPWGPASIQVAIPKKSPYLQNTHRVSGLMLANHTSVATLFKRIVSQY DRLRKRNAFLEQYKKEAPFADGLGEFDEAREVVMDLVAEYEAAERPDYLGGGAEGDHG DEG TRV_03228 MSTDQKSEEKINQDISLRNNNIDEEQNEISKDLPAYQNDPFGDE EFSDVKYKVMTWW TRV_03229 MIAETISLGILSLPSAVAALGIVPAIVIIISLGLLATYTGYVIG QFKMRYPHVHNMADAGEVLMGPIGREILGAAQLLFLVFIMGSHILTFIVMMNTLTDHG TCSIVFGVAGMILSLVLALPRTLKNVSWLSISSFISILAAVFVTMIGIAIQHPGKAVE ITVKSDLYHAFLAVSNIVFAYAGHVAFFGFISELKEPAGYPKALYLLQGSNTTLYTVS AIVIYIYGGKDVASPALGSTGPILRKVAYGVAMPTIVIAGVINGHVASKYLYVRIFRG TNKMSQRTFLSLGTWVAITVVLWVIAWIIAEAIPVFNNLLSLITALFASWFTCEFLPI QLNHLALQYENKQLIMGSTDGLSGLFWLYINKGKYFSSPRKIFLTFLNSFVFVAGATI VSVKSRIPKLV TRV_03230 MPIFEKQDNFPSHCLACAKTRVPAPPAVAETSWTTHRRYLRSGI INISCIFVLSRKSFNSSSSSRSGGVWSSNGLPPASSLSLFGLFFFSFSVSWSSSLPAG EDRAVLRDDADETNAWLLAASESSPSWSVIDEGVAASADGAEVGDVPFVVLGAARRPV VCIIEPSLRESINVDCTPGRDVR TRV_03231 MGSSQKKKNEKKKDFQKTKLKVGKKKAAPSNFTNTSFKSKGSKT DTQRKESLSHLTTAISSRPVDSPLPRPVGVILPLLFPLILDGNNGVRAQLLKLLKVLP QADLEGHASTFLPYLRAGMTHLAADIRLSSVEILSWLLSVAGQELVSAAGGWVKTLNC FLSLLGWHTETSTKWSSNKTSFGKSGSEGKLTARVLQIFAEFLQAGIGKPDEQSLLKE GQGINDSQACFPLRHVQYHLLPTRSSPFAYLDLFGSIKSEEADMYESREDRLRVFTQI FHLPVQRGLDAARQDGGEIGRVSAAARKVLRDCNLSLKS TRV_03232 MPSEETEDEEETPVKMWFNVISLSARKKICGPYRLRRLFLHRSA NDVLFHVAVPDIRPLLLITYQINSRSDAFMEATEPLPSSTMASPDTPRRSSLSSVSTG FTSPIDQDSLAATNGMPEASPGTVSDMLGQMRDGELLQKGTIQETRLAAGALSPAKSE QPKRKREEESSSDDDSSSDRRPSKVSKCSEDDIPSLQSHSSDGFENYQPQLEYLPLKV YQHILQKLDLLDIIHLGLTSRFFLRILAIHLQSILTINLGQLAGQSIICLGDVTGQND FPPVVFTDPQLRSIFAPHLHKLVDTDHPTLPEFLRECRPLLSHRLRTPSDCGFLDSKW FNDEFYKLPITVIGQMIELLCPTEGDFYPPGAEWILRNITTKEYVYKPKNGLGLGDVI LFKASWASQPPAGIQVNVGAPVHRGAWAGHRFEIVQLEHHEREMMAETTAETIAGSST EKPWTDVTTEVIDELEEMWEFECGSCWKSLTRRPIG TRV_03233 MRLFITIPILLGSLGSSSPIKSRSNSQVDIKASEQIRVGYFDGD TFYSPPFAPPRVKSNNELHEDGDEPVADTAIPDWPTTHLLARRLLAISSTAVLSTVYP KGYRDGTLEGTPIGLPDYIADCSAYDNNVALTNILGRGNPLLLALNIGTTFRNAKAGS KVSLSVDWWQHSRILPEGEDPLDRVPAGLPRLSLLGRIEEIQLSSLSKGDKEAIEQCF LKNHPDSKYWLPGSPKSPHSGYWARLVVEKAFWVGGFGDRARIGWLDMDAWKTVPENS KDGKKGWADIKLPGE TRV_03234 MEQYNKQASEFIFRENNAEGRVAADTIDLHGQFVEEAEDILEER IKYARQHGQDHLHVIVGKGNHSVNHVQKIKPRVEQVCRDLGLQYATEENAGRIYVNLT GGPANMPPHSSHPNQGHNQPHHGQPQHGQPQHGQPHHGQPHGQPHHQQGHQQETPAEK IARKAVPIILRKLEKACCIVM TRV_03235 MSDRVAPNLIPPRTSSTGVTKPTAEEEWFASSARRSCPGSIGYQ RGKPFSTRRGKPSEAMWTAGKENIVLGPYSYTVNQPGKDIRGQLIAAYNRWLQVPEES LASAVGKLEQNEATLFANILPPSIDDVEDSSHLRRGAPVAHNIFGIPQTINSANYVYF QALDEIQKLKSPEAINIFVKELMNLHRGQGMDLYWRDTLTCPTEEDYLEMVGNKTGGL FRLAIKLMQAESSVPIDCVPLVDLMGLMFQVCDDYLNLSNPTYSKNKGLCEDLTEGKF SFPVIHSIRAQPENRQLINILKQKTNDNEVKKYAVKYMESTGSFEYTRKVAIELRDKA FLLIEELERTFSLIPSQSEANGEAGSGNLVRAILNRIIDPVLMDCGDKRTSSEN TRV_03236 MGLDYLMVHVKYNIPPALLLTILYKPFFTRLEVYKIVFLCTIAV VWTTPWDSYLIRTRVWSYPADSVIGHTIFRIPLEEAFFFIIQTYNTSLIYILFNKRLI LLPYLSGPIKPLTQGLFGTVALRTWRDFGILFFTGISVLGISCIRAGGEYMYLGLILS WISPILLLQWPLMYRFLLGLPPASLWVPIVLPTLYLWIVDTLALRRGTWVIESGTKVD IQLWDGLELEEALFFLVTNVMIVLGIAGMDNAIALFEYKAFVSTTAVGETPSIPRLLT LFFTRSRRYCDTNVLREMSQAVTLLKQKSQTMYLGSAMFEGQLRLDLVALYSFCRKAD DLIDDAPNRATAQYWIKQCEKALELRFKLKGAALDNTAAYQQLTKSIPPQLHAAVHLL PASRLPKGPLSDLLKGFEIDMKFDSERGIFPIATEHDLEVYAYHVAGTIATLLLELVF RHHPVSISDSERLRVISAGEGMGRALQYTNIARDIVRDAEIGRVYIPSVWLAEQGLTP SMVVNQPRNPKLIPLRRRLLDKAEKCYRDTQEAISELPANVRAPVRATVTVYMDIGQV IRENEMKVWNGKLKVSRWRRFKGAWLAMS TRV_03237 MHNTCPPTPCRYTGDIPEEFNGGQYVRNGGNPSMNHEYGRDVHW FDGDGMLSGVFFRKVKGKAVQPEFVNNYVLTDILLASRANPKLRVPILPSVTTLINPS SSIFKIAYAICRAILLVLWSYVNGSKWSIKKISVANTGIIYHSGRALATCESGPPMRV TLPELDTVGWFNGLRAEGEPEDSISPSLTGFGGPGFTGFLKKWTTGHPKVDPISRELI VYHSTFAQPFVHYSVVPDLRAQEASLEQSEPLFNLPVPGVKSAKMMHDFGVSRQHTII IDLPLSLDPLNLAYNKPVIEYDFYGRTRFGIFPRRKPDQVRWFETEPCCILHTVNSWD DRITDKGATVNLLACRMTSAAIVFNTGNIRPPPNLRNIEEECRLYYFQFGLSQAENII SHQWALSVISFEFPHVPQHLAMSATQYVYGCSTSKGTFSTALGGATKIDCLAKLDVKA LISKGLRSPPRSVTGSVDSRSIQEILTSNDPDDPIKIFQTPSGWYLQECCFVPRTNGV SEDDGWLLTFVFDESQLDEDGFAPVGSKSELWMIDAKTMRMVTRIYLPQRVPYGLHGW WFSEDDVCNQRAVETCRSG TRV_03238 MKKRTAIIVGAGAGGVATAARLAKEGFKVTVVEKNGFIGGRCSL IQENGYRFDQGPSLLLLPRLFVDIFKDLGTSMQDEGIQLLKCEPNYRIWFGDHDRVDM STDLAKMKLEIERYEGDTGFDQYLSYMKESAQHHDLSMAYVMKKNFTSLFSMLRAEFL FSTLPLHPFESIYSRASRYFASEKMRRVFTFASMYLGMSPFDAPATYSLLQYSELADG IWYPAGGFQGVLEALANIGKRLGVKYLLNQPVSSIQLSKDGHTAVGVKLGSGKTLTAD TIVINADLVYAYNELLPISPLGNDLKTRPSSCSTISFFWSFDRVIPELQPHNIFLADE YRESFDSIFNDHTIPADPSFYVNVPSRVDPSAAPQGKDAAVALVPVGHLVDNSAWADD MDSVVSKTRERVIDTIESRTGARGLRDCIISEQVNTPFTWKEKFNLHQGAILGLSHSF FNVLSFRPKTKHDTIKGLYFVGASTHPGTGVPVCLAGSSSKHFLVKQALLETSVVQLS WMQTRYALLLADTMSVTNTPKVVMLRVGERTFHTTAETLTERSDYFKAYFSGKWTIPT MEDGSIFIDADGEAFEHVIRYLRRGVFPLAFDAAKGHNYHLYTSILEEAIYFQCHRLV TWLKDQCYHKCVTWHTSVKEVEGEVEGETMAVMGDGSSRAMSIVRAATKEKKTYVCPR EIFSHYGQKWKCGRQCHNAQAGEDIKYDVEHIPLWHILKTEHNFNNEWMTDDG TRV_03212 MDCQKPWGYRWRSSKSFMLSIVVLALFAETFLYGFIVPILGYML EVRLHVDPSQTQSLTSSLLAIHGFMTLVAAPIIAHFADKTPNRRVPLLIALAACAVGT ALVACSPSGRILQGIAGSATWIVGFATMVDNVGMDNIGKTMGLSMSFVMAGVIFGPVI AGSLLELVGYWATWSVPLIVIFLDIVARLLMIEVRKQPEGHHDSAQNHQTDTLANEET SLLQSRGNSNEASGTDGSPKAAAEEPVSSHNFYVTALRDMRVVIGLSSVICASSVVAG FNATLPLHLSRIFNWGSLPVGMMFLAMQIPSMLLGAPVGWLRDRVGLKFPTAIGWAFL APLLWLMGVPGDSNFPWAGADNNGPAIFVSAITGVGIVYTLIRGAGVVQMTIAVNEMQ IKNPKIFGEHGGNSRISSMTEVAFSLGLVIGPILAGSLTELVGYYYMNFVFCEYTFVK SLLSSLLIPR TRV_03213 MAQPSAKSPAVLTASEDSLPPCILPELGKLRMSKGFYRQKEYTL TMLPLDIILLIADHLHSVDRTMLWLTCKTFHDSIPMKGYHPIACPSARVRAMRLLYDS PLLPYFGHNSKFLCMTRSRRNVRKAVEKFQKCSLCNFFSKMGKNIQCPFHPPIDKGLY SFPHFRSLTHEDRVMRKLTSSSSLTLQSYIKGCLPRVLDKLGSCSISCEKAGFPVSWD KELENMEKLYNSQIRDRQQMLGIGRSSHHHEPTKWRNENWRINFADSNIWVFFLCNHC MNILPNNSPMRGACIFCGCSDCGMSKPKFLRVCVPGERPRFIILGQIAFFPRK TRV_03214 MQFNLFTTASILLASTSLLAAALPQSLANRNSPPVCGTCNPVSG KNFCDITTSCINTGSTFHCACRAGYKASPNNNDITKQFRLPFKNYEFLVFVPQNTACN TLCNDWTLPPPALCSEVPLYNQCKV TRV_03215 MALFPLRLQINYEEEKTVLKEFLQNFKSSQSASEASATEAISGL NIDEDDLDALDEMDEDGEENGQTTRERREPKLKYMALLQEIADRTKNHIVIELDDLDK VQSTPDVFMKSAPEGPYSDLAERITNNAKRYIDVISEAIDDIMPKESSEVTFKDDVID VIVSQRGRRNETLDLNLEADPDADVQASTFPPELTRRYTLNIKPITPSGSSSNPKAKA FAVRDVRGAQLGKLITVRGITTRVSDVKPSVKINAYTCDRCGSEVFQPITTKQFLPLT ECLSEECKKNNSKGQLFFSTRASKFVPFQEAKIQEMADQVPIGHIPRTLTVHLMGSLV RQLSPGDNVDIAGIFLPTPYTGFRAIKAGLLTDTYLEAQHITQHKKAYDHLVMDPVTL RKITRHASSGNMYEYLSRSIAPEIYGHLDVKKALLLLLIGGVTKEMGDGMRIRGDINI CLMGDPGVAKSQLLKYITKVAPRAIYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALV LADNGICCIDEFDKMDDGDRTAIHEVMEQQTISISKAGISTTLNARTSILAAANPLYG RYNPRVSPVENINLPAALLSRFDILFLMLDTPSRDADEELASHVAYVHMHNKHPETSA DEVVFTPAEVRQYIAKARTFRPVVPKSVSEYMVGAYVRMRKQQKQEEGSKKQFTHVTP RTLLGVLRLSQALARLRFSERVVTEDIDEALRLIEVSKSSLHQDSQTGMDHSPTSKIY NLICAMRESGAAAIGDEDEGTLSMKRIRERVVAKGFTDDQLSQAIDEYAELSVWQVSG NGTRLVFIEAGDGDAMDL TRV_03216 MAPSKRTPTLAEVALVPLKSCLVNLPSPLVSLLVNANTPAQNVI VELQHRGKPTSASDPGKNGQPVQRSTHVGWTGMPSKRKLAPVVTRDGINGTRGQPKDQ DVAVLEIDSTFGRVLGLSDGQKVGVLLHLDPPIAHTVNIEPLTPADWEIIELHANFLE LNLLSQIRALPNPAYTPSAGQAEHTHPLTLHLSPTSTANITVTSLTPPAPSSSPFAKI SPDAEVIVAPKVRPKTGRSSQSGNRSTASTGRRSQGARSVSSVQRPRSSQSESSSRGA LFLRAVDRKAAVNWFDEDAEAEKDEGLKVWIDRDVLSKNELRGVSWACVSIVRPAALQ APVDPQHQAQQKELISPEAGSISRKLVARLLPWDESPDTEHIALSSLLCSALEFDGMV GGLVRVEAAPPPIQKPAVKTLKLFPFGTDLSKKKEGFRFGGDSASARDAFVVRLKMVY GSPGSDKGILSGPITDGMFLPKLDDQMRTLDFDGGMVKFDPPVDGNSVDAKPAFAWML GSEGKIAIEVQAAIPKPPNLSFQPIPADEVLPTEIPKLVGIKPVITNCMSNLTRSSSI LLTGGLGSGKTSLAYLLAHQLREDYLFNVTYFPCRKLLNDETRISSIKETLRRLFLSA SWCARLGGNSVVILDDLDKLCPVETELQVGGENGRSRQISEIVCSTVREFCSASSPVV LLATAQAKESLNNVIIGGHVVREIISLKSPNKDGRRLILEQLTKEDKTSSLQGEVNGH ARNPSSSSQDSWLNPSNPTSRPGSSDHSDGFVLSRDLDFLDLAGKTDGYMPGDLVLLV SRARNEALISAVQDTTSSSSVITLGTEDFDRALKGFTPASLRNVTLTSSSTTFSAIGG LHSTRNTLLETLQYPTKYAPIFSQCPLRLRSGLLLYGFPGCGKTLLASAVAGECGLNF ISVKGPEILNKYIGASEKSVRDLFERAEAARPCILFFDEFDSIAPKRGHDSTGVTDRV VNQLLTQMDGAEGLSGVYVLAATSRPDLIDPALLRPGRLDKSLLCDMPNHADRVDIIQ ALSGKLKLSDDVMSRLYEIAGRTEGYSGADLQAVVYNAHLEAIHDALGDRTPAPETTK SSKKSKSSSSAENSETSSKSSQANRPFIQFLYDSKEHTLATTSKGVRNTALDPPAVIA AKIEELKKAHQRQKQAQRNPISDPASKIDANNASGDAGLDDDDKDSDEISIEWTHIER SLATTRCSISAVERKRLESIYREFIVGRNGEMPTGEGARDVGGRTTLM TRV_03217 MNGPTNWQTEGRLQQATIDLLDGSDIQFRREHRLDIALVKNLPV ALIFLPAADIPTFVGEGRVDLGITGQDQVAEHDIRRPPGEQSGVEEILDLGFGGCKLQ VQVPEKGPITDPRELIGKNVVTSFTGLAESYFAKLEGASRKADGSWDIQTKIKYVGGS VEAACALGVADGIVDLVESGETMKAAGLKAIDTVLCTNAVLVKSRKTTNPLVDLIVPR IRGVITAKKYVLCQYNIPRNILSNACAITPGKRAPTITALEEEGWVAVSAMVEKKQIA TVMDDLSAVGATDILVIGIANSRTTP TRV_03218 MSQTPSLKRKQQTISSFFTKTVPSPSSGGSISEQKNGKRDTSHQ STQELPGRSKSKVSPKAKTNGTKQDVEEDEDEDEVVLPVKKRIRSNAWKAPENGSEKP VTGNHDTEEDDDDKEVDEEGEELRPSSPIQNTEPQSSASRAERFRFQSSPVQPTTDEQ ISPAERKKKDQLHQKFVRRLGGPDCLPALNPHDGASEVDPVVEGAASDEEEEDSPPPA PKGRAAKKAGGSKLTPMERQIMEIKNKHLDAVLLIQVGYKYQFYDPSEAHLTRFASAS VPIHRLHVHVKRLVAAGYKVGVVKQLETAALKAAGDNRNAPFVRKLTNMYTKATYIED DAELELSGALEPSTGYLLCLTESGAKGQGDGEKVQIGIVAVQPATGNVIYDSFEDGFM RSEIETRLLHIAPCELLLIGDLSAATNKLVQHLSKGRMTTFGDSVRIERREQSKTAAA EAHSHISSFYAGKMAATGSPEDANASNLLDQVLKLPDDVTICLSAMIKHLTEYGLEHV FDLTKYFQSFSARSHMLLNGNTLTNLEIYQNQTDYSSKGSLFWSMNRTRTKFGQRLLR RWVGRPLLDKVKLEERTEAVTELLDSDKSTLTYNLGATLSQVRVDLEKALIRVYYGKC SRPELLTMLKSMQSIAVSFAHVKSPADSGFKSPILSESIAILPTMHDDVVSYLNKINE TAAKKDDKYDFFRESEESEEIGEHRLMIGTIEYELKDHLKSIAETLGKKKVQYVSVAG IDYLVEVENSQGALKKVPASWRKISGTKKVSRFHTPEVVKMMRERDQQKESLAAACDA AFLKLLSDISTKYQLFRDCIQALATIDALHSLSVIAAQPGYVKPTYTDDTIINISQGR HPMVEKVLIDSYVPNDTQLSTDETRALLVTGPNMGGKSSYVRQIALICIMGQIGSYVP AESATLGMLDAVYTRMGAFDNMLAGESTFMVELSETADILKQATPRSLVILDELGRGT STHDGVAIAQAVLDYMVRNLRSLTLFITHYQNLSRLASAFPGGELRNVHMKFTESGDH GQDITFLYEIGEGVAHRSYGLNVAKLANIPAGILEVAQVKSQELEAKISRKKMGGVLR GIFQTLSDETTSDSAGEQVERLLNDIELL TRV_03219 MNSNSVNMPQSELKCNICPRKPKFSDVSHLLTHVSSKGHLSHYF KLQVRSQQEPQAGELLAAYDRWYKQNKLANLLSDRMLAKEARTGKGSRASNRSSLPVA FSHQPSTTVAPPTNRNAPITSSPRRGSLPSFLDPQLSQNYYTYDAQGNRISGRTYSPM SVSSMINPNPQPGYGWHQQTQYQPQTTLQASSVQTVWKDGDETESDGEGSPLMARRMR RASLLHPSLRFVRRSISPDPFIDDDAAYEYDDQEDQSQRGSDEVNRLKGVFWPGMDIF DSATEEMRRKRNQKKDGSIIKQMEETSESVEPTELVFSPNGTLRKQRVISGMVDDSSP LKGETPISKTKVTRPRRRPMSQLSGNVQMAQNRYRMRIREQSRAAQLEKLSQQALLLG GHGDPMNYPPLDTHRNALFNTSKYGLENSANAPYYGPFKPPSTEAYRGKENIDPMFSH ERNVQKQQYYYGYPSQNENDNYGYSTNPLSYAASQQSCDSGSNRAGIRDTMTVDTRDL FGQQTPGVFQNASPKSPVAEMGHDDIARMYFNGPLE TRV_03220 MVSAMASGSDEKTRRCMEGQSRSAVSVDVDVDVADGGRKRERNS EEIKNLAGCSKGIFVCSSLSLAEEEQAWKRGNAPKVEGGGQKSGRRRLRYLWKEHGRC CCSFLTFGTFRKQNNPAQEHDDGYQETIDV TRV_03221 MSLVSGPGRGGSTSGAQELYTQKHVDYIKSLDTRRDELEYWLTE HLRLNGVYWGLTALHILGHPDALPRDKTIEFVLSCQREDGGFGAAPGHDAHMLHTVSA VQILVTLDAIDELNKDGRGGKEKVASYTRFLYGAFNALSLLGLLHLVDTEKAVTYIQS CANFDGGYGVRPGAESHAGQIFTCVGALAIVDRLDLVDTDRLGGWLSERQLENGGLNG RPEKKEDDPEHGGIADRPEDMVDVFHTVFGLTGLSLLKYPGLKEVDPV TRV_03222 MARKSIQVSPSQLEKSSRETSPQSKTSPSQQLSPTSTFPKGSTI RPVTSTSSNEPVREPENVTNKAEHSQTPAITAIPPYPPSSQQANKHARDPSKSFFSNL KASKSAQKIHLSDASSGHSGEEKSSMSRGSSRDRAGRGTATRTSPKPDSPKQTDKPNS MYAPGFTHITTLTLAPCPLSLGDTSEDRQSPPLRSASLKPAEDSASTFNPPILPPSSS SAPPAPAAIHSLNPKKSKPRFGGLLARTRSIRADDGLQSPRSAGPKKRPSNGLLRLEE TNTDTDPPLKTAPLQQERTFRDVMGSTSRNRSADRPATRDGSRTLSSNQNSGNSQRIQ TTAKRERSHGSSMMSSVASSSLFSNIKNTSSGAADRIGKAGKGFFGKIARSGSSNERE VVQDDNYVCSVINLPLVEQTRRTRMVKSLRNSKDKTEFWMPALPWRCIDYLNFKGCEE EGLYRVPGSGKEVKHWQRRFDTELDINLFDEPDLYDINTIGSMFKAWLRCLPDEILPK ATQAKIAAECPGATTAPQLLKDELSKLPPYNYYLLFTITCHLSLLHSYVDKNKMDYRN LCICFQPCLKIDGYCFNFLVCDWKNCWQGCWTEKEHLEIEAELDRQEREKQQQQNGHP SDTSAVAPSRTSGATGEERAISSSGSSQPPPQVQQSPQHSPQQSPQQSPRQQSRNSAD CTDGARAIPPRQDSTRSVSPKKTAKEVARPSNHSRSTSQLPELGPPLSPIRFSTELTT TRV_03184 MEVISPGAANGVPSPTVSMTVDPEVVLHYLAEVIQGTLGALRRD LESSGSLLSQAKYSETLQRCGRFASESQIALYAHKDTVVPEQTNGSDDDTEHSNYVYT LSSELSISPTTTAAMAVFKPGQPIDPAVPLYSQIQVLTLPGFASLNNSTTPQDPPISP YEIIYSFVHHGLSPYYEACTRGHDGAQGSKGRNDTDPKTGEVRAKQKFAELELALSHL QQNIEIPALSLPLHEIVQAALDEAQSRGIEPSVELVPAAVLDNHAVINSIQNNVNGWI KSIQAITKMSRDPDNGSAVQEINFWLSMETALEGIETALRDAGVQLTMDVLRHAKRFS TTLSFSADTGLKEAMETVQKYNQLLRDFPLDELESATSLQKVQEALNLIFSHLNKKLR ICPYPIKRALSLVAAISGDLDLTIHKLIPGRSIMHYDYTEFHSLMRAAQIVWRTWDEN IKEFTNVARDAMRRRNEKFIPIKVKPKHAETQDRLKFINTFRTNHEQLQRTIINVLGT KSNQGGASLSAGADNSTALEEFGDVDAVEQVTQAYNALKDVDVLDVSPEGTQAWIQAE SAYNERTSRVENLMIARLRDKLATAQNANEKFRVFSKFNALLVRPKIRSAIGEYQTKL IDNVKQDIAALHERFKQQYGHSEAHAMAQLRDLPPVSGAIIWAQQIERQLDGYMRKVE DVLGEDWDLHADGQKLQAESNMFRKKLDTRPVFQAWVQDVKRRNIAISGRLFVITRNR AAGNSLELAVNFDAQIIALFKEVRNLVWLNFQIPHAITSVSKEAKRVYPFAISLMESV RTLYQTIRAIASMSQSAILLNGYQNDVQALIVKGLPLRWESFIHSYEIHVKQGLANGS ADPSVSSGRGESKHVQFVREFAISTSVLQSKTATLATMDDNIQKAILALKTCPYDVTV FRNRIDQIQIAIDKLNLENYANLGYWVANLNTKIETILQDRLRGAIRRWIASFQHAGD EQSGTGHTIEASGNKEEQDTSYIQFPKSLHEISMKNQVMHLDPPLEYARASWFAHFDE WVGVLCNLEKIKSSRYKMSIHADNGSYSETHFSDIPQYCSEELSEVYSLIEARLEEIS EYVDKWLQFQSLWDLQSSHVYDILGEDLSQWLQLLHEIRKSRGTFDTSEVRRSFGNVR IDYEQVQTKVNAKYDQWQREILLKFAGKLGARMRDVHAELEAARRDLEGQSLEASSTA QAVSFITIVQQCKRKAKLWEPEIDLFLQGQATLIRQRYQAPSDWLPADRVSGEWDALN EILERRSKTVQDQTDALRAKISAEDRVINEKIAEAVNQWNEEKPVSGSTPPEEASATL SYFQSRLEKLQSESEMVSKAKEALDLPSSPETTLAAILEEVQDFMAVWAALSTIWKSL NELRDTLWNSIQPRKLRQSLDGLIKMTKEMPSRMRQYAAFEHIQNVLRGFLKVNPLLA DMKSEAVRERHWQKIFKALKPGKRFSQISMTLGDVWDLQLGPSESVIRDIITQAQGEM ALEEFLRSVRETWQSYTLDLVNYQNKCRLIRGFDDLFAKCSENLNSLQAMRHSPYYKE FEEEATTWEDKLTRVHVLFDVWIDVQRQWVYLEGVFTGNADIKHLLPLESGRFQNINS EFFAVMKKVYKSPLVLDVLAITGVQKSLERLAELLHKIQKALGEYLEKERVSFPRFYF VGDEDLLEIIGNSNDTLRVAKHFKKMFAGLSGLLIDDDGNIIGVSSKEGEEVILKREV SLVKTPRINDWLTALDNNVKLTLAELLTEAIEQFEPIYSASEVDSTAFQDYIANYPAQ IVVLGSQVVWTNAVQKALEAGGGGLSALYDAEVRVLDILALTVLGDLDPITRKKCEHL ITEFVHQRDAIAKLMSVNASSPTHYLWLLQMRYVYQPTGDFLQRLYIHMANAKLSYGF EYLGVPERLVRTPLTDRCFLTLTQALCQRLGGSPYGPAGTGKTESVKALGLQLGRFTL VFCCDDTFDFQAMGRIFLGICQVGAWGCFDEFNRLEERILSAVSQQIQNIQIGLKNSE DETKAQIDLVGRQLRVNMNTGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKELIA EVMLFSQGFKQAKPLSRQTVPFFDHCASRLSKQAHYDFGLRALKSVLVSSGGLKRLRL ANSDGDIGPDEYIEPQIIVQSIRETIAPKLIREDVETLLEIQASDFPGVEYVPANFEN LTQAIKDIAAENHYVASDIWIAKILQLYQIQGIHHGVMMVGSSGAGKSAAWKVLLQAL QRVEGVEGVSHIIDSKVMSKEALYGNLDNTTREWTDGLFTGILRKVVDNLRGEDSKRH WIVFDGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPPNVRVMFEVENLKYATLATVS RCGMVWFNDDTVTSTMMITNYVESLKEKIFEDLDDDSVPAGQSSAKTMATQGSIATFL GELLSRDDVILNALQEARKYTHIMEYSDIRALGTLFSLLNKACRNILEYNIQHVDFPL ELEQTESYMSKKLLLALVWSLTGDCPLGERKQFGEYVVAFSSTDTPLLGDSASLIDYD VSLPKAEWIPWQSQVPSIEINTHSVTQTDVIIPTLDTVRHEDVLYSWLAEHKPLLLCG PPGSGKTMTLFSALRKLPNMEVVGLNFSSATTPDLLIKTFEQYCEYKKTLNGVVMSPS QIGRWLVIFCDEINLPARDQYGTQRAISFLRQLVEQNGFWRTSDKTWITLDRIQFVGA CNPPTDAGRTPLGERFLRHAPLVMVDYPGEISLLQIYGTFNSAVLKIIPMLRGYSEAL TKAMVQFYLESQARFTTKIQPHYVYSPRELTRWVRGVYEAIKPLENLSIEGLVRIWAH EALRLFQDRLVDESEQQWTAESVKRIALEHFPNIDENAALSSPILFSNWLSKNYVPVE QEQLRDFVKARLRTFCEEEVDVPLVLFNDVLEHALRIDRVFRQPQGHLILIGVSGSGK TTLSRFVAWMNGLKVFQIKVHGKYSGEDFDEDLRSVLRRAGCKGEKICFIMDEANVLD SGFLERMNTLLANAEVPGLFEGDEFASLMTACKEGAQRQGLLLDSQEELYKWFTQQIV NNLHVVFTMNPPEDGLSSKAATSPALFNRCVLNWMGDWSDQALYQVGYELTQSVDLDK PNFIAPDSIPVAYRGLSLPASHRDTVVNAMVYVHYSLHRFNQRLQKQQDKSTYLTPRH YLDFVAHYVKLFNEKREDLEEQQRHLNVGLEKLRDTVDKVRDLRASLAQKKNQLEKKD AEANEKLQRMVADQREAEQRKSASLEIQTALEKQEKEVATRKEIVLNDLANAEPAVLE AQKSVSNIKKQHLTEVRSMSNPPSGVRLALESVCALLGHKVESWKTIQGIVRKDDFIA SIVNYDNERQMTRQLRAKMLNEFLSKEEFSFERVNHASKACGPLVQWVQAQVHYSSIL DRVGPLREEVGQLEEQALQTKAEAQAIENTINDLENSIATYKVEYAALISETQAIKSE MSRVEFKVDRSVRLLDSLSSERSRWEEGSKTFETQISTLVGDVLIAAAFLAYGGLYDQ QFRKAMIDDWMHHLSQSNIDLKPHNPVTEYLSNADERLSWQGNSLPVDDLCTENAIIL NRFNRYPLIIDPSGRVTEFLEKENRDRKLTVTSFLDDSFVKQLESALRFGNPILIQDA EHLDPILNHVLNKEYQKTGGRVLIQLGKQEIDFSPSFKLFLSTRDPSASFPPDICSRT TFVNFTVTQSSLQTQSLNEVLKSERPDVDERRTNLIKVQGEFKIHLRQLEKKLLQALN ESRGNILDDDNVIETLETLKKEAWEISNKMVETEGVMAEVDNITLQYNIIARSCSAVF AVLEQLHHLNHFYQFSLQYFVDIFNDVLHNNKRLAQERDYTARVNIILRDLFIAAFQR TSLGLLQKDRVTLAVLLAQASPYPMDKGLIDMILDTTVEGCDVSTDGNLRESAMSALA QMSVFKSSLPNISAEQWELFLSEEFAENFVPNVWDEGTSQLDQQLRSLLLVKLLRLDR FVPAAERFVVTVFGKGLLDDNGDLKEVVEQVTSTTPIALSSSPGFDASYKVDGLVERT HRSCANIAMGSNEGLESADKAISNAAATGSWVLVKNVHLAPSWLQSLEKRLESLKPHK DFRLFLSMESSPKIPVNLIRASRVLMYEQPAGVRANVKDSLSSLSLRAASPPVEKTRL YLLLSFLHAVIQERLRYAPSLGWKGFWEFNDSDYECSAFIIDTWVASIAQGRSNVAPQ KIPWDLIRTLITETYGGKIDDAGDFALLAKLVDTFLTPAAFEDDHKLVPDVENDEALI LPTSTGIQDFLAWVNRLPEREPPTYLGLPANAEKLLLVGHGKRMISNLSKITTLLDEG EQLMVETAAI TRV_03185 MEDNSDIDKATTNVPQTLKEENTNVPAADSTPETRSGTPTESET EDEKPNPANPSEKRRALNCKFKDLLSRRAEVITEDDVKAVIKATDDTQLSMTNIIAKQ DYTSIIHDPREYQLELFEKAKEKNIIAVLETGSGKTLIAVLLLKHVIEQELTDRSLGL PPRISFFLNNIPQKIDKFCGAMQTDLWNKETWEGHFKRNMVIVCTAEVLHQCLLHSFI QIDQINLLIFDEAHHAKKEHPYARIIKDFYLKEPERKPKIFGMTASPVDAKVEVVGAA KTLEAMLDSQITTASNPALLRQSVTRPTEEIWEYDRLELPFETPLYSKLRQKFGDIKV LEKIFKFSRETSSSLGSWCSDWIWSYCLSEETLPKLEARSNRPLMKSIPDQSSCDTEA ERIREAGAIIKEHEFGDPVANPELLSRKVLLLRDQLLRRFKENPDTRCIVFTAQRHTA RILRDLFKKIGSQYIRPNLLVGVRSGGDKIGLDISFREQFLNVIAFRKVNESNEYLIP GLGTDFNLRFDLYSTLIQYIQSRGRARHMESTVCITYLEVSLVQTNIFTQFAHMVERN NLMHETAVEEEVLTRFCKSLPEDRLLKGTGDIDMMIEKDRQQKSYTIKSTGAKLTYPS SLAVLAHYASTLQYEKELSTQVSYIIHPKDGAFICETILPEKSPIRGCMGKSASRKLL AKQSAAFETCLLLRKNRLLDEYFISTYHKRLPVMRNARLAITSKKSNKYSMISKPAAW ELSRGESPTVLYATVLSLSDCEKLQKSYHPIVLLTRVPMPDFPAFPLYLEHNKKCSSC SIRVEEGLKVIPPELELLTKFTFRVFEDVFHKIYEENSSLVSYLIAPAKLDGVTDIKK SNPVELIDWQMLQYIQENEELLWSPDMPNEFLENRFLVDKWDGRYRYFSIKVDPTRRP QDPVPENVARRRYMDNIMNYCLSLFKNSRARFMKRCNWDQPVLRSELIQLRRNFLDID ENQKSPPVDYYVCAEALKISAISVPLATQIFVFPAMIWRMESYLIALEACEKLQLKIP PELALEALTKDSENTEEHGMEQIRFQSGMGKNYERLEFLGDCFLKMATSISLFAMNPD NDEYDYHVKRMLLICNQNLFNNAIILEIFKFIRSRSFSRRAWYPEGLTLLKGKDKKEG PNGPEHALADKTIADVCEALIGASLLAGGPDHKFDMATKAVTVFVNSDDHRVEKWADY SRLYSLPNYQTASASASEIDVANQIQSKLGYCFKYPKLLRSAFMHPSYPSQWANVPCY QRLEFLGDSLLDMVCVNHLFERYPDKDPQWLTEHKMAMVSNKFLGSLAVSLNLHVHLI HISNTLQGQITSYTEELQAMKIKMEGNLEAWTYTNEPPKCLPDIVEAYLGAIFVDSNF NFDVVDDFFRKFIQHYFEDMSVYDTFANKHPITFLHTRLAVDFGCTFYALKADEIPCV DGTTVRALAAVCVHDDIVAEGVASSPRHAKLKASQNALQILEEMSAADFRAKYKCDCR ERQEEHEEEEPETAEE TRV_03186 MSSSSPSLQSCLASAKAKKQELETAADTNSPAFQEDLSKAISAF EQCQRLIQQLSLFSPNESAEDITTGDLQFLTVPYLLAELLQRSYGTDRLKTLQQTRDE YEKFLEALDQYELLSPSNKKLYEQYLEQPESFSLTPTNDASARRQVKIARFKEEKELK QKLEVGLFSAICVLFKEHDRRDSSHNDDDIVRQVYLAEINFYTHQTFQSLDMLAQELS MLKAAQSLPAVQRTDERKPGLSDRKDTGYSDRLDTVGSQMGRGRYSGVLLSPDGKPLQ PFTLTSRRTDIQRGVFRPGHNLPTMSIDEYLEEERRRGGIIEGGGEKSGIPKEIDEDD VEKADEETLKARAWDEFTEANPRGSGNTLNRG TRV_03187 MGAIKSRGPPAPLFITIFLGANDACLSLSGAMVPLEEYEEHIRH YLNTILDDPATQETKVILISPPPVNVPVPVGEPLLDNPDAAIILRSVASQSRGHRTWE SKRTYAKKIVEIGKEYEAQTSRVAVLDLWYSLTKSVCRIEGTTQDDAFYHLDIDEMLP GSGMPGAKPFDKGYFTDGLHFGDKVRIPFCFSSLFFLLPSNNKKKRLIQHSGI TRV_03188 MDAPRKTFTIGTRKSNLAVLQTEIVRDALVARWPDCEFNVHTRD AAGDLNKVTPLREFTSKNLWTEELEEMLLAKQLDLVVHSLKDVPTQIPEACTLSAIMK REDPRDALVVKKGQPKMTLAELPAGSVVGTSSVRRTAQLGRHYPHLKVIDVRGNIDTR LAKLDAEDGPFTCIILAAAGLLRSGHGDRIAQYLDSKNGKMLHAVGQGALGVEIRADD EKMAEMLREIGDQKTTFACLAERNLLRTLEGGCSAPLGVETEWVPDASGNGEMLRLRC IVTSIDGKEAVEIEKDCHVQAPEQAEEFGKAVADEMVEKGAGKILEVIQQKKKAW TRV_03189 MASSSGKRTMKVPLIPLARDLVLLPSVNLRIPLADRPDIPTLLT SLFSRHAKQRQNNTPILVGCIPLNSPFLSKDGQLLLTDGDKARARSIDEEPVNPAAAG VNDLFQYGTIAKVVGVQGRANAEPFLLVEGAKRFSVQKILKEKPFFEAEVLVYDEPVP HSIDPEIPELFQQLKQLSRELLTVLRLASVFSASSSMSPLIARRFELFIAKRDISQAG ALADVIADLIDAGFEEKLRVLASVELKDRLERVIEMLTKEVQGMRNNIKVTTFTTTIP SNMGVDIKQLDPRQRELYLKRGMQMNMPGMRGNGNGDDGEDKEPNEIDELQQKLQEAQ LSPEAQKVADREIKRLRKMNPANAEYGVCRNYLENIAEIPWSKATEDQLGPETLTRAR QQLDNDHYGLEKIKKRLLEYLAVLKLKQSLNNDVESQITELSNDLTAKENATEDDDSS SIAERDAIETKLQLLKSKRVVDKSPILLLVGPPGTGKTSLAKSIATSLGRKFHRISLG GVRDEAEIRGHRRTYVAAMPGLIVNGLKKVGVSNPVMLLDEIDKVGGANFHGDPSAAM LEVLDPEQNHTFSDHYINIPIDLSKVLFIATANSLETIPPPLLDRMETIYLSGYTTVE KRHIAKQHLLPKQIRTNGLSEGQVIITDEVMDKIITSYTRESGVRNLEREIGAVCRFK AVQYADAKDSSQLDQYNPNVSVDDLDEILGIERFDEEIAEKLARPGIVTGLVAYSSGG QGSILFIEVADMPGSGSVQLTGTLGDVLKESVEVALTWVKAHSYELGLTQDPNEDIMK HRSLHVHCPSGAIPKDGPSAGLAHTIALISLFAGKAVPPEVAMTGEVSLRGRVMPVGG IKEKLIGAHRAGVKTVLLPQQNVKDVRDVPKEVQEGLNIVYVTYVSTYYTAIETSC TRV_03190 MKDYMKRVYNVDVIKVRSYVEQQKVTRELPRGRQGVGPMRRPMP KKKMTIEMTEPFVWPEEPKDFEPWERDTFFEAKKMQEDFQAAHAHDAPMKAPTRKRQL LAEQAKQVLKGEEQWQPTWQALGLSSQRPLFNKEEREPKEAS TRV_03191 MRDVLLDDGYRLTYGGLDYLALNTYQKQQVVYSVGNQIGVGKES DIIAVAEASGTQRILKIHRLGRISFRSIKNNRDYLRHRSSASWMYMSRLAAIKEFAFM KALRDHGFSVPEPISQNRHTIVMSMIDAFPLRQISSVPNPAALYAELIDTIMELAKFG LIHGDYNEFNILIKEEEIPVKTNEENKDKKEDNIKLTPVVIDFPQMVSVDHANAEMYF DRDVNCIKRYFQRRFGFVSDEPGPFFSEARKLVGTDGTPRLDVAVEASGFSKKMAKEL EAYMKEVGVDGDAQGIEDGHEDIDNELDVDETEENEDDNLGQGEENNGFENSDADEQL EQKPQIPEPDAKIKMEKLTISGPS TRV_03192 MTKSSKRPTSLFHFWPDRARHWSHPNIKHRHLVFASSVSTHRDY AWLFDLFARFLVTLLLVTDIYPPLLLQLHSWIADWDPFSTSTVQRPAARLARPIAAFP TGVPGLDAMGFGDFDAICRKAALPLCSLVGPKTGFAGTRGILPNCYARSIEVANTIIF QGAAGIIHIMALGMAVVMIIHIRSKFTAVGRKEIITSFYLYMALTVCSLVLDTGVTPP GSAAMPYFAAVQNGLTSAMCTSLLINGFVGFQLYEDGTALSVWLLRFSSLGMGLVSGA ISLLTFQGWAGLSPTNTVAMFVVLYVLNALCLAIYVIMQIILVVNTLQDRWPLGHITF GVFFFVAGQVILYVFSDTVCEGVQHYLDGLFFVTFCNLLSVMMIYKYWDSITKEDLEF SVGLKQNNWEVKELLPEEERRATFYVDTNSEYAGSMYHNRSSAHGGHSAY TRV_03193 MPPRLPLRLLSQSVSLISSSIQSHVSPSFLFLLPSIHTQTRAAS ILASLSDNPGAYSKKIRRGLGPASGKGKTAGRGHKGQGQRGSKKAFFNGGQTPDEVVH GERGFDNIFALKISPVNLDRIQDWIDQGRLDPSQPITLRELVKSRCIHGIKDGVKLLS RGGEGALKQPITIIVSRASASAIQAVEAAGGSITTRYYTRHAIKRILSGKTDPYVSLA WKDTSEKLAEAAAKGEDVSHIDTELMQKIGMGVDKPLTKGNGFQYRLPDPTSRRDIEY YRDPAHRGYLSYLVKEGDSPSLFFTPPSAEQKAKKAVKKSAENRVW TRV_03194 MYQRGGSNGLNRRLSPSGHSPSPPLSPSASPSASAGAGTDAGAA HTAARKEETEQSWTPHVPTPPISVPYPEDKLHGSPGVDEVCEYDPNRYQDPSPLDHHF GRTRRPSISFNPQVTLETGDQIALEQPIGSLGRRSQIGVRSASGPGSSELKQLYANAA PERLAQTAAASNNDRDGYNSHHDNHHNHRHNHDHHHPHHNHHQGPRHNDSSGDDFSQC HSPRASPLSEVFSADDDLPRPTSLTSLSTASPLSDELRTPPDSRQGSLTSPFLSSPNL RRHGSIDSEGDSWPSLSRHLYGSLTESYSFGRRTNSLRQSMRSYSRKSPNMSGKSPAS AFLSTMNREEAPAPKPDDEGQVIGQDYVIGKQIGFGGFSTVKEAFKVTDEGQTIRHAV KIVKKYLSGKSERENDQAQAEFDHEVRIWRYLNHPHILPLDAVYETDYATFCFTKLTE GGSLFDLVKKKRHEIPLSHAKQYSYQLACALRYLHEDARVVHRDIKLENCLLQPQEDS GDIPRLILCDFGMAEWMTTDTCSSPDPYDNAADRPPPRTIGPSDTSTSVAGSLEYASP ELLMANVGVLDPVVDVWAFGVVAYSLIVGSRPFQSSFQPRIPSNILAGKWDRSAVLAT SDESEKQDREDALEMIEGCLEMDPMKRWTISDVLACRWFQSCADTAELATRLNRWGFS S TRV_03195 MGHTLGEGYEAKANRSQQTGNVLPNCCCFWLTGAPDLEQEAGSQ KAVETAADLGGDIWAEEEEEDEEEEKKTSRLR TRV_03196 MKEDGQQRSGEEDPLAGKNTTKTKEAKENKTETRKQNEEEEEEE EEEEEEEERERGRPTVAQFRKKISNKPSHRQAAGKRRKDQKVEKRRRRTGKGTPANQH AELK TRV_03197 MTRPQMIRADTIDLQNRQSPSTQDHARKLGGASAVDGRNGAHQD QSLRKVEQEAQDEMSKGPLGSDGNSQVYSHRDGLEVYREHDEADGSKPNGVANGDMSH ESRNGSSTEEEMEGDEDDQDLDDDMMDKISSSPSIDDENIDFEFVYALHTFIATVEGQ ANATKGDTMVLLDDSNSYWWLVRVVKDGSIGYLPAEHIETPTERLARLNKHRNIDLSA TMLGDNAEKSKNPLRIAMRRRHGKTVTFNEPLCFEAEEAPIDYSTGSEEEEEHFLEDD EEDEEEDEEEEEHEVHEKSAHTKQQQQQDASRKDEDMGIEPLRPKQQQKETAALQEHR SENKDSLEEHNDDLDKDFQPGRSRNGVVRNTDSFFKDDTLETKKISLTPNLLRDDSLT AQQPDKDSRVRGSFESYDKVSPSDKNKDDKKRKDKKPGMLSGLFKRRDRKTKATDDDD DQEKISEESIRSSTPTGTMGDHGRDGSKPAVALQRTPSKLQKTPPGEQQGSGARHEGG RSTSRQEVAAPQSPPATKQTFQSHGQQQQQQPHQPQQQLQQHQQHHQQRPQPRSSSAQ GTRVRSPEVLRPLTNKQDRSLQERPEPNLSLVKEPRDSSESTPDASTNLPANQAQHQY SSSQTSTYSTHSSKKPASVSHAADPVQQDPELNNHYDDNFEDQHSLSHDKDQYSSKQT GSPSPTASPAFSQDPTLEDDRSQEQSVSPSTSIIPAWNDSGLRAYMDDGSDIRDLLTI VHDKSNVPAAGPDHPLIGSLFREESKALNDMSSRLDEMLNSWLSKSSLSP TRV_03198 MTLAKLEDLPEDILVLIFPLLDVPDFLALCTVNKYFHEVFLTNP EFWREVTTKTFRVPVQPLLRANGPRWYWLYKNLRTQTRVYQWGGEGRPSEPLVNKTWP YESSAVAGIRNIVDLQCGSVLNQLPFCHCLHYGVFYDYSTASGYQRLKFDTEYPATSA DSYNKSTAIKQFSSGRRHILGLSDEGIIWSWSHRDHSAKLVEFSCARTVLNSRDPHTP GTVTKVVSGWDTNSAFVAGTGIVYWKINDPPLNNDESVLLIVPGKIVPGTGFQRTNSE RGRAEDEAGLGEVISYIVLEGYIVFITDLNKVFATEGDGQRTVELAKFAAPERILKDI QGAFRNFAVFTETGEVMIGNSEHIRTAFDFADDPDHVLSPKLPAGLQHSEVISVSFGD YHYTALHANGTVSSYGSEPRGCGSLGLGSALGGIPLRGLMKPGPGSFSRDVYYFEFAK EKRHNVWFEPEKREWLKYLASGAGSQGDGSDWLTSLEENDQGLLEKYSTCIERAGENW DNLPDVKPEDTDGLGAYFTLSVASAGWQTVALVLVDKELAEKVRRKHLVNVEESNGAE ETPRYKWELQKYPPLQTNAQEIIEVNKYDFDTWVYGLPPLENSSIQD TRV_03199 MPSAAWNSAHRSLPEHCEPVSPFLQLISSTLQICLPTPLALISS TLGVLSIISWLFAQLPQIYKNYKIKSTAGLSIVFIVEWLLADTANCIGAILTNQAGWQ ITIAAYYVTVDLIMTYQHFWYTHMKQRQSNRLRYANIGDSEDSDTDFPPSDCDSFQQL QGSQRSSSARPVDKLKTGRNQSPDEKAQSQASNGKRYVQSTGLGSSLTSPKAVLFVSM LCAVIANASSIPASGLSRPHPVIPPPSNTKEFVGRIFSWISTILYLGSRLPQLYKNYT RKSTAGLSPLLFIAAFCGNMFYSSSLLTNPNGWHNFPPYGGGGWAGPDGNNRMSWIML AIPFWLGATGVLLLDVCVGIQFMKYGEQRKQIVTRVGRGRHKWIKVTGFMKGWIPSLS PERNAANNETQALISHEGDRYGSV TRV_03200 MPICIHPQFVTILTGKVLPSHPEHRIAIGTRGTSAPTMPLSLPS IQVETASCKPTGLSKRSANSKSLVIAERSGHFFSVFFHGPATTISSTFHCGNVKYQLS IINSLATRNKCLVRDILFNIHQRNASGGWTKFISMLLPHLMNLPLYSVLYAGCGIYTT ECMANIPSPIIIRIRQTNNSIDNQTKDNSLPFQCHTTRLASTARKSMDKHPVLTLQII SRAVIRPRPTISASLLSPAQIFMHGPEAIPGGIRVQKCSDSFPSATNGRIHREAKGTV S TRV_03201 MPRQPTDLPSANLSDLPPFPPTAGRDGPIPTRPTASKPSRFSQL FSSSPRPPKAASTEQPVSQSLPMISVSGATADGNTMDSAPKTIFQPPSTEDARRIARQ HAQFGPLGHPSHRYVSKHYGGQFPEPVMDEPPYYYLLTTYISYLILIAFGHVRDFFGK RFQSENYRHLKPQNGYAALNSDFDNFYVRRLKLRINDCFERPVTGVPGRFITLIDRVS DDQNRHFRFTGTYTDTLNMSSYNYLGFAQSEGPCADAVEETIRKYGISSVSTRSEVGT QDLHLEVEDLVAKFVGKEATMVFSMGFGTNASIFSALVSKGCLIISDELNHASIRFGT RLSGASITTFKHNDVRALEKRLREAISQGQPRTHRPWKKILVVVEGLYSMEGSLCNLP GILALKKKYKFNLFIDEAHSIGAIGPRGRGICDFFGIDTKHVDILMGTLTKSFGANGG YIAADKVIIDKLRATNPAVFYGEAPTPPVLAQISSSLRIITGELIPGQGEERLQRLAF NSRYLRLGLKRLGFIVYGNDDSPVIPLLLFNPAKMPAFSHEMLKRKISVVIVGYPATP LVSSRARFCVSAAHTKDDLDRLLAACDEIGNILQLKFSSGVAGGALPPPDGMTPEMEA EWYRQQAASSKEPTPPRWRYEDVIARGVQDTKRPLR TRV_03202 MPFMDQPETNGNGHLMEPKELWRPSIPESTQVYQFKNRIIQRHG LSLQNYDDLREWSIRSPALFWEEIWHYTGVKAHKTYDTVFDENAPLFPRPSFFQGSLL NFAENLLFPACNPNEDDIAIISATETTRESISWKDLRERVRVCALALEAVGVLEGDRV AGFVGNHANTLVAMLAATSLGALWSGVSTDTGVHAVLERLRQIEPKVLFVDNGAAYNG KVHPTQSKVTEVTANLECLDMVVVIDAVKDYPFEIPAASPPKGKSVALQDFLSSAEAI SKPLTFKYLPPDHPVYILYSSGTTGAPKPIVHGAIGTLIQHKKEHVLHCDMRPGDRLF YFTTTTWMMWHWLVSGLASGTSIVLYDGSPFRPLDSENGKGDMAMPRLIDELQITHFG TSAKYLSVLEQNSLNPREHPFRPVTLKSLRAIFSTGSSLAPSTFDFVYSSIHPDIMLG SITGGTDIISLFGACCPILPVTRGEIQCRGLGMAVSVFDYAGNDIGVSGEAGDLVCLK PFPSQPVMFWPPGPVGAEKYRASYFDTFGPKIWHHGDFVRINPKTGGLAMLGRSDGVL KPAGVRFGSAEIYNVILKHFADEIEDSLCIGRRREGIETDETVVLFVKLMGTTPAASG TSTPVIVEEPKAISQDLITRIQAAIRKELSARHVPAIVDSCPEIPVTSNGKKVENAVK QILCGLNIKTGASVANAACLDWYRKWSNEH TRV_03203 MHRLALRAGRSRKPSILRLAPRSFATVRQPENKDPVELDQITTL SNGLRVATESLPGPFAGVGVYIDAGSRYENNELRGVSHIVDRLAFKSTSKRNADQMLE SLESLGGNIQCASSRESLMYQSASFNSTVPTTLGLLAETIRDPLITEDEVSQQLAVAE YEITELWAKPEMILPELVNMAAYKDNTLGNPLLCPRERLGQITKVTVDKYRTAFFNPN KMVVAFAGVSHTDAVRMTEQYFGDMKNQRSPLLAQFGSETSSTHGEEEVPTFPAFSPS STTPTESPDSSAPHSPSLTPPSSPSSPGLLSRVPFFKNLSTSAPNHATVSPLNPSLLQ TDLIDVSRPSYYTGGFMSLPRIPPPANPAMPRLSHIHLAFEALPISSPDIYALATLQT LLGGGGSFSAGGPGKGMYSRLYTNVLNQHGWVESCMAFNLSYTDSGLFGISASCVPNS IANMLEVMCRELQALTLDSGYSGLQIQEVNRAKNQLRSSLLMNLESRMVELEDLGRQV QVHGRKIGVQEMCKKIEALTVDDLRRVAKQVFGGLVQNRGQGTGRPTVVIQEGEMEGT KLAPLQWTEIQQRIAKWGLGRA TRV_03204 MATQTTESEPIEHTIPFPEPTVIPPRSKHTHTLILLHGRGGVGR VFGAEIITTVLSAPSSDNTLPKRFPGLKFIFPSAKTTHSAQWDLYLPQWFDRGPIGSP AKEHNDLLYGGLRESTRYLRTIIKSEAELVGAKNVIIGGLSQGCAQALHVLLSYANDE HLPLGGLVGMSGWLPFKEQIADFIPRDQEEQEVGNEESRRAGALQAMNFVCQSVLDLP PITAEAATAALSTPIWLAHGNMDKTVTPNLGEAAAQTLEKLGWDVTWMLYDELEHWFA PMELEDMAIFLSTRVDIPESGQ TRV_03205 MVKLTEVEDEHFKEKPTSTKSDALLLSDDEDEDYTDTDSFDDNL DNETLYERICALQDIIPAQSRYKISNAFSSFSSFAKSTISFGGSALWIVSTSAFLLGV PWALALAEEQQYVQMEREQGMIKGANEMLTPGATSALTAPDSIAVEGKPAL TRV_03206 MGRTGRARPSRKRQTTLSFAPVSSLPPTGEPSRVRSQNLHSEKS SPKGENAATTPRTIPEEQSIFVSSDEDPIRPPSSIKPKTRKRTNPDMNKSSSGESDEI VSPTKRRRTTVQVVIPQAPVTRDQVKIKHYSESDSDIIHSSPLRQRRLALKARAKRVR GPDEKDPVPDGFVQKSGKLQQHEIDLEEDLEILRPSTKAERQRQLELLKRRRAGDKSK MTERNEKGSNDNNPDSPDESEDNEDSEDTTSSEDSQMDVDSDVEPALPEDLDQYDADF VLEDDEGELGVPADEIEVPFEFTRHRYKRLKDHFRDVVEWMVHNKINPAFRRDDAVYE MAFRKVKDEVTGLAGSQFVSSVWNKGFIGSLKARPRIVVLPYPITEGHPCDACNRSKH PASYDIRFDGPPYIQETLESIVGDDSSEDQASDTMERENVDCEGNVIPNENTHFYLGR HCKSKASMAHTLIHWRYHLNEWVVGYLERIGILENSGILKREHWSQKKRTKYANEVVD AMVQAGEVDRLWRDFNLNLKTARASTVGYSPFPCFSAFLNTLTPV TRV_03207 MESIQVGLLAISIEGIYLNEATNKQIDVALNSLLATKSLANPSS ELSTETRQIIEDLRHVIISARNLVLVKNDGNMIQEFIWDATNFKGPGDIQGPDTSGEK AAAKQNAQQTAAGLKTLGTLLITNGQFRKLLKDATILVRDMASDSAQKAAGALKPSEE DLAQIDRPADENMWHESPNLSKDALKSRFKKEKSEKTQANVDTVNGSHTTNGANGVNG VNGVDGVTTSQQAGTSGTADTAELKDQATTTAKQRSKEAAERTKRFLAEKMPRERREQ TIWRIKKMIVEIQGHADYQEAIETLLSIAEGYARRSKSLSKQGAGTLKGARDQSDTRK LDSNLKTVIERFANSTSTDDLFDAIKKFYKNAESDPRLRDWFRNVDTFIRKCLKEQGF VLRDESTAEWNRLYDEGRFLLTKRYKKDTDEVVEEGKFLANQFDEDPLNKEFGQSMKK FFDHLGHDASGNIVFQKNLLKDFANTVLPGTLANLSYIPLPRIEVSDPMIDVVIENLA VQCDNLMPNIMEFSSDNYWRWGRKKIGNHNDNKANVSYYIKKKKGFPHVSDTGVMDIF LGGDGFSFKIMGSSAQSKDKQHFIKADKVVVNIKNLDIRLKKSKHKVLFTLFKPLLLS VVRPAIQKVLELQIRQYFSKADKFAYQVHQEAQRTMELSRNDPDEKSNAYTHYSNALK SQMKARKQEAEAKKKQKPPRDTKVNVCISQYDSIFKDVVLPSGISAKATEYRDLAARG ERWESPVFGIGSAAPSTGLPRLDAVKRKYRNRGMSGMQRQNLPVAQSMGPSTSMAEPT HVMPSKMTGLADGLMTIPGTNAPV TRV_03208 MKFFTALAAVGALLAPALALPTPASEEASHNQTLSVRLVPAGHT MVRAIVTNNGERPLHLLSFNTILDEDPTSKVEVFHESGDEAEFLGMLPRYDLSDLTED LFTRLAPKDSVEHLFDIATVHDLKWDGKYTLAARGAIPVAEDGGTTIIDHVYYESNEL DMEIDARKAAMVPRAFDDYFSKSLDKRRPLDICNPRKERDLRAALEGAQQVAKEAAAA AQNNTEKVFEFFRARDPGTRKEVSQHLSSISRAATKDGSSVTWFCSDGPGRCGPRTIA YTFPAKNEVHPCPLFWQMPHVNNKCHRQDRVGTVIHEGAHNPSVVTPYCKDLGYGYNR ATGLTSQRAKRNADNYALFAMARQLVFCLLHLFVALPFIYIFASF TRV_03209 MPTVGNLVHRASIFGIFAFALIVYLTTRSPWTPFTENCSDCSSP AMDDQLSFIQISICPGRETVAKDEELKLKVTVTNTADSQATLLTWNTVFDTLAPSLGV FTIRDLTDDTDVEQNIMMVRRQMPARERDLVELGPKQSTETSVVFSNLELTSGHKYSV QASGFWQTVWTKPKSEVVSHHLDLSGGMNGDFSSNVVEFSKPVLQSAYTGLMRFLHIF LSIYLKQLAAKEDEAAHADKDRAESRVAEACSSRS TRV_03210 MVNFSPCAASSSSITNSHHHHQNNNNPPIESPAISSSLALAARS LFSLSSASVMGLASKMAAANQGAPSSYPGGPPPPGGGGGPAYPGQQQYQAYPGPGGPG GPPPPQLLLLLFLLSSGNIALKNLCPPLFHPLSAPVLCCRCRFVLLLVPVPLFACDVI TMACFSDQVTNLYNYAFDREPIAKEKAFAGLAHDHSNQSELP TRV_03211 MAAANQGAPSSYPGGPPPPGGGGGPAYPGQQQYQAYPGPGGPGG PPPPQASPQPQAPPWSASPPPQSGTPNPYYRNQQQSPQPHQQHNAYGQQPVYGQPQPY GQQPGYGQQQPPYGQQQPPYGQQQQPYGQQQQQHQPYGAPPQQPAYGQQYPPNQAQYG QGPPGQFRQGQPGYPPQGGPGYPPQGGAPGYPPGPQGGQQFNAPPTPQQQAAYKQVLQ SCINEKNLQGMYPPNSPILDQIVSKISGQVDQLCATWRVPREVGQDVVKLALFDVILY IDDSGSMQFEENGERIKDLKLILSRVTYAASLFDDDGIQVRFMNSNEQGNNIHNEGQV EALMQRMQFKGLTPMGTSLKNKVLEPLVLAPARAGQLKKPVLVITITDGQPAGEAQGA VFDAIRNATQELQSNPRYGRGAVSFQFAQVGNDLKAREFLSKLDEEPGIGELVDCTSN FEVEQDEMSRANPPVDLTPELWLAKMLLGAIDSSYDTKDEKTQRAPGGAPPPGQYNAP PQGQYGGYGQQPPPQGGYPSGGYNQPPPPGGYGQPPQQGGYGRPPPGPPQGGPGYPPQ GGYGAPPPGGRY TRV_03152 MRRHSKGREPRAAPFASKDGLSSMFSRVPLLHGVSSVEESLQNL TMGDHIPGLLIPIAFPSSLRTNLQISTFPDDASYNPYSPYGISIESNLDASCTSLYGS SGSEEGLHSPSAVQRQARRNSRATKPPCVDSAGTLPTECHLPVYPTQSEEEITSALGL ISESVSQQRILAVRAIISHPAVIAAGILFFLSSSKFIYSGSPAGLILILSVWTLSLLV LILVIRFMVKGYMVQIRQTRDTKWLSQDSVHGLSHRRDEVFIARDPNTGNGDEGGDIV GVLVMRICKTVTDASTPGVRPRSTRRKSSARWTGIIRAWTVRQSARSNGVGTRLLEAA IANCRLRTLDGPMFADDHAHSKRFLPGMFNIGFDKQDAWARSFLEHTVIKERNK TRV_03153 MNWFKQTLANVAGTQEPIYGPTAIQSVVDQAKTIPYTEVHKDHL RWAAMQSTCVETQTFYLFSDNGDVASLQLIYNNVVGLHTTCQFNCKVFSKDPAKPHLW ASDPVQNHIFAEDMLSFGGDNVAITLNEEGTAYTIKSAINEDSLVNVTITRTAPGFVA GKDGISTFGTDPMNPWGSMRHAFWPRCKVEGSIITREREIDFAGKGFFVHALQGMKPH HLAARWNFVDFQSPTFSAIMMEYTTPPSYGSTIVNVGGVAKDGEIIYAGAPNSITHTE ATQDSENDWPEPTALKITWSGKTADGKPFTAIIEGSLGQRMDRIDVMAEVPGLIKSLV GSVAGTRPYVYQFIPSHKLPIKIKVGDEEEITEEGTLLMEATFIS TRV_03154 MRDEVLGSEEKKEDEVDEENVAVEIDFRPGKASDPRETCCWPGW TFTDDVVVFQPVEKFSKRPRSAAAEVAESASDSSSEEGEEKKRRREEEKKSLVYHTLW LPKKPKK TRV_03155 MAPLASSGSAEKKAQEPNTVRFAAQNQEIEPPQNLLSSSGSPDT IVKGNADPTPAGPGGEFVPFDSAFQKSRLQETRLHNFAFDPVSLPASRVPSQNSSIRD FNRSIAISPPPSQPQSPAFGPIDLPEHPKDGHNRALLETTEMTPEASSSSERPSKHYI RNQTSFSSSRPSSSSTAPSTRPVSGHSNTPPPKLSNLNRGKFFVGPSEESPPGTPRFE VKRPASPLLGSGTITPLGDENDPYARDKRPPQTKNLANLDQRFIFGGVDAKRRPHKSH STTSLSTHNIPRSAHSSEAKDHHHHKHPHLFGGKKDRHHDEKFDAKHSGSMSELRRFF RIDRKHKRGESPSSMKSSRSSIKHVPFQSTAPSVPFADDHGLQSKYGKLGKVLGAGAG GSVRLLKRSSDGVTFAVKQFREKHSWESEREYAKKVTAEFCIGSTLHHGNIIETLDII RENGIWYEVMEFAPYDLFAIVMTGKMTREEIACSWLQIVNGVSYLHSMGLAHRDLKLD NVVVNDKGIMKLIDFGSAVVFRYPFETGTVQATGVVGSDPYLAPEVYDNKKYDPCAAD MWSLAIIFCCMILRRFPWKQPKEEDNSFKLFIAEPSPNTPPPEEFGNPSRGRPRSVAG EPTNSSIPNGNKGDGHHHRRRSDHKEYPKHEHVANDPKDEASAKGTASTEKQQATGQR QEPIKGPWRLLRVLPRESRLLISRLLKLDPKERATLQDVLDNEWVRSCEVCRQEESGQ TINAPGHTHISEPPTPPPAKK TRV_03156 MPFESNFIDLSLRLVLSPPDAPGGHRLGVNGLAVDTTSSTLYSA GRDGVICAWDLNLNLRTRNDLHDFTQNNTPSRSSTATSFRSQVQAHTHWINDIILTRN NSTLVSASSDTTVRAWRPAADDHAPAVIGKHTDYVKCLATPQPDADWVASGSLDHKIY LWDINGGGERLKIDVSEYDKTPKGSVYALSAKGSILASGGPDSIVRIWDSKTGKLITK FVGHTDNVRSILLNRDADTILTASSDQTVKVWSMAAGRCMHTLTMHNDSVWSLYSDHP QLSVFYSSDRSGLVAKTDTRNAPDIDQGICVAALQENDGVFKVVAAGGHIWTATPKSS IHRWSDVDITAEIEASAPSPLCRHTPSVASPTPSDDNKIDPLSSNQKIPASSILILSN AATFPNPMHREADVVSLSVSSLRAPTDILLDEGITLATPLQSLPQETIEGQHGMIKHI ALNDRKRALTQDTAGDVVLWDLLRCVPIKFFGKRHLDDVASEVNTTETIANWCTLHTR TGRLSVILEQNRCFDGEIYVDQANLPDTDTNAYREDQRINFGKWILRYLFDGIVKEEV RRDEVYRQTLKLKYEQKGECDYDVLDNIALPTVATPSSPETPIPPSSPGMKGAGATRS AMAPGMSIGIGTPNMTSPFPELHNSSNGPFTNDGGKDPTGSSDYFTNAMKRPSTDAAD STAKSRSSDEQPPPAAPISGPLEPEKEERSKKGTTLFGKKFQMTFPKKSKTSTETKPI AEEKTEESDKSSEKERPFDDNFRGVIDRIHADYDAYSAANPCKEIETLITPTPENEAP VLNIPPHIGILIQEENPDSAVAADLYRGTVGTVRQDIEIFEKAAPAWLADLLLRNIVP FKEIPKIAFSLKPYQDSLPEVVKPDTAAPNNSSRLNANRMLRAKKILAYVAERIDPQN AETPSEDALKPEEYLELYCQNTLIPMDMTLATIRTHLWRTGNDMVLVYKANGKRKIPV PVEDGEAKDKKVGVDLSSTETTGADQRPQVNGKHTDTDATGATLAQSPPESDVAS TRV_03157 MAGQKDESHATQSALWTRSLLAGAAAGLTVDVSLFPLDTIKTRL QQARHKTQGSSVARSLNGSANGLKVLRQTFRGIYAGLPSVLLGSAPSAASFFVVYDGV KRYFLPPTTSPSTVPWQHTFLTHSVASSLGEVAACAVRVPTEVIKQRAQAGLFGGSTL LALKDILSLRHGNGSQNGSLLVIRELYRGTSITIAREIPFTILQFTMWEGMKDAYASW KKEKNPGAKVIGISATSSAIFGSIAGAISAGLTTPLDVVKTRVMLARRGGNPESGMGK VRVRDIVKGIWRDEGASAFWKGIGPRVAWIGIGGAIFLGSYQRAWNLMEGHHTEQKLQ SELL TRV_03158 MLTYPLGVQSKVLTLQWPEGGLLTKKGFETQARMLRYQILGKAC VKDDIRALLLGHHQDDNVETALMRISKGHRKLGLVGFDEIAPIPECHGLYGVSKSGLA TSLQGILKSTNMDETISHPSSEIPSRSMNFAPGQMQVSTGGIYLFRPFRSFSKSRLVA TCQENKIAFVSDSTNEDRTFTIRNTVRQLLNSENLLPRALQRPSILSLVDKSREQTEK FRELCESILKKVEVLSLDTRFGTMVVKMPMMSELPKHQINAVQARTPLPDGVRDVYAS VLRSLCDLISPHPDRWSALSQFRNPAYRAFFGLDPSGMNGTVFTVGGVLWQAINQKTA SKSNTKLLLSDLPSLQNLPGNLQLRDQDFTPLSAKQNNVWLLSRQPMHSGKPQPTINF ALTIPDYLATKTKHGVILQKKGQKSRQQDVGKFIYTEWTDWKLWDNRYWIRIRGRTSI QQYDRSQERLGRSDKPLGKQKSKFPKYSPNSEIPIRLRPLSPADLVRIRKILGGAVKR SLDRDMAKGHFILDEERESRARSRRDSQDSALVTYLASQNPISATTTLTRPQGPVLPI APSMFQKLLALLAPGKIRFSAPILTDVAPEIKDDESFENIQDQLLGMPSIPMSFRTKI RVRTPVRDTGGGRDDCTFDVPWSVEWEVRYKWIDPNTVQAVSWKAFDVPCQGSGAS TRV_03159 MASAASSCASLPLEQLALYHAVDPVLSSIFVFHGPATTANSTLN SSRIQVHIFAADGLQSYPRITVSPAAPLYAAVNHLPRERQGDEVYRGLAVCLFKYFSE IPDSVKSELVALAHPGRPSSKPLQFFDELHAADLADKMEKVENPAAVVRDLKDAFADR VVPWVDIDLIVPTGTITVPMSPGESSRQSLLGYSESGIESPIHEKFADRFGKLAPLVD SLGEPIFLPTSKLRRAPSKPSNLSKSKLFSTTQKEALRLSMCEFVDTEERYVHKMYDL VHNVAHEFCQKARAKAPTSTSPDESALAKLFPPCLNEILEVNMGFLDAIRLVLEETEK DALEDLSQDTMLDPSTFPRDPTTGRRNDLLGAIAFAQCLLDWLPRFSKPYGQYMHAHT GFYSLLNSFLGDQNSSFSKRVYETGEQRMRSLLMEPIQRLPRYSLLIDTMTASLPSMH PAVKSFLKARDTVTKICSLDSYSASDHSQTLIHLRRIVHEWPESVAPAGRLITAVDVY TLLPPFRSGSSGTRGQPGILLLFADCLVFLTKHSESKWTARGLCAEIDKARADIDTDS TELSLPLDLMFGQHILTTSIRCSQSKCGRILYLVPARNFIQNEHLRSHNIILTLELTS TYEGKATKLIEEITKAKIEGRFPEHYRERGKWSLHTSKGAFGNLGTMICVFEHDETEP DIPKFPSSVKLIVDATKETLADQLKSSQIEVVASIFPMLGGSRFRMDLDSVVGTPSSD TFSAEDFTATLSKRITTLLCPLSQSPNPLLTEIILQSNFNILRVVAQHILGASKGSKA FRPPSPTKLLSTLWSASQPKNLGHAPSKSLPSLPALSNNVPTLQPQSSNASLDDGSPQ KLPHGGISIPDGTASPLEQLEETFTAYILAIRSRSGNIVGRVLRSRDRVDMAAVNELY NILLEDPTQLQAAAESPVDMLIVAFETFLDKAWKEKFGPIIPQTSLLILLAKFDALFP GDFEDYFHGFLSEMSPQTRRALTALIQLLAQLLNDSGNDGDRGALTDVFSEILTEDND ARKTIPLLDRLVEDFERLFDESGPTASEGHLAHEINRGLLAPGSIGSNASSFRKRFGF GLSRENSIKDGEGKVSSIIRSLSKSKGASENDVLLSKNSLMRSKSTDTDSRLHSLLRP RSRDRPLMQTIFAQDEEVNRPTSSNSSTQPSLASIVENPVVGRTTTSRKKRRSSLSDL KDLPTGDIAPLFANREFKKPPNILTSAQTPEPATPPTKSLGLPIHSAQHTPIQNTPPT RIASPVRQIAANLKENVPPPSPRTTLADRSTNTRQHASTISMPLRRRADSTRAGTPSK IAGPREKPTRPSSSDGYGRRLQAQSNSPPKPQKLRMQTPQKLRERVLNERKAIASAES SLQAELASVTEELMSTSPSRRRPLSSAGPQARPITSPPASTSSNALLQRVRMLENKLS ALTSNLGARAASLENDLENSLLVSEKRARKLDELYREAGKENEALYERFNNELSKMAR EIRLGVGEEALRSQLKDALDEVARVKKENMRLKREIGGLKAQQIGDPSS TRV_03160 MSLELQGDNNDGQHTLHNLPLGQPTSSPLRGARINGDRNMAPLR AAAPIAINPIALVTTECIAVTSAMRKHARWAHSSVAAILGGGVSSRSMDRDTPIPLGT GNISLGPKPAQNHAASKSGTPDAEGDYSLAGRWGLRGRRGKSIQDNPLMSAFTRLRID LKDCKDIRLFDTPSLLHPFLQVIRSSSTSAPITSLALSAITKFFAYNIINHDSPRLSV ALQLLSAAITHCRFEASDSAADEIVLLRILKLMEGMLSRPEGELLGDESVCEMMETGL SMCCQVRLSEVLRRSAEMSMVNMCQIIFQRLARLDVDSEQPEGEPSNENTEETPSNLK MDPSVDGETVASQHASSLGTDTTNPEKEERVSGDSSTTIPTMEAVNPAVQADSYEDVE KEIAPYGLPSIRELFRVLIDLLDPHSLQHTDAMRVMSLRIIDVALEVSGPSIARHPSL AQLAKDDLCRHLFQLIRSDNIVILNSSLRVAGTLLATCRRVLKLQQELFLSYLVACLH PRVEIPKEEGIDPSLYAGVPRAPKLVKPSPSQAGSGRSTPVPVKDRQKLGMEGGSRKP EAREAMVESIGALVRIPNFMAELFMNYDCDVDQADLCEDMVGLLSRNAFPDSATWSTT NVPPLCLDALLGYVQFLADRLDQEPPSADDPDLKRLRSQREKKKIIIQGTAKFNEKPK AGIAFLASKGIIQNPDDPLAVAKFLKGTTRVSKKELGDFLSHRSNEALLDAFIGLQDF KGKNVVEALRELLGSLRLPGEAPLIARIVTVFSEKYLDAVHPEEIADKDSLFVLTYAI ILLNTDMYNPNIKPQNKMSYEGFARNLRGVNNGKDFSTEYLQDIYSSIRNSEIILPDE HENKQAFDFAWKELLVKAKTAGNLSLCETNAFDADMFEATWQPVIATLSYVFMSASDD AVFSRVVIGFDQCAQIAAKYKLKDVMDRIIYCLSSISTLASATPSNTSLNTEIQAGKK SVMVSELAVRLGRDFRAQLATAVLFRVIVGNEAIIQQNGWEHIIQILHNLFINSLVPQ FDSFFKVLDMPPIPLQPPSQVIDRDNRENDTSLLSAFTSYLSSYAADDPPEPSDEELE NTLCTVDCINACDIAQLFNNLKTMPLDSVVIFVESLLSELPDTGAAVIVVKPERPAPN PHRSEGSKVDKNKPAYKPGVLYILELATVLTLRDTDTIERLGDKLTSVLQDIVRDAKN IHPLTLSRAVYYLLTLLRHSYEHSFMRPPVVLHIISSFDQPVLEVVAAPVVTGLLQCI NESEALKNELSMSPDFWSILQRLHQHQEAAQMAFELLQSIVESAVPVITADNYEAAVN LLNYFATAGGIATVREIKREMALRRPKPVRQAGYLHSFNTLTWRKTKVHFFMSELAST DHTKWVTIFKKVLFPLILELLKPEVYQSDPLGMSETRVQAATLLCKIFLHYLVLLSEW GEGMLDLWLRILDILDRMMNSGQGDSLEEAVPESLKNILLVMANGGYLVAPPNNDPGK ERIWTETQKRLDRFLPNLFGEIFPTTPADAPSSRHGGSRTMRPEAKQQSNPDPVDSKD QRVDMPNDTGSTADTEKAEVGQAEPTAAEPADEVE TRV_03161 MPGTLVGSRPQELSSERDKMDSSPRRDLFLIANDDAVYEQDILR DPGSIKPWLSYIEHKKKNGTLYEQSFYLELRINHIHGRNPSTHQAEYNKVNALFERAV VLLNKMPRIWEMYLNFLLEQPLITQTRRTFDRALRALPITQHNRLWKIYKSFAISASG DTAVKVWNRYMQIHPEDAEDYISILVQMNQYNEAIKWYIRILDDPRFQSKKGLSHFQL WTEMVELLVNKAKEIETGPHIGINVDLIIRSGVEKFPDQRGRLWAGLATYWITKGNLE KARDVFEEGITTVMTIRDFTMIFDSYVEFEESIIGALMEKAAARSENSKVDEAADFDL DLRMMRFEQLMDRRPFLVNDVLLRQNPNNVIEWEKRVVLWGDNKTEVVRTYTDAIATI NPKKAHGKFYELWVNYAKFYEQGGDLDTARVIMDKAVKVPFKTVSELAEVWCEWAEME LRNENFDRAVDIMAKATQAPKRSTVDYFDETLSPQQRIHKSWKLWSFYVDLVESVRSL EETTQVYERIFELRIATPQTVVNYANLLEEHKYFEDSFKIYERGLDLFNYPVAFELWN LYLTKAVDRKIGIERLRDLFEQAVDGCPPKFAKVLYLMYGNLEEERGLARHAMRIYER ATRAVSDEDRSEMFNFYITKSASNFGLTSTRPIYERAIAALPDKEAKDMCLKFAEMER RLGEIDRARAIYGHASQFCDPRTNAEFWQKWEAFEVQHGNEDTFKEMLRIKRSVQAQY NTDVNFIASQAIARSKQITKDTISEAAGQGEGAADAMAALERQAQAPIGFVAASTGPE GGNRTAEPAVPAANPDAIDIDDEI TRV_03162 MKGKLKGAEQGHSLLKRKSEALTKRNSDFSFLLEITRRIDDAKR KMGRVMQIAAFSLAEVTYAVGGDIGFQVQESAKQAHFRIRTKQENVSGVLLPQFESLT TDGNNDFGLTGLGKGGQQVQRCRETYARAVETLVELASLQTAFVILDEVIKVVNRRVA ILTNIHADINSELDELDREEFYRLKKVIYPLLAKMQQLLNYAIQVSNKKQRDTAALDA EIKAKQQKQGARNKEDGAEPSDMLADADEDVIF TRV_03163 MATAVASGSLIPPNPTFVFSFPLKKWTSYVRNLEERIKVEQLKE ALTEIFSEYGSILEIVAKTNLKAKGQAFIVFDNVDSATRAIDEVNGFELFEKPMVLEY AKTKSDATVMREGGDEELEAHKRRRLAEKERKQAQEALEAQKKLKRPAGAAGTAGGPD SRPAKAQKGAGLKPTGAAAAPVIPDEYLPPNKILFLRELPETYDADGLSAIFGRFPGF KEVRMVPGRKGIAFVEYENESGAISAKEATSGMALGENEKPIKVTYQRQ TRV_03164 MDAVHDRDIELQRASGELIEEFRTKLPPLLWKHPNSGKRVVHRW ARAAKVEKLILLLDPFQEWPQLLDPHLNWILTHLTDAFLSYLLGHGHSYGSVTKIKEP EMIYPLARAICKIIYTLCKVRGPKVISRLFSNEPKYLEPMLSMFIEWDSIVSADINRS TTTGQGLPLNWEERYVMLLWLSHLLLAPFDLTSISSENISIPYQNLSPFSDLSSKTPK LALAILSISLKYLVLPGKEREGAVLLLSRLALRKDMQQLGMLKSLVEWALGHLKPGLE ITPPSTFACIGLLSFIAKLGTLAQVEDIAPFVRPIFSQALDLSRGSCDMSATVQSSAS TRKLLTKILREMNTLSLTLEKRPDLLQISSNEVSTILEDTIDYFLLAVGDKDTPVRFA ASKALSMIALKLEPDLGADILDAVISALDEDVLYEEESGELIPKEKARSMMGRLILRS FKSVDAQKWHGLMLTLGHLLFRRSPPLDRLFQLFECLVSGLTFEQRSSTGASIGVTVR DASCFGIWSLARKYSTKELDSVEISTTENRNKCLLRSLAIELISSACLDPSGNIRRGS SAALQELIGRHPDSISEGISIVQVVDYHSVARREFAMTEVAIAASKLDKVYWSPLIGG LLRWRGIGAPDPKSRRAAALAIGELSLQMSYAGIGTVLDRILHTLSLTSSNSVEARHG GFLALSATVDAFLRYESSKDDTPEDPGPVIELSRRIHQLWGIFSSSSGPSVEGLTLQE YRPDLTAEACSRLISSLARSYTLFGSDVPRFGLQLNNDSLEMTVTILLLCVQRSDDEA VAASSQAAVDIFAILSVERKSAIIQEWLSEVQSNRKKTTGSGQIAALGAVYRHSSSDE RERKLILDELMRCSGPEEAVIVKRVSAVRCILTGVLPYLDNTDQLESHIGVLLNDYTT DNRGDVGSLIRTEAINGVHMILASRLGNPIGHSNVHHLMKHIIRLAAEKLDKVRFKAW KCFEVYWESDTGLPPLETRFDHFSEVSTVAYFSQLITLVQVEWLRLPLIKGLVTSLTA GADSLIISSRTAVVEFINSQNDNTRYRMQRDIFMSLLIVLEENITDDRYAIPTVESLA FLIENCFNPEILELDPDVEDDKNAATPLPQGMNYFIFPYSNNILTNAKIRALTSEYLF IQTGNKPLKTENWMQPPIQLKATVDNIVQAIL TRV_03165 MDYLSSICRNFTAFITLQNIPYQNQKINTVCEAIPRNRLIHTML SPPSSGRLRRNRQPLKAKVNKYQSLVPLTPETPSAGRKRRTVQKGEFGQNHGRTANSD DGGSSLIDRERPSKRLRIYLRPPKPSLQKGFCPSSGYDSELDGSTLIEDGQGSKAKSS LSRDDLSTSASDTSSGSEDIESSVSLEDHQRVFDEATEVEKDKTDTPVLQTPRSKQHR KRNPSQRLSNAEVYRPSPKIVDKNLLKQIVRRDAHESDLSEEEIYGSKYSTKREIKRD LDIEFCMEKARRWAAAVEGPSGNWADAERDMYFRLAMRGFEPVLPHSWKMDFMTLPGS LFKPVNDHTAYISSRNDFRGMKYFNNLITLGGRVRDRITCHLPPEKVVKQYLCTYLQW TLRDIDMQKRPRFTPPYSVYTLKPNQTTRDAVNIMNSKLVAVAKNYQNAWRLTPSIES DDGADDHIEACPQYQDRTFPVITGYLICGPVVVLMTLDSDPETFPTLDAKVSGRLMSR FDFSEYGQDVWNALAIAIAAARMRKTIAQCEQEGTGDVMWMADSVSDPPDEDL TRV_03166 MEGDDELIATVYRKIDREKALIAAATNMRQSTDNPLVQQRVDAN IRDGRKNIAYLEEKMQELQLRKMGRGNTSPTATRGPGDGPPLPPKDVGSSYRGSDQGG YGDVGPGGYSQGGTGMMPPRAPFHDPRPDSAMPKARPNFSNSGFAIALYNIYDLLLTG AGFVDLIKYDTPYLGPKIQLMLSQLEFKLSVEKQYKAGIEKMVRLYQDEGDRKSRADA EGRRIESNQKIQLLKQALKRYEDLHVDIESASDHPDDDSLNAPNMRKPLTGHLTMKIH AVRDVDHAAGSRFSRGPETFVIMKVEDAVKAKTRATRSDKWTEETFNVDIDKANEIEL TVYDKSGDRPTPIGMLWIRISDIAEEMRRKKIETEFNASGWVSADKMDHGAIRPDTQF QGSSFQPSQPARTQPPGQAPIGQNATVMVDSWFALEPVGRIHLTMSFAKQLKDRRPFD IGLNRQGAVRQKKEEVHEKQGHKFITQQFYNIMRCALCGDFLKYAAGMQCSDCKYTCH RKCYPKVVTKCISKANYETDPDEEKINHRIPHRFEGYSNISANWCCHCGYLLPFGRKN AKRCSECSLTCHANCAHLVPDFCGMSMEVANQILETIIKARNTNRTSGGSTKPPRPKP APIEGGHRQSQDSYGAPMMSPSAEAVSAASSSYMPPQSPTSPGRPVASPHSPSSAAAA AAAAAATGMRPMPQQTGYDKPLSNHPRVIAEEPSCTNCESRLPQQQQPQPPPHSHYDP GAYARVQAPQLPPIQVGHQPAGHQYPMVPPHQQHQQQQQQQHPQHPPHPQHQQQHQQP APVAGHPSALATKDQVPPPTSAHPQKKAGAMIGLDHFNFLAVLGKGNFGKVMLAESKT SKKLYAIKVLKKEFIIENDEVESTKSEKRVFLIANKERHPFLLNLHACFQTETRVYFV MEYISGGDLMLHIQRGQFGLKRAQFYAAEVCLALKYFHENGVIYRDLKLDNILLSLDG HLKIADYGLCKEEMWYGSTTSTFCGTPEFMAPEILLDKKYGRAVDWWAFGVLIYQMLL QQSPFRGEDEDEIYDAILADEPLYPIHMPRDSVSILQKLLTREPELRLGSGPTDAQEI MSHPFFRNINWDDIYHKRVPPPFFPKITSPTDTSNFDQEFTSVTPVLTPVQSAAFKWS SCGINTLPLSPAPFPRTFL TRV_03167 MLATAEETLYPPPALEEGQSIARVVKATGNNIYSVELPSKESIL VELPARFRSTIWMKRGTFVVVDSTALEERDNKLSGEIVNIVRDDKAWRKADFWFVSSL TRV_03168 MQHIHLFLSGERDYTAIKGSTGRLVYPAGHVYAYTALYNVTDEG RDIPLAQTLFAALYLIFLAIVMACYRAVHAPPYIYPLLVLSKRLHSIFMLRMFNDGPA AGFIWLAMYMMLKRQWYAAVLLWTLSVSIKMTGLLAAPAIAVILTFAVGFGQALGMGV FFVLVQILLGLPFLLENPTGYMTRAFEFTRQFLFKWTVNWRFIGEDVFLSRPFSVALL AVHISLLVTFLAFVWTRPAKMDLGSLVQQAFRGRNLQRNISNSYIATTMMTSVVIGLL CARSLHYQFYAYLVWTTPLILWKSSFHPVLVLILWAAQEWAWNVYPSTNASSTMVVGC LAIQVLGVFWGVQCEEQRPAKLKAETKDVIDNQN TRV_03169 MEQKVGLMSSHSQPRRCHSPKPISPTSWSSSSPTSPSTSTPGTL LESNPNIFEVPTIKATVESGFLYHQRLFENNVPPAEWKQFSDEMVEAFALTTAEKIAA WTVGISVGLVSAVPLLVFGAAPGYYAGKAVNAMSIETKVKDYLQEEGELVTVLKRWNN TAFKNRGIYVRLALPRKKTKEGSKSGSGTFDFMSFGAKKKAKGKDRSQDGEGGGEIDK KEEKKLHKRYRLIIEVVGVEPKQKSWKEHDNNIPLPKGILELNGDDGHNTVPEYTPRG TPPYRSGSHPAELEPTFTNRRVELDGVSYGPSAELEGGGRVVELPAEPQTIVHELA TRV_03170 MSLFTATLLASLLIVGVPHVFPCPAPRRALADSEIIVTADGQQI RRKRRRKEPVSSPDETGLSSSDQLAGHSVLGSAATNIMDQTPAVGRELREQAAEFRHM EAEAKELGKTARECPVPKPKGILGQLLGFGADKSDQLKAEPPHTDIQWKRNTE TRV_03171 MSDLDKAIAQLRSCRPIPEYQVRELCYKAREILIEEGNVVSVDA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRMTLIRGNHESRQITTVYGFYDECIRKYGNANVWRYCCEVFDYLALGALVLGASTEL SSSGPVVAQPGAVGEHQDTQPSSNAAIDDDDELEIEVLNSKGEVTLASYRKRSRGSNN IAHDIRDVSPPRDISSIPSNTPTRTGPAGTGATGDSLGSMSSNTGAVLCVHGGLSPLV DSVDKIRLIDRKQEVPHEGAMCDLLWSDPDEIEGWGLSPRGAGFLFGADIVKHFSYKN DLSLIARAHQLVMEGFKEMFDGGIVTVWSAPNYCYRCGNVAAILELGEDASNGGTIAR SNGDYGRSLGGRAGMLDAKRVPGPGRRYRVFDAAPQDTRGMPAKKPVADYFLVWIRFI ITPLWTLETTS TRV_03172 MAEDTVAEGAAEASALQPQPYQASKPKRGKGKDKEKKDKDDSTP PVKRRVMETLPAVLLALLSTILHTLVQAILTYDEEDVPDLVRQIRAAEDLEVVAESIL KRQKQLGSAASDNTTTSTGAESPFSDVPQFESELIGKISELRLDGAVKYVGGTSNLIF LPELSEDDEVYSEAESPGGKPDMEDGISSWTTVTENKELIRHLLTMYFTWHYAYFTTL SKELFYRDFIAGRPSQYCSALLVNAMLALGCHFSAWPASREDPNDSSTAGDHFFKEAK RLILENDEHTKAKLCTVQALALMSVREAGCGREGSGWVYSGMSFRMAYDLGLNVDSAG MGSYNLTAEDIDARRITFWGCFLFDKYVFFKPPVFLLHERAYIDICRCWSNYLGRQPQ LCGPHITVPKFDVFPKEDSEIWSPYTDSGISDDYSQPSRTRAVALQISKLCEISNDLL GAFYNTGPSKQISKQEELRRLTQLHTRLEAWRKALPPEMEPKDGQLPQVLLMQYVIHK LPEIFPCSSTDGFNSMFFQLLFIHLYRPFLKYTKSTSPLPAHVSPRKLCTQGASVISK LLRLYKRTYGLRQICNIAVYIAHSACTIHLLNLPDKSARRDIAHGLKHLEEIAESWLC ARRTLRILDLLSKRWKVELPEEALSVIERSHARFGSTSSWEQMQSPSSSGASPKLHDR VQASEQPMHTPTHEAAKSSTVSAVMNTQATSHTSTYSAPVQIPQEDVTQLQSSVSTEQ LPAEHEVSSKSNESSTKQKLPTVPQPRMNTTPSIGNDIPIGLPQSPTFIDSDKLLQAS QNWWLKDQNALALGMDNWSSSWGTPNEGTGNTMDYPTSGSNSIPPIEATDAYTTHAQQ PMHIEGLLPTAAALGLSHPITSTGNYNSEFLASQNNPTHPNIANYMSQRGDGEYSEQS DMFY TRV_03173 MEPNPGGAIRETERELDEKPRNHGQTLPFHDLFISLFNPLNDLK KKPAGPPAARRKAGPTGRNNLSPHELRRNVIERFISRWRQQVGNDFFPAFRLIVPEKD RDRAMYGLKEKAIGKLLVKVMKIDKNSEDGYNLLNWKLPGQRAATAMTGDFAGRCFEV ISKRPLRTEVGDMSIADVNSRLDDLAVASKEDAQLPILDEFYRRMNPEEMMWLIRIIL RQMKVGATERTFFEIWHPDAESLFNISSSLRRVCWELYLPSIRLEADEARVTLMQCFQ PQLAQFQMHSFQKIIERMRLAEDDPEFWIEEKLDGERMQLHMETNSSIPGGKRFEFWS RKAKEYTYLYGNGFYDDNGSLTRHLKNAFADGVESIILDGEMITWDPEQDAPVPFGTL KTAALAEQRNPFSASGHRPLFRIFDIVYLNGKPLTRYTLRDRRRALEASVIPVHRRFE IHPYEVGKSASDIEPLLRKVVAEASEGLVLKNPNSPYRLNERNDDWMKVKPDYMTEFG ESLDCIVVGGYYGSGKRGGNISSYLCGLRVDDTHAEDGTNPMKCYSFCKVGGGFTAAD YANIRHHTDGKWHDWNPKKPPTEYIELAGGDAQHERPDVWIKPDDSVVVCVKAAAVTP SDQFRLGLTVRFPRFKRLRMDKDWQSALSVQEFIDLKAGAEQEKKEKDFQVDNSRRKK TKRSKKKELTVVGSGEPNVAYVGSKTHIFDGLSFCGLKIQALEVNYADIPIVVMTESV KPMKKSKADLEQLIKANGGKIYQTNNAAKDTYCIADRRTVKVASLQKSGLENVIRPCW ISDCISQNEHDTGESSILLPLEPRHMFFTKEDQKEEISNNVDKYDDSFARDTNIAELT EILHKMDVISDISGEERLDIMSDLTLDGDQGWLFKNLTIFFAPCGHLSSVPGEPCVDG LSIRQQLARNITTFTGATVTDQQKNNRITHVIVDPSEDRDLETGKTKNYTTLRSEFAT RRTIPHFVTVQWVEECWKEKTLVDEERTYSMLAIALPGLC TRV_03174 MSWNITKKLKETHLSALSNLSSSLASSKDKDAPTTPTVSSASST KEGGPAPDTNTSKPGILVVTLYEGRGFSLPEQYREAFASHHRTNSSTGGVAGSTQQSS LAVGRGNGSTRPQTSGGGFTGIPTNHGRISRKYMPYALLDFDKLQVFINSIDGSPENP VWAGSSTQYKFDVSRIAQLTVHMYLRNPNAAPGSGRSQDIFLGVAQISPQFDGPYREV EWIDLQYGTGKLQIGWEYVETQTNKLNVDDFELLKVVGKGSFGKVMQVRKKDTHRIYA MKIIRKAKIISRQEVTHTLAERSVLAQINNPFIVPLKFTFQSPEKLYFILAFVNGGEL FYHLTKEQRFDINRSRFYTAELLCALECLHGFNVIYRDLKPENILLDYQGHIALCDFG LCKLEMKDEDETHTFCGTPEYLAPELLTGHGYNKTVDWWTLGVLLYEMLTGLPPFYAE DTNEMYRKILSDPLTFPSHDIVPATAKDLLTKLLNREPSERLGANGSAEIKAHPFFHA IDWRKLLQRKYEPAFKPNVVSSTLTPGLPYIIISNYFSKADALDTANFDVQFTSEPAQ DSFVDDPVLSQTMQNQFAGFSYNRPVAGLNDAGGSIKDPSFIDNVQD TRV_03175 MASSPAARHGSNSNSDNGDYRKKTTNTSAALQGATLAFASQTRQ KQPRNSGSPERRIPEPRQPAGRAIHDGARKAALIADAAAIHNRTGAGADEGDDEHLVT TGTGTAVKDRIQLFAHPTSSGGGGGGGGGGGSTTGETRVPQLAESMETVTSAQNNDPT AYRKASINATIVTQPKIGPEYNTQRIAAKLAVDRSAAGSKARSGSPSRAASAATISSP RAREYQEFITHEAQRVPNSPAIREEVDIGTIVMSSRRDKGNVPPDSDVTDAGQLSAAQ NMPTQQKYGVNTPPPLPNRSVMTRDNPLSRKMDSEPDQGSHPRPPPLPRRDRTITPPP ALPPRSSTAPQGKEKPPPPAPRQSITTASSTIDRPLTPRKPLPSLPYQNPRPNTLPHA RASPLRSQHSGVSESALADAIAASTLASRRTPSPSKGHSSNSSNPPPLPPSRRSRSRS FLQVSHSGNRSDRPDRGDNNGKSRRTPSPNKTTTMKRTMRAPALPEPDFAAGEIHRSK EHGRKIIRTHPHKHREGDRKRWRDRVTAAERKRYEGVWAANKGLFVGVHEQVNIKDRS VILEETVSSMVVRDIWTRSRLPTQMLEQIWNIVSHHAYGLLSREEFVVGMWLIDQCLK GHKLPVKVSQSVWDSVRVVSSGAARGH TRV_03176 MAPAARAARASKGQKGSSSHPPSTSSATTNEDTIKELPGDGMSK AAAVTEVARESISAASSAASQRSRSSSSLRSTAISSRPPSIQFTKGVGISARSLPAEV ATTKVNIASTEAPSMPTPNISNNIARNVHNGLKPSEGTDKVEGEPKEDETPQETQAIE QKKGSPNAPSETTVDLPQKEINNEKPRSIGWFEWLSMAKNTNEPTPQSSDPAIVKEDG SSTPKVGLEDTCSTIKEDINQHDTSGLKEVRASQSRSWLQLWGGSNATQAKPLEPISN PQSAENTTTTPQSNPQEESISQSQPEGVSDKNQPAEDATHKRGESASGWLFWPRQQAD IGSSAKKGENASENVPPDENTKEQMKGASLAAETQNIPSSKNSRVKPSTAIPQAATPP EPSSQTVPKEPPLQPANVPVAPSTKKGERTPPNQVLPSFHTSFPLESKPSFIEQLSRL LYKKGSEPRHVLRSQTTPQIKKAIAIGIHGYFPTPLIRTVIGQPTGTSVKFASMAANA IQEWADENNSPCHIEKIALEGEGRISERVDLLWKLLLNWIEHIREADFVLVSCHSQGV PVATMLVSKLIAFGCVSCARIGICAMAGINLGPFADYRSRWISGSAGELFDFTDAESK VSKDYRAALKAALDFGVKVTYVGSIDDQLVSLESSTFTTVSHPHIYRAVFVDGRVHAP SFLSHLVGFTMKLRNLGVSDHGLIRELSSPLAGSLYTGEGHSRLYEDKDVYKYFTPSF FASTEPKTIYVQFTNGLTASRLAIRFTLETTVISNAAIQFHKMIAPTASTSTANPYIL PFAMRGVLEEEYVRHELSDETMELLKQFEDWKPSTKTLKDVKFRLEGIRSKL TRV_03177 MAIQKKHGKGRLDKWYRLAKEKGYRARAAFKLIQLNKKYGFLEK IGVDLSPIKPIPKVITFQSDITTDKCRATIRQHFKTWKADTVLHDGAPNVGTAWVQDA FSQAELVLQSLKLATEFLVPGGTFVTKVFRSKDYNPLLWVFKQLFTTVEATKPPSSRN VSAEIFVVCLGFKAPKHIDPKFLDPKHVFAELQDPNPNNEAKVFNPEKKKRKRDGYEE NDWTQHKELPATEFINTTDPISILGSYNKLTFTQSPGGDLALATLQRLPETTNEIRMC CEDLKVLGKKDFRQLLRWRIKVREKFGLAVKKGPKNEEETEEVAEVEPMDEELALQED LRRMHERETSKNKRERRKENERKRKDIVRLQMNMTTPKEIGMEQAGPLGEGAMFRLQT AEKLPAARSVSASSMAAAVESSSEEEEDSSEEDSDEDGDRLERQLDELYSQYQEARDS RDAKLRAKKSRKDYETEEWEGFSDERGEESDNQSPQTFPAAPVGDHVNGLSNKAAMFF DQDIFQDLGAVEDGEASDDPSAAKTNGVTKEQSTVTNKEATKNKRKAASPSPSISSDS SYQEVELEKSETFEDDDDPNLNDDPRKKNGQLDVDIITAEAMALAHQMARGEKTTEDF VDDGFHRYSFRDVDGLPQWFLDDENQHSKIQRPITAAAAAAIREKMRAINARPIKKVR EAKSRKKFKQAQKLEKLRKKSSLLAEDEGISERDKAQSIAKMISKATKKKPKQSVKLV VARGGNKGISGRPRGVKGRYKIVDARMKKDIRAEKRLAKKKSK TRV_03178 MVAGHDVSDGVDSANQRYEHQGPSDNHQPHPTSTTALLGGDQGS PMAPTSMGRRGRRTLGTFLLLIVVVLWTTSNFLASTIFADNTYSKPYLVTYLNSGSFV FMLVPFVGGRIHRLWKTGKLRDIRSFQALIREFERPASGEETQPILRSDKDEGLPQET RDAGVQEQHATTGTKLGFKETAKLSLEFCIIWANYFAMACLQYTSVASTTVLTSTSGV WTLIFGAMIKVEKFTLRKCIGVLTSLIGIFLISRVDISSSSGSKNGTFPNKPPGEVIL GNFMAAFSAVLYGVYTTLMKRRVEDESRVDMRLFFGLVGVFASIILWPGFVVLHYTGV EPFAFPPTKLVFLIVLVSIIATGVEVRAGFLC TRV_03179 MLCNFNFRWKLQNTKYNQRPSSPPHRPQRALCTQCPILTDRLPQ NDSFAARLCVVFPDGALPLSDRLPGNLSLPLLSYILPCSHGVPQEGSIEGGLLAIDKK TASLSDGRWVTDAFLLQIQVIILGDSGVGKTSLMNQYVNKKYSASYKATIGADYLTKE VMVDGRLVTMQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFETLDSWRDEFL IQANLRDPESFPFVVLGNKIDVEESKRMISSKRASTYCQSKGNIPYFETSAKEAINVE QAFEVIAKSALMQEESEEFNGDFDDVINVNHDSDRDACAC TRV_03180 MSSWRQDYSAALRIRDQQEKAYVSVLEASDRSGSLEKERAEAVR YAQNLSGSKENDTPQDKKYQSPHDSPAVIPGLDPLLTANQDLAAAQKAREELSNKLEK ATGKIDTLLRKLNTESQRVADLAVERTQLQIRLRDRDEELRGKAKLLDDVQDELVTLN LQFNMAEERAKRFEEENQQLVDRWMARMGQEAEAMNKASRFS TRV_03181 MGFFDHLQKKGTGVIQAQKAQIRRVECKPPIVRSSSAPSHPVKP PSQRAHSESSAKRTVTSPRKSTLSDSTGTVKKKVAVASKSDHLAVNPPRSRSVSRKRS SPAVQQLLSSSDGSETDESLDIRLSKRTKVSASAEPDLKRQLRSEIAFSETAEHSFPM VHAMDIASIEKKTPGFSSAFKEPSGCTTIALQYPTYSQRERYQLVIPRENDGFKPLED IVQVVETVSHNYIPEDQISAFTDDSTGYIRLLRRAIKQESPKDFWNAVKAYNETIRRL RDEGVIAKHLDAMHSLSLPWVERILTQVYSRTVSLRVESLKKYENGTDNVYGELLPRF ISDIFQKTHLKSDQVFVDLGSGVGNVVLQAALEVGCESWGCEMMQNACDLARLQQAEF KARCRLWGLSSGKVHLVQGDFLDNERIAKALRRADVVLINNQAFTPETNNRIINLLLD LKEGCQIVSLKSFVPTGHKMQARNLNSPINLLSVERHNYWSDSVSWTNAGGTYFIAKK DSSRLRAFSDSLYN TRV_03182 MTLHLQLPAAEDRSTAMDAIAAEGHTERAGVRRSQILDAFSPVN ENGSFEFDRVLKRGKVLRRSKSKHAFKSSWKPGYLVLRPNLLSLYKDKEEAQLQLALS LSDVSAVAHVKSTPRSNRPNLFGVFSPSKNYRFQATSTEEADSWVEQLHRECSVDYPD SVVNYYEQIHGRKQTIAEADESAAEMSEVEGRGGTAALGRTPSLLTAPAQPSRVKRRT TQDYSGNEITSCSEFSDAAGQSLPASRSQPALNRKSCSHRDNQQPPQQQQQQSLRRLA SGQSEAAPSQPDPEGVIFQGYLQCLKGRKGVRKWKKLWTVLRVQSLSFYKDQHEYSAV KIIPMTEVINAAEVDPLSRSKIFCFQLITEDVTYRFCAYDEESVDKWLGSVKSVLMRL QDSSIYPSTGALSGSLNTRC TRV_03183 MLSPSPLDGSLTNEKGTIISRFAFFKRRIRLRRNSSISIPLGLV LLFPCIVIILIVLLFVTHPSSPGGMLMPTGTPPSIRKISEKYDKVFEVGCREIDTSQP RANAAFVVLARNKELEGVIQSMKSIERHFNRYYNYPYVFLNDGDFDDNFKDTVTNYTK SSVEFGKIDSSMWGYPDWTDAEVAKEGIRKQGDAAIMYGGMESYHHMCRFYSGDPFLE MAKNNKTYGFTIAVKELKETVPNIFRYASAYKRTNNITSQGLWEMFLEDPVEEEKPAE ENNALPDEILQTQPGAAGLKDVDPEAMEGETYNMCHFWSNFEIARLDFFRSKAYEDFF TMMDRSGGFWNERVRLCLPIFYIYMNFLLQMLTKPLFHQWGDAPIHSLAAGALLSPAD IHYFRDFGYRHTTIQHCPANAPARQRVRDPYLEQTTLDPKLRQEEDEYWDSPDPPKEN GVGCRCRCDTDIVDVEGKHGSCLADWVKVAGGWASP TRV_03146 MDHHFSYFYFYFYIFIFIFTYSTASSTACLQLRLQLRLLFYCYV GPLHCLLAVHPRPPRNALTSPVKKKQSRVEIQKNKSDRLVSVARPSAVKLSSSRIPAL PLIAAARSPRPPLLLHHHQPATASTSASTSSASASASIVKSLPASTLSAPRSQTPFDS SAAPSRPDGWSDAAMASPQAASTASPAAEQPAQAKQPSSFFPLGYKEGFSQWWTCMPA ATAEHSVLSFIPYLQQVPTHKQTGKEPSVPDGQAADSLTAADTSQEAQVSANSIDDPY GPRRWRSSMVQLSGDNRALNEFSVERVGEKVENNLVVLHGYGAGLGFFYKNFESLSRA KGWQLYALDLLGMGRSTRPPFKIHAKERDQAVTEAEDWFIDALEEWRVKRKIERFTLM GHSLGGYMAVAYALKYPGRLNKLILASPVGIPKDPRAVDAELTEPSESTLSAEFTESQ DATTGNASNNNNNNNNNNSLAARTPPLLRPLPKWLTYLWDSNVSPFSFVRWSGPLGPR LVSGWTSRRFSHLPQPESKALHDYAYSIFRMRGSGEYALSYILAPGAYARKPSSIEST AWAAN TRV_03147 MSQLDQIVKGAPPPEIQVHRPDTGSLPPPSPSSSPSPAPSPLSS SSQESSETAASSVATAAAPDPLSALPSSPPQIYLNLLIVESALRAQYLALRARRRQNT FFLLLLALWIVYFTYALFFRPREDGRGLGGSVYWVVETGEKVALTGGVVTAILIWGTG QWERGMRWPRRWLGVTNRGIRGMNAKVVVIPGPWWKELLSYISFIFPYSAFFPSNTSF HYVEYERPASASASAAAAAAAAAAASASSGAHGDRPASRRRRGSSVTSLRQPPDEENR IGVEEDLAPGGDYIRLLLLPKSFSPAFRKNWDEYRTDYWEKENERRARLRQKIKEFTR QQARANAGWLWWARWRYWKQSYPRAIPVISKSGRDIERYTHHRASHSRPWNGSEREKL RRTPPYADSHSHSHSRTSSRSSTPHAVSDVEDKLPLDRERRSSVSRRGSGGSPGTPKR NKSVSQTASGSSRFSTPLTPLSASGSDEGS TRV_03148 MSSQQTEKEHIPEAYHAMLSDKQRTALAESRKRMRQASSASSAS TSSNFLATKIDALESEVDYIEDCKTAIHDLSRLGRLPPLVALDTIKDLALASMPLNRE IRVIKRQKKLVQEDMEAAMPHYKELESAYVDAMVARIASASGNQTKTKFKANSFRQAV EDFYEASKIEETDFMPRKLAFCHVIGWLPSNEVRAAHLVPKSLDEMSVAHLFGAGEVT IDDPRNSLMLEKNIEMAMGMGNVVIVPVKAPSAADEIIQWKLVITDPALLKHVATGGA KWNFLHGKTLTFLGHHRPASRYLYFRYFMTYLMLKNQGRLDWVQEVETGKCMWATPGE YLRRSMLINLARRVSDHYLLEVFYKSTTFDGDDSTTNVDETEDTLAINLANSMLIPSP SSKEGDDAEDEEEEDE TRV_03149 MPERAPAGQLPRGVDVILDDDLVDRAKPGDRIQLVGVYRSLGNR NTNSSSSTFRTVVIANNVIHLASKSGGGIVQPTITDTDVRNINKLAKKKNIFDLLSQS LAPSIYGHDYIKRAILLMLLGGMEKNLDNGTHLRGDINILMVGDPSTAKSQLLRFVLN TAPLAIATTGRGSSGVGLTAAVTTDKETGERRLEAGAMVLGDRGVVCIDEFDKMSDVD RVAIHEVMEQQTVTIAKAGIHTSLNARCSVIAAANPIFGQYDTHKDPHKNIALPDSLL SRFDLLFVVTDDIDDKRDRLVSEHVLRMHQYRDPRQEEGAPVREQAGSSLGVGLEESQ DKNRTTEVYEKFNVMLHAGISQSGRRAGKKVEVLSLPFVKKYIQYAKSRIKPVLSKGA ADHIVSTYSALRNDELLGNQRKTSPMTARTLETLIRLSTAHAKARLSNRVDEKDAKAA EAILRFALFKEVVEDERRKRRKTANIPESDSDSEDSDNDDEGDYTPRRRTTQSASGAR SSNRPGLRGSRATSTPGVNDTNIADDDDDDDDDDGTYNASPSGRSAHSSRQTRSQQTP SQSQMSVASSHPASQLLESQETDNTESQADVLANAVAASASLPPLPPARLSTFRQALG PLMRSDLFQGDSADVQKVVEAVNTSVRSSSAGSDGEFELAEALQALAVMNDRNEIM TRV_03150 MLERAVRCIENASQHVYLGSKHTFRSRHILHPKFWHCNTDDSSA LSLLYYYAVQSRLGKRPPAQHNNDDAPLDSILPPTPLEFLYPPKTRLFARTYKSEPKR NLNKRKRNIYRTYSSSASNCLTEESLDTGNSFTNDVRSNESPDVLRKFLTDKGPRDYG AAWWLYRSSGSPRGFESDLLSYLSTSQDPRDFQRCQLLFERINPTNRSERDYLSTVKI ALNAKSRAYTNFALELCGEASARGQTQCWQYAFMEFVNRMDWENALSVWNLQPHPLPN KLPAVDRQSKPLANRLLALLEVVQQRNTNDTTEMARYIVQLVISHDRAMMSVSMNELL KIFENSIKLELFNHDDYILAIRSLLSSKIRSANGRSIMLYRNFRWRIPQITPPKALIM GLLHMLCNIEVLEGVDYLLDEYRLHYGKPPLLAYHDALTVSARLGDTSSTLRFYNDYT ADHGRADSTEILTPLLYVHARTGDVKETQKWFNHVSELGVEPRVQYWNILLTAYSKNK NLEGALRTFQQMLQSGMAPDAVSFTILMGLSAGRGDIRAVLDLFDMAKRNGVRLQRSM FDTMVEVLCKHRRYLDAEKVAEEATSKFPASTMTRTWNILLWNYAYTIDITAVLRIQN RMQDAGIQFDGMTYAAMMSSLVRVGKTNSARKILGVLHRNHEIHLTLFHYAILLHGYV QETNRDMVQVLYTEMMERFNKTGLSGTLAMLQSQIDRDIRRARETMGSASSAKFALPH AEKLLKTAMAQLDTQDFSTRQPQPGARGRSLREAFPAAFYEQLIRAYGRNNRDEKVGE LFLEFSQKSRSTLPDRLPHSPMILHALMVNFLAKNQHYEVKKCFEAALTHTLQRSRGI NVQAALSRPTGFLAAPRVSKKGPAEVTFCTKTPTVLPSHRFDLSHCISTYMRSLSSQN LHLKIADLVTQIEKLGFAFSTHNWSLYVNILCLSRDPASQMRAFTIFEEKFMPNYPGW RYIKRGYTLRPEGAHMEMDLMEGPFRRGKPGRMIGRKVRALWAKVQPDYMQPTHLNIL HLASALIDFRSRSIVDGGKEMNELFSKAPKTYTAVATIPYLEEKFQNILRRYKADQAD IERLPRVEISNDDVRTGGVLGEGSERINTGEVESSFEEDNDVREDEDKSDSEWTPEIH EQPNVFESTEPAPPLLESLLAEDMSRRQRMGVSEKSTAVPFAERVLSPEDQWDLDNYI RRSTFEETEK TRV_03151 MDITGFIVTHRAEALSMGDYNKYRAMLTRRLHTLNKRLGRTTPK NKKFSGKAAITSSDIAKDHGYVRILLLSAERAWAEAMHMRSVHSQDTSKKGMVGSARR HIISRLTKSTVYARQLASALQNQQESGASRTDILEAHAYLATLMTTCWMERRQWENCL KQASLARVLYAALEKQDKQGNIQEVISGTVDPSIRYSAYQLKIPRSVPLSTIAKKYFP ADSPLRGEVEAIDPHCLSEDTAEGGPDAAAGSGDGQTFPQTITWRSRTVKIEDSAISQ ALASAASAEAQLVAWIAKQGGQKASAKETAANYDNVIIASQDAVDATKAAIDELTSEG IDQGDTRMQSLQITKTAVNYRLVRWRVGRNRVLCGDADGLSFTPPAAARRKGKEEDGA ETPKQESLGRRIGRLREHVVLCDSILQSLESVKELPGVAADAAFVRELEAKLSYFKSL RCLAIGRSYAFRSESKNALALFIRADELVSKALPSCSEETDASSHPLGVDVTRSQAEE LHVQLEHLVWQYRGIVEIEKLAAESDQRDPATLPPVVRRMQEYHLDGVDLSNLVAYPP RLEPIPVKPIFLDLAWNYIQYPMEKKKGILPDTAGATSA TRV_03111 MLTGVADPEDVEDALDVGRHFAKGLVARHILTIVVIVGCFYLLF PGWVGLHPRELLCMAPVDAQDRGLDPGKQVGLLARAGADGVLALLRQVDHSQALLLSL SLSLSFPFYTDMRWKKEEDEDEDEDEDEDEAKKTSEKTRR TRV_03112 MVTSMGGYSVLPVLLPNSNSKGESETTHYLYIQAHAPRLPDADS GRSLFVVNVPVTSTETHFRHLFGTQLGSGRVERVQFQHDEASRRGLGSKESSTSTEVT ANKKRKRGEEESAEEYASRLSTIKLPGAWDRPLRPSGSHAVVTFVDRPSMEASLRAIN KRKKSSKTTITWGHNLEEETAEYDDNDDDNNNNNNNGKSSKKGLCRLGSARYNRHAQL RYPSRSELLKSVNEYMSVLSQLEEAREREARHRGNVVDEDGFITVTRGPKRAVDAGRE EEMRELVARQREKSKGLEDFYRFQMREKRKERQGELLRRFEEDKRRVEEMKRRRGRVI VSIRLYTSMSING TRV_03113 MPVSTASDNGDEDDFIVDIDGIQAHEENKTDAEKGVGAADITKL KVNGFYTVASVHGATRKTLLKIKGFSEVKVEKIKEAIQKCQPSASGFITAMELGHQRK RVVKISTGSKQFDTILGGYQPYFSYEIKKKPKKERKPETDGSLPKDMGGAEGKAAYID TEGTFRPERIAQIAERFGVDPDSTLENISYARALNSEHQLELLNTLAKEFASGEYRLL IIDSIMNCFRVDYCGRGELADRQQKLNQFLMKLAHMAEGM TRV_03114 MAAAVRDTVTNLIGKIAGMGVQDAPPRQPSAEEVAELKKQYEQA KQGQVFARFDSLTSSEQAQLFHQLSSFDPEHINKLVKRANEDSANALSSNEPKALEPL PESSTASILDSDPKDIEQWYNQGLKLIGENKVAVVLMAGGQGTRLGSSDPKGCFDIGL PSGKSLFQIQAERIAKLQSLAAGESSKENIVVPWYIMTSGPTRQATEKFFTDNNYFGL CKENVMIFNQGVLPCISNEGEILLESASKVAVAPDGNGGIYQALVNSGVQDDMKKRGI EHIHAYCVDNCLVKVADPTFIGFAASKKVDIATKVVRKRNATESVGLIVLSNGKPGVV EYSEIDEATANAIDPKQPGVLKFRAANIVNHYYSFSFFESIEKWSSDLPHHIARKKIP FYDTKTGATVKPESPNGIKLEQFVFDVFPFISLEKFAAIEVKREEEFSPLKNARGSKD DNPDTSKKDIMQQGLRWIKAAGAVVSEEHTSLGIEVSPSISYGGEGLAFLKGRTISTP ALIETECDKA TRV_03115 MLKTKQGTGDVAIPTRGQGGLQHGSSLEELLKVQLSRSFSLHFQ RSPSKESKGPFQTAIVADLWEATFDICFSPGRSISLCVPPVRLSACPPVCPSVWLAAL AGCSACLCVRGMHEVKNFPFGAMENESSLSSKARAADQQLQHQQRDTGRVTNTTIQTM SVLLETSLGDIVIDLLTESSPRACENVQKGFSFQTGDPLGPDSPESDGGSSIWGVING PSQKNFTVRFPPKLKHSELGTVSMATVPSAKDADERLAGSQFIITLGDNLDYLNDKAV IFGQVVEGFDVLEKINTAFIDDKGRPLKDIRIRHTVVLDDPYDDPPGLQEPPESPLPT KAQLATVIIADDEDLDQEADEEALERIRREREAQAQALTLEMVGDLPFAEVKPPENVL FVCKLNPVTQDEDLNLIFSRFGRILSCEVIRDKKTGDSLQYAFIEFEDQKDCEQAYFK MQGVLIDDHRIHVDFSQSVSKLSDSWRTATNAKRSSQGGGFGGISNLEKRRQYRAAEA GDRRSKARGLPAPQSLVGEIALGVAAGVEALPVIRIATDPPDAVMGMTGGHGGTGKGT GALTQDMMNETMAVHRDGGEAV TRV_03116 MSLILTSTQVNSSPLQTKASYSSWAYPFSNSSSSPGNCGQQQAA YDTHILRANSLSIHGGSAGLPAYSAVQPGLEYGTSSEQHALANRTSYYSPNYPFGYGE EGVYHLPFSSYVLPSSTGAGGVSAVCCTSPPARNWRLPTTLDPTNAGAYPDHDGSGSL SPSFPFLGRPSQKCTSSETVTLFPVMSSLSSGQDRTLPNPATGRNNTLAAIMEGTSST SPNVCSSITATYKQAYQLAADSDITRPITSSDTSAALSVNVKLPPSPIDCGFGYIPLS SALQACVTSAPFLVNEAVDTSVDVQQGSDEPHVNKKMTRIKSSTDTCVSEYVHSTNRS HRLGSTRPPGGMLVSGEVYERPQYTTSAGNMYSVEKTAVSPQLTAGASSCY TRV_03117 MADAPVTLRTRKFIRNPLLGRKQMVVPLEVMRKISGEKKTEKIY DILHPNRANISKDDLRTKLGELYKANKDQISVFGLRTHYGGGKTTGFALIYDSTEALK KFEPRYRLVRYGTVDKIERPSRKQRKSSKIRAKKLRGTAKVKGVKKAKK TRV_03118 MSPDEASGLRLLAAQYFQAVEVQRLRFPPGNVLLKLPVQQWINR NMFCEDTVWPLPPLNYRTRVLKMILSTIEESFTDPEEDEILDDLMTSWGILISRPNLS PLEQTQQLSYIKYTPPVVLDSKHDESVITLENRGLILAAGTTGFRTWEAALHLGTFLS TQTGKEFISGKKVLELGAGTGLVSMYCSKSLGASRVIATDRDPALIANIQECISWNKL TSKKITASIWEWGTPLECPDNVQDNGQCVSVDTALGADLLYDVDLIPLFLSTLQDLFD NYYLKEFIISATLRNQETLNAFLSACGMN TRV_03119 MAPNTIYIITGASRGIGLGLALAYIARPSTTVIGIVRNESSASA LLEAMNQSPRGKNSVLRIAQLDFSMRLTPQIIRERINIAAGDLNHVNTVICAAGHVTA IMPTVEMAAEHLRECFEINTIGPLMVLQAVRDLLEKGNGATGLPSKFIVLSSSVGSIG QMEPFPGGAYGPSKAAVNYIAKSIHIQMAQSGIVSVALHPGWVQTKMGDFMAKAWNYA GGPPDTLEESVKGIIAIIDEATRVKYSGKFVTQAGVEIPW TRV_03120 MTVALPNTYRTVVEDLPAIPEGWVQGNPPSPETSVRMNLAVGQR NTRTFEQIVLDISTPGHRNYGKHLSRRDLKGLLRPRRETSNLILSWLEESGVPKRSIV DDGDWIHFVISISQAERMLQTRFYYFHDVQDPGISMIRTLKYSVPSRLARHVYMIQPT TKFGKPKKHANSVASLQVIYSSTNATENCNATITPRCLRELYKMGDYVAKPDCRNVIG ISGYLDQYARYSDFYKFLELYAPEMKGANFSVAHIGNGQNLQNSTRNSIEASLDIEYA LGLSNASAVFYTTSGRGPLVPDLDQPEQEHNSNEPYLDQLHYLLSLPQEALPAVLSTS YGENEQSVPERFSHATCNLFAQLGARGVSVIFSSGDSGVGSSCLTNGKKKITRFNPTF PASCPFVTSVGATFKINPERAIGFSSGGFSDRHSRPVYQNDAVQHYLDKLGDQWKGLY NPKGRGIPDVSAQGANFAIYDHGKVITVSGTRLDVHPRFQKYFRV TRV_03121 MTFAESFLQGFSTVHNSIGYKKGYNFILWFIFAGALLGFTLARF SFLNFDVFESDSVPGQWYFTRMGRDKVGLLLHLAAVLPCGILVIFQFIPIIRHKWILF HRINGYIIFILLLISHAGALMIMEHSFGGGVDIQSAVITLVIACTVSFCLALYNIRCK QLEQHRAWMLRTMFYMGCIITIRICLIISASILGALPNLRHDVWSCDQVRFTFLNGAS DALTASEKLAKLFPQCTAPNSTNIMVPVPASLNLSNPASAGAAFNLSFGTAIWISFML HALGVEIYLRLTPKEAERLRQISYERQLAAGFKNPGSAGLVVEKLGDADPWKGPV TRV_03122 MADEELRAMIRDLHQTLDQKHVDRTAALLSRAKRALLQLNALIP TSSTPHPLISLAREILELGAITSIRQKDAPSFTRYYQQLQPFYDTERHLQAAFAEDAE INLKTSQRSKITGLYLLLLLSMGNNTEFHTVLEGLVVEASLKGHPVETDPYIKYPVEL ERSLMEGSYDKVWRETKSERVPSEDFGLFSSILVGTIRSEIADCSEKAYPSLPVSNAK NLLFLDSEGAVVEFAKERGWTLKDGRVYFPSQQDQEEQNTPQKTGKEITLTTGSVIEN TIGYARDLETIV TRV_03123 MSTTTNSSSISTIFLDQPPSCLQFCPTAPNFLVIGTYLLTVDES DANASSPESSRSGSLQLFKLDTQTYRLCQIQRLSLSHAVFDLQFSIHDPSLFAVALSA GKVSLYKIEKHPQSAGLEVSLCLLNTLRVRDDDTKLNLFLAWIPPFPVEADDSVAPIV GFAVSFSDGQISVFQKHDAYPTIEQESIKETRVEGMPIEVWYLAFQRQYNGQLSLFSG DDFNQVRKITFTNDPDLDIDTVPMNDRGRYHEGGVTAILPLCNQDGEAIILTGSYDQH VRVYQFGARGQILTDLNLGGGVWRLKLLRVENNTVERSQGILTSYLILASCMHGGARV IRVVHYSRHPKVGSTWGINIIAQFTEHKSMNYASDVWQDYNEPSKLLCLSSSFYDKRI CIWEAKIQNDEETTRMNERDKT TRV_03124 MSTVSSPRPSIASARSPTPASSRRPSVESANFAPRTDLPSNALR RNRAALRDYYNLHPGRTEPSNQSRARSVPPTPDGARPINQISNSELDSPNFDPDDYVS HLLATSSLATILRAENSLVSDIKTLDGERKALVYDNYSKLIKAVETIGKMRSILEEEG TPVLMTKTLAPAVGFIAETAMSLIREQQELRPSTNKPAQASATQNETAVKPEKATVLW ALDSPRRLKRLLDSGKRDDAEQDWSEIQSLLSKWGEVKGVKELRAECELLIVKKELPE A TRV_03125 MAPEPHAYIKKHLLSTRSLPVSEVWLSELLSTQRPGITPLPALT QTALFRLLASDFTRSLEIPVSNARHVLPTDISNASIKERRISGPVPVQILDIEDIGSS VWSQVEAIERIERGESIRGREVIRTVPQAVQAEEGNSPINTTGESARQLAPSASSGQD SKLGGPHRLILQDCRGTKVVAIELKPLRAIKLGETAIGTKLLITNAIVARGTALLDPE CVTVLGGKIEGLDRQWKTGRKARLLSALNPPETIS TRV_03126 MAPKSALKAIRADLDAGDYSQAAVKASELCTQDPKNYHAEKLNE YDASEKAYESAIKIKDSDKTAWQGLVALYESQGRKRLEAYETAVERLCNIFADTYAYL LCLLKYNDEMTNPFASEEYGRAHGVLEKYINFVKLHGSKLQYIHALQLRLPTSPIYKC VEGLAPRPSETYLRVAELAEQEEKEFINREIGERRTRLGARIDQVTTEVKREAFNRSV LNELYQGIIDWTHDDETRRYYEEKLLQRHYDHLRILPSKEKPTGRELVVKAARDMVII KHPFELAWRITFDWTDTEELSSLDVTLLRDFIRLFPDHGLSKILNGFLYTNLSPFPYQ NLYNDVDGTNTTENPEIEAQYMVADHLLIMAEGLDQASDSVLAHRIMGAVYSHLGEFQ TVIKVSRSGLAINSTIRHDTGLKLQNIDDSLNILLATALIPHQSPRHHPEAKAIFQDI LSRKPQEDNCLLGLGLILEESHDFAAAMDLLAQALIRDENNAKVRSELFWCKVQNGEL AAALKGFEETLPLVQCPGSRWHNLRAEVLYRIGFCEWELDPSPSARKTRNGAYSKFLA AIQADMNYAPAYSSLGVYYADYKKDKKRSQRCFHKAVELSSSEVMAAERLAREFAAQG DWDLVEAIAQRVVDSGKAKPSPGSKRPGYSWPFAVLGVVEINRQLYAKSIVHFQTALR ISPNDYQCWVGLGESYYNSGRYIAATRAFRNAQSLECTLPESERGQIWYSKYMMANLE RELGNYAQAIMMYEDVTHLRIEEFGVSVALLQTLTESAWKAAESGFFGEATETAKRAI ETGLSITNYHPNGFNLWKSIGDAFSIFSWISNKSSYLPIAPFRAVLKTTCDVTAFNIL SNLDGIGENFDTLFESLLGSNETAQVISIYSTILAFKYALSATAKDTHAQALAWYNLG WAEYRAHMQRSSFLKGPRSNKRTGFIKAATRCFKKAIELEAGNADFWNALGIATASQS PKVAQHAFVRSLHLNERNAFVWANLGAFYLLNDDYQLANEAFTRSQSADPDHSNAWLG QGLLAMLIGDAVEARELFTHAFGLGNSSGAFAKQQYAISMFDDISSSPEPTPAQLLQP LFGLRQLRSVDPSELFHYHLSSLFAERTGDLEDCISDLETVCSGVEAAYESTESIGSL LKYAQASADLARIQLGRLEYKEAADSAETALNLMVEEGIHECHGGLYQVIRLSAHLTA GLAFYYLGRMDESIEMFRDAIQGANNSPDVTCLLAQVLWAKGGDEERLVAREQLFECV EKYPEHVGAITLLGVIGLLDTDEDTIEAVESDLQAMRARTDLDTHSIGKIVKLLASIP ALRYEAEAEATRTSEMLKQATTSVMISPSQPQGWMALSSVSTERYAAEMAVRTALVSI PPRGKLDAEALCQAYSLVGTRKSALSGVMVAPWSKNGWDELIQCCS TRV_03127 MAPLRLRVEGNVFRDPHNREVILRGINLDATAKYPRIPDVPSHE VNGFFDADDVSFVNRPFTLEDSHTHFSRLKKWGYNHIRYVFTWEAIEHTGPGQYDEEW ITFTIELLRIAGLYGFYVYMDPHQDVWSRFSGGSGAPLWTLYAAGLDPRNFHDTQAAL VQNTYPDPAAFPKMIWSTNYTRLVCQTMFTLFWAGKDFAPKAIINGMNIQDYLQTHFI AACKHLAVRIHEAGDLEDDVVIGWENVNEPHRGMIGIPDISVIPADQQLQLGTSPTAF QGMMIGSGFPCEVTQWEFGTFGPHQTGRTLVDPEGKSVWSKVDRADQYGWKRDPQWKL GECIWAQHGVWDPATLTLLRSNYFGTHPRTGQALDYEGFINTYFLEHYRKYRDALRSV HKDTIMFCQPPVLEIPPTIKGTVDDDPNMVHAVHFYDGLTLMSKHWNRYYNVDVVGIL RGKYWIPAFGLKIGETAIRNSFRDQLHYLREESYKNMGNHPLLLTETGIPYDMDDKYA YKTGDYSSQISAMDANHFGIEGCGGNGYSLWTYASENSHKWGDNWNGEDLSIYSPDDL ELPIAKSLESGMTLLDPSSPSFSQSRADSQAIRVEPSNLKAALPAASITTKPQLKESG EHRGFRAVEAFVRPGPEAVHGRITKHGFDLQNCTFTLSLTADSPTASGAPTLIFLPEL HFPKDRSTVNVSGGKWEIFSLEFQHGSIQFLNWWHAAGDQTIQIHGVKRELSAIVSPH DDEGYIEQCKQHSCIVM TRV_03128 MADSIQPSDGNENTAPQLANIIQALDVIHSPASSNEVRKQASEF LEQQKHEKAALQNGYFLAAEKSHSPVVQHLGLSFLEHVLRYKFLELSPDEVNHTRGLV MSLAEGLRCQDPSYIRNKVASLWVELAKRTWGLEWPQMDESLMHLWNATFTHKELVLT VLETLSEDTIHQEDTASSLRGTDLNRALVEICTPYDVFKVAYPKRDHHTEIRSGSEGW LFRVSYFLSDCVRNFHASTEAKTCALKSLSCLRSLVAWVIPMAIDSSQCVIAICNALE VEDDAVLLAAVEALHSLYGRSTYNIEEFQRLVNIIYQNDHLNLLRKLYEWSIVGADNI IESKYSISKKLSELVSYIAGFLEEKSTDFTKSVDLPIFFSFLISILQNPSLVVSIPVL HSWSRLLASSHIGGLNIVNNLIGQLLQICSQRLVRYEALPEDSDDPTMVFLSEDIDTI PERHAFVGNYRRYCSQVIEVIVQKHTLEAIPHILAGVNSALDNVYAGNAPFNVATFQK QSIPALRADIQFTVVEAMLKGYLKWKGSLGSNPQLDQDPCIKQRVLRLCVDISAKALV DRPSYALKILEHILMVQIPDHPEYSAYSESVRELYNMATYEIRRLAIRYADYFANYYE PLEQKAQEIGKRPNDEGRPHMELSAILLIIMQRARSVDPYIRQARLNSFVEPIKQAWT NQELKLVLGSFKGFYDVLGLDKVHPYLQKREAQKIEDWTAVPLDAEGIHIQEDLSKKF QELPLRPTRTLLAVSTEKVKKDEPAYQIALDLWKDTIPLILPTILQLIRFWQAGISSG SRQEFYSKIAGSKTTLEGLSSSVRGKVRAVREACYSVLFSMSRLENYFYGFPELPVPL SQALYKDAFSLSSHQFSVLLNISRCLIDDCPSNARADFLPPMMSALFSQLDKKVTSEW DIIQRRRIGIVDDDLTEEMKDESILRQLTYSAVIMVASFLDPEREGELKATDGGQPET MRSFIISSTEILEPVLLFCTHALQMHDTRCCVIITRVIRSMLTEFVPATDTPTAATIR EFISTEVLKACINSVHDPYFVDMQKDLAQLISSIWILYGPTTNTPRSIILSLPGMLEQ KVKAAEVALHGSASSRQQKAIILDLLEGVRGVRISEQGRILGTAVNRRKERSSMQARY MSTEMEGEEAKKVDINDGADLTFVADMFNQA TRV_03129 MAYGLYKLFIGTRERNELAREKIWSRIHLIPLLQAEEDRDQARR YFADKAREKELLGTDTKIYNSDRYVMDPRHIYLAKLLTGFNSQIRETNLHVYPISFEI GLSV TRV_03130 MPAMEAEERPSKLQKTEHEAGDPTVDVSMSSVQDPSNNDDKLKI DAKSEGCTQTAIDTAIGTTADQTQQPTLSKNQLKKLAKQRRWEDQRERRKEYRKEKLQ KKRERSKALKAELQANGVALIPGVHVEGILPDKNKIRREARARAVNVPLTFIIDCNFD ELMHDTELKSLSSQITRSYSDNAKAAYRASLVIASFHGKLKERFDTVLSKNHENWIGV KITQDDFATAAESSQRRMHEIRHPNLQGAFAEKSESGTLKEEGEVVYLTSDSPNTLTE LKPYSTYIIGGIVDKNRHKGICYQRAMDKGMKTAKLPIGDYMQMASRFVLATNHVMEI MLKWLELKDWGKAFSQVMPKRKGGRLKSEVSTPASSEARHDTHPEGEGILGAESRSGA DDAQGDAGEAVEGGLRMETEELTGNEREHG TRV_03131 MFMLRNVSKLIFGDSAKESIIEIPQGQLYIVRPLSPKGYSELIF KDAAASIRRTGQEFQYQLVIQRAYEEGEEELAAEGDESGELEALGGDKDEKIFLVDES LRLRSELREEGEKVIAWRDLSGDVGDLYEFVCDPSTPQEKVATFLLAAVECQYERKYR RSAQQATDQQLQEFDFDEEESPIPTASPITASPHSTSPTSKESAAAMAKEVVSSKGIQ QQPAEPTVAPPSASIPTANEILAKETAELHLFDFNTGTFVLQDRLVTATVSEIGSWEY WLQITGSDREWLGQPVVGDINPVFNFEYLSFIFNHYTEDGSAYSWLLRFKDQPSEERF QEGLMQALWERLNETKWAKTKENDRDYVLEAFNDLTMEDKREEDLKELEEEEETEERE LAEEEEEDDGQRSEHYDTDEDEDNVVTRDEDGNVNSQLAVGYKHDRSFVVRGSKIGVF RHTPNNNLEFSTNISKVETPDGKLFSPKKVMLHAEDANMILQNEQNPNSLYRMDLEYG KVVDEWKVHDDIPVLSFTPETKFSQMTSAQPFVGISQNALYRIDPRLSGNKLVDADLK QYASKNDFSVASTTEKGYLAVASNKGDIRMFDRLGVNAKTHIPALGEAIIGLDVSADG RWVLATCRTYLLLIDALQKDGKNEGKLGFEKSFAKDSKPQPRRLGLQPAHVAQFQHET KSPLAFTPARFNTGLDSSETSIVTATGPFIVTWNLKKILLGRKDPYTIKRYAEEVKAD NFKFGSDKNVIVALPNEVNMVAKQSLRKPTRESIAGPPVTPARFGAGRKASRLGKNEI VNSPY TRV_03132 MSSTSGNSSGYPTSSNSRSQQPQQEQPSPQSTSSYLTYPVSHVV SGIYRRLTEPGPPPSTRSLNPMGDAAIFTPPRRTASPFHPPPLTPLTLECSSNDTDRL LTRSLAEEIRLLVPPRLQLVDTWKLVYNLDRDGASLSTLYDKCREHSEISQRAGYLLV VRDSSSSAFPGTRNASSGGGATTGGGALFGAYLTDAPHPDSHYYGTGECFLWRASTLS STPVIPATAANGKNTKPGQSLRESLEQAGLPPPPSADTTNIRGRNTTFRGEVEPIAPR NNGGESFLQPPTAEGDGSNGSPISQTRVRASPVANSRVGSSRSGTHTPEHIRFKAFPY SGVNDYMIFCETGFLSVGGGDGRCGLWLDDSFEKGVSSPCLTFGNEPLSDEGTKFDVL AVEVWSIG TRV_03133 MAMTLGKDVSSLFPDVLKNIATADLDQKKLVYLYLMNYAKSHPD LCILAVNTFVQDTEDPNPLIRALAIRTMGCIRVEKIVDYMEEPLRKTLRDESPYVRKT AAICVAKLFDLNPTLCLENGFLEALQEMIGDPNPMVVANSVTALAEISETSPETKALQ ITPNTLRKMLMALNECTEWGRVSVLTNLANYTPKDQKESENICERVVPQFQHINASVV LAAVKVVFLHMKYINPDMAKSYLKKMAPPLVTLVSSAPEVQYVALRNIDLLLQSQPNI LDKELRVFFCKYNDPPYLKFQKLEIMVRIANDRNVDQLLAELKEYALDVDMDFVRRAV RAIGQTAIKIELTAEKCVATLLDLINTKVNYVVQEAIVVIKDIFRKYPGYEGIIPTLC QCIDELDEPDARGALIWIVGEYAEKISNAGDILAGFVDGFNEEFTQTQLQILTAVVKL FLKRPDKAQGLVQKVLKAATEENDNPDIRDRAYVYWRLLSNTNDPNAPKNVVLSEKPP IVTTIHSLPPNLLEQLLGELSTLASVYHKPPEQFVGQGKYGADAVQKAAIEEQLQNAR ENPLAAAAAAAAVSGTAPPQMQNNIENLLDIDFDGTAPASAQGEPPAGMSGLEGLAGT PMRVASPTTTGPPPSSNNLEDLMGVFGSNPSPSNQGETNSGSGNMGGNDLMNGLAGLD LSGSTTSPAPASTQSQGGQKKSNSELLDLF TRV_03134 MSDPGFETRSSSGLPSAEAIEQGLRATVAKIYKSGNLDELTVKR VRLATEKNLGLEQGVLKAHAEWKQKSDEIIKDEVENPPPKSTAAPTKPRSKKRPSPET QKASKPVKKRKKATSVQSEKDSNSMSSEERDPMPISNKKSKAPPMKVSRNKNVTKVDA ESEEDNSDQSDGDKSVTCQPKATSKATKAADAEGDSESEMSVLIDEEPKPKKGKSTAR STELGKGKKTKSGKTRKETVPEDPDQAEIKRLQDWLVKCGIRKVWGRELMGCSTPKEK IRHLKSMLKDAGMDGRYSIEKANRIREDRELRADLEAAQEGAKKWGANIDEEEESSKT GRSSRRIAKSFKQFDFLGQDDQDSESD TRV_03135 MADILKEQLSAIKQRNAPTRAPAEKNEEEKTQKLPKGVVLDKDG KPQNLRNTTNGLCYESSCRTCTSLADWRALTKMKAGLPPNASPPSSNASSTTSATPAT LSTSSTSSTSEIPNDCPADVEALGRSTWTLLHTMAATYPTTASPQQQSEMNQFMTLFS KLYPCWVCADDLRTWMNHPSGANKPKLSGRAEFGNWMCLAHNEVNRKLGKKEFDCSKW EERWRTGWKDGRCD TRV_03136 MGTLIDRFEEHDGPVRGVDFHKTQPLFVSAGDDYKIKVWSLQSR RCLFTLNGHLDYVRTVFFHHELPWIISSSDDQTIRIWNWQNRSLICTMTGHNHYTMCA QFHPKDDLVVSASLDQTVRVWDISGLRKKHSAPSSTMAFEEQMARSNPAQADMFGNTD AVVKFVLEGHDRGVNWVAFHPTLPLIVSAGDDRLIKLWRMSETKAWEVDTCRGHFQNA SACIFHPHQDLILSAGEDKTIRVWDLNKRTAVQSFKRDADRFWMIAAHPEINLFAAGH DTGVMVFKLERERPASALYQNQVFYITKDKHLRSYDFTKNTESPAMLSLKKLGSPWVP ARTLSYNPAERAILVTSPTDNGTYELIHIPRDSTGAVEPTDVKRGHGNSAVFVARNRF AVFTQSTQQIDIKDLSNSTTKTIKAPHGTTDIHFGGTSCLLLLTPTSVVLLDIQQKKQ LAELSVSGVKYVVWSNDGLYVALLSKHNVTIATKSLEHVSTLHETIRIKSACWDDSGV LLYTTLNHVKYSLLNGDNGIVCTLDQTLYLVRVKARKVYCLDRTAKPTVLAIDPTEYR FKLSLVKRNYEEMLQIIKTSSLVGQSIISYLQKKGYPEIALQFVQDPQTRFELALECG NIDVAIEMAKTLDRPKLWARLGTEALAHGNNQTVEMTYQRQRNFDKLSFLYLATGDQE KLSRMAKIAQHRGDFTSQFQNALYLDDVEARIQMFKEIDLLPLAYLTAKTHGFVEEAQ SILEANGISEDQITLPTLGEPKPAPNVVVQTFKSNWPVKAPSHSSFEKALLGEVGGAD DEGVNGFEDQDGERENGGVETGLGDEQEEEDVAGWDMGDDIQIEEEADLLGTENVESG PSSSEAELWSRNSPLAADHVAAGSFESAMQLLNRQVGAVNFEPLKPRFLEIYQASKTY LPATPALPPIINYVRRTVDETDTRRLLPVIPRSLETIASVDLQEGYAAMRSNKLQDGI VIFQRILHSILVNTVSSEAHVAEAKKIISTAREYILAMSMELERRALPTDTPETLKRS LELSAYFTIPKLEVAHRQLALMAAMKFAYTNQNFSSALSFANRMIANGGSAKLLDQVS PFFIPSLYLLLTSKQAKKIKAQCERNPQDAIEIEFDQFAEFDICAASHTPIYGGSPSV SCPYTGTKYHEQYKGSVCTVCKVSAVGAPASGLRLFVPGQH TRV_03137 MATRTSTRHAAAKANEALHENAQQGRRKSTEAGQKRKASSKKDI PEPKREKHTKDEIKTSADEGVIKQEPTKDTTMQNEPTKSEAERPQAHHAYVKDEEAEE SSEMKPSDIYGNKKSKAAKDTKPESPRGESIPSNIMEEGIIYFFFRGKVGVEEPKGVD EVARTFIVLRPQPIGANLSAGLAGDENNCRLLLLPKKTVPTSSHEKYMGFVEKAGVDL KTLKESFLGEEYETKTKGTRFSPTATPLAEGVYALTSTTRTSHLAYILTIPTELSQVQ KDFGLREKGSFIVASKNPKYPGPSSARLPKPPDYPQSVIDDFRDLRWVPLKPKFIDYP NAQFMMLGEAQGHLGKGGKTEEKAPGQEDAGEELEHLEGEDEIRAEALNDSDKVFEDL GLSAKQFPQVPTTWE TRV_03138 MVSSLIYSLCAVSGLLATTVNGLPKKGHRSETSLPIVKQTKCGS HTYQYNGLVGYGTVPSNAVDKYGDTLGGFGSSIAIEQASWKKNSDGTYEGIAWAIPDR GWNTQGTLNVQSRIQKLSLKLTLAPSATVSNPSDPNLEIKLLDTLLLTGPDGTPMTGL DADFSGNISYPGFPGMPVATYPGDGFGGSGAGGRRISLDSEGIVIGNDGAFWVSDEYG PYVYKFSREGRMLQAIQPPDAYIPRRNGKVSFSAASPPIYEPDRQTDPEDPETGRNNN QGFEGLAISRDGKTLYVLLQSALNNDGGPKKRYRKQARMLEYDISGTTPKYTHEYVVT LATFVDPHEQDPSKVTITASQSEIHYLPTGDFLILSRDSNAGRAAEYTESVYRHADII SKSAQTTDIKSKSNDKADGSIASSEGVLDDGINPLDYCSFVDYNLNSELGKFRLHNGG AQDEHLLNEKWESLALVPVDPSKEFEDDGKNEYFLISFSDNDYITQDGHLNFGKFKYA DKSGFNVDTQVLVFRVTL TRV_03139 MTRLRKAAAVGVVASKPQEPAAFPQFACDHDDVDVPGGAAVSSA TSSCSHGGAVLAASSSTRLDSSLPQRPQYKTHAHGYGARKLSRCSDSSSQTMSSLGRI GIPHRKRSGTGIDLSVSLSENNGLGIYNSLGGRDRGADDCSTTGSLGVARHYRSASGA SQLSFGSLPRPGGQYVHPMRQTPRPYTPPLGLSNQISATASTDSHLEGIQRVTDFDDP VPTSQDLFPGSPSPSIYNEPRSSIQIESETTTTTHPPTKARSTSFGRVFNNNYNNNII NNNNSPSPRDTAPLSRNSLEFGLRSRSRRPSTVDPAARAIAVQAARQAFEDREAAKNR KRDEQNSKALDKEQRRLRRLERENSYNSYTGGRRSISDFTLRRSSKLSEKDSRRKNST SSYAADDTTKITWKPKSPKSAWVLFLTWLRTKIFKIGKKLKKVG TRV_03140 MYIEEKKPLEDIIEYMRAFHQFSPRAELVARIKQLWENNTSQRD MIRILNEEGYEVKEREVMRVRAKYRWLLRVPNGMRPATVATTTSETGPDSPAPGDEAQ QLENELIRLQRDAHKQVAATDGSKEMLERPDGVGGGIGTSTNADALHAASDVPENVAA KRKERLEKLKAESEERWATKRRRRRTREWAGLPPDPPGPPRFPSETTIDQSKKYLSLD NDSYRGVREAFQRICEEAGFIKKTLAGPEKWQMAKDRLINESTHLQAVFWGEPVLLDA KALALDVVCTDVTKRMRTLERRMTIAEAKNALGINPEESRQVRNAFYEVLKASHITSK LEAGNEQWMELKDRWIRESELLQHILNSPATDIEHATRLKAVEVLCRDVMKRLRDDQI KRDSSRKRPHPGASTPKPSSSQEPPPTSTSSRSTVTCIPNRISTLASEALASAPTVPP GEVDDTQVNPSLLQAATDGPSSRTADSNHEPVTATVTAVSHALSYIDPSLHAPVNPRP LYFHIHPQSSAPCLEDPDPWIDKLTVPSVAELRSTILSRIKNAQEVVKIEGIDTADRS RSQQHPVVISDDTELNAYLERMKGLEATFIVLLR TRV_03141 MASSTSLSPLILTTALLFLVFASPTAAFGAGNIASISKIEGVNF RHGDIEDVLLTLYMARAAGGRKFSKMDVMHVYFGNWLRDYSQAVDVGSVKHVSAEAVR ILIWILGFLTFGYGVDEFEVTTDRLGCYQPTEHIDNPLGYADGADARRYDPRLRGPVD KRRELSVDERTGLKHYIATEDIGIDTSAGLVRRVLGDCIELGRQYARSGKKADLYEAL RLLGTGLHCLEDYAAHSNYTELSLIEMGERDVFPHVGRNTMIQLPGCRHDVYPIVTGT FGGVDFLHSVMGEFSDKTTQSEIQCLEGAIEETQNQSNTKSFLQELLAKIPSGIIGGN ESGKMDEFQSNAQAQAQQENITPHEPEEWTRYLQDVTRQIYPVLEWHDNILKSINKAI ENIPVLPELIEQIQEQINVFVFSVLAPYVLPIINQVKAELETGSSEIIQSSKKEQLNI FNDDDASDPTHSMLSKDHFSNLLNEPAGKIAQEVVKWVVPQIMECWDDTNVDASRTLN RIITGVFHHPAHRDFGDDGAADVRRMMFGAVEEWWQEKGDDGVRRQLSREGVMKGENH KPGVHDCGHGSGVPLKLSKKPHGHGASGGYGRGRQANELSKLAEEAVGGGAIVMAGII KSMINPATISLGDISNLLDTSSKKRGDMNKGDTNMEEDISKEATNKEDTSNLDMNNLD MRAVNLVMVNLAASEYYGEAQEYSGSSDRYGDRESKHSQREHGYSASRYDDQGSRASQ REHQYSSGRYEEQSRYSRRDDDDYGRGSRNYESSHYGTDERRRSSRERDHGRDDGYER RYKKDSDDDDDDDDDDDDERRRRHHKHHGRRRS TRV_03142 MEGQKTKTTSMDSSFPVLLPEDPTLHLEPAEFRKRIFASVAKEA KGKENSKTTDSNSALFAQTVPKATEAISAAQSSHDSSGNAFVDALVQEARNPGTQKVS LEELEAKNLAHTDNAGVTHASTESHLVDLFHTLDGEPNEEKLAILEPLLEKAWADDAL VTLKIIWNCRSIHLGKGERSMFYVALGWLKNNHPQTLLTNLPWITRGVIEKTPVVIEN QTLGVDDYEVVHGVSHGYWKDLLNLLALSANDQLGMKNPESVLKNRTKAPQETLEKLD IELPLRAKKIKVSAMDVNEKRAYLEIPREDRMKETHKIISVQREASKKRKRARETSHH EKLWKKFESDSFHRALHNTIARMFADQLRKDKILLEGGSKQQMKEISLCAKWAPSLER FHDKQTLIATTIAELLFPAEDIKKEGDTRETYLKRAREQYRASFLSPLRKALQVVERD ISANNFTNLKYAQVPSLAMDLYKKLFAKKDHDNFLKYLLDVQAGKQTISGSVLMPGPL VHQWIHSRNDEIARLTLNSQWETLIQRIKDSGSLSDSIAVCDVSGSMMSLPVNGATMY ENAIGLSLVLSTVTKAPFGGKMITFSESPRIVTINKDGNKSSFVEQVDKVQNMPAGFN TDFLAVFTKAILPLAIKNEVKPEDMVKRVFVFSDMQFDEARGNSDPWATHYQIIEKEY KAAGYEVPELIFWDLSQRKTGSAPVTQDIPGTALVGGQSQAMLKVFLEGGSFDDGEAE EEDEVEGEGEGSDGFEVIQKKKKAITPLSLVMKAIKHQAYDMLKVVD TRV_03143 MFGSRVISSNTALFAARRQQGLAVNRSSWHRQSVRGIKRQPARN FGGSARCEQEGKKRQDSFKGQLYESTQQRLDRERAELQRFARMQKQSPHIQYTAMTIT VIVTALGCYFLGTLKPAELPASSTTPLIDAQPPQHNVSPSNLQAAWADFVEIVGRENV STEQGDLDEHSGSAWSSYSVRENERSFLVVFPSTTEEVSRIMKVCHVRLIPVTPYSGG TSLEGHFSPTPGGVCIDFSRMNKIVTLHKDDLDVIVQPAVGWEDLNEELARDGLYFPV DPGPGAMIGGMVGTGCSGTNAYRYGTMREWVVSLTIVLADGTIIKTRQRPRKSSAGYD LTKLFIGSEGTLGLVTEATLKVTVKPKNESVAVASFPSIHRAAECVAKIVGEGVELAG LEILDDVQMKCINDSKTTRRTWSEAPTLFLKFTGTETGIKEQINIVQSLAKSAESQSF EFARGKDEMAELWSARKAALWSVMAKKRENDRVWTTDVAVPISKLPDIIEQTKKDIVD NGLLAGICGHVGDGNFHAILLFNDSERAIAEGIVHRMVKRAIEMEGTVSGEHGVGLVK RDYLDHELGESTVDTMRKVRMAHVQFLFPTTC TRV_03144 MGQRRLSPIDKSWTFREACVNTSKFLPVSQFPTCIHLDLIHHGI IPDPFTGTAEKQAQWVGEKAWVYRTAFVAPQLGENEKAVLAFDGLDTYASVHLNGKEI LETDNMFIPERVDITSLLRGSNDESNVLEITFDSAFIRGKEEQKKHPTHQWGCWNGDP SRLAVRKAQYHYGWDWGPVLLTCGPWRPITLEVYSARIADLYYTTKFKSSLKEAEISI TAEVEGYASDVVFSLSLDTQKQDSGNQFVVSASVKDGKAAATIHLKNPQLWYPARYGS QPLYSLQAKLMRKDQTLDFCCKRIGLRKVELVQRNLEGSQGTTFLFRINDTSIFCGGS NWIPADSFIPRVSSQRYGDWLKMAVDGNQTMLRVWGGGIYEQDAFYEACDEYGLLVWQ DFMFACGNYPAHREFLQSVEKEAEANVKRLRHHPSIVIWAGNNEDYAYRESEKLQYDP SDTDPCNWLKTNFPARYIYEKLLVDVTKQLTPDTYYHFGSPYGGKTSSDPTIGDIHQW NVWHGTQERYQDFDKLSGRFVSEFGMQGLPSIGTIDSMLSMGINDKDRYPNSFTLDYH NKAVGHERRLATYLVENIRYQVSPLEQYLHCTQVMQAECVSTAYRLWKRQWQGPGKEN CAGVLVWQLNDCWPVISWSIADYNLRPKHAYFAIKRELAPITVGIKRSIQQASLEGIG SASFTVEIWVTNLTLQPFTGKVQLRAWDIVSGQQTHLENIKEGTAFPANRTIELGKLE IQEAVQGSGKPSQTVVAAYLIRNGRQVARYVNWPEPLKYIDFQVPRTPKIALSEDKRL LRLSAEVPVKGLAVFSNSEDVVFDDNCVDLVPGETLSIGIHGLKSGNVDDLTLRYLE TRV_03145 MVFAATPPYDGTLAKYYVLPEDFCYKLPDTMDLKDGALMEPLGV AVHITRQAEVKPGDTVVVFGAGPVGLLCCAASRAFGAAKVVSVDIQEERLEFAKKYAA TGVFLPQRIPAKENAEKLRSEHGLGRGADVVIDASGAEQSVHTGIHVARPGGTYVQGG MGRDEISFPIMAACTKELNMRGSFRYSSGDYKLALDLVGSGKISVKELVTKVVAFADA EQAFLEVKAGKGIKTLIAGKDD TRV_03098 QQQQLQAREKDVEHPAATTAAVALAQLQQHHRLDWDSEPVLSCP SPLLSLSLSHSPSLHLSLSRPSRLFDFEPMDANCRSSTLPPINRNQKIQRSRKGSLTH SHPARKAKHDRNRSKDFKDFRDITRRRSLNERKALSAEPQTAAAWVQGKRWEDLIEAA TSATEVDDRDITPVPQSPPPTSSSSFQPHSPSFNSLASIKHRSSVPPAFQSPPTSNQN STSITSQPAAATSHPLQPTPYTASPLQKSQTPPPFHRDRDTDLEPFPSIESSMDVTKP YLSPPRPVTSYPQQQHNIYPHPHPHAHHPLHPLHPRHRLSNPAPFTSTSASSSTSNVS ISRDKDLHIHIYCASCSRPKAIRDCFACTECICGVCRDCVPALSLSMHSSAGSPPSSS STVGAMGISVLNLGPTSSPRSGGSSAVNRLARSNSTNTTMSNHSSIHANGKHDGRQGH GCPRCGVVGGKWRAFQLDFR TRV_03099 MPKCQEPKRHSVNRAKGKNGRVEAAAVAVVAVAGRVQFPGVASK CSRSEITNLLANFTNTRTRTQRTATTAPAPASATSRDIFAVSVQGLGRHGLSLLSRTS EPQSRETRRTPASATPAAGRDDVSMPPGVSGPGNRSGTAAADSRDPPASPSSAVDSIF LPKRASAARSAAQRIASWSPSTRDTPGVAPVFAAATTARATLDTATTNTEKDAATEHK DKGRQSIFRRLSPSLAARVKLLDGASSKSDTASARSQSKSPVGKIPASQLQELERQNV DLSIRVQRRGRAWSGGLSAPHREQDSGRTLSQTSPVEPEANDHAERDAVATATAAPTA APVPVLVPAPVVDHRILPTVEEKDASTDTDIHLSTMGTAGMSHGATIDAADSDIPPPP PPKDTPPLGHSSTGSNASESYFNPLGLNRPDSIYSFSRASFSNQLSQLTSISLPQPAA LEESIASISSAPAAVRALSGAADQIQKWTNKASEVLGGLEAEDDVEWAAAGGREGLDD VDKAVSKFECLVNVYVKAIEEVQVREDIAEVGSESLNNIVTQMEQTIQNWGKVGALLH GVKEQVELAMEWEELWNAVLGDVGLEIDNLSRMIFEMEEKRHKSVMVMEMDNEPTTGL DINELETIVEETPSNIPTNPRLNFASFMSSGTQTPGTANQQDESSLLALFARMQPLRA SLDFLPMRLSMFQSRAKDIFPSACEELEDRRLRLEQGYKKLETDSEALRRELAEDRWV IVFRNAGKQAHKMCESVERSVLKLREAIEGGIQHNNPTALAKRAENFEAKKVHYGSAI ERVLSIIQKGINDRLTVNGEIIRLLADLTARFDALQASVHAMDALLDELNSRQLRDSV SSILTLDSPAGRSIGTPGSSPASSVIISNGNTNGSTANINNHTMIQDTSRRSSVAGGG STASRGTAAKLKRHSALPGPTSTGIGHTPRKPSIPRAVSTPKITTTNHSGRPASRTGL VDPLTVLTYSNYVPDGRPRWNATYNTKDLVVGHVYKPQNPPPASSSSSSNRNLPVPIS SRSPRPSHNQSTYSFGLRSPLSRESSSSPAPGRPSSRLHRGVTDRGSLEPGTSHAHGT APSPSPSPSPRPSVFDPPPYSKLRKQAAAAASSASSTITTATARPASRLMPPTPTRRA KTPVPSVPAPTPVSKLAASPKPALATAPRSRQSYAGVPSSRTSFMPTNDGPGAKKSAG PPPVVARPGTSLGHSSRRSSMLPLPRTGVAGRSGRESATGTRKDERPPWR TRV_03100 MSEAIDIPTADSQGVARELSRSPSLSPTPAISSCPSPVRTFSTV SSISNFSGDARSSVLSSASTGSRRRGYIRPQGATFAESAKNRESVMSLGSIAHLQYYF ARTGLLDGKGAQMARAKKKPTDAEIPKLTLSQEVHLDGDLVQSPIEAFGEFGGMDDDA GAWDEYEHEPLMLPPTVSTYSIKTHYIPPPPDLNALRHDLQNALEKARDVLTASKDEL AAQKLAASELATKEDGSNLPEIEVDEDASNKKDDDDDDNNNNNNNNNNNNNNRSNRSN NNNDDEKDEQKETPSKKQPKGWDEIQGMHILDLVTLAIRAARIYYTAHEHPERLAQIK SEKEIRAELLSVLEVLKRWASRSFAGGLRDKERSSILDWIDGIGRMLEQERKIEAGER HKRKSWTWTSGDWTGHEREREYQFLQNLTPPAVTPLPAWDPVDSSEDSKQELPTPFLA RLRDGRDLVRFHNEAVKLSYRPFGAIKTFHDDVNKPYRMADNLRFWVKAAEIRWELRL EVDVMAIVTGDKPEAWKGFDAAILQWCRTVREEVMQDWSSREEEPAVKSPSTLSPYG TRV_03101 MDLNPKYDGYDFPFQSATPQNGHPGHLTDQEIAAVHQLRMLLEA EGYTERLDTLTLLGQSIPLTAYRFVDCEKWRKEIKLDELVPVWDYPEKPEVSKYYKQF YHKTDKDGRPIYIETLGGIDLTAMYKITTAERMLTNLAVEYERVSDPRLPACSRKAGS LVETSCSIMDLKGVTLTKVPSVYSYVRQVSVVSQNYYPERLGKLYLINAPWGFSTVWS VVKGWLDPVTVGKIHILGSAYKAELLKQVPAENLPKEFGGSCECEGGCMNSDAGPWHD PQWVRPPKWQKKEEGNKTETKENETAAAITSEKKDPSETTGTEPTAAAPVAGA TRV_03102 MGEIGEYWEENRAYKKRKLERRKNNPPKQRCFDWMITSGISYAK NRSSFKTYRHIRPVTLASGTGARVIGVGSVELKVPRSPDNPEVNTLILDVVLHIPGAI CNGFSPQMLGGSTSFGDPLQGYDDDEQPSYYATTFCGLWRLVLDGNPEGETQLAEARR NGTKLWLSVYINEEDEERIFGN TRV_03103 MKDIIVAHRETKSWSDWVALGTVRLLRWGTDLATGYRHPKAGEP APPKHFQMNERKWLIRFVFLETVAGVPGMVGGMLRHLHSLRKMKRDNGWIETLLEEAY NEHMHLLTFLKLAEPGWFMRLMVLGAQGVFFNGFFLSYLISPRTCHRFVGYLEEEAVL TYTHAIKDLEAGKLPAWTDLPAPDIAIKYWNMPKGNQKMVDLLYYIRADEAKHREVNH TLANLNQKIDPNPYAAKYENPEKPHPTKSAEIVKPTGWDRQDVI TRV_03104 MTSSKAGIGGAFQPIQEKQAQNQPGLEKNLAPTSESTKLEGAHG FVEYVGSGKLEDKKAIVTGGDSGIGRSVAILMAREGADVTMIYLREEQEDAEVTAEAI RKEGRECLLYPGNLTDEPTCRYAVAEHVKKFGRVDILVNNASRQFLCKEFTTINLNAV KDTFESNILQMITLCKYAVPHMEKGSS TRV_03105 MGVIRWLRRLYSLDTLDTRFTVSSTTPIKAVTTSSHDAAPGSSS SDADGKRLESVKAGAPPSRWNTVEFYFYYLAFLTIVPLMFKAVIDISQPTHPTYSSYS KLLDPGWIPGRKVDNSDSQYSTFRDNIPYMTLLLVFHPCLRRVYEHLSPAKISTAPPT GGKAKDDDKPEGCAADAEARLKQRVTFDYVFGIVLILVLHGVSAFKILAILWINYLIA TRLQRAQIPAATWIFNIGILFSNELLHGYPLVDLAKIVSPNTDSSFITWAKWVDGIGG IVPRWEVLFKITVLRLISFNMDYYWSLDYRFGSPVETKMTDSPKKKQVDPSALSERDR VSMPAPPSTYNLQNYVAYILYSPLYLAGPILTFNDYINQQHYSPASLTRSRTVLYGVR FLLTLLSMELVLHYIYVVAISKSSPDWSAYTPFQLSMLGYFNLHIIWLKLLIPWRFFR LWALVDGIDPPENMVRCMSNNYSALAFWRGWHRSFNRWVVRYMYIPLGGGGRARSGTS SPSDSKPSILSKARGVMNFLAVFTFVAIWHDINLRLLMWGWLITLFVLPEVICTILFP AKKWQSHPNAYRVLCGIGAVGNILPMMAANLVGFAVGLDGLKGLIHGIVGSYFGLAFL AAACATLFVGVQVMFEVRGSELRAGIRLKC TRV_03106 MNRNRIFSAREVEDRIARGQTIVIADSRVLRLDGWLKNHPGGIL AVRHMIGRDATDEINIYHSEKTLATMDKYRIGRVEGPWISMTPPIRGGIFFTMDDSST SSSDEESRGSDTSVTSMEDIMETQEVANADVRKRAASRKAEMQVQQHMTPEEYTQRLV QKELDDDHRNYPSLEPETQRRIATKYKQLHQRIKDEGLYDCPYIEYGKELIRYSILFS LFIITLRAGWYIPSAVFLGLFWHQIMFTAHDGGHLAITHNFVIDTLIAMFIADFCCGL SIGWWKSSHNVHHLVPNHPEHDPDIQNIPFFATSPSFFKSLRSTYYDFVYVWDEAANI IGQYQHISYYPIMAIARFNLLLLSWMHLLSPRSASFGAVAWTKPTEILFMACYWYLYG YCLLWCSIPTWPMRIAFVLISHIITMGLHVQITLSHFAMSTSDVSVAECFAQRQLRTT MDVDCPAWLDWIHGGLQFQAIHHLFPRVPRHNLRKLQGWVKEFAEEVGIEYKIYGFED GNRKVLGRLGEVSKQVEMLVQCQKYMAATGVSGLH TRV_03108 MSLEPSGLPRSSSPASSEASLPKPPNRPYEAILDAEGLKKDKSY RRYAANVDRALSLFDTALQEWADYISFLSRLLKALQTHPPSLYLVPNKLLVAKRLAQC LNPALPSGVHQKTLEVYGYIFGLLKSDGLARDLAIYLPGIAPILSFASLSVRPIFLSL IESHILQLSPTALRPAIKSIILALLPGLEDETSEDFEETLKLVDKLREISSTAGDDSG AATGSQYFWQCMFLASVTNPSRRVGALAYLNRHLPKLAGKIPSDDIVNETGDYEQGEN RTHDTTSALESVTSPEPGLLIRCFATGLADEQVLIQRNFLDLLVTHLPLHSSVLQRRI TSKDLELLVGAAVGVVIRRDMSLNRRLWAWLLGPDFDKSSHANDAGVHNSMSASSAAM ATFDNNSSKSHYFEQFGFKPLVSSIKSMLAKNSSNPNERSRPYRISLSLMDRWEVGGL VVPEVFLPVIRSTQRYKHIAKSKASFDEVFRSASAFFDGVESSLIFSELVGLVLSPRS SISRPNRMMDDLRLATFMLSHFNMKEEEMLTTHIPLLILSLLLKAKALCTSSAWNEPG YSSVASSALDEIGSVANLLVRLVPERAFTPHPEKSRDSNMDNSTTSINNEQVTKAILS FYSRSKDSLRLPEPPFSSTGVATIILREAQSLVMLSLESDTQTEFLRERINLFVALLS KMQRAELPEPGKLYEAIEEKLTTANDGHSALPMSAVNSAVFALTSLYSTKKSSRYISY EQITDLIPVLVQQLWDFLEPANLRFHVEAAACLWLLHSVSWRDHLVEAAITSVMISPS TSSHQAPLDQAEKFFVLWNHSHHSNTDSFALRTPSDDGPDIKTVYRANLLSQPLFNVL GLLSSGSEDTSLAVRDWLRDLPSTYELFRVILLKFRDFHLLQETSTEETSEFRETMIL NHANQCIYMLQLVRNVLSVLSPAGWSLILSNTATQPSRTKSVPFDVEVSALLKIKLEN KGEVTIQTEISQICFHALHGVHRVPESLHQQQNQIRKEALSLLRLLLSSTGAEKLLSL DIDSYLLDHLSLALDEGKVEHQSSIIDALTPVLKMRYAHHIGLSTAASNRHQRKGSFD ISRMSFSAERSDKSQESQTLPQPPAKLLDCLLKGISSKVSRPIIDKWVNFLAECLPLY YKSIFKVLLTLAECFCKEISSSFNELQVMFQKLSEGSPSCSPEHTTIALLSGLETCVA TAHDRLQVEEVNTAAVKSPDQPQGFFGSMFASEGAQAQPTSSNNTRLTVLLCFQDAMQ LCYSIWAWGPGGRSKSPRDLDSVASFQYTSLRMRNRARRILEHLFAAEALECLETLIK LWRTSIALDEPAKSRAIFSLLHTLDGSKPKVTIPAIFNAIYSRTSPAALEPSRKSSMS SELSETDLAAFLVMYAKSLDDDFLDDIWGDCITFLKDVLTNPFPHRQILPRLLEFAVV LGGKMENTTFGDDRRTRRELGESENSSSSSLLQRIGPDDIIGILSVSLPTLTATLDEA DRVSNALSSISTNIISPTFHSRLFPSNVDKNTLDLLQQISKVQGASKIWKKDVNDAFN DSKFFGFKFGLVKSHWIRLFRQWALTDTERLTEVLTRLTSPTSAGIMFGVGASAARLE ADRKAQLNLRRIALLIIASDEDHFSAELSELQQKLEDLLAATHVSSPSSVTRAEIYMV LRALVLRTGSTSIAPFWPMISTELQDAISAISPDQESESSNPYSLLQAAKLLETLLLI SPDDFQLQEWLFVTDTIDVVYPPNRWESRALADELSRSLGKSKSPQSEHSASAQDTNG YDGGGDDESVGTESSKKDGFKKAWLNSDLSRETAKDEIIEKLLRPFFDRLSIHSFETM YSMGVPDIAACTDDLLADLFNEFTIKFKEKQISWTESEDPFCCSPLQHMHVKGIRRRQ SILKSDSEIIEDYRNIDGLRIQ TRV_03109 MAPSRPQTPSGNEDPENQPVSPKRDTDKGSGNANDGSSDAKPPP LPSRPQKPPHGQPDAGLNPYSAAHKLQSGPTTALSLANVNVQALDDVNRENYVSLATA AGGGGPEKIVRPATSGTSRRGSRVGIDIGDNGSIRSFMPESDTTFDAGSVFGDFGGFE AEIPFRNASMKPGENQSIFNAQSREDDIAVEFEDEFDSVDNSNEGENEDEKLEKWRRK KKHFFILSSAGKPIYTRHGDGGLISPFIGIIQTIISFYQESGGPLKSFSAGKTKIVIL SQGPLYLVAISSLLESQAHLRAQLEALYMQILSTLTLPALDHIFAVRPSSDLRRPLQG TESLLSSLADSFTKGSPSTLLGALESLKIRKSHRQVISNTFLKARVEPLLYGLLVAGG RLVSVVRPKKHSLHPGDLQLIFNMIFEADGVKASGGESWIPICLPGFNNRGYLYMYVS FLDVQRDREAEKSAEEMKKDDVVAIILISPNKESFYTLHEMRDSLVEQMEKNGSMEVL RVALEQGRPAPTDIVPGTVVRHFLYKSKANVQFTMSSYSPEFMSILDRRRYLLSPIQQ SVI TRV_03110 IKMEKGLREQPFPDAILREMGIRLPSTLSELSRIRNIDPDKVER YGSKFLKLIARSKKYYEDIAGGQEQGEDVHDPNHENVVTISSDDEIYGDWTEDELNYA DEAQNHSPYFLDDTASSFKAKGSLSPYP TRV_03072 MSSLLVPLLAASRALRLSVLVVAKIILFSGVGCFFLFTIFPSAS SFSSHHSGPSLSLSLPLLPLSKRNERKRKRAKKLSHPKGGPKWRTRRENGSITNTQLL NLTSSPFSMFARRNPIEQPIEEIRAWGKGKSHSRQEADTGEK TRV_03073 MTNDGLVRVTGTGREEEEEEEEEKKNERCEGGEAGQPARRERKS SSRDDAAPESAYP TRV_03074 MRRYSDSAGEYLTLDSEQPAGYKQLYRAAKAKLKLRIQVDRVYT DAHDEPEEETPAETIVDNLIDDHGMEAKPEELSEQPQSGFEFAIENGHLPEPSQTLPE KVSSPRSSYLDTVLEHRPAESPSATRMLGSRSVCRLPPILPANTSTATYSCSIMIDCN LCKASIPNEHYHCSVCEGGDCDLCQECIDAGNLCPAGTHWLIKRSLNDGQLVSSTTEI VGPKFFKPVEEEGEKEESKEESKPESKPEPEATSKVESQPKSQEPEVAEIIRDPDYRP SEPVIHRALCDMCDCQIINARYKCIDCPNWDVCASCVGDVKYSHPGHRFVPLFLPLPP RASQHVVHPGVYCDGRLCHDKFNVQYITGVRYKCVVCDDTDFCETCEADPNSTHNSTH PLLKFKTPVKHVTVSAYGEDEKGQVFERLGDKDAPEPAFRSTATETTAPFITNAATQV HPPMSLDAFYENEKEISYETQEVVEEEETPVVQQGSMTSEYESVASSLPHPGDDSNSV TSGLHPEGLHTRFLGETIPDGTHFAPNVRFTKTWTMQNIGPNAWPAGSAVRYQGGDPM FNIDTSHAISLASLVSAMESKPLTEPVYPGQKMDFTIEMKSPARIGTAISYWRLRTEE GTHFGHQLSCHLEIDEPETESPEKKSEMVFPTLEKESPVTSMHEDECQSKPNEIAVTD NVSDSASTLNEDTDAVEVTDLAAEVNSLNLESECPDDESFLTDEEYDILDASDEEYAE IAKASQKK TRV_03075 MISTLPRSSNLAVASFKLSHTSPQTSIIDLQCLYPSSRTFSSST ARFNLPPPYNSKPTSSSSSAATTPASETSISSPVNAPASTRPAFLDVPSKDNPQTSGT FSYYFALGKAYLRFYKTGLKNVYGNYKASIPLRKQLGLPVYIPRSPWTDVSASSASGT TNISSLTRSDFQLVRRSAYDLRRMIPFGFILLICGEFTPLVVLAIGNMVTPMTCRMPK HLIKERSKRVEYKVAALQGLSASQRDVVAPSSLCGVKDVSALSAEEVLQSSVVFGLSK RHSLSVPEFLQTMIVNSLYRPRLQRWMEYLAADDKLIRSAGGMENMVAEEVRLAVDER GGVDVGMGLKEGVREAAERKWLAKWLGQND TRV_03076 MKLRKLTRELERLNERHMKKLYPTALKSLPPRAEHQGPVQCNWL EYMNGQESKDGYTRLGDELQAFELYMSEIHQEKEAVRKVIGEIEEILKPIRGDSNRRP ILVGSRFTGMETRNSNVDLMIPMEDPDGLPTSVRGPSVTRPKITAIQMGILVQSARLL RATGTFSSVTVKKEHVPFVSAVHSATGRNSRIYCGLKPHSSMEYVLGYKAEFPTLRSL YIALRMVLETRGMFGMENRTIDAYGLTMMIVAALKLGEGKFGRSDLAKQFLHVLRFYM DLDLLRYGISVEPPALFRKRAHARAHGTEPLPHVRGQKSIAKRSIARGDKMLCLQDPA DFMNDLGMSSFDMLKVKDVFAKVYDDILGKVEAWDGEAQAGKELVSDAKAAPDVEATE SERPSLLRYALGSNYDHLEVLRDKALCECS TRV_03077 MASNLPGGPPAGPSLMSPGALDASVNTPMQRTPSLVGVNPNGAQ NNLSADAQTNTDSRSASISLPLREISASTKPDQHPDLGLSGRIISAAFCIPYKLQIHH DGEWELKHRPGTAALFDSFANLASPDSHWSHTLVGWTGEIEQQYPPDTSSYHLQNNRT ASSTNQSWAPIPVNSSQKPQPPPIDGIRITADDRRRLESQVSSSKFGNILPVWLSDEL DSPDQEMQLKDQGRWRRYAEREIYTLFHYKQHGPTDGRSERQWWQDYQRLNSMFADRI LETYKPGDIVWIHDYHLFLLPNILRQKVPNIYIGFFLHVPFPSSEFLRCLSKRKDILT GALGANMIGFQSHTYSRHFTSCCTRILAFSSTKGGIDAYGAHVAVDVFPIGIDVQTVR KLAYGDPNIENTIFNIKTIYADKKIIVGRDRLDSVRGVTQKLMAFEMFLERYPQWRGK VVLIQVTSPTSMEEQKEEPDNKLSSQISQLVATINGRFGSISFSPVQYYPQYLPRHEY FALLRAADVGLITSVRDGMNTTSLEYVVCQHKNQGPLILSEFSGTAGILEDAIHINPW DLTGVANAINTALTMTPEEKAKKQSALYEHVTTHTVVEWYDEYLKRLLANLSSFNKSI ITPALDELKLISQHRSARRRLFMFDYDGTLTPIVKDPQAAIPSDRVLRTLKSLAADPK NAVWIISGRDQDFLEEWMGHITELGLSAEHGCFIRKPRSEEWTNLAAKANMSWQKDVL EIFQYFTERTPGAFIERKRVALTWHYRPVDPEYGAHQAKECRAELERTVATKWPVDIM EGKANLEVRPAFVNKGEIAKRLIDEYAGVHGHEPEFVLCLGDDFTDEDMFRALKSSDL PPDHVFSVTVGASSKQTQASWHLREPADVISTVTLLNNSKSAVLE TRV_03078 MHKVDGSPDDYLQRANTISKNGAFTIQPARSERDIQVTTTLIKA YTASLGVDLAFQGFQDEMASFPGKYSPPDGEILLAVDDASGEVLGCICLRPLVPKDFS SDKTVTSVSANNSANNGKRYCEMKRLYVLPSTRGRGVGKALVLALLQVARDRGYDEMR LDTLRHMRAPIELYRSMGFKDIDKYYETPLDNTVFLGLEL TRV_03079 MGLSAESSAQQIEAQDVEKAVEAIPISSFAEIPSEKGGSQTQSG SSSDHDNDVNQISKVPTKTVERPGVLEKTLSLVRTRESNRDPGPPPDGGYHAWLQVAL THMVIFNTWGFVNGFGVFQSYYTEALKRSPSDIPWIGGTQIFLLFFIGTFSGRATDAG YFKAVWSAGAVIIIFSLFMTSLCKTYWQTFLSQGVCMGIGSGLIFCPSVALLTSYFAR RRSLAIAITASGSATGGIVYPVLVQQLLPIIGFGWTMRVIGLITAVTLTPGFFFLRQR IPPRRTGPFFELQAFREPAYSLYAVAMFLNFWALYIAFFYVGSFGRNVIGLSQKDSIN LILIINCVGIFGRLIPCYISDWKSGPINALIPCTVVAGATLFGWIGVKREPNLYAFSI VYGFFAAGIQALFPSALTSLTTDLKKSGIRFGMTLSIVSFSSLTGSPIAGALIALRDG DFLYAQIFAGLSMFLSLAVLLLSRSKVVGWKLKARV TRV_03080 MKTGVLRKVQDSPESCHFCPDEEHSLWDCTSADERYATAEKATF TFDQGELEFQEANTPNEEDRSEDPMESREPLGYSSIGISSLFFFSLLLNCRISEAL TRV_03081 MWREEKEVESSEEEEEEEEESEEESEEDEDEGPVKEEMTREQRK AAAKARKEAAIRKKRQVAAEPGDLPPSDSEEDEDDDDMPANPNHTAKARSQAAKAATA PADGAASAAKKTDVSQLSRREREALQAQQARERYLKLHSEGKTDEARADLARLAIVRE RREAERARKEAETEEREEREREKAKEREAREAKLRAAALGPAANKKKGKSK TRV_03082 MASEDSEKSPVEQKTGLDKEIPVSSGASRDLDQAYRFLQQYGES GESAQASAAELKRIRWKVDLYIIPIMFVCYTMQFIDKVSLNYAAVMGLNKDLKLKGNN FSNAATAFFIAYLIAEVPTGYILNKISAPKWLALNVTLWGISTACTAAARDYKTLLAA RIFLGIFEAAIAPCLVLISSQWYTKSEQAPRFCIWYSGLGLGQIIGGLVSFGFQHVKN PDFTGWKAMFVLLGAVTCVAGIATYLIIPDSPMKARFLTEKEKAALLKHVSCNQTGVI NTSFKMAHIIETLLDIQIWFMTIITTLISVSSGVVTTYSATLIKSFGYSAPRSALLNM PSGAVSIISTVVIGFAIRYKMYRSVGIVACCIPGIIGGALLSFAPEHNQGALLAGIYL VNSIVPTLIVIYQWLASNVAGSTKRSVSAALVTASFSIGNIIGPQTFQAKDAPRYIPA KIAVLATQACGAVLAICLLGYYIWENKRRDRVAAQNSQALPVSEDTTLSQTTSAEQKK WDNLTDKENLTFRYVY TRV_03083 MSSPKASSNQMPIYAPGLLFLADPQRPSRQHYRAYILLRYIPAE NICLDILPTPLSKAKKTQNKDGVWCYSQKNRPDAAKKSFGVDDAVIVSSALYRYLPSI YLTLANIESFRYAGSSTVNFAGRPFYMAGITGFKVALCIAYLRIIRHSSEKSYRHVVW AIMIFTILSHVAGTLVLFFQCSPVHKSWRPLTPGKCLPNAAVFYSLAGVSIFCDLIVF LTPIPLWLKVRISTPRKLGLMAVFLLGLFTTACSIMRVVQIEIIAKDGNSTMLILWGT IELNVGIAITCLPTLIPLITFIQGRTSKSGPMQYPHSTSDGRSAGTSVKLKSVGLHSS TMRSQTIPTGNNTLVKSGSFSSLEAILPVDSQGRPIQREDMESSNGNGSQSNVHLSNP GHITKTVQVEITRTDNRPGPEQSWLH TRV_03084 MGSTSTPPHVLIIGAGITGLVLAQALRKHGVSFAVYERDPGPLH RGKGWGLTIHWSLDAFLRLLPQHLIDRLPETYVDPDAVARGENGNFLLFDLRTGETKW KVPPAKRLRVSRERLRRLLMDGIDVQWNKSISSISQISETAVRCEFSDNSSAEGTLLV GCDGSRSKTRSLLCSLAGNETPVRSENYQLPVRLIGVSAALPSRVALKMRALDPFFLQ AGDPATSNFFWFSFLDTPTNNDREDRDTYECQILISWPYRKDGSSNIEIPCHNVDKIK LMHSLADGWVEPFREVVQSIPEETEPKIISLEDWPTPPKGSWSNLGGTATLVGDSAHA MTMFRGEAGNHGILDVSNLLEALIPVLTSSPHSPAKTQEEVINEYEDEMTTRTRPAVL RSRKACLDAHDYPSITADSPLVARRGAFEDDDLEYLLN TRV_03085 MDFFSRSRRIIYSPQPLTSFHETRSEALINPHGYAIVEDCLIAR VKADTLVHSTLFPGKNLPLGQLSDEELLARFTRGFFGGIIFAAERFILASDTQPANPG LSLPKNIWKATEIPKTTLLPLGSILFGAFQVTHSHLVNKTRLNPTSEGLTKWKSAEEK FSYIDFGFGNGNFAGCHRLSVHRNDSSKGINSITKCADDRDDMIEFRLSCFHCNPRGE ESRLVTMLSGFHQVYARLLFTDALRLLS TRV_03086 MAIYDFVMLWILTLTAAIAAARPMVVDKGRQITYTGLDRNGIEV FLGIPFGHDTGGKNRFKPPVAVVPPRGSHINATVYGPICPQELRAGSRGKLVISENCL NLNIGRPKNMTSHDKLAVMVTIYGGGYWVGHNQDPRWHADNMVKESVANGRPIIHVAM NYRLGVFGFAQTTALRSERSENAALRDQRLALEWVRDNIAAFGGDPNRPGVSVGMQML AYGGKQPVPYQQGICQSQVLEPGITGNFTSTAMELVTDKANCTSGDFNSEAALACLRE LDTETLLEAAIATYQNGVDHNIGDIWLPSVDGDFLPDAPSVLVAQRRFAPVTTMMGWC EDDVTRFVYPNITTSKGVADFIASYAPDVSRKNIDTLLKLYPTDEFPENKTAGFSRDF YRTARIFRDIVMTCEPFLVGEHAAAEGADAYFFSWNQTIAPSALGVLHGADLPYVYAN LSAYIPPGSPIRPTASDYELSHRASRSWSTFGSTKEPSLPGHNTFKGFRKSFSKHNEI LVFVAGGPNEGLSNIDDHGPHSVIGGQKLRERCAFINSPEMIHELRF TRV_03087 MISDIYDGRLVVESRRENGSCGPAVFFWVASPRGEEVRRVQKPG KVVGCEGTEPGATGKETAQCISPNRKRGLKETWQASRRPGADFSGAASTSTGEGLTAS NVKGWPSFLCVFFSPTPLPKPGPVVCGLDQCLPSWMLRCQSKEEKQTSQVERGRPGMR GRTRA TRV_03088 MLLSLDQFSASNAADCSFDDSLPYDSPHQQQESFSAYSSYHHHS NSNSNNDNTITGSASGRNTGAHSTRINNQYNRGGENTRYRSHTLSSSVSSDVETYTQH GEGHRLQTNHWSNSRGYRSNSNPSKQPTIRHVDGGSEAERSSYRSKVYDSQRAVGSND RSQGRTTPRFVRRESTGSASSSVDLNEMLATTSLYGTRRSASFDYGSTLPSRANGRDT SFGHRDTMMQYDDMNAAPTPTVLLGPRKEFAPPVLPDHASIVHSSTPPDTASRTPTLA HKNSTRSARSMYSRKNKSDTLGTSTIRGRSDEYNKYFGDSQDQIPPVPTLNQPSAPSP TIARSKQPLPTNFPVESLNTPPSKERQGFFRRVFGSSRQSSPSQQPDLSPHSTRDNES DPSKQDNASNQPRPYRPSRLPSDPSTVTNSNSTMRENPPVVTKKPSSFFRRRKKSVVE NTPPPMLPLSNLSSTDVSKPESQGPEKLRISTATDSIRTDSLSKAMKPYLSDMDSPKQ SPKNSPRESPKPSSRGGRKSRDRQETDESDMGSPRKREKPRVKEAEIESAKKLQKLGY LPSSKHRRTTGASKTKSSSRSHSRATNYDGSFLADSSGNEGLSSRQSGDKRSRRPQTS PDPPAQQSDHSTPGPKHDAVLSDRVNGGKSQSGDPNSSSLLEPAPSSAHSKNNQQTSA PASPRSMQFEPTPSRQKQSGLHIDTSGKTVFTTEKDKSPRISTSTSITSNYHTAQSTP VPSSDENLAWGSTAMAPLQGSADAAGDGLDENTPSPEERQQAQKIFDNNDEELAAGKY TAAWLGTPDRSMLRKAYMDLFDWSDMNILSSLRSLCTKIALKGEAQQVDRVLDAFSCR WCECNPNHGFKATDEVVCMPEANDNNTADVVHTISYSLLLLNTDLHLADIDQKMTKPQ FIKNTMPTIQRVVIEAAPEAFSTAQPSKPQPKQAAEPERAGHTKTSPVPPLEKPPIHS PTAAEKSDEPPTIDIPIIEHPNVPPPRLSSRASFLDTGHGMQSLAGPLVSTPFNGTMK AWEAQIETVLKDYYISIHKQKLPLRGVTTGSDEHISAPGGFLTLTHNMLRRTPSTISR TGAAETYRGRGAENRLNAARWSSKARSRPRLYPPSAMGSSRTSLDDQSFVWSPSGSST WSKNSLGKTLTSMSVDSLGSEYPRGDYQQSIGFANALSHAIIREDSTHSIAASEDPAR VAMVLEDESLELAGAPWAKEGSLKHKHHLDSIDKRAKDRNWNETFAVIQRGWMRLFSF NSNNKSSLKPKQRQNGGIVVGGGNWMENADETWKFLLRHTIASALPAPGYSKSRPHVW ALSLPTGAVHLFQVGTADIVKEFVSTANYWSARLSKGPLFGAVSNIEYGWSDAVINNA VSSIDDSNLPSSAPSSSGSAHLPRPSLQSSIRSSIDQQSVRPRLPADRIMLSDWRPPQ QSMMASTSSEAEQLETLRSYVKHVEQELQRHNELRPALMLAFTPKHANYSKALHNWER KSSYLLREIVKFRTYIDSLVWAETMRQRIYHQADAVKEKELNAGSNQDPVEA TRV_03089 MSDVPLPPSSPPSITPAPHDDDDDEQQQQQQQQQDYDSSSLLPP LPSHDGDDDDLNEDSVQRYADDYDRDDSNLPPDDHDTSLNRTLNEEREMHRKLMDIES SFLPEPSTLHVGGSAAAGRDDMYLAGADAPSTPQKTGDIEGEDSLISPELPRDMFKTP APARTMDPESSMVEPGNQTLEGDSLVGINTSSLETTSTPPTTAAAARTVSRVISAASS RSGRFGDSVGEEQQAHGHGHSFEDEGSHTEREVDIEATPRKPRTQIVGQSPALSRSIQ SVNTDDNDLSQTVGFRGAADSIELQRTRPKFLTNRQSSYRLSTSSVATANTDGALSDA TMGMDYALQSGGALPSNGGRASHSHEQKRDLSRSISLGSMASGISNLSDDHPYERRIF SGVSELSLHTLNEEESASQRPVSPGTTVKHGGETAPMTPKAKTTDPSSPPATVLTQIH NRQPPSTVKKYQETAAPSPDKRSVSRTPGFGRGKNMTLKEQSSTIDRLSKENFDLKMR IHFLNQALNKRSEDGIKEMISENVELKSDKIKAQKDNQALRRTIRELERQLKEKADLA DENKDEQTSEAGKRTIDDEKFLYLRERLETYEVEIERLRAENITRESEKRKLAEMVKA LGDGRSGISDSGAREERVGFIFPLFFNEVIISDMWKDILEAETAAREQSEEENKRLRN QILQLENDISSHQCRETRYRKGHQTFSQVGSDYESSRSYATSNPTREDFELLRQENNA LRREVTAQTSMLTSRNREKEKLYQEIEDLKLDRRNEGRSIAGDSIFDRSASRAQSRAM SQASDNDRDTLENRNGELRDQVSALKLDNQGLRSQLDEYANELSSVEREYQTELDRVS QELRDLQAQRDQALQAIEEREAELQELKAAAQEELDILGEELDLKHEDCQRLEVEVRN QQENLDALQAEVRSANEGISRLEEDAQSNLLKYKAVQQELDYVNQEIDSMERNLVEAN NKVQRLTVQQESSQNEIAFLREEQDGDKIKIGDLESELRFIQTSLQSEKEKTSELDRQ LADERYQHEMVSSKEKQEVQRIIDDLNRESTASKEEIRKLKKNLSSREVEATMWKDRL MELENSLREALGDLNGTRSSLLTSITKLQKELESTSLELESVRASLDEKEALLRNRDA LLESHGLETQKLADLLERERQARRADKHSFEQSLKSHHQASRTIVQNNSRITDLEGAR NQDRKRFNHLEQQYKDQLNERNVMFLTLWKRLSAMCGPDWSHSNSLINGNLPSQEVIG NMLFWPGFSKNLLLAVKTVENSINGFKSRVRTVERNLMKEYQTLENNMNARIKKLDRL EEIVRQMQSNPRRSNNTPPNTNAELAKLKGENRLLKAELNLLQSHSRAKVANDSSARG QPSRMASSRSVGSSLARFSTGSEQGTPTNTRSGRSNSSVSRGSSNVAQPSPFSSSTTL ANNQGGSEVSAHHHGSGPSHGGYHLSPKSEANQDRWIQRLRDLERRLKAEREARLLDR SGARERLAERNAENEKLRAALERERMNRVASSFGTDAGGDEADRNPRTPERARRHDNN SRDRGSRNKGRADSRSSGTDVVVIDHGYEPDSRGHSEGRSTRSGSYDGEGDDDDDGTG TDDDGGLCVEVVV TRV_03090 MHSVDWNVKEGERIEPVTVCAIVRGPMRKLLLGERVALNILARC SGIATKTAALLTILRDQGWKGILAGTRKTTPGFRIVEKYGILVGGADPHRHDLSSMTM LKDNHVWACHNKSQANTGASETPSADVITIAKAIPSAVQAARATAGFSTKVEVECRSI EEANAAISAGADVIMLDNFTADGVRAAAKQLKDEWDAKGKPRGTFLVEVSGGLNESNA AKFVCDDVDILSTSSIHQGVGIIDFSLKVLLR TRV_03091 MRAVRWKRHINPFIFSPGICLVHSSGLSRPKIPLEIAQVLLFSS SAIMGDTWTPPSEGTPCWVEIFATDLARAEKFYSTVFNWQFSKDGPGRTEDVAMFSFP EKGLKGLGGNISKIQPGEHTTGPKTVKLYLYVEDLEKTMEKIVANGGKKCSDVLPEGD HGQVMHLQDSEGNGIGIYATKKQ TRV_03093 MAQQQDTHFAFLPLGAIIQEFRVAGKNIVLALPDEEAYRKYNAP YFGSTIGRTTNRLKDSVVKNLNDKTYVITSKEGPNSLHGGKEGWNSRVFEGPKPVHRK GRESVEFRYTSRHGEEGYPGTVELRVWYIAGKQDGKTELEIEYEVEFIGSECEETVVG VTNHSYFNLSDDRTINGTEAVLSTDKYLPTDSTGIPLGTIESYGPLRVTEPFVIDDKC PDFDSCFIVDTHPQDIPLDTRPRDLKLLASFKHQESKMHLEVLSTEPAFQFYTGQHVD VPPVGKYPARGPRSGFCIEPSRYINAPNVPEWQKMCLLKKGQTWGARTLYRSWNE TRV_03094 MSSSSSPRMSSLSDSNDSNAIRKRVGKACDRCRLKKSKCDGDLP CARCSADNVVCVYGERKRTHDKTYPKGYVELLEHQQTSLVFGIQEMYKLLIKGNKWPG QPLNNSHNGHPLTHDILERLGALNVADGPANAGLPRFEEDLEAMQAQLLRKSPERAQV TQHPGRMELAEQTDTMGLLDQPEKMDLEEEQGRGESMDSTSEGASPPSHATESSSPSS LPSPVLEDTFVIDSFPQALSTSEPHQGHSQLAASLQQLYYAQQQQQQQDQQTAFNPAA LFSDLATARSEGIVSPQNLQLGFADALLTFDQMESIQSQYVQTPWILYNDYSR TRV_03095 MWLKIVWFFFFFSVVKSSLFGLRRAISRAYITGEDSDDDSDDDG DDDDRKEDGDEDEESDPRGGIIRGSTSLTSGLLLGGMAALSQRGSSGIDLYGVSTSRP ETRPIVPG TRV_03096 MIHREDEDEDEDDEEGQSNRMRCYRRLLLGDSDGRRAAEEYRGL WAASNLNSDAFLLVYDITNEPTLETLGHFMEMIDMETENREEQNIRLLKQFYRDYPDQ PPEDVPTLGMPPPVKIVAGNKCDLKEARVISSRRGLEWARSRGCGFMETKARKLHREQ QIAQLHAFKEAEQLSSASRFFSAACRRATEPIALSQTAPLTTEERQDPTGRSVSSGAR SRRRSIWLIVQQALTRRANAANSKRPRSGQPILNPNQWENQSQGQGHSQGQSRPGTLS THSSKKPDPDMGEPGYPNNYPHSDHRHAGLGQFKPSERVSIPWWKTLCCCSGS TRV_03097 RQEPCALIAKAQEEQRKKDPYARALLIEAGLAHACLTSVPIKKS HALKLIDGLFPFLEWQSTIDYLKNPPKGYQLPGVDVVGGLKKIRDKVSRGEYPNEYSF QNELTALIASAYDGHFYVDLDLMSVFKLGRPDIGPLVSVSQDGVKLPEVYVFSDLNAT VKEGAKWKPSAVTQIDGEDAVDWLQKWSHHGGQRDLDSLYSGLFYSIPKIEQGWYGSF YSALGAYPGDNTTLTFENGTTRSFVNRASTREVWAGVKDGESFYHQFCNDDDAEERRK RRDNRHSAITTISQREVHFEKRDEPGKSPRPLFPKAVEEFGTGAVAGYFLDGRNDAAV LSITSLLAEDKSGGLHFQQYSAVVSRFLADCAKAKKSKLIIDVTGNGGGTVFMGYDVF KQLFPKNDPNDASNLRATDQLDFVGKKVNKALSDGSGGKQAESRRGKEFDASVFMDIH GRPRKNWKEFFGPDEVSGFKFTNLSTWDLGNKDVAGFAGQTTVAGYLDRANLPPPVFQ PAQMVLLTDGACGSTCALMADLLRRNGVKSVVIGGRPRHAGRVEAVGGVKGTQTLTMS QIRSVAILTVDDLSSEKEQERLAKTPIGKVARNGDDALARIKEGGVNFRNAVHPDDSS KTPLQFVSEPADCRLWTTTPMLFDMNEVWRTVYDAAWGDGSSCTPGSIV TRV_03025 MKLEETKGKTKKMQVSNPGRNSASREGGDGGRRKHPTKHTLGKK RGKKSTPSKPQEQEKSDRGSRTRSYQTSFSRPCLIRSSSKMFQRPTVIHHLAAGQELN HSPRRPASSVSFVRLVVVVVAVVAVVQLLGRLKRSRPGVIAEGPAYLVINTACQARQA SMQTMQTTARELLCHPTTNKNFPLWDSRSLKAPTRQRQQNIRGRRHQAASEKARDGLE RPETGDERDPEKKRNYRHCKKNADLRKEKKRETGDNF TRV_03026 MATGQVSFHNPKLTRKVFVPQRQNPIVNRLNKTRVEKFPDLRAE KEEYLAQCRKEERKAREEKKALEKKERRERDELRWQKEHAYDDLMSPESVQQSNNQDR GEDFLDDFM TRV_03027 MPVNSTPAGQVTESKSKKNKKKKGGKTGAPSEKDGNTGATPVDS KKDGQHDEQLDMVSHTSYPEMATCLDQEILKRIGYCYKDSDHATVNGSQEAEPSASVT ENLQNLSIETPTSHSNENQPASDTNPMKNEAGDRGTDDRFDVLVRDRDSLREEVIEMR RSLEEIQTKHDEEMETLQEKLRVSESQKEQAESQFHSLLGRVNTIKSQLGERLKADAE ELTEKRAQIEQYESENASLRAELEARSSNISSLESDREQQSKELSSLRNRINLAQQNW IKEKEELLAQESELRTEFEEAKQAMHSWEIIAMEERSIRENLGEKVIDLDEQLSTIRA DYEKMTSDYNSQGVAVEGLQKALREIQAARKQELRELVESTNAQVEEVRKKLEDAEKR AAEADAAREETQKSLERALPFEKEVKEKNLLIGKLRHEAVTLNEHLTKALRFLKKGKP EDNVDRNLVTNHFLHFLSLDRSDPKKFQILQLIAALLGWNDEQREQAGLARPGASGGY NSLRAPRSPSMFKTPSSPSLATGYFGTNADARKESLAELWSNFLEQEAQAEGKLPAQN ENPSDKTAS TRV_03028 MRCVFSGYADTTRGPRPGEEDGREYNFTTKEAFLKLVDEGGFIE HAQFGGNHYGTSIAAVKRVADQGRICVLDIEMEGVKQVKRTDLNARFLFLAPPSIEEL ERRLRGRGTESEDSLQKRLAQARVELEYSRQPNAHDKIVVNDELEAAYAELRDWVVDG GKFGSP TRV_03029 MAAAASPTDTVFPRSHVGFDSITSQIEKKLLKRGFQFNVICVGQ TGLGKSTLINTIFASHLIDSKGRLAPDEPVRSTTEIQTVSHIIEENGVRLRLNIVDTP GYGDQVNNDRCWDPIVKYIKDQHSAYLRKELTAQRERYIQDTRIHCCLFFIQPSGHAL KPIDIVVLKKLSDVVNVVPVIAKSDSLTLEERLAFKERIKEEFAFHNLKMYPYDNDEL DDEERAVNAQIKDIIPFAVVGSEKSIIVDGKQVRGRQNRWGVINVENENHCEFVYLRN FLTRTHLQDLIETTSQIHYETFRAKQLLALKESSATGHSGSRPISPAADRELSRNSQR VTMNGN TRV_03030 MAALRLAGPSMGLLSSTALTRTPGIYAAAGSSISGSLAAKYHAS RYAVAAVLPSLLSDIWESILRAVPKKKTSHMKKRHRQMAGKALKDNISLNTCPACGNT KRAHLLCPHCVARMSLQLYS TRV_03031 MILSASGASSLKAHLANEEGFGIAQSLTAAHKIGCHHLATAKGS GCYAASVGFGGEVKLWRYEDGMWNEDLKDNGKVKDIWAVSLSSDARYLAGTTHDGRIK VWDLQNNIEEVWNFETRGSFGIDQHKLMNLGLASPVRAVSFSPGGKLLAAAGDSTMIM IYETSSGEQFATLIGHAAWVTSLDWNDSGEYLLSGSFDGKVKVWSIERKACVATLLES DKAIWSVKWLPKVGKAERFAAAGASQSIALYREANSS TRV_03032 MADQLPTLNFRFEELRSRMSQFSQKFDSFIERGRKQVLEERNQF YIDLAELQEDQRMKEKDIEILNLKLQTHRQTLQKESAEAAEMHAAISQISQQRDSRSA IRDRLQQQINETQRSINQRLEAQRAHAKQLELQSRQNVPELDFWQDLLCLRIEGAGRE DRLKIIYTHLLEKDWEREAWFELGTAGHEYEVLHCRPKLDKSAITEVVDIVNDDRDFG AFLKRMRRLFVAALN TRV_03033 MADHWKDEVSLGHLGRATYVGELQEWRFSRAPNQGFVFKLAGEA NIISHGTNPGLSQAPRNANPVTKRTEQMLLNLYPELTHGLSTMVLEEATSRAITSTSS RFEPSSHECLAFGDIELMTDDRIACQTIPIMVFSTGGQSSSLALCPCDCSRNTLFPSA ASNIDDDQSVWWNVPGGPVKQVLSSESIQEPKKCFAARLSYSTTIFSPIYHRHPVPVN LNDNSYTESCLLSHIDPNPLVNIPCDLTGGYPHADVTFNPWYQRQIAVIDRAGNWSVW DLQRRQQRKAGWYAERGPNGSIRLHEYEKSSKPIDHYDGWGAILWVCTIHQLLVCDRR NVYICRMDVRPPEQEELSLGLELHSEWILDALRSPKTPSHVFILTTLRIIWVYVPPPD DSRLSQSDNAHAASILLSWRHFRDPEDISLRLTPISVETDLFIMMHSRLNLLATTVQV LSSDEPSYPVSICDTRILHLPKVKSEHTACGIKTSHNTVNFSSISFQDNRNPDSYLDD DSTGSPKSVAFIGQLMDGRLVECIYLATVPNQEISPDIIPISVGRKKRPVKYHARLAD ESDFVVQDGEKSLLIPMCLPNKPTKTGSSMAVLPTYETREQWQQQYSLATSILEYMKI ANFTESEKTTSFGDWLNALKGQTAELIPALTSCSTSLLLSEAVPSSFSIDEAENIAKA FDQFILEFAGSADCMLPGFQLRILQLPSTLISKFSMIEPNTWNDYSLMELYDTMICLW LAPLPDGIPNRLRALKEQTIREVISELCIASIMLFRCGAEEAEDGKETGSSSLVKPEA HSVSEFKEQLTQWSGGDVSSSPPRTRGLNDNQTGAMLASLAQYTAIHCQRPISKKTIN TAAHWVNGSDPSQYDWQATVSLHDNSQSENDNNFKRRKRKERRASSSAVQSQRSVSAD IPITRPWGSQPETNAFSSGLGSSQVSEGGFTMTQGEQGVFGRRRPLLKSKKKKRVAGF TRV_03034 MEANFRNLDRTIMSQPMPPELKDTNALIYCNDCHAKSVVPYHWL GLKCEIGGEGLAVPIHGTSNDHRTGARNSVVETPGRHFHEAPTDSGGSQARSLPHTSF LHSSRAAPKSPVVTNYFALSRQEDVDSSTSQSRGPMRLTRGSFSGFNFWSGVNIKERL GLIGSSDAETEPGSDGSSDNQETEDEDDEDEDEDESPDTIDIFGHR TRV_03035 MDLGSDSHGQPGSNGNETTSQAHLDQLGWEENTTKYAAESDDIV EMRRRIHAIWKLDVSSQEKARLMHEIMTEGYYSAVKSRSPPPTPQGHDAPCTPESSRK QRVLGNLSSSSEPDSGISGSPYYLSQEDLERTYFCRFNALRVRNGTLAGSVITRANLI PLIERKQKTCFACYVILHSLQANGAIPVAPNQPFIIALYVNSGMMMLKKAYTIAVIVA FVALVRDLEKIFSTAR TRV_03036 MEVHPFSELEAKFERSLYCASLLLAEENARLPRLQLLLSEHDKE TISKEQSQTKQQLNYTETENSRIREHSTRMQHDIEELHKSFQAKVKMIDSLQSLAAEM SKLRAENASLVREVSNMKPEITRLRSQNSSYQTILSEKLSLERRVSSLEVELRDAKRN SERVRVSHVSNRTIMSPQHDVNVNGEPQTSKTSTHTSKSDTRGVTVSKKQVKVQDSDM SPARRNHTKNLVDASDNPPRSLYFGTDASIGTPGPNHMSKLPTKISAAPGDKSIFSIT PFLNRQSHDFAVNFTSSESDGDGLSFVEAKPSRIKEKSLPPYENEASATNKRKTNSQP LKNPPKSTNIPKFTKGPAPRVGNRPQKQKDTKDFSEDDGLPDPVKKRRILRTIGDKLP LDENFGPLRTLSKQHSHVQDQSGIFADPDGKRVENDRRNRRLGLNKPLEIPPFSPLKR NVRPS TRV_03037 MTPFRHLRALVNRNDRITPNMRLFSLILCAIWPIALALGASSKA GDKYSKFKTASRSTGAVTLDDASYHELTAAPRDYHTVVLLTAMDSRFGCEICKMFQPE WELLSRTWSKAKLGDSKLVFGTLDFLDGKGTFQQAPITSQLDMTSAGECEFKGSEGMN SELNDASSSPVTAEQMHLWISRHLAGVPTPKLVRPINYTRMMGMLIGMLAVISLITVS SKYVVPILRSRNLWTALSLLAILLFTTGHMFNHIRKVPYVAGDGKGGISYFAGGFQTQ FGLESQIIAAIYGVLSLGSIALAMKAPRILDPQSQRVTVILWSAVTWVMYSFLISLFR VKNGSYPLSIPPF TRV_03038 MNDRDHSAAHDDPASFQEHLPRYFAKSGHIDADPKKTKKDGGGK GNWGRSGDEVHDYGYTFTNSRRRSNSSTHGLADFKTKFETHEPEPVFEEETQEEDGQM NGANLARTDSSSSGEARKSS TRV_03039 MFSSQGGVAVTAASIRPRRRQRPRSEDGFQPPRAKRQRSTKHAD AGGDTELDTAAYEQAHCLAVEPDLEDGPSLAAGDTSIDLPVRGRKESDRPTYDSHSTL ILDNGFYSATRVSALPEPLQKSSAPFRCVISPEHANSLILTHNQAYVWAYSSGSSSIS PSDFSTFNIPEPSPKHIDPLPLGAFTSSSSTSDAGMLVVIPATGKITFWQTISNNVIL GLIKQKCNTVHGSIPGMMSGEFATGLLNAEPSGFIITLSTGRVAHLTIMDAHGKASLN IRFLDLANRATSGGIFGGLRNVFSGASWRRNIAAAKGGRSAQRGQREVIIATSSGLIE VWDIHWNNGSGLKARMDATLEINRALKDTGLSSEHGSLASIQVLDFAIKNNSKRSNDT EHDLSLWVLFSVSGETTSYFVVDLAFPENVPEAGRVFKIDYEGPLQDSADSTPKIYVP RPGKSAFIVFKNATVLVSLTPVENSTEDGTPEFQDLVRLRDRDNFVVIGSTLDDGGDE HSRDCCVLVIQNYGLVHLSSLRLKTEAAQDVQLTTKLRLEQIVFFENAKDNPINFHHY KELSSKPRLEEAILNITDEILHSSSRYISSIAASLEHQMTMRSNALQALAKYIKNNHI ELSYSIRWQLLWDAEKMAASKAIWALYNDLKKRYPKKDLYLGHLIETMGEKFKSSAKE EDGPDDPIRHWFIYDTWQMEHIIPWIMNGIRVAYNKPQSVTRDFIDKVWQASEISLAA LETAFSFRRENAHLYGIDDTSLALKTPQCAELSEFWTSLDINYDETENLLDTELNTCI QWMRQLSQSGKTDAEDSPAKALEKNLSRQFAVFSQIYKERRQLCAVSEDRQIQNDGKL LEKAHRERRKPQLYKMAAIGHLEEAVTLAENFHDMTALVELMVEVHDKIDQKHPQKAV DRATPGPREEAVKQWRSRIDSYFTRYGEPWADAFFTRQITAGQPAMLLIMREYKDDVT RFLRKRPGYGKLSWMNEVLGERNYDNAAKILTELATQHETNLWSKRVELALAKLSTLA ARETQTGMQVNLSCFEDETELATIQESVYDNLPHLNEAIDESARLQIANDQFANVFVQ SKPALRETLQRGLAKLVTRCPVQGDELADLLTLMDPIPAGGPPEENEVAGHEFSFALR ALNLSGSVKEDGAYRENLEKIVWRRCMIRDNWEHISATAGKTDDEVEAEIRSTALFKT IMDYTNGLGFDSESNHLHRPTDILDRVDVPHKLVARLLPEQRGHLSTELDDENSLLKH YIEKGNLEHWFSWIIEHGVPEIEQTS TRV_03040 MASSVHFKFKSQKEPSRVTFDGTGISVFELKREIITQNRLGDGS EFELSIYNEDTNEEYDDDTAIIPRSTSVIARRFPATRPGKGGAARYMSGKAPVTSRNV QHDGEPSTRQDTGDSGIEISSLQSEDEKLKALFRLQETQWKEQQQDMTKIILTLIIGH WIQACPTNNDPRFDGRYRVKRSTGIPRSFQKQVEKPDSPALDGSDDNSKLTGVMVNAD GDFVIAQPDKASWELYQEKATASKAAEAEAANAERNKQLQNRGLLCPLDKRMLLAPTK TPCCEKTYCSDCITNALIESDFVCPNCSADGVLLDNLSVDEEAIKRLAEFETEKSQKP EGTEINLPEKVGSEKASNKEQPQQEATASKKRSATENGATEDNDQLQAPAMKKQKSRD STGDTQNNTQHINPQNSIPQVTTQNNTQNRNSQSNTHGTTPQNNIPQPGPMMNPFPQM PPQPMMGAYGQMNPFAGQDMMSMGGYYNGGNTWSQASDPYMMAGGPFMGGPTPQMGQM GYGIPNSGMNFNSFNPQMMIQMNQMQQPFQQQYGVTPFSNQQRSHISEPLANEEDSPY FRQPVNPHRHHGRQKRTRPSDYREL TRV_03041 MPGIVMDDPSVHGVWRTVGTVNGDNAASDNGAFQNTDINSHANG QANGIKSQSNDIITAPELPPPEITHITQGFFPLAKGINRVVVQCWNDLLHLLSELGDA PPNHQGGSSSSNASSAKKSQILEFAQAKRAEFIKLLVLSQWGRQAVDVGRLIDLQFFI RQRYDLYNHAIFLAGNIKRDLFKAQMGNPDLETASEALSTGAVSALPALPFLPPGKLS PKDTLRTLRKINKLISIRLITHDSIPEYATYRIHDGRVTFTIPNEIELDLSIAQETRN SQFFFVDLRFIFSPSSALSRGPVRDNLERTINTSLMKSGIVGCCDLLHNLVLTQKIMT CFRQAVELARSHWGNNLRIELLHRTLVIQYWTNRPGPKSWIEIGTRRNKSQHRGRANQ PDIPRLYVRWIRDNKEVSVESTHFENKIISVETILRRTISRHIHDIFFEVYTKLTASK LYGQGVLFLGLNTSAMEPGNCYLDIQLTRRKTMRLAIEPVGGIVALRTVPLSLSRHDV EPDPNKALSVGTYERICRLRCLVAMEEAESHGRVVGWKLIAPANVDMQSLRKVLPVNE IRNISLFQHGDWDPNWLVAFTSSIERDDWWVIQLRDQASATESQPQCPGDGRLIQLQQ AHAITGQCGYASNKHRYRSFAKLANSISGMVVAHSNADCLNKLKLCHFPKIEDQVLGV DNEVPSTSVCYKASDLPHQLRVASPLSNKGKTIIKDTIRLSYKGINHRTREAIVVATG FFAVPVSHLGMAILASDSNLSFKPRSRKFAIRFLTRTGVPIIEQLFSWLQRLTNTILA LGFIHRKKFVVTSMSSSKISFTYPSSNGSLRASISFQYIDTPPPLLLPDTQQAKTKGD KRPLARLRMSLDLHGKNPHRRIMESLTAILNDPSAGLGFTLELLTLTLPLLEAVETIL AESRDRSRAVVRIAARSAKMFHISYPFLQYRFHLTMKQRRQRISWLLRNGTIPSVRMS QVALESELTNKILKVNGDGWRGLGDGAMAEADNAVSLIMVLDNIIKTHVAQQRTQTAA NGAGRAMMLDGNQSNSVPASLSNSSGTSQPAMTATSTTGVVSPNNESDASKQANNGSV ITID TRV_03042 MPGVRSLLLALAGVSLAPAVLAADASTDSSDVHVLKTDTFKDFI KEHDLVLAEFYAPWCGHCKALAPEYEKAATELKGKNIQLAKVDCTEEADLCQEYGVEG YPTLKVFRGLDSHKPYNGARKSPAITSYMVKQSLPSVSVVTAENFEEVKSLDKVVVVA FIGEDDKETNKTYTALADSMRDDVLFAGTSSAELAKKEGVSLPAVVLYKEFDDRKDVY DGKFEAEALKAFIKSSSTPLVGEVGPETYSGYMSAGIPLAYIFADTAEEREQYASDFK DLAKKLKGKINFATIDSKAFGAHAANLNLIPEKFPAFAIQDTVSNKKYPFDQEKKLTK QDITKFVEGVIAGDIAPSVKSEAVPETNDGPVTVIVAHTYEEIVMNKDKDVLVEFYAP WCGHCKALAPKYDQLGSLYKDNKDFASKVTIAKVDATANDIPDEIQGFPTIKLFPAGD KDKPVEYTGSRTIEDLANFVRDNGKHKVDAYDEKKVEKDGSDVTGKPKDAEAPPKPSD APESEEKADKEHEEL TRV_03043 MQAETRSSSSSSRQTETPAEMAARFPSYLQGADKGFTGRHGRAY LVADPKAPHPALSVLANTVAHRAVPRQLVTGSHTVSDIACRFCHTVLGWKYLAAEEES QKYKVGKFIVESKRVSVSRDGPVDFSHAAAAAAVDNGSGKKEEIEFDSQDEDECEDLF AGVWTPSLAAKRRQRRKHKS TRV_03044 MGDEMQTKPGTGNESAAAAGADQKDQLAQLVKLATANEAAKDYG KAVDLYSQAAELQAEINGDMAPENADILYSYGKCLYHVGVSKSDVLGAKIPQTATEPA GGSSSKSGKGPGSGEGEEASSSIIKDAIARHAENGEGAGPSSSLGKAGATPLFQFIGD ENLEASDDDEEEDEEGEGGEEGKGGGDEEEDDDFANAFEILDLSRVLYERQRSELEQD KEKNEEKLRRVKERLADTYDLQAEISLEGERFPDAAADLQSSLRIKEELYSGEDPIIA ECYYKLSLALEFSSVMKKDGEDGEKGKGKASENSNAEPEYDAAAREQAAVYMEKAIKS CKGRIAKEEARIESIGSNDGETVARIKRNIDDVKDIVSDMEQRLVDLRRPPVAIPNAE RTKEEQAAAQAIKLDELTKVANDLTGLVKKKKQPVAATAVVSSTSSAGEQQQPEAKGK RPLESAEEEALNPKKVKGEES TRV_03045 MGNRRVKLSRRLNTFKPTDFASRAQQTDLFIYKSISTDPVRIRY FHSLKLVGIVNPRKSSGINEQNPPKTVHEPSVLPVVIPPNTIRPLAFRTLSKKHNLAF TSTTLQALSTFIGKSCGSRWRQEGLADLVLDEVAKLWKQSGGGSIVEDDTKLSLTAIL SELETYMVGGRVQHDRKSGKRTTETAIPPKKREHSTVDSHVQESGNLTSEPQEAGPDG AESAESLEDAANDRARKRVRVISAFEQQRLTYNMNKKHFESPHEPASLLPPANNRVSL FRDRYYRIHERLLRNETFQTSTLPTSFGESNTAATSNQPYKITSITSLQGRGSTTHLL LGLLTISPSGGYNLSDPSGTVAIDLDHAKGIPANGAWFVPGMILLVDGIYEDEVEDTV ARGAGAIGGKFIAISVGGPPCERREVSLGIDSQSRGWNIAPTTDPSADPMSAGGDTNQ PEFRHPSSISRLSSDAQRVVIMGEVNLDNPKTLQALSIVLSQYDLASDDTGPPAFVLI GNFVHDAAIGAGNCGSIEYKEFFDSLASVLTQFPNLLRKSTFVFVPGENDPWASSFSP GATACIPRPLVPELFRSRVRRVFQAASSQDHPTPSRQIDESAVWTSNPTRLTVDEPSV SEMVIFRDDISGRLRRNAVILKDDKAKKPSPDQDDAGFLSQATTVTDPEDSNTSGSNK GHQGGVDPSLVAARRLVKSILDQGYLSPFAPAVRPILWDYASALHLYPLPTSLVIADP EADPFTVTYEGCHAMNPGRFVVEGSNDIARWIEYNMATKKGCIKEELL TRV_03046 MPTVHLLDYVAGNIRSLVNALNKLDCQVEWIKTPEDVKEADVLI LPGVGHFGHCMSQLASGGFLEPIKEHINSGKPFMGICVGLQALFQTSEEAPDVKGLGL IPVSLKKFDSSNKSVPHIGWNSAIFAENKSYYGLNPRSKYYYVHSYAAPVDTTALQAQ GWKIATGKYQDETFIGALGKGNVFATQFHPEKSGQAGLRVLDAFLKGKEIEELPASEL SSARDGLTRRIIACLDVRTNDDGDLVVTKGDQYDVREKSGAEGKGQVRNLGKPVDMAK KYYQEGADEVTFLNITSYRNCPLADVPMLEVLRQTSETVFVPLTIGGGIKDTTDTDGT VVSALDIAKMYFKSGADKVSIGSDAVIAAEEYYASGKKLSGKTAIETISNAYGKQAVV ISIDPRRIYVSSPEDTTHHTFETKSPNGQGQKYCWFRCTIKGGREGRDFDVKQLIEAV EAMGAGEILLNCIDKDGTNSGFDLELINDVKSFTTIPVIASSGAGNPGHFAEVFEKTA TDAALGAGMVRKFNIYEFPPFGMDFKLTFYLSVPPRYLCRLGREEPSS TRV_03047 MLVGICGGKDTGICAGKKSVADFLVKNHGFQQISVAPGNHQTVV EEHFEQLSLTSSPNPSAQSFETIDELIDFVTPRWRELWVTTDIPDDAALERLLLRPFF LLISVDAPITLRWRRFTDRCWRKQLEPPDLEKFVLISDHSQYDPKIGVSYLLDRAHVR LFNTSSSLNELHAALSSLDLLNMQRLRPAWDQYFMQLASLAAQRSNCMKRRVGCVIVK DNRVMSTGYNGTPRNMKNCNEGGFLSTCLCMHAEENALLEAAQLGISEVVYSQGYHMD NDVSIRLPLNLHFSAVLVCRDG TRV_03048 MQYTPFISDIELPFYSSLASLKVDHDKLDDSARKVLGLYEIKPS DRPEESCRMQILGNALLKDDVPAGYYRAEGMIKNVNTIEEYRNADKPAILQLAGKTVV LSFADLKKYKFSYWFAFPAIHSSPPWAPTASPINKDETDSTGQKKPASHASQQLTEPE TVNLVEAVQTWRYGVDARQHGFFLAKKVWAAADQKPLKGPYEWQISPLSGYEEGFFDN SKEGDRYVCFADPSNYDDAPSWVLRNLLVLVQKRWKLNKVQIMRYRDLHSRRDQGRTL IMTLETDNSQTSTTTEGGDAQPALPKITGWERNPAGKLAGRTVDLKEYMDPQRLADQS VDLNLKLMKWRISPNLNLEDIKKTKCLLLGAGTLGSYVARNLLGWGVNKVTFVDNGSV SFSNPVRQPLFNFKDCLGGGAKKALRAAEALKEIYPGVDSTGHVLSVPMAGHPVVDED KAKADFELLKKLVEEHDAIFLLMDTRESRWLPTLLGKANGKIVLNAALGFDTFVVMRH GSLTTAGSKDGLGCYFCNDVVAPGNLRINAKASLIKSTKAQTLDQQCTVTRPGIAAIA SALLVELFVSIVQHPQGADAPATTAPDEDNIESPHPLGIVPHQIRGYLSRFNNVIVTG KDYSCCSACSGRIIDLYLQDGWDFVKRAMNEKSYIEEVSGLKEFSVELETSPDTLILL GLFASSSYTDEVSFFGFLGIFISKSWELEKY TRV_03049 MSKLAIEASSEDDQYDVATKIVCLECGVDKVEDCPPKAAEVVDK LMKAPTFSRKEEVKAWEQELVPCEHTIGLQQGSNQGIRIREPSKCYGCDLQENLWLCL ECGTVGCGRAQFGGIGGNSHALAHSNEKSHGVAVKLRSITPEGSADIYCYTCNDERTD PELAQHLSHWGINIAEQEKTEKSLTELQIEQNLKWDFSMTSNDGQVLNPVFGPELTGL KNLGNSCYIASVLQCLFSLPEFKARYFKPNEEPPSSSAPAEDLETQLRKIADGLLSGR YSVPDPAAAGSTSDVVYQRGLLPSMFKHMIGRGHPEFSTMRQQDAFELLLHLFKIISV STHSASDPNPVESFRFAVEQRLQCTSCKKVRYKVDEQDNISVEVPAIRLNHFMPGPGT DQKWETVPLENCIDLFTREEHVELTCSGCGNKQFSKQTLFKTLPQNLIVNARRWEVVN YVPMKLDIPVVVGNEPLDLTQYLSKGKQEDEEVLEDEPESAAPEFVPNSDAFAALCGM GFPENRVKRGLFSTGNSDQEAALNWILAHMDDPDIDQPLVTGAGSASGAQKPADGDDK INQLADMGIERDRAEKALGATDWDVTRALDWVFSHPDEPLEPNPTAATNSSGPSGSIP GSSEKPALFDLQSIVCHKGTSVHAGHYVAFIRKQVPPEKEPRWILFNDEKVVAEAGDI KEMKQFAYIYIFRRV TRV_03050 MASSITSTTTTTSAAATEPSPTSPASVAASTAESEVYRPTHPIA HLQGPFEESILESIHGFNQRVLSPPDAVTRRKQLLQSPKYQRSCSGKWQQRAGERYHP LWKIMAQVSFGIHLLATGSARSELESVQILRVHVAEIDGFVRRTMEDYQLAHEDLTAR FDLLAVPLANLDVLDSMLGDESFKLFLIDCISKINHVVRRSEIALQDSLKDLRKAAIA VRTVKDYLNIIPQHQHTWSPSFGGVYADMFQRVGLWLTSLSNLQAQGVRLVEFLVNLS SSISRLRLQIGLNQAGSTRSVTLSPNMFPPPRAVVSMDNLHEAHQRASYADKPLPDIR QRNRSILSLKRFGAKLQHRFSSISNQNHSPTPSKTNLSTTGSPRPHSPVRAPSSSATI TSPSSISIHTTTPDIPDLPELVGSHPLQPPKIPELVGSPTSPNISELPGSSPTKTQVL KSVELGSSLGEIPRDSIDSRTFAYENVVSLPEFERGAPLSYIEKHFPELALSDIPIHQ WPTQSFKPRQRPLTPAQLPRRQTTAKLKPFLRGLFSKSSCVQPTISESGTTGDCSEKS PVSTSFDPSPIQRAKNEPPNTISLRTLTNSEPRLPLPAPSLYNRDTINSYSTPSRSPS TASRATVLTSDG TRV_03051 MQPTQRATDRRQPGTREETGRGAAGAKVTASTATQRNGERCVGV GSLFVLQVAMRCDARRLLSQHADRQKLESCEKTRRRTEIQERDDEDEEDSKRRRGEEK KRRREMKRAASITSKEAKKPKSTITAFFASSPGSGTAASAAPRAAFDKAKWTSSLTAE QRELLKLEIDTLDESWLAHLTDELLSREFLALKRFLRDEKKSGANIFPPEQDIYSWSR YTPLHKVKAVIIGQDPYHNHGQAHGLCFSVRPPTPAPPSLKNIYLALKNDYPAFEEPA NRGGLLTPWAQQGVLLLNTCLTVRAHNANSHSQRGWERFTQRAIDLVARVRTKGVVFL AWGSPAGKRVSGVNKERHCVLQSVHPSPLSAHKGFLTCGHFKKANDWLQTRYGDDGII DWSLTSKPLVTVTSVTTATETVEGDGKTDTVSKATAEEKSDTISKTATVTSTATATTS KSTATKVDDDNNDADSDYGQDLIEDETK TRV_03052 MSKTDMDVGTGLVGAPACGDVMKLQIRVDKSNNTISDVKFKTFG CGSAIASSSYLTELVRGMTLEEAAKIRNTEIAKELCLPPVKLHCSMLAEDAIKSAINN YYSKNPTATDLSGTGASIAEVAAQPAAQPATTTPPASN TRV_03053 MATQLLLSFPPSAEVSDAEYDKTIRSFCVSVKKLSLGALVGSNT SGQGNHLEILDPAIHSVAYLHVLLACHQISQKSDKSSFQPGGESWEKALIFFEEFDPV QVRYAGREFTKLVEIIAVIASTPQKSLLAIQPLKNALLRLDPSGSTLTATHTLFVRTC LKANACRAALPVLERPIFHIPTSVDRTYHKRAQILPCVKDQSSSTFITDSSGLAGKIT HQTYLEYYLYGAMIYMIRKEWDDALRFLHIVIAAPVTNTVSKIMVEAYKKWILVRLLA KGQVSALPRGIPPFAVKIYKSLSRPYEALADIFRDGSLQRLEAEMSVGIDLWDRQDGN AHLVYQLSAAYRRFSILKLEKAFSAIAIPEIANRVSYECSSAQELEEDIASLIADGQL NARLVQAADPSGPSILRFGKDNAGMDLSAEAQLSASLIKEKNRIKAMIDNVARIDVRL ELGEDYMEGLVKAQRRAGAKNRNATTFDEDIMATSH TRV_03054 MSLTESSPAEIAKLASAGARQLAILSSDARNKALVAIHDALKQN KDVILQANSRDVEAATNAVEKGDLSLSILKRLDLARPGKYDDMLKGILDVKNLEDPII ANIAALSIKSGNAAILKGNTDIDRAAGGKESTESFIEISRVIAEAAATTEVPKAAVQL VKTRDAILPLLALDDHIDLVIPRGSNDLVKFVKTNTKIPVLGHADGRCAIYLHSDADV NMAERVILDSKLHYPAACNAAETLLVDERALSTIFPRVAAALTAKGVTMKCDPKSKAA LQDKLDSNQLALLKDATEEDYSTEFLEAIIAVKTVEGTSDQSYVDAAISHIGGHSSKH TDVILTSTRELAERFLSAVDSAGVYWNASSRMADGMRYGFGTEVGISTNKIHSRGPVG LEGLTIYKYLIRGEGHIAGDYFQGEGGRTWKHEKMDI TRV_03055 MGIDLDRHHVRNTHRKAPKSDNVYLQLLVKLYRFLARRTDANFN KVILRRLFMSRINRPPVSLSRIVSSVKKGSEEAAAGKTIVVVGTITDDNRLLEVPKMS IAALRFTSSARARIEKAGGEILTLDQLALRAPTGANTILLRGPKNSREAVKHFGFGPH SGKKPLVASKGRKFERARGRRRSRGFKV TRV_03056 MSLFEPHVDDQLEFSFILNSSLDIFDMRQQHTSVDQDLGLLHAL DERLSVYGWLTNTGVKFAIVVDLEGRTSAHSSAHERPLPVVGLRDSDLKPAFRALQTA YVKLLQNPFYNPDDNVLGKESQTQSKPKGIASRQFVEEVNRIGRTWAPGIAGH TRV_03057 MLMVFFSFAFVEYESRRDADDAYHEMHNKRIGRDDVLKIEWART PPSASWRFDSGSDRRRERTPPRRSHRSPSPRRGRDYSPRKDDRRDRDFERRERDRSRS PDDRDRDRDRDRDRDNRDDRERRESERENGTTNGDERKVPMDSPPPAHDELDTAE TRV_03058 MSLNRKDDNPDDRAALRHGLTQLPAGAAEVFPQAQVKQRNTALA AVTDRLAEPVVAAFIGGGVAGAVSRTIVSPLERLKILLQVQSVGREEYKLSISKGLAK MWREEGWRGFMRGNGTNCIRIVPYSAVQFGSYNLYKKAFEPTPGGELTPLRRLTCGGL AGITSVTFTYPLDIVRTRLSIQSASFAELKNQHQTKLPGMYETMRLMYKNEGGIVALY RGILPTVAGVAPYYRLTVRKVGLNFMTYESIRKVLTPEGDANPSALRKLLAGAISGAV AQTCTYPLLPTYMNSSDVLRRRFQINTMSGMGYKYTSIFDAVRVIALEEGLRGFYKGI VPNLLKVAPSMASSWLSFELTRDFFVGLSK TRV_03059 MATKGGDTAITASNEPLAQLKSATVPPSPNSQSLVDQVSLFVAH ADRTTLISISAGVVAVSYVLFGRLALLLVGAIGGVILHIWWEGQDNGTNGDNEGAAKR KRHELSLEVANRLTALYADNGSKQDDDEDTLNRSGESSLDYSRMGPATEAALTALTDS VIADYVSWWYKPILPSEDEFPEACRNTLVSMILAVSSRISRKRPADMFLQFATNSTSF MIVFFSELSAAVGTATTGSRPVADSIDEYLDRNPESSLANVLSSTQQEKKLGLVGTDI LKTFLEPSVYNCGPLRIFLREVLSRLILQPTIDMCSDAEYINTWIVYLLEEGEPQLLN AIDAGLEQADNVKQKNPTSTGTPTSNVLEGKVSNIVTNLGDQKLAEQVQPMRQPFDAD FAQHEDISAVAMPKEIPRAADSEETSGNSAVYTHLPTDSSSSNTTDHQPTPGSSTSLN EDSLDNPPLASQTTPILNTSATSLITMQTSQFRGSSVAIIEDFNEDDKSNIRSKPTSE YLIQIEPSNPKLTGWMIARKYSDFEILHETLRRISVVSGISDFSLHHNELPGWKGRNR NGLRISLEKYLQTALQYEQLAECEGMKKFLEKGRQSGLEPESASSKSGFPFAAPVAVF ENVGKGVMGVLGTAPKGVANSKKAIVGGVSGIFGASGNDNRKRASSNAASRPTAVRGT SMDILPSPVTNVTAHSKNLSMSNLQDRPRATFQRQPTHLENESGDIGSGLPTSITHAD SSLASSCENLADEGNVTPLSSSDTPDTVHGALSSEANVESNKEPATRDKPGSSSSGDV EPPPKKSSEGRSYAPVSEEEAVVVVELLFAVINEVYSLSSAWNIRKRLLNASKSFLLR PGNPSLEAIRTLLQDSIIDSNTSDEALAGYITKLHKSSLPTEEDMKAYPPPLSPEQKE LLRIKARTLLISRGMPPALMGIMGANATGEALGKIFDCLQIPSVGRAFVFAILLQAIR VMTQ TRV_03060 MKVFESTCTFDYSWDEVSTANWRKYCPWNEKSTHVVAVDVLSHD INPESGILRTERLITCNQSAPQWILKLFGGSSTSHVYEVSYVDPRSKKVTMCSTNLSW ANVLKVREVVTYQPSSSMPGSKTDFRQEAQITAMCSGWQKIKNKIEDVSVETFSQNAK KGREGFESVLEMSRRVFLEHKKEMEAQRAHQ TRV_03061 MPYFEKRKLTRRAINFLFLGFSLPPILDVSSGLSEYLKSLNALL LEFESFQQIHSVDGGPAATLARARLPHMFKRSGQSTKVRRTSSATEIGLPMQSGGVDH HSELKPMGNMASAGGTSAVSTFPSNSESQDLLPGEEYTFLLTPSLPFDPDYFEIFATL CDVLIDCYSRLVSLVSHPSLCNAAMGELFTKADAKLRKVIVSGIVREFEDASRASAKS EVAGVGRMVLGGLLG TRV_03062 MLQARRLGKELLVGVHSDEEISDNKGPTVMTLAERIAAVDACRW STKSIPYAPYVTSLDWISHYGCQYVVHGDDITSDSDGNDCYRFVKEAGRFLVVKRTPG ISTTDLVGRMLLCTKTHFIKSLLAYITGKEGSGTPEEMEEAGKSHFQRIRDYATDETG LRPGPEVWHWNGPSTLSSTPATGGAHEQLQQLVEGVKPKPGQKIVYVDGGFDLFSSGH IEFLRCVHSAEEKEGDARGWFHPDQVAQRVKEHGEDYGPAYIVAGVHDDEVINRWKGL NYPIMNIFERGLCVLQCRYINAVVFAAPFTPTEAYLSALPFGMADVVYHGPTTFIPLT FDPYEEPRKLGIFKEIGNHQFQNVNAGEIVQRIMTARAAFEERQRIKMLKSINEAEVK KQEEAAKLSGKGN TRV_03063 MAESHCYRSIYKGRTTRNSAPQRSPGQTPYSKANASMRRLRSSI DMEPSDIPDSKTDTARPYPPAEELNDGQKVLDSFIDLLESAREDMAEELSAAISNTED SIKSRLDEMATAYAKRVDEFQANYTKVLSRIGAPVLGEGTAGGQAAELGSVEVFGFDR TAFSSKIEAETRSLERLWGEWEKVQQKIICLAVEVLGVKRAGITKDPRKKVMKKRLNR AAALFDKQQSEQGAMRGELQKQHQAITLLAENSVKQLKACQKKSMDQRKRQREEVCQL AKRMLAQV TRV_03064 MLPYISTHSPSRQLLRFTRLPTPATKRFGLTPLQIRLLSDETRS AIDKAVASAPVVLFMKGTPETPQCGFSRASIQVLGLQGLDPKKFTAFNVLEDPELRQG IKEYSDWPTIPQLYVEKEFIGGCDILMTMHQNGELSKLLEEKGLVVQAEQ TRV_03065 MEEDLAPPFSAANYTNDGRTHILLAATGSVATIKLPNIVASLGS QNRFSIRIIVTKSSANFLNGQSDEQPSLQQIRRMKGVEGIYHDEDEWKEPWVRGKDIL HIELRRWAHVLLIAPLSANTLAKMTIGMADNLLLSVVRAWDTSGTIDLGKKPKPHIFV APAMNTAMWRNPLTRRQVETLETSWGFQSETEGGWVTVIKPMEKTLACGDVGDGAMED WRRIVRIIEEYCDA TRV_03066 MLSNNCGYLRAGLTVSSHIFTSCRAPHNRRIPSILKFRRISRIP QIYKPFLRYNSSAPSIAASQKPEQYSPPTTGILAALPKKWVPYAELIRLDKPTGTYYL YFPCLFSTLLAAPLAVPMATPLEVAWFSGLFFAGALVMRGAGCTINDLWDRNLDPHVE RTKFRPIARRAITPKHAIVFTGAQLLSGLAILLQFPFECFWYATPSLLFVAAYPLAKR VTNYPQAMLGLTFSWGAVMGFPALGVEVLSDPSILAACASLYASNVAWTILYDTIYAH MDVKDDAKAGIKSIALAHIDNSKYVMSGLAAVQVSLLAAAGVAAGAGPVFFVGSCGGA MLSLGTMIWRVKLTDPKDCWWWFKYGCWFTGSTISLGLLGDYAIRRSNDQSEDLRQDD VRL TRV_03067 MPGLQLPRAAFEPISPDLDFTELVESTPNFEPVTRIPAHAIEEQ GIENFEKLVKLHVIYSGKPLVVEGYGSKLEKWIFSPRWLKDNHGSKVEIARDLTNKSN VPLTIQHYLSSMAGLTDQFNATNYKDSSRQRMYLKDIDCPPVWHEKLRSLLPQFLYYM NESVTGKEGDAAPAGDLMSSLPEEMRAMNMMCYIGHEGTYTPAHREMCATLGHNIMVE ASTGGLEDGKPTKPGSSIWFMTEKKDLKVVSEYWLSTLGHDIEIEDHFAQINAWKSAP FKTWVVEQKVGDFILIPPLAPHQVWNRGTRTMKVAWNRTTAETLDLALTEALPRARMV CRDEQYKNKAIIYFSLKKYSSLLEKSTTRDDLRYRSLKSDFKRLFASFTQILLSESFS TRIPEPKNVELVPFSSNITCSYCRCNIFNRFLTCPSCIGKFPDGEDDTYDVCMDCFVM GRSCACISKLRWVEQFRWKDLTRDHERWRNQIAKFSSGKSRKDKDNFQPLSVEQEKYE KKTLAEICQVELKRRPWTDITKPVKDDVDDDDENHRESTRKRRKITKADKHKYSRCHI CGPTLNWKLETCSQCNLQWCYGSLYRAFDIMPMTVLEVRSWKCPRCERICPCANCKRR DPTIEGYIPTETRIGHDTKKIADVRSVESLVDFSHSNLFWLKKSGEHPETSQNSRRMQ LRIEEAEKAKLDEPVLYNHDSDGSEIRGAEEQNNNSNFDESLIDPALMDRGHQTSFVV PQNAIFRDEVADRYQPTEGITFEYPEPEQPAEQNKAEEIVVDPNLFQTNGQAPEEVNG GEPDEDEPEDLPVDPSLDDGAGSRRKRGIEIDDDFTLSRRSISRINTSGARKVATRRS QLNPIQSDDLGGSGSDQSEGEDRAEEQTSQAMLRPRTSRPAKKTVKKSAATKASKSKT AKNASEAVETKVTGESIPTAQLQNKSPLGPTSEVNGTAKQAAKNKTAKLQAMGWVEDD DSDGWT TRV_03068 MLKPNVSLRSSTPARRTLVAPPQPNSGPLLSRRADRELPSLGSH RRWLRTIPIFLAIIGVSSLAIFNYQKSSSSIVSSTLYALRHSPKARELLGDEIYFAQQ IPWISGEMNQLHGRIDISFWVKGTRGKGKMRFKSTRESRLGYFNTEIWSLEMEDGTAV PLLNQTEEDPFKGAI TRV_03069 MPPRLPRSSLQQLFAPVTSSQLCSSPSRIAVTTAIRSFGIKPMY PPKASLKPLDPDVPILSSHPIAAHLRKAATLPLRTGALAIKKGMTAIYDPETGVRTPC TVLQLDGAQVISHKTFEKNGYCAVQVGSGLKSERNVTRPMLGHFSANGVSPKRHIHEF RVKDETGLLPIGEVINADWFQEGQYVDVRSNSRGMGFAGAMKKWGFHGQDRSHGVSLT HRSLGSTAPSQGGGSRVYPGKKMPGRMGNHQVTLQSLKVLKVDAEKGIVVVKGGVAGP KGRCVKIQDAIKKPWPKIEAAQPAAAKE TRV_03070 MRTLSREYGWAAVGVYFFLSALDFPFCFAAVRLLGVDRIGHYEH IIVGSVKDAIAKIWPGKERDARSRSEDENEIGIADDIEEAQKAVKGEASIWTQLALAY AIHKSFIFFRVPLTAAVTPKIVKTLRRWGWNIDLFPAKNPSVFILTFTPYLIDWIRQK SFKGMFTHSIYNSLVSKFIPNGDEASIGSIQASKNASKQTLSHKPSRMIKETTEVEKR GKQDITSYMKRGKQKKKEKPTTQSLPAKKQSKKRNQRGKEKEEKK TRV_03071 MCAAGTIGIAPAGLALGRPDASTANRRPSTLAYQRASFFAGQPA ELPLPLPLPLPSPLPPLSPLSPFSPLPDCKDADGQPQSPPSSRSLPLDAGLSTAAKLD ALIQRSDEKILLRPRRENDQTVLARDVEIEPEQLPGSLDPSSSSAAAAAASARPSSSW VRRLSTITSSVYGSSSAASSSRPQSPSVSGSTAPFFPQKQHLQNRASIPPNKLVKRST SQRDFLPDCPGGYGYGYGHGYDPASSASRPRRSNSIIRRPATSHQRSAAAAMRHRSAT ESNVLDADLIRPHTLSSPLASPPPPSQSQSQSQSQPQPQPHGEPVWRPYFRGIASNFS SIRTRRRSSSVRQRRERSQQQLHLLLEPDVVPTLVSAAAIKPQDPAQPPRFSSSFRSS DQQRQQLHQRQQSSDRISWGRPVPGGARAQVSRMLSSKKEEDSETEAEAEVDADADAD ADADADAARLLSSSAPLPPFAADDPTQPWEESIAKLQRRQRASLELKRNPHSDSEIRT SKSERLSGNSMRSRRRKNITDPDIFQRPATSHYQQHQQQQQQQQQQQHLHLRNNHHDP DDHFNNNDDDNIPIDQSEDPLEPVSPKTTVAKVKPRLRHLPQFHDLRNEVLNERRSAP SSASGSRQSSAEQKIYGSTRDRDQRLSVTASDPASTVPGSDNDTRIFSSGEEDETDCH SDTIFDSYPTRATSSNKSTSTPRGPRIDTIFDKPDVTPKQQQQQQQQQQQQCYPDELH LSSSVVSSVRKRSDLVVLNPLGHGNGSLRHASSSEAAVRRMSPSPKTVITGPSQRTSR KSNYLATPSADSAEKLRFFAETSGDEEDDDDDFPTALNSPPHSRSAITLSKASEAGSR MSVFDWSEQQKPTKDGDSSGYRPKTAQEKNLVDLRGGRSTARSAPNTLHLRSQSVPIA TKESTAQKDTGFPLKFGTWGLGNKGPSEDWDGDFDFEDSDDQPLPGSLANGKCSASSM KVPQAILDRQESVHGQYGLVQELTVLVEELKRLQVQAKALHIMEGPSAELWKEAQGII NLATLEEDDDQPRSNGCSRHQRQRSSSATTFDSGNFGLESSPEPMPMATKQNSNESPS KQSSPLPGKENSGPGTNINATFPSSKKLRRESSARARSVLDNIHHLRELHNGNSTASL PTHDSQQRFAFDTQSLRDLVIRAGVITRSLKEIVRKAEGVYVPPDTHHLTPDPPFSQI FVHPSSQPIPANGLS TRV_03010 MKAIGGDRLVLIDCYATWCGPCKAIAPVVDKLSEEHCAEVDFYK VDVDECSEVAAELGVRAMPTFFFFKGGEKLDSVAGAAEGPIVAALARLK TRV_03011 MALWPFGRHKKRARKGDDEATTTTNTTTTATTAAVQQPQTPPAQ TETATKAATTGGHHGHGGLSAGKLVRRDSKRQKYSAVSPAAAAAAATTTTTATSSPAT PATAATADSPMTLPAGRALRQPTSVDTFASVNALVPSKLRQAEQPHIQNAAMPSHLHP SQVQGEGSSSFSSAYPVPTLHHGKRYLSEPTSILRRRSERRRRIDPERELEIKRMGSL VWEHPKRSSAATTTNSNNVNNNNSNNKDNTSNKDKHLSDPFTPSSTAANAAASSTTFD QAEPPSHTFKLSALNALSPRPFLRYASSDGNRSSLPATADISRSSTTRDKAKPRWFSA DQAAATAGSSKKINELADTMDAGALRQLMDRDRRRRLVKRQILREQQQQQQQQQQQAS AVARQADKPVEQKDQVSQPEEPQSEVDTQTQSQTQPLEKIVSAGTASFPSSSPDPMDV SKPQSQQQSLEPAQSWLQDASKESLIKEDRVQTAQRKIEEEAEPEVGEHQGPAAPLAT VLSRNGQPIELAIPADERRDEIPDIRQHPAFRQPSVSETSASASASVSASASTKPSTM LNETKLGRTWTQLFRRRGTLRRKPNRNILPKNGSSEFSVTSRTHPYVNPGAIPGAGAV TSPPIAIAATASSHRVGTDTQSITSKFTEHLDDGSASGSAIQLSTSAPGGPRMYSPEP LYNLHREDSYSVSVAPSQRTSGAEKYSISGISAGGAGTGTGAGTYMSGPDTRPNSTFL AQSLASIDSEGSWLSGRPSRRLSQAPLKSPGSTRERLNDDVSPIIDPDLEDDNAISSD EYFGALPVPKEEEEEDTVPKHGLNITTSNDSAIINPAVNGYNDEPDAAEISPLPEDAD DGMALANADGDPPTWHSSVGKQPRLINPENRAKSREGLFTEFIDSENEVSPIDEPEGV TEIRRAQSVDLGRHIRHISAGSAKLVTLSRAESSGKRHSNISQSSSGVFPTRTDDDI TRV_03012 MKRLAGLQLPDSQPSSSSSSSSSSSSLLLSSSTSTSSSSSGLMF FRSFTKSKEKSQEHKKPPSFLLFFFYFFFFFFFFFFFRCSARQDSLSLSLLLLPLPPH PHPHPLLLLSFIFSRLVSFSLRCLSPLASDPSRLSSSLPRHRSTALPKKPLPLPA TRV_03013 MTSSAAHDLPLRGDYNNQQASKKTRRKKKKKKKEEEEEEEARSK MDDPRTGQPFPPSTIQRVLYSCSAVHVYALPPLTSMKGYLAASWTSNSASEIFAGRLR VLESAVPVKVPPASRPATALRTPNRPLALPEEEPDLQPEAKEEEEEEEQRLQVDILLE EAGGAHELFAAAPYVDAGVVEQTLDSSRFFALRVEGEGKKAVLGLGFEERSEAFDFSV ALQEARKILSLSASVASSAASGGDAGRDLSLRPGESISVSAQPKTASEEAREDEEEED REEEKQEKQKKALFSLPPPPPASSSSSRRRPPASSSSPAII TRV_03014 MAGYEYDYVLTEDSIVETYHKLLRTDPDLTMPIAAIEALVLLLT HSPSSTISETLDLLNKHTEHLKRSIPNPIGLSAGTDLFQRYLISTLQRPGKLGPAGDF DAIRAHLLSNGRLFIQRAKESRDKIAAFATGFVRDGSTVMTNGGSRAVGAMLRKAAGE EVRFRVIYVLPNGHSDEEATTAAGTVPEGMETVAVLRSKGVPVATVPESAVAYSMGMV DTVIVGAEGVVENGGIISRMGTYQMGLLAKAMGKPFYVVAESHKFVRLFPLGQYDLPV DQRVIEFTTTTTTTTESSSNSGSSTSPKDRRRTAPDIVDYTPPHLITALITEAGVLTP SAVSEELIKIWF TRV_03015 MRLEEESFGHFYIILRKDEEAEKKSRRDAELDWKRRETLYILYT QRRAMAYNSRTTQYRGGQQQQHRQQKKESETDAFLRLPDKVIAGCINDIGIPFTMADL LKPNPQQVQMVFEWFAELFMNTTRETVEPAMLAAAEDIAGDQADIFPPDTRNLMGFLV SLRKLMLQCGVHDFTFTDITRPTYDRIAKIFSYLINFVRFRESQTSAIDAHFNKSEDT KMRIETLYAENQELEQRLEEMKRQQKEMDGVVREKTSRNDELKTRLLELRRDQERVAE TFERVKGEKARKQTLLEEKTEKLLKSRQECEKLRPYVSQSPESLQSALTELSDNLAHD KSQVDGMERRMRALQTSMNTFTVVNNEVQSSIKLLEDILVELQKEDDQESKGIKNREA LAERGNTVRQVAHTEKLLQSQLARWQERIEALRKSSREKAEQAQARMEELHSVQKQLR EERAEKQREMERRRIRIEQTEKKMADLKETIEDEIHRAHDEYLKMESHIKLYTTEIEK CL TRV_03016 MSIATFDSVVEFTQHLLQSDASTVTLIVCSTREAFIEELYADIQ AQSIVVPREQSSSPAEEVQEESEHAENYRGENNEEEREEDEEEDSHEEERENNHWMLS NTLELLSRSERIRVVFCPAIEHLRAYLGGSFRRRRAQDGQEGNKLCQGDAMLAIVNLV SLHSSTVEFSAQGLSRTAALAVEAAAREQVRLVLCECKSTLDGEDGRGYGSGIWDVQV PLLNSISVEEGLPGVKTIQVKQVIRKWFRFD TRV_03017 MAFLTARELTLEAAQSASSVRSLRPSSYASRTASVSQIKKLLDS RNDRDILDGLRKVISLMYRAEPCLPFFSAVVKNVANPNIEVKKLVYIYLLHHAEAEPD LALLSINAIQKSLTDQNPQVRSLALRTMSGMKVPVISQIVSLAIKRGCGDMSPHVRKA AALAIPKCYRLDPGTLPQLIDYLSILLGDNQYFVVGPAVAAFLEICPEKIELIHKHYR SLVKKLVDMDEWSQLVTLRLMVFYARKCFPRRTQKVKKSNPKGFYEDENEEDTQENDL SEEEVDIVDPDLELLLKACKPLLQSRNSAVIVAVVRCFRYLGTVENLESATGSLIALL RSPQDLQHVALYNIISVALISPKPFVKYASHFLVRSTDLPHIWRLKLEILTMLFPHCG NHFKGVILSELEHFSNGSDHDLVRESVRAIGRCAEAHTGSSTRCLQLLLRQITSADDV LVSEAVTVIRHLIQQDTAAHKGTVVMLGNHLGTTSSPGARASIIWLVGEFAGIDPHNN IAPDVLRLLAKGFSDESETAKQQILLLGAKVYLHHLLNSPTISSDPKSSSDNGQDDNR ELDANDQVSSPKEEDSITTLWRYILLLARYDTSYDLRDRARLYKSLLAVPSSTQLASL LLLAPKPIPHTSTPSETRKNLLIGSSTLVIGPDAGMYGLRGYEDLPDWVEAGHEPDPK LRDDDSIKSGAYGEKANATAGERLDKALQEHNVVSGKGNVNGRIGNVPKNMSLDDWLA EEEEEEEEYEEDDEEETEEETDEEGEEEEEEETEYETDESGEEEGEEEPDQQHAQSGA ESAKLLK TRV_03018 MSTAAFINIHVQQNGKEQQRGLGINIETSFHLVKEDHLIVHSLT GHIHTTTMTIQDLPPLSKRSGITDHHMDTHLMNLTVLHRWPDMIMIPMALCHPTTIMM LLPQVIIPAALLDVEKAIILNIPWKDPHLHGMHHHVAAIHGEGKVVKAEMDIRPHTPL TVHRIVCFIPLLYIHHTYLITLLQFAAWRSPHAVGRPLPSVFSDLDDICKLPPHTSLP PGMSVSKYFLNKGADEFNENIRNTEDWPFMMGDPIFSEFPSDGETIPVKDLINRQKDM MAAHKYVPPKPVVEETTYDSEQADEPEIPDDASYAGSEEQGQANGAESPEENGSYTGS EQGRDHDREYKRHHGQAWNTEDNTNTPMSTTPGNNDSDERPQTPQRYSKPQNFHGSYH RKRAREESLELPDDEIQRQVDDVAPRLERRHPRVAEAYRYDINIP TRV_03019 MPGLPTSIDLDECIERIYKRELLADSVIEAICSKAKELLMKESN VVHIAAPVTVVGDIHGQFYDLIEIFKIGGFCPNTNYLFLGDYVDRGLFSVETISLLIC LKLRYPERVHLIRGNHESRGVTQSYGFYTECARKYGNANVWHYFTDMFDFLTLSVVIN NQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDTERDEFSLSPRGAG YTFGAQVVKKFLEVNSMSHILRAHQLCQEGYQVLYDDRLSTVWSAPNYCYRCGNLASV LEVSDTGERFFNIFDAAPENNDVHRGDQATQQGKDGQNPSRKKKKKKKKAREIPHPIQ PDELPRSFSLDRFLRGYFLQQSVISQSGTKADTEKKREKR TRV_03020 MADHEDELATTRTDGFKVGEKKTVEEYQKLEDTEITYIQATALY LLLSTFETVADQLVLDQNDESLNRWKASLGLGSGTPISNPNDPRTCIIKSLALEVAGR EDITIDLSEPGAVDSLKDKPFTIKEGCRFRIKATFQVQHDVLSGLKYVQVVKRKGIRV SKDQEMLGSYAPNTTDKPVYEKKFNEEEAPCGMLSRGRYNAVSRFVDDDDVDHLKFEW TFEIAKDW TRV_03021 MPFTAEDFNRAMAPSTDERRTYKGNCHCGNIRFMINNIAPLEKG KAVRCNCSSCVKHGYLLVYPRIEDVVFTHGSMDSMSEYRCATMSRPHKFCGNCGTPVM IQLEHGDTPHLRENIAVNIRTLEDVDEVLDKIQFLEFDGKHEIGEKYTLPPV TRV_03022 MFKIAFPWAKHSEEADEREYLRTRPETSEDEIAGNVWISPELAL ELAREYGIVTWVRALLDPTDILERGDSSSPDAEAIPEPPKFDLPPLDAPLLSPPEETT TRGRGRRSASPSKSASGRKMAIPRKSRRAQKESNANASSSLQTSLDAAAASPAPKKRD EEEKEAPEANGHDEDAEEETTLVKRPKERVTVEIESKVDAVGDSESAITNVTVQMPAG ISDLPMPENTEKMLETAKEMVEEATKLQEQNEETEEQAGSPAAAKKSSRKRKAEEIVV EEDEEEAEDPHALKRAKILEDKLRNERVRNRALFGVTATLAMA TRV_03023 MSLPGLELSQPTVESQRAPAAPVQHTLSQGSEWRFEVAYGNSVR VKLLSGTAELFGTELATSQTYTFSGTKAAIYTWHGCTLEVTEGDPVSIGVIGSAPVPA GSGSGGCQVEYTAEETPMVDYANVHFALETLRDEAKANGRGGPRVLILGPEDAGKTSL AKILTGYATKMGRQPFVVNLDPSEGMLSVPGTLTATAFRTLIDVEQGWGSSPLSGPTP IPVKLPLVYFYGLQSPLSGGEELYKSIVSRLALTVAGRLAEDEEAKEAGIIVDTPGEI SQGKGGGEDIINHIVTEFSISTILVLGSERLYSTMVKNYDGKPISTTSTMPVSDEKIS VVKLSKSGGCVDRDESFMKSTRESQVRSYFFGTSAPSTASSALSSTAPGSVISLSPHG QHVDFDSLSIYNITINSDEYDGMKHITNSTSEFSFLPGGSNDDGEDDAPPSAAGLGPG ASSTGLPSQQIPLKKLVATPDCPVPQALENTLLAITHAPPNAPLNEIRDASIMGFLYV AGVDSKKGKLRLLSPVAGRVPARAIIWGNKWPGEILGLVG TRV_03024 MCAVATPKHKWPPAPRVEDERVARSYEVSDSSLSSYIEDDDSVV SRGTIDQWPVILPVESPGPCNQSAHHDENIMSRKNAAAEDMPSHRKATDNTLHTSSLK RSQSERRPASKVRFSEPPGRDEHSRVRMGPVPSKANMKYSPEPEATANATGKDAMSSQ KSNSSSKSQRKSVIQGPEGPRSQGANYSLGYTSQQKVSGHHPAATSKKYSADELDNRS DFSDAWESVQRFDVSVDGGDRRPHRRQHAGEWYSDDEVFSSHRGSHKSRYSPPAADES VRKESQDTKTSRRSRKDDTMETAPRQRGSSRRRKPMATEEMYSYISETSSGKGKNSSR SERRRSNSSLPRLERANLEPYADKYQDTTDEHFSDPMPKLPVTTTAPPYDGTLTQQQV FAPVTFVPSGQDYNPEGWEYISPTTCYECTGHDAGWSTTPYLPAVDMPLQPPYMGYQD NTISSAPVLSSTLAGQEITSRGAVKPHRQVSPRRRCEETPALAPCPRSIAMADYNDWS TIVGLTHMNICPSCTQQIKKTRFDKLVIPAPPQPLGLPVRCSMSQPWARLAWVQTMKL GLNHLELLYQLTRPSSIYKGCPGRTPSTQTWYRVIDPDTGRLMPNFNACASCFRNVRI LMPSLRDSFQPSSTSQERTCDLRCGSTRFIQYLDLLDVAATRHRHDPGRKPDLRDFIR YAKRKNRIYDCPRDHLIVGPWHGIDELPEFTVCEDCYDDVVWPFGNSPVASLVSPTVQ MTPDRAGPASRQASCQLYSPRMRMMFREAVRRSDFGYLRAAVLARYQAENAFRENKRL LMEDVSLGYDRDAELRKNAAEWRRWE TRV_03002 MAYNSFSFPLPIPIPIHMNFIVMAGLTNTLALTLSQRLLTSLLA LEHTTLNGDPNRHYPGCVNVSFAYVEGESLLMALKDIALSSGSACTSASLEPSYVLRA LGNSDESAHSSIRFGIGRFTTESEIDYVIKAVKERVTFLRELSPLWELVQEGVDLNTI EWSQH TRV_03003 MVNMNILATVTLAGLAAAKTVEVVVAENGGLTFTPNKIKADVND IVHFKLAKSGHDISSGPFDMPCKPSDNSLYSGKLNEGDEFSVNITNTDPIWLYCSVSK HCSKGMVAVINPPSSGNTIEAYKQAAAGAGNGQAPSRVNNGSSGSGTPTSGGAPAATS PNAASSLTFSGAATLVAMGAFSFLASSVFILLHPSSLLRLFFFSSSRQSKSSKHEHIL HKPDFRQRNFLSSASFSRLELFNFFHSSLCGRDSSASHVEHSTSSAQACLPGVLAAPV AVSKLGQTSIQCQDGHGFRLWREERHNAQAQTTVESAVKTEQKASVPGTAVTGDTLAN PSAGILKQATIMDEGNRPIYLDMQATTPTDPRVLDAMLPFLTGLYGNPHSRTHAYGWE TEKATEQARSHVATLIGADPKEIIFTSGATESNNMSIKGVARFFGRSGKKKHIITTQT EHKCVLDSCRHLQDEGFEVTYLPVQSNGLIKIEDLEAAIRPETALVSIMTVNNEIGVI QPMKEIGALCRSKKVFFHTDAAQAVGKIPVDVNEWNVDLMSISGHKLYGPKGIGACYV RRRPRVRIDPLITGGGQERGLRSGTLAPPLVVGFGEACRLAKEEMEVRYILFPFTHPP SRLLYCHEFCLR TRV_03004 MKEGLEAKALRQFDALVERGDVIYSHRDPVHVPTKPFNIQFRIA SSLTKKPQIPIKDAEKKPPVNKASPFANDPPEFVIEHVGPDHTLRLNKFCVVRTQFIL HCNEFKPQIEPLEAVDLAAGWSVLTRMESEYLLIYNGGLQGGWSLPHRHMQLLPRPPR DVHNLFPDIYGIENGRVPNIPFQHVVRRLPASATAEEVYETYTDLLAAAGVDENDYSH NLVLVKDWMMIIPRSRASQEGIKIVNAAAMVGMIWIPSDEVLDLWLQSGNPMETLARY GKPW TRV_03005 MEKSKFASRGPSAKNGASDIFEIVSLYEPAKVEHAVADILLIPG LTGDPVNTWSYGDICWPRDLLPQALSSPVRILSFGYNPSRHSNVYPDIEDSALHLISE LERVRPVKIASINKYRKALILCSDKPSLRRIPAALSGIVFLAAPHRGSSLAGTANRLM RQLRIRLPRKFISALKKNSVELERIATDFQQIANQRSLPIFSFYELLPVRGRLSCFVD IVVDKDSALLRIPSESALGVYTNHRDICRYRDFDNPIFRDVTRHLQQLVDLGPQHSSA RVFLPFDGTPHFVGRQDYLQQIHNAFNGSDNRQVIALYGEGGAGKTEIALKYARENAN HYDYVFFVDSTDTQSLESDFIRHHRKLGLPPSNSCALNDIKQFLRLERFWLMILDNDN NWLALNWLGFPEITHGHIIITSRHREHTSDSRVTKALSTNPLGPMDARNFLLSRAGID VEEWLSWETKAESVAKHMGCQPLAVDSAAAYMLAYGVTVDEYLNALTGSRVSRRLLFY RPNASIYKTSVESIIQLALDEVKKNPDAFRLLNILVWLDRTKTTISFLKRAVSRQPRW GHDGQVEMRDPLRSYVPPDLVRLINGPSFHIALKELQSCSLIASGKMFEDGRSPRCKD TIVLHPLTYLYIREALPPTKMLENAIHALSLVVHAYPVAQAGLDQSLNREYLAEENID LIKAIEKVDIRADEERTFAEITAEMFMEVARGYGEGSSHLENTLPRWIKTLINSLEKA GLHARLWCTRLPLEFYSKGKFTDGCEAAAAFLRDAKFSPGDKITNNDNAQAGFLRHMS VEYLARDDSLSDREEWEKRAKYIEAWKPLDPHAPSELERYAQGMGIRMRGKLAKDFGL FNEAYFSLKLFIEQYAKRGSREEGWAIGDLGQVVLELEGADEDCDALSDFMTTGINCG HDQSIPETDARPCEVITQIYSQAIESRTIARGSSSQYDRDNVRESDTMFLEINCAVSI LREGIFRPERYAEAEQKLIRLKDRFENMLTTGTLWHDDQTRHFSVLAYLAQISHLQMD WEVAQSRWIETIDYGQSSVRGWDGDHYYIDVARYSLANAQLRAGGEPDAIVAKLDKIV HRLNAEVVTWNLGLGTFWLEYIRKSMTEVKKLRGKGDVGDW TRV_03006 MVLKLYGFSPSTNTQRVVLVLNELKVPFEYVTVDLSKGEHKGED YMKIQPFGCVPCIDDDGFVLYESRAICHYIIAKYANQGTELVPTELKANALYAQGLSL ELGSFEAAAAPFVYEKLFKPYQGLSPDEHSVKLYESNLMNCLDGYERILSKQKYIGGN VSNLVKTH TRV_03007 MVQISQIGAVLAVCSTLTVAAPTKGKARFNVPQVAVPMKAVHHP AVAYARALHKFGMKVPKAVSDAARGSVPTTPTKDDEQYVTQVTVGQGKLNLDLDTGSG DLWVFSTETPKDQSQGHNLYMPTSKSKRLDGYSWEITYGDMSSAGGDVFLDTVSIGNV TASSQAVESAKKVSDQFAKDKATDGLMGLSFSVLNTVQPKPQTTFFDTVLKQLEKPLF TCTLKHGQPGSYDFGYIDDSKHSGEIAYTNVDNSQGWWGFTAESYSIGGGSNSTHSFH GAQHHGARGSSIDGIADTGTTLMLLSDDVVQEYYKQVQGAKNDQQQGGWVFPCDAKLP DFTLSISGYNAVVPGKFMNYQAVGSVCFGGLQSVGSSGGVPNIFGDVFLKSQFVVWDT EGPRIGFAPQA TRV_03008 MPCWQVSIVSIVPATKNTDALLFILLFGYTVVSFLFFAVVNHSL LCAIDAFSRVPDAAEPPRTTTFGGVDMSLKGLQKGFARAPQTFKQKFNLGENTKDPVY IDAERRFQELEKETKKLHDESKKYFEAINGMLNHQIEFSKAVAELYKPISGRVSDPDA AKPEGNPEGIQACEEYESIVRSLQETLQPELELIESRIISPADQLLEIIKVIQKVAVK REHKQLDYDRHRASLKRLQDKKEKSLKDEKAMYKAENDVEAATQEFNYYNDLLKDELP KLFKLEAEFIQPLFQSFYYMQLNVFYTLHDKMQGLNIGYFDLTSDVEEAYERKRGDVK ERTEALSIVHFKTTGGRRPGSKFTPKDKLAAESKYSRRTTDAFSDPNPPPPYSAHTAS TLIGRANSASPASLAAAAKSKPAPPPPKPKPAKFSAAPVETATALYDYEAQAVGDLSF TTGDVIEITHRTANTNEWWTGKIDGKSGQFPANYVKLNV TRV_03009 MAPTVLHLRAEDKPLEHRSALTPSTTKALIAAGYKVNVERSPTS AIRKRIFDDSEFEKAGATLVPEGSWVDIPSDHLVIGLKELDETKDFPLKHSHVTFAHC FKNQGGWEKALGRWSRGGGYHAGFAGAALAIKTWAWQLEHPDGTPLPGVDEFTDGRGY YSSEEEMLEQIRGDVVRGEKIAGRRPQILVIGALGRCGRGAVDACVKSGCEDILRWDM AETAKGGPFTEIVEADIFINCIYLSEKIAPFVDMNSLKAPNRRLSVVCDVFLQFSTAQ SCDTSNPNNPITFDKPTIPVSVSNPPLSVISIDHLPSLLPAESSDAFSNDLLPSMLEI QNRASHPVWQRAEKLFRQKVATLPAEQQKVEQ TRV_02999 MNWTGGRLHRSSYKMKLSSKNVGRQRVAQAKIRMVDRPPDTLSF EVATEAPGKEGCDNGHAGTIRAVDDLGASFQKAKQPAYSNTEDPRSKNYCHSQITKPS SIGSPAVRVEEMRKKLLDKKDWAGLSLSRPHKLHTSCGKVRGRLGRRQSFPYGRNPIQ YSPQRGHFSLPMKRRNIAQPHLYPTNQLNSDDISIWIEQQQEEPRYEALHNEMYMEAQ ESVEPISSDNNTSRDRSTRSPRSTTRNARSICQSGMKTPRYAACGNRVDNEQYQLQLG KRLDISPSPDLSNLPVLDRRGSFATIDGQTRYVPPPSSKPSSSSSPEDSRMKSSRNAD EYWSRRRAMLYHSQRYQAQDISPRNTKCMEVANNLTGCSSEYSCGDNTAMIRQREVTG GVEKSKIGDFSTSSSDQATFWPNTIPSPFRNEPSCLSYHPIPSPQSNREQHSINQRYS YTARNSIYRRNVIYNDNYWGEELLWSIVDLVSGDWEHTRPVCEIRGPVSRQSHQPDMV IV TRV_03000 MSLPVDQANSLDRSSISWLFKKSSNTTAEPMGSIVISVISSLSI LASVVLSSPTRPATGILPLVIWHGLGDSYENDGMKSIARLAETTNPGTHVHLIRFGAS GTEDRDATFFGNITAQVDEVCQTLASDPVISNAPGINALGFSQGGQFLRAYAQRCNKP PVHNLVTFGSQHNGIASFTACKDSGDWLCWSFNALLRFGTWSSIAQSGLVPAQYFRDP EELDDYLKYSNFLADINNERAVKNATYRNNLMKLNKFVMYMFKDDTTVIPKESAFFTE VNATSGEHTKLQDRPMYKEDWLGLKVLDEQSRLEFLTIPGRHMQLSEALLVQSFHRYF GPVEKSEAKDAGTTDFKVQE TRV_03001 MNTIIILTDTIESEHQTKQIPPRTLISTNTAFDKYKRLLSSRQK EKQPDIIRQGPQEALLIMPDITATTPKTWVCPTRHGETNELLVSMDKSLLSIAMMNEE FEKDYIYWAKSMPREALQTAIDSSLCFGLFERIHDPTTDCMDHEASKIALQEQSSLLK QIGFARLVTDNVTFFYLSDVFVMPEYQGKGLGSFLVQCIKEVLDGMKHLRRFILMTGD KNTGKYYERLMDVKMLGEIGNAYILGRKGPGSCV TRV_02989 MGFTLIMEYSLRPPTAWPSYVKTLFHKGINIASSTSSPSNFFLS FPITQSQILTSPITLYYTPQQATSLQLFPSSTSYANSPSGEPQPKTLHVECLAGECSE EDLAALRAAAQMVKDDPSGKGWKISSKIEPAKGGKARRDEGLSEADVNTILEGMDHAD QDSVARCAAGTCSEAELQLLKQELNVATIPNWLWPIIGRVRKLKFTYGIEIGTDPEPP TPAPKPEPTKAPPTSSPAPKKPEPTKAPEPEPTHTAW TRV_02990 MSQYHSVANEEPVDGIPHVMETSRAASPSLSSNLEDTVVGSDQC SSSGSDSLTGINTPSNDESTGMASRSASPASPRAHTVSASSREGATFAHSIDAAERAQ SEARPEGIHRLTKESSGIFFTRFLFLLGLTFLAGMIVGSWPHHECKSRYPDIVYSPAR AMQEYRAVRFDGDIQTINPYKGPPSKALDEAWKALYDVGPLLVDKKELEAIGKESMEL PSRPGKHLAKLAVFHQLHCLDYVRRYVHREHYRIDDSHATVSGIDHAGSVMFSGPHAH HLQSEESFAEVEADFSATHACTNFEKVHGWAKDQAINMTEEIIRSPRPFRDAVVASLN D TRV_02991 MFETRTEQPEPASVDPDVKKMTEPGDEPTPKSTDIESDEEPYSQ ELLNNLIYRYEEPSTASRWDKPLFTVPRDDTTPPVEDIWFAITGQQITKDENQTGLST GLFAQTDAGQDEVNSTAGALSTPTGAKKTSLQRPTSTRPKIVPHQATAAPPKTDPTAL YVIEKTTSEIISTIRKYSLEHRSPSSSTYLANPQAPGITIPIPSTQTSVYIPPSTLAS APTDDLAGAGGVLTLPRLQRLRRQWVGMNRAFAGHGHGMGQGKLNEEEVGEAFVRFLN AEFEGMTEF TRV_02992 MTILTRARSAVFRNFQDLPTSHITMATKGKATMSKLSIPKRHSQ GSRSQSYQSSSAQEFVDIPARSQDDDFSQGDNFSISPGLNGSCQFDNLGNWAISDAML TCADISTASEATDESFDTQSLSTTDYDMHESQMLTFAPYTQPLFSGFADHTTFSDINP IQDATASCDSPQMAFRNSHGFQTVSGAMGFSVKNESGHITTENGHENEKCQMEFDPAH QGMKNSDSLAVSSPWCQSAMSDDTSTGNVVHMSNLYAQIPATPPLTEAGQDVPVTSAC SPSNFSPYAGHDDSPFVDTAYLSGQNFPMNGFYPLSPPLSARDYNSLSTEATGDISEV SDGDMFSTSVLSSRTKDGAETRNPRDHPFYSLPPETDGKYYCPFASREKPCSHTPTTQ KCAYHKYLDSHLKPYRCKVPQCVDAHFSSNACLFRHEREAHGMHGHGENPHLCHFPAC ERSIPGNGFPRRWNLHDHMRRVHDYTSSDKASSPEGSPVTGAAGKKKDSAIRKRKGGS PNSQTMKRVRPVQSQTAAALKLAQAQSGQQLQNAERSYYACLAQLQEELKNINPQDPT LHEKANARLQELHTLSLNYRYIRAGQLANERASKSS TRV_02994 MELYLNMLSFWYILLATPLFGPSQAVYQAPLSVDQPQKVTIEEG FQIFTSKHSPQHSIRIKKQDGSICDAHSAQYTGWLDIGPKHLFFWYFESQNDPKNDPL TLWMTGGPGYSSMIGMLEEVGPCLVNEYGNGTKYNPWGWSKKSSMLFVDQPVGVGFSY GDEGHDIPNDSYLAAVDMHRFLQLFISEVFPNKLNSPFHISGESYGGHYIPYLGAQIV RQNKLYPNEPQVQLKSCLIGNGCMSHMHTTFGYWETLCTTNPGVEKPVFNETRCDIMA KNMPRCMKVADVCRRNPDPAICLSAQSVCDEGITGLYNKESDVKGGRNRFDITTPCQA DDICYVQGLHLQNYLNTKLVWDALSPPKEVKEYKFASKNVEDAFGLTSDSMVPSTEEV EFLLSNQIHIMSYQGNLDLACNTAGNLKWMHDIPWKGQAELSSKALVPWKSVLASTGK NETVGRMKEVKIRVTDSATFATRYAFVTVDNAGHMVPQDRPDVAFDLMNRWISGEAFV TRV_02995 MGLELQDEALGFGDIFKEPEGYFPPPKPPTFSEHRMLSGDTLSI RLVGSHPLYCIPLTVKYTLIAQRPFRLTFSDLEGYLLWNAARTISDFLEENASEWVEG KDILELGAGAGLPSIICAIMGAKTVVITDYPDHDLVDNMRINASTCEKFIKKQPSPLH VEGYKWGDPTGCICRYLESPSGGFDVLILADVIYNHPQHHSLINSVKMTLKRSKASVA FVVFTPYQPWLLEKITAFFPKAEQSGFTVKKIFQKVMDKLLFEDDPGV TRV_02996 MANQTLQSKDQIAFSHQPEHQQHHQHHQHQQHQQHQQHQQPLAT TLSQTAVFTSQSTSASSQTNSLQNLQLYPAGTQTDAEIQSQIQTQHASDQQIHLQGQS EPQEMCGKTATAQFLQNVNLVAEAARRAQMGILMRDFEEISFD TRV_02997 MEHHGEWPAKPGVADLPWAYELRSSEGFAIFAVAVAMFVIVPII PKVLGDIGNLPREESMGPSSNTTFSVQTWVAILLASYGATLIIGSRMSLDSMILTLVI DGTLVVFGYISDHSRQRKPAFFIGLISMAGAVALFIISTSPPVLVIARLLQGLAAGGV WVAGLALIANRVSRERIGEAMGHTTLGMTWGGLLGPFTGIIYDKFGYYASFAIPLSLL GLDVILRFAIIEANGYKACSGDSSETTPCLADDENNDEASLFQPPSRLDSEAQQSKPA VPRKRLPPMVQLLRNPKILVTLLEIAVAASILSAFEARFGWGSAGAGLIFLSITVPST AGILIGKLADKVGTRYFSPLAFLLAGPAVFLMRYVTGPSVLNVLLLVGLLAVAGLSVV IIEVITMTEVFHSVSDAEAKTPEAFGPYGAVAQAYAILNISFACGQFAGPLIAGLLKD GTGWQGMTLALSLCCPPMALFVGLFNWWTQVSTPAVDLDE TRV_02998 MAPIFDAVPFDIWHQVAGYLDPNDYVNLSLTNRTLYGLLKDEIT ARKAVKAHIAYTVEGELAAAKKISNREALGRVYDIREAIASAQPYSASLIAFGNEFLF HQGVVCYISDGQIRTLDVLKAAEYEEVVDLKEVLDSYPLISNGRPYSRFKYKLLCYCD GMVALVCQPGGRDSPWLLVIDMSLNYSTARKPHRVRFCRPLTSTRNLFVRLNRSFMYF GTHSGRGRHGHREWILEGFSFVTGSDTSLESIQLENFVGSEIGSTVCFEVKDGYFYAI SNQTSFEDEEIDWTSYYICARFPLINPVDVKWQRIWRRQHREGPINDNWTKLSLVVDP TTRQLTIVECRHEWENSGSENFRIHYSQPLDCFDTVDGNCRTEFSFPKSGLPKYLPVR SLPDDQLAKTLDPFSRPNYEPPKKRHKRFYHLEYTPEEAQSPNRRDFTLSNTKFHGYN LMASAFMDLVNDPPPPVKPFSTPPDRLRLRIGARKRQFQQYEMDDKGSNATMYPRSVI DISGYSAPKTEEGYQSRGIKMWPPNDAPPELLKLLSPTPKSGKVVAAADERFMVYSTD AGMEDGTKALILISFDPSFGLRHMKSLKQRSSEALPKTLHSKKVQPYAKMSTARKMFA THPEPTWFNVEPAEYLRFNYGFWLR TRV_02987 MLLTLKTSSRNGALALDECTRTKPAYAYQKHPHPSPSPSPSPPP SPLSSAPARRQMDSHRSLPPPAAMGLTVTDMTSAASHGGSSGSNGGTGSMPLPPPPTH SHQHVPGGGNHGRDGWSRDGQDDAMRQWLQAKVEEDKRKQEEERTRQEALKLDQRKIE QKMLQDTLSSNVPPHMVPLVFIGLAGPHAQWAQQYILQMTQNNGHNPSSSPYPVQQQR HDQYTQQYRPPPPPPPPPAFQQSSQQPPQPPPPPHPHPQQQQPLKHISQFPEFIPRPK QSPIEASENREIAPNPYAISAPRLSIPAGQHQQHQQHPQQHQPQPHHNQQHHQQQQQQ QQQQQHPPPHHHQPRPPHQHHPQQPSPPPSHPPITIYAPNPAHHQPQMNTESLPRLST ASDMHHPIQQRNNSAPSSGAMSANTQSSLSHSHPSSSQSTSAKQDSTTAAHQVQQTSP SISFHHWVPPQSQPTTPAGKSPNSSPHSGHPASASSSQPHHLRNEYQQSPKKRKAQSS HAPIPPPPSQLSEVATTDETTSQTGRTPRNRSHSGAGAERRRQSSSAAQARSAGEYTF KINTRNNSTAHANPSPISKQQQQGSQPPAPAQTQMQVSSLVRPSDPNQTPTTSRSHSH SRDVSPSSRDTRDTTREREPPTVNEPDNTAPRGAIASTNSGSSGESTVSYHTASNSLT PNHTNGPVPNGDSLRN TRV_02988 RPGLPRAVPPPRCVFQIRRRLYEDFASARWKTYEPERKRKKKKA TKKKRKKGEQKSKEEERRREEEMRKKRWTLKKGKVREEGKTAWDEVLRLADYMGRVLS ARRNLRFSHSSLL TRV_02966 MSFATIAPSPKSPASSPGMASSIELVKPVSKSKKSRKPTGKSSS SSSTQGNPGIKKAEKLHKRSRSGCFTCRLRRKKCDEGHPACRACKNLKLRCEYERPMW WGNNEQRKSQKEYIKDLIKSTKMNEKTVSCPRQTCCTYSPPELSHSAPTPEAYPDTSS QTRDPSLEPPYCAEGEPSHVHIQEFYDPYAQDQPSHLDSNGFWSAPTPYEVDVKTESE LFINNIPTRRDSSTSTFNTFQPPLAHAMLPSFAEDDWARNDVFDSKVLPEHSCLEFSH IPVGVPSIQVDDSDRHLLDHFFEHLSSKIFPILETRKRGGVFADVILPAIESNKCYLH CCLSIAAVHLKSTQHVTSDFIDNDILKHRFQAVSELCSSLNQDTDHLQILEATLSMAF FQCAVGRANDTLPDVPWHQHFQAATGLIHKLDLPHRLLESDHGAVHPPFSMSLAAWID ILGSTMLGQMPQFAHTYRTKLFGGSSAGLCELMGCEDRVMYLIAEISCLDALCIEGRT DHASLCGHVTNLAQQLDHTEPPAGSLVKPFSENGALLPQQLSKNITALFRVAARVYLC SLVPGSKRDQPGTVSLISHAAELLDLIPSGPEGFDTSLVWPLLICGSLATPGSTFRES LSKRIEQMGQQAELGSFGRMICVLQEVWRLADEKALIEAEQPTAFSQRSNGELPLITN SIVRWRDVMQQNGWDFLLI TRV_02968 MNSYILNRSQGSIHPFQFHFAQCTRLVHGLAAAPGIRFSAGKQH KSQPGAADIAQETPDIRHSDFDFEDIWAHKAGVNVLAIDQYEKRYTEKLQVTKRINLS MISGGADTSIHLWDLEGQGTELNYLHQPVASVSKQTNASTHTHALTSLSIYPFDPTPS TILSTSHDATLKLSSLGESDIVPVHTFNLHSTPYSHSLSAHQASHLLIAVGTSENAIR LLDLRSGLSTHALPAHTGAVISVQWAPHNPHLIASGSKDNRVIIFDVRRSGRNSAIAS FDMDDSMGILSLERSASQQTRQSGKLFSSSSRAHNGTVTGVRWTSNGSHLLSAGQDSR IRVWDASTGANTLIHFGPRIRNSASLHLAERAPLILPENNSVVTLSQGHGAVLWPNYN DQDDRGEIFMFEIHDGTQIKHLRVPGLISREKLRGRPTALSAARINALSWRGNGASGE GMEMFSAHGDGTIRSWTSRTEEDEEFEAEAVHNEQEEKKRKRDILDEVYRGLMQPGIT FT TRV_02969 MTKLLFYFYFAVVENRMEDDEVVIIKSTTPMDRDIDEKSARPGP IDASDRRGAKYRHANVYDAVAANDYHGITSTRIFPAVTPEEVLFRKQNAPVRYMENDF YFANEDIPEDQPLPDSDLLKAIHAYTSDLYANKTVDRGQSAWRSMDETALIALGFLLE ETAVEALEETGDMALVEGAVPSDPEWVAEAPVVRSRETSVSAFSTRSRIDGYSCGGFD DVATTLSRQRKSKKRRRLSSLSAMRPASEKPAT TRV_02970 MAPKAGVSAAEKQCRILAYLQASRTCHTLKDLEKTLPPVASING MQVKEHIQALSDEGQLHVEKIGSGNWYWAWGGEEKKAREKTIDTLDKEVEKLLKSTGD LESKIMALKEEQRLEDAKNHNGSREDNERERIVLMKKKDQLETEIACLESSIKQRSEG DGPSSIAQKETDIARWKQEAQMWTDNIYVLEKYLKRLTNGDREVLDAVRRECYGLAYV EGEGLADLDI TRV_02971 MIIKQLRLGSMPMAGFRASATCSSPRSRVIQSYSLPRTHLLRSS CRNASTAASESTANTVINAPPRPRRKSRGIVWTTFMVLGLGCGYIYITDTRAGVHQWL VPPMLRWIYPDAEDAHHFGVDMLKSLYRYGLHPRERGNPDGDGKLVTKVFGYTLCNPI GISGGLDKDAEIPSQLFELGPAIVEVGGTTPLPQAGNPRPRVFRIPSQNALINRYGLN SKGADNMALLLRQRVRDFAYSAGFGLGDAAEQRVLDGEAGVPPGSLTEGKLLAVQVAK NKTTPDGDIEAIKRDYVYCVDRLAKYADILVVNVSSPNTPGLRDLQRVEPLTKILTGV VHAAKRTDRQTKPFVMVKVSPDENSEEQINGICDAVTKAGVDGVIVGNTTNRRPDALP KGYTMSNAEQNTLLQTGGFSGPHLVDNTVALVAKYRAELDRRATPVGPAVDEESIPAT DIMVSPPRKVIFASGGITNGAQALAAINAGADVAMLYTGLVYGGSGTITRTKEEMRAA IKSN TRV_02972 MAASLPPLRIAMCCDDAGHSYKEALKSHLEKNPLVSCVVDVGVP SPSDKRAYPHVAVEAASLIKEGKVDRALMICGTGLGVAIAANKVAGIRAVTAHDPFSV ERSVLSNNAQVLCMGQRVIGIELAKKLAADWLNYRFDETSASAEKVKAIGEYEAKFGE SK TRV_02973 MAGPATTGVTYPALPKTLLIVSLKMYFTPSRTLEYLRELLEPRN EIVCARNRSKLLLALIPDFLTVYPCSEVVKEFTTKQPGAQQSTAESVPGPLSQPPSFL LGAQDCFWEAAGPYTGEVSPASLRSMNVSIVELGHAERRAIFKETDEQVGRKAAAVSA QGMVPLVCVGEIPVPGPVMSEAVGVAVKECEVQVRAVLDAIPQDAPCIFAYEPVWAIG QPVPAGVDHISAVVQGIRSVIDRVRSGSSRGEIRVIYGGSAGPGLWAQGGLGKAVDGM FLGRFAHEIEGVRKVVREVEESLE TRV_02974 MVSSFVATTLAVGHNAVKSILFRIAGLCLQVGVFKFFALIASVK NAFTAYLMFTEDYIQRTLFVFSRGFTHQAVIVFSFTILLLTSGLYDTLLWGLDSPGYI PLKRNVTASTLKDQLLRRPGYVVFFSTRPEDFDTLDRHFADSMNGNLFQSHLNFSLTG NVDLGKPEPVPPTQKFNLQKNIGPRIWLDSEGFSVSPDTYVTTSSISNLERKEYYTCP WVTVTEGESASWECSFDNIHAGQFVRTPLGQPEIHWDDVTDQNHLSEYMRPNREDNPW SFLGSGGDTAMMKQMFTVTKGRRRHTFLENVMKVSAVYDHNQPFPRDSVHDLVKRTWS LDPSQWDDPYITKITEKIRHGVSNNTSFQFGSVQKSGNNTVLQFHYEYLNLVATESVV VFSLFRISLINITIIRSETLPEPVKPLEACDHYYHNRATGGKVYGTSCYEQGSSNKTG ARFFGQIDSSSVLVIGGTLGDGSTNVSSVALNQKGFQWVANNTEKLDNFVLSRGYIMA IDPGLVTLETSRVQAAMSPLQVLLVILPIIFCAAIWIWLWLQVDPHYSSSLLANLYAT TNVGDTNTSADPGYIHTMPDIGLVKKDGKVQMATSTGVFIHNHSETVGDVGIEHQQTD PRGHYTPIQNP TRV_02975 MPLSRDCIITSAYCPGAIVPGAVINQGEGNRSANPDITATTRIP ENITEMFSSVSKKSSIAGILDIQYRFWLPYTSEYFDDHKPYPRGQLLSLESLISRDDI TLVEGVIADMHSGGIGFRNHSVPSGMPFGAEWEEDILWVEPEISCVNTNLTYELTLAD TRNGTFSPPIRSIELVDEGGFSNLRHGDPYEGWPNITYASPDPQLRADRSAWLNNFLA GITYNLTDGNSSAVGYGFNVTRGKHYPIAGSVPYFVTLDIQSLSPNGAWLNLPSVSFD NNGTLTVGNRTIKSADDDLYWYSIGLFSELKGRCLGQYNDASIRNEYNVECGHFFGAA SRVDEGNPLFKEAGSKWRKPIYTCAGAVKSSVKTVSFVMNGTASLESLSVKKIEDKKY SSSDDHPLWALEDWWHPGSEGAFTGPLWGIVDNSYKGTPGYNFTRAPSFYLPFSYYSL TLSSFSGPLDNLAGASMPYGIMGKLLLNNFFGRFSDDYISYSGASNVGLGNKWRNLSK TADDASKLLRLIWTDIMASNTVGTNMRGSTSVEKRATGDGPFTQTVRAYERKVTYDLR FAIPAIFFLLSWLLLLLASLVMAVSDGHPFTRLKKLLNDTSVGRIAASSEYPESRSMH DASTKDWLAAAGHLPVRLSGLSKVSATDELEESGKALYSRLLRPALSDNPD TRV_02976 MAYELKARGNERYKEGDYEGAEELYSQAYDSPLVDSISGEPGEE SMLTIPVNRIQKNSNDPTFFNNRALVRIKLGLWEGAEHDSRIAADLYGPKNAAGVKSN YYLSQALLALQRPAEALEIALAAYKISLETKNPNSEPLSRIILRAKQSIWAAKETSRI RERNETLKQVEMLMEADLNSEIAALHNAFEKGEMGKVGYEEDRKLLEEEYAKKLKDVR EAFASVDSELQERLPVTNPCLQHMPEYLIDNITFEVMHDPVITPSGHSFERTSILKHI QQSEVDPISRVPMTTSDLRPNYALKAACEDFLEKNGWAVDW TRV_02977 MSSPEFLAAAEASRKLLAKPSDDELLTLYALFKQGKQEPPFDQA PAPGMFDLKGKYKYGKWKSVVEEGVTPEQAQERYIELVEKLKAKYGYDESKQPESVGA Q TRV_02978 MVGRDGGPPPSKKLKTSSNGYQANSSGSVRHDHERLLSLNRSIT PPLSRNQQPRKPESPSGPGWPSRQKIIPSPIQLTHIRDISDSTGYNEGCIKLRDILGD PMIKECWQFNYLFDVDYIMGQFDRDVKDLIQLKIIHGSWKKEAPNRIAIDDACKRYPN AEAVVAYMPEPFGTHHSKMMILIRHDNLAQVIIHTANMIPRDWGNMTQAVWRSPLLPL AQPQVGDTCGVFGSSTRFKRDLLAYLEAYNNKTINTLIRQLQRYDFGAVKAMLIASVP TRLPVKEFDSNKRTLWGWPALKDAISSIPIDRSSSQAQNPHIIVQVSSIATLGQTDKW LKETFLSSLCPQPEVNQSRSTSNARFSIIFPTPDEIRRSLDGYGSGGSIHMKIQSPPQ QKQLAYLRRYLCHWAGDAEDPKNSDPATKSDRVREAGRRRAAPHIKTYIRFSDSDMNS IDWAMITSANLSTQAWGAGANTHGEVRICSWEIGVLMWPDLFREKNIEECSDSSLTNY VKMIPCFKRNVPSEKPPQTSENDSTKVTLHSDATNMTRVGLRMPYDLPLIPYTPQEVP WCATAVHREPDWMGQTWEESD TRV_02979 MSSMSTKWLAELNSYGSTFNIICLILVLIAIPVGTSNVPRFNSS EYVWGTIHNRTSYPDWFAVMMSFLSVIWIMSGYDSPFHLSEECSNANIASPRAIVMTS GIGGIMGWFLQLVVAYTVRDIDEVIDSELGQPWASYVFQVMPTKLALAILAGTVICGF SMGQACMISASRVTYAYSRDDCFPFSNIWKTINPYTQTPVNAVWFNCVLGILSTLLIF AGDVAMGALFSIGGISALIAFSIPIAIRVLFVNQRFRAGPWNLGKYTAFIGISGVSFV VIMLPIVCFLEVAGSELTLADMNWTCVVYGGPMAGIILWWIISARKWFKGPKVNLEHA MLSEDEDQATNNSTNSLI TRV_02980 MPSAVAGKDSGRHSMTNKEIDNDGRNGDQSESDDGGKGVDSAYE NKLRWYQKLNPIRLQKPPPVPTERAISKEHGASLLSVITFQWMHPLMMDIWLVNPDRS VEGLSAKLEASFQKRIERGDKHPLLGAGYETFKLEIWIGACCQMVACVLQVLTPYTTK YLIAFATEAYIARHKHAPGPHVRNGIGIAIGITVMQIVQSVTTSQFFFRGMMVGGQAR AVLVSMIFSKATRLSGRARAGGKAISPEETGAKAAGQDTELRKARDTILTSIFNKKKH VGPTNAVAGVMGDGTGWSNGRIVTLMSVDTDRIDKALGLFHLLWTSPIIIILALILLL VNIGYSALSGYALLVAGIPLLTHAIKSLIRRRKKINKITDQRVSLTQEILQAVRFVKF FGWEQSFLKRLDELRKREVRAIQVVLAIRNVLLCIALSLPVFASMLSFITFSLTKHPL NPAPIFSSLALFNTLRLPLNMLPLVLGQVTDAWTALNRIQDFLLAEEQKDDIERDDSL DNALEIDNASFTWERLPSSEEDSLSKKGTGSRKGKVKLTKDMEKENADSGLQSPTEPF QLTNLSFTAGRNELIAVIGTVGCGKSSLLAALAGDMRMTGGHASMGASRAFCPQYAWI QNATVKENILFGKEYDEVWYNQVIDACALRADLKMLPNGDQTEIGERGITISGGQKQR LNIARAIYFNSSLVLLDDPLSAVDAHVGRHIMDNAICGLLKDKCRILATHQLHVLSRC DRIILMDNGRIEAINSFDNLMRHNDSFQKLMSSTIQEDEQDNKGATRNATGAAEVAGP SQGENGASGKAPGALMQKEERAVNSVSWKVWRAYVSNFSWPINLPIIVLGLILANGGT IVNALWLSYWVSRKFDFSTGAYIGVYIALGVAQALCLFIFSTTLTISGTNASKAMLSR AINKVLRAPMSFFDTTPLGRMTNRFSKDIHTMDNDLTDAMRTFYLTFGLILAVITLII VYFHYFAIALIPLLIIFLFAANFYRASARELKRHEAVLRSEVFSQFTEAISGTASIRA YGLQDYFTKRLQKAVDNMDSAYFLTFSNQRWLTVRLDAVGWLMVFVTSILVVTSRFNV DPSISGLVLSFILSISQLLQFTVRQLAELENSMNATERIHYYGTKLEEEAPLHLRRMD ENWPQSGQITFKNVEMRYRAGLPLVLQGLNLDIKGGERIGIVGRTGAGKSSIMSALFR LTELSGGSIMIDGIDISTIGLHDLRSRLAIIPQDPALFRGTVRSNLDPFNEHSDLELW SALRQSHLINEENENNSDTERNEKSTALLESDHQPQQQQKIHLDTAVEEEGLNFSLGQ RQLMALARALVRGSRIIVCDEATSSVDFETDQKIQETMAVGFKGKTLLCIAHRLRTII NYDRICVMDQGRIAEMDTPLNLWEKEGLFRGMCERSGIVKSDLTVRIES TRV_02981 MAPMATQVVPGGKDVSKYHASSTESAIAEEAQYAAHNYHPLPVV FARASGTSVWDPEGKHYLDFLSAYSAVNQGHCHPELVKTLTEQAATLTLSSRAFYNDV FPKFAKFVTEYFGYDMVLPMNTGAEAVETGIKIARKWGYKVKGIPPNEAIILSVENNF HGRTFAAISMSTDPESRENYGPYLPNIGSVIPGTNKPIVYNDKESLRQAFEAAGPKIA AFLLEPIQGEAGIMVPDEDYLREAKALCEKHNALLICDEVQTGIARTGKLLCQEWSGV KADLVLLGKAISGGMYPVSCVLGRKDVMLTVEPGTHGSTYGGNPLGCAIAIRALEIIR EENMVERAETLGQQFRAGLNAIKDPMIQTVRGRGLLNALVVDESKTNGHTAWDLCMLM KSKGLLAKPTHQNIIRFAPPLVITEAQIKESLQIIEEAIKELPNSPK TRV_02982 MPKARSAGAASRGHGGSSQGAQQGRRAADVFLLLLALRIANGLL VRTFFQPDEFFQSLEPAWGIAFGRDSGAWITWVCIPPPRKGRNREKRERGEKNRDRME KKGRCGRCGWLTIWGVL TRV_02983 MSSADAKGSSSILAISVLADRAYYGTWTLPPFRFLYFNVVQSLA VFYGRNDWHYYLSQGYPLLLITALPFAFIGMFQSLFMASEQSCSTSLATTVRRQLSLA CIAMPAILSLVPHKEVRFIYPLLPCLHIIAAAPVSNFFTPSISSASGSYTPRRLLLIF LVLVNVTIAAYTSIIHASGVIDVLGYLRVQQDTHYQYEPSRGLTAGFLMPCHSTPWRS HLVSPHIRAWALGCEPPVNLSPEEKATYVDEADQFYNNPSEFLSQHMASTGILSKHFS SASTHKHDWPDYLVFFSHLEPTLKQDVRSSSSYAECYRTFNTAWHDDARRKGDVIVWC RDPKIQSDWRTSQIRKTIPKSEKPASQQQQQQQQQRSFDRIIDVLARERDSAAQPQSD SSWIPWWSSSSSSPPETKKTQDIFSSFKTSFSLPSLSSPFSSWSLSKRRSQQWNWPWQ RRRKAPIFQLKDNIVSMYQRIIARRQATNAQRDLWS TRV_02984 MKKNGNVAVNRIYNPRNIKPSIPLDIDEVDSVMERFVRKKYELR ALEDGKPKPPSRQDPSYTSRPAADLSLPSPSSPPYATATLPSKPKSRFAFGLRSLSSS HSSSPSSSRSATVTLPSLRRRESLETSHVHSVPLPNNKQSRTLGVSIADRNGSLESKL EKLREMGFMDERRNMTVLKGLNGDVERCIDTLTRLGEKSSIGGPRSPTSPTGTQSGYR TSSGSTDMFTPPSSSSNPFDKPPASVAAAPPNGNVGISTNRSATGGNTPTEQKPVSHN PFDALDQSFQNLQVSSQPLFPNMTGGYPSQQANLQLSRAQQTMTPPVPSIPHQHQFLQ LQHQQQQQQQQQQGYGSVAQSPMHGHYNPFMSHSTPATPYAGTPTQPLSPTNPFFTQP QPQPQTQQNGLQQGNTSPFTLQHHNTMPALSPPYMYQQPQQQQQQQQPIYPMQQQPTP AMPAYQQLKPQQPQQPNRIDKSSILALYNFSQPPPTIPEQPQQQQQTQQQPQLSHLQT NTPFSTNPYQTTPNASPMVQSAPAITTGTYANHNPFFAPTNAAPSQPASFVPTAPTQS QDPAAPAPAPNNMGFSRTHVSQESIDIRQAHSGRHSPDIFASLSARY TRV_02985 MTDTSSTAHAHSTANPFPFPATTSPLPSPVANGTGSTHEAEEDE PYTIKCICSFEDDDGSTVLCERCDTWQHIACYYIDKKNVPDIHNCVDCEPRHLDGKRA TERQRKLREQNDSNSNATDEQRKSRRSGSKSQKKKPKDIGSPSGVGGDQRNGWSSHER AGSTASKENGHHHHQGQQLQQTKKQRGAHRSSNSISSVGGGDSRKRGNNINGKSPGSS FPQIPLYSPEFLHLYDNDNASLDMQGNLFRTIGLTNDLASWVKDPLALAQVANGRSAK EVFNHTDQPLDPSTWPPLTKQTIVDNHVEIDGRHPTWQCLKVQSNVRKDEIVGEVRGK VGHFRDYCHDPDSRWQELRHPEPFVFFHPQLPIYIDSREEGTVLRYIRRSCRPNVTMR TYITNQVEYHFCFVANQDIPMDTEISTTWYLDPKMFPSNGLVKEEGLPDGSPDAAAIS ISNVLAHFGGCACDDSVKPCLLANIDCRMKPKSLLESNTKQANGRRKKAKTKTAASPD SSGYVAAHSRSGSEIVRKEDDDDHADARSTSGSLKDHHFNRDLTPGALGRDNGELSAR EKRKIAAAEKKFEQLEHDQQHPKKKKRVHPPRGQSPNLSKSAKPPHLDIPNSRRSSHS PAKMSPESASQRRDGHFTPPKTSAASTPRVVSPLSRPVYIDRSIQTDPDENDPQYVPP KPFPAPRFMTLSQRLLRRCLEDRIKMEEDGRLDSIPSLDSSETASAGTMLPPSTPVNS RLAPSPKHASDIEMKDADSSMTPPNATVSSASPTTTSSVSLSSPPPCPLFHLPSTVAH NLPTPRKIPGKPDMRIPLPPQLPPPTDSVGSPDSASKPAPPIAVTKPSQSSKLETTSP GQPAAATPTLTPTSSLTAPSPVKKKLSLNDYMSRRGTLTTPTTEKAPNPFLPNSTSPA ASTGSADPSPTTQLPFTKFAETQTQTNGVGQNPPASTDIIMKDSPQSPSTLFPPSHTD SPSTSNGAKSNAIQDLSSRDPRLLDRG TRV_02986 MESDSRPSKRRRVSNPDLDPKPERRESPSPTRTRKSRKNNIPAT DPESTVNTGTEEVAEKKDDEAPSQSTRRSARIRVTGNSVVSTSAGPPRPRVVEEEDTQ NSKRSRKTKTSIAGSSSTKKLARKSSKPTAATTTTTTTTTTGRKNKKENKKDERDGRL KGKKEKKGVSGTDDVWEVPCDRENEDEDELAIAQLVANDAAIQLQTELGERIEVPPET VNQPAYAERFISLCEEHGLEPMLAPLGEFILEKLNGKRLIPLKGLDNEYRTVFQLLEQ TVVAGEGNSLLLLGARGSGKTAVVNTALAALSKTNADDFHVVRLNGFLHTDDKVALRE IWQQLGREIDPQEDLEKPSSYADTMASLLALLSHPEEITGPLPEENGMTTTKSVVIVL DEFDLFSYHPRQTLLYNLFDIAQAKKAPVAVLGLTTKVEVTENLEKRVKSRFSHRHVF LPRPRSFVEFVDICMASLKVEGDEVDSCPLDGEKGPILLKGWNDYIQDLFEDAEFARH LEPIYYQSKSVRDFFRSALVPITSMALGSVAGTKAELPGTQSFASNSLACPDPAPLPF TQLSGSSSNVSLPLSLLLTATRLTALHESTATATATAASLSLSFAAVYSEYVRLLTVA KASASASGAAATPGRVWGKEAAKEAWEKLVEWGLVLPVNGYGMGDGKMFRVEVSFEEA VAALGSTGAGALGRWWRDG TRV_02953 MPNTVLSSMAPAGYSSDKLSLNRSMLAPSPTLTNPDMILPYGEG RESSTPSPALEDLQRAAEQSRLLASSYSLMHQRSTSISTTTSYDRNSPGPDEPIQSYA AYGTAEGSPQAMAGPGASAGASAGASANAGTNAGVGAVNGPMRSSQMSDIDHTIRTHS RRQSRASSTNSLDSLVDPAGSAALGAGNGLSKRHSKLRELQDLPSGLFEQDKAHPDDE GAGVLGGGADDLSAVVFEPEHRILPMGAIAEEDEDGEEKTESSDRSDRVYDELDELTT MLENAKLKLTHMEGNLNRARSSFRMTPSPSPSLNRAFGSAGSSYHKHLQKGERRSQTS LAGALLRQRAHQGYTGNASHLRGQSDLCSPSQSSFNRLSGVNGQFRSFSALGSTSASE YRTGFYSHVEEEEQDDDKEPTTEASTLVPEVTTDRSRSLTPSSQSAPIPNGQSRTSPL PSGDVKSSPSSSKSLPLINKPVSRSQSSTPPSPASAHLQMRGLQGQVDGLRSKLSTLK ERTQEESIRRRSQQSLRTPSPFTVADENYTNPLEYQRRSSYPETLATPNYNKPQSPPP ALREETHDTACTSSPTLPIEEKQQDNLEDKEDANSMTVPGPIQGTAESPIEGPVEEPV EGPVEEPIEEPIQGPAPLSPAGNAARKSVEETDESESEYFEYSDNESEPSEIDRQELE EILREPMGSPTESVYQDILEEFPSVPPVQETIRHEDRIDAFDYEHFILHSALGTYSGR MNRSGSVCSSGSTETTRPEGCETPKNGSGSGSALSRVTSSDSISTDATFATATEGDYG YLDALTSPTGNGTYWGRNRPSTSILIFIITDRLSRQFTDDSLTLPTQQYYDSTSTFSR TQSASSTPRASISSVTAAKDENAALLASITELKKAAATPQTPGLLVRSILSSAANEKA SDASALYSPQTGSLCDADSELVEAVIQSLGNVSLELLRASTADLQSPIDNRIATILRR RLDAARRILDGIAPLETIP TRV_02954 MAYHDVQSIKGEIQFEPSAGRIEVMHFELDSLSFLTFSALFGQR QAGQGIDNQLPSSFADSILEGPSHYVHILDGEIEELSGKLDVYIQKTSSLFIEGEQSD FIRQTLRQALELAKQHEACSSPTASYPFIYLMVDDMLDAALELWVATAILVDPFLKWT VYMNPTLPPLANRPLTSTTNDSRIPIHSMNQLESYSLICTQLRSAVEKRAARVCRVLL SKFEQRLLQKQRVGSFRTFLATIILLNCVERMEWLFRSWECEHYIQRVRLIQHHG TRV_02955 MGRKPNTLITEFFHRGEKLPDSSNRYEHTCKLCGEKFLKGRPDT LINHITKICPAISTADRDRVAYLSGAAANRYRDKGAVRNSQPAPSSNTAAMGDQARGK RAANFQNGPSLNGLNVLAEASRRVGASNEGHIQDRILELSAAERDMVVDPALENSSKF SLGMITATYTMSLHPCANMPILEAELHAQFIHTSSPTAPFFSTSSVDATSPLPMPAVH SLLPVDTHPDHHSSQLSLIAASANEMVTDDPSSSLESAAMCHQKSDAWQLPPQTAPPQ ATIGLSPTIELPTADEMMIQNHIPAELSMPPASNERPGTYLRPLTTNPEVQQSNSDFF CEVVDTKKSNKKRSTFSEERRKEVRVVRKMGACLRCRMLKKTVSEYLNRYSNVQLRYI NVCHDVSAQLEHLVASAGIFRIREFGWSVVSELAL TRV_02956 MDKETFCGELYASNKEDQDDDCVLSTLRNSRRREVNNNSTEDPN AISEKALPREASERETVGVPGLDHSIKPNKKPKLAKDGRREKTKESFNTVNDHPSVLG GLENCHGNVIIEENANQLADFIPNDDISQARRIRISRVLKFGATRAAKFDASVTHIVA ERRLNYEDVVEYLQVSSVPANIILVNENYPSECIMNRRILDASSPRFQIKRKLLSEPH GISSGERRHDCIPANSSRGTDSKKVGDRSTEEAVPILPGHLPDFPAKDTADQDVDQLT YSKPLDSSDEEDDEIVPGGFQSGLTTDCPSWQKSFTCMNKMDGKDRADNPNARTIEVL QQMLNYYERTADQWRCLAYRRAIAALRRERNKVVSKSQALKIKGIGERLAAKIEEIVW TNRLRRLEQANMEPSDALLSQFLNIYGVGYQQASKWVAQGYKSLDDLKNRANLTTNQK IGIERYDDFQKRIPREEVEAHGAIVREAVVAMDSSYTVITGGSYRRGAADSGDIDLII TKEGVSLQEIHDQIMTVVVPQLFERGFLKTGLAVSSRADGSKWHGASALPGNPVWRRI DLLFVPGDELGAALIYFTGNDIFNRSLRLLASKKGMCLNQRGLFSDIMRGPGRVKLNA GHLLESRDEKRIFELLAVPWRPPEHRVC TRV_02957 MGRHQAVSSLFEIGLLRRGCYSTKGLSSYRQFSSSNQWRQQQTQ PQRQPQSRQLNIPPAIEQNPVALNTHEVKQFTPKPLKRPLGLQYAPEEGQNTGVDTRT LRQRGGDLVDKEKYQQRQSELIKEYSKPYYREWHRMNYHSGKVFICNPRLFKEDFSLY FPNLFGRTLLRSNPMQHTTPVLRGKISLVRVFASLWAESQTVTFVGEKENPELQKIIA DAGPLVQKVDINNEDNWLKALLVRLFMGSMRRKMPSEQHGRYFLVRKGFTNYLKDQIG MLNGKVGYVYLLDENCKIRWAGSSIAGAEELESLNRGLQKLVSDKRASQEMPRIISAT EIQKAAQTA TRV_02958 MSQLPSKPSEDENRLEAQIQDILSRRETLISQLSRLLDSESALT ASALKQNNLSRHREVLLEHRQELKRLKATISDTRDRVNLLSNVRSDIDAYRASNPAGA EADYMLEERGRLDNSHNMMDSVLSQAYAVNESFGFQRETLASINRRIVGAASQIPGVN NLINKISAKRRRDGIILGTFIGICCLMVFFFR TRV_02959 MSAKVKTGQLWNKSKDDLTKQLDELKTELGQLRVQKIAGGSSSK LTRIHDLRKSIAKVLTVINANQRSQLRLFYKNKKYLPLDLRAKKTRAIRRRLTAHQAS LKTEKQKKKEMHFPQRKYAVKVYPLRKRHSLTISERRAMEILTSLLTQA TRV_02960 MPANDSSLTGFERKIAWSRTGAIAYIAPDGSKAFLRNLNCSPED GKWSLSDGDHEHPIAEAQTGHTLVHLAWNEPGTDLAVVDSCGRISIVGMSIGLNVLIQ SRPPALDPDDDGNQPVGLMWLALNRSLALFVVTRSGKLKLLYQNQESKWGDMVIELQN TGYTDDALTHASMTPVDGGGIMIVTYSARNELRLYRAMLKWEGTPVDSNTQRPNNSIA VPSVQLAHIEVEIPTVVPSPSTYVIGNEDNITNNANGLFALTHLQVVACPVDAPGGQT APMILAVFSCPLHNIHGRSHLQHPSVIARWELRSVNQNLHKSFDSIVSKQPRNPLLAD TYFDRHIVSIDYMETGNIFAITTDDGNIAFYDAKGFTPLSGVEDIETVTSLPQSGFTF PAAISPTLLTSMQGVHISFSPSGCIAASLDEKSHVQMRVMEHSFGHEQDGLFNDDIYE EANEFEGKFMSALAALTMAYCRACSSDSNNDDILMIVIQQLNQDSHKKFIDEVYRALS MNVTVEQDKLMNNPYILKCFSMQAALGFKNRLERRNLAAAVPWLTLQLRQISILLTYI LHFNKAGTDVECYDPETLRITLGNVKWALDLAKYIVDDLFEISDNLNSQSSSQDSGSS SGKLCESLSTILIISSIPRSFLRYICRGLRGLTTGFRNAMNLSNESYQIYSQIVTLIT ESALKVDVYEKLLASVESDVKHAYQNAGFNNADRAAPERDLLINGSIPPVLQPAVTAI LTKTVPMIRNEANPMYLFLQDYSWLGVGDDKRADLFRHKYEIDILRKVLIPLDESRGA VRPSRRCVRCCAISEEIPPKSMAAVKMLMRTAVLRACTCGGMWSMSNASNLRGSTTLP GWRQPG TRV_02961 MDFELSDTSSELSSLPSTPPSDDSLYPSPPESQTCQAQPAAASK AAGTVESAPVRKKRKIEPKPRITRHLDLTYPDKQVCPGQEEQLKLLLRTLREHRKIVV VAGAGISVSAGIPDFRSSHGLFSTLKKDHKLKASGKQLFDASVVYQDESMISSFHDMV RTLSKLSASAKPTAFHHLLARLAHEGRLLRLYTQNVDGIEASLPPLQTKVPLEVKGPW PTTIQLHGGLHTMVCQKCSKASSFEADLFKGPDPPLCAECEKNEKIRTVVGQRSRGIG KLRPRMVLYNEYNPDEEAIGSVVSADLRARPDALVVVGTSLKIPGVRRIVKEMCRVVR GRRNGTTVWINHDPLPSGKEFEDCWDLAIKGDCDKVALHAGLKRWDDDDRSFNECTEE EFDRAKSGNTEISVVITPQKPVKFADFTNGMPTPSSSSEDSVNPGKRSQSPTPSAPPK PRGANTKIIKKSNQPRKPRAKKTKPADASTKTIPINKAFPSRKPTAAKESKVVKEVTP RCKIEDVLNPIAPESARSNGPAPLELETKKESNAVHT TRV_02962 MSTNEAGEPNGRDPINTQGETDAGDNAPGSEGTETGLGVDDILL PRSVIMRLAKDMLPPGTGVQRDAVTAILKAATVFVSYISSHANDMTDKKTLTPQDVLA ALTEVELGDFRPHLEQQLKAYTALMNNKRESKKKGKPGKDGSGGQDDDQPGSKRLKRD GDDKKTAAAGSGTSSTGGATARETGSRNPGEALDDDDDTEPMDDTNLSNEEDEEEDEL AEDETESQGEPEEDTMDRIEDSDSAQRRAAAAGEDSGDSDSD TRV_02963 MILSYRHRQDFLISKILKRREEEEEEEEKELEQVLLEEIDSMVK LGKSSKRTPVRLRHKIEKASAAKQRKDRKLAKKNPQWRSKLKKDPGIPNLFPYKDRLL QEIEEKRRIKAEEAQKLREEAKLRRQAQNVEEAGDVDITENADLIDDEDDELIESDDD DMEVEGEESNPMAALLASAKARAAEYEDQHGDEDMVDDEEDGGVTFEDTVIPTTKESS RRAFDKAFKEVIDRADVILYVLDARDPEGTRSKEVEREIMAADHGSKRLILILNKIDL VPPPVLKGWLVYLRRYFPTLPLRASSGAPNAHTFDHKQLTVKGTSETLFKALKAYAHD KQLKRAVSVGVIGYPNVGKSSVINSLTSRVTRGNANVCPVGAEAGVTTSLREVKLDSK LKVIDSPGIVFPNAEKPKSSESRKIKEQARLILLNAIPPKQISDPVPAVTLLLKRLSA SEGLLSKMLDFYGIPPLFPTNGDKTTDFLIQVARRRGRLGRGGTPNINSAATTVITDW RDGRIQGWLEAPALPVSTAVEDDAAGESSGPAADTKQIVTEWAKEFKLEGLWGDDAVP EDNDEQMKE TRV_02964 MLKILAPEKATWECESSHQHISAVFPPIGYPRGGAEKEGGEEKK KIGRTRKVNVSMIEGRIPKDLGNHATEIPGARRILTSLEEAGAPWAVVTSGSNALITG WLGVLQLAHPKYLVVAEDVQVGKPDPSCYLLGRSRLGLEHSDSMLVIEDAPSGVRAGK AAGFKVLALATTHVVEELKEAGADWIIKDLDSASLKKFADGKVEIEVWDTLQ TRV_02965 MNYLQTPFQYAMPMDQPMGYGMPVSHHPYEQPMDQCYIPYHQND IHGFYTTGAIEEYEEYVENLSRPRLTKEQVEILESQFQAHPKPNSNTKRQLALQTSLT LPRVANWFQNRRAKAKQQKRQEEFEKMQAKEKAMAAEEAESKQSSESSDEQQKSEQDQ KSSMSTLTDTRGASSSCSEQEDHGLQTPADEKPEPKFEIVGHRTEAQVEISSSEPAKR IPTPPLKDSQDIPGTMTTVHNNTAQPSFSQPETNSHFQQWASTGVPQIGVNVGSADAH TVSTATPVMEIDSSGYWSPDSSGLVSQPFTELLCNNSSPEDLSCQVQPTTTAFTQSFI PSELPLYSSQNIVNQRQVSLPMEQGDIYPSSGPNSDPESTHSEGRQLPRLHISTSDNA IGLAARRKRPRPAAIGTSGFGRTVGGPVSGSPTRRVSSAAWSGVRKSSQLAELSPRFG GMRKISGSPRSPFPYSLEGRAHALSNTDLAVPPSTTSSIPPATPMTPDEMQYLLPPTP IDNQYCLSPQEEMGYSHSFPTSQSMTFDESQESKRQPPPFVMVGMPHPQSYQQFTEPM SAPPNFTTFNELIPGCGQQQQQHPLSSSEAETSLNVIHMPRPTHISPIAYDDQVQGEH VEESAPTEEWQGQGQQSSTQSTTGPNSPVSESSQAYNSAGKPNTEFYIQEFPQQDEAM KVAAQQLPPQRARTYTFTNQTPNDFYRTAIFPPV TRV_02905 MLDLEPENTVFFLRNLGTHSTFFSRMLEHDWKVSDGPETSISGS WEGVVELKDDDPQAIKAMLSFMYKFDYTNPATSAASFVFDAQVFAVAEKYDVEGLKFC VKDKFHASILSDWKDEDYPDVIRGVYDIPTTDPALRDTLVQVTCSNINNLIQKDGFKS ALNDVPGFAVQLITRLVANNSTVARNLMGL TRV_02906 MATTASSERALSPPTLSSPVVSSYAESVNFEPSSFVATLEDPKL RDKLEVEAETAAEPLSRPSFSGSFRSFNANARPSSAWSRITSSAFASRERRATISAGK RSLNLVRGKQSADGDIPSIIVDEAPSRPASRRSLSESKWSSVRGTRGEVALRKRSGIS SLSSSNIPPVPPIPPFQGIKMDIPSASLGDITSSTIDEINEWSPPTTTNPKLLSADEA HTISPTRKVSSSTMRNISTRRIRSANSLRVVRSGSGSGGTIRTLSVDEEMLSRKVRLM YEKGEDFISEAELNQALDEDESMLMDKDEEKDVSENADSSPANGNAASTDPASEKSET VSTNTISPPRRTNTVERESNELAGGLEDWNDIENGYVDRYGFIIPHPEDGEPEAHVPQ PLQRVSTSLMLASATPRRKRTMAKRPPSTAGAASIRSFAGRSSSQRTSDQPMRPTSSQ SSYQPHLSRTTSSLRYAANKLPHNRSRKLLDEAGDMLTSPTQKTNSFSFSEDETAYSK AMRKKESEREDKWRKMARLTSKAKDGSGMTFEFDVTSTKLIDRTWKGIPDSWRSTAWH AFLAASAKKRPDSPTEDELIRKFNELQVYPSPDDVQIDIDVPRTISSHIMFRRRYRGG QRLLFRVLHAMSLYFPETGYVQGMAALAATLLAYYDEENAFVMLVRLWQYRGLDRLYR EGFAGLMEALNSFEKDWLGNGEVAEKLTELGIPPTAYGTRWYLTLFNYSIPFAAQLRV WDVFMLLGDSGEPIQPTVPASTNGHADATSTGTGPAHPVANPFGKSLDILHATSAALI DGMRDIILESDFENAMKVLTSWVPINDIELFMRVARTEWKVHCRKKGH TRV_02907 MEVEGRFLRGKKNQQERKAERGGEEASLQHHDRQKHREPDNYTE VTMSTIPVGEYIFRRLHQLGIRNIVGVPGDFNLNLLDHVYSVPDLRWVGTCNELNAAY AADGYARARSLPGVVVTTYGVGELSALNGIVGAYSEYIPVIHIVGNTSRDMQRNHTRI HHTLWMDQWDHRTYQKMVEPVAAATAFLDDESKVAAEVDRVIETAIKRRLPVYLFVPL DIQDSPIDAAPLEKPLDLTVRNEGREAEEDEVVEEVVKRMGQAKDPGVLVDMLMSRHN LYKETSELIKLLAAPWYTTPLGKSTVNESDPHYAGLYCGITSSNPALKGQIESHDAVL HLGPFNVSGNTGGFSTQLPADKLIELHPGFCSVGGKVWKGLDFRPVITKLLARLAKEP IQRKVDLAKILPKTSEKPSDDNCTDPLDHARFWDRLSKFLRPNDFVIAEVGTSQFGSQ GLTLPDNTTYFSQLYYSCIGFSVPATLGVLLARRETSSPGRVILLVGDGSLHMTVQEI GTMVREGFKPIIFVVNNKGYTIERLIHGPEQQYNDISEMWDYQKMLGFFGAKDSRSYT ARTYKELGVILNNETFLKTDVIQVVEIFFDIMDSPWNLTDLLKLKEERLAKARAEKEK AAALAPAN TRV_02908 MAFLFNRSRQRQPAEVARTTKDLLVKLRDVPGDAKVNPSKVDTL PDQVQQLVHASLQEDLLYELARSIPNLPFEARKDTQTIFSHILRFRPDTSAASSTIPN SAGGGGAASVSSTSGDPPVISYVVHKRPEILTQLCRGYENNKSAMPCGSILREALKYE VVAGIILYDESGEGERAIRLNEIQPGKKQSGEGLFWSFLGWINQSSFEDILTRHKALV AGYLSANFDLFFSRYNNILVLSDSYVTKRQSIKLLGELLLDRANYNVMTAYVDSGDHL KLCMNLLRDDRKMVQYEGFHIFKVFVANPNKSVAVQRILINNRERLLKFLPKFLEDRT DDDQFTDEKSFLVRQIENLPSQAQAQ TRV_02909 MSPPPTHDDRDSDSDESAPEEPLDLTRDDGWEDVEPEEESEPIV GLFTDKIYSDTRSMLEESKEKFGFDFVRVQQQLGLNFLGTIRLVNYIRSEVKNGNTKP DVSSAALFEDEKYLKPVLEDDALLYSLDDISDLTVGEQQEVTGRLGKITDSARIKDLE NELSNLRGEFAEYKQMVQKALNQSIEDEGESSNNKGDSSSSRSFQEAEKGYFTSYAYN VSLFGLTTTAIHESMIKDSIRTDAYRDFIYDNKHLFKDKVVLDVGCGTGILSMFCARA GAKQVIAVDNSDIISKAREIVYENGFDKVITCLRGKIEEVILPVKHVDIIVSEWMGYC LLFEAMFDSVLWARDRYLVPGGLMVPSHATIRIAPVASADIVQEHITFWKSIYGFNMT SMLEGVHDEAIVRSLQPDIMAAESELFFYMPLQTITAKELVFTKEFSVTLSQDIDSLD GWAVWFDIIFMPSADSKLTPEEALPEIMKKRDIVAFTTGPDGKQTHWHQGLFLIDQKK NPTAAMKKGQVISGSIEYQKKDEKSRLLDITIGWNSDGKSGSQKWSLT TRV_02910 MRWRSWSGLVSSVVTFGLPCYLTARQENIIGEQEKALDDAVVSG TIDMHEAGVHADMLFNVIYRHLLGKKSWNVYNKDNIERVRRDEARAKAEEEENERKQR EEDAERRIDILRGKIPLPLNDAVDEKERHSRSREKPPVESRHRKRRRLAGENDTDRDI RFAKEDAELARQRVESKASRRPTDDAPIVDKSGHISLFPEESTPRRHRSDKNPEAEAE TSKKNRELEDQYMMRFSNAAGYKQQLTSTPWYSSTTEISTQNAGLPNKNVWGDEDPRR QEREKIRIATNDPLAAMKKGVKQLKEVEKERTKWAEERERELKALKREERDKEKRRRR KHQGNEAVLEIEIEIEVAEGTGHGMTRHTGKGAMIDMNPKLGRNPRKGLLLGVKLQEK DTVLNSQALETTSSLFYTPIDTHKLLII TRV_02911 MESNGNLFCYSVAVSPRDIPRSRDGTDVPILVNGLYVFSARPME GFPQGHISMSDPQRTWAQVALTDMVQVQLYDAFSQGSQAYLGSMDIEVSFAGRKRTEV PYDQDQLAQTFIKNFEDQILAPGQKILMDDKSIPLLLTVKTVQLGDLTTEKPSSSAPT SSDPHSRGILTGYTLINFFKDAKTGINVKASNRRPAANSIVQPDFKFENMGIGGLDTE FSTIFRRAFASRIFPPGLVEKLGIQHVKGILLYGPPGTGKTLIARQIGKMLNAREPKV INGPEVLNKFVGQSEENIRKLFADAEKEYREKGDESGLHIIIFDELDAVCKQRGSGAG GGTGVGDSVVNQLLSKLDGVDQLNNILLIGMTNRMDMIDDALLRPGRLEVHMEISLPD EHGRAQILKIHTQKMRENDVMDRDVDLKELAALTKNFSGAEISGLVKSASSFAFNRHV KVGTMAGISDDIVNMKVNRTDFHNALEEVKPAFGVSEEELETCIHGGIHHFSRAVGEI LEEGNLFVKQVRDPESTTSLFSVLLHGPPGSGKTALAAKIAIDSGFPFVKLISPEDMV GYSEMAKVQHMNKVFTDAYKSPTSVVVMDNIERIIDWVPIGPRFSNSVLQAVMVLLRK QPPKGRRLLVLATTTERSILKQLDVFTSFNADIPVPNINTYEELAYILQQEQTLSSDE IKSALSRIRELTQSDKIGVGVKKVLLGVGTAKQDTDKATRFASVIARAMDEQNYV TRV_02912 MAANDSTNNVAGTNAATGPAAPAVPAGSSTRFYSKHAQNKGQYG RRWDSSESKLETSGPPGALNSFPGPRPAAPAAPPAASRPNPAAPPFTPAPRKWGPSPA AGAPGSSSAGVDTGKDYKRKNRKFKPKKEKKDGTPTNTPVSDAPGGLKDTTNRRPQRR NMPGTRNPGMRRRNEEGGRSAAPGANQDEPTVVEAPAHDKTPAVPAQEDNAVKEPVGA EEPKAMTPPKEEDAKPAEVNQASDAPVSKDVEVSPPKDAEDTPKPASEPAEEKAEEVS PLTKWNPIFPPGGPRPTPAPNDGASGEQPRRTNYVDANGNYHAPNGTIMSAELLKLFA TGKIRNSLGDKVYFMPSFIENHPPEGQQPYPLAGTPSRIFYDPREFKKASQ TRV_02913 METAMRAHNASFPINMPSKAPQSASYYPVSRIAGSPPEVSDVST TTGSASGEYDSSAGYSGVDVIDTLNDRMSNVFDPSRLDQGIAKQTQLILTSECLFHDR VLTLCSSGQLNAKQRELLELQALMQRRVKGARANFAEGIKAAKETKADLEWTQKRVG TRV_02914 MREDDPGSFESGLLVFVFIVFIVHSVYFLDFLDFFDLVCSSGRK FQCEQRRSGSSSSSRFNGSQ TRV_02915 MRTPPLPSPVGPLASMTSPGQHQHHQRRLSCSQPEDSLNLCQQD YQQEGLPQSQQYQHQESQGSSILVSDLTQPAPRPSRDTNITPRPFSRHSEFATCGNTI RNNSNDATAPDLDLTDAGLHQSSQNSKKSSGSVLEELRKRMGETIMNQQQQQQQQQQQ KAAQYYYAQESVSTDSGFTDSDTNMTPNTSDEKVTQSTQPSVPRSPSSRSMRPSSLPQ RSLVTPLSPFDTHSAKTNAEKDGHLASSAALNLNTNAANAATSNGLGFHGQQHQPYSP FPHSPRGTKPKRRSIRHPYTADTLKRMNEMPAASITAAPADFLPPGSAPITDSSPYPA PNLYDITLALNADPGLDGWWSNVVPILKTHYGAERLTLAVPGDATDLENVPWLQKATY SIYGNESTPFLSSPQPLPKAECEKPSSKARFSEATKEDGNTFKYTKSVTGSRRPKLMG RHSFAGHASYPSEKWNEDASGGPMPLKGRHSRRKSSSGEFKKPRKHMREDEIMADVCD FNAVPLSGTFQQLHQHRNQSRGPSGELPGATSGPGSRPASGPPSPTAVVFSTPRALEV EPEPLIKRTGVVKLFGRTTPVALTREYADDPMQDVSSDSNAAIHKHKDIIQRTPRSET PGAPLKGPMPSHRSTPLSLSLASAQERRGIPKSTLMGHEYQPIPFDPSPPAQKYEEYE QTPPSPWSQSPAPSPAPLLKPDVNPFFVETYNEVNEDAFASSPPPHDYSETAPLEAIG IDCAKSVIHIPLIHSSSSRQGRSDTLRFPVAVISLLAPIIPYPTNLRNSLALLLPHLT TSFCLAQQYSQLEKQSTSPTVERYGHLLGLGGTFSDANSELELINGLSGHVNYASPLT QLGGGDATGEGYFRAKSPSSTENNNSNSIAAAASAMANNSVQGTPTEGLAIAIPPSQQ KAAYDELTSRDPGLVHTPSIAEAPTKASQNTPQMLAEFSRHSSTGSFTAQWHREVSSR PFPDTVAQLMLNSIPLHLFLAKPHTGEVIWTNAKFDAYRRSQPMEPRVRDPWQNVHPS EYEKVLKHWTKALRTGSQFTERVRVKRFNDESEYRWFIFRANPLISGAGELLYWIGSF LDVHEQHVAERKAAQEREMFATDVKYRALANSIPQVVFEAAEYSGLISVNEQWELYTG QSLDEAMTLGFAKYIHRDDLEKCGILSPPQVIPESNDIPEFARIMSNGNLAETLKEAE RCRRNSTQASPSSSSAEKQQYDNPLRVFGKGVTPALQELVRREIVTIQRDENGRDSYT TEIRFRSRKGDFRWHLVRLVKVETPDFGSGEASWYGTCTDINDRKLLERELNTALQKL NREMESKTKFFSNMSHEIRTPLNGILGTIPFVLDTLLDNDQRRMLDTIQNSSTNLREL VDNILDVSKVEAGKMNIVRQWFHVRSVLEDAIDTISSRAIDKGLELNYLVDTDVPSTV LGDRFRIRQILINLMGNAVKFTTQGEICTRCSILVDPNVNTKPSEILLNFEVVDTGKG FNSTDAERLMQRFSQIETNGSQQHAGSGLGLFLSKQLVEMHGGRLTPTSKEGRGAKFS FYVMAGAPPQSPSGEIVEPPTPGQVMEIQDDDHLSRPPTAPVSTGEEKRLPIAKPKSE YYISTAAPPALCSPMRSMDAPFIYKAYNTVLICPFDYAREAIKQHIEQVVPYGVQSKM TCLLDMEDWRELRLNKNDPLTHIVLGLPEAPEVTEIMSSFLKTDPDGPPSPTIVIIAD IYLKRNITAVYKELLAAGKSVFIVPKPVKPSAFSLIFDPRSERELSKDRNQDMAREVN NSFKNMSKIVKEVIGNKGYRVLLVEDDETNRTVMLKYLDKVKLVSETAGNGQECVDMV FSKEPGYYSLIICLNLTYRQCDIQMPVKNGYETCKEIRSWENHNHFPQIPIMALSANA MIDQIDDAAHAGFNDYVTKPIKHNELGKMMMELLDPSTPRVLLKDRKP TRV_02916 MWGGGGRKKKKAKGRKKEYHVLPAGSGNSRSRKEKDEEDAKAHG KDQKEALVAALASTSNLISSLSNLSQR TRV_02917 MEESRILGEDLHALPERLLERLSQIPGYSWDQNVTPFQSSYDHW QFTGIKYSADSESISTHTAASSSSRSKASASSHNSPELEPRSFFPSQWRKAPSEAGSE ITSPREEVERPWLPVIARVSGHVVRLEREFHILRTIVETSDPECKHTVRPIDIIHLAR EPEDRGLLLVTIYEYVSPNYLRRLILGGPHSFQMDCSVPPSTNPDIEEVSLQAFFDFA IGACECLELLHYGLKTIHGEIRGDAFHFSEETRVVRLMNTGNGPRSFDNALGDGWAVL SKEIGVRNKLQFIAPEQTGRLPTEPDSRTDIYALGILLWIMLARKPAFEGKDLVEVVQ NVLSKKLSPISTLRLDMPDACSAVIQKMTKKQINERYHTISAVKWDFQQISKLLGDGN SEALRDFQVAQRDVSSFFTLPSGMFGRKVEFDRIMSVINKASKRRQAATTPNKPSLYA RSSASSISGDRLDAVEVDASSDSGSYAAPISAFRPNSISHASTSHGLMPSHDSMFSGD HGTTSGKSTLLSNRMKSPTDSRMSWEFIDRDSPSVAFDSGSLARRKAAHTKYRHGGRC EVITVSGPTGMGKSDLLQRLLPEIRKLGYVAVAKVDRQSKLPFDPFLKALASLLRQIF SERDISTEYHNSIRNSLQPIWPSLHQFLDLPYQLLFQDNVDYEPPVHQRSFQPLKGLK EGIEESFSVSAPEFTSLRHGQTSQDFIQGPSASGMNFVEIVIDVLRVMSLYEVICICI DNAHFVDDESAGLLLEIIKNKVRCVVILTGRTDDIVSPIMKSIFAGEGSSITNVVLEP LTEDDILEFVAATMHQTSNNSLVPLAAVVQEKSRGNPFYIRMILETCYRKNCIWYSWR DAAWEFDLDRVFSEFVVPGYGEGIGTNFVVKRLQEMPSAARSIVLWAALLGTTFSFSL VQKLLSGEFLFEVGGEEEDDVTCPAQTKWKQNSSDIVAGLQFLLQAYIIIPGETDDEF RFSSDHYAQAVASMRQCHNVEKMHFIITQTMMKYLPDNEATMHLKSRHIGLSAKLIKE RVPIRTAYRDVLYRAGLSSYKSGAKAMALKHYQLCIFLLQDSPWAPDTPDAYYDETRE LYIQVAEMHLALGQTEETLNALKPVFENARTAPCKARAWTLRSRVHSVSGDVCSALGD LFTCLEELGVKINRVATWEESDEAYRKIAKYLQTIDFDTLLTRPLSNDRALIAAGSVL NEAISICLWLDPMMYFHLTVEMMRLHLEYGAMAQVVYLYSQLSTIALSRFKDIELGLK LSDAALSILRITSDPAAIARGTTIHNFFINHMRDPVSAILPLLETSTEAAFFLGDRYY ILLNVSSMVITRLVLGHDLGEIEDLCNDATEGIDDWVHDIRGGLGIVATRQAARALQG KTLASSPELALSDAYHDDQRLQAEVLERFPGKPHVLVTYRSIILIPLYVFGHYSRIVE MGTEMVGQLRGIFSSRLATQVYFYLSLATLSLHFEDPLRPDLEENLKTVERYKEEIDY MRDACDANYGMWSLLVKALLSELKRDFQGALVAFEEALDHAQIHNWPIEEALAYELQV DFLVRRGAKRAAQMMLQPAISVWNRIGASRKARQLTEKFEWLMRIGTVSRTNDVGCQT IDSLLGVQKSNVTESTSENRGLSSLESGHNQKWLEQNQGNDGPSDITGVGLDIIDLST IIEFSHIMSSELEVNKLLTKMVGIILESCSGSELALVITEFESQGWCVAASGDNESDP IAYDNGLPFSEVEDKMAQQISNYTLRTREAVLVHNVLEDDRFTNLSESYASRHPHGRS IIVLPIIQAKKFLGVVHIEGKPNSFTQRNLVLLRLVCNQMGISLANAFLFQEVRKVSA ANAAMVEAQKRALVQAREAEHKAKIAEVEANHNVKLKEDAAKAKSIFLANISHDLRTP MTGVIGLSELLKATKLDPKQDVYVESIRVCADTLLTLINDILDFSKLEAGKMKVSIVP INLRQTIAEVVRALRYTHREKGLNTIEDLDSIDPDLLVMGDPVRLHQIFMNLLSNSYK FTPAGSVTVRAIVEEDTPEKIRVTCKVADTGIGISEEQLVRLFRPFSQADSSTARSYG GSGLGLSICKAIIEDVLGGRIWLQSKEGEGTTVTFTLVFKKAGKDAVPKTPWTQEPGH KQPHAHRLRDISHIPRDDVRICIAEDNPINQKIAVSFVHHLGLSSEVYSDGEQAVEAL RQRSRDGKPFHVVLMDVQMPVLDGCDATRRIREDPDPNVNEVLVIAMTASAIEGDREK CIDAGMNNYLAKPVRSDVLKGMLDRYLAPQTKALPKRSKSSAAASRLIELQATTPPKP PQPAPSIDELIAEEKRTKAAIDAATAAATKRREDTPNGDSMPEEYTEGSTEGNTEENT GEKSQPVEESRVEELNDDDGTPTPPGNDPSVDKTPTLNADTY TRV_02918 MATSVPREEIDKCFSKTTNLASSALNSTVLACSDEYFAAASNLL TPGAPIHKPGVFVHTGAWYDGWETRRHNPAEYDWALIKLGVGSGIIEGIEIDTAYFVG NYGEHVLVEAAYLPAADPASAASEQEMISDSFSRWETILPQQPCGPSMRQAWKLPKPT GPLTHIRVRMYPDGGFSRLRLYGHALPPSLASAQGQARPVEELSSALMGGLALAASNQ HFTPASNLLLPGRGKDMGDGWETARSRQRGHVDWVIVKLGLPGSAVKVVVDTKDFRGN FPRAVRVETIDWKGEGDSEPPFDHDAWTELVKGEQPCRADTEHVFEGDALVAGQHATH AKLTMIPDGGVKRFRIFGHRSSST TRV_02919 MASATGIPEERASALPGGVENEPLLGEPGTVTQREDQSIFTNLI TGRSAGSGGRGSTAGGGWDADSALLGTASLAQVGILITDQNVALVWSGIFTHDLIFFS PHPLLNSVGILLTVQAILVVQPTRTPQQKQTGALTHFGFLAVANLAFISALIIIEINK QSHPETRFSSVHGVLGLITYIIIFLQSAVGVAQYFFPETIFGSVENGKKIYKWHRVSG YVLFVLELAVVIAATKTDYNVKTLHIGFWPVTISAFLTFAGVVARVKRYKLPAFLRGS TSAPSI TRV_02920 MRPSSLYICLNCRLSLRPPTRNVAFPRRVISTASSRPPTAPKPV PNVRHIRENAELYSKNCFDRNYKPVADYPPRIQSLAKEASDLQHALKHPRARIKQVEK EIGKLATIAARQQLGPEQNGTLDALRQEAKELKDASQQMMDRREECMEEIQQLALSLP NLTSKDTPIGDIPRIVTYINFDPESPPSYASPTSSTAAIPRSHVSIGTELGLLDFTSS ATSTGWGWYFLTNEGCLLEHALVQYALTTARKKGWKPVSPPSIVYSHIAEACGFQPRD ANNEQQIWSIQQTERDKAAHKPQRSLTGTAEIPLAAMYAGQEIRAEQLPLKFVGASRC YRAEAGARGVDTKGLYRVHEFTKVELFGWTDNVEQDSTDANTNGITSTTLFEEMLSIQ TDILSSLNLPCRVLEMSSSDLGASATRKRDIEVLFPSRIPNKSDNSSVLDPGYSGWGE VTSTSICTDYQSRRLGTRVRDSSGVSRFPHTVNGTAMAVPRVLAAILEHGWDETLGGV VVPEALRPWMGGLEVIRGNK TRV_02921 MKLINLVDVNLVEGLLQNSALLTRDYYQDDTKAAPKTAKEISLL SVPEACPHFMSSHEEVFQYNNGHFLVDEEYQYARRYVRFNINELCNLVTSVVTKSDST VSKVTKIEKMEGGFHKALLMTLENGAEVVAKISCPNAGLPMLSTASEAAVLEFVPKVL AWSSDSKNLVGAEYIILEKAKGVQLTKVWGGLSESRRLDIIKNLVLIEQQLLSLQFPA YGNLYFRRSIPNLPHVPLDKEFDPSSQYCIGPAASLQWADGGRTPQCGSGPWMNLQEF GESLAKRSLCKGFPTVRAAGGQPPSYGTPSSHKSVLESAINILPKVSSHQNLVKTSTP VLLHPDLHMGNIFTTEEGKDTISITGIIDWHSISIAPKFLQARWPVFLEPLDGYTPGL TEKPEPLSRLEGMDAADRAVAKVTYEQNYLAKAYEVCTGAFNTHLHTALLVPSALKEI YIRCGETSVDGIFPLRSCLAELYKYWSDLRFMEPCPIHFTEVELSQYEEELAKYEEWN DLQVLVKRALDTDSEGWISPAFDWNEKKTQHEELYQMFAKKMLAENKTIDEIKKMWPF PISS TRV_02922 MTSVGTRIVGKSGNQYVIERLLQEKIPPDIRVYLANNGTEKFIL KNVHDFDYYHDIYRRISSSPCLRLLHDTVPDQSIFVYEYLTDHLLNMAKKDIPIVATK RILRDALCGLKGLHDQNIVHTGRGFDNIFLLPSDLEPITHISAIDIKANNILIDWKEK NGELAIGRVQLADIEDSAIIPPNCDILGKAVGN TRV_02923 MPANEIDQGAKPSGFKSYRRIFRYADRKAWLLYSISFLAAIIGG SALPLMDLIFGKFVTTFNNFANGSISSESYIQEVSKYSLYLVYLFLAKFFLVYIHTLA ASVAAIRTTKALRLEFMQSLLRQDTSYFDSNKDGSPSVKVTMNGNIITNGISEKLSVF IQSCATFVAAFAVAFGVQWKLTLITICIVPAILIITGVCMSIEVKSEDKLMAILSRSS LVAEETFSSISTVHAFWLQPLMAQRYEEFLAELESVGRKKSPNYGALFSTEFFCVYSG YGLAFWQGIKMFARGDIQESGDVVTVIFAVVVAATSLTHIAPQIITITKAAAAAEELF RIIDKESAIDSLSTSGLAPEECVGEIKLEGLEFAYPSRPDTKVLDGVDLNVPAGKTTA LVGASGSGKSTIIGLLERWYDHTAGSILLDGIKIQELNIAWLRTQIRLVQQEPVLFSG TIFDNVAFGLVGTQHEDAPYEQKLALVKEACKEAYADVFIDHLPKKYDTQVGERARML SGGQKQRIAIARSIISHPSVLLLDEATSALDPKAERIVQSALDNISIGRTTIIIAHKL STVQKADNIAVMSAGKIIEQGTHHGLMANDGAYARLVRTQVLEQKSRGMTENDLATDI DEQDDWDEGKYNLDEKETRKERDDEKQITYLTTHHARSIASDTLEPNFNAQAKETMGY HLIQCLWLLIKEQRNLWGSYSIFAFACILGVAGATFPTQAVILARTLEVFQLRGSEAV RRGNFWALMFFAVALANFVIYFFVGWVANIIIQVSFPFLYSKVGALGLTTETTLTLQE VSRRYRRELFRNTLKQDISFFNLEHNATGSICARLLIHASNLNELLGINSGLILINVV TIISVSILGIAYGWKLGLVCVFAALPLLLLSGYFRIHLESKLEEDTSTRFASSAAIAT EAVLAIRTVSSLTLEKRILQIYQERLDVVAHQSVKALTFTMFWYALTQSITFLAMALG FWYGGKLVSTHEYTNEQFFVVFTAVVVGGENAAALFQYTTGITKATSSTNYIFWLRQR VPAIDNDFSNEPPANDSFENNETVAIDCQTVNFAYPSRGGSKNVISDINIRIPPGKFV AFVGPSGCGKSTMINLLCRFYDPTTGFITFNNQAIHEIDLHGHRRRLALVQQEPILFQ GSIRENIAMGLIDSSEVTDAQIEQACKDANIYEFVLSLPDGLGSEVGIRGSKLSGGQR QRIAIARALVRDPKVLLLDEATSALDTESEKMVQDAINEAAKGGKRSIVAVAHRLSTI QSADTIYVFQAGRIAEAGAHIELLGKKGDYYEMCQGQALDTALG TRV_02924 MDCPEGNCVIPGLTREEKRKRFGHDPANSQETWEGLRNNIYSIG RSYIDNHCTAAETERRLHDLWDELIHVAKVTPDHSPEHDRLVVLILEARELGSFPINQ KDRDALPGTRVCEVATMPNGQRLWVDLPYLVQEIYASWTEESMKLSSQERQSLAVLTA KLCAVGVCPDQLSCCALWLFKESLETARDDVSLPSKPEDGSNTIVELLPACLEWLKQS SHKLVKLSLAGYDPATDLAADLNDSLMAPAELAVKANIKQPGFSLPRWLFWRQRFKDL YCCGHEPLVSIARACFDASIFSGLNIGLTVPGEQIYLDRLFQALDKELAARGNKGSVD ASEIEIDMDWDKE TRV_02925 MATEGFPNHPVFQQLIKSIPLNEGVIIHDPNNDITATFDRFMND VVELRRTIREQIPDLLDSNGIIRDEGVYICTLAPASYEIFVATFAVWAVGAAVSPLAT GLTPQEVSFLIQRNKAVLLIASASQRDAAAAIQSYIKNETGNAIKVIGVDLNVPVPST KRQYHIDENIVFSPRRPLILLHTSGTTGLPKGVIRTMPAYDLRTVAGASNEDLALCHR AVHWGFGIYPPVASISNGPEQIWEVIRQQKITMAYATNLTLLRLMQYYKEHLAVLEPE KVKEYSEGMKKIKYIGCGGAVPMPSVGQFWKDMRGSIPITILYGTTEGGGGTSTTPEG YDVTKRVIGKPMPGVEVKLSEGDHGEILLKSGDLFLGYLNNEAATKAALDENGFYKTG DLAHIEEDGNYVIDGRVNEDFVHSRTLKVGTLELEDKLSELPYLSEAYVVAVPDMEIL HRVAVIARLKPSFKEEDANILGPDGKPTSNMLFKVRRDLTELKVAQYKLPTLLRILKD DDIIPRTTTDKVIRKKVIQTFFPQSADCKVEDLPKEVLVWDLKNDKNAESTGLWDWAA AQD TRV_02926 MESHWPKDGDSGSSGPKEQREPEFAGYNEADDETLDRIYRKLDI RIIPPLWTLYFLCSAIRSNVGLAQTMNLKEKHDLGHVLHLTPRQISTSLALFYVCYIL FDLPSNLIMARLSPHVWMSRIVISVGVIGALMAAVKAAWSLYLLRLLLGIVIAGMWPG MAYYLSLFYPPARTGQRIGFYFTAAQVSAAVVGLVSAGFQKMDGLQGLVGFQWMFLIW GLVGTVMGITLLWWLPDRPVPPGESRPPRSAWLRWIPNTTPALSGRDEELHYADVQRV YKKVQWGWSDVLRILCDWRLWPVTIMYFGVVGVGIGVQSYGTVIIRAAIPSLTGVQLS LLFAPIWVMDLIAILIMTPISDAFHHHRPAIFIFSCLILLTGLLLTTFTHTWTAYGGL LIVGFGLGPTVPITMSLTAELFGARYGDVGVAASTAIVSGLGNLGSIVSTYALYTGWE GDVKRGYRGSNGVMCGIVGGSILAAVVVGMIVWGKQKEEQRGWRGVWWAKWLIR TRV_02927 MGLDQRGKVLAEYVWVDAHGGVRSKTKVRLQFFYFFHFCFFFGI FFCCGVDPCSNSPGSCISPQSTPPYRQRSLLAGTLSRPVTSADELPEWNFDGSSTGQA PGHDSDVYLRPCAIFPDPFRGGDNILVLCETWDSDGSPNKYNYRHEAARLMRTHEKEQ FWFGLEQEYTLLDADGWPFGWPKGGYPGAQGPYYCGVGTGKAQCRDIVDAHYKACLYA GISISGINAEVMPSQWEYQVGPCEGITLGDQLWMSRFLLNRVAEDFGVVISFAPKPIP GDWNGAGLHSNVSTAAMRAEGGMKVIEAAMKKLEARHPEHIAVYGEGNEDRLTGRHET GSIDKFSWGVADRGGSIRIPRQCAKDGKGYFEDRRPASNADPYQITGIIVETVSLPLH SILHSTIIVHYTNKSLQLCGSD TRV_02928 MYSSYLGASPASPCLIGRSRTATKKEEAQPRRSKKKVGPPAVFS RPSSGLFLFWATRDCLLKRPETCLTSSTPAAAGKAQENFAFFLSARKTRFSLLLLLLF FLLFLSLPLPLLLSSVYSYLPLLLLLLLLLQLTVSSLFLLLGSVACLLALAAAALVSS RLSLLLIASIYPSKQDLSRCYLSSWWVHRSNNNNINNIIN TRV_02929 MATPAKNNTASANPGVTPTQITSSPYPAPSAVPMGRPLSHISPS ATRTPSHHHQQQQHQHQQQSHPGQQQQQQPTSSHSQAPAHQYTPMLDDAAGFSSPSAL LALGLSGITPSPGPGGVAMTGGLSMGIGMGLSMSDAMGMGMGMPLDLPMHSLPGATAK DTEAEKRRNMSEVLSMLRSRVAGRGVCREAVERLGTLEGFECMWQDNNLSIAGNSVDL EIEFGRDGTEADVVKDVTLRYATQDMAEGEKREAASAVLKRVLTFGDDGDGDAEERVA AGEWKPVDAFHANLTRLARMDGLCREVNCFEAVEGVHESLQKVWDSTGKGTDPDLKLN TDTDTDTDTKKTTSGPESRFWERLCRSSSVGCPTMHRGENVGLAIHYWADQRRLLDAQ RTSLEEVLQAELSPSLKRSKGSSRRQVYSAVIECEAGYPSLRISKGWVGDPTVLPTQD ENNNENNNENDINITNNEKSNNNDNEGNNGADDTNGKVGKTRTNWLEPDPTLVSTDPL LPSSPPNVRFVARLEPAIHVPIVVAAEVYRLVGIAMPQETRAPAAYDALVVPVERRPS RTGKGEGGDEQEEEEHVRTVPIFGQTEGGEDEVTRKKHVYSFHALEHVPGYTVRELPF SHPRQIEEVIPLLRQYALLGKLLSVFQMGTSNNSKGKNEDDEDQESDDEGYMQEGHRM DNVDAAETRLNSLLSSTDKSDETKRISISLRTPVSSPPTLLVVFPLAAYPSSSSSSSP SSSPSSRTASVTVEVLPDGRLQVPCTRGIVEVEPSASGPANTPTRAAEEEKKLCGLLG RVLETCEDLGLLVESACKYAVRRHRS TRV_02930 MEKTSPPPPYSAAEDIPTVKLPEGAGIVFMTSSDNDYFYIKSIS SMKLHIRPKGRNPQEEAAQIKAHRAKLDQLRAEMSAWARAEWEVKKREKARRKEREAE IKREKAMEKERRKEWKRGRKREAEVEWSKARERVERQREEEQRA TRV_02931 MCGRYAMGILQERGQQIDEIADDDEVRETYNFAPGNYGAVLRAD TPDHGGVSHEAAEGEAPEEQQDAEQAEGEEKGIKYKIQAMKWGLIPFWTKRSPDYGSL MKTINCRDDSLIEDRGMWTSMKRKKRCIVICQGFYEWLKTGPGGKTRLPYYTRRKDGD LMCFAGLWDCVKYEDSEEKLYTYTVITTSSNSQLKFLHDRMPVILDPGSKAMAAWLDP HTTTWTKELQSLLKPYEGELETYPVSKDVGKVGNNSPSFIVPLDSKENKSNIANFFQG KGQKKGKAEVPETKLEKPEGDSSSLKREHTPDDKPEKISADSNKRVKIESPQKEFAQE KMEPLKGSSPTRKMRSATSNNTKPKSSAKPSGGNQRITNFFKK TRV_02932 MTRSISSCRTAHRPRIQLLSRNPSISQSRSYTYYQRFRDNFRVA ARNVHRKHPIGFPLTLLFAVGNTALLVYIVYDFMTRVNPEFTKFPKEIATPLRKAVYY TEMDLNPPSALAYYKQALAAGYQLGLHPFSDEMLGIRLAVAAMLEKAKLFDAAIEVLT TIKHDCETWIDNGRRRKLIMDRENQSGKNTRPDPSDFDAVAEHEAGLKKEQEEEELRD KVVKKIPGISLKLADIYSEQYPDDTAKAEKFLTSAFETSRAELQRRRDLKLPITSDEE NPAYLTLSEVGNACSELADHYVNKGRSDLATILYMQAISLFKEDEGDGRHKCIQAVLL NNIASQMAEQAGKVPLGAALPSSASLNPVSRDQLLSAAGEWAKKALDVASNVEESYKN EQCDQACVVALFNLGEIAEMQENSHQAEDFYRKAKAAAVKVQFAEGTEQAEDALKRLR RKK TRV_02933 MAEQLVLRGTLQGHNGWVTSLATTLENPNMLLSASRDKTLIVWN LTRDDTAYGYPKRSLHGHSHIVSDCVISSDGAYALSSSWDKTLRLWELATGNTTRTFV GHNNDVLSVSFSADNRQIVSGSRDRTIKLWNTLGDCKFTITDKGHTEWVSCVRFSPNP QNPVIVSAGWDKLVKQPPRFLCRLVFQMMFCNYVWELSTCRIQTDHIGHSGYINTVTI SPDGSLCASGGKDGVVMLWDLNESKHLYSLNAGDEIHALVFSPNRYWLCAATASSISI FDLEKKSKIDELKPEFEDKGKKSRDPECISLAWSADGQTLFSGYTDNKIRAWGVMSRV TRV_02934 MTGSQLDEYDRFLDENDWDIYYWATQEPPSESEAAEGALKAKDE LTDTWKSGAAKSGEWAQTVGAFKPAYRPVPQRWADSEILALLRQHVQSKKAGDGSSKG SGGGLGRMPNIEPFKS TRV_02935 MYIARRSFYFPWPATARAAPGTNIRNPKVGHRDSSAGDQAHPDQ PPFSPQAPLVVILLPRPPRSPYYSLLSTAETNMRRGSAMGLRSPFELLFLLFTLLVPL TSAVRFDLIPNTHSGKHERCIRNFVARDQLVVVTATVSGSKGDGQVVNMHIKSSLGDD YAKPKDIAGEIRQMFTASADSSFDVCFSNVLSGRHAGPNPSRQVELSIDIGADARDWD AIKVQEKLKPVEADLRRLEAMITEIVAEMDFMRAREQKLRDTNESTNERVKWFAFGTM GMLIGLGVWQVVYLRAYFR TRV_02936 MPGDVSPAFMGGRVRSTSLSFERSASISSRASAPSPPLVNPAPQ YVAISAATQLISASHGVNPLQPKEGRPGNAIVTPPSLVLVNGFLDHILFNILLAAKST KLAAIRPAVSDVLKPRLARAVVSVADEELSEYMGTSDEEELTGYGYSQEAAGQGHFEL ERSWKLTRLRCMVYARLGDMEEDDEEEYLQREGLSETNGRPYFMNHGGMLSPAAAIFL TSILEYIAENILIIAGENSSARLGFSDENDERKYQGGTLVVDDVDVEKVALNPTLGRL WRTWRKNLRAPALSRTLSRESLVRRGCSSRTSTHSSVGTFDTFDTFNGFSFGRKISSA DQHVSDTASVSTCVNINPADIPLPISEYGIDEIEIPGFSAQLAVALPARSLRPRSLFI SSPEVAGRPVLARSISAISPRVVMASASASSSLQPARSSTRRAHIRSQSLPQSMYITS PLAPSPTRVKRSASSAAETRKEDEHLESMPEAEEPETDTKAGESASASAAAAAAAAAA TVAATAAATAAPASAASELEQAGPDSASIYSPYHPASISSPRCQDSSMEQETVSPPSS ETGDNNSVVSSIYTIPEASRVSSKESAESTTSQTADSSSARITTCEDIADGNPAYYSS SSLTDDDQAARKAARRKATAPLPPTSNPFGDNIVETSFVSRSPRSPSRGARLTPLTEV ADNTPVQNERSSPDQASINSGDSEPSVTPRSRAWLTKINTNCSTRQNLPVSPGSERAA VQRVTPPPSSISRDSSKLRRSESAGSRRDGRPITSGSGVSQGSNRIRGLISRQPSDLS VSVICSSDGSGSGSTALEELLMSDETIHYTLTPRNMREMEEVGSPRWAATRPADLAAD PADTSSVKSGKQSRRILSPTFRSPSISSPVPQSPLARNPPSPPPPKPKMPAPQARDAR VENASVRDFANFIRSTGPDKGLHPPAAQFNSIARAGNNSIPNSPATTGTYSTSPLATP KASTKDNNNNNTSSNITSTPRATNPNRPRLEARPAVFVRDNKTSELIDFIRQGPPDPQ ARRLQQKTVAPFRNTMNSDDFSSFSQTIRSERDFTIGDSSIPGTQDGSMVAASVASVN SHTALIDSSTRPNSRTLQYRLSPTPNIRGDGSATPAKTRRRVRDPYAIDSDSEDEYDD VRDVAKPKEESLAEFLKNGPPPPPPPKPQPFTINIQKANELHRQKAMRPPISRSVTLD STSEAGSPAPPRLVDLPPKYNYHSHAGRPQASLTSGSSFVATIHADQPDNQWHSGGRL PKGVIKGVDADSLYRSNKSDTAALADFLKNTGPPSSPSVSSLQSMKTGTSASWTFKTF LRKKKQVA TRV_02937 MKVPPVVPGTCTCTEDLLPCSSTGSRLHPRSVFSGRAAAVRIWL RGPDPYRLEEGSADASKGDQAARWGSDGVVPWITAVRWTPAIEQIWSYPLAESQRPAV VPCFFSFEAHLCFNRPGSLLRRRETLSLPLPRSRWLCLCVYTSVLLLLLLFLLPCFCF FCVAASAADHFDISHQRPSPAQPKGGTARPGDILTRSDSTGALSIAGSSIARSLALHR SVWLRLLVGWPLRPTSSVNINININRHQLPVQRPKGDRQRLEPATKRKPSHIACPPPR DGGEIDSALDETKIYTNIQPPQEEATRAKKKKKQARKEDEKKKRRRRKRNIVL TRV_02938 MQDISMIGPDQGALLKDWMPIFKKQPRRQGSQFLGPQGEEKDEE ERSRRRSKYKLLSWKKQGEKKSKLVVCPAWPSYGAMPLTSQQSMP TRV_02940 MSFRCGLFYGLSPQTNAYSTIVNFNTGTGTGTGTGTGFKDQPSP QYCAIMSAFHHHLDLAGRRRLGALDAYERPYLSDEPKDAETAILPPQFTHTPLVRSST LSFSDSSVSSLGGGDLKTEVQRMLRETATETQTERLPQPAHEHQPQELLQSDGENGDD EYSEGTDIASLTSVDDQSTGGVEICHLPVSAEVHVDRPLLATDCHEQVNWVGDNDDEE DDEGDDEETEEEEDDDEDEEDEEDDEDDEDVEMFDSDSDDASDDDNDVSINGDDHVDD LGLDDEFSYISFSRSVHFAPTLETVIPDKTYDSEMEPPTEPLPEMTIHEKIQIALAAQ ARSLDPANFSNDEHDEPDEHSRDHLDLDKQLLTAYINGLRTISAHVCRIVIRSRTLPH DPRASDGVEPDTRTYVNEYLDRISLLLQNFFPNLVDEDKFDDILESTTMAVSFDDEEN VTYRPGFTDARKMIQSALEETLDVDDMYMADEVTKWLASELIEPLGLRAARLERN TRV_02941 MSSKGGSVKKKKRQQQQQQKREERKSSFLICRVRALIIIAQTAA SHSIYVCCVSFLVSLAGEQQQKQKKKKTKKHSSGRDGTSHGIEEAVGLSSHAGLCRNK EHEVAAGEEETERRRSRMTTL TRV_02942 MALPRNLGSRTQAENKGHSLPGPIDDSFRNTLGLHGEMQPPSCT ANKGPAFSYAVDGDTLFHAGLSHMKPGSLPVRRWTDADLSSLQSGPALTPDLVLHHQQ HQLQQHDHAFDAHASGQLLTAATTPAAAGPGPGGGEGGDQFHVDSGVLFQDPKEQLVG PPSSRPTAGNWMERQIYKYNHSQQPSATRRQRRQHKSTDQPATLTARKGDLLFSGHPA LSSPPPSSSSSSPSPGTMASSYQDNNNSNNWFQQRLESYGLQQIVQSPECLEPTTTPA SYSQTPLDRRESTSHLSTASGGSSQSPLNSSTPMSTPRYGPSIMSHPIHSSPVLATND ISETTPCQPYQDPSTVTSVPDHTSPPPPHQILRSQPCDGSPASSWHSEPMGVSAFQCA QPNLQSHETEHWWTTSSAHTIPNRSLQSPFPHASYSPAMTSASLPFRNQHQRFAHIPE SIQSSGLMIGMDTSSPEISSSIQQDPVAMSSPQLSGAEVALPLAFTLPYFPQHHQRSH QHPQPPPIQTAHNNTPVSLSGSASAISAAPRSAPVISRRFPHGSAPQQSPKARYHIPP HQRRAHARKAASFSGQTSKCTKSISSNGSTHSGISSNSSSSSNNNNNSNNNSYNTVIN RAVKSVSFVNFTPEDSHKLLTGVAPSGSSKTKARREQEARDKRRKLSEAALLAVRRAG GDVEALEAVLC TRV_02943 MFLVGNDLAGNTYWEFKDSLKPGRLRRIVKASPKIHYADVKVPL VDGWTAQWHQWLRYVRPEPPSIEEQQNELLRQQRIKYLAQQADERWASKPSFLDKPAQ QDAPALQSQQPSYHTTRQGTGAGTAEVLQEQQPDAKGGKKMKNPWDRAAGGPSENWQP ESWTPNVSKR TRV_02944 MASVTSLDKDLRNMRLSKYTPQAANEVRDWIEETLQEKLPKGDL LEALRDGVALCRLVNLAVGPPGVKFKQSSMPFVQMENISHFLHACQKAPLSLPPHDVF LTVDLYESKDPAQVLQCLGAFSRKAYALQPSKFPRAIGKSKTGALSPQMSGSSQGGRF GSPYSRPRGQSNVSDVGRASPTMPSGRSSTASPPTKTSAWSSKADEATTAPAWNIHQY GYIGGASQGSLGVTFGARRQITTPGPSVPSLAEKEKRRREEEEQQAKREAEEAERQRA AREAEEERAKEEEQLRWEEEERKLREQERQKVEEEKKRWQEEQRQWEQEEARRQQEEK ELEERLEKERLHKREAADARLNGQFLSQYQTAQKPVRGIASPLLEQKAAASSESERIK ALERELERAKEREKQYELERQELVALRGSPQPDASPRVRSPNPDGNRPTPTPQKPSYD LASQEQERRMLRGEWNARNKEQRPTTPTPAPPSLPPRELPTPPAMPPRDTNPPTSPRP LPDPTNYANKNQPQNRTDRFLKENPAPIPRKPVAFRPPEFSSTAEKDEEDRRRVESTQ KTRAGGWASKSLLEREMERERERQREWEENQAQTKDAVKRGVKTAGTGPGEGGWDVHQ YGYLGGDNQNNAVPGIGFGARRQIIGPRPPP TRV_02945 MKEPLARRSFLHQRRLIAQKASCFHSMASETGYPPVQALPSELR HYCSAYLEERLYTQAFNLLSSVISSRSTASGKVFAPPSQYLALAATLTVHPTTTTRSK SDEYLTASNSALHLLNLANKILGPIGGRFRDTFVFDRFANSRNERKYTTDGESTLSGA DGTRVEPLNLDLAQGNSLWSRAEDFWHVVGWALNCSILHPKRWARWHLFLQFMCNVLE DDWKAREKEFKEMPPADSKSKRDCSILTESLIFNYISTTSNHVGSDRRILRAIFADGS QSSTNEFREIFRNELQEVSKKKAQASRRKGDINIDEEIYGDYLDNPDQEEEDEEDQDD PIQKTSGGSERVEARVKRQRRSTRKKSGKDDIPSDHGDDGPLSAQYDKLDHLGSLESL TIRQRLLYLLSCVSNSIPEHFMTLPRLYSFYVEFIRHLPLPTFQLFASPSVLTHFPPA CQTTLCEMLLFSILENAAPASTENFLSQSKLERCFLPFTAKTNSVIDNARVSILLESL IRLLAISGLIFSRPRLSDAVAKGIEARSKKARIDVKRGQNKKLTEEFGWVWLIESGDR MVHFVNNLLPNEPEPDYIVIGLQ TRV_02946 MTTVRNAPTPLHQWVVSGVTNLLTSQMPAGTRVLYGSPIQDLPF LKEDQRIPDLQLLYQEHGALEPKAVLSVETGFLQQYESLQHAVRRAIDTTQNVNVSLM IRLKEKPAFRDPFSPTSSGLYQHPITKEYVDTATMLTYFESLSHTEPLPKNPNDLESP LFFLGTRWVGRVEGTLEVWVRDKVTQKAVRKLDPILFYGSKLRVDKDTPYIDARNEDV QLGLNLSDIIISANDDLKKPFVVNWVELRECINEGRMALAMSRCWTAMNHIAELNGKK K TRV_02947 MSQTRAGRRRRSSSIIYQEPPESIEHTSDQAALPNLNANWVNAK GAWTIHFVLIIALKIFYDIIPGVSQETSWTLTNISYMFGSFLMFHWVRGVPFEFNGGA YDNLNMWEQIDNGDQYTPAKKFLLSVPIILFLLSTHYTHYDLTYFIINFLAVLGVVIP KLPIVSCTSFSHSAFLYSRDMVSNPGFNSHIACE TRV_02948 MQGLLSRRKTQPETEPEQAGLEFIMQQKKRITLARCYATVWRVS VGVELACLLCLLVGFFFCVLRGGFFELRDMQLLTKTGPLPWLAFFSFQFRRLFFWIKR QTRPTAIKGLSSTTPGVEYRATGEKKALPEMSGAAEDAACDSRTASVGLAPAKGAQRV TAQSEGAGQPPSVNWNNVRKGKVRTALRRPASKPVTASNSFNKVNGQYWRSGSASEDE SEAGTRAEESNAVVKDGSDGDGDRSSDDSTDPSDVDDNDSSILLNMDQPSTENPQLEP QPVHTNEEQPPMPLDTTTTVEIKEETGQLEAEQPAIKDEDIDTTAQTAYTSKYSVPPQ TIAELNAEDFKKQLKYILYNSTGNPDPSTPVRCIECFREGHLSDICPNKKGSAAEVPC DLCGSDRHIESQCDLMWKQPHPTYTTGKLFISISCAQCASSQHLIGDCPSMRAPSHST SWSLKALDPSIISNTSLSSTGVPNGRTNGAIPQLKIKGRAQREPTPEEHELHIRSRPQ PPSAPRSNIKFAEGLGRGRNLSSNQPRSNDSGSDRYTPRDSQRDYRERDQYFNPNSRP RSRSPESRYGGNHRRPPLPPSRGRGPPPPSRNPGRDRGKGRGGGGGGGGSQRAGKESS RPIPGRALMDCGFMSVRHIKEEQQSRMTTRGTKHVRSSDLLDFPDYLVKSLGAL TRV_02949 MTADARVLILDKPNAAQMNQDLMQAFTEAIQKNPAADLLSLIGR AYVRAHRVVQYRELSDAGQLNLQTANDLYDAVIANPDINLRDRFPSDYDRKYRWAKPK PVVAEPPKPVKPRDWFKERLDNIHSASIVYPLSDQASALLQTHSKSRDYSDEEALATS LKSLVLNSERLFDNIIRGAVVKANDDIAIKVFPDNRDLTEYHNLQYLASHAPDLPVPR VHGLIMLGHYRVMFMSYIQGVTIDKVWSGLSHEGKVSLKEQLGNIFSRLRCLRQDDGQ ELGGLGGEGVKDYRIMEVFAYKGITTAKAFNDLQFSAKHRASPCYAKLLHSFLEIPNK SLRGAVFTHGDLKKSNIMVKQTSEDPNQYTITGIIDWEDSGFYPEYYESTTISNGQSI MNDDDWYLYMPDCLSPLQFPIYWLVDRLWGNLLWNWRTDIVR TRV_02950 MAPTVPLMIVIYDNPGIKHWSLFIDAEEKPAKTLIHLLGARQRY FRDVRTPTPSDARNSGSVVELCALCEIDADKTEAIKNIAWGTPVRNEELDYSCQDFVL DVLEGLERADIVDAGNPDYQRNKGIVKAKREAWQ TRV_02951 MGVDTRRPILPAPTESVLDTTVASTTGTATDLATDLSRRTDKTS YSIPEDGSPITISTRRRSSRPRDRDRDREEGGGGGGGGGGKMSRSSHHSQTSLLIEYF EGGKKGSGSGGISSRPSVRVRVTPSSSRKTRDRDRDRDRDRDRDRIHISENSGGRRPS YTRRISLSTPTRSSKDAGGRVGAAGAGADDDGSLSSLTDGADDIGASRGHPVEIEIVN RDSEVSSRYIQPTSDISSMPADSMLDRPLVLSETHTNRPRSHSLSGDETEPASDSRDL LKTPSRRRSRSLSRERIAQKAAEKISGGAGAGAGSGSRDRTRSKHRYGEGSSSGGLDY SSKRSGKDREREMVSPESSYLSTSLASGNNGKTGNGDQYSFRSGTSRSSLNNPKLLET VEDAIRRLILPELKELKKDQKDDPLARIKAGRGAAGAGTAAHKSSKEDVAASSKLAKR FSAPDVSRSLGLDAERNNTSSPVRSPDSHSSSRSKERRREKRASPGRERSSSRQDHLS VEAPGVSRKKSKGLRDAEAAKIVGTALTTAALKHHDSNSSLGRRDRVHKRSKSSLASG SRGAGAGTAPGYNDTELIFQKHDVAPMPFRSGIDSDMTRQSILSQQSAQTATALEGEV SRGSPRALASPIGRMTPDDSGNQHARDLGSGLSEEITREYNESPTPKALEPQHHHDLD LDFSNNRALSPIQSVASYQADEHHNRSLTPGQEGDEASKQPQRLSIESLSSAASTDLA RSTRTADYSHRHPPTIPDEELGSKLDIGESQRNSPSYWDHDEHEHHHDHDHDLDHDRD IDIDSKHMTNYTDDTFTDPLDQGQQIAGCRATNVQYVHTPVGVESAVASLLDPSQVST RSPHPLVNRSSRSYTPGMEGDEGEGEGEGPSRHLDLNEEDEHEAEEDQHEHDHVEEEE EPYEDEEEDADVDHQHEDDRAVDDDEPAGPPSVDERPFHERMGVTSPPHSTAQSEEDE EDEEEDRPILGANALPNSGSPIPEIGHMVDTESDINTNPSIIQGPIGGVPHEGRDHWP YEPSPPQSGRQLLSPERELNDADLPGADYGAAGGLGVISEEPGRDRFDLDKGLGPNPY GNDHFDMASPNPRDEGYISGANHRSNSSATPEPKGKGFDLSNDIVGGLGSPMEVDDPF NDKHKRHLSGYSHGMPSPLYDSSTGRGIDRIQSKDIVALMDHLTVRDAQRNARDTEIL ITLVRSAAEMRNSFEDMKKYIAQQDEMLLDANSKGHDRTQKAIGGPRPMITPRTHSKM SSEFEEEMRSKKKNVFKRALKTLSLKSSGDLTNIEEMLLHLLTEVEALRAAQEGRAPV MGTSAENINGNGQGNADGYDDHDRSGATTAEQSPYNSSPLRADVRVQSSQRNISPVME ENEEEPLTRDEQEILENPTPPGSRFVGRHKRGASVPLATPERVPVASPPSADTTPKMS NEKSRKTKSSSSSFFPKISRWSRTTASSMGENIRNSIQPNRKERLSSDASRSNSDLEN ANYTTAEYYDHRGDDRLRSTTSVNKDAAGTENRPPSPLVPSQVSEIPKYRAHRDSLNL QHPQPRQGPTSRYQTQLESQAQHYGVPTSPNSDAWASNPTLGALNRDRPSSAADNHRP MSAGAYSVGSSIMSQSGPARPPKIRDDGPLIPQRSSKLSDDPNPSYAERMAMREGTAR MVDHVVSPNPISNRLNSNAFVY TRV_02952 MEKGRDGRRGPGRWLTGGRKDGEEEEKKDSVEEEERWKRRVLDG GYLSGEVKETLMAGGGGGMDRPDRSDSQTEQTEQTSSSSSSSSFSLFKVPPSSCNCTL VFFPFWFFSSISSRQATGTSRASFVFSRLSSLPPPLTTKHRQLTNHQPPRHPQPPSHS RLTRKKTKGQQEN TRV_02902 RNETDFFTGEPLRALSTLVYSDNVDLQRSASLTFAEITERDVRE VDRDTLEPILFLLESPDIEVQRAASAALVCLFFFSFFFFSLVFFVSSTQTLEADHILL LAENKVSIVMLGGLAPLIRQMMSTNVEVQCNAVGCITNLATHEENKAKIAGSGALGPL TRLARSKDMRVQRNATGALLNMTHSGTYPCQHLRVCSPTNIFILTDENRQQLVLAGAI PILVQLLTSPDVDVQYYCTTALSNIAVDALNRKKLAQTESRLVQSLVQLMDSSTPKVQ CQAALALRNLASDDKYQLEIVRARGLPPLLRLLQSSYLPLILSAVACIRNISIHPNNE SPIIDAGFLKPLVDLLGSIDNEEIQCHAISTLRNLAASSDRNKELVLEAGAVQKCKEL VLQVPLTVQSEMTAAIAVLALSDDLKGRLLKLGVFEVLIPLTASESIEVQGNSAAALG NLSSKVGDYSIFVRDWTEPNGGLHGYLNRFLASGDPTFQHIAIWTLLQLIESEDKRLI GFITRSEDIIQLVRAIANKNLESDDEGEDGEEGTAEVIALAQRSLELLGFDSKHS TRV_02903 MAASIPSIAVPGLRLGDSSTFTSGPGTYVRDSSICASIAGPVIL KTDDKGTDPKRKPRKILTVSRSPQTDTPNPTQKQQQAKSPLKFNTLPAVDSIVLARVT RVQKRQATVSILMVVDDQNNDLIDTNMRTILSSAPIITTAGTDSTSTSNTADDLWFQA IIRKEDVRAVEKDRVVMEDMFRVGDIVRAYVISVGDQSSYYCSTARNELGVVMARSEG GNMMFPVSWKEMRDPVTGVGEARKVAKPF TRV_02904 DHGKTSLTDSLIATNGIISPKLAGKIRYLDSRPDEQLRGITMES SAISLYFSMLRRSAPEGTPDKREYLINLIDSPGHIDFSSEVSTASRLCDGALVLVDAV EGVCSQTVTVLRHTWVERLKPILVINKIDRLVTELKLTPLEAYSHLSKILEQVNAVIG SFYQGERMEEDLQWREKVEERVKAAAIKEKDRSKASAESGNQIDAETAEFEERDDEDL YFAPEKNNVIFCSATDGWAFTVRQFAGLYEKKLGIKRATLEKVLWGDYYLDPKTKRVL GSKHLKGRRLSPMFVQLVLETVWAVYNATTGGANSTGDPALLEKITKSLSIKIPVHIL RSRDSRNILSAVFSSWLPLSTAVLVSVIEYLPSPIDAQALRLPDMIDDSPDASYVDPK VRDAVVNSKAGKEDPILGYVSKMVSIPESELPSKTRRTPGGTMSADEAREIARRKREE FAKLRSEANDGDVSGLANALADSHLEEAKVEEKVDPEHLIGFARLYSGTLSVGDSIYV LPPKFSPMNPRASPEPTKVTVKGLYLLMGRALENLESVSAGVVFGIAGLEGHIMKTGT LCSQIDGAVNLAGVSLSHPPIVRVALEPVNPADLNKMINGLKMLERSDPCAQYEVLPS GEHVILTAGELHLERCLKDLRERFAKCEIQAGESIVPYRETIISAAEMNPPKNPDLPR GTVIATSGSKQLKIKIRVRPLPKSISEFLLKQAETIKRIYGRRRHLSTDATKESDDDT PETDSPHEEDREEGATSSRNTLSAEDFRKELETCFSAVKEDKEVWANALAKILEFGPK KIGANILFDATPSGRYEKLFADGSNNKSARDSALFSDKISYAFQLATSQGPLCREPVQ GVAVFIEDVTLEEIGDEEHTRLTGEVIRLVRDAVWQGFLDWSPRIMLAMYSCEIQATK TMKEGTSFFTILSLLPVAESFGFSDEIRKRTSGAASPQLIFTGFEMLDQDPFWVPATE EELEDLGELADKENVAKRYMDSVRSRKGLVVAGKKLVKDAEKQKTLKR TRV_02873 MQCGTANATPFLQVVFESDSGHRRKSSFVSPDTPRLARRHETQT SDQAACFVHSLLGQPRAVQLDHIDEHGKAVTPSVGQQFEDGGDNTSGPSRLLTKKELS DMAWNVRALSKKLGSLKLKLNVHSIFILTKPQDQSLARLTKEVTSWLLGPEQRVPYTV YVEKRLENDSQFDAQSICKEEPTAKQRLKYWDNCLIEDHHHLVDFVITLGGDGTVLYA SWLFQRVVPPVLSFSLGSLGFLTKFDFDDYKETLQRAFTEGVTVSLRLRFECTVMRSR RRSSGQPQIERDLAEELIGEESDDNVTHSPDKMFQILNEIVVDRGPNPTMSSLEIFGD DEHFTSVQADGVCVATPTGSTAYNLAAGGSLSHPENPVILLTAICAHTLNFRPIILPD TIVLRIGVPYDARTSSWASFDGRERIELHPGDYVTISASRFPFANVIPSGHRSYEWIQ NISRTLNWNSRHRQQKPYDSKYLEQTKAS TRV_02874 MNQEAAEERRKEGEEEEEEEAIHTPPDRTEVSALPIALQREISE TRV_02875 MFQQGSGYLAAAAAYPYSDTFDQDIIASRQALQSTARTISAEAS SRLASMAHHSPEHTMLALSNRPEVHRNASISAAGLSRPAYSSPNTPFYHQQCAYQPAS VPATTMAASSYFPKYQQTQFSQEQTVSSNYVYSSVDQSLSSYCQYQMTPDGYYDTYFP TSTMSMAYTQQQDVDMTSSTYDATPLSHAQHSLPAMESNTAFSTPDLPANQQFPEPKT PPPPTSSNSSCESVRDEDDLVGVGLYDEPLPTSYWDESLSSSVDPILYPYPTTRKGLK LEETLDPSTLNCSDMDADGDEEEEGDEQPQKEQPVKGSGEPSNANNLNTSKEGQLFTV DDDTSYSSAQVNWTSAPPVMLNSYAAFPGSYQNVFPTIY TRV_02876 MYQKAMAVGVPASFVELRHEATHRDLPSLVVLRDATRRSMNWLW EFYWDKIDLGSRCICEPSPGDEPELNDDEKSDILQQNLTFLIHPLINYIYITAGIHGR QNRRKQQPGVKEGAIQNIVALCRKEKLAGAQLVEILIDRDLGHLSSCGYGKDAMGAEE EAGALFVVWDDVLKELSRSYEPFLTLLVEGMTAVLVSADADTFLDVEDWDDLVGETFE EELFQWLEHILTDDAWASLCKQYLVPTHARAVCLESGSAEGFWASEISRILPGAYIPR ESENHTPSGAPDASLDQNPDIAVLQNYGWDFGQAISCRPIGASIDP TRV_02877 MQGPVPVTIMHISSQMMHPKMPWCSTGTTPKADRPNSHHDHAGG NEGILKQFGKLSVIGGKDCAHVTQTPEHGEKFKIGERITVTALHTPCHTQDSICYFAE DGNERVVFTGDTLFIGGCGRFFEGNATEMHKALNEVLASLPDDTRVYPGHEYTKSNVK FCSTVSQSEAVKKLEEFANNNQQTQGKFTIGDEKLHNVFMRVTDPEIQKVVGATEPVE VMNRLRGMKNKM TRV_02878 MMGKVVDRHEDAKKLAADIRMTSRAFGFGALSRHKRAFVYTLHL AGTFCWFKIFNEHFYELKASDGPSMYPTIHFQGDWLLISKHYKNGRDIGFGDIIVYKK PHDFHSEVAKRVVGLPGDYVLKNPPLNGETVVEHDAQMIQVRWIV TRV_02879 MVKKKSSRGKSESEKNGQKTEQNRKLNTTITRVVRGIAAMAHCE AASKPILQCLRQAYRGRLAASQLRLDRSFSSTAAISTSAETEAAASKESFYKAIDPEL VSSPRLERRLIRAGKFPVGSRRRRAALQGSPNYPFEQLPFQCFQEARKVLQEDREEKL QQIEKERARLARLREADPATVGGEAQHQTRIKSMEKHLEKLKILADINDPLVKKRFED GMGDMSKPIYRHLANQKWREYRRLILIQRLTQMKVIPDVLPHIDPIVDVQLFFGKKQI QPGVFVDSRVSMVPPSLNIQSFDKGEKLVTIAVVDPDIPNLESDSFDNKAIFLAVNVP ISPTSTSVSLEGLSESQVILPWTAPYSLKGSPYHRISIFVMEQKDQKPLDRNVVAEKA NRDSRLRSLETRHQLKPLGATLFRTQWDDNMASVMEELGIEGADLELKRKRVEPLPYK RRNPASFR TRV_02880 MATEANLRSGSISTAQSPIITNLRDDIPKPVWNPAPAIVTLYDF PSMEPIQYLEYKPEHLLLPLRRDILHRAVIYEGDKTRQGTASTKWRDDVRGSGRKIRP QKGTGRARLGDKKSPMLREGGVAHGPHPRDFSTDLPRKIYDKAWRTALSYRYQRGQLI VVNDNISLERGASVHLLHDIFQTHGWGREFGRSSLITEVKKERLFRAVAEIGQEARAL DRADVDVKDLLETGRLIIEKKALDKMLALHSSNLGRPLGNM TRV_02881 MSSAASSTGAKELPGDDPDDVLFSKLYGVRLIELNRPKKLNSLN GSMEWEKSQLANIVMISGAGTKAFCAGGDVAELAKQNTEGVSGQQKSREFFGQEYQLD HYIATYSKPYVAILDGITMGGGVGLSVHAPFRIATERTMFAMPETTIGFFPDVGGSFF LPRLDGEIGTYLALTSERLSGVQAFYAGVATHYLPSSALGNLTTRLSELVFSDSASLR TRLDLINKTISEYTVYLPPLKEEPMLLSGSLRKSIDRCFSETTVENIISALEKETENP EWAQKTLKTLAQRSPIALKVTLRQLRVGKSWSIAETFQREDQIAGHFMAHPDFVEGVS ARLVNKPPTKPVWKHGSLAEVTDAEVDEFFRIPEGENRLPLLNTSTDYMEYPHAKFAL PTEGDIMKFVRDNAPVRASQVIKEFMVRTDAKLGVKEKVHEVLSRNTKETEEGLVLN TRV_02883 MGLSEAYNYLTSFYNKARRNARRQRRRANTSGDSLRSSSSQEVF HSAVLSPKKVRDSGIPTDNRGESTQISTRKTEAHSDHPPMVELRSTSAVNLVVQLQSH LSEGDSLMDKPADKPPAIPDRSPNRPKLPHGYNHPDAPTMAYQDTKTTNLALTTGEDV YNGMGISSKTSSYTALSEQSNMTVNHDPSKRAFSPFEPAVPVTPSEAYSNPFSDSKTM SEPWTGSRNVLVPLGSSQCFAHEMENSNNSYDNCDRDQALGRGGRRHSVRRSDSSTSS ASARPRLIDGSREERRGLIKAQSLLKFNGSARYLGLDVIILKEISPMPGIENSDTPAR QSSLLNRLRKVKSNLTVKRKDGSKHGLRRIKTMANINAHAEFGMLEGRAIEDLARLGG ESNITFPREYEPGILRLPTCMAAPIHFLLQHVRAERSKDTINRTMRSIRLPSGYVYKC ARTKGDAHVQDISTVLRHFLCELPGGILGSSSLYSVLAQIHGKNFTEMRDLRDPGRRE YIKGVAMPVAAKVRMITLALLALTTDMQLELICAIFGLLALTADECADRKVSHQYLHP PGTASCDFCITLPTPRTLGRVFGSFLYDVKNVRELQPTVQFKLAEGTQSADVATMLIE LWKHISTQLRRWEVFENE TRV_02884 MGLVANVFTEVERALGVAPPETISIGPERPLYEACRSMLSSRAR RVPLVSYDSQTERPLVVSVLTQYRLLKFVAVNVAETQKLRKPLKEINLGTYTDIVTAS MDTPVIDVIHKLVERSISSVPIVNSEGVVYNVFEAVDVITLIKGGVYDDLNLEDFPGI YTCSVDDGLDTILDTIRRSRVHRLVVVDDQFRLRGVLALSDILHYLLLDGEQDEP TRV_02885 MASEGTAAPPQDLAKENVKPEGTMQTEANKNEKPEPAEKTVDIS DLPLSAADGLIKTLFRGPLDSCKPTPPAELTAEQKSKYDSLLALVRGWTTIPTTSAKN APREPLSDDECMFLTRECLLRYLRATKWNVASAETRLQATLTWRREYGVKEHTPEYIS IENETGKQVILGYDIHARPCLYLNPSKQNTEHSPRQIEHLVFMIERVIDLMGPGQESL ALLVNFKETSSGQNATLSQGRQTLSILQNHYPERLGRALVINMSFFILGFFKLITPFI DPLTREKLKFNEDMRQHVPPSQLLKATGGDVEFEYDHSVYWPALNALAAQKRQAYRER WIQAGKFIGEHEDYLKGGSGKCLRETPPTAAEAELEEKMVNLAVGNSDKPQPATTATI S TRV_02886 MAEPTEQLNELFGGSFPDGLPQDVLGELLSILQLHAIEPQELFY KWESYCLKMAGEETVLNLDTVRSFKRSLHDAIERESRAKTQMRGTEKRTTGPAAPRPA AGANQGIFDM TRV_02887 MASAPLAPYSDSRIRLTANTDIKKFSYKPMAMKLSEASEILDDR IDEFMMIVQSHYKMDDSAFGNAAQQSTREVVAVGRIASDSPEGKLNTASIVLETSRRT GAGLRVPLKIDKLQHINFFPGQIVALRGINASGEYFTVFDVLAIPLLPLPVSLPSEVE ATNEKLDSFGDQPLNYMFAAGPYTTDDNLAFEALNALCEKAAEECVDALILTGPFIDL EHPLIAAGDFDLPELKGLDPDTATLTTLFKHCISRPLTQLASKVPNIYIILIPSVRDA VSKHVSWPQEILPKKELGLPPKQAKVVTNPVAISLNEIMVGLCASDSLYELRREEVVG GRPSESDLLSRLPRYLIEQRHFSPVFPPTARENLPKSGVEENLQIGSMLDTRYLKLGD WWKARPDILITPSVLPGFVKVVESVLVINPGKLSKRRGPGTYAQVSVHPKRLTEEERE SKFVGHKVYERARVDIIRI TRV_02888 MKTSDSQQQQQINAMNDLSSPANIPSFLPIEDIFVQPQFQPVNP EDEDDVVPDQHAAFGITRAMDSRRQVSWRDLGLEELMSGMRLVQGERGETRPARRGNN IGLGARGGTAALPGSNARRRKRMVCLR TRV_02889 MTQLPAPAQNPHTTTTTATTTANAFAIEEDSRATASDCSSEQLA IPISDTASTMATPQDQASAPAPPAAATQPGAQSTPPVDTAPSKTPKPASAQKQAKEKP AAPDGTEKLSGAELKKRAKAEKAARRAKEKQERPRPTSEDQEDDTAPYAASTGSTVSS ATSTPQGPLTPKKAASVKSDTSKSSSKSSITRHGSVHTLPTPPAPVESTSSKKKRREV VDEKVVAVFGHLPWYTRRTGIAGANKDVHPAVLSLGMQIKDYVVVQSYITPPGTSLTR HLTTHLGHQIAFLASCRPLAISQGNAIRALKLIVSSIDPSVPEPDAKQEIYDFIDNFI REKITVAGQVIANSAAGKIDDGDVILCFSGSSVVQRTLLTAHKQGKKFRVSIIDTRPL FEGRNLAQTLAKAGLRVQYSLINGISQAVKDATKVFLGAHAMTSNGGLFSRVGTALVA MSAKEKSGGMNISVIVCCETIKFTDRVALDSIVVNEIAEADELVAAEPCTTLTDLPPP VTNVKPETSKGSSSSAIEIPAHLKNPKLPLENWREMPNLQLLNIMHDLTPAEYVDMVV TEMGSLPPSAVPVVHRMSTENQS TRV_02890 MFHNHLSRLHDILSEFAHILKSDSRNMALDPRFYSNIGGQSDHF ASQGRVCTPPVESPAQYYYPGATPSMASFTSASSAALRSTASTPSFRSRESVMAPAPK VDAPISSGGNVKVVVRVRAFLPRGLTPYMFPIWIQIFSFFKLNSNSRSKAVEDKSFTF DNSFWSHNRADPHYAEQEDVYNCLGEEFLDHNFEGYHTCIFAYGQTGSGKSYTMMGTP EQPGLIPRTCEDLFQRIENSNSPDITYNVRVSYFEVYNEHVRDLLVPRTDPPYYLKIR ESPTDGPYVKDLTDVPVRNIDEIMRYMRKGDASRTIASTKMNDTSSRSHAVFTIMLKQ IHHDLSSDETTERVARIRLVDLAGSERAKSTEATGKRLREGSNINKSLTTLGRVIAAL ADTKQRQNGRKARDIVPYRDSILTWLLKDSLGGNSKTAMIACIAPGDYDETLSTLRYA NQAKRIRNRAVVNQDHISAAQRDAEIAEMAEMIRTLQLSVSQQAVTKRESELQTEKLE EYQKQVTRMQQLMEEMKMVSETKIRQLQTENEALRLHLKLALESLKNPIPPVQVPKQR NRQSSVRSLLAKDEGNIEILEEDAEKENTAPPGFDDQIGDSKPGLSRFDLEALEVQAE MEDLLSDLNMFRKKLADDCERFGVKKRPARPSHAARKVLGELEANNN TRV_02891 MKPYIDGMLRGATQGPDIQPTPVSVPAREAVAGTNLAHQPPRGQ VRNASTLGEVESLLASAKSSCAVIFFTSSTCPPCKLVYPTYDELAEEAGSKAVLIKVD IGRAYDVSSHYQVRATPTFITYLKGKKENQWSGANPAELRGNVQLLLRMAWPPHPHSS LKLPSLERHIDQYISYRKVPPLDKLLSKVGAAASDANIVALVNFIKASNTDQPKPPLP DLSQLTFFVKTKFLSLPAETHFAILDLFRVAFADPRVSGYFAEEHGHGTILTLLSRAN DLADTPYSQQLVMTHLACNLFTSHLYLTQLSSHDALRHTCIKLATNALLDHRSSLRAT GTSLIFNLAAANHNKRLLDPPEAESLPEADQFELVASVVEAIRAEKESPETLHGLLLS LGLLVHHAPTEGEVVELCMALEVESIISEKTALDAFKKEKALLQEIGQELIGKGLSLN TRV_02892 MKHAASSAGPKEKKPRLSKLAKENNITSEEEAEIREAFHLFAVT DNEEYASEKEGVIATRDLLICLGCHRALGLAPTDNAELRSIISAVDPAGEGFVIYEHF LSVAALKLHNRSEDSVSAEVEHAYSLFTHGTEGPILMSHLRAVAKSLKEDVSEELMKD MIREANGGDGIQSGVNIEQFKDVMKRAGVF TRV_02893 MNSYPGYHYPPRQRSSIRGNHHDPPSRHAGDRTSLIYALVSLAS VYFLLYTFGISPYFFPRLIWRIVVYWTPSRLVAALDKDKTQKSSASDESYTGYRNLYE KGQAMQRIIGHTTTSIIPLLPRSRAYTSLSSVLLGSNDVYPAGLGNWDNSCYQNSIIQ GLASLRSLREFLELNIQNLGHRHPLSTHIALRAIIDKLNDPENAGQKLWLPAELKSMC SWQQQDAQEYFSIIADQVDKEIRGASKGITSDVGLKTVRQDGHRAEMTLPSPPLTEDG QTTPDEPDTDRSCVPYCSPLEGLLAQRVGCMECGWTDGLSLIPFNCLTVSLGKKWEYD IRECLDDYTSLETIEGVECAKCTLQRTQAQLEQLLGKIDPVEESQEETDSGKLNEALR RNAESRLNAVLESLENEDFSEKTLKEKCHISSRNHVASTKSKQAVIARPPKSLIIHIN RSVFDEMTGTLRKNYADVRFPKSLDLDEWCLGTMPTNGDSKDEVVETWGINPSESMLP RPESEINSFDRRYELRAVITHHGRHENGHYICYRKYPVESFPIEVPQPVIEADGIKEK KEHWFRLSDEDVTLVSESSVLAQGGVFMLCYELAGSSPGVSRGTSQAVPSRDTKSISE SQVAEDGIPLGEVPRWPGSAAESRTDETDWSRTNTDSISSHTSNLSENSSQSSIGNNE EPVINNRPQKLATPMKTSNGPNIGSHENPSASSVPQLIKAL TRV_02894 MAKTPKLKSNGRSKSAHSRAARREVSPSVNIDKSLTSLPRAERT STSVPAVLGTRHNSGVSKKSKKPKSRAQRLRQEKGLERAEVVLDKREKKVTKSVRKFS GVKARKATWDELNKKISRAPEKVKEETKDVDIDDMDEMEAEATIPAPQASTHIPTETA EQTGLELDEDIT TRV_02895 MVAEEYGVVGCRFWSTGFVALLSNNQLVAVSHYDEPRPKLLAQS PEGEINSWSLIPPTYTLSRSVEVLLAIDKSIYVVDAADCEDRMLQNGPFKHVSVSPNG LFVALFTGDGKLWVVSSDFQNKFSEYDSHARTPPGSVTWCGNDAVVLAWEDEVHVVGP NDIDGIRILTNDVCEFLHKVPDATEEVFRLGSSAPASVLLDSVEQLEKKSSAADENIQ RIRANLVEAVDTCVRAAGYEFNTYWQKQLLKAASFGKSILELYNSDEFVDMCEKLRVL NAVRDYKIGLPISYEQYMRLTPEKLIERLINRREYLLAIRVSEYLHLPADKIFVHWAI QKVRSSTEDDDSICQIVVQRLQGKQGISFESIARSAHEEGRSHLATQLLNHEPRAGKQ VPLLLSMEEDTLALDKATESGDTDLILFVLLQLKRKLPLATFFRTLNNRPIASALVEA SARHQDEELLKDLYYQDDRVIDGSNIHLKDALKQTDLHNKTDKLRVAARLLSDSKDTA VQAQLKSLNDAAYLLKIQDGLDKELADNNDLFTGLSVNETIYRLIRSGYGKRAIKIQN DFKVPEKTYWWLRLRGLVAKRDWGELEDLGRTRKSPIGWEISPLFVLIAAPLSNFLRL EANFITLVALL TRV_02896 MDTCADIVKKIEDLTDLEFAILLCLIAKESCLIDTPSNTLDDLV KELILICREIFGLSYAVIDCTADTSLEEFNTTIIERRRRPSELLQREENILKARLRYD KFYPHPEDIPFFISILMPLLLGPTNGASQSNYTDVSTRGHDRNETVNVIIAKNYDHIS QSVQIQTLEV TRV_02897 MAAAGASHLQWLKDAIEELDEAALDQPLIFNSIGEISSCEMSVF GPARFDEEGNPAFYFPDICFDNCFSNLPSGLHPDNVVRYKFQLPRMMLLMHIWLVQLG NVELRTLENIQRAHAFWNSMNLKTVDGRMYAYYQNWIPDAIWRLRCDILRICGHGKPK TESRPGPVKGVKFPLLSEGRKKCRARHEAFLKREEQEKNESKKATEHQSMKTLCSQVV GELIDDGPSKPKFQRNKADNSKSETENKPCIAYSGRITRSRHAMMEAQRQRSPSYSPS TDSGDTLESWQSYQTRPDVDLFGHAIQVGEDIMPRAIRSKAARSPNK TRV_02898 MECRWPLVLRFIKGAIHGAILLPVLGHAIFTVIVVILDKHVFDT VGVPPTIIPSLSIVVGLILVFRNQTSYNRFWDGRNCLTTITTALRNLTRTILVSSRNP NGPLSDAEKQDIERTIRLLIAFPYAVKCYLRAEWSTEWGAVSNPNMIADVVRRQEAGG PKQEFLSLLPAGFQAHEADGLGLPLQLTFPIDAFIQRGADRGWYDPPMANQMGNQVSA MIDAYGKMETIKLTPIPVAHLIHQKQVLALFGCVLPFAMVDDMGWWAVPIVCLVIFTL YGIEGIGSQLEDPFGYDKNDIKMDAIVEDERVEIEAILNEWKKLNDLPVDGDDSATNG ARNGVRNGTSNGTRNGTHNGTHNGVTNGNVAPREMFIRS TRV_02899 MTTTAPPACPSTSTPSFGSRLRRLSQRRPQTHAPSTVPGPSASS NHRSYRKRLSWVVGRGSSHLPAAPEGEEDGAPHHHHDDQHQHLNLTDVDHDHDHDRNN SHSNGQSQENSDLLHAELDRSASAELESSSQRYSVFSRSDAESSESSTSEDGPEQLAD AGLRSRRQQTSASQDTTSYTMAQALNMASVAVGAAASSPVSPTAAVAAAETGRAPGQP TASTAHPTSQNGLSSPAAGESTEMSTPEANSPGQQQHQEQQSIIRFFAYQDPHQNSRP SLPFAPMTRTITDESTIIKVGRYSEREGIPPEGAAGSSSAPVGFKSKVVSRKHCEFSF IGGQWHIKDVGSSSGTFLNHMRLSQPNVPSRQYAVRDGDIVQLGIDFRGGEELIFRCV RIRIECNRMWQQRANQFNKNTEALITNLGKGSMDATDYEGCRECSICLGSVLVSLPVY IWPFFIPTFHLTSLQRPYQCLFMAACAHVWHYKCIRRLIHSPEYPMFQCPNCRAYTDL NAEVDDSNDPYEDKIHPSPNTQQQQQQPQQPQQPQPPQPQPQPQHLPPQAPENNTSDT NTSRTHTANNSNSAPPIIYTHTETDGTLATATGNMTLESDPEPEPEPEHE TRV_02900 MDGLLYSLAVVEGRHATGRQAAKMKTTGRRLEEKEEEDEEDEKD EKKRYRLRLQAVRQQDFPATYAQLRRRWWHDQKSTSQPLLEETATTVSVTAASSSYLG FKFQVCPGGGYPAAQQGASGRKNYKAGGKEGYLKQLLAQRAKKEEAGPPKKRRRLPPT EHEMPNCSSPRRWASRGGDGIRYCFFSSLIFAALLRGRQADGSRRSSDGVCMTIKAGT DARERLEIQFGGSRRNN TRV_02901 SSLGRLSSIQSASSYGVLFFFLFFIIIVSSCPARISSTFLLLSS VFLYFCGWEMYQKYRDVTWFTLRDVVTMVITDRRREEKRTNKRQRRRREEIVMEDEKS IYEMQATKR TRV_02817 MAVPDTIIPPGLPDTSLWSPLQFPGKRGGKVTPLISNSDATEQR RKVQFDPRTHLQFNPPSKVHSMKELGYDANAGISPIGVSEPFSLFSAEAIRCMRSEVL SKEVWSEYQFSSDLAMCQLREFAPKYAPFVYDAWKSPETLAIVSKIAGIDLVTAMDWE IGHVNISVQTEEEKNNALAEAVNGAAGTYDDDIAPIVDWHTDSYPFVCVTMLSDCTDM IGGETALRRGDGSVVKVRGPQMGCAVVLQGRYIEHRALRALGTTERITMVTSFRPRCP TLKDDTVLTTVRPISDLSELYFQFSEYRLEMLEERIRSQLKEARDARYAGRPFNTRKL KEFLAVQIEFLTHMNNEIVENDKVQKGVTDDSHLRSADLKERSRKRALATAA TRV_02818 MPANNAKRRRSDDVDFSSNHPTASATPSLPAIGEKRDADPSGVS WWLAGAAPPIRYLFNADGSTAARDSPTPYRRPTKPYLLRLQWFKSQGHSKTCPDCRAP VKATPAPAYLVRNIVHMFIGRSELTDANETTQEHLANQVAETEKVERDKQNTDPRTGG LFQGCFNNTPIPLYDNAEGVSRCPGCFWELEDGECTHCGYTVSDSDQDQDLDEFEVME QLRLMGDAFTEDEDGSDFIGHRHNPGFEYNFLPYDSGHITDITDMDADSEDVYTDDND DITDAGDAESNLSEDESLRSESDSSGRSNSTARRASSDHGSQTIEPESTPSVQEISSS AQSEAESEEEPIRRPVRRRVSRPRQSPQHISTDQATRMTLPMILLASMRGTDPENAVV IDDSPPPRRTSRRRRRH TRV_02819 MSSFFTLPASQRKRKREDRVGAPVAKKRGVTGKGAPQSGDKSRR QADRDESISGSDSEGDERSEVSAGSEDESLSGSDEDETAAERRLRLAEQYLHNVREEV DEVGFDAAEIDRDLIAERLKEDVDESKGRAYRLVASTLSLSAASHSYFRADTNTTTAI AIHYPYVYTTSKDMSLIKWELSTPPPTTSSTQNGNTQSSRPLRKKPKKLKWAKGLRPS RDGRERQGHVSEILTVAVSPSGQFVATGGADNRLIIWDADTLTPLKTFTQHRDSVSSL AFTQRVSSSSSGEQLFSASYDRTIKTWSLSPDAHAYVETLFGHQDHVLGVASMITDQC VSVGSRDRTARLWKVIDETQLVFRAGGMSKVSQYSINSTDCVAALPPAHFVTGSDSGA ISLWSIHKKKPLHTIYEAHGLDPLPPPEKLSSEIDVDAQAAKTANVRQSPRWITALAT VPGTDIVLSGSWDGWIRVWGVSEDKRRLHPLGCVGGKDASKELSLSNGTSHALSDVTS MDTNEDNQPHTAPPLRGIVNGICVYERRGTDSAKPGRPLSTSTLKKKKGSTAKSHETN VKGLCIVAALGKEHRLGRWKVFDANDSKNLGCGEVGGRNGAVVFEVPFAS TRV_02820 MRGATILKAADDKTRQLNKHTLMAFSGEAGDTVQFAEFIQANTQ LYSMRNQTELGPSAVAHFVRGELARSLRSRSPYTVNLLLGGVDPITEKPSLYWLDYLA SLAQVPYAAHGYAQYYCLSILDKHHHPDISFEQGLKLLEMCSDELKRRLPIDFKGVCS HLSHKLQINTDFILARFW TRV_02821 MKFSTIFSTLLAAASVAVNATPIARNEVDSSLISARETTPLSTP FSAELVKRETEDSDTFAALAQAYGGELEDDKYYVLTAKWPLRDEIDNETPAELQELQK KLGFYHIGFIVGKVSIKESGPKKHRKTKRDFDGQVYDTIKKENKDIKFRKTNWDTTKR RDIEFVKMTTKKKADAINNKAKDYEKDHPVYSVADNNCNTFVQALLSEL TRV_02822 MGPESDAEQLDKWISGGCMTPERILNRLTESREYCGLRESEYIG LKEVFDRQGTEEAGIAYVDRDAFVSFLKGSSPVPSLFDEAGDILYSSVLYLSRYPFDL GTSRKMTLEQLARALIWALIDAPFNDLRARTLYDESNFCRGRTWADHRRAIFQSLATS RDGSTLPYNSKEWAEKARDRAYQFDKFDQSRLQFAAVNCDEDGDEMYHDVLDILFSSQ IEIDPCFAPVARDSFRPLAKKLHGDNTHLHHLTISRKSFHAFIKLLLVYQFGCNDRME WDALADLDRVSSCITNAFARDSNIGITWPMFDDACKVTPWLLDGYYRILSSLFGIPKE GERLGFDMENISTDLPSPTNILTFPVMAQLGIMFSRSSYSFYNLRLHRHYKPRLSEIS ISTVATDISATPGSAFFLVSGKNKETGDVCMFGAYIAVPSKDGGEIQSTEEEKVINWQ DSCLFELSPVHDIYLGKVGSPGWTSTEDNLRFGNRANGVAFDIENDLRNATVSHTVDG KQEPVYNPSSWRGNWQMKLDIEEVELWVDEE TRV_02823 MTKRPAEDSLDEPVQIDSPASKRPKIYSTEEPTDATAHARSLTD RTEQRWNGNGHNLNEETATYEGGEKETRDTTAEESDLEEDDNRHRTVRQSAPLEGYDD LYLDTIQRAALDFDFEKLCSVSLSNINVYACLVCGKYYQGRGPKSHAYFHALEVEHHV FINMETKKVYVLPEGYEVKNKSLDDIKYVVDPHFSKEEVAKLDKTVIDAFDLSGKRYR PGFVGMNNIKSNDYLNVIVQLLAHVRPIRNFFLLHDFPALSTPQLPLRFGVLVRKLWN PRAFKAHVSPHELLQEVAIRSSKRFTLTKQSDPIEFLSWFLNNLHLTLGGSKKPSSKP TSVIQSAFHGKLRIESQAITARSDSANARLVFSESSTTTSQVNRFLMLTLDLPPTPLF QSSNKDSIIPQVPLTTLLNKYNGITASEKLAHRVRHRLLHPLPPYLLFHIKRFSKNKF VAERNPTIVTFPSPHGLDMSPYVEPDPSVWPPGEPIIYDLVANVILDAAVNVPGGPEN AANSAETAKDLTETGPSAASTTTPGAAAGNHKVSWLIQLYDKAMATENAQQQQQKGPE WLEAQDLWVKRTESETLFTREGYLMVWERRKTKPLGSK TRV_02824 MGRRPYLNSLALGRSPYEAPELIVNGSAIPQPNRHLANSNADGY VQEYDARGHPINRRSKAEARQLRKAKNDVLSTMGIVVSGEELNTASMREQEKIALLAE ENDFGLALAAIDQLSMFGASWWTKSLACRIQTFKSYNIGSLTDIVSGERQRIGFAGFY FAGLPVWTVSFLLSVCRSHFLESALDSLHSTLSSLIDAKWYKKFIRGLMSVLSFGANS AVILVLGQGYMFSLLQSLQLLPPFSIPSLYSFIPFTANSLIQLPPLPSKLSAHTIGLY CISLATSPFILFNLYINVRPVVEARVYRILRRRLPKPDRPDKLSLRVAVENELIEWTV PSLGKRSEEEIKRSHFTLAQEIKYEFVTFKNWLLRSVGFHPTATKPATEEPAWLERYE SVQRRVGQLQQDLNPENNPDLPTAGLGQESQASHAINVNMVGQILEDDENRFAQSPIQ LPEGYFSDIRTSLPPTGNDTDLSIPSQHDIALHAVDSTEATAHVPASRTNTLFSPSPS PESSPPSSPRVRASLIHQNSDVITMHLELLQSHTEAEAENQGLNASVSLPNGVVHRTE SQTSAIDRAASDLLDALLSDSSQHPSNVPESTRERGHSIPNDPGQNGIDTTAHPPEDE PDALWQTPGINHTAPNPPQDNISSSSSEQMANGTGPQRQPLLDRPGLHAAEEWDHHVT ILSSHPVDAFSSHLSSIITSVLFYPFESLYLRSLAFTYLSTQSSAIILPLGATASLLG LQSNIRPLGAWMGGGNALDMLSYVGKMALIVGFETVISAGIWGLGASAAVTLGKVKFQ WGQL TRV_02825 MRILSLKPSDDSNRTIEVELLRSLKPHTTPVVTTAIDPTSSLLA TGSADGSIKVWDLRRGYATHTFHGHGGVISAMCFFEIALERLNEKQKPKFKNIQSNDD DQADSMASGVRLASGSEDGKIRIWDLSKRKSAASLDSHVSVVRSLSFSRSENTLLSAS RDKTVIIWDATTWDCKRIIPVLESVEAAGFLSDGPFCYIGGENGKLRVWDSSRGSEVT EDQPIGSEQEAIVTIEYSDSLPFLLTVHVDQSLKIHSLEPLSSFAHGGRIEPLPVSRQ ISGNDDEVIDMACIGRDRSLLALATNSEYIRIVRAKSMNEAEGSGSHFGADVARLEGH EEIIICLDVDWSGNWLVTGAKDNSARLWRVDPVSSSFTCFATFTGHAESIGAIAFPRS APAEGTPAFEDPLNHPPPFFITGSQDRTIKRWDTSKLNVTGSKPHTPKALYTRKAHEK DINALDVNHSSTLFASASQDRTAKIWSVEDGAVTGVLRGHKRGVWSIRFAPKDTPIST TTPGSISRGIVATGSGDKTIKLWSLSDYSCLLTFEGHSNSVLKVLWLPPPHISQTDED ISSRGAAQTNPLVASAGADGLVKIWSPSTGEVETTLDNHTDRVWALATPYTPSSATQS QGNTTESNYDFSLISGAADSVVTFWKDTTSSTLSAAVTASSERIEQDQQLQNYIHAGA YREAITLALRLNHPGRLLSLFTTAIDTTEDTAERDPDNLTGNADIDAVLKTLDDELLY ILLIRLRDWNTNARTARVSQRILYALFRSYPSSTFIELADRKNVLPNDGKSGKSAAMK DILEALAAYTERHYRRIEELVDESYLVEWVLGEMGGGVGSAAPALNGTDGEDIIMV TRV_02826 MQPESSEGVSKPKPFSIALSADSSRRSTPTPSTQSKPAAPFGTR ELSSRTRRFNDHDSASEDEGEPVPEEVTGFDHQAGGAIISNKRVKREKEPLTIKIESR NKWRDKLHARTQGVRRSVLPAEVQAQRKREANGAAESTMEVDAPEVKAGLSYAEPSAE AVATHTVAGDVERNGNGDNEGAGVSVTKEEPKTKPLSQDDAALQALIRESKGESAESK PSDLVITARPNENNSSVYDETKSFRRDIESRPAPASLSAYNAVPVEEFGAALLRGMGW KEGQPVGRGNYGNTPVTARVPERRPGYLGIGAKDLGGKGGAEVELGAWGKAAMRKAKN EGEGLYTPVILKSKKTGEIITEEDSGNHQRRDRDRDQDKDRDRDRDRDRDRDRDRDRD RARDRDRDRDRGSGRNRDKRDDQRRGHDYGRERDRARDRDRRRDRDRDRDRRRDYDRR TRV_02827 MLSDGPLASRHDEIAEPLARLKEAAFLDANDLSKGRLFDENTLP ERPRLETVLAECESKYGPSRPDELDRPRVPPPQSAAGITSHEQPTQGGLAAGNDQPQL TVSSAGVQTTEPPEPVPPVASFQHQPNGIDTSPPQHAAEAPAKTASSPDTAVQATTQA TAHPLSPPETNRTSSFNDRLGVAPIIVPPKAAEAASSPMSTGPSSSHTSATERSPASS STESVASIAESPSMGKHSTDEPPSNVQSQPMSTAVPSTPDEQLQLEAAMSIRNSTTPK QPITTQDKDGDQHMTEAPTELKEPQLKREPTESVTNSRPSSSSGPQVVSDGKTATKPV ESQRQPAEQQHPRPERMTTRVASGAIRHKSVSEILGESPKPSATPETEQHSRDSRRSS VFDKQAGTSHTSPASPSKLRLSERPDKERSRLSTVVFPKTSSQEKGKAMQLASGRDEE TRKSPNEEQDYLYTLFQAKAHYPPRTMSLNTLLSTAHKTLSTSNHFLEYHEQMDCRTL KRIYQLQHSNRWALRQLQRSPEPPRQATHWDIMLDHVKWMRMDFREERKWKLTAAKRC AEWCADYVASDEDCRRSLRVPANIPPPPAAEAPESDKLIDGHPDEVLPNNHPTPDLIH SADDDSVSDGFNDEHPDFDDGNVPAAIFSSGSDEFTFRMERTIASEKILGELPLYCPV QIAPETNKPAFKIQPDDVWKTQLLPVSKYAQGTIQFKEDGPPRKRSRYDYEQDTYYDG EEENGYRSLLPEQVDVALFSPEYKALRDRIHPGNAFRPPTEYIMPPLGFYESRQSSHW TLAEDDELRKLVQEYSFNWSLIASCLAPRSSFVSTSERRTPWECFERWISLEGLPPDM AKTPYFRTYNARLEAAQRTIMNAQQQAVQQQQQQQQQNGGQVNPSAQALIRRRTAQPL RVERKRSSRHLALLAGMRKLSQKRETNLQKQQHATQLASMRKVNEATQPHPPISTPQE FSQLKHERELKFLEKQEQYRQQMIAHQRAAMAQRAAQLNPSGQFNGMPVRPPAAVPNG AGGVQVPTANGLANGIPNGVAVSQSRPHPGMAAMPNGLPATGPIPVPSGMSMKMMPQQ GLQQPINGRPGLPIQTSPDNSRIIREANRLQEQQRLLQSRQQQHQFHGGQQGFAPQQG PHSSPNMNATPATTSSNPALMAAFQAATNGGSPSFAASSLTPGVMPASSPRMNHPSTS LNNAPSLPNVNNIQASLQRLHPTMSQEQVSKFATERLQQYQQQRLSQAALNAAAGNLG VGNLPSNYQAGNSGQQISQQGTVNGVTPVPLQNQTQGYINRLGASQPGGQQNRPGVGI ASPAMTNMLMHQSRSATPQSQRPSSQGGPQQQQQPPQQQQTQPPPPGKSPRPAQAQTA SS TRV_02828 MRRSDEMESMAVVVVLMAAVGGRRFFCVKFDKIASFLLFCCFSR CSKKGGRRRYGEEEDNIKRKREEEQAKVPAEKREKMARRETTVQIVAGSYERVLHGIN ATISLDEGNSKGQTEDVGEGEDQVKFTDTFLFQAHTSAVRCLALSPMPSADNKQQQNV LLATGGTDDRINLYSLSVSPMSADTGLVPLPSLAGNKVLENPRNRELGALMHHSASIT AMHFPTRSKLLSASEDNTIAVTRTRDWTVVSSIKAPHPKTQGRPSGDTAPVGGAPAGI NDFAVHPSMKLMLTVGKGEKSMRLWNLVTGRKAGVLNFGREVLTAAKEGKWGTGEGRK IRWDSAGEEFAVAFERGIVVFGVDSVPKCRVATSPFTKVHHISYLDIETDDEKKQLLV ASTEDGRLVFYSTASDSLEELVEGGDTTIPTAPFLFQLGGKAHGQSSRIKEFEILPLQ ENSKTIQFIVVTSGSDGALRIWTIKPEEIQQTKQVKRGKPSKSGQPVQVGRLLVAYET GNRITCMKAFIMREPIGEEELSEGDSEADDDDEDEDDEDSDSS TRV_02829 MATHSDFSDDESQPGSPVLGDSNGVDNFDDQEPLDHEDDSQTPL KSALKQPAQAKKPELPEQPDPSTLDLSTLTPLTPEIIARQATINIGTIGHVAHGKSTV VKAISGVQTVRFKNELERNITIKLGYANAKVYRCDNKACPRPTCYRSFKSDKEVDPPC EREGCTGRYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNETCPQPQTSEH LAAIEIMKLNHIIILQNKVDLMREDGALQHYQSILKFIRGTVADGSPVIPISAQLKYN IDAVNEYLVSHIPVPVRDFLAPPHMIIIRSFDVNKPGSEIEDLKGGVAGGSILTGVLK LGDEIEIRPGIVTKDEQGKIQCRPIFSRVVTLLAEQNDLKFAVPGGLIGAGTRIDPTL CRADRLLGFVLGHRGRLPAIYSEIEVNYFLLRRLLGVKTADGKQAKVAKLSKNEVLMV NIGSTATGAKVMGVKADAAKLSLTGPACTEIGEKIALSRRVDKHWRLIGWANIVA TRV_02830 MASQAGPTPLPATTILKSERISQAEAHEFLTAYLDRAASDPGFQ PDSTLSPQGPIAAGVGSSPNLVIHNLKRVQAGLAEEVLGKDLIYAKLENEGGAAGGIF ELHSHNATDGQNWAGKKRETPAVSGWQDLQSYEREQTDIVEAGDGDDEVVAVEDNTEA VEDVQEGKIDKEERKRQKKQRRKQEQKAKMAAARKENEDDETEI TRV_02831 MQTGAWLVIMDSVLPLPGAVESTQESLLRVRDLTMMMTFNSGER AAEDWASLLQQTDARLKIVRMQQPVGSLMTVMEFFEDSLHVLALAAFHLSSFQADDDD DDDDDDDETTDNSHLLQTTSTYAAMMFILQELARILDRPFFPWKKIIVGFSLGQYLLE GFLSLRQYKVLQATKVPKVLEGEVSQEVFDKSQAYGRAKAKFGFVSGLYSQIQNLAFI YGDVLPKIWGATGLLLAKYAPEGFRGEITHTLLFVFVFNIITTILSLPTSYYSTFVLE EKFGFNKQTVKLWVMDMLKGQMLTVVLGTPIISAILKIVQTTGNSFFYYLWMFGIFVQ LFAITIYPIAILPLFNKFSPLEPGVLKTSVENLAKQLKFPLSELNVIDGSKRSAHSNA YLFGLPWKKHIVIYDTLIEKSETEEVVAVLSHELGHWSLSHTTKLFGIGQFHMFYIFA LFSAFVNNKSLYQDFGFYNEMPIMIGFILFSDALAPTDAIIKLLMNILSRKFEFEADA FAVKLGYSKELARSLLKLQIQNLSTMDADWMYASYHYSHPILSERLAALGWKGGKITG GEKEPIDSDKPVKAADREL TRV_02832 METGSAYLLTGCVLQLPLALLYKFFTPKWVFIFMLIVFEIGSAV CGGAQNSVSIIVGRAIQGLGGAGIFSGSMVLIVEAVPLAKRPMYMGFGGATFGLSAVL GPLVGGALTSNASWRWCFLINLPIGGMVLLVLLWFFPNTHTMDEQCGPQKENSVQKSI QEKFLDLDPMGIIFLVPGMVFLMLALQLGGSVYVWSNGRIIVLFVVAGILFVSFILNE IYQRERAMLKMTVFTQRTVFGSFFYSFCVGGSMMTIVYYLPIWFQAIKGASAVKSGEM NIPLLLSLMIGTLLAGMLVSKIVGYAAPFMIVGAIIMTIGAGLFSTFGISTSHAKWIG YQVVYGFGLGLGMQQGAAAIQAVIPKHDTPQAISFLFFGQQLGGAIMLCIGENLLNSS LVRNIRTSLPNIDPGHISNSGATDFRNTVSGPDMIKLLQAYNGALRHVFFLPIAVSGL ALVGAFPVEWKNLIKVEKEQSAEKDRLSRVTKE TRV_02833 MERLRHYENVLRDAGIPFEPFDTERMDKSTTDSTAQAQGEAARQ KGEGEEASLALQEGKLITHDANKKYYEHQLLASLGDELKQNLHGMPIRAPSTGLYSSY LLLGSMASSPPAEFPSRSEIFKLWSTFCDNVDPLTKILHIPSANALLTQSLRSEESDF ISQKLQGLIFAICACAVMSIGDNECQDMLGSSISLESLLAATAASLVESSFMQSLDLI TLQTYVLFLTALQRDCEPSCFWTLVGIAIRIAQTIGIHQDGTKFSLSPYETEMRRRLW WYIVSLDIRATEMAGSGKATVPQSWTTTLPLNINDEDISSDMATFPSEHLKVTEMSFP LLRYETSRFLQAPGNGKLSGNLEDTIAGSSFSSSRIKNLKRCFEERFLRFCDPVILLH VLLTVTARSTICKLQRISEVFYASDKNRLHSLNNTETKILYGIKMLQYDELVHATKSS EKFRWYVVNYFPWEAVIGMLKAITAQETWNDGKETAWNHIKDVYNHHPEFSLRSSGVS GVVSKLTLAAWETRLQTSNSMMAPKLPGFIERLYSHCNQSSNLLPFNARTPSLEHNHA ATHISPGLMLGDQQLQQTSFWEPQDMEVVSWESIILPFNQVENENL TRV_02834 MTAGDVIRSILKYGSISAASCVALYAIFLVLIATPFFQTHVIYL HKIQMTWFKDLDTPEIWGFLHNQATPFFIKTPDGHSLYAWHVLPLELYRKHERSLLSE PSGFVSDFKSRVAFRLLRDDPEARLVLHFHGAAGTVASGYRPANYRALSAGSPGKIHV LTIDYRGFGRSSDVAPSENGLIMDAIAVVDWAMNVARIPSSRLMIFGQSIGTAVSLAV LQHFAMQSPPVSFAGTILVAPFVNSASLAATYSLAGTIPLLSPVARFSILFKRLSALI HDKWLSSNRLGDYIGANELNNEKYRMTLIHAEDDYDIPFHHTQSLFWSAVNATVPGGI TYKELDLRKATEKIDNTAAGTVMEWHTDNGVIREQILKTGLHDVIMGYPVISLEAMRI FTASDPTFI TRV_02835 MMWKEKIQQILLASWLNYYALLMRYACRKNIHAAYQQLVIPSAL GLYIPRIQTFLGSSYLVDNDSSQPKYSLKHLLICGPFILVKFKASMDPTITALPRLHA SAPNSTPQENGTNLVGWVDESPNRGTQKLISSCCFTIFICTWVVIHPRVCNRKSVAFL HKLALFLKSIMAPELIAVEGLQEWSQSRKMVRNCEKYTEKEFKLVHAFYISMLGLRYR TERGDKVIWPNQFEWLLENGHLEWRFHKSWGLDESDIKDKSSADGTVKMAALIQAAWF VVQAIVRAAHNLPLAQLESMSLSYIPLFIVTYFFWWNKPRDIHSPSVINLPQMTAEEL STFEKMSISNLFDNEGTVEQTSYWNVWALTPRVFEKEAEDKALEVEKAAYEAFIQAQY GKEPTGKGNLRRDDNDPELGNYGFGDTRASRSCASLPLAFHPKPRDIVVAHWDPDLYG SKIWPLACLFGASFGALHLISWHGTFPSIAELWLWRASGLASIGSLLVFMHFPKVVFR WGGPLTIISLVSPAVYFLSRIAMIGGVFAALRASDPRIYDTYAILNYWIHLL TRV_02836 MSSTWIYKADETYLPADVYNTLPHVNLAKVDEEERKWAAARSTL LQMISDHGLEDVFSLHLLHRHYDLPTNHAMVYEQSPYPPSDDLPFFEICRPRCIHSLE AARKPRGKFFFYRQDTGTMSAYEYTTEVGPDITSTAYESFINDFCRELLKLKAENVFA LSLSSPSENSRILYETEVPAYKATLRLEKADWVPDGFNTDWVGSAGDMGGVYPRYVPR GASKPADKHSRRRNSEHHRTEFIGPTDSTSVGVIWDGKNLDNESVAYSVLLKARELII H TRV_02837 MPVLSNGISILYTPEKTDPFADIVFVHGFQGHARKTWTARAPKS TKSRGRQQKPAVLDRPTVRDSQYQLTPDDGQPEDSKTDIFWPSDLLPEECPDCRIMTF GYNSMVSKFFAGPANQNSLLEHSRNFLHALGRSRDDCVSPSIFLCGVGNYEVDISFLW PTRLEVLRLAARDEDASVLDIRDSTKAVFFLGTPHHGSGLATSGEMRRKMVAFSMFST NAYILRALHYGSHESKVAHDDFMRQWHQERFLVRTFQESLAFGIFPGVSGKIVPDISS SLGDPRENAQYINANHRDMCRFTGKDDPGYQQVGSELRRVTNKLRLEYKISQDKAQDV KSEHIECLRSLSVPEADMWDYNVDQEVQATCTWLFDKEEYCSWKDTSNLDLKSSLLRV KGKLGTGKSTSVRVAMSRLWSEQSTCPGIKLAFFFDNLTNTPGNPQLRLFKSLLFQLF QQSKQIFLRFMPIFRRKKGLHNNHWAWSEDELKSFFLSVMKDLPVSSAFIFIDALHEC DEARDVITFFESVRTSSIEKNIPLKICYSSRHYPPIDVGDYAELIIDAHNNYDIENYI QRKLKPLLKRRYIDRLMDRIAEKAQGVFLWVVLVVGKVIKAHDQGESMERMQEIVENV PDKLESLYREALKSALLNRPGETLSIFQWVLCTSRLLSLTELRYALIFQKNGYKSQAE AESCSSFIECDEQMDILHRVRTGGLTDTSTRKAINTAYARQIFDADNQTTIHLVHDSV RDFLLNHGGLKLLDSGSADDLLRQGHKRLAEACINYLNLAELQHIAKTRPEPDVLGLT KSVELFQQLCRQLPFLHYSLNSVFKHIEAAELCSMDDNGPPSYLYHRMENTFFVWRYL SDLESKCRFNEVQGKETILAHLAAEYGLVSWVKYYISSGGDVHREGGRFGTLLQAAAG MGHEEVFDLLLSHGADVNYLSGMLGLALVAAASESHLSIVKTLIQRGANVNEECGPYG CALQAAVRSPRDSSKLVHMLLDAGADIHMQHGIYGTPLQAAAYKGREEIIRTLLARGA QVNIVSGKYGTALGAAAFQGHDQIVQIFLEHGADTTTEAGDYGNSTWAAAYNGKESVL RQLLRHRYPSWQDDILESEVRGMMNQAMRTVAFHEAAEDGDFDSVKKSLEEGIDPNAR GGVDSSALHAAAFYQHAEIVALLLAQKDINVDVRDYDGRSPLWIAASDGNIEITKMLL NTGRVDVRQKPASGRNLLWYAAKDGRMDMVRLMLEAGVDPFEVDDDGVSPIMRAEKEG RKEVVSLFQTGREKK TRV_02838 MQNSANMESRSSFWIVNRFTPDYSASLFTQYESQRTGMRVVTID QKGPRVQGHFVLATEIHDDSGAPHTLEHLCFMGSRNYQDKGILYKLSARLYSEINAWT TVDHTAYTLESAGWEAFAQLLPVYLEHIITPTLSDSSCYTEVYHIDGTGHDAGVVYSE MQSFRNDSLYRADVCGRRLLYPAGVGFRYETGGMIENLRVLTADRIREFHREMYQPKN LCLVITGEIDHQNLFEILHKLEDTIMDIIPSPSAHFIRPWIDSPQASPLQKSVVEWVE FPEDDESFGMIQIRFLGPDLKDRVLGSSASLLVHALVEQEQVTSAVTYDTEERPHTEI TFTLSNVATEELEAVERRFFEILKDAMEREIDMKYMHDCIQRHQRIWKFATETSTTSF TEAVITDFLFGERDGSTLETLGTLEEYKVLEEWTDLEWRDYIKKWISNAHHVSVLAIP SAKMATDVMEDEQKRIEERKAELGAEGLKRLAEALEKAKRDHVEEPSTDLMSRFTIPG TDSIHFIQTATAKAGHALKSKRTDNDTQKLIDSDGSELPLFIHFEGISSNFVQLILMI STEDVPHTLLPLLPLYTESFFSLPIKKDGEILSFERVIVDLEKDTVGYWMSPTYSNPE SLSISLQVEANRYQAAISWLKDLTWNSIFAVDRLRAVTSKLLADVPEERRDGEVMVEA IGIMTHLGEKSISRAYTALVKGRYLKRVQKVLATKPDEVVRQMEEVRQSLFRAENFRV LVIADVKKLTSPVTSWNPFIAGLDTSKELKPVVYVAERLSDAGRHLGKHAYVVPIRSM DSSYAESSSKGICSHDDPKLPALMVAIAYLNMEEGPMWNALRGPGLAYFFYLRTNIES GLIHFMIHQSPNAYKAFETAKKTVEGLLSGCMEVESKNILECAVSTLINQFATEKQTY YEAAFDSFVKDTILNLPSDYNETLLKKIRAIDMGQVKEAIHDFVLPLFTAGMTDLFVT CAPALKEAINKGFESAGFQLKIRSLRDFEDDYGLKFDHDGHEDSDIEEEEEEDDDDDD DDDDDDDNGEEEEEDDDDDEEDDEEN TRV_02839 MNRCIKPLQSSSEATVAMPGTVSADKVHRALLKFITDGSFPESE SITEAEYPVTALPAGLEQISEARQRIEKEISALSQDKASDVDEWISQAKQLHKDIERS KLTAREIVKNYEKAQAIQNQVEDTAAKVGLLKRETAFTQSLRETLENARIIDNNIDAA QACGNDHNLEIAIQKLDLVNQDLDQLTLPQDSTIITILADKASTVRSSLCATLQHSWN NLVHIDKAGILTIKVDDISLLDRHLNWLSNLGILETTLVSFREELLSHILQPILSPSR TGPYNLLSSGENYIQLKSQVAKPTISDVLGSILSLFTFIQSNLPARALEVVSTTLSTA SCQLLISEWMSPAIPVAVEDMNAFERTLDEVPQFSKSLGELGWHVPLELGSWRNQIPR LWLSRRRAHALDRVRSIVYRHSGEYKKAERIEKQQVSQSNSIFQGDNEEAEVEDDWNA NWEDENEEADKKSAGTRIADHEDEDVSAWGLDDDEEDKPQEGTGMKKGEDGDDDIDDA WGWGEDEANDNPTEEKPSTSASKAPKTKEDNKPSQASKEVVLREFYTVTNVPDAIIEV IGDQVSDSECLKQPEYSSSQLSPSASALLGIPTLVVAMFKAMAPIFYSQKSTSSHMYL YNDSIYLAERLRTFSEEHGFSRLAGDIDSIEKFGRLSYGREMHSQRTILTDLLDGCQG FSSCNAQPYLGECERAIKATVDRVRSVHSEWKSILSPSVLLQSIGSLLSTGINKIILD IEDLGDISDPESQRLAGFCSSVSKLEDLFLPEVSEESRGAGDEPIAMTAIYVPNWLKF QYLMNILESSLADIKYLWTEGELKLEFSTDELIDLIKALFADSEHRRKAISEIRSSS TRV_02840 MDTLMDSTSATEGQSSQVQRQVRLRLTSRHADLALPDSTGSILV PTELRRYALSTLVNNLLELPKPVPFEFLINGRYLRTSIDEYLTANGISAETVLDVEYV RALIPPLHVASFLHDDWVSAVDILSGTSHAAQWSSKEIQSGKERILSGSYDGLLRMWN MSGETIAVSPSAGNGGHSSSIKGARMTSTNQIVSCGIDRTVRLWKYSESEDGFSASIT PQVEYYGHKGSVDSIAVHGPSNRILSASADNTVGFWSTKKSESPEAPSDLLPSSASRS AKRRKVKSSVSTPQRGPLALFESHTAPVSAAIFDAKDSTVGYSTSWDHSLHTWDLVTS ALVDTRTTSYSLLCVEHLPQLTLLAAGTAARHITLIDPRDSATTVSAMTLRGHTNVVV SLARDPNSQYGLISGSHDGTCRIWDIRSTKTEKDGVVGESIYSISRKSVEDEGKRVGG DGVKVFDVCWDKTVGIASVGEDKAIQINRGEGILQKDAVLK TRV_02841 MARFHIHPTSTVGELATRQVLDLTGALSNMKIENDLKREILDNI KRLKTTGTYRGRRHALNLPVRGQNTRSQVCDVEVNSIWVIITNS TRV_02842 MARIGRILTLVVFAAVALFLFMGQTVEAKGPKITSKVYFDIEHD GQPLGRIVMGFALATGEKGFGYEGSTFHRVIKDFMIQGGDFTNGDGTGGKSIYGNKFE DENFKLRHTKKGLLSMANAGKDTNGSQFFITTAITAWLDGKHVVFGEVLEGYDIVDKI QVVPKGFQDRPTKDVKIAKCGELDMKEEAEGEGTESPSKPDSEKEQAPVRDEI TRV_02843 MRFLLQTLALCAVFIPSSTSSPLERLSSHDNNNDHTRPVVTIDS GVIIGKNAHVPGSTETVHQFLGIPFAKPPVKNLRFSLPEHPLPWHKPLHTTKSPPACI QDFGNKTSGSEFQKALFNTPPAPGESEDCLYLNVYRPKGDYKGKPILFWIFGGGYRFG ASSYPFYDGSSLAANQDIIVVTANYRTNLFGFPRSPQLPLDKRNLGILDQRLALDWVK RNIHSFGGDPNKVTIAGQSAGAVSVGHLINTAPSNLPFRAAILQSGSSLFQLPPSPPN SEFSSWTDLVQRLNCTNSSDAAVLECVRGASVGTLRKILLETGLPFQTPNMDDVTVLE SPAKAYAAGEAAKVPILIGSTLDDGSTFAYGKGDNVTAFINSLSFPPEIAEAIIKLYA PNSTATASLSTGSQIISQIITDSTFRCPAGFVANLTATTLKVPVWQYLFYDPAASHPD YAELGVYHTSDIAYVFGTQGLRDPGQANKLWLQKLWADFVKNPQAGPSWKQYPSVGLL RGDGANAIPTEDVKTLDPICRVWDKLYLSALLRH TRV_02844 MPPKTKKTKLAERRAAATAGAIGKDGAGNNKNGDVFHFVTVNPI SEDQKLENRTLIRSHASKYIWRQHRAVRAENTGSGGLSTNVNMGGNGNGSGLAVRQYS AADAGKTVAASRRRGQAFKTWSAGVKEETPPSNTNTRMAMGMGVHRVVDMNDCVPSIE PAVVNGNRNDIQTNVDTGCDDQGEVDAEADGDTEDIPRDNNNIQAHDSAVVADEESSD SDGSPPPTGCRAIAPHVRAPASSVGSNNVNRPFNQLIHWLENPGQICPSILDEGAIGR LMRYAAFDLWPGLVLGAEGQRWGREAAAGVWLPRAMANPALFTAFLYGAAGHMQTRRR LDSAQVSPQTRGEKMEQIVCETETIKQLNKMMKDPAQAFSDEVVLAVLCMAFNRIDYS GWKPSDPSPKAPLRNLQWLDVYGGLSLNDHHVKGLMAIIETRGGLKSIKMPGLAETLS TSGVMLSTKYLAKPRLPFVPIFKETAVGQTPNWPTLNPTNGTNSSDYSGGSSGEPSST MTTTLHYLLSTRPMHNSIPTNPLSPIPPNLQVILQNMREYNIVVDLQTQGLLPNLELS VIADRRNFIQYNLVSLPASYEFPDSYNAIYRLYEPCRLAAMVYSMLVIFPLPAANRPF KQLVTQLITALITVDGDEGSGWAAESGQWPWEYEREGSQDLTPEEEERVSCLYLWIIV MGGIASRGMGDNSEWFAARFEQTLQMKGMSTWEEVKQCLTSIMWMDSVCDYGGLAFWR ETRDGF TRV_02845 MIGVFSSWCLGGICLYRTGGISLLFSPPDLLFYPSPLLRLSSPL PLAYPFYVYTNLSTSLLPLTLTIVSLTSLATDTCHPQPPANSTILLRLPTPSLTSSPS PLSTSTSTSPSTSSYSSSISLSSLFDFFSSPFFFL TRV_02846 MADNLKIGNLSLNDSQHAPNQTGRSAYIPPHLRGQARQAAAAES AAGPTVDGPDGPAPGQNGRPAGMNASAWAPGAPNNAPGWGAGDYGPRGGPAVNGNSGW GQPAGSRRTFDPHAYGHPGHQGQQGGNYGGGGAARGSGDGQWRDGKHIPGPSNARLER ELFGIPNDPSKQHTGINFANYDDIPVEASGQNVPEPVSTFTNPPLDDHLISNIKLASY KVPTPVQKYSIPIVMGGRDLMACAQTGSGKTGGFLFPILSQAFQNGPSPAPTQQGGQF SYGRQRKAYPTSLILAPTRELVSQIYDEARKFAYRSWVRPCVVYGGADIGSQLRQIER GCDLLVATPGRLVDLIERGRISLCNIKYLVLDEADRMLDMGFEPQIRRIVEGEDMPPV AGRQTLMFSATFPRDIQMLARDFLKDYVFLSVGRVGSTSENITQKVEYVEDNDKRSVL LDILHTHGAGLTLIFVETKRMADSLSEFLINQHFPATAIHGDRTQRERERALEYFRNG RCPILVATAVAARGLDIPNVTHVVNYDLPTDIDDYVHRIGRTGRAGNTGISTAFFNRG NRGVVRDLIELLKEAHQEVPSFLENIAREGSGYGGRGGRGRGRGSAGANRDMRRMGGG MGGPPSYGGGGNYAAPQSYGGGGGNYGGGPYGGGYGGGGYGNPSGPTGPSSWW TRV_02847 MASTNPPSRQGSPAAAPVPAPAAAAPAQPRPSASPSPAPEQAAA AASDGESRAEAQATSKPDTQAQAEEPSTAIMEAGTGAGDSPDDRGAFEPEKETHFDKP DGGYYAGSRKDFPLPACRYPLPRTSVKRLSEPEPGTKGGRQRHYTDDPNYPLQGFWTE AGTWEDWPPKGSSSDPEEKEKEEKEKEKEKEQDGHDKPKEADNARGQPNGKEKEKEKE REKGKKDKSSESKPTKGESTSAEKRDAKPDAHTSSATSAPASSRRQTNGTIGSVYSGN KIRHLKKDDGIPLWRKDIQHLFLRLVFEDTTPVFTRHSDGKSGLSFADIYIDAMAKSS KTSKVLKDKLTTDKPAAINMAMVCLLVNFGRMNTTLNFFPEMRAQLRTYHSIPSLQAH QDSSAYKQLQDAPRLKSILKGASEDVEQPNTLDKLRETPVPRTNPVNLIFVLAQYAPK VSEIHFHSPHDFFDLVMRATLSSKSRAKAFLWLMWFYLESDFSDEAALKNPFGPGTVG EGADGSPLKIPQLEDLTEEEADAENVDTQDEILYGEEKQKERKRILDDDEVVSRNKRV KKVPGRDKQSTFFTPLNQSRRPGDDDDDELLTPVQSLRSRNKRKRDSSTTRSGNAGRT RVVLKTKMDQAGDGLSPAPPGSGHPVLHQFAPGSPAPGQTSSSSRRPRPLTQHQLAIE QNRRQRVDYILAQRRAEEYSKARERREQGSQLLHAGQLLQCLPVDYDTDDDHSWGKGG LCLNPDAQQEDFGEAGSFYFSVLKKVARRLHRWDWQSIATEDKDIGPNGLMHEHALDL GDANANGGMGDEEEVEPSGPPTSSRSRGGRRDRRSNANNADKLSTPDPNRLAAPPSTG KRQYIRRKPLPERKPGDPPKRVRPSRSKAALAAKAAAKAEAEAQKALALKQDANATGT SVAGSELGLPSSPGRDVRMKEAGDNENENENDNEQEQEQEQEQEQEQEQEQEPEQDQD PDQDPDDEGLDDIDKDIIGDASAYGDDGDEDDAEGESRLSVSPLPDADADADADADPD QEPGSEVVAAPSVIPDDASVAAMADDKTLPASINGHDDEHEHLGSDDSTEEDVTATAL AAADSPDTISYTEEPGHGPDQEQNIDEDGDEIMTQD TRV_02848 MESEGRVLRPRARKPFELPSADSTAPPTPAPEDANKEMFPGNSN GNDTGNGSLTATMDKEGLAQSSRTKSDINLTSSTLLGIYSPTAFDGGREDRDIPGTTP WGTGAQTPNLRGSGIFENGNGAKASGNGAVNRFSEEQRKRKLHKQEQDRQRQNEVRRR QRGLVRGYLLPALQQSGLLFLFGVTFGVIIMHLHDSPQMADLLPLHIPVRVEIINFGL EWWHYLVFWGVAGVALGNLLPWFDLMWEDFMGENPVKGSSEAGWNPMVRSIGAFVGVA FAIRKLPWQSSTQVCLTLALVNPFLWYLIDRSKSGFVLSTAIGLTGMMALLEMNPDII FSPSNAADAVDETVFGIAGLTLTQGQLAVGTWIASVLFCSCVCFGNIGRKLA TRV_02849 MFIRVQRATSPPLPPPPLLLLITHLCYSHLIPSAKLLHYHHIFV FTINFTPSFSFTACLLKHHVTAPEKARLFIHIDFSTFSFDFLFASQSTACDPQTQTSS LLELSLLLASLCFHPYLSLLQPALFHSLSLSLLFLPYFFGIAGAPGLLTNVDIVVRNH PLHTSKPSPHSSPQPIDMAPTACYLDISSDTASFGLMLMGIVVVGIFVCIRQKVNDED KTQVIIVNLKNNNEVIRRPINADSAIMHWNKNIIALKAQGKTIQVFDLQAKQKLKSAV MTEDVVFWKWYSETSIGLITESSVYHWNVFDPTQHAPLKMFDRIANLASCQIISYRVN EEEKWMVVVGISQQQGRIVGTMQLHSVERGISQHIEGHAAAFATIKVDGSPLPHKLFT FAVRTATGAKLQIAEIDHQEPNPKFQKKAVEVYFPQEATNDFPVAMQVSEKYDVVYLI TKFGFIHLYDLETGTCIFMNRISSETIFVTTPNSDSTGIVGVNRKGQVLSVSVDEGTI IPYLLENPANTSLAVKLASKAGLPGADNLLQRQFEQLLSQGNYAEAAKIAANSPRGFL RTADTINRLKAVSQSGQGMSVILQYFGMLLDKGSLNVYESVELTRPVLQQNRKHLLEK WLGEDKLKCSEELGDIVRPHDMNIALNIYLKANVPHKVVAGFAETGQFDKILAYSKQV GYQPDYVQLLQHIVRVNPEKCAEFAGQLANDDSGALVDLDRVVDVFVSQNMIQQATAF LLDALKDNKPEHAKLQTRLLEMNLVNAPQVADAILGNEMFTHYDKARISQLCENAGLY QRALENTDDSTVIMRNIVRTDKLNPEWLIEFFGRLSVEQSLECMNEMLHSNLRQNLQA VVQIATKFSDLLGPTNLIQLLEKYRTAEGLYYYLGSIVNLSEDADVHFKYIEAATRMG QMTEVERICRDSNYYNPEKVKNFLKEAKLAEQLPLITVCDRFNFVHDLVLYLYQNQQY SSIEVYVQRVNPSRTPAVVGGLLDVDCDESIIKNLLTTVDPASIPIDELVAEVEKRNR LKILLPFLENTLSTGNQQQAVYNALAKIYIDSNNNPEKFLKENDLYDTLTVGKYCEKR DPNLAYIAYRKGQNDLELINITNDNSMYRAQARYLLERADSEIWAFVLNGNNIHRRSL VDQVIATAVPESSEPDKVSVAVKAFLEADLPTELIELLEKIILEPSPFSDNSSLQNLL MLTAAKADKSKLMDYIHKLTEFNADEIAGMCLSVGLYEEAFEIYKKVENHPAATNVLV EHIVSIDRAQDYAERVELPEVWSKVAKAQLDGLRISDSIASYIRAGDPSNYNEVIETA THAGKDEDLIEYLKMARKTLREPAVDTAMAFSFARLDKLSELDDFLRGINVADVEASG DKAYAEGYHQAAKIFFTSISNWAKLATTLVHLEDYQAAVECARKGNSVKVWKEVNAAC VAKKEFRLAQICGLNLIVHAEELQDLVRQYERNGYFDELIALLEAGLGLERAHMGMFT ELGIALSKYHPDRVMEHLKLFWTRINIPKMIRACEEANLWPELVFLYCHYDEWDNAAL AMMERAADSWEHHSFKDIVVKVANLEIYYRALNFYLQEQPLLLTDLLQVLTPRIDVNR VVRMFEKSDNIPLIKPFLLNVQPQNKRAVNNAINDLLIEEEDHKTLRDSVENHDNYDP VDLAQRLEKHELVFFRQIAANIYRKNKRWEKSIALSKQDKLFKDAIETAAISGKPEVV EELLRYFVDIGSRECYVGMLYACYELIRPDVVLEMSWRHGLHDFTMPYMINMLSQQVQ TIEMLKKDNEERKAKEASQQKEEDNTPILGGSRLMLTQGPSGSMGGGAPSMFGQQTNG ITPQPTGFRPF TRV_02850 MAYYEEKKRKRKQNISYQIHMMKEKKDMTAQVQRGQQKKHNKRN RREKKKKKASPLFSSILHAFPPLAVPDGNNEKMEKRKCVVKKGGEGGSGISTETTRVS DKA TRV_02852 MAPAPVPLPDVNSTAVREDAVEEDVMEDHHPSNFQDSVHSRLRA NSSIMQFQKILVANRGEIPIRIFRTAHELSLQTVAIFSYEDRLSMHRQKADEAYQIGK RGQYTPVAAYLAGHEIVNIASQHGVHLIHPGYGFLSENAEFARAVEKAGMVFVGPTPE TIDRLGDKVSARKIAIECKVPVVPGTPGPVETFDAVKSFTDEYGFPIIIKAAFGGGGR GMRVVRDQESLRDSFERATSEAKTAFGNGTVFVERFLDKPKHIEVQLLGDNHGNVVHL YERDCSVQRRHQKVVELAPAKDLPIDVRDNILADAVRLAKHVNYRNAGTAEFLVDQQN RYYFIEINPRIQVEHTITEEITGIDIVAAQIQIAAGATLEQLGLTQDRISIRGFAIQC RITTEDPTKGFQPDTGKIEVYRSAGGNGVRLDGGNGFAGAIITPHYDSMLVKCTCHGS TYEVARRKMLRALVEFRIRGVKTNIPFLASLLTHPTFIDSQCWTTFIDDTPELFSLIG SQNRAQKLLAYLGDVAVNGSSIKGQVGEPKFKGEILKPIMRDADGKPIDLSSPCKEGW KQIIDEKGPAAFAKAVRENKGCLIMDTTWRDAHQSLLATRVRTVDLVNIGKETSYAFR NAYSLECWGGATFDVSMRFLYEDPWDRLRKMRKVVPNIPFQMLLRGANGVAYSSLPDN AIYHFCKQAKRYGVDIFRVFDALNDINQLEVGMKAVAAAGGVIEATICYSGDMLNPKK KYNLDYYLDLVDKIVALGTHILCIKDMAGVLKPQAATLLVGSIRKKYPDLPIHVHTHD SAGTGVASMVACAQAGADAVDAATDSMSGMTSQPSVGAILASLQGTDQDPKLDIPNVR AIDTYWAQLRLLYSPFEAGLSGPDPEVYEHEIPGGQLTNLIFQAHQLGLGAQWLETKK AYEQANDLLGDIVKVTPTSKVVGDLAQFMVSNKLSPDDVIARAAELDFPASVLEFLEG LMGQPYGGFPEPLRSRALRDRRKLSDRPGLHLEPLDLAKIKAEIKEKFGSATECDVAS YAMYPKVYQDYRKFVAKYGDLSVLPTKYFLARPEIGEEFSVELEQGKVLILKLLAVGP LSEQTGQREVFYEMNGEVRQVTVDDVLATVDDTSRPKADPSDSSQVGAPMSGVVVEIR AHEGVEVKKGDPIAVLSAMKMEMVISAPHHGKIASLHVKEGDSVDGQDLICKMTKAC TRV_02853 MAQAEGNDKSSNPMRELRIQKLVLNISVGESGDRLTRAAKVLEQ LSGQTPVYSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRKKNFS ETGNFGFGINEHIDLGIKYDPGIGIYGMDFYCCMTRPGERIAKRRRCKSKIGAPHRIN QTETIKWFKSRFEGIVR TRV_02854 MNLVLADTEEFRRVKKKSGKGGQAAPGSSSTTPLVEAEEKRTLG LTIVRGTHVVSCSVDGPPPAEPAARLGTTAAGLAGGSATLAAGPGISKPAGRGLPVGL GGPAAGVGGPPPPAGFGAFPPPAGFPGGPPPGFGGRGGPPGGPPGGFQPPPGFQPPGQ GRGFPPGMGGR TRV_02855 MAFMAAAIVRGGCLETFEDRKQADLGAIQTACWQSGAKEQRCVL GCSNLVVLWMFCLCWTTVVALNQKKKLRLPDRPFHRYHQTPWEKGKCEKETKENEGNK TRV_02856 MTETTPSEMPQGKDIPAASTLREESPRGRAVSGTSPAEGLAPGS LPRHITTARLASPIPSSSYTDTGDLQLRRVPTPRQAAPSDIATDFKSSSVVPSSLETA RPSALTSALQDDNGSSGANTTSSNGAPIEDPEVVKRHLVRPPAESADSAETNGKKAES TKSGGSGVKDGEEFSSLQLQGGDITRPIYRWAEAEAQGQQGPKRRKSFDLARPEPETE TLDINTIRVPGGFRRDFIRRTGGEQNTLTAPADPEQALAQPKLATNSFLEFLTLFGHF AGEELQEDDEVLGPGEFFTRKPGPGEEEPSEETSLLRPGSAGGRVPKERAAKATNTSM GAILLLLKSFVGTGVLFLPRAFLNGGMLFSSIVLVAISALSYFCFILLVNTRNKINGS FGDMGGILYGDKMRKIILFSVALSQLDFVAAYIVFVSQNLQAFIVSVSNCETFLSIQY VIMIQLIIFLPLSLVRDISKLAFTALIADVFILLGLIYLYGFGISTIMEKGVADIQPF NPKSYTLLIGTAIFTFEGIGLIIPIQESMKRPDKFPAALGLVMVIITVIFLSMGVVGY ATFGSKTETVVILNLPQQDNFVRSIQFLYAAAILLSTPLQLFPAIRILENGLFTRSGK YNPGIKWKKNIFRFFLVLVCAAIAWGGAGDLDKFVSLIGSFACVPLVFVYPPLLHYKG VATTYLQKTLDICLIIFGLLCCVYTTALAIANWGDGGGGAKLPGFCNK TRV_02857 MNRPLNGSANDENTTQETGHGISWSLPVLGNARRTSNEDHHGVV RHRSRSVSRLQRTSLAGPLPAKLGFPDEREKLAQDEGDGAQKLGSFSGVFVPTTLNVL SILMFLRFGFLLGQSGVLGMMVMLIISYAIDLITAMSISAIATNGTVRGGGAYYLISR SLGTEFGGSIGIVFYLGCVLNTGMNAVGLVDCFKQNFGVVSGSKSHFLYEGFWWEYLW ASIILILCTAICLAGSSVFSRASNGLLIVLLVSTFSIPLSACIKQPFKNERLGIEFTG FSSVSFFDNLYPRLTKGAAGSQIKRRENFQDLFGILFPATSGIFAGTSMSGDLKNPSR SIPKGTLYGLALTFSTYTIVILTLAASVTRESLYRDVNIIQDTNMSGFLIVLGEFSTS FFSSLMGIIGAAKVLQAISRDALLPGLSIFAKGTKKNDDPITSILITLAIAQITIFFD INQIASFVSMAYLMTFLVTNLACFLLKIGSAPNFRPSFHYFNSTTAFVGTIISGASMF FTDGVYATGCVCVLVTLFLLIHYMAPPKTWGDVSQSLIYHQVRKYLLRLRPEHVKFWR PQILLFVNNFESQYKLIHFCNSLKKGALFVLGRVLVTDDFATAVPVVRKEQTAWNKFI EFSKVKAFINITAAPNIEWGARNVVLSAGLGGMRPNVVVIDQFRKDNSDASLDPGLPL IRPTRRTSSNVSDGAGEPLRKTRTDSFERDPPMNVQSYLTVLEDLLFQLRMNVAVARG FENIELPGTNDESPAKFIDLWPIQMSGEISSDGRQKGTNYLTTNFDTYTLILQLGCIL NTVPSWKRSCRLRVAVFVEYETDVEEERRRVMTLLEKLRISADVLVFWLASGDLQTYR IIVNGDRNNVDKAVLDAVEQTLEEEDWWNELGKYRTTSRSSRSQRQTPTGSGSTTPRE GGRRRAGLEGLKRVIESSRRRSIGPGVSLGMQTHRLLDSMVEYNSDGHESSSDESDMD SYLSESENENEPEGDDPMAASDVPTINQPQETTDETTGASTAATPSPRAMFMKKGRVA SSAKFSSAPIPTTKVVSEEGTGPSIMFADSSPPRRGSAAAAGLESIYNRSSGTSNQPA VTSAEGDTESQPRASGYPSQAAVPLSFNDLPGRAQHLILNELIKSQSKDTAVVFTTLP TPVEGVSHDKASCEAYVDDLEVLCDGLPPCLLVHSNSVTVTMNL TRV_02858 MVERFESNIPVRSKRNGRHSLKMTMPDDESVDSSDGAITPSPVR SGIEGLPPGRLSVKSRMGRKLSSPMAPPFMVSAPGKVIVFGEHAVVHGRKAMAAAISL RSYLLVTTLSKSHRTVTLNFRDIGLDFTWDIDSLPWDVFHHPSKKKFYYDSVTELDPE LLEALKPHVAMVSSDKPEEVRKIHQHSANQFLYLFLSLGSPKTHAAIYTLRSTIPVGA GLGSSASVSVCLSTALLLQVRILAGPHQDQPPDEAEVQLERINRWAFVGELCIHGNPS GVDNTVSTGGKAVIFRRGDYSKPPTVTPMLDFPQMKLLLINSRQPRSTAVEVAKVAAL KKAHPVVTESILDSIDHTTESAYRLISSDDFDEHCSEKIDHLGELFRINHGLLVSLGV SHPRLERIRELVDHADIGWTKLTGAGGGGCTITLFKAKWNEEAIEHLEEQLDDEGYDR YETYLGGDGVGVLWPAVLQNGTDEEGGEEIDQIKFENAVGISGIEQLVGVGGREKREG WKFWRRGTST TRV_02859 MSKYSSDPAWASVTPIPLDDGSNRFTQADGENAAGDDAMTTANV ANEALPLATIAYSESYAEATAYLRAVMAANEMSDRALALTEDVIRSNPAHYTVWYV TRV_02860 MSNESVFPTLPEGELEFLAKMFALDSKNYHVWTYRHWLLRHFKL WDSPAELADIERMIDEDVMNNSAWNHRWIMRFAPREGFDSGLPGVGIPGGVGGAGAGK MVVVDEEMVDGEVEYVKKKIVLAPENRSPWAYLRGVLKAAGRGLADLKGFAERFVVKE VVEEGQGEFLVKSSHALEWLGDIYAEQAHEAEAKNSAVQMFTLLKDKYDPIRRNYWEY RIRSMDK TRV_02861 MGGLKKSGRWQKLEKLEKYGVWLYKKKLPPAPGFCLVREAEFQG FRCRILIHFCHFPYQSFLLSFQYSFVAVGISVQPDITPSAAMGSIEEPKKQQRLRLPW IETPLIESTSLSKAAGCRVFLKLDNLQPSGSFKSRGIGNLVRSHAADPANKGKRLHFF IPSAGNAGLGAAFAASALSYPCTVTVPLNTKPVMVKKLQQAGARVVQHGVNLDEAAVR MREMMKELSDHPTPGGTEVVAIELHPFDRQQIWEGVSSMSDELAYQLPPAEENDPCLH KALPCDAIVCSVGGGGLMNGLIMGIERQAPMLEASANNASTNGTTSATTASTSDDNIH IIATETRGAHSLAASIEKGSLTSLPKISSAASSLGVLRISQKTWDYYNSPPKGIKTHS LVLSDADAARGCLRLAEDEKILVELACGVSVEAAFGPHHQPETDVPPAKKVKLSSEGS APATNGETVVPGINKTFLQQLIPNFGPQTRVVVVVCGGSNVSLSMAAEWREKLDAGWG SDDPGSIVVPPTSA TRV_02862 MRNIHAAVERLISRRYWPEKQMRAMTTSSEQLIRRIKLLYSSFG YGYSFSNLPGPFYQRCFRKTLTTVVISMKASMKADADSAVKASIETGKEVKFSQETNY HFKLSAFQDRLLEHYRKNPKFIQPKVYMDSIVQNVASGLQDLSISRPVDRLSWGIPVP GDTTQTIYVWLDALVNYITYAGYPFTPGREQESIWPADVHVVGKDITRFHCIYWPAFL MALDLPLPRTILTHAHWTMNHSKMSKSTGNVVNPMFAMARYGVDPMRFYLAMNGGLAS DTDYDNSYIVRDYKNILQGGLGNLCSRVMRGKGWNVRESVVKMTNGQGSRRAKDPSEI EHMEFLEKVPGLVSNQMNELNPRRALEEIVKIIDQTNRYVQSTGPWNLAKEAGTDPTA HDQLVGVIYNATESLRIAGILLQPFMPEKAKKLLDMLGVEENLSKRSYAATKYGADPD YGTPRVPLGKGQAGTLFPPLLSEE TRV_02863 MPSEVSDIKQFIEICRRKDASSARIKRNRSTQQIKFKVRCNRFL YTLILRDADKADKLKQSLPPALKIIDVSKGTKKKST TRV_02864 MSGYQEIQEATASEPLTLEEEYQMQHSWRQDADKLTFITCQPIP SASTASGEADASESSQAASSYKIKPMDDDASDRMIGDVNLFLKLEEHGSNDQELENAP EEVVVGELELMIAEKHLQRRGFGRASLVCFLNYVVTHMDQILSEYQGHTGSMKAGESE IIAAKRLEYFVVRIAASNERSVALFKSLGFTAVSDEPNVFGELELRKYSLEDSGELQA WMKHYGIDAYRELPYN TRV_02865 MAMEDPAEAFLCPPLDDDSPETQFRETSLYNPMGTFRLAPGAAR HYQQQYSDIYFLRLTRLKPAVAEVAKAAWEGYNIAGEYARRVDRVLDVRQGKLSWIVG TVYMDLPLKPSIMDDISKENWTAAPVPQKTYNDPNSKKPTQTMVEDESGRLVLTGSLL QSTFLVTGVVVAVLGTENADGQFEVVDIKVPDIPPQPARWEREQEPTTDVGVPAKRKH SALEAGSSGSGKSKKIAFVSGLGITGTSGDTVALSLLADYLLGYTGTIPSTDDYIATN PSQISRLIIAGNSLGAKAASTVNDATNSEKRPKKYGYDASAYNASPITLFDSFLSDIL PSIPVTLMPGETDPANFSLPQQEIHTAMLPRSKIYCAPIAPKRQALPSEPGWLDNVTN PWEGDIEGWRFWGTSGQNVDDVLRYVNLEELEDDEVEDIENGARLKLMEHLLKWRCGV PTAPDTICKSPSHSAHHAAGSLLTVDPGCYPYQDKDPFVIQSCPHVFFTGNQPRFQST IIEGGDWGSDEDSITKVRLIALPKFHETGEIVLLDTETLAVEVVKFGTVTKAGTTEKE EEMPDAS TRV_02866 MASPIKTPLCELLGIQHPILLAGMARTSGGPLAAAVSNAGGLGT IGGLGYSPAQLEEMLVELKSHLKDPGLPFGVDLALPKVGDGARATNHDYTHGQLDELI EVTIKHGAKLFVSAVGIPPAHTIKRLHEAGILIMNMVGAPRHAEKALQAGVDIICAQG GEGGGHTGDIPFSILIPAVVDVCRKYRSPLTGKTPLVIAAGGVNDGRSLAASLMLGAA GVWVGTRFVAAEESGASQLHKEAVVSAGFGDTVRTLVISGRPLRCRENDYIREWESRP EEILRLTKNGIVPLMKDMEEDKEIDFPFLMGQVAAVVKDIKPAKDIVDSMVAEARQML ELGCSYMGDRQGSKL TRV_02867 MAPTAIAKKGKGKKHADPGETSKLLAAKISQLEQDAAGEKDQEA EIEREVKKATRDLNQLLSTIESPMTRLETVHKKYTELLAEMKKLDRDHNKSKKRADQL QKDQDKGKSELNKTVTMKDKLEKLCRELTKENKKVKDENKRLDDTERRARGIVNERLD SLLFDIQDVMAAKGSSRVENVDIDLDEALRAKIKTIGEQFESRELHYKAILRSKDAEI QSLTAKYEEQRRSAENEAARCRALSTQVSTFSQTEAELRSQLNIYVEKFKQLPQMLKP VFTQVEDTLNNSNELFLTFRKEMEDMSKKTKRLEKENLTLTRKHDQTNRNILEMAEER TRNNEELEKWRKKSNSLEALCRRMQQQGRGHAVEGELDVDDEGTESEYEEYDDEDEED LSEDGEYHSHGEGEQPHHHQHPAASGAQPTKPVFGPPPPPTMIEARSNGNLPVMNGYM H TRV_02868 MLRSGGRLGKLGSFRAPAALSRHAQPGSSLGLKLKDRRWASTST VTALESYPAAGEKLHGFIVQQKKHIPELHLSAIHLKHEKTDADYLHVARDDKNNVFGI SFKTNPPDATGVPHILEHTTLCGSEKYPVRDPFFKMLPRSLSNFMNAFTSSDHTTYPF ATTNKKDFQNLLSVYLDATLHPLLKEEDFRQEGWRLGPENARAAEHSSQDGPSSEHAK DDDIVFKGVVYNEMKGQMSDANYLYYIRFREQIIPALNNSGGDPQHIPKLTHQQLVDY SRSNYHPSNARIFTYGDMPLADHLAQVGEVLNGFEKKANPLDVKMPIDLSSGPTNVTV SGPTDTFASEDKQTKTSVSWHAGDSRDNVELFSMGILSSLLLDGYGSPLYRALIESGI GSSFTPNTGLDTSGKVPIFSVGVNGISEADVPDVQKSIQKVFEEQLSKGFNDEKVQGM LHQLELALRHKTANFGMGIMEKVISSWFNGSDPMKDLAWNEVINEFKKRYGKGGYLEG LMQKYFMNDKYMAFTMNGDPTYNDSLVEREAARKETMMKELGQKYGSIDAAKEQLKKE ELELLNVQEAAQQADLSCLPTLTVKDIPRQREKKELSESKVDGVDVVWRQAPTNGLSY IQILNALDELPDHLRLLLPLFNDCIMRLGTSSRRMEQWEDLIKLKTGGISSSTFAASS PLALDRFSEGMQFSGFALDKNMPEMLSILTTLVNESAFRGPDAPRMIEELLKSSCNGA LDAVAASGHRYAVNMASSTLSRKFWAEEQTSGLSQIQKMAQLLQDAQRSPERLQELIG HLQTIQAFALGRSSGLRIRVVCEAAMRAENEAVLQRWLSGLKSTTLAAAAAKTTLKPF PRPSSDKVLYDLPFQVSYSGLAMETVPFVSRSSAPLSVLAQLLTHNYLHPEIREKGGA YGAGASNGPVRGIFSFSSYRDPNPLNTLRVFSQSGEYARDRSWTQRELDEAKLSIFQG LDAPVSVEEEGHRYFLSGVTHEMDQVWREQVLDVSAREVSEAAQKYLVEAGQRSFCLL GQKKDEWPDLEGWEVKKISLAE TRV_02869 MNALRTELTSCILAPWIAESHPDDRSPGNPPETDVAQPGGAVLA RDDGVQQVAGRADGVLAGLGRQRLYHGGAHEPDPSCNPGVIGIIAPRVFASRVTLGEH GRPVLDLEGVDDKADALEGVSHPGGRGEEPGAGVSGLLQRQAMEHERSQQGSVDLRDV AVAAHFEDKDATGPEAVEDGLQDGDSGAGVSQDPMQRCVGHSINRQPNTSHHNTRIRR AVDDVGLSEQPAVEHPEGRWGRGSRQPRARSLDHGRRRVESQHGADGRDEPGRELAVA AADIEHSVLAARLQQLQHRVRQPGHEARRRFLNRPPRRQKPLSRTSEDQLSFSSPCIS VSPLCVLFVSALSCVSVTARRRHQARRPRSACSHRAAKLAKGAARDRRSIEAAVDALS GREGGREKRAGDGRAAEEVLVEVKVKMPARDETDGRLEDGNLCHSLLLLCLLLQHPQC LMEPADGRSDDSDRYEQPQKNDDHGQKKNDGNEPFPALPELPGPPETLEMATLSIPNT PNTANTANTLNSPASPASPASRSSSPISVASGELGIVASRRPTTESVLTTASRQPSGP FAGLQRFWWAHISIRVPRKQNRDHFGTPNTSIYID TRV_02870 MHRRRERASARHSLEVTGQLTDAELDNEEDGVNDEEEDDPLRLG QSHPAVVAVAVATVVVAWSILEKRRKEAKKKRRSAARAEQREEARKKKKKKKRRSEEE AKREDETPAGVVYKVEVRQIKRAADQARPGQRKKEAAKQASAAAAFRIGATALYQGP TRV_02871 MTAKRRPLALCWLMGRQGRQRKWQRAETLGALINGVFLVALCLS IFLEAINRFVEPQTVEHPKLICIVGALGLLSNILGLLLFHDHSHGGHGHGHGADEAIE SAELGYSREIAQPPSSAALTPTTLTNSVVIPSSPSARQHSRHHPSISRVSRESRRYSG FRDAEDISGHPASLRQDIIQASRFEDEPSPDSESDREEDVRQSEESRLLPNAPKVTTT PYAATSVQPRPDIHDQHNHARAKDTADADHEHAHGQAQQGHGGHGGHGHGHDLNMRGV FLHVLGDALGNIGVIVSALFIWLTDYTWRYYADPAISLLITVIILFSAIPLCKAASRI LLQAVPAGLSIDHIIEDIEQLPGIISCHHFHVWQLSDTKLVASLHIQVSFDIKGEGSD RYMTLARHVRKCLHAYGIHSSTVQPEFYPGSEENSLRPGSSQLTSVASESCLLECGED CAPGRQCCPSV TRV_02872 MHQANSPLLNYRVLYSNVIKRGNLLASASSTWLPAFKNIADLLC GRRSRATSGLHGESREAETPTMTTITENPSHLDELEGREAVGKPAAFHVLRWHQGRDF ECSKIQNVTDLPQLADCDLTVILAPFDSPSTIPDFRALVDRYEIPSGFVLERLQGVTH SFGASLARDGSQSDLAAATWTSSKRFKPAVWMHFLCTASSPDAENAEWLKSAAVLKWS TPTASRQTPNNQDKRHANGPVTLICFRPMEGICERIFHLAQESNWGDVLQDPYLLLDM VYESWYLRLDESAWKTNNLCQNIEKDAFKGTRDLDASFPGPPVVDLYYVHTIAKNAIF MLEAVDATLRSLDAAIAHHQELSYTISPPPKNKKEEDRSIDLVDGTSDKRSPIWRATH GMLLHRRELFQETRLRTISVEKRLTNIINLAFNIDAMRNSRITQRDSYSLKALSLVAT VFLPISTVATVFSTPFFEASGPSTSPQASDVQGGGTLLVNKTSVW TRV_02798 ASCCCRSLAVFANEMCILVCLSYVEIEEAKDEDEDEGEDEDEEE EKKKKRRKEETTSKKEKGAYPDRDIAKPLTASGMIILVMDMLNKRLGNVTGSRCGKTQ YGSYYWKVLKHPPSIWTSGQRRDSGSEGDSGNTGQKEDETKDGKDRTADSDGRQRICS SKEGS TRV_02799 MGTRNAKTGGKEKAKKGHPRARENIMMYTSMAGFLSFFSPSSFM GIQQQCHTIITLWILLSMMLFRLSGQGAGTVLLTGSFLRLGNDQQWRVIPCFFLLLLL LRLSFFANDDGLAGIFAKRSPDISKTRPTSPGPSRCKDCDVDVLDAAKVHLCLLVCYP SAPLLSSHPPFSLCQGQSRASETQKRGTTRGKRKQAERSAVEALAGATVKSV TRV_02800 MHKNRESYSGRGTTRERDTERQRPREEAGGKKKKSKPGRGPAKE KREREEEAEEEEKESKQTEWKRRFAKEKYPIGKVDQKCK TRV_02801 MPQSQRRGPWVPEEDQTLLQLVSSQGPNNWVRISQHMKYRSPKQ CRERFHQNLKPTLNHDPISAEEGLIIERLVSEMGKRWAEIARRLGNRSDNAVKNWWNG SVNRKRRGMAAGGGASSHSPASSSSPSRTPNGRVEPPYQKASSRSPQTQYRCPRAEHA MYSQASHMDRQRSWTSISDYQSSQHQHQQHQQDERGEYLHQRIHSPRPLEYRSSLYDL PKETLNSARWQQCNSQPQSPAAGRRVLTPIFTTRNSNQATESAMTSPAFSEMSHAPSL GGPPSMVSDHNSVASASPKTVTSPSALATPVEIHHSHHRSSSSASSYDERRRGSAPLI SSFAKPHHSSDNLLYQPHPMQSSLRHHQHSISAPHNQLPPISDLEKPASSCSTSRDSR MGLQALLN TRV_02802 MSPNIDPVGVGIGIAAAVLILLATLPAFNSIVQKLCHGSYTSLE SLPEDQDGEATEESISSYSTTIQMAVIYTASLVGLVASTVKAIMSTTQGSIRYSEVES WFQFGTFVLLLPHVMALSFKQEYASQFRIASYASLASVVQFIMSGFLDVRLLTPAHYD NLTSFQVAIWIIPLVATACLLFACLCLPRRPDVFIKDQVVDRQYTVSALSRFTFAWPG HLVKYIIKNPSIDVHELPRMDHYTRSENLLTKFSERSPAQALWRQIFGLHYITFAKHW ILTATEALLDFVPKVSMYFILHSLERKQEGENIGIDNWIWTLILAVGLILSCWTGTWM RWVGDSQLALRIEAQLCAAVFAKAMLKKDTRGAESSTADEDEDEEEVDKEDSEQKGTQ QWIINLMGIDAEEVGLSAAFMSTIILSTCTFILSLVVLFKLIKWKSLLAALLIFTILA PLNAWLSKAYSRTQDRLMSARDIKTGVISEALHGLRQIKFVALEKEWQSRIMSVRLNE MNEVWRSCLYDVGMIFCWGTVPTMMTTVALAVYAIFEGKLTASVAFASLEVFFELEDS LSMIPIVITGVIEGMVSLSRLESYLSSPNKEEYRKDSSSITYQNASIAWPSDTCNLED RFVLENINLTFPNKELSLVCGPTGSGKSLLLGSIFGEADLVCGAISVPMPPFTVYHTP DNDITPDNWIIPSSVAFVAQSPWIENGTLRDNIIFGCPFSAERYKKILDVCALTDDLH ILIDGDMTEIGPRGINLSGGQRWRVSLARALYSRAGILVLDDIFSAVDVHVGRHIFEK ALTGELGQGRTRILATHHVALCMPKTSYMVVLEDGAMIKAGHPDETDDANTLTKVRST ASAVTKSEAPAPLSFRRRSSSFRDNMGSHSTMAWASRKDSNREKPTTTFVQAEEREIG QVKWGVYKEYLKSSGGAVFWVAVAICLCSAQALGLGRSWWLKIWTGSSKPKEKAQILL NQTVMSMNKPADDALWFYLGIYFALAMSRTLIKSFSHLFIWTGTIRASKDLFEKMTSR VLRAPLRWVDTVPVGRVINRFTLDFATFDSKLGDDLAYLFLDILDLCSILVAAILVSS YILMLTIVLSGVCAHFAIRYMITARELRRIKSQARSPIIEQFGTSLQGIGTIRAFDKA ETYMDKMYRNIDDHTSAHYYIILFNEWMAFRTGMADALFGACLTFILMSTKGIEPSLV GFALNFMLDGAQLIGSAIDKYTETQLDMNSTERIIEYSQVVTEDHTGEEVPAGWPSKG RIEVDNITASYSPELPPVLNGLTFSVEPNHRVGIVGRTVLDPVLFSGTIRSNLDPFNE YTDEQLQTALKRVHFQIFSGETDEESGDEEGEEDRSRTPSLDYAISEGGLSLSQGQRQ LLCLARAIVARPKVMVLDEATSAVDMKTDALIQRSIREEFQDSTLLVVAHRLTTVADF DRILVMKEGEAVEYDSPGALMKAHGVFWRMVQGSGERKELESLLEDK TRV_02803 MKQLCLPFRVPLGRITLRAKLSTLPTAGCYSVIPKKPVSLPLQR VSTYTSKSSRVSEANHTPLAILVGIATTIAVGSVVVSRNRKEKSREIFTIKSNTGVDL FAETSADYSKLPKETAVFTAAPHVPPPITRDYPVLLQVPLTTSTKTTQLSNQYKYEQW TFNGTVPGPFIRARVGDVVELTLTNHDVTGNPHNIDCHAFTGPGGGAALTTAEEKESK TGLFKLLHPGLYVYHYAAAPVPVHIANGMYGLIYVQPEDGDLPPVDREYYVMQSEFYH EPPEVLENGRRSSTVEFSYPNALEENPSLVVFNGSESALTRDQPLKAKSGETVRIFFG NAGPNLTSAFHVIGTTFSKLYRDGDVVSPPANWVPTTSVPPGGSTIVDLKLVVPGTYT LVDHAIFRLDKGAVGYLNVSGKQRPDVYQSTLPPAPCVGCKLHP TRV_02804 MDTGMDIDMDLDLGQLPEPDPIELEPVSTLTVASAIAGQTEAGV ANPNDEPQLEKVHIRGVDELTTDDIKRFAAEHFTLEEPERIEWIDDTSANIIYSSAEV ASKALSSFTQENVEDAVTNSPSLRLRTAKALSSHPDSVLQVRLAVKSDRKKHRAYEAS RFYLMHPEHDPRERMRNEFSGRRHRGPRGDNDGDYRRKRFDDKEHRRRRGQARDDNFD VSMYDDDADRGRRASSGDISSAGSYTRSRARRNNRDLFDDRMESLEGRLRDRSASPSR ANGDGPDLRLAGGSSSNRRFRDRPSLSGRDSRDRSRSNAGKELYHSGNNAGDEAARGR ELFPNKTASSYLKQELLMNTVSPPANTVHRRSDAFDAADETTDLFAQRMTVPLVDGAN DDAKRSNKRNVELFPDSIKDRSQNLNIRGLSSEDNGVSIRGAASGGISIKGAANVREL FPSKYTGNEGKELFSDTLEGRGGRRRRAEDMFG TRV_02805 MFSLRFARPAFRAGATALRAPLSQRTYATAVADKLKLSLVLPKE TIYKSADVVQVNIAAESGDMGILSSHVPSIEQLKPGLIEIIEEGGQTKKFFRMLYPFH PTLAQFLQLNIKPAGVLASISSFFILVAGGFAVMQPDSNLNINAVEGFSLDAFSVDAV KSQLAEAQRLASGTGSEKEIAEAKIQVEVRYNP TRV_02806 MQILTLFLTTVVFTLGWFAVGPKRSLTNPHHGIGLAIYVMVIAQ TFWGWFIHGRVKGKRRLHLSLELMQIHHWLGRALALLGIAQIPLGLTLYGSPLSLFIL FALAAFALLVTYIILSYLHERRVSAGYDPRGHYHGPEVIDDGERSHGNFGRLAAAGAA GAGLAMLGNQLRGGRGRSRSRSRSRSRSRSRSRSRSHSPDTAVTPYINEKESHHGGWG KKLLGVGALAGLMGLGKKAFNKRREDDYSESGYHPAHTVTDSYDDSISRVEEGRPPQP PPHRHQGQYHGAPSEDQTTSYTDTDYYTHTEDSRPGGGGGGGHPVRNALLGAGAFAAV KSFFGRKSKEDRRVEQIRQEDLEHERIARANSKRKHQGERRYDRPHSPSSELAASDAA PGPSGTAGRPPPPAASSVTDRPPSPGRKHRSHHRYPSTLGVGTAVAGAAETAGSGHRR RSGNRGEDAYDSPQVAITVKPEGRHVTLRQLTKEEKRAEREARRREHRRQGREGSFSE DEHWRRVEERERQQAQEELHPPSQSHAPSHSPIPAPAHSHVPSHSQVPESQLNPPHSP MPQSSAHPPGSVAAPSSIPAPPPPLPISHAGLHSPGTVSTDLSGSYASRSGRRRAERR IARSQRQHSVDWT TRV_02807 MAGEVRQPIDQASFERYIDAQVPSIKTPLTIKQVRYCSFCSACY LYNISVANGRQFGFGQSNPTYQLISADGAKYVLRKKPPGKLLSKTAHRVEREYQIIHA LEKTDVPVPRTICLCEDESVIGTAFYIMEFLDGRIFVDPAMPGVTPDERREL TRV_02808 MSKDKRQAEGACSALPERLLLSEPPRVLPLLLGRQTDRQTIEED EEKKDRKRETSSGETRGRHPHEARKKNKFKSQLATVAASTAAGTVAACGILQLQDLLP GLAGKGAHQTGILPRPRTACLSQRIPRCDPLHDNRAPEGVERISPAQLSPADCPPAHF PIPPHTLVSRRQPFDPLAPEPRLRRAALDVGIGARKIAQV TRV_02809 MVSKPGLALLSAQRWETEEDAKRHEEVDSEREKKERETAGSVEI GEEATSGEGRDWDQHHNGDGKEDRKRDRETEKQRRETRGGRRGRRGSSQGTNRTSEQA GDVQADSQAIWSKQTGSRQRDRRADRGTEERHADRRPGETRMRLMRRRTKNEDEEEED EEEEAKKMKMKMKMKMRLWCVDRRREERRKPSREKASRATSQQSRKAGREKEEKTRVE DGRDRQTERETKKKEKVKKKKRRREEEEKNSRIRRVIRGVSPRAVGKEPTGRAREAPG RTETADSRGLSAAFRAGGGDGDGDGDAAAADDDETQHEENGRAGGHP TRV_02810 MNYDSDDARNLSPPLEKQKIVYEPHESPPPFIPEDKSETCSPVD ASCSSNNNNNNTSSSSSSKPGGGGPGGGGDRKRRRCGRSTKSALSDELILRSVAPNDP EIAYRSREAPLRRDSSSCSSSSPVSEHTSGAEDAASLQDVDGALPPDIELKRRLQSTS TSAGGAARKPSSPTLISASNNNNHHNNNNSAENNSTHTSTSHRNHHHSHHHSHHHSFG QPLPRPDILMTDPPNPSADPSAAAAAATPRHPATTASSKEGASNAASPAPAPAPAPAA TTTTRLPSVAQIISNPTSQSPLSASIFQDSRPPLLTTPTTFKKLNTFPLDPSRGAGGQ SLPSTIAGSSSDSGSTVCQSLPSFRSSFPSEIVPDVISSKDHFLNKNGVPPQPPYPAV TSSPRQSHHSHSHSHGHHTSSQSHIRRLSEQFYPTPLLSSTSSTPAYSQGSPPKSCDI PTHHHHQQPPPPPPSQQQQQQQQQQQQQQQHYWPGRLKTDHSRSHSQSHSQSLSQSSC EPGFQYSDSPSTGYPTPTDPASQEGSEKRHATPTSSSIASSGMFKCNYPGCVALPFQT QYLLNSHANVHSQFRPYYCPVQGCPRSEGGKGFKRKNEMIRHGLVHDSPGYVCPFCPD QRHRYPRPDNLQRYVTKPKMKKKKKRKEGRSNRSFSALVLRFLVDPALSVVACSSLPL RLLCLRQALIQHFTLYYPYITPNALSIICNVAVLTFSPLFAFALNRHVRVHHIDKDRE DPLLREVLAQRPEGANRGRRRRA TRV_02811 MLCWSLSFYPQPIKNWQRRSTSGSTISFPTSNVLGFICYAVYTS TFYFSPVIRHQYAVRHPEAPEPTVRANDVAFAFHAVLLSVLTYSQFYPMIWGFKASAH QNVSAPVAGMVVGCVLCILGVFLLAWSKGGNDASDWAWIDGIYTMSYVKLLATVVKYC PQVYLNYRLKSTVGWSIWQILLDLIGGILSLIQLVIDSSLENDWSGITGNPAKFGLSN VSIFFDFIFIAQHYILYRNSNGSKESDDEDEDVESQAPVRQPLLRQRERA TRV_02812 MATLAMQSLGCEVAALNTVHFSNHTGYRQFKGTKSSAQEITNLY EGLRQSYLTDFDVLLTGYAPSATAVEAVGAIAMDLKKKASKKPGSFFWVTSNRRELVL DPVMGDQGRIYVNEDVVPAYKALVPHADLILPNQFEAELLSGIKITSAENLVDAVTVI HRTYNVPHVIVTSVQLPGLPSSSASSVISLSTADNSSVSQDARPDNTLAVFGSTMRSD RSARLFKVEVPRLDCFFSGTGDMFGALMVGRLREAVFNDSPALRETASWVSPDNVATT DLPLAKATEKVLASMHTVLEKTMIARNEELARYQNEDERNDAEFAHLPEEERKAALEK RARLRASKAAEVRLVRNVEHVRHPVVKFKVREWNQ TRV_02813 MTNPKEVTPPPTAAAAAPGVKALAHTAPSQPNSPLAKRPKTEAM AVPETTETAPAVPAAAPTCQLQVKKLVPEARAPTRGSAFAAGYDLYAATETVIPGRGK GLVSTGLAIAVPEGTSDLVVDGRIAPRSGLASKNFIDTGAGVIDADYRGEVKVLLFNF SGVNFTIKEGDRIAQLILERVSLLNSQSGIGSSVSG TRV_02814 MDTLNLQSASEYLNNLLLARGLLRNGKRIDFADPGNAADGAEDT MAQIINLIHDLVTRRDVSATVFPIPTGYDTATVALTLPIRQREAEQRESLATTVKNLR KTEAKQALQVEQLEGKTKELSRSIALAEGQETAFKSTIRNADITIRGLKDQMQRMKSS IQQIRTQCATDIRKRDIELQKLKTHLTERQRGKRDGYGVMTITIQPPPKTNTSSRKVA QGGSTADVPGYSLKQETTEYLTQLCQSLSDENDALIQLSRDTIQTLRELQGLEDGDVE GVPEGAVGKEEGVDAALSRHELLSKEMDAALDQLRALLTNPSFVPLEEVELRDSEIAR LRDGWEKMEQRWQEAVSMMDGWHKRVSHGSGSISLSELRLGMSFTTDSSMQKEAGSTL NLSVDQSESGSSAVSSRRVSNDSSTRERPKRLFDIEPSGAAKENHAPAGKTAAGGEKA AAQRSDATDVRQKRRKLQRNRPA TRV_02815 MASHIIGNRNSTPEASKSSLRPPSSSRTLGGSHQLRASADMSGF APPLSARNICPASEVYFNQQSQGQNNADDALDRAAQQWLADIDQYETTLEEMAAATLD QDFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMSQSHPMSSVL SPATFGEKDAMSNRLSDAMSKLNVEGSRNSLVRPPPSPGNKRNSGLDTSTINAMFPDA AAAIAKKKAELTQQTGNAPVSNRNSAVFSGDRSSLVAPTISTTDVGKDNLPQPPASPW THRAPEQQAPIARPKSSSGQQQQQQQQQQQQQQQQQQQPMGQFSQPLQSAGLRSPLSS GPSNIQSTTITAPDLMPEPPILSPYNVGNASWASMTNTPMVSSFNQQSQPNQADMIAN ATAMKLAALSTVNNRIALDDARKYRRTRSNDNQNRMHNQPPVSPGLPNTIPGTNVIMV NDSGQILNPQQVAALQAQQQAAISGRRSRPNSPGLALQGTPIQMNFTSPQNNGFLAAY DANAYLGNTLGGMGVGQFSGAGSHEGYLSDHSEIPRGRSPRGRRGSSKPPEDPTDPAL LQDIPGWLRSLRLHKYTDNLKDLKWTELVELDDKGLEARGVNALGARNKMLKVFEQVK EAKSEGKLDNIL TRV_02816 MDLVIKFHEKKLNSLESLDLYLDLVSIFDLAGRGTDNRAEGPIY NIFNVNISIRKETIKGTTDPGPDEDSTKKKKMMMVRGWRMRHAFYMVQGSPSAAQPEP DTTLQSSLLFFYGDSSTMRYIYLARSSVTPRRRLLRPPARLKPPSSHCEQQCCEGRVN SGNYVIGLAGDGRFDQWLQADGQQGGRDVGRDSDGLDEGIEEGLYECVYIRNEDGRR TRV_02760 LRRTAGVPKMRHFGLLRLLAFALLFVGLVAAWSKEDHEIFRLRD ELHATQGSNVTFYDFLGVKPNAGQSEIVKAYRKKSKQLHPDKVKRAFIANYGRSRKAK TGSKPGVRVSKGPTDREIEKAHKLATQQFARLGLIADILKGPGRERYDHFLNNGFPAW KGTGYYYNRFRPGLGSVLFGLFLAFGGAAHYAALVLSWKRQRQFVRRYVRQARRAAWG DDFSVPGVSNIGESAADSRGHSTAASSGENAGDEGAAPVPVNRRQKRMMERDSRKEGK KPVKAAKAKSSVNREDSSAPGSGTATPAGVETRNRKRVIAENGKVLLVDSSGDVYLVE ENEDGVKEEFLLDVDEIPKPTFRDTMVCRLPIWIYEKTVGRVVGAVPDSTANDMPEET EEEEVVPQEEERTSSITQKKKKGRRG TRV_02761 MAPKGGKGGGGGGHYGGGRHGSSSSYSSSCASGAFKDGAIIASM VFLSIFLLTFLCLGCLTSNKSTAMKKRNQRKSRSLWWALSLSLTLITASTDNYELLSI IRTWFKNWGTLLLIGVIMVPLCKHLHQLAGKVLGRVVAIGHFVVMVIMAILLVCYLGL QSSLPSIRANYRVLDTLSKVTSGLGATYNVVAFIGTCLASISILFAVIRSSQIQNSWA KKWIFFVIIFPVLLTFFDVVYGFHFYVRGQPYTTTAFAVFTFLWNLFYTMSYLAVLYI VSEGSLAPVTQPGAIDPNDPTKGTYVPVQPGPQPPFQNVNTAYNSPMQQQQQFQHNMQ TQPPMSQPGFEPTRNDFSPSPPPQQPQYYDPVKSPESQALMQGQTYEQPAPQYQNYQQ PAVPQPYR TRV_02762 MSLKNGTGLDFVSTHPFVKATLQDKIRGVIFGSALGDCIGLYTG WLFSPTRRVQRSLPKYLEFLSKKIAEDAYPQGRFQLVDPATKFRNDGHRSELCILPYS NPLQTMTLMANGKAWTDDTDHALLILLSYLHHNGQILSPTDLAERLKIWIDQGLRALD RPPCGIGKTVGSIVLDKEYLTNPSQKAHQYWVKGGRNVAPNGSLMRTHPLGIICLAFS LEKTFQVATDFSLITHADPRCILACCISTGLIRGILRGEILDEKGLDTLLEDAYTWVD SWVRDVRLPKDDAQKEADSDIYEPDAREFLDREEFNQHAYAKTFSDLLLDASYKIGYV YKCLGTAILSLRMGMQKSPHGSTPGTGSPPPLTNSAIFENIITELTYEAGDADTNACA AGALLGSWLGYNSLPSHWRDGMDNNDWLMQKCNSLIQVIGVGYDGATPYDGKLDADTS PDGGKGLMNKEELEKRDSDMIVKYMTRHAEGVAEEKARLKAEEKQKKGWKSFLG TRV_02763 MHWHFGYLKVDGLYMLEQAQVEGEMAIESNPYPFGSSSTTNSRF NRDRLGVVDASEIPPTFSAPFGQFVALMAGGDAAMRKAQEGAEDDHNGGKRDLQNLDL KPELDSLIGIAASGRTPYVLSCLEYAKSLGCVTIGIACSDPSTMSASGLVDYMITPVT GPEVITGSTRMKAGTATKLVLNMLSTGIMIKIGKTYGNMMVDVKTSNLKLQQRSRNII RKLSGSACPSTDQEIDALLHKCDGSVKLSLATLALNSTPEYAKTKLDASGGKLSAILP IDSISAVDKHGSNAVEISQSTTSSQRYILYIDGGGTKCRAIVVNSLSQKGEGEGGPSN VSELPLDFAVLAIKLAAERALDNLSSLLQLKYQILNLQNVEFEAIWIGVAGYDRPQMK SALDSKLSSIFRLAKNGVLKVSNDIQVLASAPSLHHDTVVHRPATNIVLIAGTGSVAV KYRHDGSTGELVPSGRSGGWGHLLGDDGSGFDLGRSAIRSTLFALDILRHSSNGEPSL ENIEEMLSPFCRKILDHFGILQDTNNNYDLLSAILTNTCPENRYPKNKIASVARLVLD VFKPPQQDQQSADDAKAGSEAHDIISQGIRGLIRMLKPLLPQAAGSGNCNLILGGGLL AGKNDAYRSELISELEKDV TRV_02764 MANSVPAKSQAGQMESGGSESPKSSKWMDIYSHAWFQVLLISFI CFCCPGMYNALTGLGGSGQVDATVAANANVALLSATAATALFFVGPIFSVVGPRACWL VGGWTYALYSASLLNFNNHITKSIPVRHNGTFVIAAGGILGVGASFLWVTQGAIMTTY VPESQKGRAIAVFWIIFNLGGMIGSLASFGLNFNSKEGSVSNSTYIATMVIMIFGWVI GVFICPPSRIKLLQLHEAETSVVHQSIGKRLYTILQSLLQIRVLCVLPLFFCANVFYS YQQNNVNGTTFNIRSRSLNSAIYWMAQMFGGLFMGYLLDFAYFNRRQRAWLGWATLLV TGMVIWGGGLAFQRWEDERLANGMKQDIDYARDAKIATGPIWLYFFYGAYDALWQGYC YWLIGTMSNSAAVAAVLVGAYKTFQAAGGAMAWRVNALGAAPLTQFAMDWGLCIGALV LAIPSVWMVTLTSVDEEGTMAEGKKVDESDDK TRV_02765 MALGILEDSKLDNVPGTTYVLDDDGSSVETPVPEESHLKYDKSG AVPIILVPQPSDDPNDPLNTDELQNWPLWKRDLILVILSVTSVICATTSSIMAANTVT IALNYTIDFTQAALLTGYHLCGVGVAGIIIVPTARVWGKRHLFLLGNLIIVISCIWAG LSKRNHTSLIWARIWQGVGLAPFEALVNAVVGDLFFVHERGKRMALSNVALFGGAFLT PVIAGKMTHTLGWEWTFYFIAIFSGACLPFVYFFVPETAYKRAQHLNTDFSGDVDRRT ARAAAQGTIEKEADADSAAGPSSAAVAANGDTVQPDVPRKATFVESLALFNGRKTDES FWKLLLRPFPLFLHPSIAWLPQACLIQGVIIGWTVFIGVVLAAIFLGPPLWFTEEQTG YLYTGAFIGSVVGLFLSGLFSDWSANLLIRANKGKYEPEFRIWLVLPQLVFSGIGLFG FGFASGNVSKYGWVIPDVFFMFVLIGMVMGAVASALYIVDAHREIAIESFTCLLVFKN MFSFLLTYFAYNWVVLGGAKKVFLIIGGIEVAVCLLSVPMCK TRV_02766 MNRHGPKHAHASQLSVSPSANAPSQQDCASKGFPSSCGADVKCV CTSNTFLDAITCCVATTCTAEEQKKTIQFAKGICGGVGVNVPDSAVCPTGGSSSSGPA SSTPTSSGGSSSETGSVTGTAITGTNSPTPTSRRPSGSSTAHSSGSGSPATSTGAPTQ TGNAAASVNANGGLLAAIAALVIAVA TRV_02767 MSLLSSFKGSILSATNENTACLANLKFDFSLVKVEAPLEFQPLG SALTFRRRDEAEYGPQHQTARRLAALFEPLIPSTPKLISAYGTRVSEIIESPGVNPTG SRAHGPFKEYVGADGTAVWAAATSGVAALGIYLLSCLLARAWDSGKAIAIWVELIEER KREIQSAFERNVPISTASAMSSLQPIARHDIALWDASSRSWLRSADQAKQRDHDQLLL ISKNVRMPFVGGSSTYEQVVSTWKRAMLAVEDLLCGRPQSILDAGVVLAMSSWHLYPD MIVLRNETVNVKFKDPLFPSTGVCTIGLEGSDDEGAEGVRWSLALSHLQYYGSAVKVT GPRDNSRVTISQLCIVAFGGLLGHWGVGSKDIEDTIQLFCCIGDILNSSASEEQPELH IQKPERWINPIIHACRKLSSSKGEEKEENTRLLRYGQRRATKFLRRDEDKIPPYFGLC NQLLLSALSGDDCDLTYLRGLAQSMGYASSDCLIVQAHWIGRGWKSGKPHYFEYATAT PLWIKATGKRGSEGEEIYHEMHAKWYSICSDSSGSTEKVEKEINSRVKRINLDPTTNE RCFFTKHGQTASDSELLSVKWHNPPPLFRCREGQEPARGPAAISDMACLVADEPASTC NCFELELPNTFVSTAGKAYSGFINVYGSRRFALFVRDTPNSRSQHDKFVKCGAISPKQ AVQQLCRHPIQLTKMNEYLNMTHPKKPVTGTDLFILRNSRIVSSHTSAALHSLYLATL IYSKLEGLTVLLRIASQPLCDASWVLDASSSAMRSKARKTHPQAPSRQEAFACIAHFD SGQANIRPSDLDSTLAICNQNSIFVAAVVLSDPLEKVEDYEIRRLVGNIGKRGISMLV APHNPQIREPKDDYCIVNHAPYDGKRENNFNDTSLHLSFTEWTLPLATADSQTIDQDV FLVESVISVRDRGEWVADLDILGIKSRMLFGNGFDCPSLEDDEDDENAMLMYGYTSID SWEELLDPPLGVGIFRAQGNWVARLAAVSILMQRKKGIDMAVFGKNLSLRSFEARLQF DVEARGAKPPESFICID TRV_02768 MAALSRYQSGPAGGITETTERETVLLSSCPPPPEILQEERLPFS EGAEVVRQLTTGGALISRRQQSQRRDNTMGRISSKTYIRLHALFQVVLAVYLTFARES VGGPELVYKVRDKLRIDILQPFNLPRSPFAYCGILLLSFALFDLTLAIKLPTLNHVLA VAEFIHRQQLRQQQERQQRESLLQSRASSSSSSSTARPPPIISRSRLPYTRPPASRSS STSSTASTDSDSSTSTELNEAALKITAEFSSLYRQLCILLITLRSWIFMIVSLQIYLS SDTEWGAASTALPSTATVGGMPATSTLAASTMATPFQSAAGKTILWLDQNMHFTRDGP STAAVVSKSALGYDVNDLKRKIVLGYGVLELMFSCWILFALRHEKKQAENQLRAVGIG VGADIIADM TRV_02769 MALRKDRQIKYFLRCLKTLLPHPYTSNDSNRMTLAYFTLAGLDL LGALGGEKPAISASERAGYVNWLYYCQVPTGGFRGFTGANFGDEKRTRENECWDPANV PATFFALVALLILEDDLARVRRRECLAWLNSMQREDGSFGQTLGPGGSIDGARDLRFC CCAAGIRYILRGEDETDIGSDIDAEKLIDYVQACQISLYLYDLIAGLTYCALGTLSFL GCLRPEKKFTSSVTVPGSVEYERLISWLVYRQTTFIEQEETAEEEEDGEGETAGNDKP VTETQDQSKAGLSLDDAIASLPSLKAVSPSTSLCAGFNGRPNKIADTCYCFWVTGSLA MLDQLGLVDSQANRCYLLEKTQHMIGGFGKSAGEPPGKPEALSPAHPLLDACPCAGSW TNQDRRKICSIHTLALRLWDSLARGQQREEEEEEKALTPSTLCCVPVDVFADTWTRSH GRRRHDAAVSLQVHPRSTADLTPSCDSIGISMTISQHQHQYQYQTSDINRQSSIINHH QSSSSPVRPPLDRLVG TRV_02770 MPPPKSKAESQLQKEKLVNSYHELLNEFSSKELRNVGNYALGKL IGKGSFGKVYLATHKLTNGSKVVLKSSSREDPNLAREIHHHRQFHHPHIARLYEVIVT EKLVWLVLEYCPGDELYNHLLQNGPMPIEKTQKIFTQLVGAVAYVHSKSCVHRDLKLE NILLDKHENVKLCDFGFTREYDGKASYLQTFCGTICYSAPEMLKGEKYAGEKVDVWSL GIILYALIAGELPWDDDDDQVTKSRILTEEPKYTDRFPDDAKSLINLLLSKRPLLRPT LSDVLAHPFLAEFAPQQQANLKLTRPPPFSTPLEKATLERMRSAGVNTEQVMQNVLAQ RCDALAGWWTLLIEKEERKERRRERKRREKEAEAKNLRRLSAASSRLERISAALVEVD EEGHPEDPRSRGRRERRSLTSQQLNVPDLPKLPETAPSSDGLLTPPLPPPPPVEKDFP RPPRSTSRGRPVPPPKERRISKGSNYHLSASQPDLTRPQGILRRQGARRRQYPIISQL AQLKHWIIESTKRAKSPHPKAFSSSTRKFLSNHSSPPKKGDTPNKDAAVTPTSPNFPQ NQQTPTAKRSSNASSLALSNASYPGARRTSSHTQRPLNTSSSHNRNSLSPSPLTPRNS YRRSSAGLRGRKSTSSSVSSIRTVHHAHSHSKTSSISSNSIDTVSTPTGPSAKALGRS PHSSIKILPATPTSMSVFPSNIRLVRGGPNNSLTSAFNEAAPALIPSPSSGPIFARRK RATFKGPTLSSTHMLSGAGPGTPSLMRSRASSVASGEIMMAGARKSQIIEEEEDEVLP DDTTEEVDCFSPTDERGPGFPESSSLDAKYANAIAAAATMDPANLRSGSERDGSPDSR QLLPAAEITELPSFSKIPSTEHDRSPLRPPRSSSLREAKNGSPGSLNASDSLDNIAET SPTLKKTSTATSS TRV_02771 MLRNWGYKSVFILGLSLYGIGALCMWPAGLHQSFGGFCGATFVI GSGLGSLETAANPYLSVCGPPKYAEIRINFAQAFNAIGTVVGPVLGSYVFFTTTADNV NALQRVQWVYLAIAVFVFCLAAVFYISDIPEVTDADMEYQVALTHVEDNNDSFWKKYQ LFHAAFAQFLYVGSQVAIASYFINYSVETRENTSSALGAKFLAGAQGAFTVGRFSGAL LMKFIRARWIFLVYLAGVFIFLAASTTQRGNTGVVAMLFMVLFFESVCFPTIVALGIR GLGKHYKRGSGFIVAGVSGGAAVPPLLGYVADLHDSTGFAMIVPTILMAVAWTYAICV NFVPSYRDPADKIGDSDIGITDAREDEEQKGSAEVAVDTAGVKESR TRV_02772 MGKPNTLASDIGYAAVHLNFFSQTMSNFYQDTTCAYYFLSVSSF PNGFYLGYSAASAAALYVTKPERTGFRTSSGMQPPDMRKKGDSVECWGSPEQFTKMPT WVAIGLRSASSKRSSREAGEYDLAPASAAASYVTRGGYAKPGVTKKPDASFLDKHEEE PASSIGLKAAYRSFRDSGVSGLGDDNIKDEGPEARMAATGAMSSSRKQVESMGSPPDG VSDLTEALSAATISHRASRYGKPTAEKKVEFQLSPKRPYNPAITNARREMYTSHPPVS TTIEESRKRDTLQAAAVSMAKQMYAIIPKEDLTKDVETSSFTMEEPEERVSRHQRPSS GRYQRPPEASTMYENNRPRSQQYFTATKVRPSSEGFTDRGKYGDGPIKRARTQSRRDR NEVYYDDETMDAAQRNVKRMMDNIDNHIYSYHKRPSPAIMREWERHANELVLANREST AFVDVGPEQMRAGAPLLPPRNVDDMARARVRPALHNIDDEVAERNSRRITDKLDEERY HRFISTQMERDKEVRRLNKKIIDFMHHPEKERRWKQKKRSYDRYESDEEPVSTWPYPP KRVRSEEGKGETILQALQEGGRIPDRPPRPYSSPETVDKPSEPISLPTETAEAAETTE ATEAPKVADGTELTQEAPTAEEATNEETALTEQAGSQAKHIRRQKAIHKTWFTKPQED AAGDEPPREVERIEERIVTEIEKERPATPDQSTAPMASGAIIPDSPHHAPSSHWSSSN EGSSHGSPGGQRQEDGTRPKKRMAFPHLFQRPARRTGNQGSFTVNRRSVPEDLGSQTS PIPGEAGSGSPVTAGSRLSRFQENL TRV_02773 MRNLRSGTAKAVVESEPCKTLGLAGLECRAPDSLRQCNVLKVIP TGSRLPDLTASEQKLLRQKQRRLAADKASLDREARECLGGGNLYAHNGLQATLIFSQY EAGTAVCIGSDGWALTCAHCFGDTEEELQTSDKRRWLLFCTGLAVQAECVAWDARRDL ALLKIVAIEADASRNGEDIVCSFPFVQLAPKRPAVNSPIICIGQPGVDDLESSAPTKN KFDFVELSTGKFRGMVAGGDWQDNSEIGQMKHDAWTYWGHSGAPLLAEADGSLIGLHS SWDDQTGMRHGIPLVAIQAFLAQHAGLMSSMGQAAKAQDDHTLSKLAVEEEVIVISD TRV_02774 MCQNFHPFPKVIRSFHRQRQQGTALSHQQSTTMPKLQLVVTESP RIVRPSRRRSVETSLRSIFLSGNSSQLNNQRLLPPASSNSLQLVPSYQRHFSSVSYRT SSPLIQKHAAILHQQSSPKLQDTTSGSIPIRHNGVYVATFNPARRAFHQTTVVKKDHH FDTLKVVQRLKNEGFSEEQAVALMKVMSDVIEESMQNLTRAMVSREDAERSAYTQKVD FAKLRSELLNSDSTEAQLTRSSHDKIAGDLAKLNSRLRDEIGRTQASVRLDLNLEKGR IREESNGQEMRIKETETRIEQEVAGVRERVEAVKFSTLQWLM TRV_02775 MTTEGASLYPSLENRPVKSTICLFDVDGTLTPARQGVSPEMLQL LSQLRHKCAIGFVGGSDLVKQQEQLATPATNVTSLFDFCFAENGLTAFRLGKPMASNS FIQWLGEEKYQKLVNFVLGYMSKLTLPKKRGTFMEFRNGMVNISPIGRNASVEERNEF EKYDKQHNIRKTLVEALKKEFPDYGLTYSIGGQISFDVFPTGWDKTYCLQHIEAEKSI SGVEYTTIHFFGDKCFEGGNDYEIFSDKRTIGHSVSGPQDTIKLLKELFGL TRV_02776 MGLFSKTQTTSELPVKETDVESSATSALPSKGHSTNDLSDDTRE ASNMTEKGADGADDLTKAKSNAVSEDYETVNHVTGLKLAVIVTGLCLSVLLVALDNTI IATAIPKITDQFHALEDIGWYGSSYLLTICAFQLIFGKIYTFFPVKWVFLIAITIFEI GSAICGAAPNSTALIIGRAVAGIGSAGIFSGALIIIAYSIPLEKRPAYTGAIGGMYGI ASVAGPLMGGAFTDHISWRWCFYINLPIGAVTILSILIFLKHPKQKLDNNQTWKARLL KLDPIGTAFFMPSIICLLLALQWGGTKYPWNNGRIIALFVVFAVLISGFIYFQIRGGD SATVPPRILKKRSIASGAFFLFTIGSAFFIMVYYLPIWFQAIKGASATSSGIMNIPMV LSLVVLSIASGITVTAIGYYAPLYYVSTVLTSIGAGLLTTFTTETSKGKWIGYQIIFG AGVGTGLQLSIIAAQAVLPLEDVAVGTVIMMFCQILGGALFVSVGQNVFTNLLVKGVV NAAPGLDPQVVLRVGATQLKNMIPPQFLDGVQVAYNDALTKTWYVATALAALSVIGSV GMEWKSVKGKKIEPAAA TRV_02777 MKTLQQYSDNGGTDPSRAALKYHPDRVPLTSPERPERTKKFQQV NDAYYTLSDKNRRSAYTTAYNTKTGYFKNAYAPPPQSNEYSAEQFGDFFEEMLRENGM MNGGRGGVGADDPGSKKYDLNVSGAWFWSIMGAIGGAILGFIIANAAGMVAGMVLGNR IGAIRDREGRSVYSVYQDLPASDRAKLLSQLAARMLRSTVS TRV_02778 MSFLYPFAPSDSHRRSSSRSRHGHSSSHRSHSKERRHHHSSSSV VGSSYGNGHSYSHSHHNGSTRPSEGSIFGLGSHANRSMASSIFSAGSSSRRAKPRAGF VHRMMRKIKKLFRDIMHYMKRHPMKVFFLVILPLITGGVLQKLLASVGIRIPGLAALS KGGGGGGNHDPLSGEGIAGGVSGLMSLAKAFA TRV_02779 MEIHPILLGGAVVASSALAVGFTRPSSIYRLAVAPILTFCTFRC ITTSMDYMIRCPWAGLVGAYTITFFLHYLDIVLLRGWSFEAGGPSTDPGTGENIPNYP KKDRTDNTWERLKFGLAATCSFRHIGTPYQVKNVPRFSDKNPEYVPSRSRFLIWTAFL YAACYLFLDLITFKVDIDTNLKYFTQKNVPFFTRLPNISSDEIVMRVINTIISGLIMI CVQRSFYSLVGFFSVLLGISEPREWPPYFGSLSQAFTLRRTWAVFWQQTNAAKFASVS SFIVQRVLRISRGTQLYRYARLVTIFAISALMHVLVDVASGLSLTSSGAARFFLTQAF GIVIEDIIVGIYYNFMVKDRSRGSYLLEKCVGFVWVCAFMIWSSPTYVYPLMYRVNSG QDDSIIPFSIIKMLIPVP TRV_02780 MSNEIHLRLPSRLSSPAAATAETTASAASFHASSPGISSTIPLI GTWYALSSSVEFLRDKKNVSIKYSLKEPGHAKEAGGNVVEFANDTVLDSVTSYQLLSG EGSDIVDTTVGTERPTPNSLPGVFTWRGTGFAKFITNRWEILGYGNLPLESSKPIQEG DEQPMWMVIYADKSMFSPPGLSIHSKGTKSLPAQTMDQIRAALGNLQPKELKDSVRGM VDILQE TRV_02781 MVCLKTLSVFLAAFAAADARAVFKTQGHKNSEMIPDNYIVVMKD GVSQDDFKAHISSVASIHSTNKAKRGTNTQGMKREFDIMNWRGYHGHFDRDTLEEILN DSKVDYVEQDQVVRISGLVTQRSAPSWGLGRVSHRQAGSRDYVFDDSAGRGVTIYGVD TGIDINHQDFRGRARWGTNTADRDNADRHGHGTHTASTFAGTAYGIAKNANIVAVKVL GSDGSGSTSGIIAGINYCVQDAQQRGILGKAAMNLSLGGGFSQANNDAVTRAQNAGIF VAVAAGNDNRDARNYSPASAPAVCTVASSTINDSKSSFSNWGPVVDIYAPGSDIIAAR PGGGSTTMSGTSMASPHVAGMGAYMIGMGADPRQVCDRLKQLATAAIRNPGSSTTNRL LYNGSGQ TRV_02782 MERSFLSTPQEVLAHFGVTEDAGLPESQVAKNREKYGSNALEEE PPTPLWQLVLEQFKDQLVIILLGSAVVSFVLALFEEGEGWTVFVDPIVILTILILNAI VGVFQESSAEKAIAALQEYSANEAKVVRDGAIHRIKAEELVPGDIISVAVGDRVPADC RLLTIQSNSFRVDQAILTGESQSVSKTTNAIKDPQAVKQDQINLIFSGTTVVTGHATA IVVLTGANTAIGDIHESITAQISEPTPLKQKLNNFGDSLAKVITVICILVWLINIEHF GDPSHGSWAKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSLPS VETLGSCSVICSDKTGTLTTNQMSVSRIVYLNEAGNGLEEIEVEGTTFSPYGDLKQHG KVLKDLAASSTTIQQMTEVMALCNEAELAYDTKTGTFSNIGEPTEGALRTLAEKIGTD SAAINAKIRSLPPAECVHAVSKHYETRLPVQATYEFCRDRKSMSVLAGKGRSQKLLVK GAPESILERCSHAITGPNGSKVPLTKKHVLLIQQEVADYGDQGLRIIAIASIVNVPET TSLHTAQTSEEYEKLEQNMTLIGLVAMLDPPRPEVRASIEKCREAGIRVIVITGDNQH TAESICRQIGIFGKNEDLRGKSFTGREFDELSEQGKLEAAKNGMLFSRTEPTHKSKLV DLLQSIGHVVAMTGDGVNDAPALKKSDIGVAMGSGTDVAKLAADMVLADDNFATIEVA IEEGRSIYSNTQQFIRYLISSNIGEVVSIFLTAALGMPEALIPVQLLWVNLVTDGLPA TALSFNPADHDIMRRPPRKRDEPLVGGWLFFRYMVIGIYVGAATVFGYAWYFMFNPEG PQISFWQLSHFHKCSSEFSEIGCDMFSNDMSKSASTISLSILVVIEMLNAMNALSSSE SLFTFPLWNNMMLVYAIMLSMSLHFAILYIPFLQSLFNILPLNWLEWKAVLAISAPVI VIDELLKYIERALYVTHVTPNHEAESQNGSNMKPKAS TRV_02783 MTSFDTAVIQKRATSLAFYQRQLFTTPPLASSDEVDLAGKTAII TGSNTGLGFECAQQLLNLGLSKLILAVRNETKGEEAREKLLSGRNADNHSIEVWKLDL LCYGSIVSFVERTKSLERLDFFVNNAGFTRLSFAINEKTGHEEIIQVNYFSLALLTIL ILPVLRDKNSAQQPARLVNVSSDTASWARFRERGSVPLLPAFDREENFHPQDRYATSK LLAQLFLTQLVKRLPPSIAIINTPNPGLCQTSLTRNFKGSLQGILFDIFRLIFARTAA IGARSITDAVVNHGSESHGQYLEDGVVQPMAPFVYTDKGEEVAELLWEETMTEFSFAN VSEILENISN TRV_02784 MVTYMKLGGELSVDERNLLSVAYKNVVGTRRASWRIISSIEQKE ESKGSEKHVQAISEYRQKIEQELERVCQDVLDVLDQSLIPKAESGESKVFYHKMYVSL ILQKDRKSILMLYYRKGDYHRYLAEFASGNKRKTAVTAAHDAYKNATDVAQTELSSTH PIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELESLSEESYRDSTLIMQLLR DNLTLWTSSESGEPEPNMPQGQQAPAEGATEEKKTEEAPADDAAAKTEEPAAATEAKK EEPAAES TRV_02785 MTLRWAGKKSDRKEKAEKKKKKKRTTELRTHAKRTEKTESERGR LDIDQVVTFRLSIQEILDLRYPANNQPVRQPTPPPRTPQTPSTTASDQRPPRNRREKA ISTRIKKKQSLAIMPPSKWGFSRVDVLASTSATLSYMKHEHLAWLNLRKSEDEESTPP SSPPPVAAVARRKFDDEEDSDDVLDDWEAAEDSEVEREKAQKAAAAKAKAEAEALANK KSRSQRIAEHQAAAARRRAEQESEEESDSEEDLAAKREKLRRTEQDADLKHAEDLFGD IDLNRTRNRTAPSKSVISDANDPTKSIDLASIPLFKPSTKLQFTALTNALVPLLTAQA KNPAYTLWLQDFTKQLVKDLPSQEIKKIASAMTAASNEKLKEEKAADKSGKKTKAAKT KTSLVATRGADLNAYDDVGDDLGDDDFM TRV_02786 MVRPAPPSSPTTNFSPTSRQDRIYKPLNRLDTDQARHLHLQSPL TNRLNHCKHRRHGHYQDPRSFRLRLSRQPNRRGRRRHRDWPPPRHRPIWSFHRFVSPM TSCTLLSSAAIETRDMLTGPAGQHEACELRDGDKSMWLGKGVTKAVENVNTIIGPALI KENIDVKDQSKVDEFLNKLDGSANKSKLGANAILGVSLAIAKAGAAEKGVPLYAHVSD LAGTKKPYVLPVPFQNVLNGGSHAGGRLAFQEFMIVPSAAPSFSEALRQGAEVYHKLK ALAKNKYGQSAGNVGDEGGVAPDIQTPEEALDLITEAIEQAGYTGKIKIALDVASSEF YKADAKKYDLDFKNPESDKSKWLTYEQLADLYKSLAAKYPIVSIEDPFAEDDWEAWSY FFKTSDFQIVGDDLTVTNPLRIKKAIELKSCNALLLKVNQIGTLTESIQAAKDSFGAD WGVMVSHRSGETEDVTIADIVVGLRSGQIKTGAPARSERLAKLNQILRIEEELGDNAV YAGEKFRTAINI TRV_02787 MQLGALQCEGEKAEEEEKNPTEESLLDKTGWLSATAISLAILSA EK TRV_02788 MPLQDETPAGDDKEPADIEKGERGQVDSDDKTGSENPRRITFQQ PKGDDERPNRRTSGERERRRSRSRSRHSFSSARGGTGGNPLTGIPIEFRTLSIQISES RRATTEIVKGDSGRASVHTDKEYFEKFDFHLLPRERLCQQFNVSSEQGLSTEAASARL QRDGKNTIPRRRPNYLRKILRYVFGDFCSVLWVGVIIFFVCWKPLSNPPSPANLGMAI LVLIVIFLQAGFSAFQDWSTSRVMNSILDLLPSEAQVLRDGNIIRLPATELVAGDIVH ISSGNKVPADMRLISSSGDVRFDRSVLTGESDEVEGALDATEHNFLETRNIAFMGTGV TNGRAVGVVVLTGGRSLMGRIAMVTTNVKEKPTLIQKEISRFVRIIVGLTCVLASLLL FSWVGWIRIKHAGFMDVVAMLNNVLGCVVAFIPEGMPVGVALTMMMIAHRMKSANILP KGLSTVETLGCVNVLCSDKTGTLTQNLMTVKSIGLVDQEYTIEEFNDEVNKGKRGSAL AEFHRGSILCNDAFFEPGTLSQPLNERTINGNATDCAILRLAEGASASKGIVSQTDRV FQIPFNSQTKWMLTMHKPHGTVDPSSYEVFVKGAPDVLLPMCTSYWSAKENRVMRLND AAKRQLSGFQEKLSRKAERVILLCQRQYAPQAPLGSNYFGNEIKTNCTKDLTVIGLYG IIDPPRPEARSTIASCRRAGIRFFMVTGDFGLTATAIARDIGIFSGTADPDRVSEFDS KDNSLNGMKNNIQLASSFSSKSLLVEGPEISSLTQNDWDKICQYEEIVFARTTPEQKL RIIEELKARENVVAVTGDGVNDAPALRAADVGIAVVSGSDVAIEAADLVLMDKFDSII QAVRLGRLVFQNLQKLISYLLPAGSWSEIWPVLMNQFIGVPLPLSSFLMIIICVFTDL FLSLSLIMEKEEFDLLSLPPRNHKKDHLINFKIYAQSYLFIGVMETVCAHAMFFLYMY RKAGIPFHALVFAYEKYTDGFYGYTKAELVNFNNVGQCVYFVTLVVLQWGNILSIRNK RLSILQADPIRKQRRNPWLAGAVLVSLAIAVFVTQTPGINKLFNTAPVPIEHWLLPLP LAVGILCMDEFRKLIVRLFPKGPIAKIAW TRV_02789 MDGSDDPERRKALEDYKQSLLESREWEAKLKNLRLDIKGLQKEF DVTEDNIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVGCRSKVDKAKLKQGTRV ALDMTTLTIMRMLPREVDPLVYNMSLEDPGQVSFSGIGGLNDQIRELREVIELPLKNP ELFMRVGIKPPKGVLLYGPPGTGKTLLARAVASSLDTNFLKGKRLWPPIPSHKLLIFE RTVVSSAIVDKYIGESARLIREMFAYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREI QRTLMELLNQLDGFDYLGKTKIIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLE VLKIHASGVSMEGEIDFETIVKMSDGLNGADLRNVVTEAGLFAIKDYRDAINQDDFNK AVRKVAESKKLEGKLEYQKL TRV_02790 MSFQIPNRVPAFNSAQREIEDGYWRAATTNSQFAKQSGIQLTER IGNFITPSRELPMYKDKPYYKSRGPYSGGRKRKKALWVAICIGFLVGLWWFLGGRSGH TRIVRPIGMKGGDMWKWMQEFEKGVVSGKEKGKTIDWEERKQRVKDAFLVSWEGYEQD AWEQCSLDQWLTLHVGKDIYEPLARKGKNMAEGGMGWIIVDALDTLMLMNLTTQVQNS RKWIQNSLRYEQNQDVNTFETTIRMLGGLLSAHYISKTYPDLAPLAEDDDGASGDDLY IEKATGLAERLLGAFESPSGIPFASFNLNSSQGIRSHTDNGASSTAEAGSLQLEFKYL AKLTGETHYWEKVEKVMEIIDGNQMQDGLLPIFIMADTGKFMGENIRLGSRGDSYYEY LIKQFLQTSGEEPIYENMWNQALAGIRKHLITYTKHASLTIVGERPSGLTEPLMPKMD HLVCFLPGTIALGATGGLPLSKARKTPGWNRRKEDEIILAKELVKTCWATYLATETGL APEIAYFDIDKPPRMMDDVFSKPKGESEDGEGQKTTGKAKEEGIYLFSKPLEPLGDKN SAWREDVIIKPSDRHNLQRPETIESLFYLYRITEDEMYREWGWEMFKSFIKHTAVIED ETPKQNQDGSSEQSTSDDNSPAAKSSYIRAFTSLSNADTIPPGRIDNMESFWLAETLK YFYLLFSDKDFIPLTETVFNTEAHIFPRFKMGKLFKTGWKRKTEWKATD TRV_02791 MQKTYLWALVSLLASSLVDARSAVFDQTPLDIGGSDDSFDSIAR IDPNSNDLLKSEMDKVIASSELLSLHRALVEIKSISDNEQAVGGFLMDYLYSKNFTVE KQFVDYDDPTGKPIRTNRRFNIYAYPGNSASPGIILTSHIDTVPPFIPYSLSHPEPAS FKREDILISGRGTVDDKASVACQVIAAMDHLEKHPDIPIGLLFVVSEEVGGRGMSTFS NSRLNSGTYHTIIFGEPTERALVAGHKGMVSFTIRVHGKPAHSGYPWLGRSAVSEMLP ILTEVDRLGDIPVSQGGLPSSEKYGRTTLNIGFMSGGVAANVVAEEAVANVAVRLAAG DPEDAKDIIFRAIRNAATKHRKDATVVISNGLERPKGDIEVIFGLEAYGVVDIDADVD GFNVTTVNYGTDIPHWKIYGDNVKRYLYGPGTIFVAHGKNEALTVGELEAGLEGYKTL VAKAAERERS TRV_02792 MTLAEASNSGMASTATPNGKESSSSSYSAVDFERFTVSAQEKLA ADLMYRQFETGQSNAIERLVALKADLRDTGDDAFWRDLMKELTSICNAQCGFVVEELA SHGSTEDATDLSSSTSHLAPIVYYNNGSDEIIHRNYKPWAWDLPYHIMARDMHKSFLV ADNLVSLVGESGMAHFPFPMAACLAVPLFDSRVPVARVGLMWTTVGLEKRNVSWAYLE MILHSLEDLILQRMEENATIRQLESRYSQENERQMERERERAREMERSRCRSQSSNRH PTPYRPGAGQPTLKLYARSMSHELRTPMHGVVGMLDMIHGTVADTIQRASDGDEHLTA AMVKTFESLRGDIEMVQDSARRAIEAADNIVHAYDLNMQVPDTLENSITDDTPCLSRQ FSSHPSNELRPIFGAGIDVSINRKRPYGTDSSRGSSPARQPRSVKSPRRERSRTAEVR SVVEESDKIVHSTPARGDIQDAFMDAVAPPDNDAGSPENHREQSDKASRPRKHTRSLS RVTRPVPIFPPMVLHYTKIRELLRLVINESLHVGGRPDSTITIPTDTGERIEIRSRSS NGTASTKQISWSVDDCVPETLYVDEKDLAKLVSCIFLNALKFTESGSINITAKLNHTS RYVVINVTDTGTGIPEAFLPNLLKAFAREDGSTTRSKEGLGLGLLVAKGLSRKLGGDL ICVRSSTSGELQGSEFEIRLPIVPNDVLSRPSTPRTCASVNSTASTHTCINTVSEVNG GKESQLDGHAREPEHPQDIAVPSTETRIPVSSRPTTPQLQTQTQEQTRTFDVVQSPVH RPPLPISLSTPIISTPMSTITPFDHQSPYLNGRQTLAERHPLTFMVAEDNLINRKILV TMLERLGYTDVYQAFDGRDAVRVVKEVLEGNSSQYAVEGSTPNASPKTISLPPPALPQ HQDQSQPQPSPESQTQSQNQHQHQAQPQSQPQSQSQSQSTSPSQSPSPSPSPCQFSPS PSRSPSPAPSTESKFIDVILMDLWMPDMDGYEATERILSLVSSYRERMVKYDPANVPP PGPTVLAVSADVTDEALRRATKVGMEGYMTKPYKLRDLERLLVEFCARQSKWRI TRV_02793 MANERSGIATGLNKGRKTTVIERRARISRTKGSLSRRTAFVREI VKEVSGLAPYERRVIELLRNSQDKRARKLAKKRLGTLGRAKRKVDDMQKIIAESRRAG H TRV_02794 MAAHVIVLDSSARRALIKVTPTKHLSDVLGEACSKFNINPAQYG LKHQKKTVDLSLSFRLSGLSSGARLELVQLSKSPTVVSIALQLPDSESQGVPNNRLMD KFPSNTTLWLVLRKFEAGVAGGSRKFNLTARGAPQVQEGDSGSGRLFYEAPVLNIMGK ELSSFTELQKTLSQLGLNNGNALVRLSFRRTDQPLEDAMVEIDAYFKSVDGDQNTAGS SEGPSSAIDTPSTDAPVLTDDTPNVDDKDTMQCDVDDDTVIPGEQNVTQGDKEEEQQS QDKEQPTTPGIVTISSRPVTVFAPPSNTTPHSAQTPYNERDYLPTIEHAQSHQKRLNA ASRPNRLAGDAELAAQESAAQERLAKINEIEVKIRFPDQSQAISKLTKEDTTQSLYAF ARSCLDTPLADQQFSLFYFPAVTAGASRVQAQIPASEDITLINGLKMSGRVLVNFVWD QNAALSARSAGGSVLRPELRQAAGKLQVKDVAAEGDEEKVDDKSQGHKAQPSSSEGSK KKGGMPKWLKLPGKK TRV_02795 MTVFLHTNSYVLPDGGACVAMVGKDCVAIACDLRLGLQALTVSN EFPKIFNYAPSTYLGLTGLATDVNTVSQLLRYKVNLYRLREERNISPQTLANLVSSSL YEKRFGPFFVSPVLAGINHTTGKPFICGFDSIGCIDFAKNFIVSGTASDQLYGTCESL WEPDLEPEDLFETISQALLNAVDRDALSGWGAYVYIIEKDKVTKRKLKGRQD TRV_02796 MASNAVIERRNKQIQDAIDGQNLKQALQLCEKRLKKGEDSSFLR AWKANILFSHADEAHCQRGVAETLQLCSASPPVSDLEALNILHNTLNEIGGHEETARA LWQNAAKAKPQDLEIQLRWFRVASDAGDWKTAQKADSIPIKAAMSLQNNFPKARNYYF WAIFMCSLIERDTASSDNDRKLFGTLAYRMISKAAGSVPTDPKELLSIPRAIQTAEEL YLLIKIYESQSRHKELVEILNSEHLGVSSRIAQNEWLFFTNKLSAIEKSGLWDEALKF TKELLTLDDSVTAESKPDPKYEERDDWKVWNLLLLATRKAEKQDAFQDTAEVISNYLA RRPASRNAQLANLELKLQGIEFDKSTPEKVLSGCIEYFRRNQRKIYCFNDLQRYLTGL DTRLYSKFEDEASKIVEETKKSSAIPQINAYKLEYSFQLKFENTKDAIIKTESFVCRC LRDFKDAGRADAGDTPSTIEAEPTDDLCLLAAMALIRLHDAIAGSTTNCVLVQAAGIL EHLLLKSPHNYEALLLLVRIYLLLGAGSLALKKFSKLSVKQIQYETVAHNLFTRLATI HPQSAPPSLDLDRKDYDPQAGLRQALLFYRNAESATTYSLSTGLDNGSYINVEGSIEL RNDLKNSLCRKMWALEARRLHRIVGGPSISQYDKIGKPFVFPNNIIHMLTIRMVVLNK SPLSDKRNFEGFMNCEPRGKPAFEEYVRVGPFQKTQAINALAVSDALFNFLTMASPKA SKPKLSPYLGFDINSAENELTSAEKMNIQVHHLLLKCLAAFTGETPSDAATVDNTLSR VDAYLEERLKVLVTPDSKTNGTIDLTPNSNPASPAPSWIFLHEAILLLETLKAILLFI SFISKNKPYTSGDGKAKIGALKDRVKAVADEVRVQCQGLKARISSSGMLGHLVDIVHM RPGGLTGTENLEGVRTLDAEIEGLMDSASLELFCGSLMESWEDALDGVISICSTVR TRV_02797 FQKVEMVIKPVAKVVIPDFSVFHGEIECQDLFPISTSFFVFVQF EATSSPATQVGYTWDDIGEYLAIELGHFKHYELHRQTD TRV_02751 MHRFWDLSRTFFIYLSLITQTRFHFRLDDRIRSDLCFVLRLANT IGIWAIDIAKKYPHAYVLGIDLAPMQPTNRPPNCEFQSPRDFESPWLLGENSWDFIHL QMGCGSVSNWPNLYSKVFAHLKPGTGYFEQVEIDFEPFTVNGMPNEHLSEWYRQLKAA TDKAMRPIAFNRSMKHTLKEAGFVDVRQHVEGLPLNEWPEDPSDKLVGKWYNLAFSES ALTLLQGPLTRISGMSLDRIQDLADQAITQAYDKNVQAWNHLQVYTARKPR TRV_02752 MAHEYGRFDLKLPYILQNCIHSTKFHLSWLFVASLFEDQPVLVD VSSPRPIKRILPSAPLRPQPENGEEVDPAVAAKQAAQDAKHSTCVTVFTALGNHILAG TSKGWINIIETQTCKTIHSTRLCNGVIILLRLASNGRDLLANSSDRVIRTILMPDLSQ LGVSLEPSNIKLDIEHKFQDVVNRLSWNHVAFSATGEFVTASTFMNHDIYVWERSHGS LVKILEGPKEELGVVEWHPNKPMVVACGLESGGIYVWSIVTPQKWSALAPDFQEVEEN VEYVEREDEYDIHPAEQVHQRRLDLEDETPDVLTIEPVKGETGDDGHETFRMPVLLDI SDSESEEDIIAVGPGTMRRRSPGSGREWMNNNGGNNVAASATASGDVYDSDGRRQPTS NGIGRTQNKGRRR TRV_02753 MSSVRFPYSKAQLRTIKEIQFGLLSPEEIKRMSVCHVEYPETMD DQRQRPREKGLNDPRLGTIDRNWRCATCEEGINDCPGHFGHIELSTPVFHIGFLTKIK KLLETVCHNCGKIKANASDQKYLDALRFRDPKKRFDAIWRLSKDILICEADPPEEDDP FAKESSKPVQGHGGCGNVQPQVRKEGITLMGTWKPSKMRDMMDDNEIQQPEKKQITPQ MALTIFRNISEEDVRLMGLSNDYARPEWMIITVLPVPPPPVRPSVLVGGSGTGQRGED DLTYKLAEIIRANQNVTRCEQEGSPEHVVREFESLLQYHVATYMDNDIAGIPQAMQKS NRPVKAIRGRLKGKEGRLRQNLMGKRVDFSARTVITGDPNLSLDEVGVPISIAQTLTY PEVVTPYNIHRLGQLVDNGPDVHPGARYVIRSSGERIDLRHHKGGGGRNFLQWGWKVE RHLMDGDFILFNRQPSLHKESMMSHRVRVMPYSTFRLNLSVTTPYNADFDGDEMNLHV PQSEEARAELNQLCLVPLNIVSPQRNGPLMGIVQDTLCGIYKICRRDVFLTKEQVMNV LLWVPDWDGVLPQPAILKPRPRWSGKQMISMVLPSGLNLLRIDKDKSPISEKFSPLAD GGVLVHGGELMYGMFSKKTVGASGGGVVHTIFNEYGPDAAMSFFNGAQAVVNYWLLHN GFSIGIGDTIPDLETIQKIENAVRVRKEEVDSITASATENTLEALPGMNVRETFESKV SRALNNARDEAGTATEKSLKDSNNAVQMARSGSKGSTINISQMTAVVGQQSVEGKRIP FGFKYRTLPHFTKDDYSPESRGFVENSYLRGLTPTEFFFHAMAGREGLIDTAVKTAET GYIQRKLVKALEEVMVKYDGTVRNSLGDIVQFLYGEDGLDGQCIENQRVDVIKCSDEQ FRNRFRVDLMDPERLLSPDILEQATEIAGDIEVQKHLDEEWEQLLKDRAFLRTVVKED DEMMQLPINIQRILESAKTTFRIRDGTISDLHPAEVVPQVRQLLDRLLVVRGDDALSR EAQESATLLFKAQLRSRLAFRRLVVEYSLNKLAFQHVLGAIESRFGKAAANPGEMVGV LAAQSIGEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNVATNIKTPSMTVYQAPEC RMNKESAKQLRSIVEHTSLRSVTESTEIYYDPNIQSTVIENDIDMVESYFIIPEDVAD DSSRQSKWLLRIILSRPKLLDKGLTVQDVATKIKEAYPQDIAVIFSDNNADEQVVRIR QIQDPKQDEDDDDTEYDVTLKKLESHLLDTLTLRGVPGIDRAFINEKSRTRVLEDGSL HQSASDPECKEWVLETSGSALADVLAIPGIDASRTYSNQFVEILEVFGIEATRTALLR ELTQVLAFDGSYVNHRHLALLVDVMTSRGFLMAVTRHGINRADTGALMRCSFEETVEI LLDAAAFAELDDCRGVSENLILGQMAPAGTGEFDVYLDQSMLMGVVSNNAGLGAMGEE PKGMLSDGAATQYDSGSPMQENMYISSPDPDSQFSPVRQAGSENAVGFADYQPPSYGG FSPHEPRSPGGGYSPSSPFNTSPTSPAYSPSSGYSPTSPGMSITSPRFISSPAFSPAS PSFAPTSPAYSPTSPGYGQAQSPTSPSYSPTSPGFSPTSPSYSPTSPSFSPASPVFSP TSPSYSPTSPALGGTGRHLSPTSPTSPKYTPTSPGWSPTSPEAYSPTSPNFSGSPTSP GGPTSPGYSPTSPTFNPT TRV_02754 MDPQAYLMNQGWSGPGNPLNPSRRPGAHGGLGLTKPILVARKKN THGIGKKTTHDHTNQWWLRGFEAALRGIGTDGNVTPGSGESTPETPKSELYKFFVRGP GLAGTIKPNEYSKPLQSLRPDGDTPSSSVDSGTSNQKKKRKRENIEASPTVDASQGKK ERKKKSRDKKQRLTICGIATPPEEDHKEQTPYIETVESMKEEISGGKKRKKEERREKK KRQAEAVATELHTKSRTSDQSSDEDSPKKEQERKRAAKKARIEEKQGKKKEKEKSEKK AHRRVKRSMTADEIDTPFALNLVFSGFMALFAIWKFPGFVSSHSPSSDTFALEVFLTS SNSYYPSATLDMQVVAIDLIQRFETHISEQNAPLLEYFGFVVIVDPISRKKDLIFIG TRV_02755 MDAVGETKRSETAVSIFNLQPSHIYHICVIAISSANFQTCSSVL HIRTGPGPFPPEQTHENRGPPLIQAYVPKAAAIVSPSAPVMSREQSGGTAQAKRSGGG RRSIGSTSVQDSGVPQILDDGEAAGGEFEGSLKQLAERLKILQQENESLDKQLSQEEK EYEQLLRELEDQRNDLKQRVKEKDDATGDLRKHINKLESINRTVQNEKSKREKLLQQK EAERKKRREDIVRWNEREIEIKDELANLEKEKTRIGEESTTKLEEYRRKITEEQGEMK QIDEDIKVTGSRIKALEEERRRPEGEDNEEGRELERVEREPEHFWDGKMAGLRSQYTP LMNVHTQALPQYYEAQDRLQWTNAQWGNAPGPFGPIATPDLSLNRRTSSRRRNRHRSS LTSNMSSPVSFPLVDTAFSNPNYGQVGTNSPTFTSGPAFFNINNGMTIPDPTDETSPV RTDIENPPMSPRADALLPSDLLGDEEQSIGEDSEITPFPTSIPTVPTSVAPTAPFEGL LPNSPSPLSSGSDPTSIFASPHGSLNNLHDTDRNTAQTTVPGASKPPPSGAQSASRRL SGLFGFNRQRGKTMADGPPLLGSLKTGQSQSFPRNLDDEFDPMGTRRRRLSYTTNWAN PMTTLFPRNNASHLTGDSSSDRLPAGRRAMFPSFFTSGRHGSGVSPNHNQPSLNSPDA SKGYNQFSPRHDPIDPSILGTVRRNSLSPRPSSTYSFENALPLPTMENQPFGWPSSDK TGSSGHDWISPNAWSRNQSRRPSIQVGSTGHLPLGLPTELEYDEAPFEPQRPIQAPIG TRPPSSHRPVTPKLNPAAPSFTTFFGKRLDRPKSKDSEPPKSREGESTHEELSPPASR RSKDARSIGTATTESHESLEQVPSATPSESVSTKESFIQKLTRKGSSSKFNMPWKDRA SLFSKKGDSSGQGEADGDGTSEMQLGKSNESAISLGDKSGEKSSKGSLGFGFRRKSKK TDKAPSESSGIASETGDESVSEDT TRV_02756 MFCAARRPQHLRLRRQPQLQLPTTAGVVPVFVEYPVSLSLSSLS LSLFLRALPVLSSYVRSISGSVSKTWNSINPATLSGAIDVIVIEQEDGQSLPGPGDTL MHAVADRPDFRNTRMLAVPCPFRQILPPSAVREEVILQVEFSVNGVKQDYAMKLGEGG EAFFVFETTGDVPEDLQTSPVISPAASPQQSPNEPSNNSTLNLQEPEYLDLTSKSSTP PKQTRATTEPLLDSETERSSPVSKNVREPGHKLDRSRSDDLLEAGRANGQSANSSPQT TRSQSPPPISRQEAYSRAISLSKKLSGSNIPSKVTESGDLMLDMTGYKSSEDEALRAE VVARKILAEELEGNYDIGALIGADEHGNLWIYSSEEAKEAAGRKASYSALQHHNGMAD DAVSDPGYQSDNDQLDYNNHPQKHHRSQSDAQLEYPTPPQTPTDKQPEGGRSYAKTLR LTSDQLKALNLKPGANPISFSVNKAVCPATMYLWSYKVPIVISDIDGTITKSDALGHV LNMIGRDWTHLGVAKLYTDIASNGYNIMYLTSRSTGQADTTRAYLKGILQDGYKIPQG PVIMSPDRTIAALRREIYLRKPEVFKMACLRDILSLFEGRQNPFYAGFGNRLTDALSY RSVNIPSTRIFTINSNAEVSLDLLSLTKYKSSYVTMRELVDHFFPPVSTLVQEGGEEF TDFTYWRDQPRELDEFSLTDTDAGSDRADDDDEYDLDHRSGIHETYISRDSIEISGDM NDSIHDSVYEDMDDISEEDEYEEEDDRRMSDEVPAWSNEEDTDDPGVPKSPARTPRSL PVR TRV_02757 MASPRPNATPIAGPSAPSTQPITPPAEPLPSSNPAAPASTTPAQ IPSTSLQDSGKSRRPRDARLIHMLLASLGVTSYQERVPLQLLDFAYRYTSSTLQDAVY LATEGYPGELQKEGGGRAHAHQDGSNGVSLGALRMSIASRLHYQFQPGLPKEFLMDLA AERNRIMLPGVSRGQEGASSSATASGQETMMGGIRLPPERFCLTGVGWDMKGEWESEG EEEVEVDTQAAGAGAPAEKDAEEREEEDDEDGRMEDVFGHTSTADANKDEDKTMTDV TRV_02758 MNACMVLHARPEIEDLAREEWFAGREERLRAREREAQETEKRRQ EVIAMMKKDDEKRAAAKAAKSS TRV_02759 MSSMRNAVQRRVHRERAQPAAREKWGLLEKHKDYSLRAKDYNLK KAQLQRLREKARNRNPDEFAYGMMSEGSQTHGKHGARESKSLSHATVSLLKTQDAGYL RVVGERVRRQLAQTEEEANLQKGIKRVESLGGKKLIFVDSVEEQQQEKANRDEQEDDG EDDEDASRELTVEELKHMAKTMPKKQVEAEKRARKELFIARRMKEKAAESRLKKLATL KQQHADISAAEEELALQRAKMARSVGGVNKHGVKWKVRERKK TRV_02738 MKDDSKTPLVAGGHAELTQQKVANGSSPFCKPHSKRKRARSWLR RVKDVCLKHTWVLPLALLAILLAAYAVNPTESNPIHYGIFLSYPLPPETPGGPVLYGK GKLDIAFVAFYTIALSFTREFLMQCVIRPWALYAGIKGRSKITRFMEQVYTAMYFSVF GPYGLYVMKQTNIWYFNTTAMFENFPHKSHTADFKAYYLLEAAYWAQQGIVLLLKLEK PRRDFKELVGHHIITLALIALSYRFHFTYIGLAVYITHDISDFFLATSKTLNYLDSPI ITPFFALFVAVWVYMRHYLNLHILWAVLTEFRTVGPFELNWETEQYKFWVSQYITFGL LGSLQAINLFWLYLIIRIAKTYVFGNALQDERSDNEEEDDEIEESSTTGEANGVPIPT LLVNGHATKENIDPIENGSSTGLLTNGNSNGAATKRK TRV_02739 MVLSRSPALRSLWAQSRRISAPRVPTTRAWQRVSRRGYADQAHG SHEAGSDMPWLLGSVAFTLPAAYYLYQSGPAGKSGHDAHPHVEHAVEKVKEAVSEPVK EEAKPEPAAEPKADPEETKTEEPKAEEPKAEEPKTEEAPKAESETEEKPKEEEKPAEA PAEKAEEEKPKEEKKEEPATAEDKTPNPRAPTKEPPTKSS TRV_02740 MAFHKQSIQQALGPLMVKLTDPDPDIRYMSLNDLLSLIEGCSPC YFSQDLSSCNRMVQGLLKSLEDQNGEVQNVALKCIGPLATRLPPDVLSPFIDKLALLT SSQTIDTSVPNTALRMILAALPRPNINLINSREVQTSYEAVSMVLIPRLIGTPTRGNL PTAAPGMIVNDPAKGFSSDAIDVLIDVVRSYGPMLNDEELFELLQTVLRVIENDHAGT VVTKRALTAISMLSIHLSDTQLSGFVSGLIESFKSPHLTINRRRHLIAAISAMARSTP AKFGPYLKLLAPFVLSAVSEKEMNEMDDDMSDDGEHDPKQDELRETALVSLDTLLGYC GSEMQPYLNDCIAAALRYLKYDPNVAELEEDEEMGGTQDESSDDGATEEPDDDNDAFD DFEEEEGYSDIDDLSWKVRRCAAKVLYTIVSTQGRNTRAVEDGSIYQKIAPALLSRFT KEREESVKVEVVATMTGLVRKTSDLATGPNLFYADPFSQARSSRKRRRQDSNATVIDL ESDFPPPSTSDTPIAKPSTPQPGPQTDLADLTPGIVQALTKLWKGAPIPLKQGAILLL KALALVRYGGLADYLQRIEDPIADALKTSGLSGGVTVSAGSNSVSAGNLQVEALGLIA AISETHPSGSLSPFLIALIPGVVACVNNRDFKVSSEALGAIEQIVVALTPPRVSVDDR DLGLQLEKLYDIVVEKVTDNSTDLGVRQRGIHVLGVMLSRTSGPEGRRFITLEQRRKA LLVLLERLKNETTRVAAARAIDDVALLARQSDDVEASWLGYVTLELAAQLRKVDRTLR DVCLGALKSIAMNSQTRQYLDKHTTEGLRVAISPLISADDLHLLTPALVIFAKLLPHY GPDLVNDNIITQLCTAVQGTLTGTALKAYILLVRVIGEQGAGAKLMKALLQTVGVNGD SAVVGKAIGTLLVYSGPKVGVTTEDFLTELKTAHDNQRKCLALAILGEIGLRMGAKSP LDPQLFITNFSSPSDKVRLSAAVALGNAGASNVDAYLRVILEGLEKSKSYKYLLLHSL KEILQHPEDVKTHVAPFATRLWEILLSASDDEDNRTVGAECIGRLSLIDPATYIPQLQ ACLFALIYAVDNAQR TRV_02741 MGDTNLKPELVREVQMGPFKHKVDDGLELRKSAYETLYTCLDVA FSAVNLPEVYDRIIAGIEDEQDIRTLCTLMISKLMTLAPEETLARLDAFSNSFRVVLS VKPKESAVKQELEKAQEASIGVLKVSRELQMAFPAAEASNDHHSWKSYVEWISKDFMQ LLRSID TRV_02742 MSNHPPHYGNAPHYPPHLYPQQHEQQHLQQPEQRPYSYLHTPVT LQGPTFSSPDNPRHTAPSEQTNQQSHSQPNQPGNHRPFSYVSPDADKIVDESLSTVSN NRNDADYVNNLYTHVSPPPLSEHPAQFAPFADSTTPPPNPSQIHQAQEQEYLQQQQPR QQGEQYQQHFLQQHQQLQQQQHGVIPHSPMAIPKSPGPLPVKQNPEAETRERQAEEAT IAPDSNPLAPQSPAPTYASHSGPQRMNGRSISAVPDIQCHTPGQAFHPQQSVKGGSWS TGLCECSDIGVCCLENQNGKTRLICLDMSPAMHHALSWLYYADVNVGYSSPRTPIRCR YMV TRV_02743 MPLNYGWQTQQGKFNGSRHPCSSLTLGKGGTLVFNDTFSVVLSQ DAVFEPSCTTVIEPETYDPSTIVDVREHFYASTSPQMYAIATATVLAYVLSIILFITP RTFFIGGRGGGFLGRHGMISGSYGSSSVIGVGGRPWLQKIAALTVAISLTIATADSFA VAKKQYEMGYMDSTALTNEVVNGLELRIIRVISSTFLWLAQVQTLIRLFPRHKEKVVI KWVGFALIVLDTVFSILNNFVHPGTTIVHPGKLRDAVPALNYLFELALSMLYAAWIVF YSLSKHRFAFFHPKMQNICLVALLSLLALSIPVAFFILDVSQPNIAGWGEYIRWVGAA AASVVVWEWVERIEALEREERKDGILGREVFDGDEMLDVTPSEVAGSSRRGGNDGGLR RGPAWGRLGILSHNPFRPRNKQRPRSPHIHERKRRKTEITPSDIEASQVVETAPPPAV ASPVSRENTTSATSTLYCVRYHSVGTPLASNSDLTRTGQVERRGSVDLTKQSTLPMGQ SDLITPVPSRKIGIGWLNAVNPFKRRRGSPPQEVATAQAEEGMAATATLATGLSEDRE SRWKFPPALSMVASHLHRDWIGSSEKRDTEPPILPVTVIPAKSKRERAQTNPREDSTT PNSRFRWGESPGERKGIETPLPVMVIPARSRSAITWSTPGLDEPGRPFFSSPTNSSPN PHALTDQGSPSSMISSPPQGANSRDPEKNATHSDPRHVQHIMFEEDEEDEQDVISDSP RCQDDREQHDHSETTESTSSSHLSQQPPEPPDSRPHTGAATSTTRQHSSSDLDIR TRV_02744 MALPQLYKNLTLTSYGQIRYNEDDLPEGYGSASPFSMGLNALVT RNVAPLVRSITLRGEWREDGLEEHARVGRVPDSSMMLNICVRAAIDKMAGLESFRYAV VIAICNNLTMFSTLDWEISNTKALETVCQGLAQLQNLQSLTLRFPSSRHPRPTTIIPP MPNLRVLKVTDIDPLCYPDDISLLLFGSKKLCDLRMHWSPRMRESQEPSVALSDYFRR CISAKSPLRLKKIAFQNFFALHPGESGPGVTHSSLEEITMLTNSSENAAMTFVEASWP APGPREEMSMLKTFRVDRVEKRGIDFLHQLSPLENLYFVNPLREPIDYVNNSRPGVSY QPSPSTTNSSGGNQSMASEYQQNHPTPPGPGIQPSTSRASLREMQVSTIISVHGATLK RLLLPARMNMPVPLVVKLVHACPNLEQLAIAVDGNSLEVMGIVLPFLKKLEALRILIP TLQDNDLRSTTPTGNVRTCGRGQSGINSNIINRSHKSNCFPRPDLSLLPPSDSRDLAR LVDINDSFHIQILSLHLAEPIYNNIKIVGIGWKAWQIGGLYKESTPTRNNTPPASGDT SNGNGTIADPPVGVSNSNTNGHMPSNLMNTPLMRPESYGPDSSNPPAWPTQQQQSISS PPENRNPLGKRKYNSQQNSSAPLPPPEEAYTPIMEPIAKKQQQNRPSAGSNISSGHPN IPENTTNGNEKSAFPALSFLDEQVFAQIPENLRQSLNDCFTQVRGNADQPFVWKRHVK RVGWDVLKHWEIWGLDVQEI TRV_02745 MVADRSVSPSMDSDSISSPIPNGVTSHPRGSLPPFENDPRPNGS AAQRKWSNATAPPHRICIPPTGISVPAPTSIPMDQYHAHRASFSGAVRPTLPPHVLES GQHMMNKTAAELLSPAISNTHDALHLLSEAAGRTEDLNRQQMEYQYNGRQSSTSTFAS VSPGQQNGTPGRASRSNSTTQQGAGMSWYSQNKGGSPSVEVLVEGTDAGLSPPRATQD LDYSKARRAWSRLRFIRAGWFSVDEAMSYIEYYYEHLAPLTPIVLKNYSSPSTHLTLL TEEPVLTVTMLTIASRHMKLSGNGANSRAYSIHEKLWSYLRGMIERLFWGQEKFGDCG GASAPRQFDASNPLSAGQGQRGGGQLRSIGTVEALLLLTDWHPRALHFPPGDDENTLL DVDPQQLNYFDGEGDDHFHDHPGKGQGGPGEGRLAFYKWLEPVWRSDRMSWMLLSTAQ ALAFELGIFDQKNDLRASTESSCEMARKRRLRRLILVYVSQSSGRLGIPSMLPLPQWN QNTEPLRAEDMQSPNFHEDYMIDMMQDCWMDISKIMYNSNQVLFLSKELTTNLIKSGQ YRDRIDEFTPSLRDFKAKLDKLSALQAVVERWTTMNDAGSHTNQNHANGTTNGTTNGT GPGAGTVPLQVLLEQYEVNEPYIQEVVDASRRILQIVLEGFVPGDILKHAPVRTYFRI LSGMIFILKTFTLGAKEDDVRVSLELQDRTIECLRTCVVDDVHLSVTIADLLQLLTSN IRNRFLRFAPFDRVGESASRHQSPVPTSEQESRDQQQHQSNEHNDCRWQQNHTNGTPS TSGFSFDNHHPTSAPPTSHHDPLANIPVQPINSSNINVSFMPPPPSVYYNYYDPSSTS PKMEMDESSSTVAPSAINTSAPSGNNTQANSNSMSDWFALPLDQFFNSSTAGVDQGLG GTGPMVGEFDMLEVLLKEQYTGDNNANGTSTNGQAPIAAIATNGGGMTSHFL TRV_02746 MTIDDQSVPYTLQSPATALAVREDRTVQHSRSTTDLKLSRSPPS LNITTTNAGSDSKEELYMRRRRSTLPWAGASPGIRQSRLEQIASSKMADTWFSIHCQG IPEPVYISELVENAMNPSFRHFDLNICGPVVSRLDEATVKLWARTNQMPEYFLLLELR VNFRSLQYIGKHLDNFRHPFPPNCVIFRFTDGIYASLTDAPPLDRSLPTQPQTSEPPR DEQQQTSSYDALMKLANFDDCIQDALVTRENLEAQINSILEGNQAQLDVSSRSSEAQE RLAAVKRAVTGEKSRLRQSLGQKDSMIKSLKARRQAMEEGRLSQDNSLTYLSDAQEAK ESSQALLKKTAEDSMGQIRRICEDLLATYPIEPVPNIPLGFTIRGLALPNSCFDDIDK EAVAGALGYTSHVVYLLSFYLSVPLPYPVRPYLSTSSIQDPISVGLAQRTFPLYPTNT QYRFEYGVFLLNKNIEYLMNRLGLRVLDIRHTLPNLKYLLYMLTAGTNELPARKAGGI RGLLVGRLTPSLSRQVSRDSLSSIDTTMTIRQKKLLPSPANNYLSSHPHHSHPPSHSA ISTSKYNFISASAAQPSIGPMQKNLGSGHNDQVGASMAVATPVASSNER TRV_02747 MASATTAAAAKTVRLFDPTTAVTTHAVRFPRTQGKQIQGKVRFP NSQAAKHFGQQESARLKKALQHITHGKNIFAYNNFRTNQVVYSLSRSLDWQNVQSQLV YHGKKTVPAALRKDMWVPYFSVHFPTPSLGLEAYKLLREFSRQRQFEPPAQLITNSKE SLERKRPQALDEAKKWDREMSGRIGQIMMKKDRAKVLMDQKATSVADTAAVLAIQAER ERRERQQEEREEEASTEDGKSKEKKLSHKARRRVIRAQKRQQAHEELVRQRIEALEKS MSTKGFQAKIDVEGELADYKVREGEVKLLWADLQDAQYARSWPSTVHHGELEPSREHI ISPFLKLYGNDHPSSSSGENLIEEDASTSAPAEEPAKKGLRFWKS TRV_02748 MFGGFSSPNKEKKADSSLAAASSPSTASNSSSTGPAASYSQEDS ANSDKTNENPSSSSREKRHFSLTDSSKRACERWNQPGDKKRRNSSVNKAAALFASAKN SLHLSSLKSQLRDSTTTSNTTATTQQQQQSQAALTTLQILGKMDPALIVPQGSLNNSA GESMPTSRSSFQVGVTEDRNRKCRRTMEDTHAYLYNFLGAPLAPATRQLQADTSKQSE GGATDDAASTEMVETDNGYFAIFDGHAGSFAAEWCGKKLHLILEDTIRKNPSAPVPML LDQTFTSVDQQLEQLPLKNSGCTAVIAVLRWEDRLPSPAASIAESTKKKGNADDPEGT SVEGSKPSLSEDASAISPQDDQPIRSRVLYTANVGDARIILCRNGKALRLSYDHKGSD ENEGLRITNAGGLILNNRVNGVLAVTRALGDTYMKDLVTGHPFTTETVIQPEIDEFLI LACDGLWDVCSDQEAVDLVRNTKDPQAASKILVDYALSRFSTDNLSCMIVRFDTKATA EEANRQASPKDLNNTGDSSKRTMSEADRIVEMARKNAADIENKARAEQSMDEDKDTTE KPASHEAQQKSATNDDDKDKMTEPE TRV_02749 MLIESGDERADITVIFGCSIPQQRDRQRKDIREEQHQAAILVLS TMTSLYPAGSSASRLHRRRPGLPLSEPGCGCNSLLPPRPQHSQRLE TRV_02750 EKKLVADIKKSAKNGQIAACKIQAKDLVRTRRYIQKFYSMRTQL QAISLRIQTVRSNEQMAQSMKGATILLGSMNKQMNLPALQRIAMEFERENEIMDQRQE MMDDAVDEATGLDDEEEGEEIVKEVLDEIGVDLGQALTFLPLPLLWPQLGETPSGIQG TAVKEARVAQAVGGGGNTDNEDLQARLDSLRK TRV_02708 MFNTGNIYVIAAVAVIGGGLFGFDISSMSAILGTDQYKCYFNQY GPGQCGGPRPAVQGGITASMAGGSWLGALISGFVSDHLGRKKAIMVGAIVWIIGSVIT CASQNIAMLIVGRVINGLSVGICSAQVPVYISEVAPPTKRGRLVGCQQWAITWGILIM FYISYGCSFIKGPAAFRVPWGLQAIPAVLLFFGMIPLPESPRWLARKDRWEECHRVLT LVHGHGDPDSPFVQRELQEIKDICEFERANADVSYLELFKPNMINRTHIGVFTQIWSQ LTGMNVMMYYITYVFGMAGLTGNTLLVSSSIQYVINVAMTVPALLWIDRWGRRLPLLV GAFFMMTFLFVNAGLLAARGRPAPPGGLNGIEAESWEITGAPSKAVIACSYLFVASFA PTWGPVSWIYPPELFPLRVRGKAVALATSSNWAFNFALGYFVPPAFVNIQWKTYLLFG IFCAAMFIHVFVMFPDTAGKTLEEVEYMFTDPNGVKYIGIPAWKTRSSFQHAARLERT GGEEKLNSHHV TRV_02709 MIRGKEVLPPPVVTRTGQRKPPPPPPPSKGSRAVAAGPPLPPRN KSQAASSPVPPALPPRTPSDYSRSSSEYTPSSASVSSNSSSAEAKPNVLRVRAPAWDQ TELPCVTPWKGKTKDTKDAGTERKAEEISHQPASGAQGMKGNVAALRNQLAGHKIIAP ATPPPPKAAKPKLPPRMNSTPLPQVHESQHSQDRPLLERVESASTSQRKLPPPPPRGA DLQKIKQFSFSSLNKTANEAVKDNTGCFDNVNRISRAPPPVPLASRPKLPPVPTSSKP QIGSTARSSTTNSTNASTICFKCRDFSTADAHAARFPRQSLPSHDLAWLSSQLTSPFP SPTDKARVIFTWLHHNVRYDVESFFQGKRTPQSPDHVFTHGLAVCAGFASLFEMLAKY AGLEAKVISGHGTGYGFKPLAPGAPIPPYEGNHAWNVVRIDNGQWKLIDCCWGAGHVE GANMPYVQKFSPKHFIMSNDEFGLDHYPENGSDFYREDGRTNITWEEYIGGGLRAQMH IPTDPPTLFDNAVEDHGIGKYTLQPGMKSISIREVSGRSIHFQFALICEHWSIVKHGG KTAASFFVLAPHGLDGREDKFVPFNHVRGTNAGGGGDYWYLDIPDGRTLGCAGQKLMI FELTSFGTRTDLRGLTMAEYEQGVGRVGMGFKALAEWTLVE TRV_02710 MDTLTKEVDKLQVEDDPTVTQPTETTTTSTTAAAATDADQPPPP TESEPVAAPTPISAQDPASTVEHAPESEPAPVPDNLVPQTPEQEIPARIDETPSNYSQ TYNNGYSNGQQSHHSSFSPEYIQSTAPALHTHESDLSPASRHNSPTMSYQQYQLPSQP ASRPGSGVSNSGDRYGYSQQYHDQNPRQQQQQQQQHQQQTQQQQQPSAAPSKNSVVIK VGMVGDAQIGKTSLMVKYVEGDKSREALITNTTEPGVNFMEKTISIRNTEITFSIWDL GGQREFVNMLPLVCNDAVAILFMFDLTRKSTLNSIKEWYRQGRGFNKTAIPFLVGTKY DHFVNFPREDQEEISIQVRPFNLFEIFGYKM TRV_02711 MPKRSVEADEPQGALKAGDRPMEDAPPDEMGEFEDEFEDEFESE DEVLEAGVDGRPDAEREAEEQRDAMEVDKQTFIPGRTKLAPGETLSPDPSTYDMLHTL STPWPCLSFDIVRDSLGDNRKLYPATVYAVAGTQADSRRAKENELMVLKLSGLSRMER ERNEDSDDESDSDDDSSSDPILESKSIPLNSTTNRIRSHQTPHASGDPTKPPQTLAAC MLENTQIVIHDVSQHLASFDNPGLIIPPSAAKPLSTLRMHKSEGYALDWSPLYPLGKL LTGDNDGLIYVTTRSEGGGWVTDSRPFVGHSSSVEEIQWSPNEKNVFASASSDGTVKV WDVRSKSRKPAVDVKISNTDINVMSWSKQTFHLLATGADDGQWGVWDLRQWKPEPSNT GSSQIKAEAVASFDFHTEPITSIEWHPTDDSVVAVSSADNTLTLWDLAVELDDEESRE EAGLADVPSQLLFVHYMEMVKELHWQEQMPGTIMATGGNGFGVFKTISV TRV_02712 MRDPNQIASNEQVKELGAKDQKNGVLSENAIQTNNEIPSSNLSV DICVEAMGRISMETPPTSHQTSDTASTQNHGITNILSEELPSHPQCQVRLAFRRYVSA PAVLRNRIQVTQHQVEVSRSSFTLADILSKVNCRAQKPFLVRKVRARAALSTRPIPPV VRTGWETVDKSVSLAEIPEILAQDKTEGVEEMLHLRGGCGSWMGKKGNMRRLEDDEEL PPMIWWLSGDGGKQRAKDNGGQDKVGDTFKNSLLYCQMADSAEMSLGKGRAKSVWSRE RSSELEPTDNVAVKETIAPAEE TRV_02713 MIAVSRPADQISVHKNQQKGPNPDVPPPKTDKPRPHVCVTCTRS FARLEHLKRHERSHTKEKPFECPDCTRCFARRDLLLRHQQKLHMATTPASRPRNVRRE SMSSSTRVRKNSIVGSSNTMRPRANTISHVEGAAVGMFASTNAASRPAQPGHTHHPSL GGLPNLPNFDYRSGISSLNGLSKLDTAALTNEYPDALRTAPVYGSFDVPFGMGDAMMG QGSTINPAQLHFAGSPHGFADSPASPFSHNFSNMTATQSTLEDDLKFEWLNGLDNAVT FAPNDSAIDGSSPSAMSTGSQSGLSEAILDAANQAATTSAGSTTWPATLQSQAQSQSQ QYALDFASLTFPEFAAPPDTVSPKSLLSHGHASNNSFSTSTSLASMNGPVLSGAPTST FHISSVSGTNGLQSFGSGYKQTSQPAYLSSITDSTRQALMGTLQQPSGFNNRRFSQHS LSASPMSPSSPGSMLGNSQHVCNLPSTYDLQRYVSAYVTYFHPHLPFLHIPTLDFTAL EYSGKPISSGTAGNIALGGGCLILSMAAIGALYEYDSATSKELFESAKKMIQLYLEER RRADMSAAINRSNYNRDNSLHNTPLWLVQAMLLNVIYGHNCDDKTAVDIASTHCAALI SLARAAELTQYHPPESLPADQLGYDSSSSDLLDPNMETWMRQHTEVSNERKEWLKWKI VEERKRTLYAIFTLSSLLVSTYNHSPALTNSEIRLTLPCEEQLWAAESPEAWKAMGGA ENTLIEFSTALKSLLAAGQTPSQNQYGSTIQADGSLSSELRPSTFGCLILIHALHNYV WETRQLHLGKQWSNQETEAMHVHIEPAIRAWQRAWSSNPTHSLERPNPFGAGPLSADS IPLLDLAYVRLYVNLGRSKEAFWQRDWSNMADELTKAVQSVSLSNSNPESEFSLSTND DAISAQMLESLADYGVADLTISCSSNDDLSLLASGGQNNMQASRSERLLRKAAFYAAD SISMSNEWGNTFAEFTSRDLPIQNAICLFDSAQVLAEWATAVQERIGPFLGILGQDHV DFNEVPATVLLEEEDRNLMRKIQDILNNVENKMKNMMSHLGTTGSESWNHLPSLVEGG YGTKIVLSAAFLLNKAGVWPADVQCTRSSRPEDEIESSEFLFWIGIGIHIFHSMSCKM IPVGRFTFQY TRV_02714 MKASEDSQTFSQLRWYTTDSVYLKRKMLSAMDILQQQQKRKDKR QNDKETVRFSHSLSFVCSPMMYRPLRPPSLLIDQLSLEFTF TRV_02715 MSLPAPSLLAQLPRPLANAGGKIQFGEVYGIQGPKKRKRHEITA AIDGEGVNIYNAQFPKLVASYAIPPQASHSCPPCSIRQKLPGNSGIKRQTYCAVETSG MEIKCFVDEMVSGRSTPNISTASFPIKDTASRPIFVGTIPTDSPSEEDSDAFDVIVAH QDGRIRRLSSDLKTERWTIRSESTWSSRELAACFTVGFEDARKFLFRKREDIVASVLG DGFGTDPSNSTILVLVAHTTSGVFQLNDVQVIFYSISAQSHTGNFQLQASEPLKHLMT LNLPVHPSQDLLTAHNYHWSANFVPGELSLSSKSGFISFDITQFSPKVSSCVIIEDET FSSIMRISPHSIIAGGKSTLSVYDTHYQSLQASLPLAEVSKSASSKKQDATSSLEFIS HFSKLDVLVAAYGSSLCSFDLASIHNERHVSRKRPRRSLLIDSIGKGIISGTSEKKTL AIKGSTMENVLSEERPTDQLNELKKELASVTNRSTFDAVVKAKLWGKSESSKDKGDSI PPDGAFIDPENIKFILTRMFKLKTIADRPTNDPAAVKLIALLIPPMTFKWLVKSKQLN VANIENALRQSNGPHSLSKIPPGALIKALTSYDPSMKLLLTLLGGPGYLEATELAHAL CELLNVARQQSNVSESSQKSVAEAAHVESPDNATSTTDNKEVPTPSLILVNAITGLNL ALNKLHSHSLSYVTKSIRATLSNTDVLSIIHHLRYSLATGGHTSRFTEVPPAAFANSK FPSLSLSVIVDLLTACIDAVGPSGWISAAGFAGAAGSEASLIADMKSEVAATLSAIDE ATYLKGILREFIRCCETSVQHSAAASKSQRAIGNDTPSKTHSAKLKRKEYHHGARILV YDVPEDSATLEGSDSKMLPLSLKISGPQSGVNNDELSKKKVYKSTGEIRSRSGREIAH NKHKAVGKYSFERIVI TRV_02717 MVRRAVEQQTLLPFFSKNESITPPIQPEPPRQKEGHQPPGRMPE NTSLVNLYGNSSSDGDVSTHAVSQLPEINDLNDAEEAAGHRRKRCKIAEHGPVNISAV VSSNAFPNMQPPSPSVISPTDTPSKVQVTHDCESSTSTGLRLENRTQDAPDKKQERGK KLLHLQLDPTLLRAFPPGESAELSQTRQNTRRKSSRLNTVAKFVNRVKIAKIAYNPNN QSKQNVGQLINDIMNGRTTYSELKRQAAQPKSEIRTGPSSTGPPKPTHPFFLSKGQQK ASNKPPQQSNTQQSNALNNSTLLQASTEPKPESSASIPRIFPPLSFPSVSQKQKAHGN REPLDPIWPPRDMVHNRGPIEPICAATNVMFEKRKAKQSTVSVSDAESILTTIFSPLT ESNSNTPNRQRSKALRRPKRHVLTCSEFKRAVIEKLAVCNLEQGGQTQLDTLPQTAHP ALVKLASSLDTTTSSFDRGEYDDTPWPQKYAPKTAAEVLLLGSETSILRSWLQHHEVS AVDTGLCTSSPRQTPENKGTRKRKRKKPKDLDGFIASSEDEDSVMSELQNSEDELAGG VTIPTKRSMVRSNDFGTASSKRPMANTILLSGPPGSGKTAAVYAVAGELGFEVFEINA GTRRGARDIIERVGDMTQNHLVQLLAKTDSESNQVSSLALDNEKGPKQSTMASFFAKK STPKPTNADSMKKSSKAESKEVPKPHINQKQSLILLEEVDILFNEDKQFWTGVLALIS QSKRPIIMSCTDEDLLPLDSMSLHAILRLQPPPSDLAVDYILLLCANEGHLLDRKAVS DLYLALGRDLRATIMWLSFWCQMGVGSRKSGLDWIVAAEALDSGDSNDLYRTISSDTY MEGMGWYSADTVVGEQDHLELRQQLLTEGIEQWDIGLMDWLEIGNGRISGGCFGLVDS LEQMDLAADVRSCLDIICDEGYHGIKRPLDPSMPPIPEKHRLDYIEGYQLLQSDHRTE FNRLSAKIGATLSTLAENILGPAEVCIGEQDDVIEHVLERTAPQRPKQLHKTAFQDAF QAIIDPPDYSTLVSNTRPLSFEHGTSVIAEDVAPYIRHIILFEMYLEQYRLRLGGLSP QNGPPGKRARTTRASRAAVEGADKASIRRDRWFPGRIVPEQIIATGGKGWESILQYPQ TDDLAELVTVRQPETREVWRSEAPVNTSYPRPYATALRSLPMSLFGSSPEDSPLASKA AQPSSSSSRVKSSLFADDPSSLFGADEGFSSHSNSNKNNSNSNSRGVADNEDNNDNGY SSPWDMPTPRKSGSRQQIVKTLLPSTEVPESYVDAFDALAGAGGGAGGVISVENVKSL LGSTHLSSSEQTGILNLVTNNGAQQSLERSEFNVLLALVGLAQEGEDVTLDSVDERRK RLPEPNIPYITRIQTGNAENGTAAQQSMEEHTVQIPLTRRRLRQDSFGPKADPWGSPV NRQPAHRPNQGATNGFSSTNEAPEPEAMSKPNTQVEPRNFASTRSNESTGAPASVGSG WGGGGFGNPSSTSGFGNYDQGALGAGFGQSDSGQANTSRNDLSRSLGGGQVSNSGMED IITIQMLPEKEGMFLFQHRNYEVKSARKATSVIRRYSDFVWLVDCLQKKFPFRQIPLL PPKRVAVNGTHLSADSNSFLDKRRRGLVRFANALVRHPVLNQEQLVVMFFTVPTELAV WRKQASISVQEEFTDKPLPPDLEDSLPSNLTDTFDTVRSGVRRSADIYINLCLLMDRL VKRHQGLAAEQFRVSRALHALTESTMDTYAADTGDVPLLNNGINATANHLQTSQGLLE DEARAWDQGVLEDLKAQRDCLVAMRDVFDRRDRFAKDNIPQLERRIENNEKKLQAIRA KPEEAVKPGEAKKVEDAIIKDKESIVQQHARGVFIKECIRDEILIFQRSQYRISLLHQ DWSQERVKYAELQADNWRALTDVVEGMPTSD TRV_02718 MAWDHLDFDKPHLAYMILGGFTSLFMLCSLFVKEKLYIGEATVA TLCGVIFGPHAANLFNPISWGNVDKLTLECSRIVLVVQCFAVGVELPKSYMERHWRSV IMLLLPIMTIGWLVTSLFIWWMVEPLSWLESLICAACVTATDPVLASSVVGKGKFAKR VPKHLRDVLSAESGCNDGMAFPFIYLSLYLMAYRPDSAKVAEHWIVVTILYECIFGAI FGFLIGYAGRHAIKFAESKKLIDRESFLVFYFVLALFCAGAGSSLGMDDLLIGFSAGI GFSNDGWFTEKTEESHVSNVIDLLLNLAYFVYFGAIIPWEQYNLPDLGLVPWRLVILA ILVICFRRIPAMLMMKPFIPDVKTWREALFAGHFGPIGVGAIFACILARAELETHSTQ PLSELPNPGTKDYMIIYVIWPITTFLVITSIIVHGSSIAVFTLGKHINTLTLTMSYTQ AHEDGPSWMNRLPRIQSTSKTSLSMRKDSWETMEKPEFPPGTLGPIGVPGNLLRRQRD DDPYSTPASRASSLRPNRRRKQGVQGAGGPISQSAITPQRRPEGELQVSKPPTPEAEA SEDTRGRRQLSEGGQPTSPRAEVYQEGDNIIVEDEEGNVLEPDRVVKEKSSEASKPAG IEPKGGIMQKLFPAWAGFGKTQKDESHPQVNRARRSARAYQFANTIIVEDEDGEVIKK YTIPSSPKKETGAGAAGTEEEQPKLLRRITKLGTWTGDGAGESAAAAAKKAEEEDAGI RFTVPVSGDVDDHLRGRRMSKQDFINQMQHLGPKSPSDGSSKFSYIFRRDGNRGRANP HRSMPISGPHGGGVEGMPSPEGISEMLAQYTGPEGSAADRQRRKLSDPVSRGSSLSRH DSEDDGTERIPPAHRRAIAAGQQPPDLHSPTVPSARSSKHVSKLDDDIETPAERKRRL AALGAYRSPSMQRESDSEDDGEPRVVKGKVQFADGTKHAKRKSPVQANFSLSTGVTSP DLPSSPPPVYAPESNPFSDVGNAPDSTGQSTNEGVGNGNGNTPRNGSKSKISWGGEIG R TRV_02719 MAFADAYPGDSDADDEYERSVVISPHLAEDSEASPTDSEGPSTE NTPTYGKTDDRISPKTVITDWTAEECAKFVGSLGLLQYREAFLENEIVGEALIALKHD ELKELGISSVGHRLTILKNVYEAKVKQGVPIDPDHYVPLSADKSSTKDAATQDDIALL IQSIRRRDDRLMAAEAELRKLADDYRRLREELLPVFKIAKDKSAPLPYQPPSTVSYGG STAALSESYTHDPATSPSFLGQEKAGSGLTRTISRKLFAGGSTPKTNSPTHIPQAIPE GRAFADSSTLDPSAAAMAASSHLTASMTSGQPSPKGMPSPTSPNSFYTQQTLASRSYS RDPPSSTNNRTAYDHSEDSQGQQRQDRSGNNQTSNSSSRQDHPAISTSRNGGSGGGGN QSSSNTQNSSDSGPSVEIFKSFRVSMDDPCYKVLPAALKKYNIHEDWRLYALYIVYGD QERCLALEEKPLILFKQLDKDGRKPMFMLRRQTQMVDGQVPGIYTSSGTTIGFPPSGS AFDPSTNNNTSITLGGLPSGGSGGQPSASLRSQPPQQNSIQLPGGVL TRV_02720 MTSITEQRNDQMANSPEQTDQLMDYLLATHKLEDLQASLLNSLS TCGWTERVRSLAFELFRTEDHSRFEDVVDTIVSMATSGSGASSGSPSLGKRKRDGEED SKLANGAVKNGKRVKPNPTTSTANGAGEVTVKKETAEGSNSIAESGPLASFGSSASDI AAHYNVQIPERAVNSGVKFLQDSMHELFSSDTEDQPNGTRGHDPESDDDIPIARKEMK KKASDQPKTNGHPLPKSNTGS TRV_02721 MFCHHTRPAPSFAAVFIANKADLSKKQQQPQTTIITIKRCLFLP PLLLNSYRLPFLLSASPEEGLSQKQRVSRLFVDISMVNEVQSNIWPSSVDSDPSGAEK HEELDTSTAILKKKKKPNSLIVTDAVNDDNSVIALSNNTMETLQLFRGDTVLVKGKMR RDTVLIVLADDDLDDGSVRLNRVVRHNLRVKHGDVVTVHPCPDIKYGQILMFQQAKRI AVLPIADTVEGLTGSLFDVFLAPYFREAYRPVRQGDLFTVRGGMRQVEFKVVEVDPPE YGIVAQDTVIHCEGEPIQREDEEGNLNDVGYDDIGGCRKQMAQIRELVELPLRHPQLF KSIGIKPPRGILMFGPPGTGKTLMARAVANETGAFFFLINGPEIMSKMAGESESNLRK AFEEAEKNSPAIIFIDEIDSIAPKREKTNGEVERRVVSQLLTLMDGMKARSNIVVMAA TNRPNSIDPALRRFGRFDREVDIGIPDPTGRLEILQIHTKNMKLGEDVDLESIAAETH GYVGSDIASLCSEAAMQQIREKMDLIDLDEDTIDAEVLDSLGVTMENFRFALGVSNPS ALREVAVVEVPNVRWDDIGGLEEVKRELIESVQYPVDHPEKFLKFGLSPSKGVLFYGP PGTGKTLLAKAVANECAANFISVKGPELLSMWFGESESNIRDIFDKARAAAPCVVFLD ELDSIAKSRGASSGDAGGASDRVVNQLLTEMDGMTSKKNVFVIGATNRPEQLDNALCR PGRLDTLVYVPLPNEPERTAILKAQLRKTPVASDVDLAYIASKTHGFSGADLGFVTQR AVKLAIKESIATAIRRTKEREAAGEDAMEDDIDDEDPVPELTKAHFEEAMKDARRSVT DTEIRRYEAFAQSMKNTGSNFFKFPTDGISAGETGFGDAGNDDSLYD TRV_02722 MPMKACQSQYGKLSYLSRLLASANNLLPPNPYNAANKIIDYCLN KGAKEEESTPKSPGPPQILSHLMWTMGGGLGVSRLVALDHYPREYRRALKNTLSQQQL TAYCKKTIPLFVFGPLMLPSFLKSMTDADAHMDQAQYMSQGSLLGHKLYLFEGSELPL VMPSSEPGDYVDGMLIFNLTAARRGWIHELEASNEVEMRNVRVEIVLDDGSLSTLDTA AFVWTGREDIGITPALATQWRIDKFLASPWYERTTTGYESC TRV_02723 MVQLEGRGESQLHPAVCLASEKRRVCRLRRQKEDKEKKEKKTKG EKEVPARRLLSRQQDVLFMLRILSVSVSISVALSLWLSISMLMPVCTSSGAFDMGGHG SQERGGSIGLATCYLAPLKTLHRQATEVHTALSRLKSWIQGGFSIKYYDTSGFVLREG GLVKFRRKGPVEIMTVDIRIKGGLTIS TRV_02724 MSTANFDWGLVGIMAEVDDVVLHQPNQPWPNSAFVLGGGMFASD ANLFAVFNTREHIIEELTRLLQQIQSLRVQSRLLLEQPVPVGFLDVVAAQLMPQWTAR DMPSPIRQLVETVSYQAASIGILNLPFPTREAIYRLVLDTKYTMKQSIHPDWVTAEVI DDTASSDIQTAWIWSRCPRVTKYNIHPAILRVSRQIYHETVMVLGRQNLWVVVSTSDT RIAQGLTEMGAALPIPPNWRGLGLPGYSPYVYHVPIEMHVEENPNVALAEQQFFVMAL DCVWDLVALMISYSSTPWSLTVRLNETTFFSHQQKLDCIARIVYMLEPLRLLGEDDYS RTVTFWFQNRIAGDRMIRLNRLQNRFSAIELIGMLREYLDPFNANLWQINWAKEDLKM AEWGFFFIHAMNKYGANEQYGRMWRDTLCIGHARLMIIRLAQGKLILAKHHAQRADEV AELHQVTLWWCKPLISRITGWDDEIPNRDTIDEALRAIWCLCWNERKSWFHLLWQHYK GAEHEAHGTIVYAVHLLEGIRLILANTNEANDLKKQAKVFNNLMCIQGTGLNDGCTPE QRDYHNLTTRSVINDSRLPDSVQQQSIEEGVMLWRLIE TRV_02725 MYKRSVFTSVTPLPPYITREMVVRTLHEHGEMIKLNPLVIDFKR CSPPSHAPADEFHCVWYELTDRVQYLPGGLLSGNVSYKACFHDLPGGLQTHVYAPTGL DIQEKWSVGGNMPGEPRETVELGIPGAPREGLYLREDVNMRCNVLATGFVKKTLRRAH AVLVDRLLFKADIDEQKRMGSETATSISATSPSLPSPSLRQQERMAHCSSWANSVEHA RGSVAISEIDSGQLPRPASLAQGQNQEQKVFELA TRV_02726 MEESLTESTVLVDIAPQPVEAEKKSKQPPSALAAVDSTILRLNN AHGTERAFATVGYSAHILHYALTHSRRLNRLALAALGRKPAASPPFKVAGGNAAAAAS SHPHLLALASLVSETRTSLRLLGLLPLWAWGSSTYKSPPADPVLRAIAYGQVLACVLF QLLENVAFLASKGVLSKRAVERWGSLSKWSLWSVRAWLVHVLLEFVRLTRESHIAAAE GQKSQAGAKKDLGEAEKGVREKTKEEAVKMAAKVKAWRKSMVNNLAWLPLCLHWSVDG GIGVPASLVGVLSLTAGAWGIHDLWLST TRV_02727 MGLSRSSRGYWRADCTHVCTSRVLDADNRFWCARCGFGNRRRWV YVCAEDQDRTLSDGFIRGLAETGSDLSSEALQQQELELPLNDWMSKAIADGHYTEEEI ATLKQQRASVLEGMRTEATRKAEERELAEAEADMLAWYGIPLRPPPGIAAPARGSSNS ISDRTNDIISEHDGPVVPECHKVYCLRCRPSHHERAWQSLNGLCSENNELLLQKAAIG QPASIFDIPMSEIRRPLPRPELPGNDEGRGQGVSMPSSTADAWKDCWSDLVYGQLNRR DGQDHDQPERPKTPERPKTPERPKTPERLTERPCQPPRRPRLVRMPKFCVEHRLRSAS LDQEAASTPDEQPDKDADRPGAEDEITEETQN TRV_02728 MTITILAIIALYSDGYDDGRKKSAYNFLVKVQTWVKRDFAFPRK AASFLYSSSDILYSLFSTVIPVIPVIAICRLRLPLVFYILAAFSRESPNRVINCSFNF SFSSLFDFTLKYFISTTFINFINFIDFTIDFTIAAVSIYSPEKHHLVPSARPSIKPAA IVRSHQPETSRLSRRCSPPRPAVASPNSSLSRPLARPAVVVVASVAAIPWPSLPVTMT TSVKFEKDTVRTAGTAATKASEDLVHAVGERLTGGKSQNGYLAAYLKELQSNPLRTKM ITSGALFGIQELLASWIAHDRSKHGHYLNSRIPKMSLYGAFISAPLGHLLVGILQKIF AGRTSLKAKVLQILVSNLVVSPIQNVIYLTSMAIIAGARTFHQVRATVKAGFMPVMKV SWIVSPLSLAFAQQFLQEHTWVPFFNVIGFIIGTYINAHTKKKRLEALKRKQYGSGKS STGRPEDYPPPRRDY TRV_02729 MSPHPKCICRTYPEASEEKSGSGLRALKASSLQCIGISEVLATK LALDALESFRAPHLETPRCPLHGYKYLPFRLFNTLDSHLFRGVLKDQVYLRWSKILPS RVHGLTSKPGSRDNRITIDLQHSLIKESSAEGIISVLIHHMAHAYFLACCGPPSLGKN RSERQNLGHDLAYSTLVYKIMDVFQPQGFNRMPNLFLFDRARHHLNDHRQLCRAERTV GHLAEPGKCCTYQSTDFLDRKTCRDHTLTLKELHLKHERDGTSDLGDPYPKSHYIHVV DLVKQRFTPVLRSQYRYRPKDYIELHYENYAVPFLRSGLRKDCSLASKISEDVNFLNI PAPSHQIFCAFYSYLLNGDYPPELVKITSPYITARNAEGPPLILTYVPELENFLATDI RMFSLASMLDFSDLRDSAMHRMHSLSETHANPISILQEIYNSPTRNDDDRDGLRRWVL EFLPKGGKERGFTNIDVLQTGQWSDSLAKLRKENGLFNMDYSTAFESLVLEKASAYIN AYNEASRATGHAAGNPSETFNLGTLSSEELELLRQVHPQMSKLYETAVASTQKQALGP KVEKQTNNDCGSEHCFCHVNQQTRHHPHRHHQQQQQEEDQDSDTSCSQCPCYYCVPVL NEPNTLRQTPNPQHCLNVTTPLLFSPSPQTTCSPINFIPVSTHHRFR TRV_02730 MTLTHNKLHANQIYERSYFQNGQSGTAQEKTAAVNKIFDKYRDD PDSPDEIGINGAMKYFGDLQVRLDEVACLAVAELLRSPSMGEFTREGFVEGWRGTTES ASLKPVYSALIYSQLSRCDTIEKQASYANGLRKLLLDDPNYFRRVYRYTFLLCRMQGQ RNVNIELAVEQWQLFFTSENGGVAWETKSVPWLKWWIEFIETKHKRPINKDLWEQTEV LMRKTMEDESMGWWSPDAAWPGAIDDFVAFVKEKQGATAMQQ TRV_02731 MAASVSVKRRRLSPSDDSSHLKSKFYSQAAQWDLEQDYERRPRK TKKDKEKKRLPIKTQEGTLEHLEEDERLEESSESEVFLSEVEDGEPATMARTVPAPVS SVPPKVQILEAKEALARIASLINEEPEEHMELFKKLTEMTASASLPAVKKLALATQAA VYKDVIPGYRIRPLEGEELTAKVSKEVRQLRAFEQSLLSGYREYVQQLATLSRAKQGS DTYNSGLKSLSINCACSLLTSVPHFNFRGELLKILVGQLGRRQIDADFVKCRETIEEV FSKDDDGTISLEAVTLLSKTIKAKEFRIRPSVLDTFLHLRLLSEFSSKGSKDAIDKEA DENNNGKKPKQKREFRTKKERKLMKERKIVEKDMKEADALVSHEHRDKMQAETLKLVF TTYFRTLKTRNPELVGAVLEGLAKFSHLINQDFFGDLLEVLRDLISRYTNSNVKENED IRDNEDDEDEERFRMKDTRNATRDALLCSTTAFALLEGQDASKAASSLHLDLSFFIGN IYRSLHDLSLNPDIEFHPSKSLRLPEPNPYNDNQIVSNPGPDRTVLAKKVDFQTPTVL LIRCLQSILTAKANKAPPPIRIAGFTKRLMSSALQLPEKSAIAVLSLLTRAAKLHGRK IAPLWNTEERKGDGVYDPNADDVERSNVFAATIWAGELLRLHYCPQVRDAAKEVEKAI AAVK TRV_02732 MEGRGSYQTSSDPPELRTPRPALVRLPRSSSYAFGQGDLTEQSN EFYANIFPYLAPDLPSFGCLRQARNTGEKRFPAPVVFPPASETEVRPVVYPIASSKGR VQEQDQSVVPNLEPKYPPTPARPAEAKEFSPSFDCPCRLKHSLRASLCKSMRLPFDLC TYIDCPCSHFDNPKAPSARSLPFSSTCLSTVAFAWDKIERRYREVSRERPAILTKLMD QFNVRDWSRPETHPGATNDKDRSGGRTTIECSAPSSPQKTHSEVNIYYPRLKDSESSC VPPKSAPLVQPDAYSMQKLYQALPVPTERESQPGLRKVLSAPDFSVLEMNTNGVSGPM NCDVRRKSNTDGIVLGGPLLTATQRGPHSTCGSPEHVQAPVIPSIHGTCGGMVAPVLP ATMPTEPAANHFHDGDVINARFEQISMVQAANTDAILRSLQRLTNEIRALQVETRANT NHLHQLNGRVAALEASGSQPPAKRLHYRGSKPHSSGHVRDVQHGGPNRRRAPSQQQHA GYSPHLDMTPNPLHSPTEPQTAMLPSQGPQVVPRNRQSCRQRISSRRDASFNNGEWSG TSNWYRKAYADGKGN TRV_02733 MTEAVKRTVTIAIRRPSKTPAVFVAACFTEPAWEPVELTPQLLS SKDAPTTEDSSTQVEEYEFSKKFELPEGKYQYKFRLGTDEDSWFCDNDVETVVNDDGI CNNVLVVNDTSSTSATEGSQQTNGTPNGTKLKEPAKEEDDTEEKAKIMEDIPVDAAVV DKSDKEVPVNVVGETAPEVEKQTDNQVDSVGAPEASASKGNISEEKSDENLSKKEDTV PDSEKTVSVDTTEMEQEQSSSDKLENTMPESTSKELPEESKESESRAEVSQNNLEAKK ELSEKFDAVEAIDPAETIKEESNDKVETPLVTESETTVEEPKNNTAEDLKTAPAAPEN DVLQAEVAPEAPAIEPTEDSTKEDSLPEATKKDAVPAHAEEPVAEKANGSAKETANPV ASMVVEEPTVIEPEAVSEEKSKEEPAAELEKTPVKAEEMAESISIPAVEKAAPSPEAI KEDTLATKEEPVSIEPENVELPQEEKVSDKNADLVATEDKIKEVSAAESEPVPDAVKA DSEVAAVREAEASVEEPKEPIVSKDIASKEIASDDAPGPVSEKPAVANEEQEKSSNEP EAIPEKPKESAPELEPELTESKRDTDATENSTVDGLETQGDPVNTEPEVMPAAPDKVV STEDSEVPSSKEPEPVIEAPENEAEASEEISTEKEDGTTENAKKEESPLSVPMPEEAK DGAEEKTEPVPAPVATNEVEDVTGVVDELSKEADTANEEPDKDASQPVEDLKSTEDDA PVVKEAETITDDKPVEESAPEVLKDNTKEESQEPAPGPNAEIAVAADEAPVNEHQDIA SIEEVKDLSADDPAPADCNVPAVEDEAVISESKDTLEPEVNRDSQPIEKKEETEDIAD PVPVSAPGAQPAAEEDPVKEVPSQKEDETKEASEVPVIANIAGVEALQNVKSQANPEL SEEEAGQVAQSSTPAPEPQVEGETKASELKEPATEELAPVAEEPAAAIQKDSESGDSK EEDTSAPEKEVEAAGEQTNKPEEQKLAEPEATGDDAPIPAAAETENKEIDLPSKSIKD EAAATTQSSTSQVTDEPSDKPAPVASEGPGEPDVSQEPKEVHVETAVVEDASKEANAQ SQVEDAAVDAPIDVPEEPKQDAEAVVNVEEAPKDVQNDVTATGEEVKSEAEKSLDHVV KVDDKRAEEAVEEAPVAVEETVEAESAPETVAADPVADETPAPEPEAAEEEAHKTETA DVTTIEEKDVLPEVAETPVVPEDTEKENVTVEAEKPEIPTSEAVTTLPGSLEAPKDTE ETPGNPDKCAATEPVTHNIEENTTVPENVPEPTGTGSASEGTTNNPAESVADNEPEVP TNKDKVTKEAILDEQLVGSTSTEGKNDPKADLPNVSADSIQEAGLQGKLQSTEAKPDF VTDHAKVEEDQPKESSTSKTEGQEDAHKTNGATIAAALGAAAVVPGAAFLAKKAFESG ETTDKKTAADDTPEGTESLISKKGTVDDSEHINNVMAKEDSKVGANDESSNGTKNGPM QEEPATAESTSKAHATEENDKGTTIENTSLPEQVKESPVGKDDAQETVNGKVIANEES KKATPETSASADIEPSSSSATALANASTAATTNGTKTNGTKTNGVSEETRPPTGNKSI TSLTSQRRDNFLKYIWRAIFVNFFGSLFGFRRRDTTA TRV_02734 MAIQVGQEKIFPPPSNSLQGKKETEKGTKMPLFVARLAPVSLTV IWDEVEKSTGWKIEVSETVRRTLYVKDISPKVNTIVTEEYVLWRVQAYIKYTETLETF YLVASHGSHLEIGYFQRKKPDIIPRLSEVFNGADRIQIMYLPAVAKLLHEMVS TRV_02735 MPPNPSTFTALNVPSGYTLPESLEYFSLAARPDTDLWRKPPDRE TSTAPILYTSLQRPFVLAEVTVTADWEMEWDQGGLVIFSGPPPGPRLIQPNNSNELVP TTVINLDNNNNSVNAGEQQQQPPQPPPYPDFNLGQGKWVKAGLEFSAGTVNASSVSAT SDGADWCLSPLAPPNQPTSITSLRIKLERIGHSLWVWYQIPPSSFSTDQESSHSPGSA AQSWRKLREVTWFFWGVEDKSVHIGVYACRPANISISSTMWAARNGGQYFHDNSPSPN SLVVDFEDLEIF TRV_02737 MAAGNNGARQIASLLSVCRNCQEALGRRSFATATVSSDSASSSS AIPPVTAADTVQNFPYRIKAGIILSRAPRITRDLTPFEKSFYFYQRRLNERLALPFTR YFYFKRGTPTDEEWKRKFKDRQTPARDIGKYNAYSETAWNDELLVGATESEPQNQVDA LVRDAEGFSLSESDGDAIHDEVPKPLPRITEADKSGDEKSLDRALQRTLYLLVKVKQG YWKFPSVVLNAKENVRAGAERSLLQSAGPNMNVWTVGYHPISHYVQNFTKPIIDPATG VELKGEKTFFLKGRILAGQANIAENMQDVVDFKWLSKDEIQKHVMLPYWSAIKNALPE R TRV_02680 RHRERYGPYLNRLETHLQRDRQGMGDISATMIKSEPDEPNNPHQ FMMSPSAYGIPTPSYGNQFGGSGTGTGTGAGANGSEGIDPSELTMQQNGFVSHHHPFG SQNLSSSFTLGNAGIDTDELLDLEINGQNLSRDDGLDQRQPTAISMSHQGQMSHIYSS TPDGAPIQSPFLRSSFNYDQFRQVQHTPQATSPHMNAMNVHFDQNYSVAGKAARNSFH GDARSPVTPKTPGIGIGGLNINTPDSGSYSSQPIRAVSLQSQHQKSMSGQWNGTPGSA QSLMESPISSPGGHHASHHANIHEMLKSAKHASLPAKVDSLHGHHHSSSSQSLESQEA KRRRRRASHNMVERRRRDNINERIQDLSHLVPQHRLEDEKIRKQLVNNSALSTSSGAN ASSPPNHNPATSLLAGPSARRATAGNITMGLPIEEKEKGPNKGDILNGAVGWTRDLMW ALHRKLEQEDQLAEYIASLGGQWPFEQTEEDRRMRTEVFDAMEANDGVNFSYSRGVGS GLRVPKHTTISGESLPQSNSPSQDQSQPQTQPQTQSQTQTSGNSPGFNSSQFWNSSGH IGLSVKEEDEYAMEI TRV_02681 MEAPKRATKFAANDSWGAFYRKIFGMEKANQPLAILTGATAGAT ESFVVVPFELVKIRLQDKESAGKYNGMIDVVRKIVKHEGPLALYNGLEATLWRHILWN AGYFGSIFQIRAQLPAVEKGNQSQQMRNDIIAGTVGGTLGTVINTPMDVVKSRIQNSP KIAGSVPKYNWAWPALGTVMREEGFGALYKGFLPKVLRLGPGGGILLVVFTAVTDFFR TLRKE TRV_02682 MHDPFVCSNSRAKLDAFRYAPKRTTEMEDDLPEQSTQEAEETEK TVPKPGFGETRPIPCPETPVHKIPLADMISNTEDALKNAPEKEITPGDHVFWDHGGSF SRSTPNSSSRRRNRSLSPSSSPSYIPGDGSFTTALTSDKLMLTTPQHDAAADLWNRYV GKVDLPECNVPPSPRTPGLKSSARKAASLRRTNSCAIDWPKSATKGKRSADWLGSNRR IRRPLTTEEGEQGPFGNSRINMLVDRIQKSLLKKSGSKKEDTPAPAPPSSSPLPDRAD EQPSKRMRFTIESPTKPAPTRRGHPDTIPPPNKDPLSSDYGYDDQDQEFFELAAASTN EAFLNTQKCKNTPNTLRPDTNANYDTFSQLAKDMSDDSDDFDNDDYDGMDEIIATYTK NNPVSAPTTSKNTATTNTTTTAAVKPPVTPQKSQANRIVPEEEFENIMELDSFDSDPG DNFACLLFIKPSQNPQAIKRYLVIDLAENTYTNSKGRIQWEKVLVVREDKKKKTRAIT LRDSWFESAVSKDTYIHLIGNFDRNGQCLVDDSSSHAIILDPDHLISATVVADSFTCP RRAVLQDRVKATNDANKPQVYGHILHEIFQEAMKANTWNLPWLKNLINTILPKYIESL FDVNLTFNDAANYLESKMPALRDWAKSYVHPRPTAGSMMDDRNGATSKICINKLLEVE EHVWSPMYGLKGNIDATVQIVLEEEGSQKTLTVPLEVKTGKNNTNQSHRAQTALYSLL LSDRYADINVTFGILYYLEISKTLRVRAIPGEIRQMIQQRNLLAGYLRQHLELPPMLK NSRLCSPCYAKTSCFLYHKLVDDGTEETSGVGKIFQETSGHLRAPHQAFFKKWDDLLT LEEKEMSKFRRELWTMVSAEREGVGRCFGNVIIENGSASENLEGPRINRFQYTFIKPK SVSGFSFTESQINVGEPIVISDEQGHFALANGFVVQISPRRITVAVDRRLHNARNKEP GFDAVNNQAFEGIMDISSKNKNAMGINCDTESPMLYRLDKDEFSNGLAIVRNNLVSLM ERDVFQSARLRELIVEGAAPVFKPGSPLSRLPESVGPNLNMDQKMAIGKVLTAEDYAL VLGMPGTGKTTTIAQIIRALVSQGKSVLLTSYTHTAVDNILLKIRDDKFRILRLGTPS KVHPEVQQFAEMAATPKNTIEELEDSYENSKVVATTCLGVNHRIFNTRTFDYCIVDEA SQITLPVCLGPIRMAKTFILVGDHYQLPPLVQSKQAVEGGLDLSLFKLLCDMHPSSVV NLEHQYRMCEEVMLLSNTLIYSNHLKCGTPTIATRSLSIPNIDGLKRVHPTSFPSSST QSLCLGSSHNRCWLRDLIDPRAKARFVNTDSLRPEALDSAKGSRIINEVEATLCAQLV EAFISVGIAAEDIGVVTLYRSQLSLLKQKLRHHGSALEMHTADRFQGRDKEIIIMSCV RSNSDRNVGDLLRDWRRVNVAFTRARTKMLIVGSKATLSEGNELLGKFTALMDEHQWR YDLPPNAVSQHFFEEHDSLFTQAMNSRTPKANKMANTLSPSPTKKLTQPRLPLSPLKN NKANTFPGSASGIKRPQKVGKPLDGGKIVRKRPVLMDVLNDMLG TRV_02683 MFDFEDPLMDKEKCLISIGNLPVFVDPAQPPQKRAPFSAILGHV FNHKVELILPRHIHEAAWSSILGEVKPYQYARAIFPLSGLLEGDFFTKYIKIGNVLMI SEGRRGIDNVYTLKDVGVSLVDDLDLYINLTGNLVVEINLRPSSMLHGKKGFERISWA FKNVLNNSVTWLFTDLSKSPSLTDEDAPIKKYHPQIITLSPAEIVMEKVLVPPFGSET LLPTEDRDALCRTCDELQEWLGLASLGSDRILESDSIDPYLSRYQVPQKEECKATDLV KITWRGLIPSSWVMMLLLSVLRKCGSKSTSAGHWFGLLVSAMGKDAVDGKDGYSVVAL PFSSAKREEENVDSKDEEPSPRRKYICWEYVGGNVASF TRV_02684 MSLIFVEIEETAKPRASFASKSAKHHDIKTIPSASTEERRGLNL KLLTCRQLLLIYIRTLAWNPTGTLIATGSVDRTLRIWNPERPDVRYSTDLRGHTSGIE QIAFNPVKESELASCSKDGTVRFWDVRAKNCVGRVDVGGEAFSLAWSPDGSVLLAGRM DDTLVPISVEPVAMSTNPAPNAPKAPSTYKALSHHKQPIRTNGIAFSHSFDPNLFLTT GDGTVKIESYPSFDTLHTLNAHTAACLCVSLAPTARYLAIGGGDSLISLWDTTNWVCQ RTVSSSGGGAVRGISWSWDGRFIVGACDETDCGGTGLEIFHAETGDSVYTIPTGNPNV GVPAVAWHPSRYCLAYSLYAEGIGSGNNGLRIVGAGAGDF TRV_02685 MAGGNEQTGAAVAESAAPTRTRAESPGAQEGNPGYIPRPKRIAC VVCRKRKLRCDGAKPSCGNCSRLGHDCAYNEVRKKSGPKRGYVKHLEARLAQVETLLK GVDTAESSRPSPPTRAFDSQNAPFQGRPNMFPIRTAVPKSVEADPNLSLDGMDMFGDM GDILPPPATLPTSNSASSREFSWEMIGLGLEEPLPSQEVIDELDEIYFEKIHPSSPMI HKPRYYAAMNLAPSMRPPICLRYIMWAHAAAATDKYADIHTHFYARARKYAELDQMKG LGENIISVAHAQCWLLIGCYEFKMMYFPRAWMSTGSGMRLCLMLGLNRQDARGLDVKQ ALPPARDWTEREERRRTFWAAFCQDRYASAGTGWPMSVDERDILTNLPASEEAFVNSV EQPTSSLAETISGQETSSLSPYAATVLLACMFGRNLHHLHRVTTNDRDHDLNGEFWKR HRALDNILLHTSLSLPNHLRLPEGINNSEIVFGNMCIHASTICLHQAAIYKAEKHSMP GQISTESKRRCIIAADQITHIMKMVSHMDLAKMNPFLVFCLYVAARVFVQYLKSRPQD QAVRSSLQFLLSAMNALKRKIPLAESFLVQLDVDLEGSGLMTDDGVSRFSYSSVHRSP EDDARQAALRAVRSQFEKCAIGIIDIALLDKQNQDPKTSSKSPATTSSSDPPGVSSQG HPKPRGQQFPAQSQVYGLGMPGNIPNIGIPQAHDDHFRFMDFEIDASAGGTSTGGSER HPSCSDNPSPNTNKTSSNSSFSPAHLDQPSPNQGGMHNQKPLPQHLPSSLPYTTNSAF GASMQNPNKTNTTPLPRDFAVTASEAQFQQELFNLPEGWDFMQQQQQSQPNEPDLIPP TPTSSTMEAVLSSLEDIPWSHSPETFNTNQWSR TRV_02686 MLQARVPFGGLQRSCKCLTTLAYRPFSNTPLRRQETATDPADHE DDLSMAPPPVRDKKAIQLRSYTPRTPGLRHLRRPINDHLWKGRPVHELTFPKKGHGKG GRNYTGRVTVRHRGGGHKRRIRIVDFERNEPGPHIVQRIEHDPGRTAHIALLKSKLTK KLSYVLAAEGMREGDIVQSYRAGIPDDLWEAMGGGIDPGVLAAKTARRGNCLPLHMVP IGSMIFNIGLHKGKGGQLCRGAGTYGILMTKAGEAPPPWEEVKPKEEDATAESKDSKG TEAEEASSEAAKDAKLDAEVEAEIKSDADAAGEKTVMSELEWKRLKKLSEHVAVRLQS GEVRLIHKDCCATIGVASNVNKKYAQLGKAGRKRWLNIRPTVRGVAMNAKDHPHGGGR GKSKGGVHPKSPWGLPCRSNIANSMEQAKSGYKTRIKANINRDVITPRVRNQGKRRRG YN TRV_02687 MPPTRSLRQRTATNENDENATAASTRLTRAKAAALSVPESQTGA VKKALGARNTVNTSSTALQRKRAALGDVSNVSKQDNGDSKQLKKPSASRAPLTSKTST QTGGVQKVTRTNTTRTALGVKDSNKRETTTEPKRPGSGSGVMGGLQTKRHSNKPARAD SVVSEEPPRKKHDSGKDVLKTEEKSEDEAEEKEKVEVKVEVETEARVDVVDSQESVQD EVKEERDVDVVIDLDAEDLYDPMMATEYVVDIFEYLKELEPITMPNPDYMDHQDELEW KMRGILVDWLIEVHTRFRLLPETLFLTVNIIDRFLSAEVVTLNRLQLVGVTAMFIASK YEEVLSPHVANFSHVADDTFSDKEILDAERHILAVLNYDLSYPNPMNFLRRISKPDNY DVRTRTLAKYLMEISLVDHRFMKYRQSHIAAASIFLARVIYERGPWDATIAYYSGYTK EEITPVYELLIDYLCRPPVHEAFFKKYASKRFLKGTLPPIHLSIRTYRTNYA TRV_02688 MVDYLIRFAQSHESFRKAEITALASLVGVEIEFLVYHDLTPFCI VRLANEEAARALMRRSILAKNIYELWGQGDTYDLLRADVRHRTESRWPDYKTVSFKFD VDTYASTRSAKERNEIIESFSFLAFEGPIKMVDADEKFCVFEEFAHQLASSGNPKTHT EPVLKRIYLGRWVTEGGRTEINTYNLKKRKYISTTSMDAELSLISANMTHAAPGKLFY DPFVGTGSFLVAAAHFGAVTCGSDIDGRSFRGKEATSNTETGVIANFKQYGLLSRFLD TFTSDLTNTPLRSTRIFDGIICDPPYGVREGLRVLGHKDDSRKGELMMFQGVPSYK TRV_02689 MNHGEQEDTGEVALAAHSLDTSEYRYPGVDDARTICGREYDRFL AGHKDNPFTIFTRVSESDFVELDRAGFRRLDYIASFRKLAITTPTAPHEEAGTRFSAL VSLKAQEMGVWRLLSSRGSTTMETQERKKEPDASWGPRQRYSETNIKWPTVVLEVAFS EPKDKVKDDAWWWLYRSNGAVLKVITIDIKRESGNVYVTLWERGAAPSRQHPRPEARA VSTLNIFRGQHGNPARLEGTDMALPFKDMLLRDPNPGHGEGDFMFSPAELLEIAENVW ADMRLAS TRV_02690 MDRKEDTPGPEAPAACPSSSRLLVPPTRPPNSRRFSIRIRHSAA SLTGEPIARTTSLNPEPVKRSDDDVVASRRRSSSEPQRPNFGNDGAAEYMPMTTVAEE GPSKYAQIEAGRGRWRSASASAMSSLRLAKAGQEGVGNVNDGKEYDSRVVNLLDVVGR FVNRQPTYNLTRPRSDSVESIISAVSEEEEETSTSDTEEPPRAKRTETITSNLSVSRF AVLPEGTTLDGWDPSDKWEINDHVRHMLHSRRSKFKRGMKGFWQYVQKREYTILILDY CKMELIYIFWLALGFLVTLYATLITLFGLAWVLFLIDNVLVALFAIVGDGLAPFRAID TYHMIYIAYYHRLTWRLRRKQDLPKLKNPNDLPEAPLEDVIRSAAKENEIDIEMAARD VMTNDKEAEYSVLTPEQQKKLTHHSNKFSKSHTFYKPHETTTHHAFPVRMLIAVVILL DFHSIFQITLGACTWGIDYRVRPTALTTTILCLSISCNIASGIVIMIGDRMTRKKEVI EKMLRQQLTQEAIKKVEKKKKKKEKKKLEEKAAANCIHEEDEREDTIETSVDIEKHKP LAG TRV_02691 MADDEIQRLREQLRNEERRRQKAERAQQEAERSQQEAERARQDA ERARQEAEQLQQTFEEQLRPLTLFEYLDACHTYLFSGLVPRTLKSSTKGSADNARGKF RPHRIRKWRSFDDEQAEIWDHLLSQENKGFIAQLKFDSLHGMMTIGKKTKKKISSELD LRYFQRDAITEPVGSIIEAFFESERLRHVFGLQGQVTFENHANSLADSEEVEGVRGRP PKLRKGTDGAATPTNAEPSHRPLADEFCIYNAGEKAKKPALVGELKPPHKLPLEAIKK GLRKMELDEVVERRNDDTENIRHRRLVAAVITQAFSYMIKAGVEYGYISTGEALIFLH FKTDEPGTVYYRLSVPEADMNQEGEDDDTNRLRKTAVGQVLAFTLRALRTTPHDQNWK DWAMSQLKVWVITEGDLYLPISEEDEKQDEQPPTPYKPSKSTQMAIRNTPVRTRSRSS RSTCKPTSSQQNSSGEDDKDDESDHDSPSRRPRRSANVMVLIPPPQPPNSDSSYTGPV CRDGARRYCTQKCLLGLLNGGLLDKACPNVKEHGTEVHQIDHSMFISLLLAQILQKIV SPWTQPLGCESLHRHGARGALFEVILFKYGYTLIGKGFPPEFSKYLRNEQALYNQLRP VQGVHVPVCLGTIDVSKRPMFYDGIADIPHFLLLAHAGTEILKCGVAKDKIISAASES LRAIHALGVLHHDVEPRNLFWSEEGENVLVIDFERAEILRSERAPLGRMSLNRKRKNE NESREEETKVVARESWIDNRLEEELKGMRGYLKRNLRL TRV_02692 MDVMATPAGDEGVEGALVAGADDADGSERVAAEDAPHPPEESEE EDSSEDWETASLYEDALQFISDEHLRGGGESRFRLLYLAGSTSLLTGTIVVPGACTFE EAMTYRKRLRSIGQAAFIAETLGRGTVSAKTLCTAFGIMPPSFLEGAPDKAYHPLLSL GMSRESSKRLKLPDYNTIDDVVQLMQKAKNIIVITGAGISTSLGIPDFRSKDTGLYSK LEHLGLSDPQEVFDIEVFREDPSIFYSIAKDILPTEKRYSPTHAFIRLLQDKGKLLTN FTQNIDNIEGAAGILPEKMIQCHGSFATASCMDCKHQVPGEQLFETIRRGEIPKCARC EERLQSKPRGLKRKRNSNGNMRQSRSRSQSAFDDDSDNDGYSLPTAGVMKPDITFFGE ELPDIFKKRLLEHDRELTDLVIVIGTSLKVAPVAEVPGIIPCDVPQVHISRTPVSHIE FDVDMLGDCDVVVSELCRRAGWDLQHDMIPEGQKVQVEQAEGFESRFTFTVTDAQN TRV_02693 MAKTKPSKKQSKKTRESVLHGTKGMSTRPMENPETLFEEAAVLI QTGQPGEALPLVERALKNIPSDSPKYLVGLNLIGEIYVELGEIDSARESFLRAVELDP EGEIDETIDGGAEKFLWLAQLSAEGGKDSVRWFERGVGALKRNLQSLEGKNDEVAQEI REGFKKRLAHALCGVVEIYMTDLSWEEDAESRCEALITEALLVAPDSSECLQTLASIR ISQLRFDDAKAALSRSLDIWKDEPPESTLIPDFPSRISLSRLLMEVEMENEALQVLER MVFEDDQSIETWYLGGWCLYLISKKDQKQSQGEANAETKEQLASTLLTSRKWLRQALK LCEIVGYEDEKLKEHAIELVQEIQNELGISGDDEVGDDDVWEDDTDSDSGNDHDMADS TRV_02694 MGREEQAEEKETLQSIFPDELTEISDNSYRISIRLDVTSQDGEE AEPPTLILQVTYPEDYPDVAPRLELSTPPNAPKYPHLDIQEDRDRLLESLQSTIEENL GIAMVFSLVDSLKEGAELLISERQTAIQALKDMEAAKAEEEENRKFQGTRVTRETFLE WREKFMAEMKEAEERRQEEKEAEEKKKKGANKEPKKLTGRQLWERGMAGKGDYEDDMD DSIPEKLGKVELTA TRV_02695 MVKFYPSIEPDHREWMLNQPVFFVASAPSFGKHINISPKGLPST SLAILSPNQAAYVDATGSGNETLSHVRENGRITVMFCSFESSPRILRLFCTGKVIEFD DPAFKGWVGKMGVSDRYIPSARAVIALDIFQVRSTVYVYVLFYIYSTISESLRPNVLQ VQTSCGYGVPLLALRTDADTGAVKPYLQDRDTLVDWAEKQAEKKQLSKYMNAWNAESL DGLPGLRAAMIARGQSDAAVSLSIALCKYRRPLEIVSAVLMAVLALWLAGCLKQPAFF GRTGVIL TRV_02696 MPQFTLYANPIATAPDRILLALADAGFTDFEYVNVDMSAKEHKS AEYLARNPFGKVPTLVTSDGVTMYESRAIARFLCTKLNLPLLPNPATATAASLALFEQ ELSCEISYFETPVSGIIWETFIKRVIGMETDNAAAAEHKKKLEEYFDIVEAKFKTSGK KFMAGEEYSLVDVYYLPFLARLFDRGFGDVVTSRPQIKAWWDRCMERPKTKAWIDQSP KLDAILASRK TRV_02697 MKSCGKGDATSIERVDSLIAGTEPFLERYKQKCARLAREREEKE LEDARKKQNKRRFSAKRVLESVLARPYPTVSGIRRVPRFACARGIPFLRIKKPQPKNL SVAIQIRQDARWKNILRRQELGVDSLFAKDEDLWDQITSRTETDSWDKAIQQNINRVV ETIKNGDERDLELARKMWNVVVAERRLAEKEARQREKMGQADETKTGSSESTRRP TRV_02698 MTSNNLQAELSNLIQESKRKHSDLKNFFRPYTELPRDLARKPQF ARPFVLACQTRQTRLAAIGVTNLQRLVTIGALPHERLKDVLQGLHETANLSSFIPVYV LNWPPLTSTIGLEIQLKILQTLPSLFRFYADNLTGALLASTLEICATLQNSKTTAVSN TAAATLQQLVIAVFEKVSQEDSKNESDVSYTTISVEGQKLEVSTFSYDAFRILEDLCR LLEGEQLTYLNIKSLSKIFILELIESILVNNTAIFANHPEHTQVLRHRLLPLAVRYLS ERQSFSLTVRVARIALYILKAHLSLLTVECEVILSLLIHLIDTETSLPWKRVLCMEIF RSLYTEPGIIRLLYTLFDKEEGRKAVLKDHMSCLVRLSSEKSSLIGLGHQSTIPLAPQ TGKDTMEDQVTLESVGVSGIIGSPSNKSEMCGISTQWSLLRVPYIETLDKVDSPSPPD TYIYSLVLNCIAAFSESMAKFIIPLAVAESKSKRKRNTSSSDKERNSNQQDAGRKEPN GRSSIPPNPLELNSHPQITDIQATAGIIEVCWPAILATSSTFLYAALDGEFYHSLVRA FQKLAHVAGLLRLATPRDAFLTTLGKAAVPTDLPGPNPDGSTLNSPVIDNTNQRPSIS EAANSPVDSPIHMLNTRNLLCLRALLNLGIALGPTLDRDAWSIILETLQNAELVINAT SSTFVSMPTDPALEAKNLNSDTPKSKLGPEIMAVQAVTNKLCESTGDYTNSAFKTFLM TLSLPESFMKDSETPSSQKQSSPLLTQGQNGGRVHQNKRSLSVALGRNRVREDELKFV LEKAHAVAKANIERLSLSKDEGIWELLVNNLLKTIQNDQISSALRLKASEVTNTVISN TIKLTESTEEESRNSVQLRGLHALRSQSSMPFHGRRSSSSSRAVDLEVHEFALETLKS ILEGSGQSVVAGWNMVFELISSVFDNEIQPLTETDENQRPIQSTPSNPKLAKVKSQKL LRTAFDSLQLIASDFLSLLPASCLLELVECFYSFASQKEDFNISLTATTSFWNISDFL RVQIDRFSCEDEITVSTSEAQIVEIAQSPYNSSSTSALWLLLLLRMVDLTVDTRTEVR NSAIQTMLRILDHSSEQLSPAIWHLCLNKILFVMAEAVQAKTAQLMESSPENPEEVKP WVDTSVLLSKGLSNLIATYFTTIIRSEDFHKSWTRLLLFYEPLIKLDSLDLKEAIFSS LCQILSCIQTPEDIGINLVQQAWDVWANGNIVSKDGKPDSEHSNQSGLLAYFKAYRQL YRLLNNHLGEQQILDALQNTQLAVEQSIHSKYSPDVETPSELQAQILECFRTLCADKP NSQSAIMDSLSTFFQLPMANWTPQADRAKPTFVALSKSSMKLLSWYIGENGLKPNVLP DGVLSNTLERLTSPIFGKYKWLGKDGSPPLWQTATTASLDLIEIAVGYVEAQYSTCDR TITERFWASVVEVVKAVVSCGEYTDLNLTPSTLLSDEQFDIAAFERLRGMIIPALGSS FVSPKIRRDFAFVLFNSSHVYPPQRLDLPDNSAQKEPLRDLYKVRRGRTHGPPPTMRS KLSYVLVDTLFDLASVRKRAAGESQASSPNFVTPYISLAKSVSPYLILRCALPLKSYI ADQPLLGLMPQPIISRKELMYLLTRLVDLKSEPTAIPAAGPSVPIGGPNDSPDDDDED EEESGSSTTARFKKHLGWMYPLVTRAITVAGKESKDRQVLDALTKVLEGIQG TRV_02699 MSSFFFSTPVDIDILLEDGDERETVDIKLEKNRREKAPLYLDGE SVKGAVTVRPKDGKRLEHTGIKVQFIGMIEMLYDRGNHYEFLSLGQELAAPGELQHPQ TFPFNFKNVEKQYESYNGINVKLRYFVRVTVSRRIADVVREKDIWVYSYRLPPESNNP IKMDVGIEDCLHIEFEYSKSKYHLRDVIVGRIYFLLVRLKIKHMELSIIRRETTGSPP NQYNESETLVRFEVCDPFIHYFYTLYSGFVDYFLAQIMDGSPSRGGFDLTPTFRDVNK KYSTRYYLSLVLIDEDARRYFKQSEIVLFRQSPEMAAAAQLENQPHDGQHAVPPPERK QAVRHSEDEEDGLQSSHHASPPSQLAPEPASAPASTMPT TRV_02700 MLSTEQPSTGPYMPATRSPLPLQNNSRNFSHRYSSATTSSSSLS SSAASYTARTQQNPHAMKPQHIWIVTGPAGCGKTTVAQGLAKELHIPYIEGDDYHSKS NKEKMTNSIPLDDADRWDWLIQLREAAISALTTPSSSSGSYPRTCPPGVVATCSALKH KYRDVIRVAAYGHPTIKIHFIYLRAPEEVLLQRVRQRKGHYMKSTMVHSQFEMLEEPD SEWDTLSVDCAASPAEVQRRVNSIVLQKLDEYAE TRV_02701 MDPIITTPRLKLIRLTKAERESPEFEWLHRLHSNEKSMFWMLGG TSDSVEKTEALISNYLPADEEARGSYRAVYTVHRIDDDPSEFIGIVTICPLDSTSLPL PESLTIPATEAATTLTVEVAYSYLPAGWGKGYATESINAVFEGCRRARSFWLLFTKLY VRAIVNHENWPSLRVMEKTGMVKRGVHEWRGKAVFVGGRWREQDTICIFGQHLFGGAD DI TRV_02702 MSYWQNTTPFNGGAGGYYQQQPPQPQYQQPYQQPPYQQPPYQQP PYQQPPYQQPPYPQNLTPQPQYPYPYNQPPPPSPRPPSQQPPYYPQEPPRAPPSPYPA SNGNSGNNRPTPPPPPQSSQSFGHGAPSSYRFKYSNCSGRRRALLVGINYFGQGRPLK GCINDVARMSTFLNQRYGYRREDMVILTDDQANPMSHPTKANMIRAMQWLVSGAQPND SLFFHFSGTPSIIAQFLSRLYPAMIPGFDEVIYPVDFQTAGHIVDDDMHAIMVRPLQP GVRLTAIFDSCHSGTALDLPFQYSTQGVLKEPNLAKETALDLLSAFKSYESGDIRGVA NTTIGLFKKLTIGDSARQKTLRTKTSPADVIMFSGSKDTQTSADTVEGGSAQGAMSWA FQEALSKNPKQSYIQLLNSIRAELSGKYSQKPQLSCSHPLGKLLSGFGLNPG TRV_02703 MSAVRSLVHKASLSRGLLSSAPVCLPATYTSRFRRRFHSSPVPW GIRSQILKDVGEGITEVQVIQWYVEEGARIEEWKPLCQYQSDKAIDDITSRYEGVIKK LHFQPDDTIPTGAALCDIEVDDAKYPDSAPAPAPAPEAAAPAETTAADVAAESSAADV TQVAETVEAPPKGKYATFATPAVRGMLKQHNIDISLINGTGAHGRVLKEDVQRYLEGG QTPTPAAAPSATATAPAPGLDTPQVETTQALTPIQSQMFKTMTKSLTIPHFLYSDELN IAALSRVRSHLNSTAPKDGSQPKLSYLPFIIKAVSLALNQFPILNARVDTTSNPAKPS LVMRASHNIGVAMDTPTGLLVPNIKNVQARSIIDIAAELNRLSEVARAGKLTPADLSG GTITVSNIGTIGGTVVAPVLVPTEVAILGIGKIRKVPVFDAEGKVAAGQMMNFSWSAD HRVIDGATMARMAALVSRMVENPDAMMLNMR TRV_02704 MVAEHLTVRNLTRTPVVLKLVERFNPPDGPKTLASTFTTMVNNI TGTPAEIAAIQEGAQPFARQDVDVRVEPFTEARSDVRFFDKSDKERTRLTFEVEGDRY QIQVPVPTKETIVMKCLSPNPRFQFTGIFNPEHAHLALFSSANLNCWMRELKDDTLTS SLSIPGTHNSPTCHVAPPSVRCQAVSPREQLDNGVRFFDIRAHPQWPNDPAKDGLILA HSVFPISLTGQKTFRDMLGQIEEFLDRNPSETLIMSLKREGPGEHHDHQLANILKTHY TNANPSRWYTDTKVPTLGQVRGKIVLVRRFDIPDHLKKEHDGRGWGIDATDWADNTDH AVCSSGTLCIQDFYEVLETENIEKKIGFVHAQLGRSSECCYPLGTHKPDGDHCPYFVN FLSASNFWKVQTWPEKIAAKLNPATVDYICRSHKSDKGDWSTGIIVSDWVGKDGDWDL VRCIVGMNAKLEK TRV_02705 MPPRIRLQSLARRTRTPHTLLQHELQSITASLSALTRSRSMASV APAATATSMSPETLQPLSHRRPEYRKSQLHRQYASILRTMPLIIYFQHNNLQSTEWAN IRRELTKALRKVDENNAAMGRSEPAIADAIKLQIIQTSIYEAAMRVVDFYRPDTAAVS GQGPILDKEDPRLTHDLSYSAYRAVLPKRGQHDFSTLLVGPIATLSFPSVSPDHLRAA FSILAPTGKTGLFPPPPRKANPGLYDLTTQAGLQKLMLLGARVEGKVFDADETKWVGS IEGGLGGLRAQLVGMLQGVSSGLARTLESAGNNLYLTLESRRSVLEEEQNGGKKEEQA EQK TRV_02706 MHDLCWQLLQKFYEPDDVPIERLHDVCRSLPLAILGSCAFWGHD YGELITLDTKDHYPWEDRVENEFNSSLTHAKKDPYDVAEIPELLTLSYNSSPSLGITQ PHVVRETKSDCFAIFPWEIIEEISANLLVSDILALIRSSRTFGPILSSQTFWASRFET GKDRAFLFEKRNCKEARDWIKLYQLTKRSASPPGLKNRRRIWDLIQCLAPYLASSLDK NPKLSLTSSTRNGICEVAGDILVEFDSGYPDTFNQGCLLFDKQRTTIPSNLLRVGFSV AEDSITGIRLISGVQEDICLGYIIKCKEVVIETTSLGGFMLAVDSRGIRAVRVIHGDG NESDWVGNPAGTPITGRLMKLGDINTLEVGVDVSTKRPLLTMTKGTKYLRRIRQQGYK IISIAAASPHSIPLKIPLQRKAFWYPSIPSPGLCLNDQSFTGQDPLTAGYQPLAWINF GGPKGAYLKHITGVCVTGPGNICTIEFEYDIDLPVEICKLGRRKVTDFSIMNRFKIDG PGGEYITSIDASIIRFEGKNVYPFYRWGKLFSFKVSMVPVIHQTRRKVNLLAANWIPI DVI TRV_02707 MSSTLLIESPKYLSKPMPKFYYDEIKKPGSTENAVDNFWNNTLP HYFTQDKFYGIEQEQRPLEKVYSRADFIIRYVKNGQPKKVVLMENKRRGYETQSSWWA EAVRQLTDYLKLVRAEQDWNDTLYAAVTIGTYVRFYYLEPKEQTLTDYTTVRTGDYYE LKKDEAEVHKVLNEWVEKTSH TRV_02649 MERVMAKVLFLFFTLCALVSFLSATPPRWPGRSQYQHSSDRIVS SVGQGSATRQPGALRVMFVGDSMTQGKQGDWTWRYRMWQWFQAEGIPVTFVGPYTGTV EPDAPAPPMNKLEEAPKASSIKTSGRYAPGVSPDFDRHHFAVWGRSAAFDKDLIYDVV SAHPADMMLLMLGFNDLGWLFSKPMDAVASLYGLINNARKANPNLKFAVANIPQRSFI GRGDLPVSTDEYNSILRLALPQWSTEQSPIHLVELQEHYSCGTSNCEAGYDGLHPNAQ GEFQIAHAFTLTLINDFKIGSSPLVVPSNL TRV_02650 MQSSFMFALAVAILSSVTTGQLLPPSIVPEIQPYPSGAPILLVS GSFSLGPASSETAYPAPGDGDDDDENGDDENDEESPVITGLPGILGDHEPKTLDPEPS ATTLRVRGDSSIQMLPESTLTSASIMTRTTSQVTSSEQVPSTLIEASTLDPTSLTRLI PEPSTMSASTLAPRATQAYPRRRRQARHAGYTMTTSTISISDPTSRTQIPPESTMSAT TLATVKREHNRHTNGVSNPRPRHTNPVGKRYGSNGGCYYEKGAKGKGGLMQQHPGDEH LQDKISNKPIQGGLQLIDDQAGSINLWLILLYSKRARQTCVNPNLSIFSISLKKHNNV YRGEETSRHFK TRV_02651 MTSIMSVHSIEEDESDHNAAVEPFEGEDEDANGEPGLDLQGDKE QLMHDETVDNFLAPLAVNDARLYQLGCRFSQLYLELARTSDRQFLPTPVTRLPNGQET GRYLAIDVGGSNLRVAFIELLGAAADDLENSSASSSSNGHPASEAVSNMEKSRDTLRK AQRHRVRRTLEKAWPIAEHLKMDKAEDLFLWIGDCMAEVVADSLATDADVQDAPEELE MGITFSFPMMQDSLAEATLMPMGKGFAITSDLNLGKILLAGYDRHTRRPYGSDEPSTK RRRRFPLPKLKIAAITNDTVATLASLAYMVKSLPNSRVAMGLIVGTGCNATIPMKLTD LHESKAKCVSAQQPDATETVVNTEFTLGGAAPPLRELKFTTKWDIQLDEQCARPGFQP FEYMTGGRYIGELVRLVFYDYLTSVLKLSPKSLPANLIQGYALSTSYLSNHIAPTHTD QDLVAKLKSTLPPPESSSWNWDIYTARAFRKTARIVQTRSAGLVAAAVVGLLACQKEI QLRSDGATNPRRSTNIIVPSFNNSTDTITSVYRPASTTPQDPSTPAMDSPPSGWQSGP EELVVAYTGGIIQHYPNFKETCQGFIDRLVIWDGPQESGKSVFLREASDGGIIGAGVL AGMVSSA TRV_02652 MVDMKEKYDTIPPPVPHQQDGQKSSKAKNFARHCLIAVFLCLTV FAVLHKPHFPQKYIDAVKDAACSRHGKHTSTTTDPDNSKKVPFEAHIMSKCPDAQSCL HDLVVPAMEQVHRKVDFKLSFIGSASKDSSAVSCRHGPPECVGNILMLCAANLPYPPQ VASSSSSRESKSCPNYETTPVVRYLGFSNCMISEYQDIPDRALVQDCALEYGISFNAL NKCASRQSDEGDEARDGHEDCDHGPSGLVLMRKSFQHSANVGVTKSCTVRVDNKFWCI RDGGEWTDCGEGNERSDVSVLVKEIERLWDERN TRV_02653 MAFECIKPEDNRLSSLDKEVYILVHKYQAIKTPRTVIHLSKTPG YRELFNHVFEYHIGLIKTRSQSFEDCQITVYDKALLILTNNGNSLSHFGAIELFKDEI LGIRKDDDMGRLDALFSKNIALRAILGHVTGWRKETALDHALTDATTPVFGSRQTSHQ QQGTSDPLTPPPSASIRELDQSLANMFIAFATAQLEHARIKSAKTAKLVGDSAENLLR HLRDHDPTHYMIPVLKQASRMAQREMGEVTRGKKRSLDMSDKGTVDYSARRSSSSGRC STSVGKPRVSRRRRRGVRGQADCYRP TRV_02654 MTKSTRPLAIPSHIEGTRTDDILSESMLTPGSSSTGWANEDVTS TSLSGRGVGLKRLETEIPNEDDRPGISPSREDEADDEQDGDDNELDRSGNERYRDNPG REVEDDLEMASYSLNSYTIEEERQVVRKFDRRLTMFLAFLYLLSFLDRSNIGNARIAG LMDDLNLSSGQYEWALTAFYITYICFEWMTLMYKILPAHIYIPICVFSWGVLASMQCL VTSFWQLVFLRALIGVSEAAFSPGVPFFLAFFYKREELAFRTGMILCAAPLATSFAGS LAWFIVWVSENGPIAPWRALFLYEGFPSIIVAFIAWSYIPDGPGKAKYLTPRERKVAK LRLKAGKRKHEIKKTRFDWGEVGRTLCDPKSYLTAFMFLSCNVAFSSLPVFLPTILHD MGYSKLTSQALSAPPYLFAFVVVLITASLSDRHQSRSFYLIILALISSATYLTIALTG YFHSHLPTSVHILIRYVCLYPAAAGFFSAITIIIAWTMDNKPAGEGKGTGMAILNVVG QCGPLIGTRLYPDTDGPWYIKGMMVCSLFMLLVAILAFSLRILLQRENRRASADAAGI ASAVRRETALDCEEDAMLRTEERDGATEMLMGPGVSKSPAGIEKTSRPRFVYII TRV_02655 MLHEILLSLSGQPSPIFEQSAKDRGAPQDGFPLLSPQEKALLEP IARLGQLHSLLRAHAELISSSHPSTVCRAVSTTISTEYLGNFQEKILEVEQAILGRDA GYVGGYGIVPLSTIVGEFFPWVRKLEWLWETSQFMLPYVDKNAKSKGTPVGKGCTGAA LLDYLRTESQTGYTDVEEISLGLIRAAETAWMRQLSVFILYGQLPSLGREDFFIQEKL SNGDRRTNTGDFILRPELVPKFVSRPTATSILFVGRSLSHIRARGKFPAGEEAKHSSS QMTLHGEFIRCLSSLSSPISMINLANAVSEIRLALSHTVLSQLLPLHKIMEVLSLLHN FLLLGRGEFAMALVSHADERISTKHKRTYQATSIEETMDVLTLKESEVATVLTQTWTE LYSIPNAEDPVDDELDLARSLLRLSIEKDSSISKNMKSANQALSYISDVNFSDILLGS PTTLSLHVNPPLDLFLAPSDMIIYSKIHSYLLGIRRGQMRLGDLWKRTSLRRVHPSPW GPPMSNKPGGQANLKVGRERQKKRAALMRRVWASGSAALFVFSELGSYLQGEVISSSW RHFNAWLNGGRPQSSYGQGSRPGTASSSNAFYHSHDPETINIAHRTYLTHLIQSLFLT DVPFTRTLRSLISHVDRFVSLLGQLQTIQQNLDLETDEGVFDSLQDYAQDETRVWAEV SAARTNLESSMTELIARLRDIDDHRTTKGATSLDISTRHSLPELRWVNGNEETMRGRL DNNVFVPWRAAGVDRLLMRLEFGGASAEDHGADTLDFGGNTVFAE TRV_02656 MKRMGSSNVLIVGLRGLGVEIGTNIIAKNIALAGVKSLTLFDPA PAAISDLSSQFFLTPEDVGKPRAEVTAPRVAELNAYTPVSVLPGQSLTEDLEKLKGFQ IVVLTSTTLKDQKLIAEFCHENGIYVVIADTFGLFGYIFTDFGKNFTVGDATGENPLT GIVAGINEEGLVSALDDTRHGFEDGDYVTFTEVRGMEALNNSEPRKVTVKGPFTFSIG DVSGLGTYQSGGRYTQVKMPKFIDFQPFSEQLKKPELVISDFAKFDRPAQIHLGVQAL HLFAETHKNQLPRPHHEGDAKEVIALVQKFAGEGEEKVEIDEKLIRELSYQARGDLSP MAAFFGGLAAQEVLKAVSGKFNPIVQWMYFDSLESLPTTIKRSEELCAPRNSRYDGQI AVFGQEFQDKLANINEFLVGAGAIGCEMLKNWAMIGLSTGPGGQITVTDMDQIEKSNL NRQFLFRTTDVGKLKSDCAAAAVQAMNPDLKGKITALKERVGADSEHIFNEDFWAKLD GVTNALDNVDARTYVDRRCVFFRKPLLESGTLGTKGNTQVIIPRLTESYSSSHDPPEK SFPMCTLRSFPNQIQHTIAWARDIFESLFAGPPEVVNQYLTQPGYIERTLKQGGSEKQ TLENLRDFLVTEKPLSFDDCIVWARHQFEKYYNNAIQQLLFNFPRDSVTASGAPFWSG PKRAPTPLKFDSKNDTHLAYIIAAANLHAFNYNIKNPGVDKDHYRKVTDDMIIPEFTP SSGVKIQADDNEEPEAQPTSFDDNEEINKLVSSLPDPKTLAGFKLEPVEFEKDDDTNH HIDFITAASNLRAENYEIEPADRHNTKFIAGKIIPAIATTTALVTGLVILELYKVIDN NQDIERYKNGFINLALPFFGFSEPIASPKTKYNGPNGEVVLDKLWDRFEIEDVTLQEF LDHFKKQGLEIVMVSSGVSLLYASFYPPAKVKDRLPMKMSKLIAEISRKPIPDHQKSV IIEIHPETPDGEEVEAPYVMLKLDK TRV_02657 MISALRPTGFNLIYTLKAPSTPLTSAKKFLSISTRSMATGNDVK ITSWVNPNDKSGEFKRGQSAFRNWISSKPGAQFPPEKDRYHLYVSYACPWAHRTLITR KLKGLEDIIPYTAVHWHMGEKGWRFVTSDENVPGENVTAEPLNGYSHLREIYFSVDPE YKGRFTVPTLYDKKTKQIVSNESSEIIRMFYHEFDGLLDDKYKCVDLLPAALEKDIDA ANEWIYDTVNNGVYKCGFATTQEAYEKSITPLFSSLDRIEEHLSKTYSPSTPYYFGKD ITEVDIRLFTTIIRFDPVYVQHFKTNIRDIRSGYPAIHRWLRHLYWDIPAFGETTQFE HIKKHYTKSHGQINPFGITPVGPLPDIMHKDEEVNAVKK TRV_02658 MPQDDNEGTRERDERIERLWSSLDTRGEGQVDFKGFKKGLKKID HPLKNADDLLYDILKAIDTSQDGRIQYSEFYSFVKQAENQLWRLFQAIDHDKNGHLDK QELKDAFVNAGLTVPSSKLDQFFADVDTNRDGVISFDEWRLCKDQVVIAPQPPSFPSI HSTASSASITPILSKTYSLHLHHNSRLIQPNTHTHTHTEIFCFAPPYNDHHKIDQGLE VELEFITVPSLVRLWLSYRYLEEVLTETTPHVGYFLAGGMAGVVSRTATAPFDRLKVY LIAQTSTNSAKSAAINAVKAGAPVKAVGWLSWPIVEATKELWRAGGIRSLFAGNGLNV VKVMPESAIKFGAYEVSFSAPPPACLHAQLS TRV_02659 MPQDDNEGTRERDERIERLWSSLDTRGEGQVDFKGFKKGLKKID HRMLLSSAAAAAAATVTSYIDIP TRV_02660 MAANYWTSTQRRFWLFDREQLAETRAALDEADRAFIAQYPLPDH RLVNIYINQRQCVLTGAELIKLGKRMNTRQQALATAQVYVKRFLTKVSIRRTNPYLLL TTAFYLACKTEECPQHINYTVEFILSDSAKVGECEFWLISELNSQLIVHHPYRTLSDF SSTMTNTASSGLTLSSDEIALAWSVVNDSYLTDLPLLQPPHVIAVMAVFVAVVFKPGT STTSSSITSSIISTGAVGPGTLASSSASTGTGTGTGPGTGTTSSAGMAAGIREGMGDG GRVQKVVEWLAGSEVSIEAVVECTQEMVALPLRAGEVSRQVAAADASQTADEDEGRRR RREEEQEEQAGAGVGPLHVHVSTCSLRLVAVTAAVLLMDETRR TRV_02661 MSALFFAHHSPQHQQQHHHHQQHPAPPTHLPAATAATMSHHQHH QHQHQHQHQHQHQHQHQHQHHHRRRQRLTPSNAGATSTLSSLTTPSTAPAPSTASASS SSSRQFRGVKSMRDLVEAPAITAFRARFEAGRSFDLDDDLEFCPNLLTEDDLQSIHSL AASDRSSLGSASPDSSPSQHQIHPMQQHHINGMGGHMAMSGMMGKIHQPAAVRTRNAI PIVNPSTGMRVSSPTRREW TRV_02662 MFNETREEKAGRGELRRKKEEREKKRDREINNSRWEDKKCGRQN TLLLKKEKKPRREETSIYDMGRKRMQPTDQPASQQRQQQHPSTATDTPSIHPTDRPTV HPGGEGDEFNNEEPTKGNNTTTQPAFYHQVNDNQTNDEYPVSSIQ TRV_02663 MAPITSTIRSSMLRGSRFQLCSLTRPAFTQAYLFHSSPSYRALK EEDRHRDTQEVSSEVEREKHEHLSKHKEGHGKWNNSLASSSEADVKADRGEVDSVETE QMEKEVRKARTINKETKGASK TRV_02664 MANQRAKIRHQKDLRNLCLACKFLNNLALPALYDHVTVKHPKLT TYYGLSGTLPALIEQLPLQHLKHTRHLSFTRQELLLLPPSPMSDRGDSRDTITSQEFR RRIMKAIITLVLAIPRDGLRSFSWELGPCLPGEIFSSERGLLANQRQIKSINLVACVM YEETLGFPMDISHLRNLRSLRYTSLWHLRDLKLVQRLFQLRHQLTTLELQIGPEDLKI DILNFGTPDIKILLLGSQEVRETQALLNLETLSLGISLNQMEADIVSALNFDCLRCLK LHNCNGTSTFLDALSNSTKPLRLVSFQLKFVPPSLDPRSPEILSAALASFLMAFQTLE DLYLSIPRISLEAIEYRTIQNHYPALRRLLLHGTIRLEDPSSYPVEISDNLFYPLLMG SPVECISLRMQLRIPIEKWQRLSPRPSCRLLQIRRAGFRENITDDNSGGVGQLFYGEG VCLDDDDIPTVEDLRKSGKASILSLLEFAEWAFSDDGLPKLQVLVWGPLTGDTVFKNS QMYFCRSEDTFKSLTRADHYAWDIVQENTDMLNFTYPVI TRV_02665 MPASICANSPLVAPGLFIVGLGSQYPPYLLDHEKLTCFAGRFYD IRRPGLQKLLQINASTGIETRSSIRSYETGFACGPVPPSIADLDELFRRDGVDLAVQA CMKAMKEAGVLPEQITHTVSVTCTNQGNPGYDLLVNERLGLPERTSRILLHGVGCAGG LSIMRVASQVACGATMRGKPACILAYACELCTPNVRHDLAEAEQCTDLSKVNIAGALF SDAAAAFILCNKTALEEVNASPIFELIEWDNALIPHTMQNLTCYTDPYGFRSVLSKDI PMYAKGAIGPMFHKLLPLYEEQVSAVDKHLSIDDFDWAVHPGGKALIDGVQETMQLTE DQLRASREIYKTRGNSSSPTILSVLDRLRTYEKNRGHVVAASFGPGMVIEMVMLKRCE RNFPTA TRV_02666 MSSNMSLVICAPATLAIAHDLNTNNNFLLVFYLTAPNLGEAIAP LYIGPLSEKFGRLVTGFSSNINMIVAFRFLAGASTLSICLNPSIIGDLYPVKNRGAAM SVMSLIPILGSAVGPIAGGYITQGLNWRWTFWLSAMMTGILLLVSLCVMRETYLPVLR REEHNEKISSGSKYFKGWKWSTIKGLCLLAVRPFTILFSSNVAIIMACYLSIHYAYLS LLAATLATTFQDAYGFSESHSGLIYISLTTIGTDDQPLPENRLIPTIPGMALFLVGLF IYGWTSQHHVHWIVPTLATSLCGFSLASSTTPIMNYLVDIFGDRSASAVAAVLPMRYL MGTFLPVAAPYMYKSLGYGWANSLLAFILLAIVPFPLRAIVQPKAVSSMHRMEAYK TRV_02667 MSNPSQLFLLADHIKLSLLERQRAISLDLEPNAQDGEISRSLES LREGLESAEREAQRLVSVGDASSNDFKEQTSSLRTQYEDLSRQFHGDNAPSLSTQPNN EELAEDFIRASSTPSILKHPTPQHPVSKSVRFTDTLTASAEEHDPNRDALLRPYSDSP QPSFDPSEATNQEIHDYHNQVMQEQDEHLDRLGESIGRQHQLSIQIGDELEGQVALLD DMDGHVERHQGRLDGARRSLGRFREKSKGSKGMMTIIGLIIVLVILIVILK TRV_02668 MTCPDCEEVYYKVNQQEHKDNCVKATVPCKASKYGCVARLLKTE LKDHEESCPLARLGPYLESQAARLETMDSTIRQLKQQNSFLEDSIASLRSAISQTNSR PPSRVQLTSNSGTENANLPVPELNRSVSPSRDALASSPTINSSAPYHNNTTTYLLSIH EALREEVLQLTSTVADLEARTNISMMNDTLRVREDMAHLTAGLNTVRMQVHLLMNSRI HQSQRTPINARPSSSSNGAGMDGASGQTRMPSTAGPEPRGRRPSDSGWEGTKL TRV_02669 MMLKSVLSALVHLGLAAAASSSSAPASTTQSGSPSPTISIFFPN TARPTDGPIVTPLADASVVSVKEPLTTVAVCINFVSRHSCEADMLQTFTVGGTTLLEY NEVNTDEGMTGMLTVETRTIHGGCTIHEAATCSVSFSTAIASFSTNMVETTTYPASEV TYVPVTITAGAEKLPKATQTSGTGGPSGTGKPNSGPKATGMPNLALLGGAAVFGNVYG ISTEFWISAWKDIIADIDWVASLKISAKFGYSSASPERVPVW TRV_02670 MGFNPTTTILLIALLLVVLPARVEAFGAGNIASVSAVEGKNWRH GDIEDVIKTLAFIKGHKWTANMVKRVYFGNWLRYYSQAVDVGTLKNVPADSVRVLVWV LSFMTFGYATAEFEVTSERLGVYRPEEHIDNPKGYADDADARQYDPRLRGPVQRVELE IDHETGMKNYIANERGNWATSAAYVKYSFSRSIHFARQYINGGHLRRGNDEDLYEALR CLGQGLHTLEDFGAHTNYCELALREMGMLNVFPHTGTNTMMNIKGKHIFPLVTGTFGM VDFLHSVLGEASDHVTQSEISELDTTLENAEVGGATTGSVNALTSLLSKVPGMGDLIA EAEKLKASSQAQAQANSNAGYGYGGASRGLDDGQTQAYGAAGGYDQTSRAGPGGLPSV DPQKVISQIYPILVFRDNVVRRISSVVSKIPGLESLVEKITDTLSVFIFSLLSPFVRP LIKVATSQLQTGSSGVISTSEQHQYEPWTDPNCTDPTHSLLSKDHFSNILNEPAGHVA AAILKYVVPRVLYAWQHVDIPVDDVLADCMRVFHHPALRDMNNEAHRTMFEAVQNWAR SRRDGGASLDTILNAQSVREGKNHTGGNPHLQGGGHGHGSGHGSGHGSGHGYGQQPMH GGAHGYQPPYQQQQQHQSYNSPHYGQQSYPHQGGGSSHHSSNPLSNIPGLSALAGLAG NSSHHGAGHSNAGSAASSIPWDKLSHLPIPGASNIGKIGGFLSGSGGRTRDIGDETSR GIAPEEHPASRSTTPQPDMPPPAGYEHYHQQREDFVRNQTETDARAGPRKTEDPIRKR PASEAERG TRV_02671 MQFTKLATVLIVSLMGSAAIAAPPVDNAPAAAADEVAAENLGKR GFGCPLNERECHAHCLSIGRKFGYCGGSLRL TRV_02672 MDSPAPQQSATASGSGKKQRGERWGEQEDARFVNLRIENPDMSW EEFQRVHIPYPAAQELSSILIALIENVYGPYWSNVAPKKQVLRARIEQKKASTREAAA GAASSFQDRETLSPFTESEDDSASHVSYEGGRSLRHRRDYADKPGDEPSSKRRRTLPA RTRRRPVRLGYEDDAMAEDDGLAKLLDLVTAEDFTKIHQRAKACLSETKRAENALRQN AELERRLKEAEAELRELTERAKKDSKKTEQEIEDRKDIKYEGLEVELTGMDEESKAKV RTSWEIIKSKVRDTFTLRQWDEAGMGPAVNTVQQVIDKLMETSAATAPNGHPGEDVGT TSQKSPTMGN TRV_02673 MSSLPQLPAKHSDFLCYIKANSNTPINELLKPYNEYDSALRQIF AQEPSNPILRDNHVNVVPLYGADGVADLRVQARDLDSEPQELTDKYLLPLKDEDRRPN GSPAVVTSFKEFQTNFNLFSESSLSDLDWSNVIAAGSSVVTALLPVPDEYKESKRQLR HFYHEKFAPASDVDLFIYGLNEEQTIEKIKQIEQKIKDSILYETTSIRTKNTITIVSQ YPTRHVQVVLRIYKSLAEVITGFDVDCSCVAYDGKNVYAAPRAIAALITQTNQIDLTR RSPSYENRLSKYSHRGFEVFWPQLDRSRIDPLPTSRDREEYTQKRREERGRPPSYTSS YRSIRKLRGNIKNDWEDEVAEWVDEEEISDYHTITIPYGEKFHARKIEKLLYTHDLLL NAEWNNQEREVALHRHPVFFGDVEDIIHDCCGSCPKPSTPEEEEVWEKESKVYISGEV TFIKDDPGRQAIGSFNPITETDWTEMAYIGNTARLFQAIADNDIETVREWLSRDGADP NRRDHTGRAPLHLATMVSTPEIVQCLVDHGARLIARLADGRMALHLAAERGSVDIVRI LLHKSEENEEAEEEKKDQQRATKKNTQAETEDVDMTDPDNMVVVKEDPEDSDEDKMSL ITGSFVDVKKQDVKMDNEETIPGDIDESEPDIIDINAVAWDCHATPLHLAIVHGHAEV VKELVSSFGADVLLPIKLPKISHLDPGAILPLTLPLKLSQDQAVTMIETLLKLGATPA QADLTGRTPLHYFAARRRPALFDVLMKHDPSAVKRAIDHLAVEDVSYRAYAESVLTVA VDSRHPDIVEKLLSLGAPPDINFSKYIQIAIRQCEWLKTRDADANLNCFQQNVIQPIV AATVTDQPLVALKLLQNGVDPNTLSTFGYTILSEKHASNPGESLLDLVDAKICLLQDY KESCKNIPPTPLQSDEVYLAEYPEGSYQLCFARERLEREKASFKRRQEEYEKAIKEAK NRKGLEEKSDAITALLQDFQALHSALVTKEAKTFKELHPDAICINCRQPRVFTPGKPG EFKIDFNFNVADLSGGRKEGYIKLFEAAWSGDIETIKSLTLGMWGPDKQMSPLVISVN ESMGASPFSIAVLRGHFDVAKAILQIVRAQYKPKTVTRQTYHMQSDDEDESDAGESQE EDGLQITGEGVCDELTIDNIGEVATQAESNVSPLDVLQRSCRASLIADPNAAEHSLSH INLFNFAVEQDNIELLRFLLDLGQSEIVSSAFDSPYELLSSTNFNDALRDGQPRCIAL IISRTGAHLPLDKFVEEGGITIKQKPKYYQGLSIHGKKREDWAAAAGQDAPSRISSES DIPLLRAALAGSLVNVEWFLGTAPKRHYMEFANSNKQDKRLRKLSQLPGGVEKFIEKW LSSRNDLLLHCAIMGRETDESLELVKYIIENVPGCLERKSSNGYTPLLLAFSKRRPRF AKVLIEAGANVEARDVLGNNILHILNKPNGSYRSLDTNLKELLDLIDPSVVSSLLTCR SADNPGALTPFAAWVNHLSSHHRWVSGTPVQITKLLLDFAKPTGQAHLELLDGSGNTP LHIAVRHKFTPIVRLMLECNPKLLTIENSTGTTPMDLVRDGWTTNATTTSPKLPERTT VPQWRKPDYSPAVLSKDPESFVSNTKQETCDHSSLYKLCRGIWGQLNQKKRKLVSLLE ANEVAKRVERRVRSRHTHTGIVRVYDSDDMAGGRRARRYARHGDSVSVRSSGEEDEVS KWHGLY TRV_02674 MDQELIRLLSDTQSAAAETRKAAEVRLQSLYSNESFPLSLASIA SHSSVPAPLRQSALVLLRTFINSAWSSQLDDFKGQVLVSDANKAHLRRVLLDLATSPE QDDRKVKNSASLVVSRIASADFPEDWPEILPTLLQIIPNSTDAQLHGALKVLSDLVET GFNEEQFFKVARELVSTVFNVATNASRKPVLRALAVSTFRACLDTLEMVLEQHKNEVK QFMDEALNGWLPFFIATIKEPLPPMPSEEEEATDAPGPQQWRGVIALKSQVVKTIMKV RSVLPSLLTTQSTTLFHTIWTELTTIQDAYQQLYIQDERQGRLEDADNLPYTLDFLVL EELDLMQALLRAPPVRIELENQLKLAGATSSTSWLPEMMKLVISYAQITTEEEGLWDI DVNLYLSEETSVTANYTPRTCGGDLVIRLGEWLKRTVVDGLLAYTNVLFSDPSTGWKY REAALFILNQLLRDLNDVDQTISADLATSFNEFVKFCIQRDEVFLRSRGYLVAGAIAQ TAGEGFHQSAVPYLEAAIQAIRNDPSEVVKVSCVRVLQDFLPALPQATAAPFQVPVLS ILADFISSHDLRDFSEGDDLKFTLADTLRDTIMVDANIVLTSTALDVLFNIASAGAGN FQLAMIVTETFEQIVEHIAGQGPDAYISLCEKVLPPLTGALDVGNLTQENSLTNLATD LLRALAQNGLKPLPQGLVATVLPKLNRLLLGSGDSELLPSATLAVIHMLERDPDQFLA WQDPQTGKGAVETVLIIIDRLLGEAVDDNAASEVGELAAELVEKAGSEKLGPYLPQLL RAVAQRLATAEKAQLIQSLILVFARLSLVNTSEVIDFLAQLDINGQSGLQVVLAKWLE NSVTFAGYDEIRQNVIALSKLYQLDDPRIAEIQVKGELIIQDTGRIKTRSQSRKNPDR YTVISAPLKIIKVLIEELSSASGGRDIRGAAGLSGSQLDELESDDENDDWEDLPSNNN FLDLGLGVTKQELMGFAAEDDDGALASRQRDDETQAFLTQFFAETASTPRFQQIFASL TPTEQGRLQSLSS TRV_02675 MQLPPASVILSWPRPNYENPSEVRGPLVIILNSIFASLMLFVIF IRIFSRIHVSKSFGWDDVFIIAAVIPTLGCGTITILGSIKYGWTRHVYDVPFAHLVIG LKLTMLIECLFAVSCSFTKLSLLCFTHKITSGLNSRVMRILIIANATIVTLEMIVFCI VAVFTCRPVSAYWTLSTQPQECINETAHLLGGGILNTLTDVSVVFLPFPTVMSLKLPT RQRVMLCVLFGAGFIVCIAGCFRAYFLHKLNTSYDKTWAGYPLWISGTIEMYLGVIAA SLASLKPFIVRYFPALLGSLSAKKTGPTSFSFLNSFVLRISAPRPVEEDKNKSKPKIF DSQTETITSTIDCDIEFEALNPPNGGKEETQPIGQHESGHMSKSTFHSADATWQQS TRV_02676 MAESSRPPETYAALASAFSSFLAVSIHQILYLRSIYPQSTFLSV RQFNQPVRQSRHPKVCSWVNDACAAVETQLIKSTVTSVAIVILSVSSNKAVEKFTFDL SQIPQVAPGDIHTPFASSRQQQQREGMSIPQVDLEAQFRAVLHRLTAACGRLAPLPPD EEYLPTLHMVLGPDADAPAGMDKDDQQWIAAEPEPERIRYGPGCSQAKTVPVRAIDAG EMKLEVWIEEARDKFDVDNRSR TRV_02677 MSRTILRPLQRLTLSVLRRDQSSNGFTKDKAKLQDPYFIDEYDL TSPGENSVAEDGDDDGMAFLESLLVLGEDDKRTSSQGLTAAGLANILTLFPDICPRYV TTLYQPMLARKPENFEGALIDAILKEATYTTVSGSRQKREDMAKDVHWGKEDNLTRNS RYWKCCKALLKLDFPLVPTDYIKEYLEVTNDCLYNAYLRLYEVESTYETSDPKPYSRN TDVKREKLMASFRKVVAKYPNRDIDLEIKDARAERKRRIVYCAAAAAHPFCWECIKSN AKAQVGMMRHSIKCMDINGCDAGFSREDLINSAGDSLMNKLFDLQQLEEIQQADLTDL EECPFCEYKAIYPPVEENCEFRCLKPGCMRMSCRRCQRPSHIPITCAESRREDSKNLR KHVEEAMSKAVIRICPNKKCKAPIIKEDGCNTLKCRKCSTVMCYVCKKNISRAKDSHF DMVGSCPVEDDRYLLERHERERMTARNEAVESLLKDKPELNEEDLGVELIKRRTVRDG LPIVYPEGTPDTSEMPLWVFGERVSGRPDRQLQRWPQLRVRFPPANQDTQLPINYEYQ AIETIGVLPAPSQNPQDSHYQQTQWFSFNAYAPYPLPPDLPVVRYEREQNPHWFKGLR RAQPSRTK TRV_02678 MDLKREFPDTRRLRVHIDENVEENVLVYEYFNDDLLSFIKRNPN LPIGARKWILRELGESLKELHAKNWIHIDVKPDNVMINYSRDEQGLPLPQRVVLGDLD VSLKMKGDKLLRLPEGIKLGNVMWRSPEAQTGQGIGKPSDVFSYGLVSLFTTTGVETL YPDFEGLRKDGVDPELEIMGRLISFFGPVPKELVTHVQHEDWGKVMMALSEALEDGSP VGPGRFTEWPEKDYPNLDGETKRIFLRMLDLAPSKRVTMAEVLEDQWWGRGEEEYQSP PLSTTETP TRV_02679 MGSLDHHLLNADSPDIALVVATPAERIESIRANGLSWKGPLTME QYIAREDFLIQQDMVKDGWMTCWVLVDRRLRPDERPILSSCESIAKRAFLAYKGNIET VITHGIGSVFCPPEHRGRGYAKRMIIELSKILDTWQQERGAGQGHPQCLFSVLYSDIG KSFYAAHGWHPYASSHFTLAPEAVGTAAVNGSANGNGNSSGNNGSVRITKGVDMSIVK DMSREDVLRYMCSEPVMEQHKQKLKDASKVSSKAIAAFPPDFAHMSWHWARDEFYSQI LRPEKGETHVKGAAVPSRKVFMTWNRKYGATPKDCTLYILRTQHEEPSSPAERQGVVE ALAAILRRARVEAHEWNVDHVQIWNPSALVKEAIQIADPDAAEVHREKDSIPCLKWDG DKFGYGENVDWMWNERYGWC TRV_02644 MASRVPSYIRTTAKAASAIRRVPITNTAQNASSLKLRPIHIPTD NQGSLGPRPAWGAGAEVPQTVVPVVGGGGSAAEDVAGHQIPQQQPQRALDRLPNRDLL RSIAIQTAMSRPFLMDIASSLLKSNLKVITGNPVLNYLLDNIFYAQFCAGRNEAEVRQ TVSGLKAMGYRGAILSYAKEVDTHHNIDQTGTPAEMEALHRKQVAQWLDGTLKTIQYS SSGDLIAIKYSGAGQGAVQLLEQGADIDPVLADALEQICVQAKQNNVRVCVDAEHYSQ KKSIDAWTMDLMQRYNTDGETVIYNTYQMYLKDSPATLAMHLQRAKQEGFTLGVKLVR GAYINSDPRHVIFDTKEQTDNAFDEAAHMLATQHIEDHSAPKISLVLASHNKESTNKI RALRQDQIRHGFPLADVVYSQLMGMADELSMSITQSTSEVDEDAQVYKYVVWGSTEEC VMYLLRRAEENRDAIERSTASQTALWKELRSRLAF TRV_02645 MAAILLQTVTFLAVTEFSSQRKQENQSKGAEQVIQKTIVSDKPA QPENTTLAKSTSLKRETKPGPKRLRGLRPLKGDANVTAQVVSAIRPIFFFTSLTGTTE KSARAAFESVKSKLQGAPQNAQCKVLEPELHDLSYIDFDDYFVTAPKPPTSEPGLSYL YCIILPSYDIDSIINNFLNHLDETHNDFRIDTSPLSGLTGYCVFGFGDKEGWPTVEEG FCSQAVAVDKWLAKLTGKRRAYPLGFGDAKTDGDHSLQEWCDGIVSVLHDISENGGGL GEGVPGSGDPIESDEEDAEEDNQRPHNPSRPRRTKARNIQVADLEDIKFNPKDLLDDE PIDFTTYAPTKISSLFSKEMVPSTSPTYTALTKQGYTIVGSHSGVKICRWTKSALRGR GSCYKNSFYGIKSHLCMESTPSLSCSNKCIFCWRHGTNPVGTTWRWKVDPPELIFNGV KEGHYKKIKMLRGVPGVRAERFAEAMRIRHCALSLVGEPIFYPHINELLSLLHGEHIS SFLVCNAQHPDELAALQRVTQLYVSIDASNRESLRKIDRPLHRDFWERLQRCLDILRE KRNVHRTVYRLTLVKGFNIDDEVEGYADLVEKGLPCFIEIKGVTYCGTSSSSNAGLTM QNVPFYHEIVAFVVALNEELERRGLSYGLSAEHAHSCCVLLASKRFLVNGKWHTRINY ERFFELLEREKQTGESFAPEDYMRETEEWALWGNGGFDPNDQRVHTKGKNKGKIGVPP PSGKVILASTA TRV_02646 MADANAIEGEKSIPTKIPHWRQVTDPGAVTPEIINYPYPGSGTE ADPYLVQWIPNDPRNPMNYSAVKKWSITFVVAIATLAVALISSAYTGGAIEIAQEFHA DAEVITLGVSLFVLGFAIGPLIWAPMSELFGRQLLFFGTYLALTAFNAGAAGSPNMAT LLVLRFFAGSFGSSPLTNAGGVIADMFPASHRGLAMGIFAIAPFLGPVLGPVIGGFLG ESAGWRWVEGFLAIFSGVVWIIGSIFLPETYPPVLLRKRAQRLSKLTGKVYASRMDVE QGKLSIGQAFKTALMRPWILLFREPIVLLLSTYMAIVYGTLYMLFSAFPVVYQQHRGW SPGIGGLAFLGVLGGILAAMVINLLDNKRYAKVSKEYNGFAPPEERLPVAIIGGIAIP IGLFWFAWTNGPQIHWIVSIIASAPFGFGMVLVFLCLMNYLIDAYTIYAASVLAANSV LRSLFGAAFPLFTRYMYQNLGIHWASTIPAFLALACVPFPFLFYIYGANIRKRCKFAG EADAFMQKLMQANSAHLESDLEVSEVGPIPRRNSLEAREVLDRIQSARSGLTRTRTAA TVEYEGNPYDIDRVNTGLSRVSTTNSQTR TRV_02647 MQNSDTTNGGEENNPMTIDDMKAYYSQADVEDAMFTRNYVEYYP PLLLFSAIPTNKIPNHIQVPE TRV_02648 MAFYQRASPVNSLRYTTPYLAFPSRGESWESFRSRLTHAHPDNS DVKVQEKKQATRHTQQYWTTTDDGSWSRHIADKAPFTLWDNSQQDFRYPTSSEKQWIL DTFSATGLTISWPEIFIETRSPPVPVPLTVACVPCLFVPPDHPVNPLSADISYCNPRL PDPIPVKFHYSKWGKPSIEEYQVVFHKLKDMMNIKSIGFVHSIIIVELGADDGRVYER RSLPGRVANHLILYHHSQTPFWDLKVQGRERVIKPTASLHDMTNYLSTELHSLCPGVR VESGTTSIDGGYLDTTIATTAGQISERFTALDVALVKLHPSTSFTNNEYFESQQPKRL LHSSEVPINTWCTLDGMVSRLVFLRVAGIQLFPSPQVPGIEVEFTRFQTENIYRYIGP AGMEVCSGICGSPIVIDEDESRGVVGFFK TRV_02638 MFIERRRSTGDGYQKRVLFSPKGFTQHLCEDMAAHGFFAEEELS DMDDAVNLTGCPRESVRASQSSRVRIRISVSPQGKGHRRSSSIQRDVEYDEPRNTTFS LTDNSSIAGLRRKRSPSPTPSMTSTSSTEEIIRPSRKKTTIMKPLNKFKEVVPVVHIP EFKELPVKSRRFRKVSPSACRKGDPVVITPRVKPTVPRNTTPEAAKGNSASSPKDGKK KVRFVLGDDDGRRESPPVQRCSQASFLTFKSSNKQLDS TRV_02639 MAESYKARKEAFVSNLSGSSVWDIHTVSAVVPSAVLLWSVLQSR RSLFTPYELPAVIADFLLTVVTVLFAVTAYSSSPIVLNILLLSPAALLLLSTKPKNVR QKAKPPPGSAKSTTHQGQGSSDAALDALPQRPFLTHYRASLMISTCLSILAVDFTVFP RRFAKVENWGTSLMDMGVGSFVFSGGVVSARSILGRGSAASQGSFAKRLLASARHSIP LLVLGLIRLYSVKGLDYAEHVTEYGVHWNFFFTLGLLPPFVEIFHAMTALIPWYEALS LLVIGAYQVALESTSLKEYILVSPRGPSLLSKNREGVFSFLGYLAIFLSGRAAGLRII PRKPRRTLLIQLVSWSAVWATLFTLNSSYFFGYGAGIPVSRRLANMPYVFWVNAFNMT HMLLYCLIETVVFPSVEKVSNKKEEAEQSDFATSRVMRSFNKNGLAIFLIANLLTGAV NLSMNTLDARKELAMAVLMLYSVILTAIALALDFWNLLCYLVTFELSESTKLRDGFFG RGWFALVDSSAAMAAKVNCLTSFQTEGIVTSRHPLTNNNNNSDDDDDIDVD TRV_02640 MAANKNPYRTFPTPVEGPKVPYKAAQESNPILRGRVLEIAATLV QSSSFIQSILWRNAGFSTIRDIQDLTAYEPKFDPTVLPPLTQQSGTETPELPAPTRRR QDGKGDYYTSADYRELYKAGKLTPTAVVEALLPVIRRDTSPPGEFSAGFSQSKIDLVR AAAEASTQRWANGTPLGPMDGIPVAIKDEVDIDGYDKYLSSKVDFTANPSFTSWCVKK WEEAGAIVIGKTVMHEIGLDTTNNNPVVGTPKNPHNPGYYTGGSSGGSGYAVGAGIVP LALGADGGGSIRIPSNYCGIYGLKTTHGRVSSAPTRRLATTVGVFGPMASSIDDLAMG YRIMSAPDPSNPVSAAFPDPLLSIPSPSSSRGKVIGVYKDWVERSDPDVLAMFNKAID YYRDEQGYQIVDITIPYIPEGGKCHALSILNEISSGLSKEQISQLSAPTRIIVSVGGT QGLAQDYFASQRMRHLLMNHLSFLFQKYPGIVIATPTTPTAGSKISGGEADLTNGISD SNATLRSMEYVYLANFTGCPAISCPMGYDDEPSVPVGLMGMGEWGSEEALMEWARDGE GMLGDKGLRAPNKEKGGKWVDAINLALNPSTE TRV_02641 MSTDYNYDEQGQFFPFFILTLTGLVTLPLTYTLLRPVKGLENSA PRIKSDFKPQHDDIIQSQKQKLLRKERRIKRIVTVIVGYAVMAWMVYLITVTARSAPK IWDPYEILGISRSADERAISKHYKRLSVKFHPDKIKPDPAKNETVEMLNERFVELTKA YKTLTDEEIRNNYIQFGHPDGKQSFSIGIALPKFIVTEGNGKFVLLLYGILFGVLLPY TVGRWWYGNQRYTKDNVLVASAGNLFREYKPGLTGGDMIGTMSVGDEYTELLDGDHAH SGLAKIEKKILESVDMSPKDKLALSKLDDERRRKALALLWAYLGRVDLEDNTLNNEKF QVAPPAVTLNNAFTTIALAFGNLQPIMTSLYTSQNLIQAIAPNSSPLLQLPYFTPNVV RSVEGEHSKTHMTIQQFMKLPEVKRRSMTIGSGLLTDSQYQTAVSVARRIPALELCKA VLRVQSEKVITPSSLVQLVVKARFVPPGCEYVPPVKESDLVIEDIDEDAIADAQTGNA TVDPKEKEKKTQSEKEDEPEIQPPLAHAPYFARDHSPRWHIFLADVKQDRMAVPPFTF TKFDKPIFDDEGKPTFNVQTMKMQFQAPPQVGSFPFVLNIVCDSYIGFDQEQEITLEV EDLEKAAAVAQEDDISEPDEDSIAGQMQALKTGQAPPKKKRVAESSEDDESDTDGDAA DDTSETDTETDTDGE TRV_02642 MLPLLRQSMFHARRPPYKLNLLFCIRNANIHILRNTSWTSRDRA SAERPQSPRLYTPYTTQSPEISLDDDFISPEQREQIFNGVFPADFSGEVISLRTTRQE FHHISKAFRASTDKKSQNATVQFNEGFHSTTIRHNQVHLHSCLINSVSHQLWNLINLD EISFYCDSTISEGIPDPDIQMVIDSEWGMESVFAVEVGFSQTSVDLEKRMRHLIEKTT VKVAMLFDIKETPNYKNPLQTEENKKIYHSERMARPGNPSVLIQQYCKGRAPYSPVFL YGARWMGELTAAVQVFGKSTSTGEPITRTPRITFFGAPKSPKSNEGQLETPMYNAYPC LNVKLSDCVQSDDEAYNKELVLNWDKIRHELERARRCLAAERHAAAALKLSVGGNP TRV_02643 MASYSVTVSVAGTSINKYPAGSAGFDLAMADSYDPGKQHARRVA AYLPKQQGLIYLPGQQTVLSEDSDQDRPFKQRRYFFYVTGVVEPDCHVTYDIAEDKLT LYVPDFDFKRTIWTGPTLGKDEANQRYDVDRVEYFSALEGDVLRWSQANPSLSIYILH PDQRPVTPLTVAYFYESKSLKHAMDACRVIKDEHEIQLIQRANRVSGAAHRSILANLH HFKNEAQIAGLFIDVCLSLRSKGTAYQTIAGSGSNGATLHYTRNNEPLAGRQMVVLDA GAEWSCYASDVTRSFPIPSSVSGGRDWPSREAEQIYAIVQRMQEECISRVKEGALFFS IHQHAHAIALEELLKLGILRIPQGSTKADLIKAEVTALFFPHGLGHHLGLEVHDVSPD SGTIPVELAIEREKGLMSVTEHRPPCTLSAPPLASGMVITVEPGLYFNRLAIDQARAE RDEPNSKGRFVNFDVVERYVDVGGVRIEDDVLVTKDGNKNLTDAPKGKEMLDLIYGR TRV_02632 MVTETLRNARREEEEEEDDDEVKEEEVKEEEDEGRRGRGIHGVT RASDHVACVVSFGKAPRLGSAKRNIRG TRV_02633 MLQYSSRPHTPGTRRRRTRSSCADSGLFPSPSPSPSPSPSTPPD SSYRPDSFPMAVQNCEALLGIAIHNLSSTPDFLQDSTGAMQMQASSPCPSSQPFEIGL SLRGVTTPSSPNSSTSSTSLGHHSDAAEAYKPFVCQVSPPTPLSDFMHESQPISVLDY PNMFVPDYNVDGASAFDSLQFSNVDTPVTVSTASLSMSPPLGNIPSRPSSVSDSFGIY DQPQHHHQQHPSSTMQFSRDQWNMALPIPRSTVYPDQQKLNRAMPDHCPGSNRQSMSS AHGYSDLSKPLDMHLQNLDGSIPTSQAQYGLSATSYPQAPYYTPVPSLPTTPPSTSSP TFSCPHAQAPSHSHHRTPSSSSPSPTALSEADLRSKPQCWDHGCNGRSFSTFSNLLRH QREKAGLSVKAECPYCGAAFTRSTARNGHLERGRCKAMREE TRV_02634 MSDIDFLPATISGVSSTLGALGLSVDTPESRFELLAAELLSQIF DYLGTGDISNVRLTCRVFANACIRYLPRKCAVSCTKASLERIEYIASHPLISQRIQSL TVDCSQFWTLVHGRPNQSWDYIPAVGPEEFPWCEAYKRIWEEQRQIKQNGKDNDIISQ AIPHFKNLKSVRLCMGRFSEDGRLHRETYNSALEHFSFSYDSPVSCSILQYLMVMEPL RDSGIKVESLELLDVSYGIFYPISRIIGGMEANFEHLTNLSIRVQVHPDKVMNSAEGK PELGRVLSYGVLAGYLEAATRLRSLSLSSKDDHGGRMMVSDNAFSSNITWEHLHTLTL DNVWIAEHILVGLATRHCKTLKNLTLHNIILCGSWFTALPAIREVASLEKAVVYGWIG NPVGEYARREHWRLGVYPDREFDTPWGDDAREHRLGLQVASFLCKDPGVIALPLNYSN MTRHF TRV_02635 MLPTPSTSHVAFDTIYEPAEDSYLFLDTLSDIDESIWLSERFSP GASDQGSGPLPTSTTSPSPVVVEVGTGSGVILGFLAANCKAILGRSDILTIGTDVNRK ACSATRQTVKVAMSDNYSVENFRATPANKEGTNAKVPKPAQPLAVITGDLCSPLRAGM VDILLFNPPYVPTPELPHLPSSLEATSSASGMSKFEIESYFLSLTYAGGEHGMEITDR LLDSIPHVLNPERGVAYVLLCAQNKPQEVMDRINGWGNGWKTEIAGRSGTKAGWERLV IVRIWRN TRV_02636 MAPVTYDIPAAQTTTPQKPAVSLDIFPDGLKTTGQQPPLYDQIR PFSEFPKKITGPTVWKAEDYKNNPEKWTHRFTEEECAEMSAAADAFVASGIPLTAISK DNFRLPKFASFLEVLRKDIIDGKGFILFKGFPVQEWGTRKAAIAYMGLGTYLGYFVSQ NSRGHALGHVKDLGEDSGKIDKVRIYRTNARQFFHTDDCDIVGLLCVAKAFEGGESDI ISSHHVYNVLAEERPDVLETLTKPNWYVDRKGEVSVGEEEYIRAAIMYLEPKGGRVYT KWDPYYVQSLSRFSDAGIIPPLSPEQIEAMEVLEATCLRLSLHMVLDVGDIQFLSNSQ VLHARTAYKDFPPPAPRRHLMRLWLSTPENEGGWNLPFWDTNEKKRGGIQVNDNAPVA NLDAD TRV_02637 MAKPRTPLAATSFLLTPGNPQSVRVYYGTEDNRILEKGTEGGTY WYDGAFEHSAIPDSQVAAVDWGNGGVFNIRLYIQDGAFKNGISEWAWFRRSWRRGILA IPPA TRV_02623 MPHDAESAGALSTGAGNFNSSEQTAYTNRNDRNSRNSKDNRIPI DTMTPRPSSGAGSRESDASAQYENISTSQKMISATWGSLLTSLLVTPLDVVRVRLQAQ TPVIRASLPTSPQPTTLTSVSPSPLNFFRHLPPNLGVTACCREVFWIGENAQTQFCLV NQPPPTATSSTSAPTSTITSPQPCVVEQRKSYTSTLDGLRKIARHEGPLSLWRGLSPT LVMAIPANVIYFTGYDWLRYDSASPVASYVPASAAPLVAGSVARIAAASAISPIEMFR TRLQAIPAGGGMHGPDHFKATLRDLNKMVHREGYTSLWRGLTLTMWRDVPFSGLYWWG YERIKRQLESMRGHAFPHAYVDPLLKGTPTAAAKTTPATSTATSSAAASHSPSSTVVF VESFTAGAVSGAVSALVTTPFDVGKTRQQVASGSSGSIPRFLLSILQEEGLQGLFRGW AARCLKVAPACAIMISSYEVGKKVASKANDDHP TRV_02624 MAGGQQEDELESFRRQWLEEVRTRHPPPPAQSQQQPASEARPAE RRKSLQPRPQASIQLPQDLADEADEDGYTKIDTSELDGEFKSLELGSQKTEEKAPQSA LEHFERAIEKEDQGRLGDSLSLYRKAYRLDAKVDQAYREKHHANAKPYMPPAAPASGS TQPSAGEKVDIASFASLPILPADPIIENTPPPPCPIARLPSEVLIEILKHVALLDPAL FFRLSLVCKRLAYHFMHEQHIWRRLCQGTEFGLASMHYSFACQINGSPIDKGYVLGSD SDSESDSQIVHSHPFTAQITATIPKPLTSWSHVFQAFPRLRFTGIYLSTVNYMRPGAN SAMQSVSWNSPIHIVTYYRYLRFYPDGTVLSLLSTTEPVEVVHHLSRENIDLLAASSA GRKHTRHISDTPAPAPQAISTASPMPPTAAAALKHTLRGRWRLAPPTLSHTGPAPTNP DSTSGQPSNRQHTSAEPPSASTSDPRDLFIETEGVDPKYTYSMHLSFRSAGSHKSKNT KLAWKGFWSYNRLTDDWAEFTLRNDRAFVFRRVRGWGLPIVHHLFDVMVGDTVPNPEV PVENGVVDKPSADPPAIEEPLAQEPPAPEPTIVQDTTELTARKLNTQVEETKIEVSPK AEPTTNKLASESTSTESTATEQTSNELVTAKSSTPDTTATESTSKDFTSEASKPKEPF TLPLLRGKITLEDALVQEEDMLIRLSLVDKRFDFFLWIVQHKKEIQELVSFQLGLSSP EQCRVGEVREWLHGSFNVCVPIYVNKGVTPTEKRLLIRFPLPYKIGETNYPGNADEKL RCEVATYVWMQENCPDVPIPKLWGFGFVDGQTPNSMNDEEDGRSQMANLAMMRTVLSD YTSRDVRHGPFFFQLTDLHQSNIFVDEDWHIRYMIDLEWACSLPVEHLFPPWWMSGRF VDGLTDEHLEVFTNTYKEFADIFEEEERLLAPSPINGIELYRTSIMRRGLSKGTFWYL SSLDSPKGLYNLFHQHIQPRYAQDHRKGSEYPKITYKYWAADADEVLSTKMKDKEVYD EKLRELFRKSANEESS TRV_02626 MSNPEQRAYEVEMAAAMAAAEAEAAAQAQAEAEAEAQAAAQAEA EAQAAAEAAAREQARQDSAEVTRGLMDPVTIRQLSSSGHFAQRAREGRNR TRV_02627 MSTATGVYQSLDKLAEFLGFDTVQALQLWMRGALCKPYWDEYTR GCTEVELSRGKKARLVDCRSVLKHLAEGEAQGHRKFSSDFPDTPNWKLIDWQARLLFK IRSVNQADRHGIFYGKNMNEIEMATRAWQVILRLNLDASRENRPNKRVKAAGEFDHWR TGDGEAIPMPVNPDGGAACVVWTDIPTEMEKNGQKTTITLTGLVHYTYEQFLKKICCE LQLKECGYEIDQLSVTGDPICSNSFKEFLKLAAGLKKKVVVDLTTKNCPAELDIPSIE NEEDTSRKLSFDIMVAEKVTSGFDLKNRFCKWSLIQEVTRSFPSRINSECELLTEGID ARGDTIRWLHTSKDSDGVQGEARDGLILCEPTSEDLQRYREQEKWIDNKDLQRQGHPE ACKALGIPNPDIPRLPGMLVSAKFEFWQPLAIKAIKEFEEGYLRGGILADDVGIGKTF EAIGLEQYHWNLRKAALESNLEVAAPRPTLIVVPPNLIHQWASAIKSISSDLVVKIYY GGKHQTSADGTEYLTDILSKDSEVFSQTEEAARTTIITSLRTLTSRHGPSVLKKVIRN EIWEKDESTPYASVMEAVNDRMKGMKSAPAWWRHNLKGLFKRVIVDEAHEIRKKKHLY SSHFTLLEPHKDPWSKESLEKLGVFATDAWNTTTGSEEFDPWDIKSDEPASCLRYTSE SVEYHIIKGNISLVTKGERMREVLKACMIKRSYGSLVDGQVVGKALPAVQNISVNLAF TPEEQKIYEGVFGDCMAQAPRGRRGKNDARGTISASKFRRLCLVTTWTEFDHIAPSYT AKKLNDRRKNGVLHTRTILRDIHHAKRQRPYHIADPPEIRAETQIDPLPSEDNIREIL QCHCRGSPKLRYLLQLVAELVILRKEKLLIFVTMPAQVLWLESVWIIHIFYFAGNPLM LLFRSYSSLT TRV_02628 MFQHVPSSIGQKRVFDSVFPPSLLSGISPAPDATRVLGYAPSGA LFGSTLLSSDLENASSTSEEPEPEQVTWDRSWHMATAFLAIPDKRFNISNDGNCDDHI GDRVLLKRWARDPPTTKTRDSLYYVGADSSQGKELRKELKECDLKLWYINETRRHFLT NFKDSLIHILQSTEKKHVLTRLIRYLRLIQNIYYSPFLKYILPLSDPMARDTGFAALR ESIHAVTTFSLPRSFFDILATELSAACSTILGTKNRGERLSAADTDGDEMDVDPKSRM CYSSWRKLPPGDRIPLVSEREIPGAIDARLGLIRILEGLQEVGLGGQKCQAIFANVMN NMITEFVINSYSGLWDTPSFAIEHLRLWIGKIFGRLVLQVLQCLKQGGHGVSRGEESI DATLTDIHKWQEIAVTRLAALRISELFDIVVEWDASSGAIEDLKSYTTNPMTRLYLSS MFNTSIFQRLLHPGASTVEILQLYISIIRALTQLDPRGVLLDRVARPIRRYLRERDDT VKVIVNGLLADVSETKDQENTDPNTLVELAIELTSARQASLRNDSGELDWDDMNWVPD PIDAVVDYKRSKQSDVIGSMITLFESKEVFVKELQETLCGRLLSKSGSFDQEVSVLEL LKVRFGESALQACEVMLRDALDSKRIDTVIRTDKGLDQGPTAGIHAKILSRLYWPELQ EHEFRIPAEIIALQEKYSAGFESLKPSRKLTWLNSLGTATVELDLEDRVFKDEVTTWQ AAVIYSFQSDENATVSKTVNDLSNELDMPASLVRSSCLFWLSKRILIQQQPDTFTVLE TLPDDEDPEASSQNQAQAQPNSDANAAAAAAAVAAKEAAEAATMAKMDLYWQFIKGML TNQGAMPLQRIIMMLKIAVPGGFPFSSEELKQFMGKMVSNGELEMVSGGNYKIVS TRV_02629 MASIFTFDPDLPRVSSPWSSSGATTPRHQKGGQNSSCGPSPIDT SDPGFLEACGIKKLEPEPQDGPTEYKLHLLLRPRRPFVSLSSGAQVSGSHHSKSHGNS VAERDVSESPEPASRGAQSSSNSPRQARLCQLTTQLLWRLQQSSPFHSSSTANLVLPA LPEATESLNVPSTLSPLLPGLEESQGALYEIGVTDDGTFAGLAEDELDESLVNLQAMA ASLGCRVEVLRRVAVGYCEWVEKDGLSTRTEKLWVAEALVSPDLKQCRSQVLTGDPSG RWKENGGRSSMTQQPVLTEQLNVAIAGASGSGKSSLLGILSTSALDNGRGKSRLSLLR HRHEIASGVTSSIAQEMIGYPAPVNDDKQVTASSDVINYASGNINSWDDIHVSARGGG RVVFLSDLPGSLRYVKSTLRGLMSLRPHYVLLCVPANNPEDPGSIIPPEVGMSLAYLE LCVKLEIPVVVVVTKLDSATRSSIRQTLAQVLSAIKSAGRKPVMLPVPGEVNEQDLDL SNISPGDRKDANAAINSMGGDCLDDIPIIITSAVTGAGVGKLHALLQTLRMPTPEKIS PPVKKSNEDDDEQPKSLFDISEVFEMPLFKVYSLSSEGQRQNDRGIVLCGRVRRGAIS IGDRLVVGPIIPESRSHEPTHTPPRAKAAYSKSLPDSLPSSRFRKFTGDSLEAQSQTH AVWKQVRVVSVRNLRLPVKALFEHQIGTIGVDFVDSSLSTSLGRMRKGMVLADFNCGY LSNSLLSSQRLSTSAPPFHSGFIARFHASDFSASPPVLVSGSTSVVYIESIRAAARVI LVEQTRPHISPSHGIEPEIFMLDAADHHNTERCHGDDEISVTFSLTTSVEWLEVGSLV LAVPVLPASTASLAEFAKSFMFDIFDYLAPSCLPYARTQQLPTSQGHIHISSGLDKAP VLTEARLDSTTSARAESFDQQYPDNILQVPCDTFEIHKRTSARALMAEFFQELFSSIF TPGATPTLLLATNATFAALQVVFFVLLVATYSIHFLILSCISGALWYSINWFADEVKA ENERQKKLAEGKEKDDRGSSTDLSSLRVKKEASPVARLSGAMSDSAESGTETESIRAG HIGTPKVSGASPLSGGATARRTTPSASGVQVTLTPESSMDELTLRKPSGDSSGYISTD SEWEKVDDKDR TRV_02631 RRPPPAAIDLYGKHNGWTAYFLVSEGLGWLGLVYQLTMLSFPRC SYDWIEVPMYLFAIIALISECIAFGYLGSVEPNRSYHQASLVSKCISEGGIHIHNLGL LVQGMLKIPDIAGPSPSLFLSLPQHSITLQNIRYSLSKQGTAMNRSEKKGRRRRRQQQ QLQQKRRKPPAREKEKEKEKEKEKEKKKEKEEEKEEEKEEEKEEEKEEEKEKEKEKEK ERPEEEAMEEEKRKEGMDIPIRQK TRV_02575 MLPALYHLIRKPKFESYILLILSPEQHLTYVHTYPGLRGHIDTE VGQATTPTLPCSVNFESRTQLGKLSVPLPEGQGLEDIVDQLKECCQEARETSMNHSED SARLSGKAKLLQVLGLCEQTLRQGFSLLKERETTPDVTAKFYTVDLVRISKPQQGPIL DLHMKSISVRMKNIADN TRV_02576 MQWSFTFPPVDFRIQVDMENAPVHTNVYAPFFSLMIWLASTLVA VLLFRRVRAGYLEFLSLGPGGTPSTPMGYLRTGILKYLPTRTGKRPKVAGIIPHRQVT QQATPDMYAALKEEIKKLALSNSDRLYEGTSCFEKHSIGLFTPLDLPNRVTCNGEICH AHPIDGSIHMTLHPADVKFVIERGWGERHPLARDSWWWMFRLVPTGFVMIYAPRSKEE LDSVVQIIHAASWWVNGIEPRWSTPANSSRCGVDGGAGFIKSQVRT TRV_02577 MTFSFFLWNCIVFYSHAGDTISMLISCFHPLPFLMFPGFIKRMI PTHPYSLPPVDLPFASPVLWPAAVLSHDSPLHKLCLIFVQPFSGQQAVLLPRGISSYS SSLSLSLCFFLHLQSHIYQARSGFPSYPFPLYCTSESQLIMASCISAGCRPKSKRQQR DEKRKFLAKLDVASEKLEAYARNQQETRVEKYSLINVAAEQIGSPGHTPQQYRDAYQD FTDLYGDSFEDETEGAEDGESVASDSTTLSERYNMFNISPTALRMARGFPGWAPLYPK GTHRVHGSDSECSSYSSENTNQAFGYLPDIGYCDSFGPYQQTAHSDDEEGEEDKDEYC SSVQSVTYSPSPGLEQPEFGPLSLSSLSPPHSPNYSNSLYSLSSSGESNNKSHNVDAK LDYDEEDKDNDTIIYPSIETDDPTEPPTTQPEGDSDDTLTDPDREGSESITGGSDTSV RRTNRPVNHQFFYLHHRVTKRTGTSSVVPEDSKRTKVRTPYNLHSLLLHSLTEYYLYP QVDDLEFA TRV_02578 MGMSFSKMLDKLWFGKKEMRILMVGLDAAGKTTILYKLKLGEIV TTIPTIVRRKKEVLTGVGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIF VVDSNDRDRVVEAREELQKMLNEDELRDALLLVFANKQDLPNAMSPAEITSQLGLQTL TRRAWYIQSTCATTGDGLYEGLEWLAGALKKAGYE TRV_02579 MPKYKDDEVPLTCTNNLIAMSVSQSTIKVSLLSIDKSKRASKME ILQVPKGPVAPSDLVALCSRYRTARLRALKTYPEAFSSKYERESAFTDEQWAQRLQNP MSRTFVAVCVDHVTEAPSNVEDVEKLKSNEWVGMVVLLGPKVVGPSMKYAWDPFLSMA WMQPDDERDFNDAEAATFAVSMFVLPEAARRGVGKMLVSRMMDSAKEDGKRKSIGKLH VSLIVERDNDAAIRLYERCGFAHVDAGPDLDGVGDRPVPPLGMVCSYVL TRV_02580 MRKKPKEGKSDFEIGKKTSGRQRANPHHKNSFKYSSGRLFSSCL KSQSQLLDYSHNEKKRNFVETVELQIGLKNYDPQRDKRFSGTIKLPTVPRPRMSICVL GDQHDIDRAKHLGVDAMSSDDLKKLNKNKKLIKKLARKYDAFLASDALVRQIPRLLGP GLSKGEYSHIRIFRYEDRSTNLTIAGKFPTPVSHNEDLSNKMNDVKSTIKFQLKKELC LGVAVGNVGMTEDELIANIMLAINYLVSLLKKGWQNVGSLTIKASMSPPKRVY TRV_02581 MLVDAGVAVSYISNVLFLTSSTATGRQDDGAETRQKTAAIERPE GIGHEANRSASFKASSGLALPALRLFYVLDFTEVYGLFTARSGLEEEAMRYIQRQKTA AAQPATHDNSSVRDVIAESDAIISGTTIPSEESVIEILKKSQAIVDAVQSVEAAEVQT DTKPKKDAISSLLNLDDEEEAGAVRKTSQTQKKEPPISTSATAISNKLNELLQDPKVF ISPEILKLYTSIQCQLKKADYIPTIFTLYANKPSPRSSGGTITYSPSNPKSPQNAIPP TIANDALEVAMEQRNLSLALAIIDTAFCTPAFYRSKIIRQASFPFLGVVATPPAAWAI ASYLSTLQNTMDVSTARGIAFSAILAYVTFTASVGLVAAATSNDHMRRVVWIPGTALR SRWLREEERQALDRVAQTWGFSDPLMRGEEVGEEWESLREFIGMRGMILDKTDHMEGM E TRV_02582 MPPPPPPPPPPPPMPGKAAGPPKGGPPRTALLSDISKGTKLKKT VTNDRSAPLIGKTSGGSGGPPVAGAPPVPGMAPKPPGGLAPPVPGGAANRLRSNSAGN ERSGGDDGVPSAPQLGGLFAGGMPKLKKRGGIDTGASSNASYLSDSEAQSSSRTPPPS GAAPKPPIPSNKAPTFRPSPPPSTEATSTPPVNPLVANLRKPPPRPAPRPSSSISTHS TKPDVPPPRAPPPVPGSSRPPPPPPAPPASRKVSAPAAPPPPPPSTSPAPPPPIAPPP PPSAAPRPPPVPPPGPSGANGPQASSIAMQAARNAYGSPAAPPPPPPPSAPAAQPPPP PTSPPSSARTSSLVSTPLSSRPFSFSDNQHTAPHHQPPVRSMLDPSTYTLTNGGSHRP GAQKGSSHGRIRIEDPRFKFQNESLFPKPREFIGGQKMYRAGRGSSVPLDLTSLS TRV_02583 MWLFRGAQSAIFYYAACTPCTEHLQKRKRKRDAARTAREAGRDA LVTDQPVFYQPTPFSTNRYWSEEIALGPGPPARRGRNNNNHNATSRTGSQKNLAALEK EKPTVTEKAVLTGVLEDLPIAKDGSSNGKKNDTGSGLATRWNIVRYQRDDEVLWGCDG RASSTSLRVATRGSSKYSLDPPPEVHDVYPPIANALMRKEEIQWMLQPPPSAKVMEGK IRADPASRFSASSSPLLRGITQSPSNTGADTHGHQHHLSRPSPVQSLESASLRHSPTT KSRKSSTGATISRGLIPIDSLISLSNTTQSQDQQRSSLPRLSTTVRRQSSDRHHHQQQ QQQQQLTLSPSNDSSTASPLELKPYVSLPSLQPPRTRQHRKYETEHRPTSKETVDSGK AFRPITPSSNNAHWLRATSRSKTSKLPFTSTVQLDNDDNHDSDHSLDDVEFDRLDRIR TYRWSMDF TRV_02584 MVLTYHAVPWGITHLFLIVNVVVMLSCSVLVIARKHSEYAVAGL LGVVVAQALGYGLLIDITFIVRNLSVIGGLLMVLSDSWVRKKFMPAGLPQLEEKDRKM YVQFAGRVLLIFLFIGFVFSGEWSLWRIIVSCIGLVASVMVVVGFKAKWSAVILVVVL SVFNVLVNNFWTLHPHHPHKDFAKYDFFQILSIVGGLVLLVNMGPGQLSMDEKKKVY TRV_02585 MNPYMSWAILLLTAGGLGYYYKNNGSTARSRPASLKPSERQESG PAGSKKEKAKQRTKQRKSPPPETNSSRNHSSEASNGVNAPAAVPETKKEQRAVEAEES ISTSNGGMDDNYEFAKQFSKARNGTPLAAKPKPSTSKKPKKQATQQERQQQEQQPQTN GDSHVNHVSTAASSTTGADADDDMSPINPPRVKPAGDVTDMLEEPAPAASVLRLTGDM EDVQQGKKKKQQNSFKSVETKKQRQARRKREEKNEMVKQAEKARRAMVENQMHTAREY ERQQAKSTVSPPSANAWASSSNLTPTTNGNTTSNGTSTKPSSGMPLLDTFEPAPAQPT PTQAGGERKPWTKDLPSEEEQMRMLNSLSSENEWTTVSNRKKEKRSKGMAESTSEASS SEAHANPIETSW TRV_02586 MFKDAKQKTQKKRGEGKHGKRATVHLHDMKTARCVCLMYCIVQV TAWSIPLRYLGVHTTLREPIAGRSASEETITQGAPPFQPPGITDNVRSAETTSYKDTM NGVTLERLLADLETCVEDLVPYSPEVPPNDIVQISGSKMRSCRQAIFTLIRWYRQSNP STRKSSTETQNERRDDQKSRFNLVKPGDNTDPRQTGNLQTTATGKVRPTQTSTRPLTC RELACPSGDLAATCVTKPGIKLKPTLAQMCRMCFPRRDERLIDEHCADESKKEWNIFY ILFAVLISISTAGFTLAIVRRLREKAQTSQNTASEVLPTKRFVDSGSTFTNTSPAEPM SRRVVSWLRGVVTYVRDSRARVDVEEHPELGVAAANSLIPTFVNQVNPNRPHPFQVSG AETCERQTGLKLRKSCDSSLDVPGKEGSPRARSSSVQATPDAVNEP TRV_02587 MTQAQESLARFQARREEALSKVDVDLSRIPEKLSGNVTDIPRQC LSQEDIGITESSAQSLLASLAQGTLTATAVTKAFLRRAVIAQKLVNCVHELLPERALA RAKELDEYFAKHKKPIGPLHGLPVSVKAHMGVKGCDTSSGFVAWAGRPSPDDAELLKI LIAAGAVEYVRTTEPQALLMLETKSNVTGETVNPHNIALTPGGSSGGESALQALYGSP LGIGTDMGGSIRSPASNCGLYGFKPTTHRIPLTGWTAYNIGVETIWGTAGPLCPTFEG IDLMMKVILDAEPWRKDPSLHQMHWREHAQCINPKGEKKFRVGVMWDDGIVKPLPPVT RALHEVVAKLKLVPGVEVVEWKPFHHDEAMEILAGLYSPDGGKSYEALLQEGGESALQ LANWVAKESPAVKAHDLPALWNLLKRREAYRFNYLTEWNKLEPDMDVILCPAHPNVAP VLSTSRYWGYTSIWNILDYPAIVFPVTRINAQLDTKETGYTPRNDDDALYQDNYDPVI QASAPVCLQLVGKKMQDEMVVQAMKEIKDAIGLPFVNCLNG TRV_02588 MPLILDSTPPNPDIQSSAMAEDYKPLLPAIVVLNRRYLITGNSA AAKVGAAAFAASVVQAFVERGIFLGMILYQRDEDIVDPYISSEAFSSISTVTVSFNFH MDPSKVANALLNCIKILKVTNPMEGTPMLYYQTDTLMEYHPRHIPSCVTHHGPFFGSF ATIFSKEAAALAFGSMEKAEHLERQQDKGIEIIKSRENAFVLQHSQLQGNFLASRGVT ALRELCPPIELLTETEETKDELPENITEWFNFDGVVMFTAVARLDYFKNIDLLVDAAI LLCTRGIPLRVFVAGGEQPDSMEHRALMDKVPPQYQHLFLLVPKVSRSALYSLFAVAR HTGIFICPSRYETLGITPLEAALNGVTTVISNSSVVEASRFYPDEFRFSPNPEDLSKL IVQLSGPRGTLAKSGDLIRLHVGKSIQGSKFRSDLLDAWSDFSVQYLSTLVNYVPAVQ PPLCLETAPQELGGGMKGQTRVLA TRV_02589 MRPHAYNGRKTGSGETGDSRRGAEPYADPHGHASPAVRRLQRVL RLSRPYILAAEQAGRADLEESNDEEAQREQAERALAKQETAEQVQEVQTSQDGQQDGL QVGPAMQQPVEQRQAINPEEEQEAEVTAEEAQAEQPETQPTAPQAKDEAREPGEAGRS RRGQGSRRGRSRRAAPGSGAGRGQATEQRPGVIRARGRGVERGRAAEQRPEANRARGN GRRRPRGRGRGQGRGRGASPELEQRLEQQPLGELNSEPSLVQPVVNPEPQFEARVETE AQVEMEARVVTPGQPQARVGEQLVREQVIQQELTNILAQQESQPELPPVPAPVPAPAE TIPSQLMHLRHLPAPEVRPHMAHSQRVQALLQEQQQDLLRQQHARQAREEELAQRPEI ASHQERLQRVQVTLRTRELRMIGETREGQPEPEIRRVELAVHQGQVREVEELQEQMQS MQAQQQQLLEMRIQEAMDEPLEDPQQRQHQEEDDGQPNFRETPVEGQQQLQPQLPASN RIESIPQPVYGMPPNGMAFPRSEFARRPVSPLVFPPDVQRELIQASLEDEDDEYDGGV ALEQENMDWNGNGNGYGNTDGNGNGNGNGNSYGNGYSNGYVNGYGNIYVNGNGNGYGN GYVNGYSNGYVNGNAGGDANGSEEMTETEVRFAIEQAVQVRTWAQLEEIQQDRTRREF QGSNGMDAAAASRLLSRWSGRRVYPTTQFPAVPEYHPHYRNEGFYPVSRGISEALSNV RAARMEEDEHIVDEEEFEYFNLGSGRSELLVHDGPAGEQDELTEDNLQVGMVLIAQTT PAEYEHWKTRRDTHATWAEVKLGEEMARLRVRMERWEATVRWMWQMAEVWERDGGFEK IVEFDADVLEAMRLDGEASDDDELVGFMDDLDEDKARSESREPTFIRQRKE TRV_02590 MDKMDKAADDLYFALPPAAIQHHHHHQPQQQQQQQQQQQQQQQP QQQQQQQPETRRSKRQPEFSLPPPPTRTRKIIQVKPKRDEEADQQDAAPAATTKKKSS AATAAGRKIARRTAHSLIERRRRSKMNQEFATLKDMIPACRGHEMHKLAILQASIEYV NYLESCVRDLKAARRDDTPTSPCWQPSANIQMDSASSYSVSPDIHPSTANTSTMPSPA QSQGHQTASAGFDIITMVLPSPALRPTTTSTTASSASRTYSMSTTATSTNTSPLLVPT GGDDVAVQDDDVDMDREASAALLMLNTDRRHSSTSATTASATTSPTIWPHAHAQHTLT HTHTHARRKLGMSVHDLLTH TRV_02591 MPKRIIIDTDPGVDDILALLLSFSASSAELEVALISLTFGNIEI KKCLRNAVSVFHVIEKELAHRGEAGKHAFSALKACRPILACGAEGPLDGSKVDAGYFH GFDGLGNVHTKCPHLSADDTYQALFASEEEEAADEGEKLYIPSRQPSHLEILRLLREN EEDSITIVAVGPLTNLALAAAEDLPTFLRAKEVAIMGGAIDEPGNVTPFAEFNVFADP LAAAQLMALTSLNPRRTWPAASPMAAPRRLDKRLTLKMAPLDLTHTQNFTPTAFRAAI TPHLQRSSPLAELVSTVVEHTFSTIRELKLARHEAATAEEEMSLHDPVCVWYVLTGGS ETWRWQERDVRVETTGQWTRGATVVDRRLVGRVDADVVDQVVVEGEEEIAVVAEEQED DRGGWRAGGNRVGVLERGPDSLLSEELLRRVFSQ TRV_02592 MSRLIIRVNPDFLIDGGPETSDPIQAVVRPLSHPVFSSSKIRLF GPLGATIPLREFLVTPDEDVASTTVSPEQRMMQPWLPDPRCTTYYTPYLPRDLTEPQF KVMVQFHRFTRGEDVLPNQLSPRAIRYILSANNNLPDPYSRFNRRTRRWVYMGWTRAH FLAFFSIYRSVILSSTVDGSGILELRSLGEWLYAAPPEAMGCEEWERLIMEIGGLENI LTDEERWDACHTIGVEAAGRADEVGDDDAVPVMRARKERKRGQKDRLAALLRGG TRV_02593 MDALYTTLLDAPLLKVSRPIAACSRCRSSKVKCDGKLPACSACE RAGKARSCSGATDEFAKGKERSYVAALEAQCERLKSRLAEVRGTQPGNSSDALGTTGG VYGKETSDIDDLVGDFGFLSVNATSRDFYGITSSTSFAGLLLRVAKGLSSIPPCSRTE YPPRAQTVGLVQYYFDNLYVLMPFLMETKFWASLDNIYQDSGRFATSFDHWSLRMVLA ISLMMKSRMHMDSDCEAALVHVYAALKYAEDVLHPGSLAGIQSILLLTQFSMFAPKYY KTWYLIGMAARVATDLGIHQEQRSIINMDTTALNQSRKTFYCLYSIDRYVSSALGRAY SFSDDSVNVPLPSTTTTSNSINLGDAISPKNIKAAAQMFDIRRRQSSFYQDLYFNGRQ ILPDAENVSWRRCAMLTDWFETARSDLPPHLIPLYRLELLFTCILVLSPSKRAPEISK FNQIVLFEYTVDFLVDFHTFLNSSAPCLPLTYIDFERVYTVSNILMVTLENYHTEVLN ETLPEHLTPAPSTNDIGETPLPPYIDRAKRVNSTARALEALGKTQSILEAAGRRWGVH SLLNDFRGRVGPTTSILTYKYGESHTVGSLLQQQQQQQQPLHQLGSNGVAGSGWIPGL RGPFTG TRV_02594 MQSTMAEVAITIFLGQKYNNVEYQNSVIALSQDITELMGLVPNK SIRIMLIRIPWDFGRKFSGELWADISVKYIPRDTKDETIVQYLMRRYAGEDGYVPILS RIWIMVLIITIAFVSVHTTVAAIIWVTFYLALYPETQKIIHDEISTIIREEEHADGDF ILDSSGIAKAAKTDSFIREVMRMKGDTINVARLTMKDVELGGYRIPKGMRMLYN TRV_02595 MQLSLITLCTIGIGATAVQAALAPNSTWPVQSYNSTNIRASVLE TTKMGETDPGYIFVTPWNGHRSGHPSIYRDDGQLVWQGPEGTIFGFRPQNLSNNPMLV FWEGDFTGTGYGHGSVNLLNSSYQLVHKVTLTEGYFNTGLDHEVESHIDYHEGIVEND KKALLVAATNITQADLRSVGGPEQGWVIDGLIYDIDIETNKVLFRWSAVEHIDQIPFK LSQSPLLDTGTSEKNPWDFFRVSSTVRYGDDYLISWDYGCSILHIARNGSVAWNLNGI TGGDFKMGPNSNFCFQYGLRLGMHTDEKITISMHNNDNSEFSNTNNPTTGLILDLDLQ KMEVVGQRRMWNHEHPVVSRDLGSFQYLGTGHCIVHHGQIPLIEEYNKEDELVMQIRY GHDLVDASYRVHRVPWTGMPITKPSVKACRKADKDIVVYVSWNGSTDIESWKVFEVSE GSMPKEIKDEAWTGFETTIQAQSEAKKVLVAAVGGYGDGVESDPVTVDEC TRV_02596 MRQIYQRNANVQRSDWYLTLYASTSYAHNVHSEINKKKHSFRRR VMGHAFSDAALRSSEEFIIENIKICCDQLSKTATSPEKWGTPQNMDYWCTYLSYDIMG DLVFNTKFNCLVSEESRYVPALLIAATQFLYNLGYLPFAKFVRPLLGTKIMEILGGNL ARDGKRYNEYCAEQMSKRLQSTDVNDPRYPKKDLMHYLIDAKDPETGQGLTRAELAAE SNLLIAAGSDTTSTALSGAIFYLIHNPECLEKTKAEIQSTFSTVEEIRGGSKLNSLTY LRAVIDESLRLSPSIPSNLMVEVLPGGITVDGNHIPEGTTLGVSPYVLHHNTQYFQDP FVFRPERWIGTDKSCLVVSEDNKSTIEDAANARYAFFAFSAGSRGCLGKNLAYLELLM TLATLIFKFDMRSPPDDDGQNDTGTTHGRHPGRCRKDEYQLKDHFVPEKQGPMVQFRE RAYKVRPVGGV TRV_02597 MGFFRHLFSLSLCALSLAIPSKLIGLENTQDVIPNSYIVVMKST ISEAEFQTHQAWASKIHRRNLGERDETLGGLDGLKTTFEFEGLKGYSGAFDKRTIELI SRNPAVDYVEVDRVVKLDAITTQRNAPSWGLGRISHKSAGSSDFVFDDSAGSGITIYG VDTGIDIKHPEFGGRATWGTNTVDNEDTDQNGHGTHTAGTFAGATYGIAKKANVIAVK VLNAQGTGSTSGVIQGIQWCTDHAGRNGLKGKAAMNLSLGIRGSTVFNRVAEAAQQSG IFLAVAAGNDGFSPASARGVCTAAATNSQDAATSWSNYGSVVAVYGPGADIISAYPNE DTATLSGTSMASPHVCGVGAYLMALEGIGPDKVCDRIKELAVESVTNQKLNTTRKLLY NGSGA TRV_02598 MQSLLLLATLLGSALGGAIPSQSANYNGYKVMRVSGDDTSKISH IVSKLGLETWKFPKAANANVDIVVPPKKVAEFEKMSHAAGLKKQVMHENLGDSIKSEM SFRPYSCELLTLDGGANDTWFQSYHKYEDHLKFMQDFQSAHSQNSEIVTSGKSHEGRD ITGVHVWGSGEKGSKPAVVFHGTVHAREWITTMTVEYILAQLFDDKEAGAALLEKFDF YIFPIANPDGFVFTTESDRMWRKNREQNEGGCYGTDLNRNWPYKWEGDGSTTDPCSET YRGPSPGFAPETKASTSFIKGLADGAGVKMFVDWHSYSQLFMTPYGYSCSARAPNDDV LQEMASSFADAVKAVHGTSFTTGPICNTIYQANGNSVDWIVDEIKGETAFAAELRDTG MYGFVLPPEQIIPSGEETWAGVKAMFSKLK TRV_02599 MHGPLFPFVLARNRSCLDTQLREKIRKLARELEELEDEADRAPD AEAMARGAGLIKFKETDESRFLGPSSGIAITRFVMDMAKQNTGSKSIKEVVNENTAQQ IKSVFDIESQKPTSKVYPMISSVAEPDLPPRELTNKLIDIFIAKAQYMVPILHEPTFR SDVDIVFNGSQDPCRNFQLRLVIAISMQRLSTQYAGLADSYYLAALPYFDGCLAKMDI STLQCCALMGLYSLLTPTRTAAYWVVGVAAKLCQDLGISDEETVTRGTNGEQLNCLEI DMRRRLFWIITSMEYGLAHSLGRPSSFCVSHDHINVNFFQTCDDRYITPNGILPGAQP IMKKCIAIHFFKMRLLQAEIRRKLYLCKRPAPLDDRDPWFTQMIDKMDRWVESCPKND EGSGFSQMWFQGRRNTMIVFMYRPSPQIPEPSLYAAQRCYDASVFNVKIQHQQVATGT IDLTWIFTQSVFMALNTILWSLSYPEIRKEHPVGDVIGEIRLAMEILAISSERWPGVE SALELYKSLISGCLKAYATDESYVVHSPPSNRPSPAPSSDFATPPPIAHSPNTAATAP SPVSQSKPTPNRLSSSPYEPTRSRASFEAARLHPGQIKFESTTPPPPSLATIATIPYD LAPPPQVTYANGNGSSIDPNTSSPAQTFSSPVTHSLARCDVSPTPTNNFDPSSFHNTF PSVVPGLHHWDPNYTTASTTASYLTYPSATIDPMSWLGTIGEQYSQFFNQPYQSNIFR DRRLSYEEHAELMDTLGHDLPDISQLAEETATFYTPSMVP TRV_02600 MSFSPQNYESSSSTTKSTSPAHTAAQSANLSSPPSSVAMQPHSV SQSTVTTSTSCPTPASSTSGLARDGSDGPGAIAASFADGKIPFETLGSDTKPLAHDHH NNEKSIDTQKPSSNDSNDHDPMDIDLKEGAGLSEEPSLESLQRDVGEAIGLCKSSYTS TLPVPKFDIVSLYGLGPIAASVARTDPVTGEKINRLRKSYEGKIKGLGLAGRNKPVKQ EPGAPGGLRNLMMWPDEEWYNQKVAGKEITIAEPDTAFYKQQLRAMKMEPGITPRNEY WEDVLGHEKSSKNAAEQLPKKPGSSSFKNTPQSNGTPAAQASSATVAPDPGRPKRAGR KRSYHDSSFVGYGEGFPDDDDLEGSLYSNDDDGGSVGKKKRKKMVRSSCMFIVLPVLG YLPFYTL TRV_02601 MDCKRPLSTFRLLSFDIFGTLIDWESGIHEALKPLVQRLDHSNP LKTDRKKLGDLYGKHERAIQVDNPGLAYNLVVKEAYERLARETQSLPATEHLLDKEST AVGNSVGRWPPFPDTVAAMRRLKKKGYKLVPLSNVDRESFSNTLAGPMAGLRDGLAPE EPFFDAIYTAQDIGSYKPNLRNFEYLVSHVKSEFGVEPADILHVAQSLHHDHEPAKKM GLSSVWIARGSGSSSMGTDVCEYLDGGKVAFGWQFDDLAGLADAVEASLP TRV_02602 MAATASQKYLSSRGGSYGFSFEEVVLKGLASDGGLFIPEQIPSL PTDWETKWRNYSFQELAFEILSLYISPSEVPSDDLKDIISRSYGTFSGYLADANGLNR SPDITPLVTLDEQKRLYLLELFCGPTFAFKDVALQFLGNLFEYFLVRRNEGKTGKDRH HLVVIGATSGDTGSAAIYGLRGKKDASVFILHPKGKVSPIQEAQMTTVLDENVHNISI EGSFDDCQDMVKALFADPEINKTHKLAAVNSINWARILAQITYYFHSYFSLIRSPTYV NGKPIRFVVPSGNFGDILAGWFGKQMGLPVDKLVIATNENDILDRFLKTGQYTKQAQP EAQASASAVKETWSPAMDILVSSNFERLLWFLAYKVYGQGDIDEQRKIAGNTVLSWLQ DLSGKGGFGVDEKILQAAQQEFESERVSNEQTIDTIRSIYSTCFPQTPVSAGTRGETG GYILDPHSAIGVAASLRSISRCAETFHISLSTAHPAKFAEAVDAALRNEKGYSFDNVL PPEFVGLEKKERRVISMPAGATWKSVGEVINQNVAV TRV_02603 MAKFEAALKKIDDIHSEDPKLARVKTDAGEDEEIPDELHYANRM TEYLLQHDPNPSELLRLAVRAQHLQRWEIPRADYPMNRMGYLFWRTAQKKRQATHAEE ICLSCGYSTEDAARVAALVRKEDMKRDAECQVLEDVACLVFLADQFEKFEQEHGEDRE KIVNILRKTWAKMSERGHELALQIKMSNQAKGLVAEALEA TRV_02604 MLGGRHSHWSPQDLEEQAFSPYGTFPPSPTESTETSSSISSTRP RVNPRIVSDAILGLSDGLTVPFALSAGLSALGNSRVVVVGGLAELVAGAISMGLGGYV GSRSEVESYEATVRETKHLVKASPMETMNIIHQVFAPYNLPEEPVARMSHILYNSPEK LLDFLLTFYHKEAKPGCHQAWISAITLALGYFIGGFIPLIPYFMVDQVLVALYYSIGI MIFTLLVFGYVKTCVVRGWTGKENIVAGIKGGLQMVVVGGLAAGASIALARAINPTGG ALF TRV_02605 MTRKKKAGERKKDSPPGGASPASSTVSVSASPGHQEQKPQGSSS VPKAIKPPPAEPSTSALIICRNKSYEKNLFSQDNYNYASPQPQPIDPAVFFDLIKIRR LIEEATDLAVRAANGTISSLGNSSYSSGYGLLGGNGGGPMGGSGVRGSRGDGTMKLSR ERRHRMREHATQKLSKAYALDEIAASVATMQSASALEEVAKLVLQRNENDCDAKYVHF FHEKIPSRSLVQCTSLRPLDDIIAMRQLDGATYRTRAVAKMLKDDLLGAARDLTEGLA ACRACGRQHEDTRKDIEVVTESSRRKMMPSRFNQKIDENDQPHGLEAQLLFHRAGVYL SISCQHIHEALTAYEKTKESQGPTIGDDDTLEVKENGGDPKDRQAHRRWLEERKMVKS NARRALRDYLTFLSLIKYTPGPTPSKLQDGTFATLYKIYPVSDLFSSTPPADLPPYPE ESDALTVSNQGTAGQQVRSSDVCHEAVTYHPLLTDALHSILICHSILQTPEKEFVRHA HMVARVARICDGYPIFLAPRSPSRSDWMEILHRTDNWLKIRPWETLCAPAPLPGHSIY KKATADPATPAATQPEIPHEPAQKTPPAPTQEVMAHTSQMNDAVFKRWAQDDSKDFPF CNERAAAVSWWVQMAPVSAGTARSKGKKSAGSSKGEPVENKGHKNEQEKRLANEKAVD ISVQDRAHD TRV_02606 MSPPEIQVPPSDVAGETSVQVGESEESRNDTTAKNVSSNAESKV DETVAGVADSPQQKEDTVEASNIDEEPSSPAENDGQSSSQPQQQLSIQSKRRNPGQLL HVDVAGGQPPLPPKKDEPYIDPTPKTPQVSRPPADKDLPEVPQDDLLDDSCQPKAESE ERKSEDSQSEIQTIMDQFSDETSSLRQEEIMSPRLELADQFRTGQGHFPPRNSSLEQQ PVKQNDESADTQAASNLTSTGSSCQAMSPPAVPPKSPSLTSAGKMVAVEKPDTHHNPS TPASTVPPPPEPETEQPFDFHRFLEQLRHRTADPVAKFLRSFLNEFGKRQWMVHEQVK IISDFLAFITNKMAQCEVWKGVSDVEFDNAKEGMEKLVMNRLYTQTFSPTIPPPAAPS RSRSRGRRKEIERMHHPGRRGQHQEDVERDEILAQKVRIYSWVREEHLDIPPVGPNGR RFLLLAQQGLLRHAKNSDTSADSFIPLLIYVVLKANPEHLVSNVQYILRFRNQEKLSG EAGYYLSSLSGAIQFIESLDRTSLTVSDEEFERNVEAAVSAIAERNPDHEEPPSVPEK LPASRARETASRPSFEGHSNSRQNEHSPPYSPVSDDNTPVAGLLRTIQKPLTTIGRIF SDDTDHSRERSPFNQQSSATSENVPYNGQRPDSDSWRNQEGSRGRDGKSPSLATRFEA QEAAARQASAESAEARRIQQAEHNDVVETLAGMFPNLDRDLIDDVVTMKEGR TRV_02607 MCGIFFSLSNEKHCYPSQLTEKLIQHRGPDSYQTVCVRVNQTAA YLTFASSVLGLRGGSIQKQPLVDDNQSVLCWNGEIWKFADRALDGNDTYAIFQSFMDA VKPRNGEGAENTLEKLCTAVNNISGPFSFVFYDSCSCRIFYGRDYLGRRSLLHGWSSD GNFRISSIRDGNISDYFEEVDTTRIHVIDLTSPPEQENTEANAGTPTCPVKTFVIPWS PDPTLSLKRPIPAMDTSLPERGSASPLAIDSLCIEILQEKLLESLRLRLLTVPDILTS TETKVAILFSGGLDCTLVARLAHDVLPMKAPIDLLNVAFENPRVVAAATKGSISGTSS TSVYDDCPDRRTGLSSFQELKKVCPGREWRFVRIDVPYTETMEHRPRVKHLMAPHNTE MDLSIACALYFASRGKGMHHSEYSNGETGINYTTPARVLLSGLGADEVFAGYSRHAIA FSRHGFRGLIDEVQLDVGRLGKRNLGRDDRVISHWGKEARYPYLDEDFLTWALSRPIW EKCGFGCEKVKIEQEPDVEDGKKALRLVAWKLGMKDVAMEKKRAIQFGSRTAKMESGR SRGTQILE TRV_02608 MDGEWGEQKIFSGKERDKRWLPGFVFQRWSAQAEAALSRISFLL QGFASTPEANYRFQLRPPPPTAAFHPLPRAAAGLVVLAGASAVSSIFPRLAATFPRPA RSSFLMTKTEVGSLDREGGGILLPLARPFFFLFKNSLRRRLLLRLFFPFSHLHPLHAH KKKNIHINTEKEDRRRDTRHRGLRQTPDATIQAFPDFDFLFSRLQDGLLDAGSSSLGL SHQETTTTLDSHDYTTSPSTSSPPSEPPSGPLNASSDLSRANPAFSSSTTIPQFDFTS FTTDNQRPSWLSQPQSRQPLATNNRPQQPQYSYNSLPASLQQDFQLFPTPQPLAAQPS SWSAPKSSRLVSRQSIQNTPRSGSAASALPTSSSRTCISAPQPVFYSPSVNTSSSRLA SQSLRPPVPLFADLGKKTPVHLQDQIKNAAMEGTSVATSSILTNTNPSLATTIDYFDL SDTYEMQGSDSLALNGSQPLALADAPPLTVSPQELLLDSSCPPSTCMTDLSTPSFESP GTFSHDTSPLFSTADDLSADHDGWESLFPGDPLTTLDETPKLSAPVLTQPPAHRTVQQ ERISTTASSSPAVKSRSFPTPSPRLSSRSSSSRPSSVSGVTKRTREKHPLPPIEVDPS DPVAVKRAKNTEAARKSRQRKVELQESLERRIEELEAELEQAKQEAEHWKGVAGHTGS TRV_02610 MNNLTSLRRAVVSFGQHARFFSCSSQYYQQQQQQSDSKIKTVPY SSLTIGVPLESYPSERRVSLTPANAALLLKKGFGQVLVERGAGAASQFTDKAYIDAGA SLVDRHDVWESDIVLKVRAPSLNRGEVGLLKDGATAISFLYPALNRDLVEALAKGGVT AFAMDMVPRISRAQIPPGKVLVIGAGVAGLSAISAARRMGAIVRGFDTRPAVREQVQS LGAEFIEVDIQEDGSGAGGYAKEMSKEFIEAEMKLFLQQSREVDIIVTTALIPGKPAP KLITEEMIMAMKPGSVVVDLAAEAGGNCVLTKPGELVLSSNGVTIIGYTDLPSRLPTQ SSTLYSNNITKFLLSMAPVEKQFGVDLEDEVVRGSIVTHAGSILPPAPRPAPPPQPAT PKKDDAALSADAAKMELTPFQKVSREVATVTGGMGTALALGKFTGPLFMSNVFTAGLA GLIGYRVVWGVTPALHSPLMSVTNAISGMVGIGGLFVMGGGYFPETIPQALGALSVLL AFVNVSGGFVITKRMLDMFKRPTDPPEYPWLYAIPGVLFGGGYLAAVSTGMAGLIQAG YLVSSILCISSISGLASQATARAGNSLGILGVASGILASLVAVGFSPEVLAQFVGLAG IGGLVGAAIGRRITPTELPQMVAALHSVVGLAAVLTSIGSVLADIDHVSTLHLVTAYS GVVIGGITFTGSIVAFLKLSGKMASKPLAFKGRHIVNSSLLGTNMALMGGFISMAPGA PLVAAAALSASTILSFIKGFTTTAAIGGADMPVVITVLNAYSGFALVAEGFMLDNPLL TTVGSLIGISGSILSYIMCVAMNRSLTNVLFGGISSPTQAQSDHQIEGKITKTSIEET AETLASAESVIIVVGYGMAVAKAQYAISEITRMLRAKGVKVRFAIHPVAGRMPGQCNV LLAEAAVPYDIVLEMDEINDDFGDTDVTLVIGANDTVNPIALEPNSSIAGMPVLHAWK SKEVIVMKRGMSSGYGKLLNSSLTKRDPFKLTYPIADVPNPMFYMPGTKMLFGDAKTS CDDQLDHLFKDREDIFIYPRTF TRV_02609 MNNLTSLRRAVVSFGQHARFFSCSSQYYQQQQQQSDSKIKTVPY SSLTIGVPLESYPSERRVSLTPANAALLLKKGFGQVLVERGAGAASQFTDKAYIDAGA SLVDRHDVWESDIVLKVRAPSLNRGEVGLLKDGATAISFLYPALNRDLVEALAKGGVT AFAMDMVPRISRAQVFDALR TRV_02611 MSEVQKPVEETPAVVPETTAAATAAATETPAVTEPVVAATTPAE EPAKATEEAPAAEEPAKEEPAAKEVVPATDGQLGYKAPGLVKSFRFVKRHFWFSEEPV ESKQLTSYFQNEKLSFAHPNAAWASQTGKGLLFFAKRTEDKANPAGIINLSDVTDITK DGSNEFIFKLHGQKHTFQAADADERDSWIAAIEAKSAEGKAAKEEIVGCEGYKSELEK FTYFIVLTNGGAAKVPVVAAVAGTAKKVTDEANKAVDAVVEPKEEKKDDKKSRSQSRK RASIFGNFLGKKEEQEEKKDEQKEEDKTEENKEVKKDDAETTPAAVTDAPEVTEPAAA EAAEASTSAAAEPAADAPAEEAKPEEKKAETPVKSKRTSIFGSFFQKFPKEDQDKAKK EAVSETTAVSSTAPQLGDPVDASTSEPIKPETVTATAEDTAEKAEKTEEVTTPTSGNK FLSFMKKSDKAEKIEKAEKAAADAVEKKVDEAVSKADETATAAVDAVVPGLKEKRRTS LFGNLGGKKEKKPEVEGEAPEGETKKSSSLSGLFRKPSKAVKKEPAANPVPEAEPIAE EPVTETQAATTTEQAANGEEPKTTEAPTSEPAPVAATQPVPTAA TRV_02612 MDGGKGKTLPATGRRRSSTFSNLSFLRDAAPAIDRRVSATTTAA GNNSDLLQAPDVSNGASLRRRVSVSEKTPTRSFYHRTFHGPLDTAQYSSLGVRERTAE IESLALSDAESISHKSLSGYASDLSTSTGGLLAREGWTGDGVSDRLTRSRSRPEAIEE RSEPTTPRGSAFPSFVGESALTAMLRSSPTNPLKKSTSAPVQSRRDTTIQEPNEDDEE TALGHRPVASMPRIIDPEQAPLIKVQSSSKYGAIPGGPEDFGPQRPDLASLGRWMVSK PPAWAKTICNPRTWDKQSIYENGVKLPVSLLPAVFLGLLLNILDALSYGMILFPLGEP IFAAMGADGIAMFYVSTIISQLVFSCGGSVFKGGIGAEMIEVVPFFHAMATQILTRVG DDDPRAVYATTILAFSLSAILTGLVFFSMGACRLGSLIGFFPRHILIGCIGGVGWFLV VTGIEISARLSGNLEYNLKTLEKLFQLDTIPLWTVPLLLAIGLLVLKRFIRSNFLLCA YFLAIGAGFYIVKFITNVSMSSLREGGWVFYAPASSQPWYHFYTLYDLSAVHWAALAE TVPAMFALTFFGILHVPINVPALGISTGEDHLNVDRELVSHGISNVFSGFAGSVQNYL VYTNSLLFIASGGNHRLAGIMLAVGTFGILVIGPGIVGYIPIMVVGALIYMLGIELLE EALVDTWGKLHRLEYLTVVTIVVTMGAWDIVAGIIVGIILACVNFVAQTSQKSAITAT YTGQVALSTVRRHPLHARFLREAGKQTFVIKLAGFLFFGTIVSVEKRIRGLVDAEAFS ERPIRFLILDFSYVNGLDFSAAEAFTRLNRILKKKGVHMLVCGLDIAGDVATSLQNVG LFGLDDAVEIFQDLNSALEHCENEALKAIHEHKETPPAGLSPGEGNLKPLNKTHAQTL AADMAANTPRGYYLHQLASNFLREEAEDTRSGKILHKRLSPAQQQQQPLPLLLQTFQG LSIKSAEFWLPVCPYFTRAEFAASSVLYRENDYPGQFYLLESGMLRAEHATPQGRSYF ELIVAGRPCGELSFFGETSRSATVRVERDCVVWTLGTKEWETLQVKEPTVALELLKVC LKLTAERTESITS TRV_02613 MYGDLGTKLIQHAKRTQSLAYLPPYQREIVQAVTREVRDLDKDV SRILEQLEQARAAAEGEDGGNPPSSFNPADDPATACALLVDHLSMRRNKRCLLAYHRI RAEKIEEMCWNGIDPLEHQQRQREMESGNNNSEDHVTPRTDRTPLSNAHYNSSLTPVE EEYFRQYNEMLMAYKGHWTDVDLTGSLEPPKELYIDVRVLKDAGEIQTEYGATNHPRL PSTIKLKITLSSPKLGHVREN TRV_02614 MFNQQPPSPPPSNDGDVKLSPQAISQTGFQQHPQKLQQPYPQTY SHSQPQQLHPQQQLGYIPAGEPYSYSYLEASTPAMSGPMVSIYIFPAWHKALFLTEKR LDIAEWTYHRAGEMPVPATLSAGETVEDLKEKLAIAKSNTDDRVRIIRPAKLRRRPKP AKADKGDGPTIDAPLSELTKNMLHIPIRDMAVWVNRPKETRLEEVKSKNGKIARPMNS FMLYRSAYAERTKEWCAQNNHQVVSRASGQSWPLEPPKIRDLYEGYATIERDNHHKAH PDYKFAPNKNQGTSKNQNGSKNPGSASKKKRSHEDELSDFEDQPCDITSYPRPSNRRR TLGPNGDASNSRNSTPCDNDSTYDSRNCTPIPHLQIDIYGTGDVNRSSWEMINPGRPH PGIISQPEQTHYYQPSIHQSLLGSNIEDVTYKKIGMPGGVAYDATASMVNMPGGTHPD LLQPQPLNHNRMSVSMGEVQVDPQLLDFEPTNTSLPTDTGSSFGNQNDFWQFNSTSNQ HYVPGYMSTPETDQYHHAALPPSSMATGLVADRVMWPNAHTIPGEELNEWFGGSTVN TRV_02615 MSGTLTSPALLYITPLLSHFTSANKLSLTSVEDQGPVRFSIEVQ RLPSIKQPANQPTYHHRVTIAFDKKISPACLSTVETERRGTREGKQTAARKKEQRYGN SSLSLRPQSGLFSVSSTAIPASIEDSKKRKKGARKPGVRLPLSLLHPLPGQYKDQSHP TKTSIENPLTVKSSSQGAVFRQWYPACIQRRQS TRV_02616 MVSRKRAREETEAQSAPEPQEHGLLHQLRNMWEFPNLMQYIYTF GKPMKLDDDIDIEELETECLKPGHSERLLNIGLNLLKFVSSHRGLNYDNFEEYTRRQY LAKAPLRNPFGDDESPLKFHQLDIFQRIRVLQQLSAWTLWNPDRFRERMGEVKESDQL QWRINEIGYDRDEHQYYVLDDNRLYRRIEPQIPPERPVKRKATSRKRRAGIRSSKRRK VADTPDETDGQEDEADAVPSNCSRDYEWECVAITLNDYNTFIDSLKKSKDLNEQALYE RLVEDVLPVIEKVEELQQKKALRREKELIALEKLATAKRSSRIASKQDRIRQEQQAAE EAKRQEAERIAEQKAKEKAQKIEKERQYRLMTREQRLKDREEKRKQQEEELVRLTEKA KLAEEGEARSSRRNFNAELEKRQKGLENLEVEDWTFDCSGCGIHGENLDDGSHSVACD KCNVWQHSKCLGISQEEAEKDDFHFICKDCQRRIEEAKRPKIPPLKFRITSSASPPSK KEPQVSNGSILTKQQPTNHQNVSSTQQFRPAPIEPRLFTSNRPPVASHSIYAQNGSPQ PGYPPAPQSNTAVSYGHQPAPVHTLPQASIPRNMMSSFSSQRPTSSGSIPSNFPSPVQ NRPSMSPTQGNGDVGPLAGFPPAPAPAPTSSAPSPGSNGTYSGTTYQCNPSGYSPYSS FQIQQHPQQQHQHQQRNQNQGLQQQHQRQQYHPSSQSPTTSFSATTNHQASFSQTPPS NRYSPGIPMSGLSPTKHSPAQTASSSEVGVQPVVPPVQRLQPSPKLMGRTSPDAPIPA PMKSMAAETILTTNGMPQHHHQPRILNYSPPTSTHPISFEHRQPESQSQCSPRKST TRV_02617 MQFLGAPDQVGIGGKKKNEILGNDEKEKREKGERKEY TRV_02618 MLQSQAPHVFSHQHQYPQADSSWAQQHQQHQQHQQQQHQSHFQS QQPQQHPSLAAQQHAQAQAQAAAAAAAAQHQQQQQHYSRIGMTGGVNSNSQTPGGQDN GNGIAGNIDSGVSEENRKVLVWVAELLDPNRRESALMELSKKREQVPELALIIWHSFG REYPFIRHPRVQPYCAIYYMLTYFQGVMTSLLQEIISVYPLLNPSQLTAAASNRVCNA LALLQCVASHNETRTLFLNAHIPLFLYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDS SDVINFLLTTEIIPLCLRIMETGSELSKTVAIFIVQKILLDDIGLAYICATYERFYAV GTVLSNMVTQLVEQQTVRLLKHVVRCFLRDNNRAREALRQCLPEPLRDATFSSVLRDD AATKRCLAQLLINLSDNVVDGSNAGSGM TRV_02619 MLAKTIGRASAPILALFLQLRLVAGSPFSTPSPTNSLAARECSP CGYYGQACCTSGEECITDSNNQALCQPKKAGNTQWEYFTTTYVQTDFVTVTSTGSRPI SQPTGQPQCKAELGETECGSRCCSAAQSCNKDLVCVQAGGSPFPTAEPAPTPPTRPTS TKGVTITTIPATTTVPFIPAVGTDGSSVIGITKGSGGLSGGAIAGIVIGSIAGALILI FICICCCVGSCVDRVRAIFGLGGRRPARHSNYTGSSSYSSHHGWFGGGGSRVSSEKHK KKTGLMGLASLGVVIGAIALCLGLRRKKDDKSTTYYTGSSYTYTSSSSSSSSSSGRRT ERS TRV_02620 MNERTKVREKEEKISSWRRLEACWLVFVDVPSLCQPSSFAGIPA TPNHPNYAIRLHPGPAPGQGALLISWLSLQRVSLEDGRCSRRLNCVRRAAKTLVAVGG CSGRHRHYSQHSYQPLNIPASDSDAVSWAVLFGPSQPQTDSLLLQLGCLLAIIAADDA VYLFSSRRRSLCVVAD TRV_02621 MRFQLLVPLASALIAAAQVDDRLPPWCSLATNVGGATCEAFAHY NHLSLDLFEELNPDVECPDLEEGRFYCIDDLYEPEPEPDNNNDDEDNLSTSMTDFATA TKPGDFTTIITENPTANITTSIPTANLTSRPATTARGPTAVTTMMTPAVASSTQTTPS SAPKPEALVQAAATYSWLTISAFISYHLCRRIML TRV_02622 QFLAGRDADLAPLPGQRASNGQTWIETQDEDGNVSHSALTVDRF SARLQLSSSLPPPTVPIAWPASRRRPDLSISTATPDTPCASQVNNNNNNNNNNNNNNN NNNNNNNNNKNNNNTCSSGSHTTSLQEPAEGPHELLGRI TRV_02563 MAVRSLTTALSLPFVFDFTPLTSSDAVQNLRSTDASLFELLELF STDDLDAYEDFVKENPISSISALASVKTISPATTTSSASSEPPSVETILQTKMRLLTL ASLAAKAPSRSLPYNDIAAALRIEREDVEKWVIDTIRAGLVEGKLSQLKGEFLVHRAT YRVFGERQWGEVQGRLMVWKQSLLNVLEVIRSEKEKFKEAASAAAAPAQGSAEADRFS FGGGRGGGERRRGGHQSHQHQARDMELVAGGD TRV_02564 MPLSRSIFLSRSGSAAARHVLRLASGSQPPRLAVGQEAASSPFI RAYSSAPPPPPPNRNRNNSEIKVLPILAILAVGSGVYAFLIKSRTPKDVKPGNGKGTQ SAKLVKDYGFSHLSAGDLLRAEQDREGSQYGDLIRHNIREGIIVPMEITVTLLSNAMA AILEEKKKKDENSGEQTSRFLIDGFPRKMDQAIYFEETVCPSAGTLFLSCPEDVMLDR LLKRGETSGRDDDNIESIKKRFRVFEETSMPVVNYYEKMGKVMSVSAVGTETEVTARI HKEIESRGIVQPKDIIIKSSGMYLPLDIQIVYTGPVIEFTRECQTPPGCQTSSLKPQK IEMPIYGPTPMLRPPQTNKPTSIRIGLIETTHLKKKLRKYPYFDWYDMR TRV_02565 MEKHEIEEPSSIEHLDDQVKTISPKIELEDPDAHLSPEERQKLD RALLWKLDLRLVPWLSLLYLVSFLDRTNVGNAKLEGLLKDLRLSDGQYAAALTIFFVS YSIFEPLTNILLKRLKPSLFIPVIMVVWGICMTTMGLTKNFSGFMAARWFLGFTEAGL FPGVGYFLSCWYKRTEFGIRMAIFFSAAAVAGSFGGLLAAAIAKMNGVGGKAGWAWIF ILEGLGTIVIAIASFWMVQDFPDTATFLSEDDRKRVLLRLAKDQQASAGHEDFKMEYF WASIRDWKTYVSAFIYAGCVGPLYAFSLFLPTIIKELVSFSPPFTMCLPGHGYTSTTA QLLSVPPYAAGCLLTILIGLFSDRTKMRGLSNIGVSLIGIAGFSMLLGAKSPGVRYAG TFLGAMGIYPCIPNTISWASNNTEGVYKRGVSLGFIIGWGNLNGVISSNIYRHQDAPN FFPGHGIVLGYLIVFLFGGSVLQHILLRIENGKRIRGERNAWVEGLDEHGIEMLGDKR PDFVYTL TRV_02566 MSRLHPNDHTEGSEYSFSQDPVTPVDVVQPTRKQMVGFAAQPTT MENSTRDPPSESPFADPPAKPGSSDSNKQPNVSDVGFGYVSDNRPDRDAPGSSANAPN SPLKSAMKVPGTPGRFGNANPLSPIFREEQILEIHEKDAEEENAKDLKIKTRVRLSKI LLRGVNFSCSLIVLALLAQSFLIFRATRHLESRNGLTPWDPNTNPWPQILILVLTSIN MFLSIVVLWNNCRGKERRAEKVNVYYTLFAGGFFVFTVIMWVIAAGILQNSKDSGNGK DLWGWSCKDNQRATLFKELVDYALVCRMQDWTLVCIIIEIVVDTITIAIYAVVFYRFY SKRKLRKSMNVRDKARSDLYLANLRVQTAPNTPGFARSPAMKQNPYSAAENGQANEYY QPQYATPVNTTTNKPFQLQPPPSRSSNSIHNAPRAETQSPALEPGSTERVNHHVAVAP GEQSYGAVPIPEPYRSPSFAPQGMTLPNTPGIGVAVLSDNASHVRNSSR TRV_02567 MPLNDDAGEKVARLHSRQAQYDLQMDQIKAAVKTPMPPRRFNTY DGVSDSPHTPRGSVRGRESDVDGLGRAVTPMKRVPILANFEEWMKMATDNKINAANSW NFALIDYFHDMSLLKEGDGVNFQKASCTLDGCVKIYTSRVDSVATETGKLLSGLADSG NKKKQGQGEREDGAENGDEEDEEEEGEEGEDGTRRKTRKKARSHESTLAPSFASLQLK KFELEFSVDPLFKKASADFDEGGAKGLLLNHLSIDGQGRIVFDSSDDANANTTADSET QNKGNEETQGDGREDGSKSPSPRPATSDSSDDYLDVDIRGLAERFFPNLDILSDHDIC PSLKDFDLGDPSGSLEIPFLRAPEDWRHDKQQSEQPGLGDASGIVLDDDIAIGFDDDD DATITGFDLGGEAEFGQGGEAWARDAALEPMLKVHRISNIGVGDNNDTTIGFDNDTTE NDPFALSLSHGNRAHDHDNILSYFDNALRKDWAGPEHWKIRRMKDITATTTAPRQRKE KEPFEINFAADLEPAVAEMIYATSGSNSAISLPKSQWKTKGRNLLPDDKHFNSKELLR LFLKPKAKMGLRGLKSSNRSRQHDASGPNNGEMDEAFWASQKQSMDQAANDENAAQGT YDANFFADDDGLAFPHGLPIGDDDEDDNIPFADAREAFSPPLDSDAQPSTASGGMTGL NALLNSVATPGGALLGGYGSQLVTQGGRRVRPEYVNYARVAKKVDVRRLKEVMWKGMG ERLVASMSFNSASPSAAVTSTTTPADPAPESTAGETPPNEESEDLKNSLRFTDVMNGL KESYPEQAMRDISTSYGFICLLHLANEQGLVLKNRGSMEDDWEGRLEEIYVTKDIGAV IEGDA TRV_02568 MSLSNALFASLAGTRNARKRYSARGFYLVILTVLAVALGSLLVS RGKSAQGGLFMPEAARKTRRSLEPGTASWAIQDNGKECRLVHRAKHQCAFIRDNCEDE NIGLIPYLEFYYCGLSSAKPVGFFLLAAWLAMLFSTVGIAASDFLCVNLSTIANILGM SESLTGVTFLAFGNGSPDVFSTFAAMSSNSGSLAIGELIGAAGFITAVVAGSMALTRP FRVARRSFVRDILFFAIAAGFTMGFVADGKLHSWECASMIGFYIFYVIIVVTWHWYMG RQRQRLERDIAARAHFHIPQNQELEINEVPENDDPIAGGENRPLLGATNDDFGSLERA DIPTLRIEDEGEGEERDRQQLAELHENMRVNRPQANQRMLSSGGIRPSLVGALEFRSV LSSLEKSRSLQSGRIHLRSYSDDPFSPANPTAARPRASTTQRPEFYSYHDNDRFGQHG LSTSAQPRILSHSLDNPPGAHLEHQANLLCASPTSSGYPSRSQSPAPSVDRSRSPSRL APPRTVFQQPSYASGGPTPSIQSSISPQTTPPAHGRSSTPTSPGSSITPFPPYTDETG YTPHGPSSLRFQRSAQSLGSQYIRAQSVGTDIEVSGPRWWPHSFPIPKAIISALFPTL YNWGEKSLWDKFLGIAAAPSVFVLTVTLPVVETDSSEPEAISIPDQGAAVIVEHQVPS ITVPSYRDDETAEANPQELARPSLNRLRQDSELPVHPPCEVLGKEESPVKQWNRWLLL LQLYTAPLFITITLWNSLRPEHDPHTLILPILLSLLLSSGFAIILLLASKGSRNQLPK PLRPFVAFLGFTVAIAWVSTLATEVVALLKLFGVVLNISDSLLGLTIFAVGNSLGDLV ADVTIARLGYPVMALSACFGGPMLNILIGIGVGGLYMTLQPIQNMQSASITPAVSAAL QPYPIEVSKTLIISAATLMLTLLGLLIVVPLNKWRMDRNVGFGLVSLWVISTVINVVL ELVSV TRV_02569 MYSFPTGQPGQQQQYGGQQQQQYPGFQQPQQQQQHQPGPFMPQP TGYGMPQLQPQATGFPGALQQPQPQQHQQQAPPFQSSLQPPQMTGYPTQQNSSLQVPQ PTSQAPQPTGHTSSQMAQSFQNIPGQAPAAPARSAHAGSKIPPMRLSFITVQDQAKFE QLFKSAVGDSQSLDGELECCGSAMLRVLSDTTKSGRLMFPEFALAMYLCNLRITGRDL PATLPDRIKNEVSSMVDIISFAVPDDHPPQAPRSNAPNFDQPLMQNTSAPPAPQQPQP QQPSNSQLLSQLTAQPTGFYNQATGFQPPSAMQPQPTGFPGGLRPQVTGMPQNPQATG YSGPRPPMPPMPASFTAGLTPGHTGGAAPLTAQPTGMPGQWGFVNAPATGLPNIEALQ QRLMPQAGRETGTFTTAGLSGNATIPWAVTKDEKKIYDELFRAWDGLGKGFIGGDVAI EIMGQSGLERQHLEQIWTLSDPNNRGRLNKDEFAVAMHLIYRKLNGYPIPNRLPPELV PPSTRNLNDSIGAVKSMLSQDAEARKSSGAFLQPQKTGVSYLKTHSFNNSGPGGAGYP RKDATVFKNNDDAVGYKSSARRRIGAGRTPSPATSMQSEKSDDDLSVEQLKKKIRETK IMLDATDFRDESHAEEEQAMDRRDKREAESLMDRIRRVQDDIDTDPKASLRNLDSSAE RRSMRRQLQAYQDQLPELASNVRKTERAIADCRLELFRLKDAKEHPGSALEIIGTGPG GAITESDRIKARARARMQARAAELAGRPAPAAADEGAAARRLEEERSKINSERERNDA MTRDVEESVKEFSASLEDSLKGDNENSTREHERRRWEEALGVEDQIRDLIYDLQRNRK TAKIRKEEERERASREASSANQYGSQPEIQSRPSPEPRSSSQSPSLVGTTHEQRVAAA RERAQRRIAERMAAAGLKPADAGESFMERQEREKREREERRLRAEEEDAKREQERQRR LESEQAPAPPPAKAGPKKAPPPPPSRKARSDSAEQNETKKAEEEALAIRAKEEQEAAL RQEQQAQEAETEQLKDETRRQEEELAREKEAAQARLKALEEQVRQGKIKKQEQKRRKQ QAEQEAREKEAKLAAQRAELEAAQERERELQRQLESLGDEESSSDDEGPGFVTPEDTA TPTQSQVIEEPKATIPSPALPEPVARPPPARHIPEIITEEDGTQVFRPQSPEPIIHPV MSKPIVPETESRNPYFRQLSQSSSEPAAAETPAPAPAPETQSTNPFHRLAQDNAKSAF SVGTAALPGPLERKSRARPEEDDDWSVAESENSSDDDDRAAGGSAKQLASILFGTMAP PRPLSAMDEPQDSKAATPQPTHSPIPPPSAPPMPASPIPTEQKDEEPFQEAQETPSMP PPAPPLPDMAAPSAPPPPPPPPPGGAPPPPPPPPPPGPAPGGGPGGPMGMGRGALLGD IQAGKALKKVVTKDRSTAMSAGRVL TRV_02570 MVDDEHSSPSSWDLVRRRLKSRLILVSDTDSSLVTNSYELPSNK ALGVPSTAIFTFNKEDHTLGNLIRSRLLQNQHVLFSGYRTDGQITPKEAVLAACHDLV KDLGTFSREFTKEYELRKMVGAGTQQNDAPNGI TRV_02571 MDLKGLIFGHKNSSKAAKQQSSQLFLPVWSIHLAAVEDVSISEK RRKYQKRRPRKTQEDSADCSSTRG TRV_02572 MPSLKKEYPWIQEPLIICPPMLPVATGELAAAVSAAGGIGFIGL GNNIDKLDAQLSLAAHHLQTTHAQTSLQTANAAILPVGVGFLNWGVKLEDALPSIKKH VPAAIWLFGAARETMTALYGGWIARVHAETNGLTKVWVQVGSVADALSVMDVSDAHRP DVLVLQGSDAGGHGLKKGAGIITLLPEVHDALAEKNIGIPLVGAGGIVDGRGVAAALC LGADGVAMGTRFLACKQTDIMKGYQDELIRASDGGQTTVRTTVYDRLRGYVDWPEEYD GRGMVNQSYVDEQAGMSDEENRRLYEVEKTKGDAGWGVKGRMTSYAGTGVGLVKAVMT AEEIVRQARDEARRVLKLDV TRV_02573 MRGLDRRLHLLVFLVFVFIFVFFIFVFFVVFVVASASSFAVSRC IEEEEEENQSIPAGLDFLLPFGPFRFFFFFFCFFCFFLDCVFVLRRRLFGLASCYLFL ISALVTLIIFIITAIINCYSLRSIFLLPCRLRHSSRLVEAPPLLCLFFFFFFFFLFCY LSASSASSLLSSSAASLSVVAQPACLCSVMSQIEDNNSRQTAENTEEGLEKRLKTTDR EKKKKKREDMPIKIPGFGRRKSGPVLDETPPPEPSFRVFERPPHRASHSFDSVSAFKK AAAADDPDPDNIFAGIQKPAPASSSTSHVPGTAVSSRTGKLAGSSNGSSSKPYDSSSA SSARYSSSSTLPSSTDHSPHDAIPVPPIPESPFSFSIRASGRTFSFGSKASKTSTPRK SESTNRERAMTNSTTSTATPPKLMETDLSLEGSGEMGNIFEGVGVDKRRSRVLENIPT NIPQPGRPAPSVPAKDKRVVSPSPLSPLSSNHSRNSRDSLIAADDFALSQKLANQLQN VELNESSPNNARATTAAAASSMPNSRSTPNIYSSTDRDRFRDLRDRDRDPEVSSLFSS DKDFSAVPRQPYHSSSSFRKNMPPSASMNSHYASSLASSKTGNKVMTPAQFERYQQQQ AQRAPSEPSSDEEDEIESEDDEDETEKRKQAAAQRQKQEAHLSVYRQQMMKITGEQKK ASTNGPAGSAESVAGNPNRRSVLDPMEVANSNNNNNTNNNLNINTASSSPGVSPGLPP GVGLSKSSPSDGEEDDDVPLGILAAHGFPHRNRPPTHLAASRSNPNLNASFQAGAASI AGMQEGPSKRSTLPVFARNLPKDPYYGSSLVNPSHRESLALGGGAPGVNLNTVNLGPP GLPPGGLVGVIASEERARAMRRGSPNAQGVYDLSPAPQVHTNFLAPQPQMQMGGLLGQ LQQHQQAQAGMVGGMNANGLIGGAGTINPHHHHHPYPQAGSVGGPADQTQMQVSQQMT NMMQMQMQWMQQMMQMQGAQQQQPPPPGGPMPNLNMNASSASLLQPPNPNQRPFSMAS TSTPSQFRGPPQVDHRTLSMLDPPTASVYQNPGNRASFLMTPDMSGPSLGMGMGMGMG MGGGLGSGPAPGYTPSVAPSERSNIGAASRYRPVSTIAPQANGANRSSTFTGHTLRPW AEERPPPLGQILANKSNTNLSATTPSTSETTPTVRPVSSINRRASPMGLGNGSRGSIA GLPTTPGTGEEDEDDEQGWAEMMKNREKKKTGWKFRRGGASGHDGSLGDYVHPTAI TRV_02574 DVSDDDDEDYEEAFNQARVPAPGQLANCELCDKRFTVTAYSKTG PDGGLLCTPCGKQMAADEKKAKPKPKRQKARATRQMNSNLLDGIAQRGAFSLLEMCIR QVANNINDVEEFGDLPGELRLRLSQILSKRRVLTPRTLGLFLRSDVNTIDIFDAAKLE EEDFHRVFATMPFLERVNLCCAGQLKDGVLEYVMSRESHIKHLILDATNLVTEDCWRR FFQTCGSKLETVKLSYLDCAFNDETVEVMVSSCPNLRRLKLTDCWKLTYDCLKSIAKL DKLEYLSLDMRHRHEDSSHPDDNEANERDLESLNALLKARCSGLRTLSLKHFKPMRNS SLAIIHETARHLSKLRLSHNENFTDAALASLFRDWPNPPLTFIDFSSNRSLEPISLMP GTGNGDNSDDEDDTPGLGSEGFRAMMLHSGEKLEHLTISSCRQVGFDALEEVFGEGQT YPHLREIDLSFHTRIDDVVMRRLFKACPALRKVVAFACFNIVAVQPPAGVALIGGLNA HTL TRV_02556 QTIPNMSSPSTSICGCENSASSLASSLPSKGSFDFSILASINKP LNDHWKLVEACKHPLHSHALVSAAIYISETIFSLCDAACISYGLFESGVAASIDNNKT LMTVYSNDDDTTPLSWKCSKSPMLLGKFALQGEEESLLARQIICGVLTNLSNLLREMG SLDKTSGSPSFYGRGDGQVGRILSSILSLLGKVSCE TRV_02557 MLGANRVQFLNTPEFLSAGTGEDTNNLNHDIFPSLDSLLDISLC ERGLDTCGIPNEPNNSWQGSQQTTYPPGSFPDTLNDKFALDHGVSFPPLSPGLSIDEP PQLSTRSPSTTNSNADRDCSGRCYVMLLQQLLFLHRSLPEFSRPSVDAILLVERNLYR NVSGTLLQCTTCGSNRSTLLLLSTVIERIVLMFNWIIEKKTLMDSKNARLSRLALCSW AQNPSVPPPADSFQRTFCHVPLMVGNVEMDAKTKHTFMKPLIILRIKKLAAMLQELSR ITASRSQDCLCRAAELILMDCKQRLDYLRGQVQTWE TRV_02558 MVLKPDTTTSKGLDDTEKGACSCSDTHQADERSSPRDIHGTLWL IVVLGIFSSTFLFGLDNTIVANIQPAIVKSLNGVEKLAWSGVAFIMASSATVLTWLQI FNQFNIKWMYIFSITVFLAGSAVCGAAQTMDILIGGRVICGIGGVGQYVGVMNFLPRL TSLQERPIYVSAIGLTWGAGTVLGPIIGGAFADSSAGWRWSFYINLVVGGLCMPVYLF LLPSLQPQPDSKIRVMDKLKKLDIVGSLILVGAFVAGVIGLNFAGALYPWNAPGIIAA LVLGAVGFIVFGIQQSYCIFTTEETRLFPVELVSWCKPVLSLIFLCGCCTSVCVTIPA YIIPLYFQFTAGDKSLESGVRLLPFVCLLVFSCVGGGILASRAGYYLPWYLAGGALCL VGSALMYTVNPGTAPGAIYGFSALIGLGSGMYLQLGHSVAQAKASLDKIPAAIAFTTT AQLNGMTIALVIAQCVFVNKAATGIAKVLPHESHSTIIDAITGTGSTFVHDLDPHTKA AVLAAIVSAIDKTYILCIVAGAATVLSTFGMKWERLFISAVAA TRV_02559 MVSQTFFLLGRREDTTRKVEMNGPDSLNAILPGIAAVYGILRPE GIILSNPKLNSSMLPRADPITEIRFHNEHEQLDSIEELIQCNDAVGISINGHPVREPQ QPPVISEFGNHFEIYPDHIGNHQRLFNKYGSVIRTDNFGRVTYLANDPDITAIAFREG EYFTKAPSTLNHPLYRIRDQTALFLCDTDAPAWKDAHRYIPPSMTPRAVRHYTPLLQR SVEASFRVLDIFDKHGEAFNVYQFTAKLASQIIC TRV_02560 MDEHCPGYGFDDEERVRLIAKMRELLQTVSTSSALWACLWLSDL HRLERLVADASSPSNRTLDALSIMSFDSITKQWLQLPGSGIETSSKKPSPATKPGSLG REAAMNRIALTASSTGAAADEPAVQAPQTPPRAAKRPRLQSRSPSPLGSGSTASRSQS AARDCRERDGDRCTITKAYHPIDVAHIYSYSMRNTTERGPGHNFWNLLSYFWTDEHVN SWYESVFPRGTEVVENLLCLAPQMHRYHGSSLFALKPIHISDDKKRLLAKFYWLTSHQ KSDSVDISTVPTLPSNLTGRGDMHKAWNIVTEKKIISGDEIVFETSDPENLPLPDWNL LDMQWTLQRVAALTGAADMLLDDSEDDDSDDGGIEWDEGPTVYSQEVWEEDRAGLRNA LFNPPPIPQTKIMGHS TRV_02561 MNVLLKPCLPPNQKAASEACRAISLDQAVNYLSFFLGVSTAVVL ALPVIYFFGRNQSPPASSDTCLCSPELDDDARKGDCEVDGDALSGFITLNESSTADLH AKLKWNRAVDLSKFFIRWGRKYPSDRRRLEEERSRKSQTCRGSNTPNTRQIQDAFEVP TEIPVVLRPLAPEVHTLLQKYGCERDCLSSSSDDDKVSVRFALSRALRNGKVLWGHFS RAVIQLDERTVVKLGHNLLLTDADITAYIQSLTNDIPAPRPLGAISIGKTTYMFMTFI EGSSLDKLWSSLSTEEKISIQDQLDVILEKLRMLPLPSHFLGSGNPPYCIDCRMWKRT SPQQIENEAQFNEFLVSGNHPPTMGPYIKFVHSMLRSDHRIVMTHGDLHPRNIMVSKD RDQSIRVTGLVDWELGGAYPEYWEFTKSLNTMLPVRTGDWVFFLPHKGMGKYFAEFAI DQFVEKLVS TRV_02562 MTFPRRELPRLNELLIPDDQRKSTRKHLYNHIIDSYHLHLSMPA VTSSIHLPIATYPITWGDNSMPVLGLGRFGCVVKLGEDRVLKRPKTFPEIHDPDISYI NEANIICLANEANVYKRLGRHDGIIQCFQTSSYSIELAFANQGDLFTYMQNNPRPTEA VIVDWIRSLAETFAYIHSRRVVVEDIGLKNILVHNNCLKLVDFGNSLLLPMDTDMECF CIQDITPRLEVLHLGCVFYSLATWIEFKYDYIDPNHMLKPDQCPKTAGIIGESIILKC WTGGYASMESLKKDVKALLG TRV_02534 MLSESLKFYGKGSRAMLSIGLARMYQHIQAIGHRWLYQATPSPK NVVVLGGSYAGIHLAQRLTETLPTGYRAVLIEKNSHFNHLYVFPRFGVVPGMEQSAFI PYTGIASHAPAGIFQHVQDSATSVTGNTIELASGKSINYEYLAIATGSHQPPPARMKS KDKEDACAEMRVIQKQVQNAKRIAVIGGGPVGVQVATDIKSFFPAKNVTLIHSRHQLL PNFGPRLHGHILQRLDRLNIKSILGERPQSTTEAVDGTAPISQELSLRFKNGSEEIYD LVIWCSGQLPNSSILSKCFPSAICKETGQILVHPTLQVNNSPGIGNKHIFALGDVAKT DGPRMGRACQSQAEIVASNILTLIKSQDQLVTYRPSIVDRVIKLTLGKNDYVWYVKDD NGRELMLTGKGGGTDLSVGQAWSKLRVSPRDITGH TRV_02535 MLQKCELDETSEARAVYDKCKSLARAIKAQEIAKHNPVANILDH VPPRNVAEPLVQAYLRTFESIFRVLHVPSFLRDFESHWDNPGSSSPDFIVKLLLVMSI GSIFSPARSASDTSYSTISQWIYVAQSWLNSPFEKSRINVSGTQIHCLLLLARQANGV GGDLVWVSAGSLLRTAMHIGLHIDPSHLPNVTFYDQEIRRRLWATVLEIVVQSSMDSG GLPLIHMQDIDSELPSNIDDEQLEGANEIIDATHAKPLEEYTMTSVQIALVKSLPLRL GIAQYLNDFRSEHSYEKTLHLASRLSSFCRDNYLLFQSFPTGMPRPASFQIKMLDLLS YRFLLALHHPFAMKARADPTLYYSRKVCIELAVSLLAPLSASNDTESSNDNYTNLKIS GTGLFRDVPVMAISTICEALTYQLEEERSSFIPVSSLFSHQNLREIIEEYVHLLDMRI KHRETNIKGYVLFSCIFEHINAVQASLPVEQAIASALNRSLDQCYYTLKTRAMAWGIN MSPDIGIDTPGHTQLNDRTLGTHDWLIDDGMVKFAFIPVFVVQVN TRV_02536 MVQCTQASSKLELSLNNPAKDQETAAIPNQPNQASNGDDYTLDE FPEGGARAWAVAIGTAGIAFCTLGVINSFGVLQGWYQVNQLEDRTASEISWIGSTQAF FVFGGGVIGGPLFDLYGAKVIRPAAFLYVVSIMLTSVCKEYYQFVLAQGILGGLSNGM TIFPAMSAGPQYFNKRRAAAMGIGIAGSSLGGIVWPIVLSKMLNETELGFGWTIRIVG FVCLALLLPSCIAVKARLPPRKNQFFLWSSFKEPPYLYLVAAGFFGFLGVFTPLFYLP IYAYIQGAGVSLAFYLAAIFNAASFVGRVVTGILADKLGRFNMFFAATISSGLLTVCW PEVHTNATLVVFACFFGFCSGAVTMGMAVAFTSIPKDPRNIGTYMGMGMGIAAIAALV GPPINGALLSGRGFASMAYFSGSVNFLAAFLVVIAKHSTTKGIFSNN TRV_02537 MAERLTSTHSLATVGLDNVSPQHFEVLQQAFWTILFTDLATETF AQVVDGRPTRDVYRDYFNEFRKSFENNIHPSNAALEAVESYRRNLNLGNTQISAKLAQ AFQNAKPGSREFHLRLIEILAVLCHGIAVHLYQAYDGGFYRPEPPDPITWHEELVPNM PPPPPRKALPAELYHSSYGSWGQYPNGVADIVGYWVEYRLFGGVILFDRGETGFEGGP QPPGGIRFKAEEYARRVDDNYAMDINIYRDRYERIIPKRRARCIIRGEDLPGHAQAME DWARRTGNS TRV_02538 MGKPPSIIIVVRHGARLDAADKQWHLTSPTPYDPPLTYGGWKQS QALGVRIGSLLHYRELYGCLPSTLSSSDASQESSHHTIQSPPSAALPEQERRKHRIII HSSPFLRCVQTGISLSAGISQSKRASADPSPHQRPQPGTPPPSSHHRWRSSSPRPQHQ GSPQLTAIQEPQELAGEANSQKPRTNLSHEKQCLLRLDAWLGEWLTPDYFDQITPPPG SVMMLAGAKASLLREEDSLPSSASTRSARGNFPGGWNGFASANAAEGKAENTPPPVSA HAFGGKMDTQSSSTDRTASIQGLPKLSTVSSQPHDDTEYISPTPTYAISPSDPIPAGY VAHARDACVDVDYQWDSMKSPLDWGSGGEYGEEWSSMHRRFRAGLERMMTWYKTADCN KSKRRLSHREECQLVSNDPPATADTTEDDDSDTVLIIVTHGAGCNAIIGALTNRPALL DVGMASLTLATHKGSTADNVVAAQQMQRKPSPSEVSEEYQVKLVNSTDHLRVSNNNSA QSPSPRGFPSSISSYRHRFTSLSSVPDSPDNGFSIGPSRSSSFNGTTRGLHNLSRSFS VDKHAAPGLWGSSSPANVPVDDIMPDFEGSAVPRGLSKSIPKFGFMAVTDSRL TRV_02539 MQLVAALAALGALVAPAVAYPHAPMNETLVDVQLTAVGNTMVKA TITNKGDSVLNMLKFNTIMDENPTRKVMVFQDGAEVPFTGMMPRYLMSDLTEEFFTTL APQASVEHSFDIATTHDLSAGGKYVISASGAIPTAEEYSTTITSTALYESNELHMEID GTQAAAVEQAMKFTPEMQSIHSRALQKRTKIVGGSCNQNTLRATQNALGNSARLAQAA SRAASQNAAKFQEYFRTNDANAKQRVIARLNSVARESSSANGGSTTYYCSDTVGGCKP RVLAYTLPSRNLVVNCPIYYNLPPLTKQCHAQDQATTTLHEFTHNPAVASPHCQDYAY GYQQCISLPAAKAVQNADNYALFANGMFYSLFTIIF TRV_02540 MANHCQSKLTTVGLASGHFHADEALAVYLLRLLPAYSTSPVIRT RDPGQLATCHTVVDVGGVYDPSQNRYDHHQRTFDTTFPQHQTKLSSAGLVYMHFGKAI IAQHMGLSTEHQDVSTIYEKLYADFIEALDAHDNGISVYCPKAISNAGLEKRFRDGGI NLGSLIGDMNLCGPGENLDEDALFARASTFIGEAFSRKLHAASSRWLPARATVSQAHQ SRMDVHPSGKIMLLENSGIPWKEHLYRLEEEADNVTAGTADNKVFYVIYPESTAEKSN WRVQCVPVSENSFESRKPLPESWRGARDSELDSIISKEMEEKQRERIPEGAIFVHASG FIGGHKNKAGALAMAAESLH TRV_02541 MPEDTRDSPQTVASGGTSTFDSGRRGTPDLRLLHYNDVYHVEAS SSDPCGGAPRFQTLVNHYRSDPSFSGQPSILTFFSGDAFNPSLESTITKGQHMVPFLN HVGTDVACVGNHDLDFGIAQFRHLRSQCKFPWLLANVLDPALGEGEPLANCQKTCMLV SSNGIKVGVIGLGEREWLATINYLPPNLIYKSASETAKELVPTLREQGADIVIAVTHQ REPNDNKLAEKIPPGLVDIILGGHDHYYSHSFINSTHVLRSGTDFKQLSYIEAWRKQS GSGWDFNITRRDITRDLPKHLGTVKLVDKLTSALKGKLHKPIGYTVTPLDGRFITVRT QESNLGNFVCDVMRCYHNTDCAIMAAGTIRGDQIYPPGILRVKDILNCFPFEDPVVVL RITGKALWLALENGVSELPALEGRFPQVSNIKFAFSMSKPPGSRILWVKLNNEDLRDD GLYTVSTRGYMARGKDGFASLLTRSEGGEAEEVVSEEDGLLLSTMLRQYFLSNKIIGK WNRLSPSLDRHWMYVHKKLKSEELTPPASSSTSTPTNILPETSFRYISKPGATQGASP VMTAAPVHQQPGAATLGRIGADTPLDSESDSHSESLFRPRNYVTSHALSPDDADHREL VARRVLRKWRKKLGVDPNRVKTVEQGGKDHLPPWTRAIAPVVDGRIIRMN TRV_02542 MASGASGGFNTIKVVARFRPQNKVEIANGGEPIVEFESEETCRI NSKEASSSFTFDRIFDMNSKQSNVFDFSIRSTVDDIMNGYNGTVFAYGQTGAGKSYTM MGSDIDDPDGKGIIPRIVEQIFTSILTSPGNIEYTVRVSYMEIYMERIRDLLVPQNDN LPVHEEKSRGVYVKGLLEIYVSSVQEVFEVMRRGDTSRAVAATNMNQESSRSHSIFVI TITQKNVETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINAL TDGKSTHIPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETLSTLRFGGRAKAI KNKAKINQELSPAELKHLLKKAQSQVTTFETYISALENEVQVWRTGENLSKEKWTTLR STDTVGNLKADARTPRPGTPSRLQSDMSRSEAPSRPESRFGERSSTPSIVLERDEREE FLRRENELQDQLAEKETYIANAEKEVQEKKEELKILKENAVRTGKDNEKLNAEVNELR MQLEKVSYESKEQAITMDTLKEANSELTAELDDLKQQLLDVRMRAKETSAALDEKDKK KAERMAKMMAGFDMKVDVFSENERKIRNLIERVDSLHATSSAGEAVSPEEFVELRGSL VEAQGVIRQAELAMTSREEGFNGAFDGKLLDKIAVLQQEVDDLLEQNLGEADISEIKE KLSQAYSEGNELEKMAMEHLRRELSLRDEELTRLRQSVADSTARSQPNGSSASAGGSA TINSKTLQQQIADFDIMKKSLMRDLQNRCERVVELEISLDETREQYKQVLQSSNNRAQ QKKMAFLERNLEQLTHVQRQLVEQNGSLKREVAIAERKLIARNERIESLEALLQESQE KLTAANHRCVLNQYHKYIITKEANLSFSSSTHRFEVQLTAVKERLEAAKAGSTKGLSS DSAGFGFGGSRIAKPLRGGGGADAPSNSTLSGVQAQDSSTSAGPKRSSWFFDRR TRV_02543 MMHTSRLASLASRGSAQRLGQVQRHFSTSGALRREIQDAYILGA ARTPTAKFNGPFTTVPAPKLGAEAIKAAISKSKVPVEKITDVYMGNVLQGSVGQAPAR QASIFAGLPTTVEAVTINKVCASGMKAVVMAAQNIQLGLAEAQVAGGMENMSRVPYYL PRASQQAPFGDMKLEDGLVKDGLWDVYNQFHMGVCAENTAKKHNITREEQDAYAIQSY ERAQAAWKENKFDDEITPVTIKTKKGEVVVNRDEGFEDLRADKIRSLKPAFVRDGTGT ITAGNASTFNDGASALVITNEALAREYGSGNRVLARIVSHADAAIDPVDFPVAPAKAV PIALSRAGLTKDQISVWEFNEAFAAVIKANEKILGLENACVNPVGGAISIGHALGSSG SRILTTLLHQLQPGQYGLAAICNGGGAATAIVVQRLEKVESRLLRDLK TRV_02544 MRQIFARVRPVPGLLSPAISIDVTILNDTGSNTLTVFDTDITAL GIPPTYMGYGADVLITTAGGTLRRRQISVEIQLLDLQGNAVSDWILEAGIVTPATAGA TRLSGDVIRQSLYFATAPGNQHLYVAEKKNGIIKQLPVI TRV_02545 MSTQSVDTLLWYVLQREKYSFTPSRQKRTTATKYPKAQASRKRR AESPQSEPDWLTDVQPLKTLVRKSDRMDMSDPWWQSAFVEKALAKAKSSCESFGMSQE TPCDDNSKHDDSLNAFLWHKELEAADVEASEVQSNKKWLDNISFQRENYRDSCDTLGI NYSKPRIAGMRRSVELSHWQPVAMQALVEFQEKAYCQTSALPTISVSSLLLV TRV_02546 MQTDPPAGVSASPIADNVMTWYSSCAQPYCYCMAGSVLILMSRN AVIIGPADTPFEDGTFRLVMHFEEQYPNKPPGVKFVSQMFHPNVYGTGELCLDILQNR WSPTYDVAAILTSIQSLLNDPNTSSPANVEASNLYKDNRREYTKRVRETVEKSWED TRV_02547 MNGARGGKSHRGRGHKRNHGNSANHALPQVLPYTGGAIPLQDAH APVYSSNLVKEEASTQYLDKQGPGLMNTLNGGASWDEYHGHGYTASQDELPFGHATSS HVHMQTTLKQRVPHSASPQGLGQQIISGLLTAMPFVMSYLLAGPIRLGTAKSETQSTK TSHSLRFAGIPLVVSCAYVSVALLVHGIYEKIRQGRVNGNAGPTDGFQSNRSSLYDGM RTAIWMILPLYASFMIGFDVVIAVLLLNIFTDSRTGSSGNTLTRTIRTLKHRKVSALF IVAMAVLDITYISSAQPLEVFKGYMAIAATITLPSPGSLLTLTDTAVDEKFASRKDNI DDTATVNLVIGTVLGALVLFVVLFSGGFSAVSLSFLPVFVVGLFTATSQLIYGIDATG RPSLPTIASGAIIAIIATFVTSQTHIIPLQATRFGLACLASFADCKMVHNDNHSQEKM EKKPSRMTIWLLGACEQWPFIHAILKERDSRRIFYFMNLNLTFMVVQLTYGVVTGSLG LLSDSIHMLFDCFALAVGLAAAVMSKWPPSSRFPYGYGKIDTLAGFGNGVFLIVEIIY EAVERLMSGSEVHRIGDLFVVSSLGLVVNMVGIFAFDHAHHGHGHAGHDHDHGHGNEN MHGIFLHILADALGSVAVVSSTVLVHFFGWSGFDPIASCLIAILIFVSAIPLVISSSK TLLLALPADVEYSLRDTLAGVSVMRGVVGYTVPKFWLDDTVHDHNHKHHSHSHSHDHD HGHTHTHTHNSHQHNRGGSHCSSHHSYNSHSDNHSHTHNHAHGHTKCGSHSHSHGPVD DHGNRRVLGVIHIIASKNSDLSDVHTRVADYLSEKGMDVLIQVEREGDGKCWCGGGK TRV_02548 MTLVLLLSILAIGFSSVAFIQLLPTRREKKSSETHDAVSVQIDT IVCLLWLPRSSSNSPTLNRVADSTPNQELLDHPLISIVALPSPPALLQTKKKFLFPVA AILEVLQQAWHLWTALGYRTGPAHWILVQNPPAAPTLALALLACHLRHSRLIIDWHNF GYSILALKLGSAHPMVKLMAWYEKAFSCYATAHFCVSNAMARILREQFEIKKPLMVLH DRPSSAFSPIFDEKRRLAILSSIPETSQSATDIIEGRCRLLVSSTSWTPDEDFSLLLD ALCRYSTSAKSSVLPSVPLLVIITGKGPLKDMYLSQIDKLKAEGKLFNVFIKTAWLSF ENYAQLLACATLGVCLHTSSSGVDLPMKVVDMFGAGLPVVGWDQYEAWPELVTEGVTG LGFDSADKLSGLLKSVLGGDGSALKVLREGAVKESRNRWDQTWDPIAGTFLGLVT TRV_02549 MLEPLELRSYPSCDNCLSWSADGEIAVASGEIVYVLSPKPNREE KQQDQAAQRSSGAQWDISKLKTNAFTLDELPLLLPEPGATFSIGEEQSMSHAIAVAWS APRLAAYGKCMLAVLTSNLALSLWQAVDGASKWNRALVVNHALRKYFKTLTGDNGPLL RRKQRIRAFSWNYFAPNKFNDPDSCHNSGINFLVVSNDTNDIVILRVTAPSYGQSASA VVLTHYSASSEGICLSRSIEQGSVFSEYTAKRATITRVSSSTWLNKPMLGDHGAADLR IWSSLIAFMFGSELAILRLDLDTISMNTEITIKRIELLNLEWTLDYTTTDRDIKGPLH WIETAETSHNLGLAAGIICGFVILTIPKNIWSESILRVDIHEEKFDASLAKWHPISGF TSRVGDTSNSSDLYILTLSSLHQLEFPSMRSKIQPLSNGDKFSQDDYLRRNVEGCRDR FDLDYDLGGMSTAKTWGLCSHRGWIATCATFHPTDMVQHTTSSQERTTILFAPPSLAR SDHTELGLPWHMPAITPSSIQHSAGKVIAFTLANKQPQSPDDLWLRKLLYAAACSTIF HSALESSSHAKEVLQYLAHESSLDLGLEISLLEDLAEGSNKLNAVTIPPKSSEQLESN GAGIFEQCDICGGGIEWFSPVESQCVGGHLFARCALTFLSIQNPSISKRCCRCGRECL DNTSEALGIEGTKGLLAKLLERFDECPYCGGKFKA TRV_02550 MASKVPAAPVPAQKEPEAPSTTTNTTLAQVRSFVAGGVGGVCAV VVGHPFDLVKVRLQTAERGVYTGAIDVVKKTIAREGVARGLYAGVSAPLVGVTPMWKT LVRTFSDVPVRNNTPQFTIAQISAAGFFSAIPMTLITAPFERVKVLLQIQGQHPPPAG QKPKYSGGLDVVRQLYKEGGIRSVFRGSAMTLARDGPGSAAYFAMYEYVKRSLTPKDA DGNVTGELSLPAILTAGGAAGIAMWIPVFPVDTVKSRLQSAPGKPTIGGTIRSIYASG GYKAFFPGFGPALARAVPANAATL TRV_02551 MRLKNIFRRNYTESNWRKPLPLIESPIASEDEILEKNSHGSHDG AHPDAPHPGEADNLSTHEGPVLKKNHEATTMELFYDLFFVATLAIFHATHEINTSDTF ITILWFCWLQAALFDIRFYTDSIISRIFKALHLGIMTGLAVLSVNFSVTNILEHRGRF ASMCYLLMVSRFILVAQYTTVAWYLRGHKQTFTAKFLVIATLFISATVFLGLGVSAHS IQSPRVHIAWYVVIACEAVFMIAISSFWKVLSFRGTPIVERFGCLTLIVLGEGIVGMT KAIKSIALGTSTISGTSVSLVICHVLIIYFVYMLYFDQIDVKCFGTIRQQIWALFHYP LHVAILVTSEGSRSFVLYDVAKRIYENALVGLMSKVNRVQSAADLVQGLQEIIVDISS KLKSTDGKPDFTPIYKKLLSFKEFSIASEEVRELLEEFLAESLVWIMHTFGIEVKEET GGKTPKVGKEEGKAAIQELVQISSAATIVFRFFFTAAGLTLVLLAILHWFSKSHKSRA EMLSIIVTTTIGVFLSLLAVMSNFDSAVKESALGNCIDSAWVTPTVALTYIGGIV TRV_02552 MKPKGWRSSVPVKRSASSSNNTEGDPDLVMEDTEELHHLLVRPS YESIYADQLTRVGHKIDPDLRLFLASIIAPDEARRDKEAYLFYWVTYVADPDNLVDTD DTSHLLLFHIVIGAVIMIVTSLSHRY TRV_02553 MTNSDGLKDWDSPYSFFNPEYHIKNKDGSVRVIDGGLGRFLGVW NASVNVIYSYVAVDIFAATAAESKSLADSECMKMAARKITLRIVILYVLAMLTCSFLV PYDHPFLNGEAVSLATESPFVIAVVEAGLPAAGEFFNGMYLFSSFTCAVNSVYVASRV MHTLALRDQTGPEFITKRLQQCHAGVPTRAVLATVAILALGYLGPGKGPGDRLRELSS NCTVSFLIVYGIICATYLRFYQTLQEANSLGNTAESQNGVYDRQNPRYPYKSHGQWLK ACYGMVSCFILLVFNGIPAFLSDPFDTRAFVVSYISGFCVKQ TRV_02554 MISPSHIIGALVRRGLETAPQHLAKREDVKRVVFSLPFAAFTVL TLIFIVGLIAIDYTYGRVVTTLTIIEDPNPPAAASLPSYSDVVEDGPKGATIDKKQQQ QPLGQPEIEALPTAYKPITSGLRSTTKHLRTRGGPRARFRGFTYYVALTLTRGLLTGL VGFMGAVAPVIADVAVSTMILAWIHAVIAAPSSKSWCQRFPSIRKNWIKIAPAVFIST VASQLSFHVPVAIGAMFGGFRYDGRGMYSLAEPRPIYFAHAGGAVVLSLILGLVLDLP ASVMMVRVAASLLPADDETIVPFDRTFNGKVTSVDADGAGLVGILDAWKTFSWASFRR LLMNLVKTCGLIAAACLVYGIVGGVGLKWYFDISISHEDRYPAISQVGFDCRQLQYRA KYQLLLSGWKELYIPSYTFLYYFCITLCTTLPSRSFMPQAHMCISANAVTCSHGVLSN PRVTRQPSTPHTVADRTALLTANLQTVLFPHLSLFCSLSSNPAILTLQVNQRSTYSLH PAYLPRAYGVPNEGNPPPDLLPRHSAPQNQHQNTEAPWKLAIVFFWLSASENQPDRKR KPGEEKKGYQLKKNKSGEEKQSEDGGVGSRRLAEPPAQADADGQGHSGDQLWAGGRQQ RV TRV_02555 LSLSHPSSRLFFSSLLFSLSPFLFSRQVQLISLHRQFLSTKSRL AFNMKASVIVSVALGASMGLATTLAELPGCSQACLSSMLGKASELGCPPHDPGCLCQH PDFTHGLRDCTREACPGENIDDVVKKGQEACKEMGGAPGSSTGAPTTGTGSGTATGTP TSGSGSETTAPPTSGSGSAPAPTSGGHSTPYTTIPAGPTVITSGTNVITTSRPATTLY TEVSGSQSGSESGSSTGSGSESTSGPEPTSATSSEGGSSPSSTEGSGGSGGSGGSETS GSGNGPSPTPSQGMAPKATGLGVAGAIGLVALLAL TRV_02526 MNINTPENNVYNEDIILNEDFESLAPLFQSDASFAAGGNQVMGN ISPISKPLDIMDSEVLSLTRASNDSVTKYSNLEKGEEGEDPKNCSSDMPPPQFSGMMW VELEKELDSSELNEYSEFEEAKAAFEAEKNPSLEAQIRFKKAKEKECLRISRAQLREA LIEQEHNTQHGCINGNETGDVRISKAQEGENQKPGKENDFGLFYPEDNAAPAEELHRC TSPSNEESIPVFVWEHTQKSEELTKPKNRSRQNGAPKQARKTNNKAKVTKKGNNTRGR KSNGSTKFKKNSTNRGPTSLNFDSLFTSNIIENAKRNTKKKAIPKFTSGNKEEAMREI MASIPTDDDADMQCMSQHKKAIMGSIIKFTIPPRADHEGGWKHRDMMTSLFHYQ TRV_02527 MLGRLLNTAAATLNPASYANRNSNQLESVTEEEHTSGLLFPDVS LLQRSRTHAYPLQTSSVSPSLFSAGSFDDNGGLELDSTKDLRVIIAQNAIGDRDDPCI LLDTQAPPKTEKPTRPSLDRISPEKPRSRHTRTSTLPRNGGRTSHHAPRASVSELPPL SSFSNQDAAANSAFFRARNRRSTFSNSAGDDDQQHGRLSGDSNELGLLNCIFGSSAFS YRGPSTKMHIVPADEKKPAEDSNAALSDPYRRTLRRADTFSGSPRSASQTRERFSSDA SRSSSASFSSSVTVLVTRMFSVNLPESYGMPADTSMPNSTTPSSPYTPEFKFSPRAKS KKIKEKKTPMYAVAITVRLPITAKNSARQQRNPYLQDSAKPCGGMSVSLDSHPKWSLF DEASVSTTGSSIDDRIDLLVDYWDVIARTLSRLEKLASKEILELLKQTVQQTTNLPKP AKGPNMQRTNQTIVQLIPNCLAQNKLLRDEALHATFRVCMALRIPPVVSGQSRWGVWR EEARWIARSLSEKEHNFFFLVLITAFLGNHTEWLSLLGPDWYRRRHFLQQRAQQDSEL SICNRTVIISTDKMAARRLIFVLSAFLPPHQRTDPLASPLRPSTSTSFRQTSQSPPNP TIFRQESLRRTINRRARTRQFPHERGGGKRSASVSSNETSNIVPDELEFSKSPINQAR RGSDARSMRTSSLSIPPGGVAGPMKSGTASAATAAPGTATPVPHFASQPRKQYSARHE SQDCKGSASANLLLNLQKSEISANVDQHQSKWGNILSGFWNSRDDAPANGGASRPRRA STQVIETKRQPPAMSAKVKENGSATLDNVSATTSDTISIPTSLPAQTDSKEDASTLES RQPTSPVKLCVKPVEGIVDVEVPLPGFMSLSSSNDSTLTSPKKTRTSITSIDCDGSFH STSPWSYNNYKDWDGPGVNVAGWLKYYHEDFALQAVHPYPDLESAIKRSMSAERTPNL ANFGQPSYFDGGSERWVDVCSTLVADTRTFTVKRIRLRRKIATVDDRTTEYSHTPSPQ FSYQPFSTQADSSDTTPTSTLRKSQPCIVEEEFIEEPVMDLDATLVDAVERVLACSGP TSAIHSRAPSPSRLRKGRISVLPTEDSRRYNDTNSRPDVPSVEIPRNECRRMVLGALD EVVRSVAAEHRQDETNVGPDTHAGFSKHNHKKLSGITDNTLREGIRKWLQDIDETC TRV_02528 MVLSELSKGMHSRDLKQGNVETGSIRSASGSTHEPRPKSASTAP SQSSAASSSYRACLLSVHDENFSREDVLFNRQALGDWAVKVGDLIELSALYGEPPSDS QLEGESTNITNSQQEEPGANPTRGQSGGGTGTGGRYSPLPSHRDSCRGDGALPNSSHA VGNFLFIVKHMQPEILNRHPNLQISVSNQVANRFGFKKRSRVLLTVKPRSQCSATHVE LVFRDQFLLRADMWRMAMSELLDRPLYKGQKIVFMNTVKATVKSIFQDGRKVMAGYFT PRTIPIFRSESARYVLFIQMSKEMWDFNTEGTGDILFSRVVDGLLPELFKRWATIDAH HLVTIVMFTRVQYDHNIPASDEFIPMNLSSSSLNPNTTGEAGASVQDFYRVVVNDMPS GRWTAILDELKREFRTFLRDILIPSPQTSGDEVSELEGSPPIATAGTSPTIAGHLTSA IKGNILEAINIASSYLDFEYVGRDLVRTGTSIVMITPGSGTFEVGFDTLALTSDVLGS RSIGIDLICLSPMPLHSVPLFKYKIPKRRSSHSSVSTINSDTASIVGPSEVASPTSTK TTIAAWPPTPKPTHLSDTLSRATQSSSAVKTSLNPEEWGYGIPYWIDISFWGAHNYQD KKWKKNNVTPPAFGTVAPQPKLFQPKVRMYEIQMMGVMESEQSNISIPNLHVGHSVID ETAVRPRSSELGTLNSSSNLASHTSSHKPQTRDRGQPGSFMYSFKDSKKLIMSSQEKK RTNISEWMDSYDELAFHVRSKKRPSKRHHKPKSPSLSNLSLSSRRAHQQQPRTPVRQK SSTTLVPARPAAISPISTVSGTNLAKGLPTLPTASKSNNKSNLKDTSKPSTSRSTRSI SFALLGFGRAPPTATASTQVKAEYAKALPTASGKTPDENIYDPKPEDHPQSPSSLTPA IAEPQAQHGDEGSRSELLPSRPISIRTAVSHNPEEPSVAAASLDGSFTAHVPELRHEP GSLYESHAAGLKRTGRRLDMANNSISGAGPAFPSPEKALSPWIKHVKPWNPPKHLPTR ESWFGRWHHVYPRKPTTSSVNWKSLKSPAALPLTTEDFPTEEELETNYLQKPYTVYQD EDSDVSESPKTRDALLREMISLRLSHGFQLVLRNQRDDLTFGDTIFDLSALSKDNVTI YMSMGGIIHRLVCVGGGEIEVTQFTRKPLNGYLQDAKELEVAYRPAVKTIQGTQYRKS CIRLALSQLEYNWNFADSFLAGHRDHLADSLRQLKHWRTRFVLIPTHMSTHSRRSNSS LNEDNEEERHLMGIYQLTQVWQRYKYTPPEEKKFQSTSSKKKDQNPLNILYQTCDPSV VVATELDRLLLEDPGLDNPPAQLLPESELLQKSSVSLSSIAQAMQSEKGVRMMDRRWH WRLHYNCFVGMELTTWLIKNFSDIDTRDEAVQFGNELMKHGLFHHVQRRHNFRDGNYF YQITDEYRISRPESKGGWFQSLKGDKSTPGTPMEKDGVRDSPSSFRSRSEKSSDDGGG RNEPLPPPPRSSGRGKVAIWLAKSMKYDLDPRKRSDRPEIIDLHYDRIHNPENCFHIE LSWMNATPKLVEDAVVSWAGLAEKYGLKLVELPLSEASSIVESQVFRRPFPIKLKHQP PDPPSGNLFTSTSFTSQSTPDRHFYHKAILKKFDFILDFEPFSAFPTDVEVSYSWGKP DYRFPQYVHRSGAILAQITDEGNFLLLTNRLFNTYHPSVMIKESNKFERGEYFRPRAS TIVDSALYDRGSPHLSPVVRSSGLNGFSPSVSPQTQQQPESINVYQTAADLKDKLQAF CNDKEKLDAFFATAAAHVQPVSTKASPTNTMTSDSLIPSLVLPDSVVRHSTSSSAGKM PQRSASIDSVVVQHTPRYGPAHDSPGTTQL TRV_02529 MKLWFRQGRKKAISRDIAEEAETMKQEPGKTQKKRSKKSRKDEQ KEKGEANAAAVGAAPFSISILRTYHTALECLGGNPRVLHPRLTYNFINTSISDRDEKT AEEGDKNPHHLSLLPPLQHAVERHQLFPSVRLLSVSSSPSNLPRRSAPDHQH TRV_02530 MAFVNEAEKGTRADSALNESSVPTTRPEADDFAHGTSIQTSAVA SQENMRMPEGEQGQAVQGTVVDAKEAEPQPSPQQLSPGHEQQNQQPDSKPEAGGPTSI SPEEAGQSSIGASVEGQPSVSLSQSTSSNDGPTLLLNILLTSGGKHPFKLDGKYLRKR EVNVADNDPFSMSVYTLKELIWREWRSDWEPRPSSPAAIRLISFGKLLDDKSPLSECR FNREGPNVVHMTIKPQEIVDEEDAKAAKSTQSREHEEGERSPGCRCAIL TRV_02531 MSLRITPQTNYSSETSNTSKKTPQPHLRNGAPSAPGLPDTLRSS LTAAPETPGLQKTNSTHPLEARLLNWRQTQDAMKMESIRRIHGMAEPVRRGMEMKIVR DSQWKPAVLGGNMHGSIHEDILALGGRETEVTWEDVYPGDELREPPSFHDELEHRLKM N TRV_02532 MQCGGVVDIVDRFYEKNTSKNFQFTRNRRKRSRQMTMALSPSLL TMRFFRHIIQRQFPSTTPKPHCTLSALSSQCLLRSSALNAFHKSFSTTSQLQATLNQV RRGCRSGQKARKKRSPALVNRPQLKGVCLKTQVEKPKKPNSGERKTARVKLTSGKVIT AYIPGEGRTFGAKLVCDELMV TRV_02533 GLGIIHFITSSSLPIPKASDLGLSYPKSGGQQPVSSPAGEDKAP APPATPPSTPQQPPTQQPPKQDTPNPVTPNPPTGNAPAPGYQKVNATFVTLARNSDLW EIAKSIRQVEDRFNKDYHYDWVFLNDAEFSDEFKKFTSRLVSGKTHYGLIPKEHWSYP SWIDQKKAAETRKIMKEKDIIYGDSESYRHMCRYESGFFFRHELMMQYDYYWRVEPSI EYFCDIHIDPFKFMKDNNKKYSFVISLPEYPGTIETLWKSTRKFIEQYPEHIAKDNNL EFISADGGKTYNNCHFLHANLLCLKWSNFEVGDLNFFRSQKYLDFFNLLDQYGGFFYE RWGDAPVHSIAVSLMLNKDEVHFFNEIAYRHVPFVHCPTGEQTRLDLKCHCNPGDNFD WKSYSCEFSVLFSSPFHSISQ TRV_02440 MAPAAASSSGAKKASMPPERKYKCQFCHRAFSRSEHRSRHERSH TKERPFKCLKCRSTFVRRDLLLRHDRTVHAKDGGIPLVAEGRRRGGKAAAAAAAAAAA AAATTANAPQTTTSATTAPTPTTATAKASSPSKSSTIDPAALEQIEASSDGMVDLETA AMLMTDFQHKAAASVTSAQHRSHQSYSDRGNSLMEPSVSYMSGNATLPQMPWDTFMAD VKPSKEPSRSSQAAASSYQHQHQHQHQNMVAPTIERSSSSDNLAPNMHSLVHSLPVSG NSTPNALSPYPSMTGPVSPVNYRRSPGPSQVLTQPKTPQIASDAERQIIVDRLEANDS LNSLPETFKVPTTAVLNRYLSTYFNMYHHHLPFLHPATFNPKDVSSPLLLAVLSIGAL YTFEREDAFMLHIGSKMLVNQFLQQKDNFDSRKCPLWAMQSTLLNMIFESWSGDSKGL EWTCSIKSLLANMVAGNRYQLKLRIDAREGSTPRHAEWIEDESCRRTYYAVFVFFGML SLTFNHNPALTFNEFDTLELPSSESLWNLEISDEYTWRRALGGSSKILTVRQAHDSLL RGEPVRYSAYATRVMINALFLEVWYQSRTIDALQDVVTQYKLRLAIETWEKSLDICEP ETIVVPISTPQKGHPLIFNSMAVYRNTRARLEVDLKSIQEALRYHSPYEVAAAMTVAR EKIKRSQEMNKVVTQCFECIEIAAVQGLNWVATASATNWSIEHPLCGMDMMVILSLWL YRLEHDEEQATEDELELYYKIRGLFTNELSDQHDKLCSTVARVWGNILDGVVVWG TRV_02441 MNVLLSVTTPFKQAKTEIEKVEKEIEKSNAGNVGDGAKIREKEG EKKRRKKGKEMLQSERNRKGNGTEKEKKEKRKEKPQHNAVGKEEGKEDKEMGEMHNFE VV TRV_02442 MAQTLPKNVHVSRHPCLQVKLSQLRSGKASSRETNDLVHEIATI IGCEAFAENISVAPGEKNTTPLGYEYTTSFITPDKIALVPILRSGLGMVNVHHLGLFR EPTTLEPVEYYNNLPFQPNSTGVNSAAAKLAIIIDPVVATGSTASAAIQTLREWGVER ILFLCILGSHAGLIKAAGEWEEGVQVWTGAVDEQVDMKGMIQPGLGDIGDRLFSAGGR TSVS TRV_02443 MPQSVPDQRGEDASYELETIGSRTILERRHTADSGRDAVPDGRS SSSRREPSALLESAESCISSPEHSQAELQLSHHATQLYTVSYLVLFSIFGTLARIGLQ ALTVYPGAPITTGVTWANVGGCLLIGFFAEDRKLFREEWGASSAGRGKLNGNGDEQQR IDDPDNDVDALMKHKAVKKTIPLYIGLTTGFCGSFTSFSSFMLDAYRALSNTLPNPNT ESILPRNGGYSFMAVVAVMLYSILLCLSSIVVGAHLADGLSYFTPPLRFKFMRNVLDR LVVVLAFGCWIGTIFLAMWTPDRYRPIQEQHWRGSAEFAIIFAPIGCLFRFYASLYFN PFVSSFPLGTFLVNMIGTLVLGVCFDLQHASTIVARKNIDDIPSSHRLLGCQLLRGIM DGFCGCTTTVSTWIAEVHSLNMKHAYLYGLASIGLGLALLVVIIGPMQWTAGLAQPAC TRV_02444 MGYICRFSFKHVLQFWFKAENHVKLVDPQRDALYNYDQAATQAL MAAKPWAQDPHYFKSIRISATALLKMVMHARSGGNLEVMGLMQGFVAANTFVVTDAFR LPVEGTETRVNAQDEANEYMVSYLQSCRDSGRMENAIGWYHSHPGYGCWLSGIDVSTQ DTQQTYSDPFVAVVIDPDRTISAGKVEIGAFRTYPKDYTPPGSGQEEDDEYQSIPLNK VEDFGAHASQYYSLEVSNFKSTLDTKILSLLWNKYWVATISQSPLFTNRDYGSKQIND LSQKIKRAARTIEGGSPGARGGILGDAIVDGKESLTAKDQQLVKIVKDGDRIVGEEMA GLMAAEIKEVLFKGVGQAGPQKPT TRV_02445 MCFFGNDDDDMPPARYIDPPEGSKFITSGGSGLIYSSPAIRGEI YKICSHDPDSKENIEREKLIFQNMMSVGQHPHVVRCFKVIENGVILERAEHGDLREYY QAGGVATIYERIKWCKQLASAVDYIHGLHIRHADLCGKNILLDADRKIKLCDFAGSGF CGNLPTVSAEIGYAHPNRDQNRRATVKAEIHALGSTMYEIITTRRPFSSDNEPGVVAH WLNNGVYPSVYHLPLGDVIMGCWKGRYPCALQVQRAIELAISEGLSIPIHVFWYTSPL MLNVLAGMDALLN TRV_02446 MYDLHAESAVAVETSGESTNRKAESNERLLLNQIIVRISNIFPD NNRQDTADTASLIASTASLPSHHEHALCMIWTVIDCLPSAPFSENTDLVLGANYSPAE PGMAVDTSYLTSQVNSIVEQLHGLFDDIGVPHHERESREEKNEMMQEAHHLKTTIKQM EASLDGVGRGSREYEDIEITYPLNRCLEALKEKHNSVKLVEALVSYSSHLESSFVSLE LPPIEPGAVAPPSFDLSPTYVKALDAEFTRVYEEYNRRVATMSSLGQEIVNLWAELGT PQAQTEPLIVKHYRDSPEQLGLHQSDIANLRSRREKLLDEKNARERKLKELKAAVEAL WDKLGVEESERKGFLASNRGCGLRAINEFEEELSRLNELKRQNLHLFVEDARCRLQEL WDSLYYSEEEMLDFTPAFSDVYSDALLSAHEGEIARLEALLEERAPILQLIDKHKSLI EDRDSLNASSQDASRLMARGNKGERRDPTRLLREEKMRKRIAKELPRVELELRKTLQQ WEDEYGRPFLVHGERYLDEVTPAPPPSKLPPRSKTPSSFSTASRANSVRGPPSIRGGA PPKPTIAPPSRAKTPSSHGSVRNIPRPYSAAAGTFPKSPSKIPARVPLGNLPHGNNSP ERREQPTHTYSSSTVRGNMGPPRAPPPKMRDLFQPPRPQTSFSSYKTDPERCPSLISG SSSGNVTSLSPDEDSHERKHHQRSRTQPSVPLQAPSVNCSVQSLHSSNVQDSGHYQSQ QSSFVSQSSDKQYRSQNPSNRQLSNSTIQTVTSGSENWETYDDASEPEADATEVYYAK LRATHGKRMGTPGDLGGTKKIRGIRGVSSDEFETENHYPHRVDGSDGWTDDLEQY TRV_02447 MRNGGNHACVPWLNSLVFSAGQGKNGMNSLPDINNRKLEHIACQ QVVGYGMGDVNEMMVPQEWRHKESRETFPEYNLKGTNYNKSFAKRQQQPEKPKESEWK KKRKKKTGNEVRSYGVNTYINRLSVPAVACWFRKRGDPGIRDICWYKILASLGSLRYL MVSSQ TRV_02448 MGLTPGYDRTCAGISKEESDERAANGELHVVRLRVDDQYPMFND LVYGKTGQNRPVGQRGGHEGVYLDPILIKSDGHPTYHLANVVDDHFMEITHVIRGTEW MSSTPMHMALYNAFEWKPPQFGHVPLLVDMNGQKLSKRNADIDISFFKDQQGIFPETL SNFAALLGWSHTRKSDVFSLKELEENLTKGNTVVAFEKLWFLQKAHAKRYAAEGGPQF EAITQQVVNAVLRRFTPENLSPLLKGRPLNKYVASLLQEGARSYTTAEEFVTQNETFF TQAISRPAYTSAAAAAKYPSSEPAIPISTLHTAAAVLTMIPEEHWTAEIHRSNIASYI FSDTSVSTPDGDASIAKTEQGARKELFHYLRWALSGGAPGIGIPNTMEILGRDETVRR LQDAKEATKPLLPQKQMPNKKRPASTEGELQSKAWMGSLAGLST TRV_02449 MVYLSSRESHRQKLALQYHPDKVQEDERKESEIKFKAVSQAYEI LYDEEKRQIYDTQGMSAFDGSGRPGGMGGGPDLDDILASMFGMNMGGAGMPGFAGPGR RRKGPNEEQQYTVSLEDLYKGRTVKFASTKNVICTLCKGKGGKEKATPKKCSTCGGQG QKETLVQIGPGLVTQSMMKCATCDGVGSFFQPKDKCKKCKGTKVTEEKKILEIYIPRG AREGEKIVLEGEGDQQPDVEPGDIVFHLEQAEHKTFKRDGADLSATIEVTLAEALCGF SRVVLKHLDGRGIEIKHPQKPGDVLRPGQVLKVADEGMPFKRGDARGDLYLIVEIKFP EDGWASNPAVLSQLRELLPVNKAPAIEADTVDEVEFDSKASLDSMGENDNQGGGSWVD EDDDDGEGGAQCATQ TRV_02450 MYSHLNNSIYAFLFDSIINSYLISYCGLNPSTSNSTSGNSATEQ AQIGLVVSSYCDYFASVAFPDVLDLGLRVIKLGKSSVTYEVGVFKRGEEAVKVVGGFT HVFVQKNDMKPTSAGMNAAIRKGLKRLLVEGKSENAKL TRV_02451 MALTTYEEPKPEPEVFKEPPEVCVTPCDPWPRPYYIEKGLRRVA PYYYTYNTYCKERWRGKNLLDIYSTEFRDRPNGYYKAAIDGGRITIDGKVATADSIIN NGQVISHTLHRHEPPVTSRPIKIIHEDEDIIVIDKPAGIPVHPAGRYNYNSIIEIMRS ERGLGWIPYPCNRLDRLTSGIMFLGKHPKAAEQMAQSLRSRTLRKEYIARVKGYFPDG VVLCDQPMLKVSPILGLNRVRATGKDAQTKFRRIAYYPPVEKEDKNGEATGSQGPDSR ASTPPPLPADVDEGYSIVHCLPLTGRTHQIRVHLQFLGHPITNDPIYSNRRVFGTNLG KSESTGENDAEIIDRLSRMGKTEPADSLCYQTFQTPPPERVTLGGDPNVVNELLAREH DVMINDYLRRKCEKMNGENCEICGTELYSDPGVHEMGIFLHAVAYADNAGKWKYKSQM PEWAMPPEGAEGPTEAPEWTEDIDGAVVIGKGMMEDDETALVEGLGTINLTKLKEENV KAEPVASSG TRV_02452 MRVDPSWRKPKGIDNRVRRRFSGQAAMPKIGYGSNKKTRHMIPS GHKVFLVQNPKDVELLLMHNRTYAAEYVSPGCLSIKAEVIISLFLRSYLITFSPIRIG HAVSSAKRVDIIAKAKALGVKVTNAKGRLTTES TRV_02453 MSTAAVPPTHIRPPYSLDAAAAGAGGFSDAQGLSNTGCPRCGFE GAYRQLQERNIAQQRRIEELEAQTRLPTEKAALSGMLTVSHRVHNTTKKADHPNLLLL AEKLADYEDGMSSQSQSSQSKPVSAPELRNLDQHPPQQQQSPSPPRQQTRLATLASYL PYGRRTSSNASATQSRQSVSSSQLPQSSSSSSPPSTRAGKNGEHSAMNPLYNGLPQPT SFLQGALNREQALRREAESRLTQANTELEELSAELFMRANEMVANERRERAKLEERVQ VLEKRDKEKRTRLERLEKAVERVERVKGLIGNP TRV_02454 MACSADSLPSDKKKDCGYSVREELPMPTQPPYTAHIGNLSFDAT QAGIQELFAECEVTSVRIVEDKLTGSPKGFGYVEFASVDGLKKALTFQGTSLQGRNIR VSIAEPPKDRHDGRDLSDWTRKGPLPDLPGQRRVSDRPGFGGARNFDPASDAANERPG RRGYEAANDGRSRDFSNWERKGPLSPSLQSAPSREGRPHSKDGPQIRRASPAWGEGRS QDGSRPPRREFTERPAPERAPTAPELDNQWRARMRPDAPAQPETPVEPVTPTAAAAAP VPGARPRLNLQKRTIPESEATAPSPAVAEAQSSIFGGAKPIDTASREKEVAEKRELAL LQKKEADEKAKAERLEKQKAEKAAKEEAEKAEANGTKEDTTEGKPVEILKREEGEEKA ADESEKTAEKVAEKAAAPTQKTEAGKDKGRPPLQSRTSSGWRNGGGRGRGGPPHSRGG SRSTSQRGPPAQGTPSETASPASPATPDEDGWSTVSAKQKNPRRGNYNRGGQAS TRV_02455 MNSIPIGLQKKPRVLSLGTIKHLGEDFIAEFQREFDLSVLDTCP RSQLKSRLEDDIKEHGPVDAFIIGPNSIPYYPFDEEVLSPLVPSCKIIASLTSGFNMF AVEWIAKQGMWLTNTLDAVAEPTADMALFLLLAVFRNTTNAERSAREGTWRNNLNPTH DPSGCTLGIVGLGTIGKYLAKKAAVFNLKVIYHNRTQLPGDVESEYGVTYYASLHEML AASDAVSICCPLTEQTRGLIGPKEFAVMKDGAYLINTARGPIVDEKSLIEALESEKIA RAGLDVFNEEPDFNPYFKTSDKVIIQPHLAGLTDAAVRRAGQESFKNVRALFRTGRPI SPVVDIKPNVG TRV_02456 MNPRPKRAASPPIEPKPSRLKPFKNRDGLGAYIKDPESFSASTV VSHSPEFVVIHDLYPKSAVHLLILPRDPQKFFQHPFVAFEDTSFLESVRQEAARVRKQ AASELRRKFGKVSALDRKRNEALDADDDGDENTVPDELPEGRDWEKEIIFSTPFFVEL DAFPLAEDDKRRHPDREGYLKSDLKCWRCGRNFDNKFTQLKAHLEEEFEEWKLTPKLH TKKEEDFVKKVSDAGSLTKNEKNRWTGRPYLEAQGRKRSEYKGIRSVRRLQGNKNKMK TRV_02457 MLYKQPQRPARTLRGRVDENMIAFNRAGKTIHHRNKSSPALSAL ANSNSNSNATSKEPVRRAAFGDVSNTVNSLRSAKDDSSLPSKDTIKITEKPLCLARPA QRPIHSISTKPAVNAIINAEVGIKPGSEKTQAKKIQTKRANAVFKDPILQPVVEEPTT KQQKPQQQQQQQEKASAPQASVSEASSKSAEPSKEVEKDVKGATNPPKSATTEPAPAQ VTKTMPAATAVAEEPAVKEAVVKATVEPGPPVPNHNAKDANEVTKPKVTRISSDGARG SMQSTKRPSDITVSSRKYDQSEPEEYWDEEEEDNYDEDGYATARSYRSRDNTTGGATM VLFPRMSQQSRRELALARQIMETSTSVEEYDDEWRDTTMVAEYGEEIFQYLRELELKL LPNAHYMDNQAEIQWSMRSVLMDWLVQVHHRFSLLPETLFLCVNYIDRFLSCKIVSLG KLQLVGATAIFIAAKYEEINCPSVQEIVYMVDNGYTVDEILKAERFMLSMLQFELGWP GPMSFLRRISKADDYDLETRTLAKYFLELTIMDERFVGTPPSFTAAGAHCLARLMLRK GDWTPAHVFYSGYTFGQLYQLIGLILECCEAPQQHHLAIYEKYADRRFKRASIFVENE MLNGYRLPEVHEGSSLWSMLDRRASSKRL TRV_02458 MLAKKRSICFLDSSSIRGLESQGAKEKKQGRRERKRDLKHALIY FIMLELLPAGPLAAAAANNNNKLACRFCCLADSCFVRPREVRQDKPLRNEAEERSFDL CKFLKLLLLRRPNAERSGRRMKQSLL TRV_02459 MHPSAHDCEVSGSGGPVSESQAYYDEQPQADRPAKHESPSNPSP KHVAFELLLDESSKMRARIPMRVQIYPHDTTDSIVTTVKNFYGIYEGTASGVSFEDQD GNTLIARYENLKNSMTVYVRVIPNHHYPEQHSPPSYYAVSPMDNYSRPTLGEPFSMPP PQGSQAINYGQSVSRPTSRAAAAGKRSVSPPARGRRSASRQKSSRAGVKSRGSSTHGS FHDDAHMPCSDSDGGHSSVSGSRRPKSEHFGSADISMENILQDGRRKRPKFESSELPL FAPPQVPRTTSASSISPQRRSLAPDASPFARPSQNAFSGYQALASPQGFGNHEKLHSL QNGSGNNPYATPTVPHHGHRLRNRANSNSAVHYATPVNGHGILPTPDPTIASCISDED VAMQLIRLGDTSNFSHGRNSTSTVDDAFSGIADAASSTGATTDISDVSGDETDLPTKI RERRASSDSLPGLPKKNKTLDEILPSCESSDPASDDIDGEYQNLASQDNIKSEYDDDP SYEAQSSRTKRARKSASTATTAATSAKATGAKQASTAKGGRNAKNRSAPASRKGKQAP TSSAKPVPPPLLPATAQQGGVNFQNQLAADEEDLSTKPRCQRCRKSKKGCDRQRPCQR CKDAGIGIEGCISEDENNGRKGRYGRHMGVSVKKDPSAIAAKAQEAQDTAAQTPASST TAAVPDKNKKRKR TRV_02460 MPPNPPPNRPPAGRGQPSSSESSSATPGPSGTGGTGRGGSASAR GRPVQRLQSLKKRTPGGSLVPLNADGSTPKPTLKFQPKVVTRKSKEEREALENLEAQR LRERISAANAARRPTRGGDRGRGRGRGRGGASGASGPLGEGTGPGRHGGAWKGKGGRS GKISGFYGVKNEAGADGEQIGGDISSDEGSDHGLRFSIDHINLESDSEGEALEAGAMT KGKASMGKSTPTGGSRGLRPVRVERHEHVERSVGIPAESSSSLSKSAELRKKAKEKAG AADDSLFVEDDQSESDVDGGDDDVVMGDIKETGPTSDGPQIKAEPTDDDATIDDSVPT APSEEAVPKPRVKPKRVKDPRKKLQTEEERQEYDRYEEDIDYLKSTLGSISTTAGNAP DTSAAAEVGKKDEDDTEASAKPAPDERQGQLFLLQFPPMTPNLVPPTPEKNSAVGQGD EAVVETATPLPVAGTAPAAQGSATIKQEEGAVNPASTASTAPPLVTATNSTLPRGRVG KLNIHRSGKATIDWGGVSFELTKGSTVGFIQDAVILSDNMAGAPDGSASTRDKKLWAM SQVTGKFVVTPDWDKILDE TRV_02461 MWFSKGSALLAICSLLSTSVFAADRPPSVSSPTPAIRQRSEEAH DSRPLPADPIIKGRAIVDAPPDPVPTVPTQVSPITTFWLEGVQVVYTQTFPPFPDPWP SPMAGEIGLGTLKGEIGKTVTIKGRSVPTDEPEPMFARQCRTLECGKSREQKAERAGL EDTA TRV_02462 MFRALGPLPFGMASLSPTTVFVALVLIYISSFVLFAIIRIATGV SIQRIGYFSLRHIAYSPREGVYIKFGGIGISVHRPSLVQPTYVSLRIKRATVALDLKA LASSRRRAATAAATAGHTPEGLAKDETRAAQESDAVGNSNGNGSSDGRTKKKSASWER TWKILKGVKERLKRLLRQIKWLALIDISATETTLRIRDVGELHIGALTMSLDARRKVM QGGKQFRQNEDASGEKRFPEWIFNARNILLAVDGCEHKEIFDNIGINVHGLLQNGMEG LREVSISVKVGRLHIPYDDVRSILLRIKLLSLPDDMTPDAGTSCEDTDDETALGNIME ESDTEQQDTPQEEVVAHHLTESKAFLTSLIRGIQDFQLALSFFRVSSTVENPESMEKP LYLNFYTHEVGVDFHRMDPAHPSHRMYFQRDDVAHQALIAAISSSVSLGENVDEHEKL IYIPMATTTIKTTLPSKTMALAGNHRDAAERNSNVIFANFVVTSPSVDLEPKHLSNIL ALIQARGSSPRSSSQKGHFLMSRLLPKAVIKLSVHEPVLRFALPTSASESPSDYNLLV SSISSISLDVESSHSVAEGAQYSLVATYRISSHLIYYQTAAGVRHRLLATDTMDLKCY INATTEIAVAISGSMNSFSIHILSGELTRGLHQAVEQLKRLIPPKHNSSHDTPSLLRR LPPWLIRFQFESSAFSIEIAGSDPTVGSATRGIVLHLGEWSVEYQLQKPKPNPRSLRR RTPSHSAISDDPFRFTPTSPSGKSYSRPSDGRRVTFNVSQMESFIMESVDYMEPDAFL SVPQFEIALTTSSDLQGPIFHVNSIINEIIIKHSLYRCYAIGIAATTINDAFFNSTLG VNTRGPKRRSSSMRAPGKASKVELTTFDIKAPSIQVQGVMPSDPRILLQVYGLVAGRH RWSPPFIRSHLIRLHVESPKAKGTWTRIFSVGGIRVGLRESRTKQAETLGTTKSYDIS SDFIRVAVPPHMIMYRVFDNFVNTFKALELLNYRMRTKSSSSSMAKPPSEPKCVPKIS LRSKSMVFEIEDDPFEWKLGCIYRLGLQEQKQRLAREEAYNMKLKKVRESQDDRYATL RLRSQNPRSFTERPYTSSRRSGEYPRSVSADSRPRKRSRSRGRNAYTKGRYDTSQLPT FSDCSILSADEAWNQLQAHNARSWKKKIDNSIRFQNAAVRELRSLFVGADKTPDGMPE VAGVIPIPSRPGLLAIRITDFGFTLDAPSFPLHEYPQYLHRIGKGMPLDMKYALLIPM SIRLDMGEARFNLRDYPLDLIHIPPLGPGQSARVPAWSLKTDFVIAEEFRGPESSRQV IVNIVPPSLASDGTMQDGFSLDVRRTVAPVKTYSNPTIEINTNQPTTISWGMSYQPVI QDVMKIIENFTKPEIDPSERVGFWDKIRLSFHSRLLWVWKGDGDVHFRLKGSRDPYIV TGFGAGFVMCWRKDVQWGIRTNYDPQEFFAVTSGEYVLAIPDYNRQARYSYESPAFNS GTARFGKSDALFKKVIMKLSGNVRWLAGLVFERNANGAERCFDFKPHYEVVLRNPMYL SPQELKDYDAFRGFRSNHIHLSLAVVAPVAREWTLTNREPSASYNTVHLTPKFFTHFF SWWSLFSGVMSLPVRQGPLFPGLTKTSKKFSRHLGTIKYKLFLSPLFVSHIYKHKDPE DYKEDIVYATGLKVRLDSFMLDLHQRREYTTVVKGRLEPTKASSMKINRAQLDFISAD CRAVSSIGNSAQDSGDEKDGSSPTYPGISQPADISNFTIPDEDLNWIDMDDFVELDWV LPVESNPRTQILPLAYSPRFSFFRQTDHTKVGPDEPGYSPFGDEPTHVCVMSQDHDPR RVQIELLKERLNVLEAQSSSHHRVVGEFELRSIRDGIADEESRRQYETMVRHGLSLEQ RRKFLQDELQRLEMHFVQNGNTNGSSHRCSENADLLISSTEDEFASDFDNRFVIHNIQ LKWNNSLRNIILRYIHQVNQRRGFVYYMSRRAVKFILDIVDEQVKAKRRHMGKKQQTP WNVEPRSHIEIERDDDMSTEERIEQLLNDASRFVNAEPPTPGESKHPTISSKSSSNIS PEFTPQNSYHLRLIAPQIQLQSEKNKKSVAVVTAKGMQLKVVSIMDKHRVSDDVSGLV QRQFTLSMDSAQFFVADQKSFSSHIHIYCGNGYGNAPGTSWPPWVSVEAMFDFDIDLS GFSRIIQKTSASLRYDKFNTLRLKFNEKVAGESADEDRHAAYPTEHRIDQITVDFPQV RAICDSSQYYSLYIIALDLLMYSEPREQVRAEKLEKIMLASDFSDLRGAPEIVNRLQQ RIRYLEEIKSLFQIRGKYLDKQGLADRVSLEKDLITCEDELFFMMKAITTSQRKSDDR AKSASSGLLHWTLAATEIVWHLMTNSKEPIAEFQLRDAAYGRTDNSDGSNYNTIEVGN IYGLNLLPEALYPQVILPYKDDHRGTHPKDEAKMLQVKWYMLEAIAGIPVLNDFEVTL FPLKIQLERELGQKLFEYAFPAAGPNAFENGGFSPFMIKQIDPPSDDSEVDNTTSGAN TPAMSTASTSADERPPSRLGTVEQRLMPTLALPDKRRSHSPGRIKMSMLMTPIIKDPA PQKRKAEDDRSLSTSQVPTRRTLAKKASADSLTVLRRQASDWSLGSQAGNKAEEKPKR PTLKRPPTKVHIDKAHGADDLSRMISRASNFMILTHVKINDVVLCLSYKGKGERNIED IHDFVFRLPVLEYRNKSWSNLDLALRLKKDAIKALISHAPAILGNKFSHHRPNKQQQK RLRELASSSQLLDGQSILSTPHSDGSNSVASRTSTERSASPDLPLNSHAFQASGAPST DSMGIPPRSKTAHSDIGPMGSSAIEDQDVSQQPS TRV_02463 MPTALYVLIEDVVAVDGGGGQLYRRAIRDRYLSSPYFRQMLFEM NCFWGGGSVVFAAVITALVFTTPRDVAYTADLRKEKAAWGKYSLHGIPYTDDITCPTP QTRFISVSGRLMSSLPFQKPHLIYKHDIIYGMEHYFEPPLLYSNHENPNANHNIQMSI HLSSDSLMETKLHVTGKPPEQKNDALIRRNNIKAKEQQRQLQRQSKVEGDTIAPRLIR EQEI TRV_02464 MRELTKLIGVPPAQVLTFIDEFYALRRENNARNGVATRRIPNLD RRFKSRVWKWLTEHPEVSVGKDREGNSLTLDDVVPHSQGDSTTEAAIDPALGPAPGRR GQDMLVFVSLERTWLSITGHEPDSTRVLPLEFALLSIIASRKEKGIIQSDLVRLSGQD KRSVPKRTDLLQEKGYIEKRAIQYQAARTSLCTLNKFAGLGPGQLSYDPSSVVEPGSK STTSKIIDFTVLQEKLFEMLREYKVITRNDLKEKLGMQDRWHGKILRRAIRKFEAIGC IRRVRAVSQYSNAMKSLHPSVMLIREPTEKDIKLFHEDSKGLMSSLEQLDADLDEEQG QKIVVDPSLEGDSTNYVVDIGRIVPQWTPDRTITNLVFDTIHQAGTRGISNNDINKLT MGSFFRKPLETVLSRLTSSWQSSQPLHLRHLAILRDTALNKTVYYYIHYSFDHFSSLV SNGHASWDAVTGGPRSGKRVLNAPPANAKATLDKYGFQVDISQPLLRKGNATLRECLE ASKPSKWNLTFNDPIAVSLLDGTYTVDFFRKGGLSRSALERARDAGVLESDDHELLGS NVPNGAQPDDVAQLAPGPQDGMEEVAPRAAKRQKVVADPYEGLTGKELLEAQGLDEGW TEYSLLLMDRPSTGVYITPFGKRRPVGKKRGRPGKSRIAVFKSPRLKEFDWFVPQASP EPRDEETTSIIETNLQAGSANLSVETRPCRPVRAAAQRQTYSEVDKEGLEDTEQQPAN NDELDIEWNNLKSRQVSGKRRAPDAGGPVSIGTGETPRRRRGRPPKKRKLDTEVEAST APSPEGSLLVDDNRSRLRQTTELPNPVPDSDIELTEAPVRETQEQELIVLSPRDAQQA TAPCVPTVEHPRETIPEEGLREAELKKEPICDLYGEPVSEAAQTVNTSARNSGITTEI TLPDAQNTPDASVTRVDEIKGTLKRDIRSGSIGVLRRKILLDILEKGGGVYPMGSEMW YPFTTQWLKTNQSTKPDARTVKNAMRTLIETGKARKHTFSGRNSKGLMVTKSILSFPE ISGNHPKVRAMQKEMLDADPQLYLPLEVEIDPDLRKSNRGFLGYGVKLPDIDGEVNVT LHQPPAMTRRAPKAGRRWKMHNFEGELFEFDIRELSFPFDSPPTQRLESIQRLAQGRS YLASFGLLSPSGSSSQHTRYPVPALPISSKTRYMVTPESMLMCPLQVYHSATGTFGTG AYIPGKYRVYPKHHGEHNMIRPENLETILSQRGNRKFDSSKFSDPVSHQFFYDVDRIK YWEHRYPEIFEMPYDDFVYINHTIEELPECPPLEGAMKFESDAAAIAEIEHTRRLTRQ LARRTQAPEAVLARHFGSDRRQRWRKGETHTETTRKLTQLQEAKAAGEADKIKNHKTR RVNSLPAKVTQRLMVAMVVVRTLAGGLEGRMVEWTLITPLFPDYTPKFIHDRGRLLTG RHRLQLSKMQSDFQEKFADAYEKGEVPPINFDNLETYDWEWVVNWACRNMEEPSMGRL PNLPASRRQFDSLFELRTEPSQQIDEIYHYTPPTTIPRKRTLLGNLLLSNNISDGRSR KPRATELELLDVAKTWVRANVVTPEETYKPAEAGQIMRQFGEDLLGRAIQSLMTERVI SMGNKGRVAPGRNFDLTEQFLGTLTRRRPVELTQLKQASSFKTEVLDPALLSEGCYYV KYDATDGDIIALLNLASKGHIVLVPRDPPRNKYGLTEGGYLTRLMDKEKLRFNVEVHP AHGRYLYGNPISQALKETPIPKGDLGNFPISSESLTLMPKVPLWFDVHSQFVKIVWEL VLSAVLGVLVARPAIAAKGITRMLEPCLAEWETLLVLGWLADVGIIERTQSLTENHSD DTGWVVGEWWWMVLGASENGQT TRV_02465 MSTNNTTLRRLMKEAQELSPSSSSPCPHFHAHPLSDSNLFEWHF TLAGPPAPSPYAGGIYHGRILLPPQYPLRPLSFRFLTPSGRFEVNREICLSISRHHEQ TWQPAWGIRTALTAIRSFMDGEAKGQVGGLDASSEARKDWANRSKDWVCPSCPDGKTN AEILAKWQDMCREKGVNVDNEGAPGEQQNSADRIPEGLKLGYRDELEKESKPDSEPTL ATSRPGDRNGEVHQPVTSGLNNSTSMVTSATGNPPPAEAAGNPSQPTPNTRPQVSLAS QHTRTQISARNTPDQDDGPWLDRAIIGVICALAFVILRKVLFAPADI TRV_02466 MEAFASAVPEPLSPTKRRRSGLVKTCEGLLCSAVKYFPLVFVYG LTTWAVWVEAGVSIYHTRSWWKGTPSPIHMKHFFDRRSNKATGTLGSVFGISLYLLMN TSYTVAVFTDPGTPLKTSTHGRSRHQYSHLPTIEDPEYSSVTVNSMGELRYCKKCRCR KPDRAHHCSTCGRCVLKMDHHCPWLATCVGMYNYKAFLLFLIYTCLFCYVCFAVSVLW VWDELMKDAQYMERFLPVNVIILAVISGMMSLVLSGFTGWHISLSIRGLTTIECLEKT RYLAPVRKTLDRQRREWQHHQPDAQNMGATTKDFGRTLQGYGQQFIDMHANAIPGVTR AEEGEERSSPTIGTRIPDRRHQHNDYNPDEHYEQYQTPAQQSLFRSYGELDRAREHDR YEEYLAECDSEKLPHAFDLGWRRNLQHLFGPNPFLWPIPICTTIGDGWRWEPSSKWQE AKARVEQQRHQRWEEERLQRQQFWQRAAKTSRSLPTTALHHSWHASEPHPGNSWTGRF NSTGNMRASPYMGSSAEDRPSTGVSMKTLRPMSPRPRPGEREEDIDEASDRYSTSSDE ASEQHALVANNTAKQALSNSAAKGVTEEWVNWD TRV_02467 MLSCCPAVLLLSILEFWARRHVLLIIAYDCDGIMSCSAFLCSNR SIRSRQSPQITLDLATQPLVYKRPFLSLFPPNFSSSLFPSVFLSQLLLLHLLPLCTLP FLSLSFSLFTQTRMGLWSLICTQRCISRVPVSGWVVTAVLEVDSITTPDLTRLGSQEL PIVGSTPAFEMSLRGCLRPPV TRV_02468 MNPQEGDQADEEEEEEEEDDDDEAKMVAGRVDADVA TRV_02469 MAANEYYNNSYSQKPHPALPHDNDTHGDSWGHQQPSSPTLVAGG YSHSYDNGGRYGHYHSNSDNDLGESRMGHNNDYYSEDIPLKSNAANNKPEWADDTRYH PSPHDLPTEPLNLSDPVRRPSRRRKHGYFAKKIPWVTHITSLVQIIIFIVEIVKNGMS HFIYIYPLLVFVNLTLLTLHSAQLTGSPIMTKPSFNPMIGPSPYVQINLGARFVPCMR NVDKLQNNPNTVFFPCPNATTTDAKCTLSELCGFDGVPNPKPNGSLDDKPEPNQWFRF IVPMFLHAGLVHIGFNLFAQLSIGADMERTIGWWRYAIVYFSSGIFGFVLGGNFAAPA IASTGASGCLFGIFALCVLDLFYTWGKKQRPWVDLTFMVITVAISFVLGLLPGLDNFS HIGGFLTGLVLGICILRSPDTLRERIGVKTPYVSMGGNLGVDEDQKKFFKQPVTFFQG RKPLWWGWWLLRAGALIGIIVSFIVLLNNFYKYRTTCSWCKYLSCLVCSIASTISFS TRV_02470 MAARRPAPGETLVHFFDINSEAPGKSRSWSPNTLPIRAVLNYKM APYTQTYVAMPDIEPLLKSLHVPPLEGGRVPYTLPAILHKPSINNEGATLNDSFALAV HLETIFPPEAGYKSIFPNGQCSYALAVAILKVFRSIYPPVLPFCYPAVPKYLDERSRE YFYASRKQMLGMTMDEFEAKGEALEEAWKATEAEIAVLVKMLKGRPGPRKHRGPFLEG EHPGYADMVLLGFMGWFQKNSQADLDRLLKMGDGELQKVWDAGHQWLEAEGENVEYEI PK TRV_02471 MAQPTEASDPLIAALPPATDYLTYLTLVEYQLTPARLPVLHQVL QDETLTINIGWDLVNILIPLLPHSRECLDDIARLGNPREVILRVSEALMKLQATEDDS LESEDEDANKQASEQRHLPLHIVQFNCLLSMLSTLHSRIKTKYTSRFVATSLHAVLKA YSEFPTTETTAAILELLRDLSGEKRPPLPPRCISEQALSRSTGDKAPDPEADEHAEGD STDEATEEKLTQRLLQFGHIETLKTYLLQCVDEPGPAGMQWAIRVQEKLDTPRADVGI PTCIDQFHNVEYLRERDTTLGKIVALSRDFGLETDMLQKIIFKGESDLPPPLDFDNLP NSPDEIPLERHGCILLLAARCVTATLFGSGTQEQSLHLYPDIVNILLNFLGDYASPYT AAAEEPVALIDSILSLAAVAKTSTPEDAEDEEGFKTLVYGLTACSRGPAQFKAFTRLG SIPARAFHAYPDIQVRYNIMLEILSEEESEYARLPAIQWLKEELISHSANPAEPETDN PFSDPESFTFLFQSIYKFSSPAQTSTTSSAPEGITPEVWMEFTQEIAPVYLAKLNFYY FLCKSSKLAEQLHISTLQPIFDARFLDPLKSFISGLSSPAVLSYVEAEMGEAAVQMGM SAAEVVLQIISDIERAT TRV_02472 MAGNDDHLAYGHAPGQSDRGSGSGSTRAFLGDTLRTFRDKYDKY SHGQQGQQGQHQQQQQQQQQQYPGQPGNHGYNPQGQAQYQQPYQQPPPGQQGGQYAPD PTHGQNPQYYQSASGGHGSGGKPPKSDLVSGIFGKLQGIGSEVAQRIGSNLDPHAYAT YGADTAGANSKNRYGSFAPVRHHGDAKWYVDGCSYIMLQAAAQRGVRVNIIVYKEVTQ ALSLSSSHTKHHLEDLHENIVVFRHPDHLPDKQTVHSDVMSSFQNLTLNAAGLSKLSG DALKTVYGLSGGVVLFWAHHEKLCIVDGRTAFMGGLDLCFGRWDTYQHAIADVHPTDL KQAVYPGQDYNNARVLDFQDVVHWENNQLDRKSNSRMGWSNVAVSLHGPAVEDLRKHF VDRWNFIYDEKYAVRKVPRVSRLELYRQPMGLGGHRPSSQHGPGPAPPPAGSQGYQQQ QQQQQQQQQQQQQPQGSQSPYYPPPPPGPPPAASSTTGGGYQPHSSEAPYFPPPPTQG NNPPTQSRGVDEYSEGDRSSRGIGIKGEFANFGNTLRAQLAGQVHRYQDRYLSGNAPG QGQGPSKSNVSCQIVRSSAKWSNGTETEHSIVDAYAAIIRDSEHFIYIENQFFITATC DAQKPVKNKIGAAIVERILRAAKAGQKYKMIVIIPAVPGFPGDLREDGSLGTRAIMEF QYFSINRGGNSIMELIAKEGYNPMEYIRFYNLRNYDRINASAIMRQAEKASGVNYEDA RKQHDAAMSASRPSAFDTTAAYPQYQQAAQHVAATNPQAAAVGRWDTVSSCYMLGGED IRNVPWEHDNDTSEIDAFVTEELYVHSKLLIADDRTVICGSANLNDRSQLGDHDSEIA VIIQDPTAMESRMNGQNYVVSQFAATLRRQLCRKHLGLLRPQDYQRPEANYEPVGVPN EYDFGSPEDNIVVDPVADTFHSLWNTRARQNTEVYRKVFHVVPDDTVRNWNDYKEFYE YNFRKPDGKNGNQEPRYLPGHVIRDEFPEGVKAVKEELAKVKGTIVEMPLMFLAEEDI AKEGLNLNSFTETLYT TRV_02473 MDLVTLDASEHANYPEYCSLLFQGKASKQLSFEQIANHLGRSEV AVAALFYGHARASPEDIDKLCTLLGIPPADLKMRLRGFPDRGKGVEMPPRDPLIYRLY EIFQNYGQAYKAVMNEKFGDGIMSAIAFSTKVDKEVDEQGNAWAVLTMNGKW TRV_02474 MENEKPSSSSRAFPCPYEGCHQAFATAGQLINHKKFAPDHEYCE RCNEDFKFEEDLLLHKMKSSRHIICPICFDDFRSEGGRDVHIQQFHREEQNIECIGCK TKFLRAAPLMAHVEQGRCEKISLDKYRMRRVEKKAVKEKMNEHLATHHSFLLSSAASS VDGGVVVAIDSVLEESADKPAEIEAKKASITEKMGAMGIGAGPFGGSVAAPESVRSIK QWPTLRQGGDEDEGSDRGGPSSRSNFKADDLMAFSETSVARSRKDQDRPSIETVIPRP EPLSVSGSDSGSGWDTSRVIPARTLSEHETSKKIALSEVELSKFFSDVHGTYICPCDT SFKTKEALEQHIASGVHAAGVARQTRNFGQVIDEISGGIIGAAGRNDDGTVRFEAAPG AKEIEW TRV_02475 MSQPSELAKKRAEDYKRFKLYAAVGIGVAAPILIALPPRKLDVY TAALVTACVASVDYLLTERTGKGILSQMATLVPAKPTLLNDLPTKKAEELNERMRIAR IQEQELERLRGSMLGEEEEEEEKPSLRKSIRKFWMGRETEGWIERRREEERKALAEGK SYSEIMMDYFRDAWRLDEGREQGGNTEKNPVEQQEVQPVEQNEKDSTS TRV_02476 MKANTSSMNGEKTQKSIPNSDESSTRRRKRSRHSSDSLVSGSNT VEERTDFKRPKGLLGGPSVRKGAPEEPEDVPSIELEDISTEVEARLKLREKTRRKKRE KNRKRKRESIESAGGGARAKKVKNSGDTP TRV_02477 MGTCVNIATAYLISRVKVQTLGVVSALVTMIAPILMATIKVGEN YWFSPFWALFLSPVNPDVISDAFPPDIQSLAGGVFNEVAQFGNSVGLAVTASIAASIS DHKTIQDHKERLMLGYRGAFWTIFSSCALVVIISFFGLRKGGTVGKKEE TRV_02478 MDQRRDEPGSAPIELFSIPTGQLPPVGSDTAKQDSSEDGKGTKD AISSSNDLHPGTPPASAEDGDMRALESPKISKVRGVTVIITLAGISFLNTMGSGILIA ALPRIAKDVGLSESLILWPAAVYALAAGCLLLIFGAVADVIGAKLMWVVGSYLFVIFS LAIGFSQTSIQIILFRTFQGAAISMCLPTAVSLITNTFAKGPWRNVAFAMNGMGQPLG YAAGLVLGGIFTDTIGWRWAYYMMGIINFCLSTASIWSLPSVHQHSDKPWNRRLMEDI DWLGAIIMSAALGLLMYVLAMTTSSYKRVGNAQNIVLLVVSILLLATFPFWMRFQVKR ARPALIPNKLWKNSAFSSICASVFFCWASLNGIEYFTTL TRV_02479 MLHSQKVVVVGGGPVGALSALYAARRGYQVELYELRDDPNYGDP NARPDIAVIPLALSERGIRAIASAGVPGLLEDILDNSRPVYKRMVHTWGSQGQHMQIP MTYGPQGQCLHTLQREKITRHVMLALLKEPTAKLFFNQKLSACDFERKTATFETVTWR EKSRFSEQELANAVLGHVSPIPNGTGKGCENVSLGLGNVSSTKRVDFDFLIGADGTYS SVRQSMMRSLEMDFSQTYANAMWCDLIFPPDKNGHYRIDPKCLHIWPSNQSIVIAQTD IDGSFRAGMVCDTEKLRYFEAHPEAFSEFFVREFAGIVPELLSAEEVTRQFLAHQKIP LKSIKCGKLGYEDNAVLLGDSCHTMTPFHAMGMITGLEDVRVFFEEFRDPGVSALPEE MDSNGFAKEKPFCAPGTVQAYTEFRLPDIHTMVDFASEHYHELRIGVRSRITRIMNMI DRFLGGWLPALGWTTLYARIQFQHERFSVVKAKEQRQRKILGIIVASGAMLGASIVAA GIVSLQPRIASMLSVSTASHLSQMFSLSG TRV_02480 MAESLQPQKRILGDASVNRRNVQVQSTSPTSAKKRKLEAGQPQT NIRAPLLSSQNGLKSSFGSAQRSQQKSQFEDVLEKLTQDIGDLKENNAEKDQKWERPS LDGFDPSRDNLCFQQIDAEEGYLAGGTAVKLFGVTENGNSVLLHVTGFMHYLYIAAPV AFTQADCVPFKAYLENQLSVTEPVIHSVQMTMRENLYEFQGNQQSPYLKITVTSPKAI NTVRSTIMSGAANYKGMWKGVEGEILTFDNIQYLLRFMIDTGISGMSWVEVPAAKYEV LPPGQCQSNCQIEASVHYRDMIAHPNDGEWAKMAPLRILSFDIECAGRKGIFPEPNID PVIQIANIVTRYGESKPFVRNVFVMDTCSSIVNTQVLEFDKEENMLMAWRDFVEKVDP DVIIGYNIANFDFPYLLDRARYLNCLKFPYWSRLKGVQSHATKTSFASKQMGKRDSKA TNTNGRIQLDLLQLVQRDHHLRSYTLNSVCAEFLGEQKEDVHHTMITELYNGTPDSRR RLAVYCLKDAYLPQRLMDKLMCLVNYTEMARVTGVPFNFLLARGQQIKFISQLFRKAL EQKLVIPDLKNDDNSNQQYEGATVIEPVRDYYPVPIATLDFASLYPSIIQAHNLCYTT LLSKRTVEKLGLKKGEDYIVTPNDDMFCTTKVRKGLLSQILEELLTARKRAKRELAVE KDPFKKAVLNGRQLALKISANSVYGITGATNGKLPCLPIASSTTSYGRQMIEKTKDEV EARYTISNGYTHDAKVIYGDTDSVMVKFGTTDLAEAMKLGEEAAGFVSSKFMKPIKLE FEKVYYPYLLINKKRYAGLYWTNPVKYDKMDTKGIETVRRDNCLLVQTVIETVLNKIL IDRNVSGAETYVKNIISDLLQNKVDMSKLVITKALTKEEYTGKQAHVELVERMRKRDA GSAPTLGDRVAYVIVGGATGAKNYEKSEDPIYVLENNIPIDTRYYLENQLAKPLTRIF EPILGEKKAAQLLKGDHTRSITVAAPTLGGLMKFAKKTQTCMGCKKPLVGKEEMSGAV CSNCRPRLGELYTRTLNKVSELEVRFGRLWTQCQRCQGSLHGEVICSSRDCPIFYMRM KAKKDVEDSEKELARFDNDPGAW TRV_02481 MSSRRSHRKSRTGCYQCKRRRIKPPNSFYASFVPLPTTQPETTS DSPAPVIAPPIAPSPITPASGPTDTPITPATSAPRHTPTIPPTTSAPIQFRSIAPSAT QLPAILNQGPPPSPAWATGIERHSIFSSIPDRFREDKSLNLEDLELLHHYTTKTYQTL SNNNEHEEIWKNVIPGEAIKHPFLMHGLLALAALHIIECHDPDDGEIRRKYSALANKH QNLALATFRPELNNITPSNCHAVFAFSSLIAALAFAFSRSERNSQPADHIEQAVQDFY LFRGVDKVLHAQWSRIVKGKLGALVRRPADSSAAYPLSKDVIDNLDYLHSCNGDSAAH IPAEEKAIYNHAIRELRMSFERSPSSWEGVFRWPMVVPEPYLGLLNSRKPMALVILGH YCVILSRLDMCWWSEGWSQHLFEAIYKSLHPSWRTLVQWPMQMIGLSEQLAHIP TRV_02482 MSKSMFRSPQDDSSSEESGSISSEEEQENGHTPRQDGNSSSAQD IEPTSSSLDTESTAGEILGGGGGGGSFYGDLGFRDIPDVDAEGHAALMTAALLEHYCL TKACGILNEQSSSRGQYTLESPEVKLLGRRLYTYQSKFLSSHGIVAPGVDNDSWESTR QYYREGLDTIGMAALDGLNLNARAVQTPIDTPQTPLMALGTKEDDAVAGENRMFSMKQ PTNISLQRLITGRADVSQSQNQFTSGLLNRLQRQATPLARSISIPFLYSHPSGVGGSR YATEFEEEALIGRGSYGMVYRVRHHVDGQDYAVKKIPLSSKKLQQLQERGLREVDNIL KEIRTLAKLEHVNVVRYYGAWAEYSPTPVTSRSSSPPKRQQTLLNTPYAEYDGSASSC GIVFEESDHGVVFEEPSKPSPAHEEEHEPSMDRLSTGEQDRRRGSFATVSSERSLKSF VASVEEGETDTIDDEHVESIPRQLNFTYSGQTSASESGPDIFSDGLGGGGSNMQLDRK SRPDTAAPVTLHIQMSLHPLSLAKYLVPQVDSGSPSVPRHCYHIIPSIKLLLGILSGV DYLHAKGIVHRDLKPANVFLSPSDTEQDTHLCPICRHDGHDQKIHYSVPRIGDFGLVA ESPTYGKSTIPEDLPSMPARPVGTEFYRPPAHSFRAQSSGDLHPYADNSLDIYALGVI LFELLYKIDTRMERQMVLSNLTCSPSNLPQFGESVPDKKECSCPALPADFTDKIVRNC INHGRSKNDMEHDHSLSAMAKDIAKRLAMCIMGMVELDIRHRSTCKKVREELEEIRDL AESLWKGS TRV_02483 MGFIRRRLILPLLLSSIFLLCLLRSNSVTSNGSASTHRHRHYGH WWKEYAQDHPVTSMIPLPTQSPADIPTIQHDFEEEDAAAKAVRENRRDAIKSAFLHSW YGYKKHAWGMDEVGPLSGKSKDTFGGWGATLIDSLDTLWIMGLKKEFEEAVVAVSRVD FSATDTLTLNIFEITIRYLGGLLAAHDLTNGAYPVLLEKAIDLGDLLYIAFDTPNRMP VLRWFWLASKEKRDQDASNINVLAELGSLSVEFTRLTQLTGDPKYYDAIQRITNVLDK NQDYTALPGLWPISIDALTPNFMSDNRFSFGGLADSLYEYLPKEYLMLGGRSSQYRTM YEKAIHVAKKHMFFRPMTENGDDILISGTVRARGRSINLEPDSQHLTCFAGGMVAIGS KIFDRPDELDIGKKLVEGCIWAYRSMPSGVMPEAFRAVPCFDEHVGCSWKIREWLNSE DDDQQPIEELKRRAKERGIYPGFKDISSPAYHLRPEAIESVFILYRITGDTSLQDKGW EMFTAIDRMTKTKFGYGAVEDVTAAHPIITDEMESFWTGETLKYFYLLFSEPDLISLD KYIFNTEAHSLLRPS TRV_02484 MGLGRVKSRAKVVWEIFPQPVTLRYGVVTEEWNIKCGLLPCLQN FGCPGDFSSVASNLPSDWESFILPHSFVFLHYYPFIRSLSSFHSFTFVLFIIFDYQDN GSRLRMVVFSTLWFGLLFFVVTTQALPSQFNFHGPAPLPSEDPFYQPPQGYECFEPGT ILKHRKVPNPIVTLGKIPVQLSGAYHVMYRTSDNFGNATVAVTTILIPKKPDYNKLLS FQVAEDASSPNCGISYAIQRDHQAKPKHGTIITRVELFLIIAALKNGWVVTAPDFEGL EGSWLANYRAGYAVLDGIRAALASNCFTGIAQDAVVTMWGYSGGSLAAGFAAELQPCY APELKIAGAALGGTVPNITTVVHAANKSMWAGLLPAGIYGWSRDYPLVETTISVMLRP ERRKDFLKVADQCFAANLVDFAFHDILAYFKDPNIFDRPEYVKIVTENSMGKSIPQIP LFVYKSKEDEVSPVEDTNDLIKYYCDNGANIHYNRDLKAGHLLLALSGAPSALNWLAD RFEGKSVSTGCRVTEELMSLTDPQAYRVLGLALIGELLVLLGKIILGPTSLAW TRV_02485 MESFDDVALSLKSLAEMGSFSGQSAGCQPQPHEPSDIRRTSFDK AAQRHDDEGLVNIKEDNNSPNSSLADSPATVLNPYDPQRTHGDKNRQTSQQASNSTSV DNSFEERRGSTSMLIFDDDGEGGDEEPHVAKSFERTTSPTSAAHKGRDDTDTRSDGSS MGHAGQDGDLPFAKMHKFSLYETATKYYLVGMDLLDRRFRMLKIDRTSDPEEDLVFAE DETIYSKKEMNQLLDAVDDGNKSSGGLKLRCSTWGLLGFIRFTGSYYMLVITKRSQVA MLGGHYIYQIDGTELIPLESSTTSRQRPEKHADEARFVAVMNNIDLTRSFYFSYSYNI SRTLQDNIVAERQAIRSGQKNRGNGDPNSMFVWNQYLLNPVIKLLKNAFDWFLPITHG YVDQSAISIYGRLVYLTLIARRSRFFAGARYLKRGVNDLGYVANDVETEQIVSDMLTT SFHAPGPELYANPQYTSYVQHRGSIPLAWTQDSTGVTPKPDISLSVVDPFYSAAALHF NNLFERYGSPVYVLNLIKAREKIPRESKLLTEYTNAVNYLNQFLPDDKKIIYRAWDMS RASKSRDQDVIGTLESIADDIIPKTGFFRNGEDGASGLRMQNGVARTNCIDCLDRTNA AQFVIAKRALGYQLQALGLIDHTYIDYDTDAINTFTNMWHGHGDTIAVQYGGSHLVNT MATYRKLNQWTGHSRDMVESFKRYYNNSFLDAQRQEAYNLFLGNYVFSKNIPMLWNLS TDYYLHHSDPRTWLATHKQNYINWYNKEHLQKREMPPAPSASSALASKRIREFDDYWL EYYRPRAISSFSKMFSFRMRTKLPDYQLQSMHPGEHDLSPFVVRTDHEPDHQRDRRHT PNKRLTILEPSDELKDIKSGSNNGYSGAMPLQHWLQPSSGRLIPQAGILKGTQNALVT NSHSTNDPISVTNAYSQLPYNATKAQVAQWSFGQMIAESLNPSLSSAEAEEYERYVNH PLKVPLVVTSEASLTAASLEERGSNLDLFEYANQSNLEDANLNSIAESNMADYTDFLT VGDEGLTVNNEDYDKKRYKRYRQWLRGKSLFKQGVEV TRV_02486 MDKCRETARKFVKQATSNSSLDIYTQAVTTCNVDLEGLWSDISE HKGDNNLPFLQEKSLHLLGVNFNLRMEEEQKNTIISKYREDRLKATIGLRVIALIAPV FLMNEAMHVEDILSNLAMFSSSSDPWTTVEGAQLATDLLQRYEIKLREEGKFGTIIEG MLRRKVKPAFSKTKTPAITSAGRKDMHPIPKPSFDPTLFDTGTKPWKFKEGYIVSVLN WIVRQYQNTDHSMIEQHFPLLIPAILSFIDDENIAYKAAGCYLIEVALRPLEQAGSDI LRRTNLDSVFQDALSHCLLSIPTITPEKESVYLLSFAYPAIFTVIRTRFSAVTKYQGY SDKPLSTKSKADLEKDSQLRIESLSRLVRHHIISSYLHTSSPRPTEDTSISSYPHPSL STLLLKQLAEAVTSLEIEAAKYLQDIVPLLSSTLTNPFGLAYVPLLIAASQCYQSVIL NCWPRLSRWRGDILAGICACWLRLCDEKEDGVSSNDEQPDDRGHLRSILKRLVILLKA IEFDKVFDFEAELKELVDADDRLETLLR TRV_02487 MTRGAGVKVPEVQLSSEEALLRTLLLDTVEYIRRKNNDDPKGSG LILRFTGGWVRDKVLGVDSQDIDVGISTMTGYEFGLALKEYLDIPENLERYKAHYPEH ALKGVIGGLHKIAANPEKSKHLETTTIRVFGFDVDLVNLRKETYTDTSRNPQVEFGTA EEDALRRDATVNALFYNLHTGEVEDFTGMGLSDMEAKLIRTPLAPYQTFKDDPLRVLR LIRFASRLGYTIDPNTEESMCDDDIKHSLRLKISQERIGIEIEKMLRGPDPLGALMII NRLGLYDTIFSDHLSATDVDTSSWPVAYTLLNSILDETDYPEVSLELRKSVKSFLIRD KDEKYRSWMIVALSPWAMVDVKQPLTEEEARKMAPRPTRVARDGLRCEKKLTTLLSVA VTRYGLISDVKTAYVNGMSDTTSLSDDRWKLAKLLRSLGADWRLCFIQAILLDVMQGK AAQAVLNDYLEVLKFLEQENLLEVTNLKPLVNGRDMIKALNSKAGAWLTTALEIAMEW QIRNPDRTDAEGAIEEAIRRKDEYQ TRV_02488 MTTPEDAPPIKPPPSFSPASPLPTKSRYAERLQNTQQSALTSLS ESALPNSLASELQSAPSSRASSPDRRLSRSSQRLSRSSTLSPARHQIDTADDIRSTII RAFSPAISVYASQETNELVRRKGLKGGFCELLRPFGEKITGKVIIRDGVGSSRSWDDY GVRFVHSNGSRQPSAAGAEDIDKIPPLTQIEKVLETHLEPSNRAASDVIFAGQPTPKG VTLSSPLYRLFLRRLLSADAPTPHETFLHPVACVIAISSSTPSPLEALRQLYASTSHG EQGPRPWIHPEYLRYYVLVHDEDRDDITQSTALFDQMKRHFGLHCHMLRLRSNQCVVT DDDDVGWESPHIFESDVTAIISFIRELVAQSVIPHMENRVAMWNEQVASRRRGLSGRF MSISKRWTGFGSSSKSSGAFGGGGSGSNYDPYQGYYKPDSPEALLRKMADYSFMLRDF KLASSTYDLLRSDFGNDKAWRYHAGTHEMCAISMLLNPLTSTNKSKIDTVDQLLDIAC YSYLTRCSDGQNTLRTIILGAELLKSRGGSAAENAAKWNMKVLNMNLVGPIGRILVSE RTSACFAAKVATEGTKWGTRRRKAGMWSLLAADYWLAIGKPAFASSCLEEAERYYGPI LEEGLFAFPDLRAYVEELRLSVKMGCLEAQGLEGEEEEVSEEQLDATEDMNEMPTFRR HRRSILGSVGPLENTQSAKILRREDEEPEDDEFE TRV_02489 MYPVFCTTNIPPKVLDWFIDEAYRGILLSEEDSDPPGAPCILQT TDLSSITHGSRKPMSDFESPFLNYTDNEIRDWMEHHPCPGFAEATFTIVDQLTIDEGT CRVGYCNSFPDPQPDTRMFTTIPYNDLSVRVTIELGEISWCEIVEETEGTFTRNDPAA AKRAPETAENIREYVRQHGPAGMTTTAGQLNPRKITKLRRNEAFASHPPVRGMARDEE PPAGFRKKGDPFVLRITSVEESNWESLEDIPRMGSPAMAAKLRHRNKKKKEGV TRV_02490 MFMLQFAHIFGEETLLSTTDFNVLLTFLSRDRNIILYDGKTVKF RDASDTTDRITEEDASIASLKATIARLTLQVSTLSEKIKELTGNAQKALANKNRILAL SSLRLRKLAERNLQQRTDTLWQLEEVYSKVEQAASQVDIVRVMQASTGVLRQLNSQLG GVDKVEDIVEELRKEMDNVDELGGVINEAGPVIDETELDDELKELEDQEREAGEEKDA EETRKRLAELEKNQGVIKTPAARATDDDLEESIDKLSQMAIGDHPTARAKQSTKALAE TIE TRV_02491 MDRNFDIPDSTDWTATPLPDVAHFESALRCQICKDFFDNPVITS CCHTFCSLCIRRCLSSEGKCPVCRSNDQELKLRRNWAVGSLVESFKTARPSMLAFVRN NPIHTTLVSRPGSEDAVESPATKRRKIDHIGGDSATGLETSRRTRSQGRRLENNAKSE YEPVIIPDSADEEDGEKEDEEYQPDDGLVACPVCMRRMKNEAVFSHLDNCTGTPEKES GSKSNGTIGFQGLRSSPSTPKTFTRLPTINYSIFKENTLRKKLKELGIPDWGPKPILQ KRHTEWMNLWNANCDSIYPKSKRDLLRDLDVWERTQGGLATSQASSRVNSAIMKKDFD VTAWSQSHDEDYRKLIAEARSMRAKRVAVSNGNAEGQPNEEEVNEGSLSAPAPTPMAA VTTPSHEPVLEQPSEAKETRGEQDHPEIEPDSTDKSMQVAVVAP TRV_02492 MLYEFHRSENEKKPKSVHATYLLTGISGHTKPLPLSRNHLKDGE DEVMQSSPVVGSQPAQPETTETVAGPAITSVLLVPEEELEGKSFESGLEPDYANIFYS VQPTSLPDLNVLADIGQISSTSPGDDVFQHNEKYGMIQNKNVKRRSGTPASMPTPIKE ENPIQKTVVKKAEQEPPGKKSMTQSTDKKPEPSSTPFRALGSSQSTTKSSQGKPTHKR DSSSIFKAFAKSRPKQQAKDDDADSTPNESQNNMLDDESEEEREDLFLDTGKKTTNKE QESRRDREERLRKMMEDEDMPDAPESPPEEEQAPEESDQASLESTPALPQKKQEPELE PESSVSETRPTGQRRRGRRQVMKKKVSRDAEGYLADKITVSPAVTKEEPVWESFSEDE PEPQKRKPLPSSSAKSAKGGAKSSQGSIMSFFGKK TRV_02493 MRFLEIAVLGALAYREVSAADSWATSLHARKQDIMEACPDYVAY SQTKHEGPLKLSYQRPIPECRTFSSPLVEKVIKDITSRMVDKDLARIFENAFPNTLDT TVRWHVDGTEKKKRSSKTKRAGGVWEGPQSFIVTGDINAEWLRDSTNQLAQYQKLANG DPKIKNLILGAINTQAEFVIQSPYCNAFQPPPPSNLPPTNNGQMDQVHPAYEPSVVFE CKYELDSIANFLSLGNQFYEETKSKDFLTPRWYEALNTVLRVLDEQSQSTFNENGQYR RNEYTFQRHTNAGTETLSLMGVGNPLGNGTGLVRSAFRPSDDTSILGFFIPANAMMSV ELKRTADTLSKVGGDKDLIQKLKRYSEQIREGIYNHAVVTHKVWGQVFAFEVDGYGSN ILMDDANLPSLLALPLLGFVDQNDEIYKNTRKMILSKTGNPYYLTGSAFHGIGGPHSK LYFPCYLFPTSLLTVLVAVGLQNAWPMSVLVRARTANSDAEVMESINMVRDSCLLGLV HESVDVNRIAKYTRSWFAWANSVFAQTILDLAENKPHLIFGKGAKPYIVSSDAHI TRV_02494 MAEKRRADFLDIGSDDEGSEAGYNSEDFEELKGKGTNKRKQPKS TDLQSKRRRLSDDESDGGDNQDESGILDLESAIDDITQPSKNGETSSRVSADPATPGE SATQKLTPSSKATKKSRKNKTGVIYMSSLPPYLKPSALKSMLVARGFGPVTKIFLSPY VPPTSASRAAIKASRNKRRMYTDGWVEFESKKTAKICAETLNASIVGGKKGGWYHDDV WNMKYLRGFKWTDLMEQVQREKREAEARRRIEDTKARKEEKSFLQGLEQGKMVEGIKK KREAREQQAGGNEEPADKKMEIRRVFRQNEVRGNSGGSAGASGSSKKVDPDTQRVLSK IF TRV_02495 MSMDLDAPVSMQPVEFHPQQQAATILCCNCGAPIDGTTAAGALC EDCVKLTIDISEGVQREATLHCCKDCERWLQPPAQWISAALESRELLALCLRKLRGLA KVRIIDAGFIWTEPHSKRLKVKITIQQEAFQGTILQQAFEVEYVVASQQCPECAKSYT VNTWRASVQVRQKVPHKRTFLYLEQLILKHGAHKDTINIKEVKDGLDFYFSARNHAEK MVDFLASVAPIRVRKSQQLISMDVHTSSKSYKITFSAELIPICKDDLVALPIKLARSL GNISPLTLCYRVGTSINVLDPATLQTADIPSAIYWRSPFANLADIQELVEYVVMDIEA IGQSSGRYHLAEATVARSSDLGVNDTTYFARTHLGGILHPGDTVMGYHLTGTNFNNAN FEEIEASHTYGSTIPDVILVKKHYPRKRKNKKRNWRLKRLDREEEDERPSKKQNNNNR LEDDFEMFSRDVEEDAELRSTLALYKAKHNQKPKNVEADAMEMVEEEEEEEDDDEVPE INVDELLEDFEELHVDE TRV_02496 MSGIALQGFRCHACRNAAFRSFAAISSLSSSTRRPQSSISYPGS KLYQRNVRTTGRRQFSALGSVQSQADSDLPTKTETKEDTSAESSHIPWYLQDESHKPS SHPLKQQELPPLPENPPPILENLLQYISVDAGLDDLALLDLRGLDPPPALGANLIMII GTARSVKHLNVSGDRLCRWLRSNYQLRPVADGLLGRNDLKIKLRRRARKAKVTGDASS LNSRDDGITTGWICVNVGDVENGPLKTKNSRNRNFIGFGGTEDKVRIVVQMLVEETRS ELQLEALWGSLLNPEAGEMNPAPRDDVWGDLSSETTSSGKGVDSIASTFSQRFPGRRH IHTQARPNTPEPLSHETLGDIVQESRPALPSKIVSTSMASPNVSSLLEQLSRLPEEEA RRELGLGPGDRDSTLFLRLFYEAVSKSDTETVLIDKLSFARAAVLLKHPAYRKADLYR AFKSMAASGCSISEELAMDTVRTLLSFQGPENAANERVPEQDIDLALRVLEHMSLRGI NIFNGEVFFLLHKASAFQSHVPANDAPGAMNTSVNPDSVSKVQVEELDRITTVHNRLS KLMASANVDFDYKDYPELLKMYFEHGNYSNFWRLWHRIPLMQIPRTKELYLLMFRLHA KLGHQRQAVDCLSSWVPMMAREQPPVALDEELTRAIMACMLVADPAIDQKTDDGTVSQ FTRLWKQCLRDLESFKAANSQ TRV_02497 MEYMSSLQNNFDDFKPSLFELLSEQQLSSLLPPSLRYLLAVATH RHPRYLLRVLNSYDELYALVSLLVEGYYLRNFGGSFTENFYSLKRERVLALRDGEVPR AQLGAGGPVRETLKLRDSDIWRNLVVMVGIPYLKRKLDEGYDIHAAPHAALVSGMGGG PRYHPSDELPHNPTVKQRLLFYYKWFLRNVYPSINGAYYFSILAFNLAYLFDNTKYSS PFLWLIGTRIRRLSPADHKAIAMATAANTPHTGASRSRSRPSALSLLNPQAIYPHLLG SLKILLPASIFALKFLEWWHASDFSRQLAKQATQSIELPAPVVTGIPSKSTATDVKSE QSTLAEADRPKQCRTKSPISSITLLPIFTVPIPPVDPDTSQTPCPICLNALVNPTACQ TGYVYCYTCIFRWLNGEHDRQIDFMNGAGNGAAWEDDDKDDENEKGGKDSASREGRWE NGKGRCPITGRRVLGGTDGLRRVLV TRV_02498 MKTINNTEGVRGLFRGHSATLLRIFPYAAIKFIAYEQIRAVVIP SKKHETPFRRLISGSLAGITSVFFTYPLELIRVRLAFETKQGSKSSLRNIFSQIYNEG SIVASSTDGAASASTAAAVVEKVKPRYGLVNFYRGFSPTMLGMLPYAGMSFLTHDTVG DWLRHPSIEKYTTIPHSGKHTPQGQEQTRSHRPQLTATAELFSGAVAGLISQTSSYPL EVIRRRMQVGGAVGDGHVLGIRETAQKIFLERGFKGFFVGLTIGYMKVIPMVATSFFV YERGKWWLGI TRV_02499 MHEGRVERRASSRYLVKLTNRNNEEALVDDEHLVRDRKVFTKQM LRSFIKNTVTRESWSGAPWLVKPQIAEHFHIDTEVPKHLQYGNKAGKKANVSAEKEED EAMFGFFASQSRPINSRNKGQKAKSAHELAKAKEEQYLAYRRALNGNPSFRVDKKGVN KDVIPPPDPHENSFNDLSIVIKQKSPSPLPPPIKYPIEDLDLPPTSDRPPRPALKFLN EDEAREEGSSIKMSSIGALLETWNTLNVYCEVFQLDSFTFDDFVEAIQFSSDDMDCEL LVEIHCAVLKTLVNAESDQDGAVQISLPALPEEESEAEEEESEPEPEPEPEPPRRTTR SSFAKAQAELAEMEQQKPPETEAAEVKKHCAADMFLEYDWIDRLRKRDFKNGGWEMIL VGLLNRLSLKPRLKEACEEILVHMAPLDADPTQETARIQYSTLDVNLRIQILQIICML TVETRAVKNYMEECSNQMTEFRKEKIELQRARKVVLEEIRLLHEERKQLEPEQRDTPT QQDEEMLDAQIDATDDAESAATDSDEPTGPSLRGGVDRTMERKRKQEAEKERKEQATK QPKGSKQYQRVLKKMEDEKSKVASIESEIAVLDNDLREADCPRTRVLGKDRFWNRYYW FERNGMPYEGLPDSSTASANYANGRLWVQGPDEMEREGFIDVPEQVSQEYKQRFGTTV AKRKQAEEGSSGVSSAQEWGYYDTPEDLDKLMEWLDTRGVRELKLHKELKLQRNHIAK YMENRTAYLAEKNAPAEESSDQPAMRMSTRTKGQATDQKRGCLKWRNLTALKEIGHLH VESARPTKRAKKAAAAESAKETRMTNRQGRPLGRQGTR TRV_02500 MRSAETENGMMLTSWIGSKSTDFEVHRNWLAITHSLPVKEWYYE KTSEWTLDYPPFFAGLEWCLSQIAAFMDPDMLKVQNQNYDSWQTVYFQRSSVIILELM LVYALNRYIKSAPNQAAKELAHAASLSILLSPGLLIIDHVHFQYNGFLYGILILSIVL ARRQSTLLYSGITFAILLCFKHIYLYLSLAWFVFLLRAYCLHPSSMFRPQFGNIAKLG VGVVGVFAAAFGPFAKWGQLLQLKDRLFPFSRGLCHAYWAPNIWAIDYKRVYLWADVC IPSCEFTTPVGQLTYITTKEDYETNYIQLCLVKLWFQPDWDTFVGAVTNCAFAAFLFG WHVHEKAVLLIIIPFSLLALKDRRYLGAFRPLAVAGHVSLFPLLFTAAEFPVKTVYTI FWLVLFLFVFGRLAPAPLRPRVFLLDRFSLLYDTVSIPLIVYCSLVHGIVFGKRYEFL PLMFTSAYAAVGVLGSWAGFMVVYFTT TRV_02501 MSHVGNGLPGWTVSGSGFLPEMVQRADRIHRIPDSEWHVAFDFR ANGEERSGGNIQLWYVKQASAVGTSSIYTVGKFDGLAITIDTHGGRAGIRGFLNDGTT DYKGSGNVDALAFGHCDYQYRNLGRPSKLQVKQSSREFEVLIDGNTCFKTPKVFIPPG NVFGITAASAESPDSFEAFRFVATTYPGNNQQQERRQESQHRPGQANYDKAPTGSNVD IKDLQDRLQAISSTTNKLIDELHALSATSDDRLKEIIRMVSHRDQVSSVDQRLQRVER MVESMQREVEGKDYHHQFRQLQDLLHNSHSGLLDTLHDSSHRIISAAPRMGFFIVLII AVQLLLAVAYVVYKKRRASMPKKFL TRV_02502 MAPRLRSSSRTTSRNNTRPSSPHSAPASRPSSSSTSDTARPKKQ RKTGTETRTPVDVVEEEERQAERKPAGSQMDTVLEQPEQAVGPQMAVLPGSQQLATAA GLSQSQDTQLAGQSSSVLPAPEQSTETEQPVPAAAPRADEEIWVEPPVAIPKTTCRGY GLNRGGPYGSMLPLGTRPTLAARRRVGLEPPAPTTTANRVTKRKGGRAAKPKQTTKNA DERPSTASTSAEEAAALSDSSRAEGIIQGVLEHEERSRQQPPAIRITRSRAAGKDKLD DSADSSQSAGPAVPSSRKYTSEKLMDILGSAISRAEESQDSKVAGGLRWIKEASASDP FLLGVLEGAINRSADAHHRSAFQALMKDAVKRAQAQPEQQQQLDDSAAATDMVRTASA TTTSSLSTAKSLDADAFAPVAAEPDSGNAPTTTSAKGKGARAPRSRARGGRRRAGPSR ANAAPPTNSAFSRKRKLEEDPEFSEEAVAAKRRALEEATIAVTDEAEESNVRTAMEPP QGWVFPGPSVPSDSEGQGSTRPVPVAPAPKDRAARKPTTVGGSSSKRVRKPRVQADEI DNIDFCRACGGNGQLLCCDGCVDSFHFTCLRPPVDPKSPPAGQWFCPACEKKGLLGGL AEVMDSVPQTGFSLPAEVREYFAEVETGPGGEYRDVRALPEGSTRAKPARGSRGGVVE EQDPLRILDSHGKVIACVRCGLTSENRRPVILCDYCPSAWHLDCLDPPMANPPRQKPG SDKPYHYWRCPNHLEDALEQHYPGRVRRPRNPKYVDIEVLPDADEESVIKELDQEAVV LRVRERGLVHGFITHVLSERAAEEEARAKEAGDETRTQGEGQDEVPPAVPTFSQLGSA EQDAVMGLMDISGNSGAKRAEQIMSGLIDGTPEGFRACSNELEILQAVQELIQCFVPV LLALVPILRNVLEESLDQYVYLMAVSAFFNRYGPILSTLSNWNDVPVSVLASSYPLAH SCLFLSARGNTQPIVTNLDVTVSPYRLFCMFLVDYRVKTGKPNNYHSHLLRRIFSGTS QHQPSRQQVSISNTFSKA TRV_02503 MANGDVDALFVERQAARERVLVEKGREEVKLSRDKPRKMGEAEG SESTVDSQVDEDVEDEAMQKKRQKTYQGVLSGSKDVKEADLEDRTGQDRLMMKMMTM TRV_02504 MAENTEIDYTLNNPDTLTKYKTAAQISHKVLEAVSGWCVEGAKI VELCEKGDKLLDEEVAKVYKGKKVSKDAEEAATELKANEVVKIQLGAQIDGFGTIVCD TIVVGGKVTGREADLLLATHYANELLLRLMVPPGLVAQGTEEEKKKAAAEKPPTQAKI SSLLEKVAKSYDCTVVENTTSWLFERNEIEGSKKIIVAPGTGVKGEGTPEVQEIWGVE VGLSLGSGKVKTLEHRPTLHRRTTTTYILKRPSSRQTLSEIVKKFGTFPFSLRQLDDE RAGKVGVVECVRGGVVRQYEPAGEADGSPVSRLLTTVGTLPISNTFKYICMLLYANTR TAILKNGLSRLAAPPPLDLEKVQSDKKITDEEVLAILERPLAKSTGSKGKKNKKKKKK PAKKAVEEEEDDEEEDSDEE TRV_02505 MKMKMKMKMKMKMRTSSPVFVCVPVSHLYLLLLLPPPLLLPLSI VGFCYENAGHAGSMCKTGWLVQPSASFGYPGGLAVEAT TRV_02506 MKLGSLLFRILTHNIRYATTSPSAGEQPWSVRAPHIVNELDYHS RLNPESFVCLQEALHNQVNDVLAGLNARPHAQADEWAFYGVGRDDGKQAGEYSPIFYR PAVWTLQEKETVWLSETPSVPSKGWDAASIRIVTIGVFNHRASNTTVLAMNTHLDDQG SKSRLESAKLILSLIQKYLARHPEVKGNFLAGDFNSAESQEAYREFTKPGSSIVDTYK KVPAAQHYGDEITFTGFDGKTKGSRIDYVMVGPQSSSASIPFTVNGFLPYIKFIFFYS CIFVLRSSFFVFSLFFFFFFLGAGLLMS TRV_02507 MPWPEAEENTGQPDGALQGSHSHSHSPQPVAPAAGSSEQPAESP QDQQLAPGGHNGAIPAGILEGKQNARAIMGASELPDGPAHAAEGGGEEGSGGGSGGGG GGGGGGGGGDGDGDEPARQGGASSPSPQETSITNGNGVSRKRSRSGSIIQSTAAAPAS AAAAAAASPVIEDDGLPRKTPLEKVLLEDYLYREWGHSALAATRNTHQEVLRQKLQER DYYLALNQERQANPAAIFGPGYEGYGNTRTDLRSQHPQLLYPSNRRRPGGRKAKELRI PREDMLTQSDQDEHLVPIRLDIDWEKVKVRDTFTWNLHDRVTPVDVFAEKLVEDLGLP LESCGPLVRQITQSIHEQLADFYPQVFIEDEPLDPHLPYSAYKNDELRVLIKLNITIG QHTLIDQFEWELNDPHNSPEGFAIQMSQDLSLPGEFTTAIAHSIREQVQLFTKSLYVV SYPFDGRPIDDPDLRDAFQPSPIPSTFRPFNVAKEYTPYLYELNEAELDRTEGSISRE QRRQKRVNRRGGPILPELKDRQRTIRTMIVSSVIPGCASSIEESRLFKRSSTSRRGRT STGHRDGLEESESSESEESSIGSPAMSRLNQGTARTRGMRQASSMAQSAIRGQMGRSA TPETTVSHSHETRTSARRQNYREESSEAPENLIVKLKINPDKLRRLMRDLKSGSRQYT GSPGGPSSTPTGKSSARGSMGPPPSQGQPGSATKKPTNPPQFHGVIDAPHPLPPGTQP WLIQGLVGLKRQYPNDSFEGTMRYTAVDPNTNLPIPNAAQTHPGQKLPYKYFPRIRCN DCPGKLYTPGPAMTVENFEVHLKNRNHKEAVAARLAREKGGSGPPPVRRTPSNSSATK ESSAPTGTSTSAGNDSSGAA TRV_02508 MPARRRTRKSAKAAPVEEVEEAVESEEQEEEEEEEEEEEAQSDQ DKGDGSLHKLQFNQAISWKAGKAIPVAHLLQRLEELGSELRMLDQEDIDRNSLTKVSQ ELADGHLLGHRDKGVRAWTACCVVDILRLCAPNAPFTVNQLKDIFTLIVTSIIPALAD PSNAYNDQHIYVLSSLADVKSIVLLTDVHAPDTLILPLFSSCFDIVSGSSKASTGEDL AKNVEYDMTRLLAPIIDEAPSLAPEVIDVIVAQFLRVDPRAIDHSLSTSTGKGKKGAT GVVDAKQGTLLLKDYPPAYNMAKAICNACPEKLTSYISQYFNNVILDASGPSGINGLK NRRNSLDESEDEGENIKDLNKAHRLIRELWRACPDVLQHVIPQLEAELSADSISLRLL ATQTIGDLAAGIGVAGPPPDPLLDPAAYPRPSLSDDTESVSQVNALLNPLSPKPFSQS HSSAYESFLSRRQDKSPSVRASWATAIGRILLTSAGGTGLNTAESNNLIAGLARALGD ADEKVRIAAVEALAKFGYKDVIKKLGSDGGLSEPDSLLSVLAARVKDRKHAVREQAMN VLGKMWAVASGDIEANNEEVMTVLKDAPSKIFDAYYTNDLDLQVLLDHVIYEVLLPLT YPPIKSKQAKGQSQKSKTAKGNQEDDVDPDSIRARRILTLVNGLDEKSKNVFFAFQSR QLKMRAFMDFYLTACEEYNGGVMDDNEEAVKSKLTRVIDQLSKMLPEASRASADLWKF AKMHDRRSYQLIRFAMAAASDYRIVARAIRELSNRILSSTSATTTMLESLIPLIYRSS SLIFNRSHIPCIMTISRTDEHGLGNIAHEFLRETSSQNPEVLETHVQEMCKDLESQAP NAQRSDDPAVEEILKACAGFAKKLPAKLPTHKQFQVALINYAMYSSSPVAAKCAVSII MATSDKKEMYARDLVKKSVQKFTYGSNHFLTKLAALSQLTLLASKEVDREEDAILRIA TDQILFKNRNPDPNPGYSWSDEADEELQAKEWALKILVNRVRSREYSDDDEEFKQYAD SVYAILNTLIEKHGELSKTEDTPWSQRSRLRLMAAKLVVKLCASKSVCDRMFTPQNFN AIALVTQDPLLEVRRGFIGQVKKRLVQTPNLNPRWYLITFLVAFEPNGNLYDSTLTWI RSRASFFSRRPSSSAAGPDQQSSQTTMEALFARLLSLLAHHPDYPPEGSDEMTIEEDL VSFSRYILFYLSAVANENNLSLIFHIAQRVKQAQDAISDPEASAIMSARLHTLSDLSQ ALIRRFAECYSQQHKIGGSGSSGVANILQTFPGKMRLPSSLFANIPSHEEAQSTAEKN FLPEVVENRLDRVVRRFMKPKAMNASSVAGKKRKIDYKSSTKPTADDDDDNAYENGGS SKKQRKEKKQTSSRTVPIRRKSTAGGSESKRKKKKDGDDWDSDGDEGAKPSSAAARRR SGRGTKNMNVSYAEGDSDEDDKEMQAWDERGEQREEAPDSEEDDSDNDSAEEDEGEEN NLPQVPEDAEMSDVPQDLSTPEVSLSPTPEPSSPAPETRKSKRGRSAKSAPKAVKNPP GRPKRKVKA TRV_02509 MNNQFLPSCLPSSLLPELTTEPGIGDYSVLTMAEHTPASHSMDP EKDANIPELALNNSNLPESSRVPEPDLEKGEVPGSVPSPPLSYDEPKKNPDLVNWDGP HDPENPRNFGRMRKWYIPMMLSLLTFCITFSSSVFSQATAVTAKIYGVSVEVTTLGTA LIVLIPVAVAQNLATILICRFLIGAFGSVTLAVVGGALVDLWEPVDRGIAAAGFCAAT FLGPIAGPIIGGFIVPSHLGWRWTNWITLILDAAVGLVALFSLPETFAPVILQNRAKR LRYETKNWALHSTLDESPLTINDIFHKYLSRPFEMLILEPILSLTTIYLSVVYGILYL FFFAYPISFGEVRGWEHPGVAALPFIGLLIGILLGCGVLIYISKHQYAQKLKETGNLV PEDRLPTMIFAAFTLPAGLFWFAWTSSPHITWVPQVIAGVPIGFGLIIIFLQGINYVV DVYLIYANSALAANTFIRSLLGAAFPMFASQMYHNLGVAWASSVLAFITLAMIPVPIL FYVYGARIRAMSRFVPKV TRV_02510 MSDTCIVCLGDLGEGDAADVVPSLLTATSQPPKENTAIEAVEIA HLLPCGHNLHNECLKPWVERANSCPICRQNFNVVELVTKVGATFFDRTILTVVSFAGP AISSYVVEDRIQVADIDPSMLGDDLLEYTDEFQPCSICGDDDNEAVLLLCDGCDIACH TYCLGLDSVPSGPWFCMQCNSQRALSTPAPAPSLRVSRRRTRADQLRHIANTQVNALH WARVWQSVWDQLHLDLDFPFDDEEENGVSRTLLQRRQEETNLREFRAWQRRIRVAERQ GGSSRLREAVPALLEMRRGWPSRPRPRIQTPEPESIDEIRAWNAFERANAVQEGTSCN KRKRKSPTASPVEPEPAQPERKLKRPRTKRPEALADILDHGGESSSRGSGRLPNGDDQ PLNSHSPPSGPSFLQSLLKEVEESSTPNMHTVPLRLASSPYNPTEMSSSGPSSPALSP LSSSRSSSRPSSTTPPPRSVDSAPSDFNFSSPEFSPSRSPAPTRNPSSEESLRRSRRR IPVGLQPCHDLSSSSHPLRSNESSPTRGLSLSTKSHLQRMVSSALKPYYRRKEVSKEE YTDINRRISRLLYDQVDASGALDSETKSKLAIIASEEVNKAVSMLRDLRANEASDSSG AGSALTSS TRV_02511 MASPRSLEIVLLGATGYTGKLCAEHIVKNLPTNLAWGIAGRSTK KLEDLSAKLLTLNADRKAPEILSVQFSDAELKDLACKTKVIINCVGPYRKHSTPVVKA CAENGTHYVDVYGSYAPYFFHFYFFGC TRV_02512 MAKSIKDQFGVVTDEIDMSLYYIKGKFSGGTLRTIIDFFDNLDS SSGDPYKISVSKPAQPKSVPILRRIFGVHYVPDIGVGTTCVCEACDTAIVHRTSSLMP QLFNPNFRFWESMKTRNTLTGVAFHFALIATAFVLLLSPVRWVLSRYFYPPGEGLQED AKSGFSVEYRGIATAKQDQSGKKNIRMLGSFRYDGCPYKLTGIFLAEAARILARSEKV GQTIKGGYLTPASLEDEYVENLEKIGAQFKYTLLEH TRV_02513 MIVWHQLIRTRGGAIVYRIALYEPDFVTHVFSVCTPYWPPSKQY LSLEQIIAKIPFFGYQAQLANGGLEDIIQSKEEIRQFLNAMYGGRTADGKPAISMEKG VLLEKLPGVQQTPLLSDEVSIPLHPPQKDELEFLNRSLDIPVLYILATNDTALRPELS RNMERNIKHLTRAEVVASHWALWESPEECNTHIKSWIEGVVFGRKVKL TRV_02514 MAESSIASAVALPEPPQYPGPEALSKRRQSISSNSSSKRRRLSA DEFSERANQSSPPSCSNTQRLNGSSDVKAADKPKPGRDEERKRGRRLFGALLGTLSQS SSTPAQRKRSEIDKKQQAKLELQDKEYNELTKKKYEDLMASRRKDQALYDSQSAEIRH SNKLAMAHFLRTKAEPPLYYRPWYLRPQDETKIQSQIAEAKSSIEKEIMDSSSHYKQH ESTIHKREITVDNPDDNSAEDKATKDTTDLIGSNTNDPLEQTSEAPQRTLIDTNLVPV PPATQGPSGLDEEHPGEVLMENTEDADIPPYVLVFFILSGCFSLPPTSIFRSTFNIFQ SIISIVLGEIMVAIHTAHLRQSRMCNPMSQQVHISKSNPSRTVLESGVQLTSSKQSDP VHQ TRV_02515 MKTMFVSYRLNICELYFVILQQPTHKVKDRSPTIHPSRDIACIV SQFILNSDKMTKGSFSGVPTVDISPYLADPKSDASRKVIEDVREACISTGFFQITGHG ISKSLQQNIFDAAHSFFALPLEEKKKLNAANFIGHRGYDVLASQSYEEGVLPDLKEGY YVGSNVLPSDPLYGRFFMGQNVWPSTELLSTIRFQEPCERYHESVQHLAFKVLQLVGD TMIPHGHSTVGMTESMPTVLHNLIRLDKIPACPLRLLHYPSATRNGGSVTGKPQYGAS AHTDFGVITLLLQDDNPGLEVLVEKDGKQVWWPIDPNPSAYVVNIGDMISMVTNGIYK SSMHRVVCKRPERERYSIVFFLDGCLNANLEPVEGFGHPEEGVGFSHKTVEQHMTERL SMSYAKEGKDPRHNEDTFSA TRV_02516 MDFMRDIIHYNSPICSVGPGIANGSNFDALLMEQPNQVFSSPSG SAFMHDRTQLHGDTGELPGWHVVPSSHPYQEKPNDHYMEFPASCAPSSLENASESHMG IADTFFESLTTMVDMEGAGGLHTPSVSSHSLDCHSLTRETGSSIVLDKSEQADEEDGG EYDDDHNEEAAIEKNEAFLINSSYHDPSMPSIEEILGTVSKENRKSTMILQHMQSDQV GQVLEFLFSSNSSVDVKIISEN TRV_02517 MTSFEATALGNAPHVDDDELFINDVNLRDARDGQRKDNGGGRER LRLEEEIPSENEFRTTGLRLFLILSSLLVSVFCQALDDTIIATAIPPITDQFRRLSHV GWYGSVYLLTNCAFQLFYGKLYKIFPLRWVFMSALFIFELGSLVAAIAPKSETLITGR AVAGIGAAGITSGAMTIMAHTAPVRWRPTFTSMIGAVYGVASVVGPLLGGVLAEKASW RWIFYLNLPLGGASAFILSLSLKRLPPSAGGQNLTVAMTVKRLDLVGTFTFIASIACL LTALQYGGTTAPWSSGLVVALLTIFSILLATFILAQVLQKDENATIPTHIAKNRNVAF GAFFAICQGGAFNIFIFYLPLYFQVIKGANPIRSGINYLPLILVNTIGIIISGLLTTK LGYYMPWIWFSSMTMPIGAGLLTTLTVDSNPSQWVGYQLVFAIGSGFGLQQPFVTAQT SLPLEEVSTGMAIMLFSQLGGGAIFVPVAQSVFLSELVTSVGRAGIPGLDPHQLITLG ATQFKQIIPPADIPKVVLAYNSGLQQAYKVALVLACVSIIGPLGMKWVSLKPATQKEN PGIADLDKV TRV_02518 MGHLELLPGLPSQHTYVLGLSILLPLVIFLVISVKSYYRLSHIP GPFFARFTNIPRLLWVKSFNAHRIHIDLHKKYGPIVRFGPNMVSVGDPREIGTIYSFK KPWPKSDFYRSLLLKTRSKPVEGIFATQNEAIHRALKRPISNVYSMSHLVSFEPYVDT AMKVFCEQLESRFAKTESGSGSGKTIPCDFGQWLQMFAFDVMGELTFSHRFGFMEKGE DIDGVMAELWSTLQKTALVRSAQSPKDMKNIQSPGVIFAMRRVQERQKIEKGELKKEW KINNRDMLSRFMEVEASDPSVPPFALLVWTSSNITAGSDSTAMFLRAMFYYLLHNPST LKRLVAEFDEAAEAGNLEDLAGFRQAKDLPYFNACINEAGRMHPPLGLPMERVIPAEG ANICGQRLEGGTVVGMSSWVTHHHEQTFGADCDKWRPERWLCELEQAKYMEKCLLTVS RNIEYLFYPPSTLSSFKF TRV_02519 MVGTLSTPARAPTIHLFGPQALAFRGESFSKLKAVLFSVPYNQW ILDVIETLPGLWDAASKELPFMQKFPGGQLLENLAVWIKENTTTEAIFPLPNILLTPL VVITHLTQYRRYLEHIDPTYPAGNCFQSPIHIKSEALGLCTGLLTAIAVSSSTNPEEF RRNGAAAIRLAMLIGALVDSENESNSEGGAISQSITWNSLRSQVKMLEIIKQFPQAYI SVLYDEKRATITCTKVIAGKLANLMRKEGLIVAELNLQGYFHYQGHQDSVELLIQLCD SNPELQFSNHSSPGHSDHGHESDSGFTKDTKPHQLALRSILVEQCDWYRTFAATYTSN SNGSQSQVVLFGHDNCVPPSMARNLGRNLINATNLDEVLPSAELHPHLEATHSHVRDD NDIAVVGMACKVAGADDVEQFWDILRAGKSQHTEPPSDRFHFGTFWRELDPKRRWYGN FITDFDAFDHKFFRKSPREMISTDPQQRWMLQIAYQALQQSGYYLSPDRDKHIGCYIG LGCVDYEHNIACYPANAFSATGNLRSFVAGKVSHYFGWTGPSLTIDSACSSSAVAIHH ACKAILSGECTAAIAGGVTILTSPLWFQNLAGASFLSPTGNCKPFNANADGYCRGEGV GAVVLKRLSTALGDGDQILGVISSSAVYQNQNCTPIAVPNADSLSTLFRDVTKQAGLD PNRISVVEAHGTGTPVGDPAEYESILRVFGGQNRPDTLSLGSVKGLVGHAETAAGIVS LIKVLLMIQERSIPPQASFNTINPAIKRSPSDNMEIPTNLAKWDTEFRAALINNYGAS GSNASLIVTQPPEQALSSTTHRSSFPSEIKYPFWFCGNDDSSIQRYIAQFRQFLESSK CLGKTITAPDLSFNLYRQSNRMLSRALLLSSGSMAELEVTLKIFENDVSQIESMESVD IRSVIMCFGGQISTFVGLDREIYDTCKIFCKYMDQCNSIIMSLGLDGLFPDVFQKSPV KDIVKLQTMLFATQYASAMAWIECGVKPVVLLGHSFGELTALCVSGVLSLTEAAKMII GRARLVRDLWGTDKGLMMAIEGDIQEVHHLLSEANRLQEGSQKVTIACYNGPRSFTIA GPVQSAKFIEELLVNTPVFSSMKWKKLNVTNAYHSTLVEPLVECLKHSGRSLTFNEGR IPVERCTEHPPSSGTFVTEFIAQHMRYPVYFNHAVQRLSLRYPSSIWLEAGFSSTITT MAGRALNLPSDSHFQGINVTSGRGLQSLADATMNLWRNRLNVTFWPHHSLQTSEYNQL LLPPYQFDKTKHWVTLKKPEEALFKRQGEPQPREEQPLGLWEFVNYNKDDKRSARFKI NTASTEFHEYVAGHTIAHAAPLCPSTLQLDIVIEALLKLQPEYLSHNLQPQLQGLENH VPICLDPTLCVWLDVEAIDPDALIWEFRMIGEPTGGRQTPTLHVSGKIRFKSNQDIQL LNDFARYERLSGHERCLSLLNGDDSGDIIQGRNIYKVFAEVVDYGQIYRGVQKLVGRG NESAGRVVKKYTRRTWLDTPLADSFCQCAGIFVNCMTDISENEMYISTKIEQWTRSPK LLPGDSRPDVWNVLALHRCPSDKEFLSDVFIFDSQNGELLEIILGIGYKRVSKRSLGK MLTNLTPGNQKAEAKDMQPHVGTEIDIEASYSTPPPVGTTLTDSPGNGPPKDVSEDIR NLLANVSGLETHEIKGDTVLSDIGIDSLMGMELAREIETVFKCSLDTEVLNAVTDFKS LMKCIQEALGCKIADNVVSKPETNTSTIDMGITDAQTANGPPQVNGSLAEDEILNIPP DTIINAFEESKRLTDEFIFKYKFADYADYVLPKQTELCIAYITEAFEKLGCSLQKAKE GERLDRIPYLQKHHKFVNYLYMMLEKVARLVDVDENAIITRTAITPPLKHSTILLHDL IINHPDHANDHKLTHLIGSKLAECLSGECDGVQLIFGSSDGRDLVSGLYGKSPINMVW LRQMEDFIHRLIQKLPTNKGPLKILEMGAGTGGTTAILAPFLSSLQMPVEYTFTDLSA SLVAAARKRFKDYTFMKFRVHDIEKEPATELLQSQHIVIAANCVHATHNLVNSTKQIH RVLRPDGFLMILEMTDTLYWVDVVFGVLEGWWLYNDGRKHVVAHQSIWEKSMHLAGYG HVDWTTGARPETSIQRLIIALTSGPRYGRLPMTPNNAPSQETDFVARQAIVDKYTREY STSFPAPGTATEPILPEAPQGHSVLVTGATGSLGSHLVAHFARLPTVREVVCLNRRNT VDALLRQVQSLEGKGIFLNEKSMSKLKVMATQGEKEMLGLQDSEYQYLVHSVTHIVHG AWPMSIKRPIKGFESQFQFMRNLIDLAVEISKLKSVTPGFQFISSIATVGYHPLKTNK VLVPEERVEVDSVLPSGYGDAKLVCEKIMEQTLHKLPGRFRPMSVRIGQISGSRKSGY WNPVEHFSFLVKSSQTLRTLPDLKGELSWLPVDEVAAALGDLLLSDTMPSPIYHIENP IRQPWSEIVCLLADTLDIPRASIVPFDVWMRRVHHFTGSTESNNPAKMLLEFFKDHFR RMSCGGLILDTNNSRKDSQTLANAQPIDPALVAKYIAQWKDSGFLR TRV_02520 MSRPRILLAFFHADRAPRNCILTPNFYSHRTDRQQTRFTSTPFS PAASIAKTIPAGTELIGQSGRHYIIEKVLQAKENSPLHVYLAKCVHALKNPSFHEANS CLFDRSEKEDFVLKNVPDFEYLQKVYQKVDGCSYLRLPQDSMAERSMFVYRYLTTDFL NLPVKNELPLDTTKRVLRDALQGLAALHDNNIMHNEDGSITDVRLGDLEDAAIVPPGC GISGRQLVDESELGAGEEILAHVIERQISYFADEDSIQEFLELIRESPWAEVFKITSD GFNNENPRKPFALWKGVDPVFKDLICRMTHFNPRKRITARGALEHEWFKGI TRV_02521 MGKKTQLQHNFHHQLRVAIISRCSQAYQVRRLFLMIYAALTLLI VSTEVHDAFAGFLSNPSLFCLPITITDEQLVPLQPISFSHGSSSNGDDNSQFFASLPS LADHLQPKTPIYLLLRRSQEHAETGLIALSFVPSNSPVRSKTLFASTRAALIRELGSE KFAENIFATDVEEVLDEEEWKERELDLNAKSGAGGAGGDDRRDELMGEQERELNAVKR GENDARDMWKRRIDIGIGGTVSSDGNKGGQLSGAGASESSTLFKTGDGAEEALQILGQ DGAAVFLAIDVKTETLNLVGTESSVAPESLSGHIPASEPQYTFYRHPGSSELIFIYTC PSGSSIKQRMLHASSRAGLLVWATRNGVSVNHKIEASGADEITPDRLQEEISPPVQEV KKAFARPKRPGKR TRV_02522 MASKDKKQQPATAAVNLIAGGGAGMMEALVCHPLDTIKVRMQLS KRARAPGVKARGFLATGQEIVRRETALGLYKGLGAVLSGIIPKMAIRFTSYGYYKQYL TNPETGKLSSSANMLAGLAAGVTEAVAVVTPMEVIKIRLQAQSHSLADPLDKPKYRSA PHALFTVIREEGVGAIYRGVSLTALRQGTNQAANFTAYSELKKLLKDWQPQYTELPSY QTMCIGLISGAMGPFSNAPIDTIKTRLQKTPGEPGQSAISRITAISKEMFKQEGARAF YKGITPRVMRVAPGQAVTFTVYEFLREKLEKSNWSIMGGKYEE TRV_02523 MKEEQDAMDRAHKDKDKLLRSLINLDTLAPGHAQFIRQVRHSIR EWIEKPAPKDAYLNIPKPAGMTAAHKLPLELDNYQKRLVHQIVQSEYPGYVSIGKKSF IQILPYDKMRENVFLQKKLQRTRCNIASQKGFSWVIEALMGSELSKLDLRLLWRPDGM FTAAEGSKLTKESEALREKLRSGPPVLVGHNLFTDLVNFYKCFIGDLPSRVEDFQQAI NELFPLVIDTKYMATTGGVLRDASSALSTLMENLGSRVVPKFGILFHSMHLLLLSPRK LTFCANGIETHPDHSSYTVSTPMHEAGYDSLMTAQIFLKLAVQLYETNEQGKAENTTQ QKLVYGHATKFDLLLDMDDEESGASSVGPSEQDSLLEQKVIEGKLLPRLDDDFWSKYI NKLRVFGTTEEKINFERGEASLSRIFGGCFCAF TRV_02524 MEVTRNNFSRLLPRMLQDIGDCSFVALDFEFSGIFNQKLRPASA YVDGDLSLQKRYEEVKQAAEEYQILQVGLTLVVEDSQNGWRYPFYT TRV_02525 MAEEAQSYLSSSTSSPMSSKQISQVYKQASQLFLTRRLQESLSL LTPIITPPRSQENGQQNTEGDGPSTPLAPVATASANLKIKIWNLYITILSAIVDLGPE EGREQFGQKEWKAIATKVREGEVWETVVSLGYQGREGSVDADIVYNLATLLLNHSASQ KLNQERLETYLSSYGQPDLDVSAHMQHMSSGKRKQRMASAAGTDTPKDLAVRVKLLEM FTLHVLPRNEEWEYAREFINLSEALDEDRKEAFIQTLDNLLEEKEKGAQRAAEIQQEK EEELERQRKQREDEERQRAEEEEKKQEREHKQKQQQETSGGKSTGNHKRSSSEVDYGI EKSNPNSPMKSRVVKPALKKTQPSEPSSAKEIKKSTTGKPQPLVLARMQVLAKLLITF MKNLSRSLISNPLSYLKSLLVVLGVLMALGRTDVRNRIRQVTGAGWQKVRGTIGMGVK VSYI TRV_02439 PNSKRQVWLSSSEKQSHGGQTGSPLRRICQLYLHCCAVAAAAAA ANDDDDDDDDVVVEAEWMSFGHLRPSWEEKAAALRVLLMTKQSNQSLYYADSAQAKSG ADQPTSNALTAFGNPLLAFFFFFEFLFFDTFFIRSNVFPSRTPTHDGHCDRVACDILR T TRV_02435 MANSSRSTSLDLDHRHAPPVPAGAEATDLPYRELQETANFEEYT AETTNGQILKSVKSNVTGKIEDYKLVTFTVGDPENPKNWSKAYKWYCTMVVALTCFVV AFCSSVITADFKDLMEEFHVSQEVALLTITVFVIGFGVGKNVLIDVEPLGPMAFSPAS ELIGRKPVYVSTVFLAVVFIIPCAVSKNIGTLLVCRLIDGIAFSAPMTLVGGTLADLW RTEERGVPMAAFSAAPFIGPAIGPLVGGFLSDAAGWRWLYWIQLILAGVVFLLITFTL PETYTPTILAKRAKKLQIEKNDTSYVTEADLDSRPMGEKLRIFMIRPFQLLFLEPIVF FLSLYMAMLYGLLYMFFVAYPIVYEEGKGYSASTTGLMFIPLAIGVVSSSFFAPLINK HYLTLVTKHNGKPPAETRLIPMMLSCWFIPIGIFIFAWTSYPHLHWIGPAIGGFPVGF GFIFLYNSCNNYLGTSLCYGMNGMNAIANPTPELVDTYQHQAASALAAKTFLRSIWGA CCVLFTTQMYDRLGDQWASSLIAFLALACCATPFVFYYKGDSIRRHSKYAYVEGEENS EKK TRV_02436 MPDAMDVDAREEGELSSCEETVEQRSPNPERLFAPYAQNSRSIQ GAAGNKPQQNEPQSQSPSTNLHRPVHNNPEKTNSTKDNAHANPLAGKSPSQLKALAQG ALLNLAPHKIRYNELVREGIDPIILKSLYDEIGIKVTTEPLGKSVGIVKELGEPKTSN TGFSPAANPIARDESVTKQNATQDTGASSSKPMERKDVIARMLAAKAAKSTPPSIPTA KTAKSDQVTASPSQPTKMAIRSLDESSPQKTQTPAQATPEEVRVKEKNKAQTELARQR MEQLKKLGLGKSTPQIPGLTSSPLTSSADIPVSTVSQESRSLPHSLPDRPPPSGMPGL TQIPGLVLTEADSHKTNDLVTAEKPQDGLKDNAETSRAPRKRPRASDFTDDPEETQTR KHQLSTRSASAEQKVIIDISDDEAMYGGSDNEGRAMPNHTNTPRTIRSTKSTARDLPP LSDFPPKSRGSHRSTPGTMSNTPPNPVNGLQQKNMEILAMRQRIAELEARRIKKQASS QNQSSGSSNASAGVTSDGTVQETENSSSELENAQKAPTPAVEGGIIGIVDSASPLPNS TSTTSSVQPPAASNLGVNRAEELRLKALRRKEIESGLPLLDAEILKTEQKLAEFREQE KRLVDQIAKGREGKRKLIEELESLGIETEGLSISELKEISDEVGNINDSSSKQDIPAN TSTTDQEIQRSPADKEEGLATSIPSSTEMAHNVENLVSQDSTAHEIGSAQDTAMENAS SGGPRETREESYSSSAMDESMGSSEDESGEELASMAKSTSLGSPDDDEMVIMKDASLS EEDKISQHIPQEKSTNSISSNPDNDGEPQFTPRGESVASEGYEPPEPDTLESPPFSPA PPQPIEQISMELSPGPEDPDAHALTLSKQEADSPAILAPSTVHNLLFTLAIIDH TRV_02437 MHPSFNSPSRRHNPFSRSSPSPSPAPPDAAPRVSGRPKSVTFTS PVQTYASGHVRNGSLTPLNPTPINGINRQRSNSARHTSESSNTFAPKFIKSEELRRGA DQIRGEEGDNDFSGKRYVWLKDPERAFIRGAVLRETEGGLLVVQCDDGRQVEVDPEGV DKVNPPKFDKADDMAELTHLNEGSVIHNLHTRYQADLIYTYSGLFLVTVNPYCPLPIY SNEYVKMYKGQSREDTRPHIFAMADEAFRNLVEEGENQSILVTGESGAGKTENTKKVI QYLAAVAPGDAQGKPSSKQLGDLSQQILRANPILEAFGNAQTVRNNNSSRFGKFIRIE FSRTGQICGAFIDWYLLEKSRVVKLNSQERSYHIFYQLLHGASKELREQLHLSNLDIQ DFAYTKDGNDTIAGVSDEDEWDTLVEAFDTMKFEEEDQLAILRTIAAVLHLGNITVGK ESLRADQAKLTQDDTESVMHACHLLGIPLEPFVKGLLHPRVKAGREWVEKVQTPEQVR FAIDSLAKGIYERGFGDLVNRINHQLDRSRISCEETYFIGVLDIAGFEIFGTNSFEQL CINYTNEKLQQFFNHHMFVLEQEEYSREQIEWQFIDFGKDLQPTIDLIELSNPIGIFS CLDEDSVMPKATDKTFTEKLHSLWDRKSPKYRASRLSQGFILTHYAAEVEYNTENWLE KNKDPLNDNVTRLLSKSNDPHIANLFADYAEDVSNGTRSVVKKGLFRTVAQRHKEQLS SLMAQLHSTHPHFVRCILPNNKKRPKLFNGPLVLDQLRCNGVLEGIRIARTGFPNRLT FNEFRQRYEVLCRGMPKGYLDGQMAVTYMVDKLGLDKSLFRIGLTKIFFRAGVLAELE EQRDTLIREIMRQFQSLARGFIQRHIANKRLYRTEATRIIQRNFHLYLNLKSSPWWRL FATMKPLLGETRTAGEVKKRDEKIQQLEAKAQQDIAERQRIEDERRKIETEMQRIRKT LESERSLALDKEEIFKRLQLREVELSEKLAGAIADQESLEDQLDELIAAKKKIEHELD LRRGQLEQAAQIMERLEGEKKELQERISDMEKQLKSVESTHGEYDEKIGALNQEINTL NSHLAMKDKKLQDLEAKLLSSDQQLDLELANTTKELEGSKKQIKQLLEENREIQRQIA DLSSTSTGYEELVRRKEGEVAILKADLKKHEFEKRSLETEKRGLSDRHDDMQRRIRDL QAEIDTTKLEKANFEREALDARKLLEEKLSEDAESAQGRKMLDKQVQDLKTQLYQTQA ELSRVQQSRDDVQMLSEHKFAQLKEEFDILNEAKITIEKEMYIQQDTLRRAKEARAAA EESRKQLQGEVIKLRDRITKIEESRLNAENTFANKMTAQATERLAKLQAELNEKSKAL NDAEAGHARLSSQVQNLSNLIAKSENFRTENDQHKERLERELVTVKGRLAASENDNRA LLNKVQQKNLDIARSNSRAGDTQRARLAQLQTEKSRLEESNKQLTRQLGDAQLSITSL EKQKEKLALSAEDLNHEVAREHKASRNAEKTAATAQLQLAEANRNLETERQLRTQAQA NTKKLQASMDRMNSELEDCHQQLILLHKVFDPNDTSPTEKSWETIKPDLSKKVDMAAL LETAHNDLRISEEKTFEG TRV_02438 MDQAAEIESLQNQLQLAEMHNRHLQSQVDRATPARDMWQDESPS IRRMQILERENGRLHEKLDDSAKKVSALEKSIQSGELSLRDVQAKSHEELYDLLSSQE QSRRSLLQVHKSALADLTHAKTEFEKLKHARAAMEVELRDTRSELQELQLAREHDNAS RSQLLQEFSDLQIRLDAETSKAIDADSSLSLYKSRANEYFSKLEQAEIAVLKATRAEQ FAKAQAKEAEETCANIMAERKQTDNLIEDLQRQAQSHEERIEDLSADLEAASQAKKRL QHELEDYRSQRAMDIEDKEASMEQTRKKYQMECSTLTSELEIERENVLHIRGENARLK EELEELRSKWDDEVLNSSTWSKEKSRIEMTLQDITASRDDAVKAHNEAQSKVVTLLNQ VRTLRTSVDDISAERDMLLKEKRGLESRLAEAGDRLEDLAKGESPMRNAAGMDREILE LKSQLAQQEDLASAAVGKMRRAEALATEVQKEIVAQRESTAQLFKDKAALEKQFKEVQ LRCVDLETKGYSSSSQDVRFLNKRIQELETQLEEQESKRNAEQRSVRNVDRTVKDLQT QIERREKVNSQLTEDIGKSRDRIERLLQTIEELQTSDSENQLQARRAERELREEREKS LRLERELEGWKSLRLERGSMKGPGYGGVSEMGDRYSRRGSGVYVGSGIELPQRKLSNS KGFL TRV_02413 MDANAGPIPVAAVLLLPCAGLSSASAGSSLLVSCSTCHFWRCYK LGLLRSACSATACLLVCSVISSSDAASGCISSPVLPSSASVELGEVSYINRIQLLKQE PYCPYLCLSVSVSSLSLPATAADRLYRPRPVSVTHPAPGMPAAAETMPAEKERRFSRL AALLRRPSSSSSSSAKTAAAAAADPAATSTSATASAANSITTTTAAAPASQKDKKEDF KTASRADEQALDDAVDSDSTPRLSPWTSLPDQLPSASTTTSTTSTTPTPAPAPPATAA STATSTTSTAAAATTTTTRARRTPRPEGHRLGVDSRPNRAPSLSSPSQQQHLQHLQHP QHPPGQPIATAVRSAPAARQVPSPLIESPVTSNPPLPRARLVSVDNGKDARRCDDFAF APSPNPQQQHGRDPSPAQLQGRIQRQFSRRGSVGGSARQLNTITTASLFDDPHQGLPS PTTSPISASPVSAAAPGRSPQIPEQLPKGPRRPSLAVRRQSLVPASQQRLINTLLEPP YTSGAEYFPRSTPTIQFDMINRKVWVKRPGSSPTLVLVTEEDLVDDLRDSILKKYANS LGRTIDSPDIVIRLIQREPSSRHGQLERVLGPEEPLTRTLDHFYPGGQTIDEALIIEV PPRRTPKASPLTPYAHTDDSRGMDQQGGYFPPMHMITPNSATLKDSMGSPHPNSMQVI NTGQVPPIPSPGVRGPWQQPPHRSSYHQYAGSQGPGSLPSPSNGTYFKILFCIYLFIF ICFFIFLYILDINGFTYVDSVNPHPPANGVPTASSPPSAATPPALPSEPAPKSVSPPS RVASPRPKGLEKLNKNSSTNSNPLPTSLLDGAIPPINVLIVEDNTINLKLLEAFMKRL KVRWQTAMNGREAVNKWREGGFHLVLMDIQLPVMSGLEATREIRRLERVNNIGVFQRS AGPSYSASMVGSTPTSPSVAETPSSESNPEDEVKPEDLLNKENQFKSPVIIVALTASS LQSDRHEALAAGCNDFLTKPVNIVWLEQKVTEWGCMQALIDFEGWRKWRGFSDLNSDS TIAATIRKGKENASPALTPSDATPRKLNPIKSLLAKSSNGNLQSKSRVAPPSTTYADG TDDSSTVSSTTTVAPRADGGLSTPVGTAVSPASSSPTPVATRRLPVASKAQNGSANGS TSSQTWKNSQRNGVPVGAAPP TRV_02414 MPLFPMTSGKGRDISQDQKYIPTSRPQPKNPPASIQIKNRRKRY LELHPEYFSPALELADPLLYDRLIRRFQTASEREAEGRLKGHSGTLEADLERAEAKME ALANPDPDSLLTYSRGPNGEILAEDADETPSSKAEGQERWRYEMEMRFVKGADDQFEY GVVDECEEYDDLSEEQERYFDEEEPEWIVDDEGGEGGGGGDRELKGETGVQDL TRV_02415 MAKPKSSPSKPAADKSQPAASTPAARGAVRRPAAVVARKSSLPE LFFAGAMGAAVIALAGAYSYASQLTLAPIYGSAPAGKYHNALTLIAGGVGSLAHSMVQ KHANPMVTLPILTFYIPTIQFFLFKFSYTLGPVLGPIITETLTFAPLVALSVVVPGIF IQKLNLSPRLKQGMEQGNIIAAYALFNATKTKLEQNMPYYLGTSLALTSIGLQFIIAA AQAILLPSKWIVLAIPSLLFTAMFNHHVPLPYNTNLLNASLKADNYTLLHRQESLTGY LSVLENTDAKFRVMRCGHSLLGGEWLPHLMTKDAKVADPVFTVFTALEAVRLVKKDSH KPEKADSKKSALVIGLGIGTTPSAFAAHGINTTVVEIDPNVHQIAMDYFEFPKKVNTV IENAAVFVHRARHETPVQKYDYIVHDVFTGGVEPLDLFTQDFMEGLDTLLDDEGVIAI NYAGDIALPLAGLVVRAITSTFPSCRIFRDNKPLPGSSSRRDLTNMTIFCKKTPGTIK FRIPQPEDYLGTYSRKENLIPRLEFSPGSFARDAEGREDALGPSVPKELEYWQFQGAI GHWHVMRGVLPDTVWEKW TRV_02416 MSDTSSQRTLPSPPFYAVEGVNNLRDVGGYTVSPTTSVRRNFIY RSAHLSSVTPTGAKTIVDELGISYIYDFRSEVEIARYPLVDIPGTTFIHVPVFKDQDA SPANLALRYKDYAADEGPAGFIRAYKDILVSGAKFAYKVVFEHIRDQPTQSLLFHCTA GKDRTGVFAALVLRLAGVLDNEVIGKEYELTEAGLDGLREEFIQKLLQHPSVGGDRDA AVRMTSAKAAAITGTLEWLDNEYGGVEGYMRKEIGFNDEDIRRIKKNIVVEGSGVCLN TRV_02417 MAETDTAEIQYIDGVKTLVPLGIISTIFAVSGVTNFQMCPAITS LASDLGFYDVYSIDDPDLLAFIPRPVYGLIFICPRDAYYRTRESMGTDNMPEYTGSGP DEPVLWFRQTIKNTCGLMALIHCISNGDAREYIPAGSELDQLFKTAVDLAPTERAQLL YDSPLLERAHRSAARRGDSTVPAPDDKCGFHYICFVKGSDGHLWDLEGGVKGPIDRGL LEEGQDGLSEKALELGVRTFLKHQSDTGKGVDVSGFSIVALAPSMD TRV_02418 MAAAKWLIASLAFASSGLAFTPEDFISAPRRGEAIPDPKGELAV FHVSKYNFDKKDRPSGWNLLNLKNGDISVLTTDSDVSEITWLGDGTKVVYVNGTDSVK GGVGIWISDAKNFGNAYKAGSVNGAFSGLKLAKSGDKINFVGYGQSTTKGDLYNEAAA KEAVSSARIYDSLFVRHWDTYVGTQFNAVFSGALTKNGDKYSFDGKLKNLVQPVKYAE SPYPPFGGSGDYDLSSDGKTVAFMSKAPELPKANLTTSYIFLVPHDGSRVAEPINKRN GPRTPQGIEGASSSPVFSPDGKRIAYLQMATKNYESDRRVIHIAEVGSNKPVQRIASN WDRSPEAVKWSSDGRTLYVTAEDHATGKLFTLPADARDNHKPEVVKHDGSVSSFYFVG SSKSVLISGNSLWSNALFQVATPGRPNRKLFYANEHDPELKGLGPNDIEPLWVDGART KIHSWIVKPTGFDKNKVYPLAFLIHGGPQGSWGDSWSTRWNPRVWADQGYVVVAPNPT GSTGFGQKLTDDITNDWGGAPYKDLVKIWEHVHNNIKYIDTDNGIAAGASFGGFMVNW IQGQDLGRKFKALVSHDGTFVGSSKIGTDELFFIEHDFNGTFFEARQNYDRWDCSKPE LVAKWSTPQLVVHNDFDFRLSVAEGVGLFNVLQEKGVPSRFLNFPDETHWVTKPENSL VWHQQVLGWVNKWSGINKSNPKSIKLSDCPIEVVDHEAHSYFDY TRV_02419 MPEPGQRLSQISASKGGSDGRPASKDGKKELWSSMLHAGASGKR LPEKTLLVLATLSTDHAESGLPAERRKQKAPPIANQFALGYTYQNVLDAEQEDILARI SIYLLSEPSASYAPLLRPLINPKTVPEMLIVFLLDWENPWTWVRELREWVRLLRSVLI SLDDETKVVMEEVMSDWKDRRRGVYVYPVIKAASGANMDSTKQAEKIEQLEKDHGWRE EEFDYILQFMRTILLKHGSSLIYTTRFLANSLQGLIQSSLGIHSLLKRQSLKHNVIDR DKILVPSNWDSWGKIRIIREGFNIEGVGTSWSVEIQGPPESLKRSSSGDDGEAQERED PDSAASAVAIYEQTIKDPKGAASISRARQSEGSQIEVTTVDSQAFLTEQVEVLEQLKA EDEKQERQMRKGGGVIKDADMMMGIDDTSRVNEHIGPVQFNMGGIQVDADDMLRRLKE REASRASARSGSVSPTPPGGPTSPGIPPIGQGTDGKLGNEGLATFFAGLMKKTGGSPR SNATT TRV_02420 MNAIRQIEALNKKELENAVPPEASWHADYRDTAYIYIGGLPFDI SEGDILTIFSQYGNPVHLNLVRDKETGKSRGFAFLKYEDQRSTDLAVDNLGGATVLGR VLRVDHVRYKRRDDEGTEDNLVNVDENGEVMESDRDAEKEKETEKSSRRRSRRHESEE RRPSRPLLKEEVELQQLMDEHDDEDPMKEYLIKEKKEEVENALALVKAKSRSSRQDRD RDRSRDGHRTSRRHRHHRRRRSEERSRSRERRSPHRSRRDGSRERSSERRHRRERRDR S TRV_02421 MAELRRGLDPAEIFSLAISPSNTLLAVTSDKATLHIFDIPHARN GQETDDAPATAPLEESTNRGWGIISKLPFLPRVFSDVYSFASARFDIGEEPLGSNYIP PPGAPSERPSKGIIGWTSDKSLLVLGAGKAGRWERFVLQEASDGTRQCLRAGWKRYLG S TRV_02422 MATGRTTFVNTLCGKQVLQGKDADDAQTAHLEEGVRIKPVTVEL ELDEEGTRISLTIVDTPGFGDQINNEESFSEIVGYLERQYDDILAEESRIKRNPRFRD NRVHALLYFITPTGHGLRELDIELMKRLSPRVNVIPVIGKADSFTPAELAESKKLIME DIEHYRIPVYNFPYDIEEDDEDTVQENEEYRSLMPFAIVGSEDVLEIGGRKVRARQYP WGVVEVENQRHSDFLAVRSALLHSHLADLKEITHDFLYENYRTEKLSKSVEGGASASH DSTMNPEDLASQSVRLKEEQLRREEEKLREIELKVQREINEKRQELLARESQLREIEA RMQREQAAQQSQDPNGDAA TRV_02423 MADLGAQQGRGYRPGASRGPASSSFQRDAAFSEIFGGTPPPGRS QTMTSHSPQFNQERAHTMTSHQSDPYAQSRGPPPPMRQSRGGYPPNPQAGYQNSQWQQ PNGSGPPPQQRAPPPPHHQQRPMPPQNPQQYPPGMQQRPYPGRPQYPHPQRLDSRPGP MPPQFQQQNPHGRPLPPPALNSDQYRSRSMARLGGPPSYQSPQSQFPPTPVNAFRQPS YHSMGSRTAQGRIVPERHDNERAMSMSSYSADRDHAQTISSGRVVPNRRRESGLERER AGGMDRHYSESPVSIQPTIDEPLVPSHSGHHVLPSQQDLQLRVRHPSESSVNSRTLSM ASTIAPERNNSLQKPVAAKSPNSSMAGAPPPNNVHRRTPLAYPALLSRVAAVFRERIA VGERIKNDLAYTNAFTGAEAVDLISYIIKTTDRNLALLLGRALDAQKLFHDVTYDHRL RDAPGELYQFRETLGEESPVNDVNGVFTLLTECYSPTCTRDQLCYSIACPRRLEQQAR LNLKPQPGLRPSASKGSLHDHEDNDDQKLWINMVPKEVADSLEDREKKRQEIIFEMMY TERDFVKDLEYLRDFWMRPLRSPNNPSLSPIPEHRREKFIRTVFGNCLEVLSVNSKLS EALNARQKETPVVKTIGDIFLQFVPRFDPFIRYGANQLHGKYEFEKERSSNPAFAKFV EETERLKESRKLELNGYLTKPTTRLARYPLLLEGVAKYTADDSPDKEDIPKAIVLIRD FLSRVNTESGKAENHFNLVQLNSALKFTPGDYVDLKLTEENRVMLIKMAFKKGPTDSA EVTAYLFDHSVLLVRIKPVNKREEYRVYRKPIPLELLVITQMEEVLPKLGIHKRPTAG GLIPGTRTASATPASSKEGLPVTFRHLGKGGYEITLHATSQTQRKRFIELVEEQKRKL RERNSNFYSKTILCEGFFSAVNRINCLVPIDGGRKLVYGTDNGIYVSERWPKDKSATP KRVLEANAVTQIDTLEEYQLLLVLANKTLSSYPLEALDTSDNQSAMVRRPKKIQGHAN FFKSGIGLGRHLVCSVKTSTLSTTIKVFEPMENLAKGKKKPLSKMFQTGQDALKPFKE FYIPAESSSVHFLRSTLCVGCSRGFEVVSLETTERQSLLDQADTSLDFVARKENVKPI HIERLNGEFLLNYSDFSFFVNRNGWRARPDWRIAWEGNPTAFALSYPYILAFEPSFIE IRHLETSELVHVMTGRNIRMLHSSTREIIYAYEDENGEDVVTSLDFWNKPA TRV_02424 MATTKMIKQLLPDIAHAKEQQQQQQQQVLEHDHVEAEVKPDRLS WPASRPRTPKDVVQARLKQDAGLPDNGLTIDDFDLIKTLGTGTFARVWFARLKAAKEP NKNIFALKILRKAEGRLPDPSPNYLRSVIKLKQVEHVRNESKCLSKAAGHPFITTLIT TFSDEQCLYMLLEYCPGGEIFSFLRRARRFDEYTSKFYAAEITLVIGYLHDMHGIAYR DLKPENILLDQEGHLKLVDFGFAKQLYNLETYTLCGTPEYLAPEVIHNSGHGLAVDWW ALGILIYEFIVGQPPFWDSNPMGIYEKIVAGCIRFPANMPASAKDIISALCKVNPSER LGHISGGSQRVRDHPFFEGIDWDDLYRKRVKGPIVPQVSHPADTANFEEYPDPPDPAT QAVYTDEMKARYEEIFQDF TRV_02425 MASLLNLEGLGVRNEAGPLEDRDGGSGLLTLLHSNSPGHIYSAG CCSGSEGWSCLSRVAWLLSIFDPIAGDEVDVAGLAVGNVTRRSPFLPPPKHAPDPPPP AAPSHPQPRPAYITPNPPAFSLPFLPFFHSVIPLRLLFLLFAFFFFFFFFLTVPGANM ISFRKCVVIAFFILSGIFLLHTAHIKPTLAKAEPGTHRQRSEPQNARLTTKLGSKADS TSEQEPEQVSEPEPEKEPEPEPTTLRGRLKYHFPYGVKAKFPGYIWQTWKYTPADGEF DPMLRPLEASWTELHPGFVHQVVDDESAIYFLKYLYSSFPEIIEAYESMPLPVLKADF FRYLILHARGGIYSDIDTTALRSATDWIPSTFDRSTFGLVVGIEADPDRADWAKWYSR RIQFCQWTIQSKPGHPVLRDVIASITEDALRMKEEGILTKKGMDKSIVEFTGPAVWTD AIFRHFNDPLAFPSEDGKHRNISAHHFTGMTKQKQVGDVVVLPITSFSPGVQQMGSEE ADSDMAFVQHEFSGMSTYVH TRV_02426 MILEKMGAVQQAARSAAQAFSGRCLAHSCRDTASTVACRRSIAV PLIHQRAIHHTRQSLSSQATVSQSKPQGGNQHDSSNPAMSFPCLDALEAKSAALSRRS LASGPEPSYTVGKHELFTSKEDILLDWGGMLPEFDIAYETWGTLNTDKSNAILLHTGL SASSHAHSTKTNPQPGWWEKFIGPGAPLDTDKHFIICTNVIGGCYGSTGPSSIDPSDG KRYATRFPILTLNDMVRAQFRLLDHLGISKLYASVGASMGGMQSLAAGILFPERVNKI VSISGCARSHPYSIAMRHTQRQVLMMDPNWARGFYYDSIPPHSGMKLAREIATVTYRS GPEWEKRFGRKRADSSKNPALCPDFLIETYLDHAGEKFSLEYDSNSLLYISKAMDLFD LGISQQQATLQRRLENEKKISSRGKMSAQDNASCSLTLPSKPYEEQPATGDSAQGSGS SSSAAQSESVSSGPPMDLVNGLSSLKNHPILVMGVASDILFPAWQQREIAESLQVAGS KAVKHVELSEDVSHFGHDTFLLDLKNIGGPVKAFLA TRV_02427 MDYPRYHNYSRSQDYEYYDDNPWADNDDKRKIDRRYTHAEDSEP PRAARQGGRRSETMTSWIQRQASSHGVQLAGAAVLSGVAVAGAILGYQSVKRQAAVEE LKASIPALDESSVEKVGSPLEYGVVTPPISEEDRRSALLAKRAQQGDYDDDLILEQLA RNRVFLNDEGLEKLRSSFIIVVGCGGVGSHAAAALARSGVGRIRLIDFDQVTLSSLNR HALATLADVGTPKVHCIEKRLQQVTPWVRFDCRNQLYSEAAADQLLNPWSMADDTVTR KPDYVLDCIDNISSKVSLLHYCHSRGIKVISSMGAGCKSDPTRVAIGDISLSLEDPLS RSTRRRLKMLGVSSGIPVVFSLEKPGPGKAELLPLAEEEINKGDVSDLGVLPDFRVRI LPVLGTMPAVFGYTIANHVICEVSGYPNEYNPAGKSRDKFYDSILGALQGTEARLAKT IEGQDPVGLRIPISKEDVGYLIEEVWRSKSVFSGLTTRLVLIRRERPVHGFGADPELL KQGQKGVRLQLSELVCMTKEEALHHETEVLKGGKKHSEVYDDTIIQKVRERMEEERFF QRYRSLRKSTGRHEADRVCINQGSMTGKRYLLVLLVLICLSFAAWKSLGYPTVETVKD KELDRALDEGNRDRIGGWFGSNALPGFSDMAHIQTLDARLLPGEKKAWFRRSKRRLII VGDVHGCKDELEKLLARVSFNREKGDHLIFTGDLISKGPESVEVVRLARKYSASCVRG NHEDKVLLTRREISGSSQSTGSSAKRETKAHVLARQLSDDDATWLESCPVILKVGYIR GMGDVVVVHGGLVPGVPLERQDPSSVMTMRTLDVDSHTPSSLKEGTGWSKVFDEYQRR MVKEKNERPTTVIYGHDAKELPVIRLYTKGLDTSCVRGGKLTALIIGDGGRQRLKQVK CKGYV TRV_02428 MRAIGEWRSLASEHWSKALHRPSHPGLSPPRSTASNCLTDLFDL DTSRHPSIVEPAVLKKERKKERKKEKRPVKMPVFSRIVSPIFRIGEILFGAVVAGIIG SYLRSFSRNNNGDFPQSRWIYTEVIAGVSILLGLLWLFPSSFSFKTWPMDVILSLAWF ASFAVQVNSLGTRNCGTAFQWTGFTNGDPCGRWRAAEAFSFLSACFWIVSALIVCILS RSLSHQGTSRC TRV_02429 MPKLAPSDPESVMVIRKVCDDIITCSLPFARVGLFKFGGRATIV RLQTGAIAVFSPVALTPSVSEAVTSLNGTVKYLIAPDMEHHLFLADWTKAYPDAVIIA PEGLWEKRQKNPTTSGPKFEHIYTAQNKETLHISDEFAADFDVEYIHAHVNREIVLLH KPTATLIQADLFFNPPGYEQYRKAQEGPLSGLANKLYLSLMSAKGSAMAQRRVIWYLM SAGGREAFKQSIQKIDGWEFDRAIPCHGDTINQGAKHLFRTLFEWFLV TRV_02430 MRRETSSALPTPTQSNRRSSGHPSQRAADSDDEEEPFNWDYLGR NACFPNNLKPSISGFLLGPLSVQKRVRQLTQRRARERIDPSQLVRPNDLKATDLGKQE EATLTTMCTDIRAKLVEIQLQRESLAREELSKMGDATEAEAIAVMSKHGISDNGGIPL FQFCINPRSFGQSVENMFYVSFLVRDGSIGVSMDGNNLPTLLPSQPLLPSEAREQGIQ KHQAVFGLDFDTWAQLIETFDIKAPLIPHRDDKAHQAPSTGRWHT TRV_02431 MAEAWHDVESDDGDIINNSSFGSNSNSDGEAEGGLEVDVISLPS VEDENSSGSESDISRNWVTVMDTFTFATSTQVALPIRAKICNLEGRQKQIPFSVLLKN PELRHLGSNQSPTSDLYVTAQLWSSCKPLGMPMQTAYKSFKTTRTWNEWLEMPVLIRD APQNTQLALTVWDLSPLGGEEGHDHSVPFGGTTIALFDEDGTLKKGKQKCKLYRHKAA DGFSYTTTPSTPPPKRRKGNFVEEGPTPIELELERLEKLLKKHEMGEIPRVDWLDQLV FRAVEKIKIEAEDAAKKRALRNKAAREKAVVEPNGDSGVSSQDDEEENFVLYVEFPRF DFPIVFQDFEYPPPPVSSLAQHTPSGSTVALKPPPEVRLGPDIEGAADDEGNYPIIRI YDPEVGQRGNPCEDKHRRLVRSHRTGIMDRDLKPNPKIRDEINEIMSYGPTQELNAEE KDLVWKFRFYLTRDKRALTKFVKSVNWQDASEARQAVEILPKWTEIDVDDALELLGPL FDNPEVRAYAVDRLRKSDDEELLLYLLQLVQALKFEAIPKSSTDETNEAAHDSSLTNF LISRAANNPILGSYFHWYLMVECDDTAPGTLSTHRKFFASVEFYFMLELEKVNPAQRK VLLRQGELVTILSKIAKDIRFARVNRPFKIEMLKKFLGDPKNDILQIDPPLPFPLDPT VSIVGCHPEEANVFKSSLSPLFINFKLLDGRKYPVIFKVGDDLRQDQLVIQIITLMDR LLQKENLDLKLTPYRIIATGATAGAVQFVPSTPLSAATAKYKGSLLAYLKANNPDDSE PLGVRKEAMDTYIKSCAGYCVITYLLGVGDRHLENLLLAPDGHFFHADFGFILGRDPK PFAPMMKLCKEMVEGMGGANSPNYIQFKQYCFTAYITLRKSANLILNLFSLMVDANIP DIRVEPDKAVLKVKERFHLEMTEEEAIRHFDELIINSVNAIFGAVIDRIHDLVQGWRA TRV_02432 MQGLLRRRKEPRPPSTNQGRVDYNEDVPDEDAHSYITPSKHTPK PLWLLNKTVGPGGLLRPFRLVKQDLVNLRQRYLSDWKIFNQLIVASTVYVFFTNLLPG ITFASDLYVLTGKNWGAIEPLTILGVTGPFSVLAENIYSLCHETFNIPFLPFMAWSLI HAGWLHYLLAIFNAHDWTMGYVTTFSTEIFSLLNSIIYFHKAIQELERAHANLSFAAF LYAIIGAVGTMLLAIFLSTAESWKTLFHRYIRLGLSEYAAAISIILFIGLPHIGELAH LDKSTLPVSITFQPTSPERRTFFVEFWKIPARWIFVAIIPGIIITMLFFFDHEISSII CTIDRYKTRKPGGFAWDIILLGTTTALCGILGIPPANGLLPQAPLHSESLMHADTEEV VIEVDGQETVEVRHIRRVYEQRWSSLLHGCGILAFVSPPLMKVLGLTPTSVLAGLFLF MGEQSLSVNPILYRTFYLLTPPSELPTLPAELGSSSSPTSTTETSPRPSYLPIHLYTL LQLLITIAIFILTLTRGAPAFPVLIILLVPFRLLVMKHWFRREVLRFVDAWACKAGTP KDSEDKEGNVQEEKEEQQGDGQTGVLQQQRDSSDRV TRV_02433 MTSFIPRTAFLASEHIQKSYFLGHHRAGLEKMKAMLDSVDHVIE CRDFRVPATSINPLFEEALGGKSRTIVYTKRDLGADSKPESRMKEKLISRWEQKTTKV FFASRSLKNSVTPLAKYIKDLPVAANSIIGYRMLIVGMPNVGKSTLINKLRSMTNIKN VRKSAVVRTGADPGITRKIGTPIKLFEKDDVGIYVYDTPGVFVPYMSNPDSMLKMALC GIIKDSLVPIITLADYLLFQMNQNLLMDMYGAYCAPTNDIMELLSAISRKAGRLVKGG DPDYEAAARLFITQWREGKLGLFMLDDVVRVSWEKKNARLGTTEGSIPGTSLELTPER AQKILATGVA TRV_02434 ARNSEKAQSMLFRFRAAQAADLGILDIGRTRRPKAITSIDSIPV CEKWRGQVLKEISRKVTRIQDLSLSDFQIRDLNDEINKLMREKWMWEVQIRNLGGPNY TRGGGRVYDEDGKEIPGGGKGYRYFGRARELPGVKEMFEAATKKRSASELDDTGGRKM DIEMFKKHVDAAYFGYGLDEEDGSLLAYEKKKEKEAFEAVLKKGDDKPIDGWEPLPGD AGDGVEWQLPTLDDVQEELVERRRRKLLDKIG TRV_02405 PLLFCSSQTASSAQESLIDEERRQEERRRETRRTSRVLSDVHWP LTGMSGSRDSCDSDAFILDDFDYLGDGDGDGDGERARGDGYQRTNRSRYGYSYSYSYL LDGSTAWSSRILRLLPPRWRRKFAARRHSKPASRLSPSGTYRRTLRWLVTASLCLTAA VALLWPSYTHRPAHYNELKRLAVGSETPGRGNPRNEKVFITANIYDPDGSLAQSQWSR SILQLIDLLGPENSYLSIYENEMNEQARGALQRMADETPCNHSMVTEEHLDTAGLLHI TLPDGSRRLKRISFLAEVRNRALRPINQAGMPRFDKILYVNDVFFDPVDALQLLLSTN LAEDGGATRYRAACAVDFINPFKFYDTFASRDLEGYSMGLPFFPWFTTAGNGQSRRDV LSQSDAVRVRSCWGGMVAFDAKYFQNQHQHQHQHQQHNTTRFRAEPDLLWEASECCLV HADIQDPPSAGGEPSADTGIYVNPYIRVAYSPFTLSWLGVTRRFERLFSLPHDIINRL VGMPWHNPRRTEKPGDLIEERAWVENERGEGSYQTVTRAAGTGGFCGNRALQVMKPHP QPGEKTWELISPDMF TRV_02406 MPKKMMEKIEKKKRRGGRGRKGQEDGKDIGPKGYD TRV_02407 MAGGDSKDSHIVRANKRIQEYHDEGERINEAVKVGRVIFDTFNQ ISERSGGLEKSIWASDNHICPLDQPVLQQGKTKPKPPKGQDTQSSKEKKPQQQTPLKQ KSNKQEPKTTASKPEMENTKPAINFDDTEAFMGALEKIRRGGSVLKAGQGRVTKPTPI EAEDKENYNPGPSPVKEPAVEEAKYPPAEESGIVTPEEVPEETPGKASDVAIEESIAS DSVQASTSGEDEDRENLVTFKTWGTPAPRAASNAAPRRIILTNIPVCLRSQSRILALI HGGKIESVSVHPASQSAEVRFCSATDCKTFYDKHPNGIDFDYNGSRGTVFVDIGKEVD IISSRLVECLDIGATRIVRAVRAPLNVSIAQLVEMIDTRKWHFEKIIDSYEASTKIRT VVFRFCSIDAAVRFRSCLIRMDDWDQANIQFGPDP TRV_02408 MASTRYGLPSPKSPTESSKRIAINTLLNPESVSACDSRCLYPTR KPQPPYVDYPDRRERYSSGSSTTISSACSTPVNTSFQGYNQTHFRPLQYLNTGYGSYD GRPEPTSAASDSYNFTHHHHHHHSTINGNANATGKAPVSPTSSPTPYRRERFPSVSSG TSSAPVPERRRPPRPKYDEEEMYFIWYHRVDLGQEWKQVRECFNAQFPNRQRTGFQGI QCKYYRFIKEKNCPTLREQRRKRSAAAASAAAGGETGSDSEGRAKGHNYGGSNCHFNE DGPAYGVIRWTGTRFPWMKQ TRV_02409 MSTLKPLKLYGGIFPANPLKVALVLEELGLPYETEDVPMAERKK PPFTNINPNGRTPALYDPNTDLNIWESGAIVSYLVDKYDKDHKISFPHDTNEYYSANQ WLHFQMSGQGPYYGQYFWFINYHPEKVPSAIDRYYNEINRVVGVLEKWLAGSEDGGDG KGPRNYIMGDKCTYTDLVLFPWQIFLPRIVWKGKLNPETEFPNVMAWVKRIGARPSLE RILTEVNAIAEPFLKAAEEKMAKATEEEKQ TRV_02410 MLLSDDIGHALVNYKYLLKVLLSLSQDSSITIEARRVIKFFSSS RLLSLDTMNVNELEGDLRELYCNRSSFEVPNYTHISTSTAEWKLGPIFSEREVWDLND PVYDAYITEAAAGMEVFNLKELTEAGSTCTPKLLDHGFYEQTRKNDPLPGGFMVFIVM ERLPGRNLQNFNELPMFERDQVRLAFAKTIRRYIHYDPHRRNLMWDRESKKCYIIDLE DARHLDDDCPPKIFTPERDFLVWGIAGPEINTSLYGLDPMVPHDRKFIKNPSDEELEK MAQDAAGKPLCFAETT TRV_02411 MHKTSTPLPSSQHGSGLKLLNAKDVSLQPLTGFESKGERHVKSS SLHNIWFTGEIKQWNSFEDEVLGHFSSVRWDRHQEIIAYGPPDAGVSEFHIDARDHYV CGEELSISGRFVQHALQPMSAVSKILGLEMVFGDWKATSRNRVDFVPAAEVDYPTEKP EILANKGKGRADAPNLSDDVLGVRTRKSLVPDYALMVEANGTPRAVGEAKTPWNHNFM AVWEEIIDDPAEPKFLASQTLGQIGNYMIELELKFGFLTNYQHTFFLKREVNKDGKET IYCSNPILYKHSPMAGSKISVRQGLLFLVGSSHGENAWYAKKLSETNILKREKGESMK NVRDKFAAVTKGKMVTQTSARSGAGEDLASDFARGLSLGEEPRRRAHFMDDPEAGRKK PGSSGSKRK TRV_02412 VANVFLNVRETEKKETKDKFTRQAPNSKAIVFWDPMSLPPERIR IKRRREDEPVETLSLPPCLALLYIYTDIQSDIHQPKRRFTDYVFQRVVLHIDNENSQD SPKGGPAPESQAKGRAQGNRAVTVSHHTTRASSTAVNSSGIPVITTPSMRSDEAKSKQ KQLITTDRDEHDSTDIESARSDSPSLSTLSSVPKVVSAVKRPATAQPSGSSVRRFHLE APSNTEDPADTLDVLHRIHGGVQKKRLRRSSSYRPVVVENIAVSNKTALDKSSISAKG RRADVQGGPGETEISNATIEKRHMLSGDREDSSKLSRSHRLDKETRKGESVLEDPSTW DLDSDNLANELARIALEMTNSAEPPEEARSFTKATTPRATPQKRPLKYKPRLPKTPRT GHQSNEQGEASISATSKTDGAIKNSMDLNNKDIGTNSQLDCRHISDTPENQITSAQDE DSDSGYVFDEFIRRPVHDVVADPGVSYFQDGKWIEGCEFPKDVGVVVITQGDSHFWDA IAEDDDEKDWDTEDEDSNELLAYFFNVAEDNPANEYPDEDLDFDDEYCDKNAIYRRFR VNASDDEEYDTLDYDTYSYRTYPCNMLSDSDSDP TRV_02396 SNIQNMSSTAPPPANSATKSRGRGERPEGEECHDSWIVEKRRLI SGSDPEEDKAEIKRHIEEVEDLIQEGYAKWGRRQIKREKKKAMKRELKEVKEKIKERK MESNRRLKMQREGEKELKRELKMKLKEERKRKKEKKEELKKQMKMEKKKEKMKREWER ETRRESWRMAEASEREGSEQEASIASDRAVFHLPLH TRV_02397 MFGIIADLLAVQLTDNSDNRAVATVLFPIFASYKALQTRDVTNL APWLMYWVVYSAITLVESWTFFIISCWIRLFALSYLVLPQTQGAKKLYLEHVEPFLRH HEREIEELIGQAHSRGSAMGLQYLKKLIDLVRTKAMGLPPLWEGQAQGQADTTNVAAP SYAQTLFSRFNLPSVGAGAAPGAGGASGGYGAPDFVSMIGSVLGGAGGAAKSPDAQAA ELSASGRLLPRHVASAPRSEQAAYIASQRDHLRVLLSAYDREFGSLSSGAGVPDESVG GFESSGLRKNRSDNSFENIEHEDLGASSAFDTHRYADYRRPRMGDFE TRV_02398 MALALTTLPLEIQMLIFSYLPNSTIKNLRLTCSSIKNAARLDLD RVFLSIQKRDIEVFRSIASHDTMRLQVKEIIWDDGSFSQSPLEEVVEQDGEYYGQVSG LPYEKVPAEQGSSPKFCPIWFSQECDQNISGFAADKYGPRMAEEMMGWMMMLQRPLPW KSWETYKEYLRDQLEVLDSEADVEALKFGLEQFPALRRITIIPTAHRKLFEPLYPTPN IRSFSCIFNYPIPQPWPVSNEDTFPVNATPWTEDAKEFLKERWRGFRVVTRCLAEQAG KHHVSELVIDPGNLKTGINYNIFNTPCQELSNFTTMLEQPGFKRLDLTLFVGGNEDIV WTPFKDSHYHSAFSKCPDLEHITFGTDRGIYATEELSMEHFTSLLSLFPVQKWSKLRH FGLSSFPVVQSDIIDLLDAMPLTLRTVELTGLLFINDGENYNSLLTAMRDTLNWKERA VGDRPQVTFWTKSSVNMLHAHMQKTVSNYLYGDGENPMKVGPDRKMYFSG TRV_02399 MEGAQRPTSAIAYAGPLLTPVTSTSTPSSPRLRKRQREGSSEDD GPLLLGDNEIHNEDYRCGGPSLIRLPAQNRHLAKNDEFLLAYKELEDAIIHVLHKWSI DVQGRTPLQRAHQTEGSVTWVNTILISARKHELDNTWFRACKEIRKILLDNNLGDISL EMIDERASQRILSAPVPREDPFCSMWKDLRSRILNILGDKEWTMLSPQKRGISGGSTP VTIVILVREDSTSDWTVVREAIVEILDSMELHHVAVELRRGSLLQFHTRPSEEGFLTK DDWSFEPRMGGSIGPHSSTFSSFTFGGFLEVKHPSEGWKLYGLTNHHCVTALNSTAGW DKHGIMPGDPENNLTIDYPSLDDHLLAIKHYKEKIEELSSSESYVSIKKRMDEHDPSV SRNEQHAYECTRTEISYLKNTLNHAHRYYLRDQLLLGKVYASSGYRMSTKKRILDWAL LEVDSNRVSKNKLPSINDIPRGSRASYLATKEVLDGPVALGEEMGVCKIGRSTGFSEG MLGEIRETDIQCWFLDASGNWDKRRGLAYLVYPKAPRLTFGEPGDSGSFVFSLQGSFV GLYMGGDREAGTGLFIEASDLFEDIKQVTGALDVRIPL TRV_02400 MHGGVLEGEEKRCDCDDIFCYLDADECIEYQAYQARLIAALRGE QVPDEEHLDNQYYAPLCLIRGIRCHYEFAASSAVKDVCVSRPALARARNARLIMSNVI PGPEDMDDTDRTRHPYCIWNPDIAAEDTYRQLAQHFPSMRYQVGRACAAAGYDGLYAE LDLLPDVSIAEEARESETPGGQAIFKMIMAAPYRYAIMNDYDLSINLDSKNPGAPAFL NGHTTVRWKLQHRKELRQEAYNFRIWRARTWKWSIEEDDHIDEKESRWPDKYNILTPE EVQLLYTPLPQDLPTMKKDLLIQMAAYDGNVDRYARLASYWPMDEVERVCVIRGIYYN TMFARFWTEEIKKNTRRVQGLKNNGLSLIKEAISARRIMINDVEEFINGWPEDEPQPR VIWKPLKPCEHVLKLLAERVPSMKKTAALACIFCDYEDAYNEINCTPHPDLAMAAKES RNPFYIRDIEQRAAEQGIDLYKSYVMPIWLDESLEILKSHSMYANLTNGMGLHWSDEI MKKFSSIFGGDVPEDYPVQLYVWASPERLRLLDICETSCPCPARYYPDYEENWEEYVE RAKLRKERMRRQEKRRKVRAAAALRRPGESEDAWKARQSVYFYKYNGRVRAGEPEWVE IARKAKEKYEAIVANEGGEVSEHEVSSPDSIVGWS TRV_02401 MRGILITFLLTWLLSLSSYATGDKPKITDSQVAHVFERIWLWEM YDFICDLESPVKQGKIFPHDKTYNDWKLNIGKKRKDKRLTYAEFQKRLQGGNPHDGTL PTIDSPADGDPFKSAKQLLDLRWHGEFAPHEVDPSLPKPKKPDLEGLNTKNYLALVGK IEKEYSKFRIGLVKHPFGNVDDPVRIQRIATTTKAIQTFRYQSRVQYVAGSVTSTDEG GLGLAKVKTDKHPTALTYNGTPLGPADYEKTNYVETYKANCIGEDETRPGPELKALGV KSKKDFTEIMSDFGRDYDEYSSRSDKNHLLVLKRWTQVNDKAHKSAEELKQCK TRV_02402 MGRFSFLTLFLFLTVKAASWNHTQPGIETYPTVFKATGFKSTEH QFGLGTVPITQLGPGEIDDPWISRLIDGCPQPCSVVGSDPANWTLLYTQPALTDCRLP LLFDLNVQSTETRYPIIRACTASSEKPIPKRAASSIPVTNHSNNTTKNVTAETEDLIP NTMTPVSSSSICGAKGVIIDVLFTINPSILTPGDDTASAVSILSSHLANSASCGEKIL LAKLGSVVVGLYIGADIQITAAAKTINKFGVMSQKLTSWPTLLSVVLKKGAQAIQTCN TSIREARTVGLFAVEKTEDLYMVQSALKQWANGGCLYFPRSRVLATIAELGVLTAGEP NNLTDLDTRPIWRHTRPMLPHAKSGFHSLAIRADCRAIQVGAGDSCTALSVRCGIRGS DFLKLNPVTNLCSTLMPKQWVCCSGGTLPDRRPKPQPDGTCATHTVVVGDGCFAIADN YGITVNDIDKLNKGTWGWAGCNRLQPGQVICLSKGNTPMPAEIAGVDCGPQKPGTKKP SGKFDGRDLAKLNPCPLNACCSGWGFCGITAEFCTESPADTGAPGSFKHGTNGCISNC GTKIVGNKTPGGSFARVGYFQAYNFHRDCLYLDARKIEENFKDLTHVHFAFAGLTEDF NINIGDNIREQFDLFNSMKAPFKKILSVGGWAESTEPGTYSRYREAVSPKNRYIFAQN VVKFLDSHNLDGIDFDWEYPGATDQGIPASNPYDAENYYRFLTQLRVLLGTAPGSRSL SIAIPASYWYLKPFPVRAISVVVDYFIYMTYDLHGQWETYSALAMITKAGVVPEKVFV VSTKSMKATDLNTAVANAELQKILEYTDKGEKGFEAKKWYDAETDSDIMTWLAH TRV_02403 MTDWVAYMDDNTKAKRISWIQGLNMGGISDWALDLGGWFSGIKE NGTIGWTVEPDNLDCDRNAWPKTLEDLDANIGKVPIHCRGMALMDILTKELAGAVDKY REVSSSDDYKQRFEWYADWVKDSIEPRLMKFTALKTGEGLKYMDCKWSTPYAKGQGPC TEVNLYDPDHADPGGRTVEYTLRDEEGFYNALMKDAGISKEWIEWTTITEPDYCPTCP PKNEFCPPKPCADNYIKYVNVPSRIPDKNKIKVEDPKDLIEKAIPHIDDMVDLAYITS YELSMGILDADITDVITSLSMPVFMLQDASKSIEEIKKIGKEHHDTKKKELILNILSI VFSIIPFVGFAGQAVGIATRFATAALIIGEIGNAALSIVDIVENPAAAPFAILGLLIG AEGVQVRGAREAFKKAANVRRALKPDGLKSFSQEFVRKDSLVQGLLKKCFK TRV_02404 MLDQYTYIFAIGTMFAMLDAFNNGANDVANSWATSVSSRSISYR QAMIFGTVFELLGAITVGARTADTIKNGIIPNSAFQGNAGVQMLAFTIALAGASSWVM WCTRHSAHVSSTYSLISSVAGVGVATVGASKVQWGWNNGKGLGAIFAGLGMAPAISAG FAASIFMLIKLVVHMRKDPVPWAVYTSPFFFLIAGTICTLSIVYKGSPNLGLGKKPAW YIAAVTMGTAGGVCLLAALFFVPYVHAKVIKKDASVKWYHAFMGPLLFRRAPVAPADR AAVPNYAVVQHDPDLDETSAGGDAMAKAENGSSTPDDKEQKLASIDAPPLTQKELNAQ GDARLNAKLRKKRGPLGWALRTLHDNPMGPGQIYELHNIKIILKRFPATIVAGLLYGM HYDIHAAQTDIAGTPEGSRMARVYDAAEKYPNEVEHTYSFVQVLTACTASFAHGANDI GNSVGPWAVIYGAWSTGDAAKAKAPVPVWQLAVLALTISLGLITYGYNIMKVMGNKLT YHSPSRGSSMEMGAAICVLVFSQYSLPVSTSMCITGATVGVGLCNGTFRAVNWQRVGL LLLAWIMTIPVAGTIGGVLMGLFLNAPHF TRV_02392 MLVFDPRARTSAVQALAHEYLAPYHDPTDEPEAGERFDWSFNDA ELPVDSWKIMMYAELLSPLSPSINNNTNNNNTTTTSPLTIPMPPPPPQIPLTQPPQIP TRV_02393 MAEFVRAQIFGTTFEITTSSAKDQLTNQAVAVKKIMKPFSTPVL AKRTYRELKLLKHLRHENVISLSDIFISPLEDIYFVTELLGTDLHRLLTSRPLEKQFI QYFLYQILRGLKYVHSAGVVHRDLKPSNILINENCDLKICDFGLARVQDPQMTGYVST RYYRAPEIMLTWQKYDVEVDVWSAGCIFAEMLTGRPLFPGKDHVNQFSIITELLGTPP DEVIQTIGSANVGAFSLYFNFSSTF TRV_02394 MVVTGDGGSWAGETPAAEGGTQGQQRMDDACLSSRWLAGWLAGW RSLVAGVLSQAVDLRRRGSQLRESKQRTTTREETAESQESRVKSQEEEEEEKKTVRER ERERESERVTRVKRERERREKARKRRAVREEEDVREDVREDVREEKKRREGRDREDDE ILLLSPHSSVLAELAVSALGQDRPLAHPLVSSGLSSGLSSAAHLPLLSCFSLLLLSSL LSMDAAAAPETWRFSCTVPSCLAASLPRCPP TRV_02395 MSEFGRQRVGRDHGAEEEEPGHRQSPRGRHEAPQKGVQDPPLRY YLFLLLIVQLMIAVLRGAGSGESGKSTIVKQMKIIHQNGYSVEELSMYRLTVYKNLLD CAKSLVWAMHQFEIQPSSAKVRDFMDYLLDYNIDPDPNVALELKVGDAITYLWNDPSI PAVLERQNEFYLMDSAPYYMLIADSFFEEAKRITMPDYIPNEADVLRARTKTTGIYET RFTMGQLSIQY TRV_02366 FRPKGDFIISYHPEIKSLFIATGGSGHGYKFLPVLGDKIVDAMQ GILDSDLARLWAWTDNPVTTPAGGLGVVWTEDGSRSGARGMLLVEELEKSTISNCPST STSTSPSNGPSNSTNDGDVKVISRL TRV_02367 MDSLTTHPATAQQAKAFTSPASLSFPGGAGELTPPSSSEKEANG AQQPHQPQHVNGSGPGGGTPATPVATPGAGPGVSGIVPTLQNIVATVNLDCRLDLKTI ALHARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARII QKLGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELFPGLIYRMMKPK IVLLIFVSGKIVLTGAKVREEIYQAFEMIYPVLTVSYLVHLQKKAKVMVTVHAVETE TRV_02368 MQTSTSSDSLWISSGRNRDSCSSSLLTGACEKDLCCWPENLANP VFCPAMHLCTALLLTGALVEYCESPLTAAAALLGVALLHAGAAQQASLRAKANGSTAD REAIAELARQRVSFVLILYLFKHTHTPKKKKLSQAGVVSSNCVAQNSGRRHDAPSLKA EEEKKLLGGTFGREVKPRQAEAATLHPSAQVSLLPYVSSPPFPFKTSFFPFFFFASNF HVIIIFGFGEKKAKSTTVDSYSSLASQLTHPLLRALSLRSAAAYHSATATAAAPATTT RGLRQAQQPLAVTASFSSSASSCLAVLFFVTLVV TRV_02369 MSGKLLTSENVGPAGLCAAIQLKKLANEAGNEDFRVLLLEKAGE IGDHIVSGNVLQPTAINELLPDWLAEDNPNRFEHTTPVTTDKMRFLTEKYAIPIPAPP QMNNHGNYILSLSQLCKWLGERAEELGVEVYPGFAASEVLYNADGSVKGVATNDVGIG RNGKPKESFERGMEFHARVTLLGEGCHGSLTKKVMKKFDLRRDCQPQTYGIGIKEVWE VQPEKFRKGEVTHTMGYPLPRDTYGGSFMYHFGDNMVSVGLTVGLDYPNPWLSPYNEF QKLKQHPLFRSVLEGGQCIAYAGRALIEGGFQSIPKCAFPGGALIGDSAGFMNVPKVK GTHTSMLSGMLAAKATYSALSGNSSDGTIFLYEYEDALRSSTIWSELKEVRNMRPSFN TSLGVYGGIMYSGLEAYILRGRVPWTLKHHSTDSAATKPASECKKIEYPKPDGEVTFD ILTSVSRTGTNHEEDQPVHLQVADWEKHTADAWPKWQGVENRFCPAGVYEYIEDKDKP HGVRFQINAQNCIHCKTCDIKVPTQDINWQTPQGGEGPKYSIT TRV_02370 MNEWEKKKAKGPLSSPSLPFSPSHLNRNNKSVERKPDHVNGLKV LSQLFNFRLPSFSSPLASSSSFLPPNINNTTTSSSTTKTSLSLLSQETLSFNKQGRPP NPLAPQDLHHERSFLHPSLSRQSAPLDESCCVRLQDSEAEGEHGEYQHINKVTPTWNG GNNIQREKGPCHIPSILLNDTAVSPLYALSWFTTYEIIINLLRILVAYTADSKGHLFC LDILPPVNKQDIEEHFGSHGTGKITEIKLMQGFGFIEYEDAMDAKDVVPVPLFHLQSV ERRRANTGIRLFARFLLSSFPYVIHSCFHSRVKKIET TRV_02371 MAESGCDYIQLRDVQLPVPVYLQTDAWRRTNKPQPATFSVRISY PAALISLAAENDTVGYTLNYGTLYRSIESAIISSNKDTIPDENAGDPSTRPSYSKDIV DVALTISDAAHKVLWSEIGATERDQDDTIFQDRWFTEKKEEITVDLHLPKAILRAERG LFCTLVTRRRPVKEEDNFMDFDLTVRIEGIRCACIIGVNPHEREDKQIVELALTFRRI KGKTRMIPKEYQTMVSKVAESVDKTSYETVEALATHAAKIVLVEFPLDEVTVRVEKPS AMAFVAFSGLEITRAASFFGVSRRPA TRV_02372 MARQVLESDLLSLHRAESNNSSPRWQPQEEFVVSDVFMPQTPEP EQADEADLGQSWATVVSEKPSTTSNFRMVPGDVSPMRPNPKSGGLNPVKKKKNKKKKL PKDKNAVSHVVEAPQPGCETPPAYFKDGRSSISPRLRPVGAFKNNFESRPPSAHGISA LSIQLDALNVGSARPSGSEASKKGSETSSCGSVISESDHTEILTTYEVPLGDDFVSLD LNNEGPKAMDGSEKKAILNRKMTAADFDSLTCLGKGTFGTVLLVKQKANGALYAQKQF RKASLTVHKRLVEQTKTERAILESVNRHPFVVKLYYAFQDHEKLYLILEYAQGGELFT HLAMERMFSEETASFYMAEMVLALEHLHCNVGVIYRDLKPENCLLDADGHLLLTDFGL SKVAVDDDDRCNSSLGTIEYMAPEVIMGKPYGKACDWWSLGALGFDLLTGSPPFKGNN HAKITEKILKQKLALPYFLGPDAKDFLTRLLRKEPQKRLGYHTSKDIRTIKSHRFFRK IDWKALEKRELEPPIKPVVTDPALAENFSHDFTSLPLSPVLTTKSGPFSNAEDAMDVD GEGATDSEMGGIQPSEDPFGGFSFVAPSSLLESGLAIGNMKW TRV_02373 MSICLPRRILLGHSRFQARGHVFYPLLTPYRLSLGIIQSPSLST WRSYVHRSKDSSKRKPYPIPPAGLRKDPSEWIKRLEIYIPPQNVDNGLAVAELIGRRS WQEDCKAILELLYQAREQLGFDLLTILGLKLGRWRDFHVIVNKLLDFASESRALARPG ELPSNIDWGSLGSFDRLSGDLLKSTSLSGLRVDTDGRDALSVKDYLEGAMLQRQTGKA DRRAEAMSEIWQSLGSFILETSDLPEAESASIMSHFHLVVAQLHHLDMIPSGVYRYDS NYEPTLPNRPPEMQLLSYPIMSVVSGVALEAAATDNAVDESDSTSHAPSSRFKSRPLG FGIWLEFILWCCVEGGYAAEASWILQMFRARSKAWNIRSWAALHRSKGPIDPLKMDRY DTWEECGVLSDEPFSYRSDRPFLGMGERTITKEVVYSVMEGLINSLKADAGTASGPGD PIKFVLTRLGLLRALLGRNKLSLSQEELTYLIVRILETGAVVPETDPQSLEHLLNHAS YILPAEHSINPPEAGYENVGLQEYKPAESLVVLGLYQYALNIYASSGRASGTVDIFGR LLGEVDPAKVFEMRESVLDPKYLVMAHRVHQTASSRSRIARSPAQSEHLELPPVSWAL LLDTLTSSRLYRLADWVTHTCAKALEVSTSRTREGFLITDSLIRLATATKNRELYSNT IKRLERPLRRQTLIALLNWRIGECDSKGAIELLHYLRDIRLSQWDIENITSLAAVIIR LECRTSSTDKSSSDSIPAENQDEALELACSLLVDLLNGKFNQHYSYSKDPNISKAILN RLHHVFRSIPGRLSNACRKVQLQWDRRYDPEYRIPPSAFNQLLAAIVDTQGCLPGKRL WDQFCIDPLLSFDASRDRKQQRIRTDLPFNARSIYPKRTPLVVPDLTTVRIIANAALD EQNSPRQPGHNPAHPVTQPLTSQNHPADSVNRVLDWPFDGNVIIDSEEVARIDSSLPK SVPSNKNIFLMT TRV_02374 MAQDTGLFSVRRPRETLGNVQNYTSIPQPSSALKRTSTAANLQN PPFTSQHARSSSLVSSLSRPQQPVFHRQSTSSNNYGIDGGLSSVRRSVSHNVLHSANA GRQSYAPMSMAPPPPPQLQRRSSVLSRPSMGPSAAHQSFFVQGSIPAGVPRDPRPLRD RSFQARISQEILEYLTRNNFELEMKHSLTQNTLKSPTQKDFNYIFQWLYKRIDPSYRF QKNIDTEVPPILKQLRYPYEKNITKSQIAAVGGTNWYTFLGVLHWMMQLAQMLDRYYQ DQYDYACAEAGVDVTGDRIIFRFLSGAYHDWLQGGEDEDDEVAEQRLVPHVETMAAEF EKANEKYVQELQALEEENRLLRDQLEELEKNAPDIAKLDKHFKILEDDKKKFEDYNEN VQGKIDKYENRIKFLEEELEKIDLELQTTEDDRINLQSSVDKRGITIQDIDRMSTERD RLQRSVEDTLVRLDETHAKVMEKESEANMKLEELEQVTKSYNSLAYQAGLIPSTTANA KGVEFELFLNINDQLAMSQSHAVSEGDRLLADANTGYHPTNLINLDLRGVIRNHLISL RKEINERRKIALDEDMNRRDLLENIKEAMDEKKSEVEALEHRRRAAEEEFERTKEITS TQKLASDAQIEKMEKELAKMRASLSESVQLMEQREMNTNIEHEQLVLRANALREELHT GIESMLNDVIKFKVHVQKGLEDYESFVADEVEQELGAEDLGEEGQEDAPPG TRV_02375 MAREELITSADPSVSSAPLEKKVAFLQSKNLTQEEIDIALTRSG SSSPSTPASEQQGRAVVPSPPPYRAPPNQSYGYGQAGQWGYPTPPDLPRRDWRDWFIM ATVMGGVGYGLYFVAKLQQDKESVDEQFSRAFSLLDQLSADTAALKAAEEARTSRLDT ALRDVEEVVQELKVSSRRRDDETRRISEEVRSLKDGIPKAIEGAREGNEKRLRELNSE LRSLKVLLTNRLGSGASTPTPSAATTTAATRPDVNGTASATQQTSSPATPSPAAASVP ASTPAPRERSGSPFSQLGKPASIPAWQMAAANKAKSTAASPSVEDAGEEADKAESNQQ SASTAS TRV_02376 MFGTYLDIQKGIFMEDLDDRELKGRWKSFVGKWKALEGAYNRGS PETSVHSPRGRRASPSYKNSEERSAAFSERRSQDFNERSLSAEQEGQNKDEGEEDEED EEESYGPQIPTSQRQMSSYRSGPKIPTLADLTIKREDEQLDLEESRRVNKAIRKQELN SHKGELRRMEDEIAPRAEPGSRERLLEKKREKSASNRQFAEARRGGSPIEAVADADLM GGGEGEDGFKKLKEKQMRKKNERELRKEEILRARAEEREIRLRSYRRKEDETMDYLRA IAQERFG TRV_02377 MEEGYGGASTLDGMYFAKLVQDTLPLLAAVYFFGAYFITIVFAP GSEKNSTGSSRRSNVVIGFLISTVFLTYICLPSFVSAEQIVDAVNNLSSTIEGDGAAF AKGQILSELSSGLLWFTTILLYADKPPQPVSYPFYGQWIIYLVSEISLLLCPANIYAR ANIISILCQTLRIITLSLLICAAFGPRVFPGKQTKLDEENSPLLAHEQSLIADPGATG ARVNGSAYGSCDSGISNNQSSKDKPKGSKPKIDADDSADDEKRGGIWSSMKDLRELAP FFWPSGRPRLQLLFGGMILCLIAERAINLLIPIQLGLITDILSKSQGNKTKKERKLVL HIIHCGRLPWEQILIFAALRLLDSSGGLPALRRFMCMPVDDFSYLKLSTTAFNHVMSL SCDFHDNKHSGRLWTAVIRGQSVKDVIHSILFLVAPMIIDLVLAVGVLYYVFDGYMAL DVATVMVLFLWSSSKLVAKQKDKRREWNEARGAEFTALFESTENWRTVSYFSRVPYEI SRYLSLVQAQLKARFKFRFWDHMENASQSALLICGLTAACFMAAHHVSTGEKPIGNFV MLLSYWAQLSSPLQFIANGFGEMARDLVDVEEFLSILRQKPTVQNSPDAKPLRFETGN IKFTDVNFSYDGKRGVLRNINFQAKAGGTTALVGQTGGGKSTILKLIFRFYDPTQGKV EIDGQDVSGVSLETLREGIGVVPQDPTLFNASIMSNLRYGRLDATDEEVMEACKAVAL HDRFMSLTDGYDTLVGERGMRLSGGELQRVAIAQAILKNPRIVLLDEATSSVDSETEA VVQESLKKLTAGRTTLVIAHRLSTIVNADRIVVINNGEIVEQGTHTELLQNHGYYRRL CFRQGFLEASESDSGTPFSETTCSCHQYPSNGERSWKPDAPEFVPKAYKAPGGNQSQF PLSECDPNRLHQEDKGFSESTVDIYETETDDSFHIETGPDQENFQVKFAAECTTNTND PSQTSKGDARLSHDEPKVFYPTMNENVRGNSLGFDETYDATAAEGLDASKCDAQDKLR RGLSKSEPSNLAKDISIDGDEDSGDWQTVETKTTATSGPKKAVVKNPTRPRRRRNRKG RENYQKAGVQP TRV_02379 MGAIQKQFKNIPYRMISSISELPDPDSPVLQYRVYEKSDFEHVH LHPSTSLVNSYIIRKALIRKHFLSNTIANWITKYPDSVLQKHFKPTIDFELDYAEFLD EALLEAYELRESLEANEEKEDCEKEWWILKPSMSDRAQGIRLFNSKMSLQKIFEEWEP EDTDSEGSISKEPDGDLNDKTDTGVVTSQLRHFVAQPYVLRPLLLPSAANRKFHIRVY VLAVGSLKVYVYREMLALFADKSYFLPWEKGETDEDELSYLSRHLTNTCLQSDSTGQD AAPENVQRFWKLDDKGASVETGWKDRVYDQICVVTGEVFEAAARGMMVHFQPLPNAFE IFGVDYLVDETGNVWLLELNAFPDFRQTGEELKCEVIGKLFEEVVEIAVKPFFFPTAE KGNAADEQAKPRFRLVADLNLGIK TRV_02380 MHILSSGHVVSVVLPHRQRSWIGKAHLVGATVKPTYFHPGTLFQ DDGTIHSLPRSEEGENSEGDEWILIDSTPASCVQIGLFHYFQDRGPVDLVISGPNYGR NSTAVFSLSSGTIGGAMEAAVCGYKAIALSFAFSSRDHDPVVISEASNHSVRLIEHLY KNWTSGVDLYSINVPLEQGISKSKILYTNILDNRWSGSCFEAIDAELSGEDPGLQEHL LRQKEEGSTLNGDTSGTSSTRFQHKHFKWAPKFGDVYKSEQTSPPGNDGWAVRSGFTR MAEH TRV_02381 MVPLQKYDVKVILQLPSTPANRAAGNFMLDLAFLREPDDLAGSI ANASENVLLRSRRPAMLTYTSPMVDTARQLWRLPLYILGLKREAEVLSIGMMERVQFH KGKGQVPRGLRLEIQSNERIQVYKARVRIDARFTGLRWIMYNWRTLSFLTFGSMFWLI STSVATGVWLALSSRSSGNEGMVVKKEEDVDSDDGFKDSSEPSTAKQEGVAARLSPDI KAEEEDEPSAYGSNEDNGPDEDQGSELSGI TRV_02382 MTFPSPSHSAQSSIASGSDQPTTARSSRLQPSAWGVPSSQASVR RPLTLATANLSSSTGTSSPSRRTPAAGAVASPSHTSNPTPAPGPATSPLTSTFSAILS SGSRLPAARGNPSPAATPSPFASSFQAGAQHPSQQQSQQHSITSPKSTTPLPPSAVSH LATPTASAPGGGGSGGGGGGGGGGTAVSRGATFSPLLTGTAVGSPTGFPSDKPSSATV SSTGGAGSSQSSLSKISVVQVFLLLDSINEKEGKEKWETKAAQIHRLVNSNGMEVFSK YFRRLLSSNAGQIFSGANKNVDNSGNYPLLVQEVQKVALDPDQGRKIAETIDTSEGDI FRDFDLSTFLDHFKLDPILKTALTLAFKNVTKSDLRTKADAILSASLPQFLQSLSTIS DSNKDSTPSFIALTIERLIFNPPRNFNEDMKKKLIYDVRIRYQNADSEVPIEVDSALQ MFSLTDPRLSLVRQIQARGPQSLGDPDTMLDTIKAAGSDAFSGEQIGNAILFIVLSQS WQQYPLDLLVSTLLKQHASQPINWPEAVRQFDKDGLRVDNSQFARIFNALLPVAQEDN SLDLQMLWGGDWAHKNAQFSFLTAFLCAGIDTPGIDTSQIPNFRSAFSSDIFDDASET VKLQAEQTKNNPLRSLDATKAIFDLILVSPATWALPESQNFVKTILQHDLPTFLCSAF AIPQPWTNVQHNFLVRSFMIFILKRQDGYQFALHGVWKLNQQWVGEQLFHAFTQDPSC TDLIYEHAVEHGWLDYLLDFTNGLAMDLASLAHQKGSFDLEQWVKGTAGKTPVDMGGL LAKFLRIKAEDELRVQRGEQPTPQMVSLSVKTVFALLMILEDYITDHENLTPIQRICL QTYPRLINYGEGFDDVIEANSVRGNAIPEDIDKKMRDLFGKMYHEELSLREILELMRR YKSSREPAEQDLFTCMVHGLIDEYHCYHEYPLEALTKTAVMFGGIINFKLISGIPLKV GLGMILDAVREHEPHESLYKFGVEAIEQLISRLPEWVGFCSLLLQIPSLQGSNIHRKA EEVLREQGHHPINGVDGTEANGVTDGLSLANGSVNELVNEGSPRKFQSLHVGPPLRPD IYKEPDEDTHDKILFILNNVSEQNIKSKLQDLRESLKDEHHQWFASYLVEERAKLQPN FQQLYLDLLELIDDKTLWAEVLRETYVSSIRLLNAESTMNSTIDRTHLKNLGGWLGSL TIAKDKPIKHKNIYFKELLIEAFDSQRLTVAIPFTCKVLSQAMKSSIFKPPNPWLMDI IALLIEIYHFAELKMILKFEIEVLCGDLELDHKTIEPSTCIRERPAQLHEGLPVACLP EGLEAFDDMSLTGMSRGIRADRISPSSIISNLPKLDQILVFPPSADPNTLKQIVHGAV ERAIAEIIAPVVERSITIASISAAQLILKDFAMEPDEEKVRQAAGTMVRALAGSLALV TCKEPLKMSMTNYIRMIQQEYSDQPMPEGLILMCVNDNLDAACGIVEKAAEEKSLPEI EKVIESQLEARRRHRIARPNEAFIDPSMSRWALFIPEPYRQVPGGLNKEQLAIYEEFA RQSRGAGPTHIQHASTDSGKQIPDVLQEAFPGMPNLSTPADQSAIPHPASRGPQDADV QQQALAGTQPQINGFLEASTPREKIDGLVVELQHTTRSEKDEHIKDIARDSPILHAYN QVLRAILSSQNGEELARMAAMKICNALYTQTEKTLEIEVLVHLLTKICELSSLVARYV WAVLAEVDDGQMFNVPVTVALIDAGLMDLHRIDMNIAKLIKDKSHAALELLSALMNRV LLNDEPSALRSDFSGSLDALNQWVVEEPDLPLAREIIQTLRESGIPESANTLLSDLAR SKRDQMEYIFSEWIGVYKFPGSNDRMYSAFLKDMHHRQVMNTQEDSALFFRLSIDISV AMFEHEYQNVSGNIDEAFLYIDALAKLVILLVKFQGDADGAVKASKSAYLNSILSLLV LVLNHHQVMRGDSFNQRVFFRLFSSILCEYAASGLAQTDQHKGMMLAFADKFLSLQPK HVPGFIYGWLSLISHRVFMAEMLTLDDQVGWEPFCEIMQVLLSYIGEQLKSASVTYVA KDIYKGVLRILLILHHDFPEFVAENHFQFCTVIPTHCSQLRNLVLSAYPSSFQKLPDP FRDGLKVDRLEEMSKAPKITADIVTPLQEAMIKAPVDNALRNFNTADAAIQQISEIIY NPPARDTGLFFNPINVNTVLLEALVLYIGQSAVSSSAQKPGTASFSNSPALGFLEKLV NMLRPEARYYLLSAIVNQLRYPNSHTHFFSFAILNIFGSETAAQHETHIREQIIRVLL ERLIVHRPHPWGLIITLQELLQNGNYSFFRLPFIQAAPEVWRSYNYPVHMAGC TRV_02383 MPAAVADTPSISLSFANNFWGKDDAGVLPMLERMHNAKSTCDEL RSFYSSELLNFKKKGETEVIGKTHSAIASQMKTELEEPLAAFAGGMKERRKIVQNGIE KLLKIKMQQTHAVNKDCLRIKGYLAQGHMVMGQEERKNKAKLEKTQIQLASNSSEYEA AIKVLEETTGRWNKEWKAACDKFQDLEEERLDFSKSSLWNYANIASTACVSDDASYEK IRVSLEDCEVEKDIASFIKEKSTGQEIPDAPKYINFCRGDLNDTASEASEEENYSVAQ FQRTINPAYRSSSPQPSTYESHHDPDSELAHHIIPSSHPAQSSRENTMTPQKKPSAPP LASGSPASDPRRKQHVPPNYQPSQHGEIPASIPHNEYPADGMTMFCRPGPPSERNTAT PSIRDSQSDLSNPTSFSSMEPPSGHQSPIKYTPVGTPPASAPVTAPGPAPAKAPAPAP APAPAPAPAPTQTQQPNTATSTKEVQKKRSGFFSNSPFRRKSKHEKDRRAQSVAPAPT QNRRTWAAPPKQTRALLGTQSDLGPNHRAPSPEPVDPRANFQLNVGNNVFDVASPDAA KTGKAKPANGDVDPIAQALADLKGVGKQASIRVSADRYAGLASPAPPASTSTPAPAPA QAQASAPAPAPAAAPASAPASNRPSTSHREGPPPSYKDTPVKRLDAPSPAFTAAQMHK TTRKYVGQTQSLFSKPIRTRERANTVDQVIPRATSPIPKRSPSPQPQQNTTTRPGSQL GNASQPRTPAYKSESMNSRYRHSHSQSATPTKIVREPSRSPQYSRQASPNDVRPEARN ESRTETRNTLRTEVRNEPPRNEARQETRAEPPRNEVRNEVRTKPPRQEVRQDARTEPP KQDVRQEVRNEPPRNEGRPSTCNGVRNDPPPNNVRRSASPQPQFRRPERPASASGMEL QLSTDDVNQHMGGHNDGYPPYQGEYQGGYQGGYQGGYQGGYQGGNAYGHHQQQCPHSM YYGATPDYSHGAQDQHSGTRSVIMAEAPTISRDGRPILHFARAMYSYTAAIPEELGFA KGDILAVLRHQDDGWWEAEITNQPTRPGLVPSNYLQPC TRV_02384 MLGHLSTAPFTLLWLQRLSLAVCLPGCLSSSLCIQSAEEEENPV VDSKQLVRDNREGQEAEEQQKQRGQGGRAAREMATPTAEMDGLQLQEKEEPGWDAQTE QGSGRAKESYHQYTSAEAREEDDRETALRAELKSVRDINAVIEGVVESLERAKGNMES VARTVSSASTLLDTWTRILSQTEHNRRLVLDPSWQGATQDMTDIETEARERQQEAERR ELELQERKLAQERKAEEEQRRRTAQTSSTTRARRGIVRPSTTRTGTYKRDTLKTMLEM SEKSHFHQMYGLSALNS TRV_02385 MTTTPPPSSAIRTPPTPRYGSRYDNYEPYSPRRSKRIADQQHLF SGSAAALDASTTGLVKEHALRRDQELHQLGISGRATYSPPHSQVSSPKRSSERLDMNS PSLSPPPKNRVRSEPVSSSLPHSSSSSSYRSTMDGNTNSSLAANGMLPTPAKTPRKKQ IEDLGPTARTLFSSSSKPAQDPAAMMPKRSKKYSGFSLESFEADPQQASQEPIAIFTD SRDRIPQVNNSPDNPFRSKPAEGEPSSSKLSAEAGKRRKLDESSRKRDKNVDKAIRRD DGLLYVFRGKKVFRKFKPEVEEEDDDDDLGLLASRSELKSESIPRIRPLTRSSIKPRV LFPEARAAPVPCEKEEEPSTPSTIVEAEEEQDEEPDEEPVEESAETADQPATAHAENG SSENPITPEGQIIQTDTPSSPLASGRSLRSHGLKAGSGPEHCDPDTPTAKRISPFDRW RRGKSHSTPTKTKKRGIDGNSNQTSKKSRSH TRV_02386 MSSPNGRKKFEEIQNKRRAATDYGISSAKARRILDENLEDEDDE DDEETLQLKLAAIEARLKLKRLQQSKARLKQADSETESFEGPSGSISPGPESQGYHGS RVLGERKRKAADTSYQENVQVPLSPVKRPPPPVAPISPQRVIWGIDKGRKAPDVSLRR PKSMGQNPYDNKQTTGSQAGNLFTRGSSRETQLLPPSTTSRLDKFKSFSDRMIESRAN DKERRDKSWALQQKRTTGFGVDKNELERFHAAAKESTIDLGAVPSTKRERLTSPVRVT QSSSTENIPPTSPSKRDRSQLSRIGRSNSESRVTRTARSESSSSIGTEVKPADPTKFE PFSSLHLSTRILPHSFLKRTFDSKTTMRIPDLLRTVKGPDFESPETDGDFVVFGIVGS KSAPKEHKDKKAGGNKNDDEPEDGHNANKYMVLTLTDLKWTIDLFLFSTAFPRYYRLA PGTLIAVLNPAIMPPPRHRIDTNAFSLTLHSSEDTILEIGTAQDIGFCKAVKRDGKVC ESWVDSRKTEYCDFHVEAQLRKTTSGRMEVNSGPGISTRFGPRFSGFRGARREQNVSQ GSGTRSNTDSGGNFDRATGTRYYVAPAATSAIGNRQSQFPGRDGTASSLLDADDPFID AGSFDRGGNSKAERLRKRLADQERERTIARSLGEFKGVGAEYLRAHHKDTVEAESKAN STSATASSHTTSNQSRSNDNSSGNDPFLGLDNLQKNAKGIKLRRGKDGNVQTTVKKTR FITANGIREAGRYSLGKATQDATDYNDDLDIV TRV_02387 MKTRAGSGKAPAPADDGAMVKPAAEPPKFYKTLILPSAASEDAR FIQLQNPRTSRLTRYYFCPKLGVFEFTSVSPPTTTPRSFLFVNSTDGDTRQKDAVSEG QPVGDNGFTSKTGQLHVATPVDIMFFILPIIVPSSPSSERTLFQPLDDIIDANEDISP HLRKVLYTTEFRKTVERRMASVCETIDADGMMFRFSEKKLVEELLAKAERMVKNGLPP SLEARFVHQVLEPPMVSVSKMSSTQPGDEGNDNKKDIQPTQSTSDSQASSEMATTQNS LSTNLTEPADSQEEIPVPKPNYSPEIAHLMRLRAALSFIQSSYLQPNLSIRVSDALSS SSSPKDFVALDEYLQNLANLRAEAQASRSMYDMSRRKRGYEDDETAEEKAEKKRKAQE EEKKKKASMSRGVRDLKKVNTSGMQKLSSFFAKAPAKK TRV_02388 MDAELVPETQSLRDVYVAEPDTKQSLDPEQQARWNNLIKQFKGR YGDAPGFVARSPGRVNIIGEHIDYSLYDVLPTALSVDALVAVKTMPTSGPEATIKVDN TDPRFAASEFVVSSDREVDIDASRPDWVNYFKAGLRVAVKYLREKNPAFVPVNIEALV DGNVPPGGGISSSAAFVCASALAVVKANGHNISKQELLDISIVSERAVGVYSGGRMDQ AASIFSRRGYLLYVTFFPKFKVQHVAIPKASTDITFMVAQSFVTSNKAETAPRHYNLR VAECTLAAVILAQKHNITLQKDSSSLGYSLRNLHHELMRQDGRQDDPFEYQLDSLILI VEETFKQEQGYTRADIAELLQLTVPQVEEQFLSSFPVEAERFYLRQRALHCFKEARRV LDFRSCLARSHKLDQHNLEYLGQLLNESQASCRDVYDCTCPEVDELCEIARRAGSLGS RLTGAGWGGCTVNMVPLEKVENVTRALKEEYYLKRWPDMDKEKLSQAMVISKPSNGSF LYVCLFILRLVELC TRV_02389 MLRLGVKHIFGYPGGAILPVFDAIYNSTHFDFVLPRHEQGAGHM AEGYARATGKPGVVLVTSGPGATNVITPMQDALSDGTPMVVFCGQVPTTSIGTDAFQE ADVIGISRACTKWNVMVKNVAELPRRIKEAFEIATSGRPGPVLVDLPKDVTGGILRKA IPMASSIPAHPSAASIAARELSRQQLEASIQRVAKLVNVSKKPVLYVGQGLSARPEGP ALLKELADKANIPVTTTLQGLGGFDELDPKSLHMLGMHGSAYANMAMQEADLIIALGA RFDDRVTGNIAKFAPQAKAAALEGRGGIVHFEVMPKNINKVVEATEAVEGDCADNLAI LLPHINPVAERPEWFAQINDWKARFPLSAYDRQTANGLIKPQAVIEKLSEMTAHRKDK TVITTGVGQHQMWAAQHFRWRHPRSMITSGGLGTMGYGLPSAIGAKVARPDALVIDID GDASFNMTLTELSTAAQFNIGVKVIVLNNEEQGMVTQWQSLFYEDRFAHTHQKNPDFV KVSEAMGVQARRCLTPDQVESSLQWLINSEGPALLEIATDKKVPVLPMVPAGKALHEF LVYDEAKEKERRALMKKRSGY TRV_02390 MSSPSRPRSQGLNRDTPTLEHGSQEEGENVAQGASHDEHPVENE TEAPVVPVCHGARIVDSDDSDDVAPIMPHPESMTHELSPRELEAICLNDELSTSNIFP GESDLRKCWICYTDESEDSPLNKEWRSPCPCALSAHEACLLDWLADMENTEGPNRNQD GAMLLCPQCKSEIHMSRPNSLILDLAHKCEGMLNRLVLPGVAFTLVGTVWAGCCAHGV YSMYLIFGRETTIRLLEEAAQGPLGIRLNLGLPLIPISLMFSRTQYADSLLPVIPVLF FAAHHPYHQEMDLQLWPPSASMTFAALPYIKSAYNLLYRKLLGDLENEWISTVRTRLN ADEDVRGPRRRRDFQDEPDNAEVVMEIELQMGVGAGNDGQRPAGGAHDNENGIQAHQI MAHRQGNMLRETTNLADIVLGALVFPAISAGMGGLLKWALPKTWTTPSLYERGRPWLL QTRWGRSVIGGCAFILFKDAFLLYCRYKRACLHTQRVILNYDKKTKRPVLP TRV_02391 LALWDTAGQEDYERLRPLAYSKAHVLLIAFSVDTPDSLENVKHK WIEEANERCPDVPIILVGLKKDLREDPLAIEEMRKKSQRFVSPRDGSEAAAEIGARKY LECSSLTGEGVDDVFEAATRAALLTFGKTRGPCCVIL TRV_02347 KTQRLHGDVTGGRQGARQAGYSVLYALSYTAVLAAARDGSRSQP QVRPGDIIDIIDTTMKKAMIRLGDTPCCERAAAGLILAVFRPCRLTYGAYGGLPNTEY GVCIITNLIMAVCSLTTTTAAFDTARAAKEELKYVTATATALKSPNQRPQRERVDSAG GCQPPGFNPWPAGTQYQRLFSRHDLPSQTIS TRV_02348 MNDCSSTEEKGGKNASEGKGEEGEEEEEASCKRGEGEAEEKKRK ALEGWPAILLIEACWKNSPWSLVHGWQPGGCAWARSTSVPDTLALCTEYIRPRYSVHR GGSHDDDGQQQAQRVKQPSSCAEPVEHAKRIRRGAIVQGEEEEDEEGVSLPSTSAEGA TRV_02349 MASKAGPLAKPFIDTRWADGLRGIAALFVVASHVTLCFARSVMP PSISTDGPRRLFQNPYLRLIGQGNAAVSVFLVLLGFVNSLKTIQLIRSGCHQDALSTL SVGAFRRTGRLMLPATAATLVSFSLCNLGLYGLARSSDAYWTMTTAAAPSPTILDGIK SVAWEMIAVWIVGENRYDQPQWALAHLFKGSFFIYMVLLATASATPAFRLIALSILYA WGWIAGDSTFIPTHFYIFMDICRTLTECLAIVRPNVFAGMILAELTYLPAPRKSKATT VIPYLVVALGLYLCSFPDRFADQAQWSRQLEELGRIIFPKNAAMGRAWPNIGAQLLCF AVMYSPQMRHFLSHKWLHWIGSLSFALYLLHGMLMRTVLVWLVFGPNYLLGITKPGKL ADGTDGQVVPQPGDLIIVCLLPVFFAILLFVCSLWNRFVEPYFGYATAGLESFAKSLC RNQWPFDSLNSMPNGEKSILPTTRRD TRV_02350 MASNATDFAIHYASFELPHMKRDSMNAFSAASSRFHTPRTSLDN GKRTMPSTPALSRSNSKTRIGSPKSYDSKERKAAHAEATYLALR TRV_02351 MFAELAPYLSNPRQTLAQLLNFALVLSTAFMGWKALSVYTNSSS PIVVVLSGSMEPAFQRGDLLFLWNNSPRAEVGEIVVYNVQGKDIPIVHRVIKAFGTGD GGKKSQRRLEREADKRSGPGLSSPVSHQMLTKGDNNIADDTELYAQGQDYLDRKLDIV GSVRGYIPAVGYVTIMLAENPWMKTVLLGIMGVMVMLQRE TRV_02352 MLHFIRLFAHMTDINYMASTLTSPIITLVVGRESRLFAAHEDIL SISPFFSSAIREQVSDGDMKQIALPDEEPEILSCVLEFLYKGDYYPRLMHNKRRNSWL LEDAQDLSKTGGRGSCEATIFHSGVNDYLLRDTVIYCAAEKYGLDELKRLSLRKQGLQ AGIPVDVILRSARYTYDHTPDSESRLRAHFLALIIRSRKTFKRSGTMQMEMESGGKLF FDLFVAMCNHIDDVVELRYVFQFPDCQG TRV_02353 MADHFAPAVDPMHGRSAEERNAFLAMLKKSNESHREITDKYVGF WDEKERGERKDNYMSLVNSYYDLATDFYEEAWAQSFHFCRFGIREPFLQALARHEHYL AFRMGIQRGMKVLDVGCGVGGPAREISTFTGCKVVGVNNNGYQIQRATAHAKKEGRSE DVSFVKSDFMSPAIYTSFPNYCGTKEMDFPDDSFDAVYVIEATVHAPSLQGVYEQIYR VLKPGGTFGVYEWVMTDKYDDSDPSHRAIKLGIERGNGIATMMPRKHAMEAIQAAGFV LEHEEDIADKGDIIPWYAPLAGELQARSLWDLFSALRVTKFGRASVSTLLRVLEAAKL APSGTAQTADELSLGADNLVAGGRAGLFTSMYLMIAKKPAAPSA TRV_02354 MLNKKLYVVTSAALVSTIQRSAKFVSFEPFLNEVGDWLAGVKGD GLKLLQEPIKGGGSLSSAMVHVMATAISGSGLDKMNDTMISFLQTSMEELSTATEDPI DLYAWCRDAITTASSEAVWGAKNPLRSKEMQDTFWYFHQLIYSWIGSMEYETNLLMLY INILPQFTAQKPFKARERLVKEFLKFYEANGQWEASELSLARWKIQHEAGATIENISR MEVTLCVGVLSNTVPTLFWTTFEIFSRPELLLKLRQEVWDNAVHVSINPEGNTVHTLD VADIRNKCTLLLSTFQEVLRLRSSSATIRTVLEDIEINNQYLLKKGSMLQLPAPFLNL EESTWGLDSANFNPYRFIDCDKEKVGRLRSKGYMSWGTSPHMCPGRHFASGEILPAVA MFLVRYDITPVEGTWQHPSINREVIAASIPPPKEKFLVRLSERDNQDALKWEFRPSAE HGRFKLVTG TRV_02355 MAPRKAKPAPKSVPHKRAGRGAVQKTRAPKKTESSISNTIPKQR LNVYVFGSGSSAELGLGPKNAIDVKRPRLNTNLDAEKVGVVDIGAGGMHAAALTHDNH ILTWGVNDNCALGRETAWDGGLKDMADDDESFASDEVELNPREAIPTAIQAIPREKFP EDTKFCQVAAGDSATFALTEDGFVYGWGSFVTTEGERGWWNGELIKMQLDPVMIPGLE KIIQISAGNNFCLALDHKGRVFSWGRPEQDQLGRHIRVDRRKTAGNKNASIDKLRNDI GLIPGLVALPKSKRIISIHAGSDHSFAIDTNGDTWTWGLNNFGQTAVNVGAGKGGSTI VRPQKATMLIGQKMKMIQGGRHHSIGITQDGQCLVWGRMDGAQMGIDHSTLHLDDPQI VISERGKPRILLEPTPLPIPNCAFAAAGSDHNVLITSEGKAYTWGINATYQCGQGPDT DDIQVATKIDNPSLREKVFSWAGAGGQYSILASMHVHEST TRV_02356 MAMQYMKSIRRKPVAKTESTPTPATETHGPVLTDEDEAFLHHVA TSSSISGSDSGDKKPGGSKDEQGRELVDEPLDDDLRRLAEDRGSQEKLDAPDTEPTAT TASSVSTDQKKMEKGHKSRKSLWGMLKDRKWMGETEKVDKGKGKVADDTQSSGEGAGK GKNEEERKEDQDLSKVLEQLNLAAVNNRVFSISSDTQALLEQFKQVFKDLVNGVPTAY RDLESLLTNGDQELQKSYTKLPSFLQKLVEKLPNKMTEKFAPEILAAASARASKSGIN MQHVDKATSTAKKIGIEVPNLKELAGKPAALAGLLRSIIAFLRARLPALIGMNVLWSL GLFILLMVLWYCHKRGREERLAREASMAGEGEKAEGEEARVIDVTDEASPSTTPAAVG APAAATGAVANTESDAQLTRQVEGQVPAATTQIEAPTTATSEGAATDKEPRQTKEEGN VKV TRV_02357 MHDVELNIKKKKPTTGQNRGHVQISFLAVKDGEEEADQSPLSES GSPRQDSPSGRKYAGLTFLRRRPPRRADLYQKRNREGGPCVLPFFFSSSSTFFLLFTF RLHQLHDKPEQRRSSQTSTPSPDTETTTRTKYRRLPFFSSCQHGGRWALNSPCWGGIV PSKGPPSPRLVQRVQTTRKERRKILPNRPRRYLKITLSTTSASTPILYFPILFWLFKQ VYRGSFDKIKFPPTTNLKKILRSKRENFSLPPTLITQKKRRVIKDKRSTMGRSGVKTS RPSSSMSRSKQRRQTISGKSRSSQLKLRLKKNNKRRAPPSISSDDSSSEDMNSGNEDD DDEDGGDSSEDDDEDSTPAAFAPSYGGKKRLGHKTGRTGGISLLQDRKLKGEQDAAAD EDVNEDAVSVSSVGSVSPGDIDDSDDSDDYQGVDEVSDGEDEELMLEKIEEEIILESE NERSTLSRLAGSVSGGDEWTGLDDLEHRPFYSAGSFFDDEHLLLQSGGHSDAVDAGMT SEAAETPVQRRVHFETDDSSDSDKSSDEDELFPDFLHQDQLDPDLRRMIETDSTPGRS QSSLDLFVNSDFCDLPDNIYHVEESDNSMGSSSGYESDDGETTDEEDYLPLATITHPR SLLRRESSASLSGDEENKADSCVPQRGPLRGTFIADPHKPVAVVAPNGRQLILIPPYA SSRHEWLESAAGSLANTANNSPRTSTMHNVDDSDTDALVSPRHTDMSPMLSSNANLMM SALGNDSVGQVTGPPEAFYPTNGYLMDASFDEDEDDSEAMLNVDDFINFSDDSSDDER DDSDEPTSPAATSTIGCQTPTPRRPSGTATDNDETPTQASSAERLLNHLDRGIVTAFR RNHNRYQALIRLPHHREFLPANSPSQPASAFKRSNPRTPTRKRQASTYLGSEAVRRKL VDSHRSRRNTVAY TRV_02359 MHDAEIEDSDSGVEVNYMSMKLIDGQTPKACWDTLIIDEKKGTR TNYFIELRSSPSPKYHSFLEHLQCKNIIVKKKGVHNPSRKDWKYEVTPIDWEEAGWYP GYQEYTLAAHASGWEADWPNRLPKLMDPYDL TRV_02360 MKASIGALALLLAQQASAAYIPTGSTKGSALVRRQWDGFNGGDQ TVDPGYNQPPPCPECEAQCPGGNCGGGYEPEPQCPGGNCGGGGVPQCPDGNCGGNGDG GIIGGGGIIGGGGIIGGGGGGDCWGGNGGQPPACFPVPGNQPPDCTPEQHGGFDFIDL IEGAIEFFGGCDFSGWTCVDGIVKGALEVTGKVITAVLGAGGDNIPTISKPSGGLTIT SLVVHAEVKVEIVLEFTMKDGSICRQFATCSPAGETIINEQCAGAVSVGFRLSVTSHV SVCAVAITKINFGCEPPTIPTWPSPPLPSPTTSLPPPETSGGYTTIPGTSESGGTSLP YTTPSGGFPTSSGAARQKFPTAQLAPLQLLRFLSPQLSALSRPPQFLDSPVNQASQAS QVNQASQVSQNSQVSQVSQVSPVSQASQVSQVNPDSQASQVNPDSQASQVNPDSQASQ ASQVNPDSQASQVNPDSQVLPLLIHQSFPDEFAQKVIDCIRSWSKDEAETQVALSFFI GICVTYVPQNPGLVDHLPPGMPIAPTPAPSGPAPPAVTLTITPTMTGNHTVPPSTIVV PSITFATPTPGAPSQAPYPVPAVPTSEGGSVPTPAPGGSPGGAPAPAPPYGSTGFMTV SPTGGVPHPTSTTEPYIGAASSAEAFLSQWVMMGITAMVGLIQFAL TRV_02361 MSATATTTTSDPQVVLENDVNHIPRGDVEAPMQFFEYPEDGSEP YNLMEGHLKGGTQPNYPLSTRTISITDIRGKESEYSLAKHGFQGVSNVPSAADPSFCD EENIKSVWYPEVEKFVGELFPEAKRIFIFNHIVRRSQPGAEHSPLISAHIDQTLTSTK EAIGLFFPPEEVAELLKDRYRVLNIWRPINGTVQSYPLAVAMPSSVPDDDLVRIELRY PQHKSETQMLKYSPAHKWYYWSGMTNEDRLAFMIMDSKEDAVARRTAHASFADPRTPA GAKPRESIETRVFVFG TRV_02362 MAYPAAAPASPSAAAGPSTARYTDTPPPGQFPLSKRDKRRNALQ DRVNELKEAFGNNRDYHFRQRVHELQNEMALISNAQVYEDWPISDSPEDVAKQLTQLA ASGQITSDTPISGRWYSNFVQEINRSKEERDAELAVMMNRHRDSLNRIKQERDFRIQL AAEEYKRLTETIRERLVQSVSHRKNRLMREKEQLDVADTNALLLHPNQFSIANPGSPG GIQGNRKTRHTRHRLDADDIGSGIMSEPINKRKRKAIDEEFSASPNHDGLSTPADRAK SRMSQQQNAPAYNILSLFTEKELAMHSNAAHVAAVHFLSASKRAKQANQNANGQSVDK DEASGSGDASSQEDATPGAADMERSASQNVHATRSTRTNGTGALNLLGELTEKNSTRT NLPYYILGSYHQRPNGSSSAPTPPALMPEEIEDDLARIERLRNTKPPGWIDTRLVNSL LTTLMGSDDEQPPARNNNNPPKVGSLHPDFPPTMDVHLVRANPRKS TRV_02363 MNMRTCGHDKIHIDTGGPSSCLVFPFPFAGCRQQQPSQSRRSVS CERTLTDSSLHLRQQQQQQQQQQQQHQEQAEQHHRLSVRQNSPGPRSRYAFGQNNGSD EAVSNAFPLFPKRIRRSISSDGLRHDLDRHFSSSSSSSSSLAMQFLPKQNRQLQLPSF EELGIATPSPNNMPRAAAHQERDQRPSTSPSGSFIDGAIPAASPSKSSSPFADSPNSR MDVSYPSPLPITPPESDDHVQWNPAATGAPNNDSSEATVPAEEESSGVAEVTMKEEQP QDSCTPKQSTQQQPDQNNPDSADDSHPLPIPSGIPLQDGNDSLLFLQKGIVTAVSFLA ISKDPAKVVQLVSQTLPCPPSNNLPNSSSLSPACVFSPIVQTIQSRLQPDQSPYINIT HAVPPKFSLSSLPTSPPSTPNRLFPGDDYFNMTVFSNATAAPHYPFTSASSSSSLSGG AQSQAPYVPTPIVPPYSVNIAAVERYLPPTSTCEYQNLFTTSGPSVLLDRLRELSPRD GTLLLVYPTLRGAQCFKQHYLNPILDPLLRNLVGLHCLPSDIGTALSSTPALKHMDPF ETMHSKVSQLCSSLTYRDRTTFTLSYASPGCIPLSRDLWTEWYIAQESPRAREILDDY WRNDHRTRHHTASKGMGMDREVTSAMVLRDVVDGIRKRAVTEPMDDAEREGVEVGIFV IRRSLSQPST TRV_02365 LDTMKVISPLRVKKRDEEELALNPVFRALRKQLGENIMLRFCPA HEPSVPADEKKVFELHRDILHAVFMPLKQLISHATDIASHSLPDYLVSDPELAFHGEA RNAFTWLRSIITDEPDWCMTNGCPACVVLHIFHSEPLIRIVSVACRVSNWMSHVGLME PSMKLPNFNFWMQSLAKAVINDYFWGYDYWMETYDRSVYMDLSTRKLVYQCFEIRDAG YKIPHRPLDYDPGVTADGRPRIHIEDTPQPLFMPEHEKWEELVLMAKKNRSRSHLLPR TGGQGFTPRKHSRSTAVAV TRV_02261 MRRKGTGEKKKKQEEQETSREGINLGLSVTLFTTNQKKIEQACN RQKVLYTAILSFLNQAPYEVASNCR TRV_02262 MDRPASDYAQAGLSPQYPSLPEAQSEQSTADQATASAAVASPTQ TEARRTPQSQYSAPPEPRSGGISASNTPQPDYNLHQNSSHPPPPPAAARPSPYPEYLT RQPQYHHAPHTQAGGPPGMAQATSPSMNPQDGQQSDHRSPAQVKSDTEVPIDPSIAAS SPSYPPPYSPYAPQSHDMAQYQSHPPQMYARPDWPHQYGAHQPGMPGPYSSPASVSSA SPAATAGPRPGQVFSFVPIPGSQQHKRPRRRYEEIERMYKCGWNGCEKAYGTLNHLNA HVTMQSHGAKRSPDGLYSLFILLSLCLIEATLYFPCRLPAIYPLASLSLCNRSLGRCS KPASWWKFKEIRKEWKARKKEEDNQRKAAEERERAAAQANQVDSNGAPSGSGQPSQPT TSYAAGVRPQLPPIGYQPADGQVPGQYGAPPGGLVYPPGNGQMPATYSPSYPHSPYGQ GSQVYPQPPRE TRV_02263 MGLKRVLVIAGSDSSGGAGLEADQRVLTAHGCYALTATTALTAQ NTLGVQDIHVVPTEFVRKQIKAGLEDVGADGVKIGMLASAETAVMVAEELKAHEVHSI TLDPGLIVYLKVMISTSGSQLLPYTTVLTPNIPEAVLLLRDSGVDVKEPENLHDAIAL AKQVHKLGPRYILLKGGHLPLNAQREKPTADADAAIVIDILYDGETVTLVEATFSRSK NTHGTGCSLASAIAANLAREMEITRAVREACRYVEAGINTSVDLGKGNGPINHFHSLY SLPYAPGHFIDYILERPDVQPVWKAFTEHEFVQKLSIGALPVENFKWYLVQDYLYLSA QIVLHIQHEMKLHLDYCASFGLSKEDIESSKESLTCTAYSRYILDIGQSGDWLALQFA LAPCLLGYGAIAQRLFHAEESVREGNNYWKWIENYVADDYSAAVKLGSGENFYIHTIF TCFC TRV_02264 MSYGGGGYRDHQGGGGGYNSRGGGGYQGGSSGYSNGHSSGPSYG GGFGGGYGGGAGGDRMSNLGAGLKTQQWDLSSMPKFEKSFYKEHPDVSARSTQEVEAF RKENEMTVYGKDVPRPVQTFDEAGFPQYVMSEVKAQGFAKPTPIQSQGWPMALSGRDV VGIAETGSGKTLTYCLPAIVHINAQPLLAPGDGPIVLVLAPTRELAVQIQAEITKFGK SSRIRNTCVYGGVPRGPQIRDLTRGVEVCIATPGRLIDMLESGRTNLRRVTYLVLDEA DRMLDMGFEPQIRKIISQIRPDRQTCMWSATWPKDVRQLANDFLQDYIQVYIGSQDLS ANHRITQIVEVVSEFEKRDRMIKHLERIMEDKKSKVLIFTGTKRVADDITRFLRQDGW PALCKLLTMFTLFSFMNLNHLLIYAGLAIHGDKQQNERDWVLNEFKTGKSPIMVATDV ASRGIGMINLQPPFLPFSKPISIMS TRV_02265 MLRCGNTKLSCTRASRASTILISTTSATTIAAVSRTQHPSYFVR HYTPLRTWKGRDSSAPRGVNPGTTQWGTKDRYLTIEKRNRWQRKSQREAIETAKDKNL EDQEEGEGNEDEEHEHIKRQPKKRMGQAEFEREMQYIKYDRVALARRVNQLLKLGHEE KATLLVWASQNAKIDCIVSWNTLIEHKMKTGRRKEAVRLFNDMKKRGRPPNEQTFTIL LSGLATGSSKHSSETALNLFESLKTSNSESKPNIIHINALLNVCARNRDMKSLWAFAG DIPEYGESAPNSVTYTIILNAIRTSVLEFTDTLDPNKGPRAVKEISRRKRVAVSSGKK LWGEIISKWRQGDLVLDSKLVTSMARLLTIENTEKSYLDVLRLYKQCMGLPIPTAVES EVIRLQNIQEETSNNDELLTKEDKRQREEERKMLLHLFDPVDLAEIRTALKGKAGSKK NFSVNLPSPTNIELSLLIQICQNMAHNGMASGRHYWTTLTTDNGGHNVKPDSSSCHEY LRLLRHHRASAESLKVVENHMVPENLVAGKTITIALSTCSRDRNNPNVLDIANRLLDI SSFAPELDPSYVLRYIELVRALVNQEKLISDSANINKIRLKHSLDSPTTATVELHQTR LLKALTHLRLRTQDAIELLAFGYIRYQKMPLDPGFVGSSQGNGEEPNTAQNAGPDDAE KDVTVQKALRKLKAQSSVVPGKALEGPNRIELLKVLWQSISLYRRILNPRSVLSASES SPETSSVPSFLSDSDRDWLTKDCERLSMFAPYFKQFTSREVIDNDVESDRNHGV TRV_02266 MEPTTIQILGRDSIVADFGIWRRHVAKDLLQTLSSSTYILISDT NIAPLYVPEFEKAFEEAAAEKSPKPRLLTYKFAPGESSKGRETKAEIEDWMLSCQPPC GRDTVLIALGGGVIGDLSGFVAATYMRGIRFVQVPTTLLAMVDSSIGGKTAIDTPNGK NLIGSIWQPEKIYLDMEFLNTLPQREFTNGMAEVIKTAAISSEATFAELEENADAIAA ALKIENTPERSRFSGIQDILKRTILASARFKADVVSKDEREGGLRNLLNFGHSIGHAI EAILAPQVLHGECVSIGMIKEVELARYLGVLKGAAVARLAKCLTRYGLPTSLKDARIR RLSAGKKCPVDKLIAFMAVDKKNDGPMKKVVLLSAIGRTYEQKASVVSNEQLKVVLAP SIEVYPGIPKSLQVTCTPPGSKSISNRALVLAALGSGTCRIRNLLHSDDTEVMLNALE ALGAATFSWEEEGEVLVVNGKGGKLDASSHELYLGNAGTASRFLTTVATLSNTKADVS HNILTGNARMKQRPIGDLVDALKANGVPIEYIEQQGSLPLKVPACGGFKGGEIELAAK VSSQYVSSLLMCAPYAKEKVTLKLVGGRPISETYISMTAAMMKSFGINVEKSTTEEYT YHIEQGQYKNPPEYVIESDASSATYPLAIAAMSGTTCTIPNIGSKSLQGDARFAVDVL RPMGCDVKQTDTSTTVTGPTDGALKPIANVDMEPMTDAFLTASVLAAVARDKSSNTTR IYGIANQRVKECNRIKAMKDELAKFGVTCREHEDGIEIDGIDRMALKVPINGVHCYDD HRVAMSFSVLASAASSQPTLILEKECVGKTWPAWWDTLSQTFKVTLDGKELSKGNVEN RKSSRSAASIFLIGMRGAGKTTSGYSISKALNRTFIDLDTELERIEGKNIPDIIKEKG WEGFRDCELALLKHVIAEKPVGHVFACGGGIVETKEARDLLINYHKTKGNVFLIMRNI KKVIEYLEMDKSRPAYIEDMMGVWLRREPWYQECSNLQYYSHHSKPSELDAAMQGFTR FLNVVMGQTDHLELLKKRSHSFFVSLTLPDLLPCGNILQAASFGSHAVELRVDLLKDP SSTSAIPSISYVAEQISFLRSQVSLPLIFTIRTKAQGGLFPDNAVDEALGLYKLAIRM GSEFVDLEISFPDNLLHAVTEIKGFSKIIASHHDVNGQLSWSNGSWIQYYNKALQYGD VIKLIGVAKSLDDNLTLQQFKAWAERSYPIPIIAINMGNKGRLSRILNGFMTPVSHPA LPFKAAPGQLSAKEIRQALTLMGELDAKEFALFGKPISASRSPALHNTLFAEAGFPHE YGRLETDNVEDVKEFIYSEEFGGASVTIPLKERIMELLDEISPEAKIIGAVNTIVPII EEGKATRKLVGYNTDWQGMARCLKDAGAIYLKNGESALTIGSGGTARAAVYSLHSMGY SPIYLVGRTPANLTKLASSFPAEYNVQVVEDIKSVQTFPKVAISTIPGDQEIAKPLQE LITFIMKKAQEGSEECIILDMAYKPDVTAIAQLASPAGWKIVKGLEVLVAQGVYQVCY NSLEYFEHWTGLVPVYEDARVRLFPWYPLFLLTQYANDGSFQAAVMNN TRV_02267 MGVPALFRWLSSKYPKIVSAVVEEQPQEIDGQEIPVDITKPNPN GEEMDNLYLDMNGIVHPCTHPEGKPPPANEGEMMLEIFKYTDRVVNMVRPRKVLMIAV DGVAPRAKMNQQRSRRFRSAQDAKAEDEKKVEFAKLLKKQKRGKKDVTITEEVITKTW DTNVITPGTPFMDILALALRYWVAYKLSTDPGWEKLKVIISDATVPGEGEHKIMEFIR SQRACPEYDPNTRHVIYGLDADLIMLGLGTHEPHFRVLREDVFFQESKARTCKLCGQA GHVEEACTGKAKEKRGEFDEKQKGNPLKPFIWLHVSVLREYLAVEMQVPQQPFPFDLE RALDDWVFMCFFVGNDFLPHLPSLEIRENGIDTLIAIWRDNIPQMGGYLTKDGRVDLA KAQLILQGLAKQEDAIFRRRRQTEERRNANAKRRQEEDRQRRNNNNDHARKKRRGSRG AEPVPDIPPLVVPGKGELSRAERELTHAMVVNRGAVYKANMANKSAAAALKAQLLSGG TAEDGVDTTNAQDSAPSGMPSSKLGKRKSDVFENSNTEAEAEEEDEEEEDTVEIPAKP KEDEMPPDTVRLWEDGYADRYYEQKFGVSPKDIAFRHKVARAYVEGLSWVLLYYFQGC PSWTWYYPYHYAPFAADFVDIGEMDVQFTKGTPFKPFEQLMGVLPAASNHAIPEVFRD LMSDENSEIIDFYPEDFPVDLNGKKFAWQGVALLPFIDEKRLLAAMDKRYHLLSEEEQ ARNAMGKDVLLLSEKHPLYESLTANFYSKKQVSSKLKLNMRISEGLGGKVEKNESYLP HSSLSLEVDGADIPALDEDRSISVYYEMPRSMHTHKSMLLRGVKFKEPALDKADLEIT RNKARHSGRSFGGAPLHSSRGGRGRGGGRGGGQISYASNDRPNPFAAHVAPGYIPPPH MGGGPPGYNPAPPNMYNGPDRYRSQGGYPRGGGGGGGGGYGGGYGGHYQQPPPHSWAQ HDGPAYSHPSRNPYQDRAHYQRGGSSGYGRGGGGGGSYDGRGGGGYYGSRGSGRGRGR GDGYGRY TRV_02268 MFRILESQAPARQTATDTINTLSSRLQSSTLLEDRRAAILGLRS FAKGYPASVASEALRPLITSLRNDVEDVDTIKLVLETLLMLFSPEENSNGQRQDNITI LLDLLDTKEFYSRLYSLQLISQISAARPERTQECILTAPLGISRIAAVLDDSREPARN EALLLLIALTVSSAELQKVVAFENAFERIFSLIEAEGSLKHGSRTLEDCLALLANLLQ LNPSNQSFFRETGCVRKLVLLLEDAMKDRKPEEEFPVWMLEQRNKNIWGILAIIQLFL VKRGISTPVNQMAFWQSGAMQQLLRAAFNEEFDVSLKSKALLAASDLICSNATLQEKF SDMEVTITSTPVDQKLVNGNAPARSVERLNVLEALLRLTLQQGPINMLDARLASCDCI QAFFTNHSGIKLHFLNRAISGHTGGGDEIPNILSILIRPLDFDTTKDPYQLWLASVLL FHLIYEDSEAKAAAMKVTEGDAESGEEVVTCVQAIAGNLITGIQRNDDERISVGYLTL LCGWLFEDPDVVNDFLGEGSSIQSLIQEAKQEGSPESLLPGLCAVLLGIIYEFSTKDS PIPRSTLHQLLISRLGREQYIDKITKLREHPLVRDFEVLPQTVQGQHDSGLPDIFFKK TFVDFLKDDFSRLIRAIDRDPGMEVPVLANGIPKGISRELVDNLRAQAEERAQAIQNL ESEMLDLQRKLDQEHMDHKRTKDSTNHELHRLNQANESMKKTHQAELSRLEEQHTLAK SELVRNHGEQLHALDNQLKQTASEHERRTTELRDMHESEVTELKQMVQKLEASLDKAN KDHILDLQTAHEEYSTNLSNLEAKNQRAEEKARQAEEHNKMLEKSLKEARERAEKLQK ASEESEAARKSAQNELEDLLIVFSDLEAKRKADKKRLEALGEEVSDIDEYEDEDEDDE DEDEGDAE TRV_02269 MSIDFPKEEEAILKRWKEIGAFERQVELSKGKKEYTFYDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGYHVERRFGWDTHGVPIEYEIDKMLGMSGSEAV EKIGLEAYNAECKAIVMRFSTEWRQTIDRLGRWIDFDNDYKVGALFPWTMDTTFMESI WWILKQLFDKGVVYRGYRVMPYSTALNTPLSNFEAQQNYKDVQDPAVVVSFPLLDDPQ TCLLAWTTTPWTLPSHVGLAVHPDFEYIKIHDEASGKNYYILEALLGTLYKNPKKAKF KILERVKGSDMEGWKYEPLFDYFYDKFKDHGFRVLTASYVTADSGVGIVHQAPAFGEE DYNVAMKSGVISGDRLPPNPVDERGRFTKEVRDFAGMHVKEADKAIIKHLKGTGRVVV DSQITHSYPFCWRSDTPLIYRAVPSWFVKVTPVIPALLESIEQSYWVPSFVKEKRFAS WIANARDWNISRNRYWGTPIPLWASEDFEEIVAVGSVQELKELSGYTGELTDLHRDKI DHITIPSKKGKGVLRRVEEVFDCWFESGSMPYASQHYPFKDKERFEDKFPGDFIAEGL DQTRGWFYTLAVLGVHLFGKLPFKNCVVNGIVLAEDGKKMSKRLKNYPDPGLVMDRYG SDPLRLYLINSPVVRAEPLRFKESGVKEIVSKVLLPLWNSYKFFEGQVALLKKVENVD YMFDPKAESTNTNVMDRWILASCQSLLKFVNEEMAGYRLYTVVPRLLELIDNTTNWYI RFNRRRLKGELGLDDTLHALNTLFEVLYTLVRGLAPFTPFITDTIYLRLLPHIPEKLR GEDSRSVHFLPFPEVREELFNETIERQVGRMQKVIELGRVSRERRTIGLKCPLKSLVV IHKDQEYLDDVRSLESYIVEELNIRDLILSSDEAKYNVQYSVDADWPVLGKKLKKDVQ KVKKALPSLSSDDVKKYVETGKILVDGIELVAGDLVVKRGLKRDEGSSELETNTDDDV LTILDAALYPDLADEGIAREIVNRVQRLRKKAGLQVTDDVGMEYRVLSDPDDIGIEGV FDRQAKALEKALRRPMDKHVVTEVEGKIPDAEEDVIMEEVQEVQKAMFLLRLVKL TRV_02270 MSEPIPGPAPLPIVGNAYNLDLVNSFTTFGNLTDTYGPIFKLTL GGEEKIFITTQSLMDEVCNEKRFSKLVAGSLAQIRNGVQDGLFTAHPGEHNWEIAHRV LMPAFGPLSIRAMFDEMHDIASQLVIKWARFGPNEKIHVTDDFTRLTLDSIALCAMGT RFNSFYHEDMHPFVHAMVGFLAESGARASRPAVVQYFMHSAQQQYDADIELMKKVAGD LVADRKANPNDKKDLLNAMLKGKDARTGEHMTEDSIMNNMITFLIAGHETTSGLLSFL FYYLLKHPSAYQAAQRQVDEVVGRGPITVEHMSKLPYIEACMRETLRLSPSAIAIQMQ PRPDGQEDPIYLGKGKYEIKKGQAIVCVIPQIHRDPTVYGDDANLFRPERMLDEPFAK LPKNSWKPFGNGVRGCIGRPFAWQETILTAAMLLQNFNLRFDDPSYQLQIKQTLTIKP KDFFMRATLRHNVDPVQLEKMLHVNIEAEAKAGEKDRAAGISSVGPAKKPMTILYGSN AGTCEALAQNLARDASSRGYSAQVGPLDSGVDKVPKDQPVIVISSSYEGQPPDNAAHF VEWIQGLGSGAMTGVEYAVYGCGNHDWTSTFHRIPKLLDAEFKRCGATRVADVGLGDV ADGDIFNHFDKWQDEQLWSSIGGDVDPAEEGTVEVDIDTDARKSTLRQDVREATVISN KVLTAPGEPEKRHLILTLPTGMSYKSGDYLAVLPINDQRNIRRALNRYNLPWDAMLTI KVGANTTLPTGHPVSAMDVLSAYVELGQPATRKNVARIASSISDEKVREEVLALSKEG FENEILKKCRSPLDLLEEYPTAELPLGDFLAMLPPMRIRQYSISSSPLADPTVASITW SVLDAPSRVADSKRFLGVASNFLSKVQEGDRIHVAVKPSHGNFHPPKDTENTPLMMFC AGTGLAPFHGFVQERAIQIQAGRKLAPAYLFIGCRHPERDALFKDELQKWETDGVVTV FYAFSAASEQSKHCRYVQDRLWEERGEMRKVFDQGAKLYVCGTSRVGEGIASTVKKIF QDYCASVGKPKTDEEVERWFQDIKSDRFSSDVFA TRV_02271 MGFLLAAGELELIQSYNTTDVELLHEIVTRAEQHPDADILPFRV IFSAYDAVLSEHGIPVESDQTYLPFLFQLGAERNGTISERFESLLASLGIRLEYGEDA ESNEPLPTNGVASRSREDSARILQQRPNGILPRRAEDRRRASFDSVYDTTHDFEQRFA NRPNSRSSMSRLEDGTIRSLDFDAEIKRLYPYKSDRSPQHEGLGDAVGRDVNGIASQD SDGGANSLSSESSENSSTQEFGSAHRMNSNKILEHQYSENALSIASDETPEPPQVPPE LFYQPSNTQRIRDASVFDMYRRRNALRLMLSSWSKSAKRKRELVQAMEQRAIYYDSKT LVVQVLDLWKAALQDKREVAEAEREAAQNEKFFNNLEERAARARDIYLLTKAFTHWSQ IASEEVHRTEAARRHLLCIKYFNAWREITAVDELKAQRFMLRKPLKLWSGRHQQIAPL DSQAEEFRQRSLKRYGYSHWFWSFCDRLAPRWNKERLKQRSFIAWLRALRTQRERDLA IDSNRSLNSLKITFQTLVQKYREVSSAEKSANNHWKYHVTSNYLTEWSVKQKYRRPFA ELTSLLNTRLVRSCLEDWSQQTRMERRARDADRLRVMRNCWTTWNDHLRCQALSSRTE ERIVLQSLYKWILIGRLRLLDRIHQQRLKASYLKTLMENSRSLYNELLVKEARFRTDR KRKLAQATFSYWKQRLDIQKQRELVSRAFYAPPVQQEVLQNWKARSEHLATLDTWAKK SEYFFLTTKTLKQWRSAAAESSKRRRLEAYAAIRRKVKMNLATAVISAWRSRCATVRE IHQRSTEMYGEKLIAIGTNMFHLWSEKATQRAQHLADAQLYYNRQLVYNNLTHWAGMQ RSYQMLDEKATRFLEIHVSGIALAQIRKLSLRIFEVKTRLETADALYGRNTRKHYRNM IHHWREKARISKDFDSFPIETPSKNGHSIQVNDPHGWPRIDHPREREEPPIRQPDFTS HTPISTPGYLNSPSKRAARARALVQMSTTPATPLPTSFPSKLWAASEPRLQPIYSTRR SAFKRGATSTNVRFAIDEEPESPTEGRSTGRELT TRV_02272 MARRMCVKVVRGEYSIHTFMICILSLSSFQLTVNKLEITDVGLA RYEVIRPILQKIENPQQLYELEQRSPHLLEHDAELWIEFIKRDIYFWETLDLTEPPES WYQFYTSLREQAAKKVDEDAERMKRALQGLDKEKLKHTPKLVDVKQMRLPREKPTTVQ RHAHHDRMMGGISPIFALGTKSSDPNNGKPWEDRPQWRLVPPKLSSRSNSGASSGARK SALPAVVKRNNRLSTPTHKLNTMASRIIKAPRSFIEDHQKNSSPINRSARPPIRSNIT TVPFPKPAAIPQKPSRGHDSTPDHTPTDTSIHMSEKLQTSRSVRSSDPKFKPTPAIAR TSSDITPGPTGPNVNRGHPTIPPHDASSSRPELGKKRQANHTSSRSTLSNKQKRLKVS TRV_02273 MDPASEHSWSLFQYIRELLAAPEKHTHDWSEAERHNFQHSPLYR LPAELLGIIHEYLPPNNSFALSLTCARFYFSTILAGAQRELKSTKMGQFIVMCMLEGV GQIKEYCCRGCLTTHPSSHFSKDELEKRHAERYCLRTKKVMAFGAFGSDPKSFNEVLE MRRTRELEENIDILQQGSFWNLFSSPKISVVTGIRLFPQGKKVSVERFAELCQKLNYP VCPHMTTADKRIVDLYVPDFFRAGKSNIPYPFSQHFERNELGVKCKVYEAANSFYYAT QAPIAGTAIESNIDCIPLSLPKSSLMTRLGVTIWSAVSSCIPEKHHRRKSGHLHRVVI SDHLYMLTPQFQREREGRD TRV_02274 MALPVADDDDLHKLNQEEREAEVRLATQKEHEMGVVEAIKLYPK ATAWSLLFCMGVIMNGFDAQVIGNMFPVARFQRDFGYQFEGKWNISAAWQSGLR TRV_02275 MDNSSFLFFFWQACVVMTAGFIFIQFFARSLEVLIVGQLLGGLV LGTYTVIAPTYASEVCPVALRGILTSYVNLCFVIGQFLANGISAGTHNLDSHWAYSLP FALQWVWPAVILCTVPFAPESPWWLVRKERMEDAEESLRRLASSKVDVRPDLTMIVET DRLEQHMEAGTTLMDCFKSVNARRTEIAVGVYAIQVLSGIYLVGYSNYFFTLAGLSTD DAFNMGLGFLGVGFLGTVLSWFELAYFGRRTIYRNGLAMLAVLQFVIGILDCVPNYEK RPNVIWAQASMMVVWNFAYSLSVGPVCFVILCECSATKVRSKTIALATAVQAMLGIVM TVAIPYMINPDAANWRGKLGFFFGGLATICFIWTFFRVPETKGRTYEELDIMFERGVS TRKFKGYKFD TRV_02276 MWYNVSEPNEYLVITGAGIQDVLIKKTAFLLPWQKCTRISISPF DFSLNLQAMTIEKLQFSLPAVFTIGPDNNLASLKKYALLLSGKSGRQSNSSHASGNYV QDIVKGIIEGETRVIVSGMTMEEIFKERQLFKQHVIDNVQKELDQFGLRIYNANVKEL QDAPGSEYFTYLSRKAHEGALNQSKIEVAEARMRGEIGEAEKRGKTKQEISRIDAETA VLETKRRSDKLQADAQLTNRQTELNMGIELARIQAKRHAEAKDSELQKHVETKRAETE LERLRALDVTKSKAAREAAEQTAEATYFSRTKEADASLYRSKMEADATYYRQTKEAEA AFYAKQKEAEAMAEMAKGYGAMAEVLGGPQGLLQYMMIQSGTYEKLAKANGQAIQGLQ PKISVWNTGNADGNSSAADATAPLRNLMQSMPPLFSTIHEQTGIAPPNWMVQMPPPEK QHPVNPQKFAGAKANGN TRV_02277 MGMGMREYGRGGEDGEDGVEDDGERGESWRPQRTLYNQLARRRL KRRRYSQRAAAHRHEAKKGCKLSPKL TRV_02278 MDEAVPSYEESEASFAQDKKQPLHASPPPSLLPQHLAETRTQRI QSILTAYVDPLLFSQGSDGLYKTTFVLVPSSVASLQDAVGNAAAATPQEPQVMGFPSD EVVKLVRLQGPGHTMEFWRQPAVVAELDSALRARLAASGHRIYEQPTDTPTVSEHEPE QQSQQQQQPAPAPKGKLRRSFWRLAGGSDDTINDQKLGWRAEEPADEARKIPTGLVKV TIAWKEVALRISNEMGLYDTQRGPALCLTVEVGS TRV_02279 MAPSTPLSIATGAVQRLVKEEASYQREKKDQEQRLEKLSKETSD DENREYMLNQERKALEETEKLLPQLKQKINEAVAKLERLLAEEGQKGAESDVAQITAA KEAISHAKTAVREIS TRV_02280 MFDTCLTLHRVAEVEVVEVVEVAFRVTTGWIRTSPVWASRSVRR LLSFFKLQPKTIAWSKLTKEPCFYSPTLSGKSVLPEMENYSLLDQADEAITDGLHKSR LLNVEEKPFKRITKRLLAPNSLISSPGSFLATPPPEATPGNADAIQEHEAEKQKMLEK WRQLREDITLDFTAFESSLVRIQLLLASNEKERERYAAEKLEIMATAQEVRDNTTELR LQLEEAQNTLALRKTYDELADKITSNRLLRPRDDQQANLEKLHAEIAKLEKESSEYAQ TWAERREQFGRIVDEGMHLRRLIRDEKEEVERREGMEEDDDGDEGDASSKGKRSAAST PRPDPENSVTPSQQTGTGIGAGGEETNPVVAKLQAEKSGPATRGGTPLRQTITASETS TPEEKRISKDDGDENMVDEGEISGDEGGAQEGTTSQAMEDDFEGEEIPDSRSTEKMDT T TRV_02281 MAEPKDIEPEQTPSPPVREIVSTSSENEPTEEELATLRKVAGKL PWSAFLVAIVELCERFAYYGLNGPFQNYMQHKYKEPSGVPGAIGLGQSTATGLSSFFQ FWCYVTPIIGAIIADQYMGKYNTIVIFALIYISGLIVLFCTALPVAIEHGASLGGLVA AMIIVGLGTGGIKSNISPLIAEQVTATKPEIKTLKTGERVIVDPARTVERVYMIFYMC INTGSLAAIATTELELHIGFWAAYLLPLCMFIAGFVVLIVGRKLYVVRPPKGSIYLRA FKVMYIGMKNGGNLDAAKSSSQRHRGGRVFPWDDQFVDEMKRALVACRVFIYFPIYWV CYQQMVNNFVSQAATMQLHGIPNDIMQNINPLAIIIFIPICDRIVYPLLRKRGIKFKP ITRITTGFLFASIAMAYAAIVQHLIYSSPPCYNAPMKCDASKDGKLPNQVHVAIQSPA YLMIGLSEIFASITGLEYAYTKAPPSMKSFVMAMFLLTSAFGAALGMAISPVAKDPKL VWMYTGLSIASAVAGIIFWILFSRYNAKEEDMNALAEKELREDRPVAAKQNSII TRV_02282 MLSRPPLSTHHRLASVASSSSSSSSAAAAAVARTRTLTSRSAGP LASLPLRSKPSMLGPSLYCPQYNAMHSSSPAQSPAGSTGSPVDGYLDTANPGYIRKYL RTYGLTPPRAESYEVQKTRCLAQLALKSTPIEKFLYLSTIRKNSVHLFYRLVMDHLQE LTPLIYTPVVGEACQKWSEIYQQPEGMYLSYEDRGNIAAVIQNWPQPNVDITVITDGS RILGLGDLGINGMGIPIGKLALYTACAGIRPEATLPLTLDLGTSNKALREDPLYMGSR RGKVTQEQELEFMDELMAALTERWPGIVIQFEDFKNPFPALEKYRHNYTCFNDDIQGT GAVILGGVINAVKRSGVPPKDHRAVFLGAGSAGVGVAKQIVDFFVKEGMTEDEAKACF YLVDTKGLVTADRGDRLAAHKVYFARHDNNGQQFKTLEEVVDHVKPTMLMGLSTLGGV FTPEILKKMADNNKQPIIFPLSNPSANSECDFKSAITHTDGRALFASGSPFPSFSFKN SAGETSTYYPGQGNNMYVFPGIGLGTILSKAVEVTDSMIYAAADALSTSLTTEELDRG LLYPEITRIREVSVAVARGVIRAAQEAKVDRETAIRTFTDSDLDSWIKSKMYNPHSEV NSLEREVGILLSSIGRMNGTSNGDISPRGEDQGSKL TRV_02283 MTLNPIRRLVNCKEESPTVAKMWLVESYIRRYDWVVCPYFGFWA LEILLGSPKTKQRLQTMAGRDLPCSSRVEHERKHIHTLSETTLVKLAADRELPLSGWD VEETQDPLVVSIECPKKGT TRV_02284 MALPISLAEHKAFFVEKVREFKPKPVAGQTKNGNESEVSSDTTI SARIRPILPHEQEAGHIPGLFVRSDGNGELDVHELRLKVNGQPALNQASPRSCYQDLS QSSSFLVDRAYGPESTTKSIYDDFVAPLVPWAWSGGVGTFFAYGQTGSGKTFTVNEME TFIASDLLGGKIPGRRSVHMSVFELAGKAAFDLLNDKHRISVMEDSFGETQLVGIVER TPSTIEDFLDLIKTSMIFRASAPTLKNDASSRSHAVCRIRIENKDDPDCPDGLLFLVD LAGSEAAADSKDHTPERMKETKDINSFLSTLKDCIRGRATWNINENTASGGTSSKHVH IPFRNTTLTKVLKHVFDVNSHRSCKTAVVACVSPSAIDANQGKSSLRYAEMLRVQVPK LKPVVYDPAVPRTWTNKQLRDWIVNNSGSPPVDPSHVAPIESGIQICKLPKSEFVSRC LKTDGVRPEQARAFFDKIWQLHVDSRSLKTKSATISEPKEPAIPFKERLKPGMFVRVT PQSPNDPIHFLMLLAPEGAFDQTRAAAKDNGDGCSSKYICAVVAPSIMVDAYELFVSQ QRVVRIEDMEAEVLMDYDSAMRYYFMTV TRV_02285 MLSCCRPRKDATGEREPLLPASESETELQRKVKQKFRQYEMFRA LSAGYMPTTEQAAALLRLVLVSDVLNPDNPMLSPRGGRIAKDCRAWTRIFIALLREKN SGDQLQEIISQFIHSNVSINTAQVASAASKSKARADTIAGLILLNVCGGQANYLNIIA YESVRTVGKLLMTNSDFRRLIGDITTIGRSIFSDTTASLSDAINRVAEEVEPSESQKN SVKATNGDDKQAPTSDELMQDGEQVMETAKEGLEDTGRAAKKSAETNISTEVQDSLFY QMKKTVEDLRLRSDYDKSVSTISGLVQRYAGIYMQTIGSTVEGASDALDIDPELHDAM KNLWELARSFGSQNEWQSLESKFQQLMQGAKRSTGVNDTLDHIGQSIYSLFTDPSFWD SGEDTVKTLKEKVKEAEGDLPQQEFKDFIYQLKKTLISVTQDAAVAKLITATKKIALG ICEAYRNEGIRLMADALNIFLPLLIRAIQYIPIPRLEVSVPQMDLLLENVVIEPGHTV HNSSFLPYQVLVTTISNMELRKTHSKEAVSGMKNVVNVTLNGLCFSANDFGYWIKAHR PPFPSIFDEGIASFCLDQRGIDVSCEFEVGKERLEQILSLRAVRVHIHKLDYSIAKSR WSCILWALKPFLKHMIRRSLEKMIAEKIVGYARAANRELLFVRERLRAARIADPDDFL TFIRAVMARLSGKADPNIFTRLGFDAQRGGIFDGVYAPGSLAKAWHEEQQRADELIER SSQDSLNQRPTWRNSIFDVPARGH TRV_02286 MLVFPLLLLSTLFILIPLTICAEDYYKILDIDRSASERDIKRAY RTLSKKFHPDKNPGDDSARKKFVDIAEAYDVLSTASTRKIYDQYGHEGLQQHKQGGSG GRHDPFDLFSRFFGGGGHFGHHGGHRRGPDMELRLDLPLQDFYNGREIDFKIQKQQIC DACEGSGSTDGKVDVCSQCQGHGAVIQKHMIAPGILQQVQMACDKCGGKGKSIRHPCK VCGGSRVVRAEVPISGTVERGMGQGSKLVFENEADESPDWVAGNLVVTLREKEPVMDE HEAQRTDGAFFRRKGKDLFWREVLSIREAWMGDWTRNLTHLDGHIVQIGRKRGEVVQP FTVERIPEQGMPIYHEGHIHEQSPHDEFGSLYIEYIVVLPDQMESGMEKDFFALFEKW RKKNGVDLLEDSGRPVPPEVEKHDEL TRV_02287 MESIPISINTLSIDEPILESPTTPRSCAMALAARRSNLRDTHIQ HHQTSSPQLSSQSPSSPCPPPARIPRHPSPIRYQSTPPSSRCFADILDPTLNLSDDNG DRSNDVDLQVKLALIDLLNCSDIKTDQESRLWVQNKLMDTEHRLKARRRSRVVHC TRV_02288 MLVKPVAIALNPTDWKHIDAGVVGAVVGCDYSGIVEAVGKGVRK KFKKGDRVYGVVHGCNRQEPDDGAFGNYILVKADVQSHIPDNLSFEEAATLGVGIITV CQGLYLGLGLDLPTSPSSKRTPVLIYGGSTATGSLGIQFAKRSGFAPIAVCSPRNFEF VKRLGAVAAFDYHDLDCGLKIREFTGNKLKLAWDTISLPASARICAHAISTSPGGRYF ALLPEPCPRDDVESSYTMAYYMFGEKVQMSEDGPVIPPDHSGFRYAKEFVSMANQLLA DGKIKVHPQQVCGGGLAGALEGLEMMRDGKVTGSKLVYRVAETPGLEPPDST TRV_02289 MVRKLKHHEQKYAILSRFLSPAVFANFFSLRRLLKKVDLYTYKS DNNHREATIRRRYHLQDPLDYKKYNALCGSLRQLAHKLAALDPDSDPVRKQLESQMLE KLWSMGILKQNREQGAGLSKVEREVTVSTFARRRLGVVMARNGMVESVPSAVKFIEQG HVRVGTEVVTDPAFLVTRNMEDFVTWVDSSKIKRNILRYQEKLDDFDLL TRV_02290 MAGLTCQTQASDGGISEDNAVSSPFIYIPKTQKKLNASSKEDYI TSFLFEDERPFDASVGDETSYFPPFQVTDFERQTVTDLALPSRTYAATGSPVSAFSMS SADDEDVPEVQSLIRPPATTATPTAASARKPSDAGSRASSFSLERRRTSRGQASSSSR STSSTRPRRSMQHLRGRSGAGPGTYTNYTPSRSDMGSNSQRNERDLVALHRESCLLFS QMGPYKELSSSPIERNSLSARHSHSHVPTASTKYSSAQQPQHSASASPLLRQENTPTS PFQSDGAPSPLIQQSTWNPIHDQTNNISSPPPLPKTVIDWTSPSTRRREYEKIDRASR GIRGAWRKFAPQWCQSKDARAPFFEECKAGHAKDDGSVRRFRMDIPEEEDADKSADQP PRQGLGVLRNGLVSALTRLKLENETAQPVKEKSAPIPAKQWRPFINRRNSSLV TRV_02291 MDEKPEEHTEQVSVSQTSKEERVGVEVEDSEKGHEKSQKVVSHD ADEAMKAFAEGGPIELTAEDNKRLLKIIDWHLMPMMCVVYGLNYLDKTTLSYASVMGL KKDIHLVKDNYQWLGSMFYFGYLAWEYPTSVLLQRLPLAKYSAFCIIAWGLILTLFAV VHNFPGAVAIRFLLGVFEAAVTPGFALITSQLLQWYTKHEQATRINIWFSFNGWAQIF GGLVAYGIAVGSRTVGSAIAPWKTVFLLTGLLTVALGVWFYWLIPDNQLNARWLKKED RILAVERVRVNQQGIGNKHFKLYQFKEALADPMTWAFVFYAVAADIPNGGISNFFNQL IVGFGYTPEQSLLYGTPAGAVEVVALLLNGFLGDYYQQRILVSSGGLLVAIVGTALMI ALPESLSVGRLVGYYLTLACPTPFVCILGLISSNVAGYTKKTTVAALYLIGYCVGNII GPQTFRPEHAPEYTPAKATILACFSACLVDMYFIWWWYNRQNAKKAKLRAEPGYTKVE NQEYVPSSPPRS TRV_02292 MKLSLAAALLGALAVSAQTSTECNPLKQKCPADPALAGSADFGL TSESPRFHATGGTPTYGKDGASLTIGKRFDAPKLTSDFYIMFGYVEWDIKAAPGKGIV SSAVLLSDCLDEIDWEFLGGDPNQVQSNFFGKGDTTTYDRVKFHAAPNNNQEFHKYAV DWTADKTTFYIDGQNVRELTPDAAKGQYPQTPMRVLAGSWAGGDPSNNQGTIEWAGGE TDFSKVPFTMFVKSIKVTDYSTGKEYVYKDMTGKWESIEAVDGQVNKSGKPGSGPKVE SSTLPSSPSTSAHVPVHTVPGGGIGNPQAPNTGSSPSNTLTNEPSSTMTSLVGLPSSW IVTETGTGGVVTPTSAAESTSHHSDYTSRSSRSVSSSVSASSGNGGHDMTTSTGSGSA PTGTGSLPGSGSGSAPGYPVPTGTNGGGSNNPTDGGASPTSPAMQAPGSTGAIHSVSN ALLLSFCAIAAWALV TRV_02293 MASLASADLEIGGNEQKVKQEYLAIITDAEKEQKMVCWVVEALE DEIARLAPFSSREKASFSVQGYYRPEAGFIYKYKLVNKDGYLTPIPLPGDTVKNVGCS GVKISDLAESRQNVHLIDASKVEIVSSLVPSSDKVKLEGKEYSFKHVRDSHCFDRELG ILQKLVSLGLNKRLRVPTLCGVVAYSDEQDVICGILLEPIGSKGWLGTTESEGFSNEQ RVKWMAQIEEVVKQLHAHGIIWGDVRPENVLIDKADNAWVTGFGASESPRFVDGGMIG TVEGDMQGLAKMRDYLGYLS TRV_02294 MRKKASKVEDSSDQEQRTAMGSELTPQEKIKLITQNLQEVLKPE ILEDVIVKQNRPLKIYLGTATTGRPHCGYFVPIVKIAHFLRAGCHVKILLADIHGFLD NLKAPIELVNFRADYYRYVITAMLKAIHVPLEKLEFVLGSSYQLSAKYTMDIFRLSSM VTEHDAKKAGAEVVKQVDNAPLSGLIYPLMQALDEEHLDVDAQFGGVDQRKIFTLAQE TLPRIGYKERAHLMNPMVPGLAGGKMSASDPDSKIDILDTAEAVKKKIRKAYAVPKET EGNGMISFVEYVLLPVSALENADGKGAFVVDRSEEEGGTIVYDSIEAVKADYQADKLT PQILKHSITTALNKILAPIQAAYAADPEWQELAKKAYPPPPAPEKKQKKPKDKGNRYP GGAKNITAQPDGSVEGEASAKASVGENVNEAIKKLEVKE TRV_02295 MALGRVGHDGPSATSSPMLPSDGNHQQQQPQQQKRTFYHDSPEL PPSTSFDPFSRSNNLRPDEDEDDGDSLRRPSVASATTVGSQGSRSHGGRFHKRLQGIF GDEFEQQDLSFPTTLRSSSRLSSSRPRTGSAGRARKGSTNSLSLDRTASPDPARPRTP IPSSEVTPWEYQNFRDIPNLGEAPVRQAPTTDPSENQPPAPAPKVRPTHRLHLTGHRH TQSKEDPPPNTANISTPLPPRPASGRDEIPPLMRQSREHSLQAPTPMSSSTTLGGRSA SPTPSTRSLNPRDARDQSSNPSAPKRSLFDRIRRPKATSNSLKNLPSPSKSVQDMAKA ASYFTSEPGSILRGRKGSSESTSRENNDLDRKKEGTTSKALSAIGPSRLRHGRRLLDG KGARDRRNKADSNQVFLDTNLGDMSGIVTQPDAISPTDTSVGIFGGAKPFPANGETIA PLDLIGTDDWHPPDSWQVKRPTEDTGTRLSSVADPGPEKEVDEDGHPFCIRVFRIDST FATLSAGLNTTVTQLLEMLGRKSFLQEDLNNYEIVMRKNDLSRTLEPNERPILMQKRL LQQVGYHMSDRITEIGREDNSYICRFTFLPTKLGVYSNLDPELGFTDNQKYSHVDLEG RSVATIPLKLYKKASEIISLNLSKNLALDVPKDFIQSCINLREIRFTSNEAWQLPPSL SLASRLTYLDISNNRLEKLEHANLHKLKGLVSLKMANNKLSSLPANFWDFPSLRSLNL SSNNFRALPDFLGNLTSLVDLDISFNQIEDLPTIGQFTSLERLWVTNNSLSGPLVETF KGLTKLKEVDARFNNITSIDNMASLPRLETLLVGHNAVSAFSGSFPRLRTLVLDHCPV TEFDITSPLPTLHSLNIASAKLVEFRDSLFANVPNLTKLILNTNHFVTLSPNIGSLKK LEHLNLAKNPLSILPASIGCLTELKSLNLRECNLNRLPAEIWYCLKLESLNVSSNVLE IFPKPASSPPLPPSEATNNLTPMGTPLLRADSYDDVGGRSEEYDFRRPSHASTGRLQI GTPPDSTRKGSIPSMSSPGGRKSSNASRVTAESRKDSTFSQRIASTFASSLRQLYLAD NRLEDDIFHQIALLTELRVLNLSYNGLTDLPPGFIRRLQYLSELYLSGNELSSLPSDD LEESSHLKVLHLNGNKFQVLPAELCKINKLAVLDVGSNSLKYNISNWPYDWNWNWNHN LRYLNFSGNKRFGINPSSAYTPSTDGTPSTDLTDFSSLSYIRVLGLMDVTITIPTIPE ETEDRRVRTSSSLSGCIAYGLADSLGKNEHLSMIDMVVPRFRGSDMETIIGLFDGQPS MGGGSKIAKFLHENFTATFMEELGKLRKQNGETPEDALRRTFLALNKDMATAAYKPVD DKESRHWDRNPTAAKLLNREDAFSGGTATVLYLQNMELFVANVGDAQALLVQGNGQFK YLTKNHDPAEASERERIREAGGYVSRNGKLNDQLSVSRAFGHFHLVPAVIAAPSTVKV TLTSQDEMIILASGQLWDYVTPNVVVDVARSERTDLMIASQKIRDLAISYGATSKLMV MIAGVNDLKRRERSKFRSTSLSRPSPLNDEHMFPLTKRAKRARDIPSDSTLARLGHVE APTGELAIVFTDIKQSTSLWETYPLAMRSAIQIHNELFRRQLRLIGGFEVKTEGDAFM VSFSTATAALLWCFTCQMQLLEAPWPTEVLQTPSCRETYDDDGNLIYRGLSVRMGMHW GRPLCEKDPVTGRMDYFGPMVNRASRISAVADGGQIFVSADFVTEMERTLEAFADYER TNSDSSEDTFGDEALGQATRRELYQLSTQGFEVKDLGERKLKGLENPESVYLLYPHAL SGRLSVQPDMVASGEASSPGTLGKNSTLNIDADMFWQLLRIALRLEAFCSTLENPGGS MLLEPDLQIINAIKARGDEIDDAAVMKLLEHQVARIETCTNTLTIRTMMQPFKPNDTL LDHAVPMSDILQQLQSQLAEFKALKTQMNLTGAPTTPPPSGYSVEVPESTISSAQSSA INLAAPPHLAPK TRV_02296 MASNSDTNDELYYLQPGFDLNTLTVPRLRSILVNHDISYPASAK KSQLVAILESEVIPQAKKLLRERDRVRRTSKGITNMPYNGEPSGEPEEEPETVDRRQR SRSRATRSSTRASTAESEYRATSPLSAAKRTTRGSSKHPRSSDTDMPENYDDTPLATP VRPSGKKSRKSEAYLTPHASHYDNFETPTAVKSEYTADTPFTDDNPFQGGSSPPNSPQ FRSPNSEYRRKSGISRLSEVEERRKRRSEVRTPARVKQEEDIRVPTRSTFTSPISHVE GVPRFEESSDIEPGEEFTPDEQLALDQEQAYNGRRRSVLRDRSQNRQSNSGIFASWFV ILTLLSGFGLWWRKEKIEIGYCGVGKAHWSLEDTNVPTWANIIEPQCETCPQHAFCYP NFEAGCEQGFVLKAHPLSLGGLIPLPPTCEADGEKARRVKVVADKAIDELRTQRAKFE CGESDKETDDVVSTPEMTASELRDKVGSLRRKGRLSEEEFDSLWDASLGEITGREEVV VSTGADVRFASTSLAKLSFTCSIRRHLRLSLLAYRLPILIITLCCLLAAYIRSRILAG RSDAARVPSLVGMTLDRLATQAALHACGEALEPWISVGQLRDDVLRDELRGSRREKLW KHVRAIVEGNANVRASVREGRGGDVSRVWEWIGGVSGVANDSAVRRESSKVKFSLSPS SENVVHSPDSGLRRESKKWDEGRAIY TRV_02297 MEHTECHNGVHECVPAYLRASRPLKIFTELYIEIKRNRIRDIER HIELITAKHSLVSPPGDITLEKEILEVWAMLFDFVIHTEFNNPMLDSAVLLLINLTHS VPISQQVHDPNARIPQSLRNLNKLWKDGTGQDIKFFVKKRKPTPKTREPSEGGILLPT TEEDSDKPNDKNEENGKAEKQAKNENCTSTGATLPDSDKNEMIRSEVPMVREIIRVDG HALWTELPLLHTFLDKQFLMIGRLERKQRWSLASFLGRMIAGGLSDQYFGCMALWFIR ETLETPRAIIEEKEDSDEDNYGSYNQEGEAKNNKRQAKEKKKHDQGKYDTMDMIEKEF ANLTLNDLLFPCIALFSTCSKTLAALSVSSPTISGAGKNRLTNIGELATNFVNATEEG FSVQRWMFWKSRLKKIANLGVRPLSGSARLAFNLLCNSGRLSGIELPGDREFMKRLVE YLAGEGTLVDLFNSDLNWIDEEICP TRV_02298 MLIVDLDKGTVVEKIPAEANYTIMKKSRHLCAATDAGSVHVLSL TDYSLLKSWKAHGAVINDMDARNDFLVTCGFSVRHIGTPIVDPLANVYDLKSLTPLSP VPFHAGAAYVRMHPRLQTTSFIASQSGQLQVVDLMNPNSISLRQANVSFMLGMEISPS GEALAINDAECSVHLWGSPAKIHFNEMSKETEFPDVTPRPPMLDWSADTPLNVIGMPY YHDRLLSAWPSHLVFEVGSIPKQVDPAIIPYLHPSDMGQYAPNPRKTHRYQVENTRCQ PTTETALAAPKFLSEKARAHTKSKSLGDKEPLDDLDGLKINGEAENDPLLKYSNVEIK YSKFGVDDFDFRYYNKTNFSGLETHISNSFTNALLQLFKFIPLAKNLTLHHAATNCIY ENCLLCEMGFLFDMLDKANGQSCQATNLLKTFSGFREAANLGLLEENLSNKSLASTIQ SVNRFFLNQISNDYRLLYPGSDQLDQVLATSAIESVRCMYCRNEIVRAGNTFVSELIY PAVDIKQAARNPACRFSNILRASIEREAQNRGWCSTCRRYQQVAIRKTVERMPMVLMI NAAINNPVCRQFWSIPGWLPEEVGIITDGKQMRCFEGAELQAQKREKTPNLLVYQLVG LVAEIDVVEQKKPHLVSFIDVAISATTPTEESKWHLFNDFLVTEVDKNEVLSFKQPWK QPCVLSYQISTARHGVDDSWKNALDTTLLFYEWSMNNCRPIESCQVLKPDEKPTPGTA IALDTEFVDLEKAEIEVKADGTHEMIRPSKSGLARVSVIRGNGTLESSPFIDDYITIK DPIVDYVTQYSGIKPGDLDPRTSAHNLVPLKVAYKKLWLLLNLGCVFVGHGLASDFRK INIQVPKAQTIDTQYLFFHPSKNRRLSLRYLAWAVFKEYIQEENPSPSPKTSAATPDI PTSNPTSTPATSTAEGHDSIEDARMALRLWKKFQEYEDAGIVNQMLEEIFREGVKHGF RPPPRHQPQSAGVPAYQPSSSGLAVYNHKMSGPVTAASLSSPPSGRNTPDAGPGGGAL GTNGGVGDNPPTTPRQMFRRSTALTPTSSSYSGAVGKYPMFGGSPMR TRV_02299 MLSSQTQKTGMIVNGAPKSRAEELMAQHAQEAAQGPTVEDVVDE EDILHPPPSSSSATAAAAAPAKSPAAAAAAAAALDVQSEESFPALGGPKASFRPSAVP VAWGGSKPQPSPAGTAAGATSTAPAPAPVPAPAPASSTPRQLTIPGKHVDQFRFDSSQ MLPRSELKKPVSDILRDISKKSKVRLEVREGAGGVYIFEGTGNVDAVRQALKEVAQQV GSTKSVKVPVPASARPHIIGRQGAVIQGILDRTGARIDVPKPDESKPLDDDDEDNTIE ITIEGDALAAEMARREIEARVKERTSNISLRLKTVAPELFPFIAGPHNQSINRLEELT KTQIRVPKYHTWGHRPPPQEPASTSGPVLYTPDPDQHIIIVGERQAAQEARSQIERRA QLLQNRITLRQLAINRGQHQFIVGDKGDSLHDFLASTGCAIVLPPPSDDTEFLTITGP AESIEAGVNRAMDLATSMQMANIDVSRQHPNAPCGPDVHARALTAYLQHRRVIEHLEK THDSHIVLPLETASSSNAPITWEVYSRNGKNTILARSDIMNLIQALPPSKLATVPVDP YLHGYLRSRGLPQLKEQFGVHLVVPETVGPPASDRPVNLVLAYEGPEEVTAQSPCLKR RPSSEDAAVFQKALREATEYLHKVLGDPKDVSSQSVPIPPKYHDRLRRFVAQDQASYG ETHIPVSLAFAADNANNASIRGRSADVANLVAKLATFVADQERDDRERNHVTTFDFPQ KYANFLIGKRGENINKLREEFDVDIKVENGKVDIKGPPAKAAAAKTRILALAKKLEDE ATYVLKIAPQYHRDLIGQKGSQVNRLQERYNVRVQFPRANNNVPDDVSIADTSEAAGP TNGNSNARSRAPQAADEVIIRGPKKGADSTRDEILSLYQWLVDHSHSAVVSVARDQIP SLIGQRGREMDKFRAETGAQIDIPGPETGEGEAGARVEIRLKGTKKQVDDAKKLLLAR ARDFDETITRTVEVDKKYHKALIGAGVKFPRADSDETTIKLEGKEAVVTSLINAIEEF VRQKEDQVTISIEVPQSQHRHLIGRGGETRRQLESQFNVVLDVPKQGSNRTDVKIKGP SAAAEQAKTHIETMLRDQQGETVEVPVHLHHVISDNGAFFRQLRNNHQVTVDHAGHKV PAKPSPAAVESRADSTSLPLITDADDSTPDTFSWKIVEPASPPSDSASTTIPWVLSGS PENVTKAKALLTAAIASAAQPCCTGYLILPDPKTYRFVVGTGGSQINAIRSKTGCRIN VPKGQAGGEAIEVKGSRDNVELAREMILDAVKAGLAGPGSRR TRV_02300 MTRRYRLGPPVPSLLRSPPAICLLWLFWLASSSLLLLLLPPPGL FLIFTLVSALLLLLLLFLSSSSSVHSLLRSFLPACDVFERSELPPKARPKKPPILQAN FDLRPASRLHDGLRAIAKTAPPILETTQAAAQLPPAVLLLVVFQPALLSQQPHLAMAR ERDHSRTRSSNFASSSASVDQLAGGLVSGGGPGGGGGPPGPSPVNGVDFGRLQLVQNN THTPNINNTIISNNNNNTQIMQNGRVSSSTGEEDDIPSTSHSNANNSGNEMTEEMMVP SRPPMPHSYRSSPEVSPSLNNSAGFGPSPATTPGAGIATSIPLNRHSDEASSSPANPN NVHSSTTGSGSGGGGGGGGGSRLSLSRKKTGFSNFVNSMLGSPRNIKISAPENPVHVT HVGFDNQTGQFTGLPKDWQRMLQESGISKKEQEQHPQTMVDIMKFYEKNAAGRDDEGV WHKFDNARLADHYHHHHEQVTPLATSPGITSPRFPQNHEGSFENPRAPPPIPRQTPLA SPPPPAPPTSSSAPSSSTPISAPSAPASGWVPNRAAPKAPRPPPQPLITPTSAGASSL PFGVQTIPESAPLQSTPTPTTTPPATARSRSNSNANGGISPGGLSRKPSTAITSPAVY QQMQEQAITAAQQAITNKQIERSRSMRQQQQAQQQQQMNEPSPIDYTAPDGNYPQIQS QNYPLQHAQGPRVVPAPVPVPAHAPVPGSAQIHPQQQQQQMPLPPGASARPRVRPRQP PTPTIDIRARLNTICTTGDPTRKYRNLHKIGQGASGGVYTAYEIGTNHCVAIKQMNLE LQPKKDLIINEILVMKESKHKNIVNYMDSFLHGGDLWVVMEYMEGGSLTDVVTFNIMT EGQIAAVCREVLHGLQHLHSKGVIHRDIKSDNILLSLEGNIKLTDFGFCAQINDAHHK RNTMVGTPYWMAPEVVTRKDYGRKVDIWSLGIMAIEMIEGEPPYLTESPLRALYLIAT NGTPAIKDEQNLSPVFREFLGMALKVDAEKRASAHDLLKYETTYYMPSLLLTFDLYSS SIPPPFFTSPLPLSRFYLLPSTHLLLASLTPYTILHALSSPLNSTPRYPQTSSPAFNF FMTSLLAFSRLFGSDRSVFSPPSCFPFSFSFFFSCLFFTPLGMDRWIGGMLWCIRARR YETMTRLDLPMTKNTMQPNPKQAKQQATATSPLFPPFSSTRYVLPCFLPALRTANCEI TRV_02301 MEQAYLKLKSQNPNLQPPSKPTKREITELDRLKEEEELQMALAL SVKDKQPATEQQPSNSESSQQASAAEGSNTASTSQPTAIASGTTAATVSRVRALYDFQ PSEPGELQFRKGDVIAVLESVYKDWWKGSLRGQTGIFPLNYVEKLSDPTQEELQREAQ MEAEVFAEIKNVEKLLALLSTSNSELNVQENEEINTLYHSTLAIRPKLIELIGKYSKK KDDFTQLNEKFIKARRDYEALLESSMAHPGQPQFGRPPPQAAYAYPPSGVQQYPPGPG PHQPDQRYFTPRPGKEMQPFKFEAIEPGLTMFIGAQNALPSNDPSAYYGAGAEQSGPP YPSAASPENRKHTPVPGQQGQDAYSNPQTQYTIQTKLDQPQELSTSAYDSPQTSTNPN ARHSYHPPMHESQPSATHPQHYPHPQQPVAYPPPGGTSAPAPDFNNQTVQSAPPGSNP QYPMPQDQSQQQQPQHGPPPTHQPPSIPQSTSPQPPYPSYPAPTPSAPGVPSGFQAYH PQQPGGPVNPNPNPSRYYR TRV_02302 MAGLLYAYQSIGAIYGDIGTSPLYVFSATFSTQPVLIDLIGVLS LIIWALLLIATIKYVGIVLCANDNGEGGSFALLSIIRRHVHLDWRDAKAKLEDDWRDG KMDDTVGFNGYVKRWLANSSAAKRAITVLAVLGVCMVMSGIVSLNCSHNQSSAPSKVP FQQWLCSFPPTDILIGIQIAAPDIPSHTIVGITCALIVILFALQPIGTSKLSNYFAPI VTIWLLCNTSFGLFNLVLYDHTVLKAFSPTYAVSFLLRNGLSGWRSLGGVLLSFTGVE ALFADLGAFSANGQAAYISEHLDAFENPLFKAVPPGLYWPTLVLSMITSIIASQAMLT GSFQLISQAVRLGYLPKLTRVHTSKRITSQIYIPLANWFMMACALAVTIVYQNTTRLG NAYGVCVVGVSFITTWLVTLLAIVVWNVHYLIVIPISLFIGLVDTLFLSAALAKVPSG GWFTLVLAAVLTTTLLVWSYGEGSKWAARKDERISQAVVYPNQNGQLILRDEGVDQPV KKIKGIGVFLTDHDAGSPSVFKHFVHKFESIHEISILLHVKRVLKYTVADERRFTLRQ TGIQGLFHVTLQYGYGDTVSWNSFERDILSELGTITPACRDDLEPESPTADLGEESST AIPLTTKRPSTKSITYIVGKDKLYLLPTSNLIRRVFLWAFIHLKNREKTKLDHLNVPV DRLLEIKFSKGI TRV_02303 MSADKEFTLKEVAAHSTKKDLYVVIHEKVYDCSSFIDEHPGGEE VLLDVAGQDSTEAFEDVGHSDEAREILEGLKVGTLKRLPGDPAPAAAPTTTATSTSSS DSTGLGIGLYAVLLVGAALAYGVYQYMATQQAQQQA TRV_02304 MSRHRVKNISYDDDDLDDEIDDNDDELSPEDREQMRLATIEVQQ LLRSQIPAIEAKEDDIWETLWHYYYDVDKSVDYLTKKYRSQEKKETKAKASTAATKKK NTAAIANLLTFYSSSYRFASVNFTTALLEPLFWGSPSSEQPGKMSKLAALAAARKKKE AERQKAQEQAETGGSAEETNKPLSLRDRLAGGGKSRTPAPSSSRSSTPLRGLSRSPKN EQPQAIGDGANIGQRLASKETQQEHASREADVSKSEEKLAAGPSDFAAIIIGERSKLG EPASSVSPRAYNLFDFVVQDLTEVYNFAEPSPDDVVINAQNAAKEKKAKRNANQQAAG KAQTDLAGGVQQLSVEPVKVKSKNLDVLEEHRKAKRKKAVNFVVIGHVDAGKSTLMGR LLYDLKAVDQRTVDKYQREADKIGKGSFAFAWVLDQGAEERARGVTIDIASNNFETKD TKFTILDAPGHRDFVPNMIAGASQADFAVLVVDASTGKFESGLKGQTKEHALLVRSMG VQKMVIAVNKMDIVEWNKDRFDEIEQQISAFLVTAGFQAKNISFVPCSGLQGDNIARR CEDKKAGWYTGKTLIEELETSEPFSYALDKPLRMTIGDIFRGGIQNPLSISGRLDAGH LQMGDQFLVMPSGEKGVVKSLEVDHEPVDWAVAGQNVVLHLANIDAKHLRIGDIVCSA ASPAQNITSFTAKVLAFNHLTPMHIDVHRGRLHVPGRITQLVATLDKGSGKPTKRKPK IVAPGNVARVVVDLEQSIPLEAPARIVLRSSGETVAAGLLE TRV_02305 MSSPVAWTTILYLLFVLVAPFALLGTANAGDAQAPIENENLKNG MEFGAQLYKELQILIGCVGVMRNGKVEILVNDQGNRITPSYVAFTDEERLVGDAAKNQ YSANPHRTIFDIKRLIGRKFSDKDIQKDIKHFPFKVSEINGKPSVNVEVNGKARNFTP EEVSAMVLGKMKDIAEKYLGETVSHAVVTVPAYFNDNQRQATKDAGTIAGLNVIRVVN EPTAAAIAYGLDMTGDERQIIVYDLGGGTFDVSLLSIDKGAFEVLATAGDTHLGGEDF DQRVINHFVKLYNKKNDVDITKNLKTMGKLKREVEKAKRTLSSQKSTRIEIESFHDGK DFSETLTRAKFEELNMDLFKKTLKPVEQVLKDAKVKKSEIDDIVLVGGSTRIPKVQEL LEEYFGGKKASKQINPDEAVAFGAAVQAGVLSGQKGTEDVVLMDVNPLTLGIETTGGV MTKLIPRNTVIPTHKSQIFSTAADNQPVVLIQVYEGERSMTKDNNLLGKFELTGIPPA PRGVPQIEVSFELDPNGILKVTAGDKGTGKAESITITNDKGRLSQEEIDRMVAEAAEF AEEDKAMKSKIEARNALENYAFTLKNQVTDSEGLGGKIDEDDKETVSSLAPISPYPVR RTNVPFQQLLEAIKEATEWLDENSATATTEDFEEQKEKLSNVAYPITSKLYGDAGQAP SADDDDDTPSHEEL TRV_02306 MVEPAEPIWGAGDGAPVPGAGRPPYPSMKELQNMAACLNLGEDP SLNELLHISEDAISRSKTLAQRGKYDSALVYYLRASDITVNMIPRHPDFTYMKQNHPR WADQFARLMMLVDSQHKTMEDIKRKITESNNAAGHSRSTSTPSSAPLRMPSPTNFHRQ PLNTTSHSSAGSRPASESIPAQDPLAQRLAGLRNHRHQGSSPSNEGTNGSSYSTISPN GNYSTYRSQLHSQAHSGQRSPVPSKPLGPREMNPSVPTVPPKVPISGVDAPPPRYPSP AYNPMWTVPSIPTPNPPRTSVESIRNTIYKQTNTGATNSNSSLNTSTDNPYRSQTPNG IRPEADSGNRSPDIYVGPTITATKLVEYIRKYDVLLIDVRSRDQYDAGHIYAKSILCI EPVVLKENISAEELEDRLIISPDTEQSLFNRRNEFDLIVYYDQSTVEPTYLIGSPAGS AVPHLRALYDTLYEFNFYKPLKGGRPPALLVGGLDAWVDLVGPQSLATSQTSAILSSV RARRQAPDSSQPLRRNPTVSANSSWEVRKRRLRDYKPLNPEEERAWLEKAKDEEIDTS YVAEEGDITEEPEDIDGSTLRQTASFVHSYEDFLRRFPEPNDIRESMVHAGNAVQFPL YDAAAVPAPSRPPPAIPRPSYSGVADRGQTQPPLARQSSAHKQALYSSPIDRLRLPRT GLINLGSTCYMNSIIQSLSATVLLTKFFVDNRFHAQVQKNWKGSQGVLPGLFANLIRS LWKNDVEVIRPTSFRKFVGRLNTEWAGSQQQDAKEFFDVLVDCLHEDLNLRWQRTPLR PLTFAEEMRREQMPIHKVSEIEWARYSHRELSYVSSLFAGQHASRLRCTTCRKTSTTY EAFYSISVEIPVTGTGDIYQCLKSYCQEEVLSGDEVWKCPYCKREREAAKQIILTRAP RFLVFHFKRFSASRRQQARKIHTPVSFPLSGLDMTPFMIQHPQHSQSSRPVSPLPDGN DDKLDSKSNSHAPPKSQSALQTNELATSSPYIYNAYAVVRHIGSTMNEGHYISLVRDE QRRCWRKFDDQRVTDFQPSSSRSSPDNLQNEQAYLVFYERAPAN TRV_02307 MTSIYGIRHSTFKQKQSNRNRGREDEDEDEEKQTKQAVDAHLER SLLSPSTSTAPNIPSFSFSVVIMASWFTSSSPLDDQVEKATSSSLEDIALNLEISDLI RSKTVQPKEAMKVLKRRLETKNPNVQLATLKLTDTCVKNGGRHFLVEIASREFMDNLV SLLKTEGPNALNHDVKTKMLELIQNWAMAAQPRNDLSYIAETYRKLQNDGYNFPPKTE ISSTMLDSDACSSKTLPLPHLGIIQPVRVDDGCYAKLTSKSTSAAARSFSNSSNVPAV PANKPRMEPRSAYADNDFDEDLKRALQMSLEDSKGRQSSGYVPQPKSTYASPKVQVSA PKNDEEEDADLKAAIEASLKDVEEQKRKHIAALKSSAFSDKPASSKSSAVLPQKDYEL TPVEAENINLLATLVDRLQHQPPGTILREPQIQELYESIGALRPKLARTYGETVSKYD TLLDLHAKLSTVVRYYDRMLEERLSNTYSRQTLNAYDSVRPQSGSNFYPSMTQHNTGG HEGAENFYLGTGAPSEPHQSVYPQYPSTYSQPPQQQVHDYQGSALSSTPSFDRRASTH GSLHSQPRNSEQFSRPPPQPSYSYTPQDLTHSSPQGAESVSPPQPIAHQYPPTQYPPP SATPHQTVYTPPAPTNGQNPNYNYMSPALQPPLQHHQQQQPPPPQQQAASKPVVEESL IEL TRV_02308 MNLNKLQRLAMAHNAISDLHGGQETPVTGRRHHARKKSAPSSPA TSPKTGHHVRWNGSAVALGGVSSNNPAMRKNLSTPILKREGSSGNIIKKGHLLGELSR IEKTEEKKSVGFELAGSDDDDDEWEDHSSPSGASTRRNSLVMGKAGNTDGNPQGTALT FTKSPLVQQNNAADMKPELSEGPAAGEAQPDSTKSTGDTAQPTEQGQNDVPQRLLSRQ HSGMVPPAVSSVSATATQAPPERSSRVSSFANLSSLGGINQPDTRHNNEREDSQRFSP NATPSSTDVGVSRFLITHPNGGSHPGGRSESDFSTPSSFLPNYQPRTPPSPETAITKA LKSPSRRRPAEPHSRTQQKLWLQRTATLSTSPSETSMGSMTPAVVPQVIDSNAAHARL ALDPHRAATAAGGFAGGPSTEGESKRIKKVYERLSTEFSVMHRFRNPVVDSLNRMYDM TKPPAGQGGTSQPSASSNPGNLNTNDINHESSLHARNGSHSSNTNTSKQVKQGSVRFK DHEEAYNDDSDDLNDQSNDISSRSGYHLTEEELLVRRMWNSLEVAAPGD TRV_02309 MSWQLLHFFLRDDVDAFSRLLASATFTTAAAPPGTNASGSNANN AYKSSSAVIGASSLSYGSPTAFSKHRRKSSISFSFSPADRQALSNTTLTRNDINARDK HGRTLMHLIASSDKESAYGFASALLAIPGPFLDIYVQDAESGWTCLHRALYAGNISIA QAILHREGSGGLVKIKDREGNSPFEVFHSSVIYDTPVDTKKTQDDSDDEESLEAEDEV GGEQYGNARSVAPRVNLDGDELFTFGSNKNLSLGVGDSDDRQFPERITLPRPEALIHR FYEEKYENSLESETPEEIPFLIRSTPLIVQDVVMSKLHTAILTTDPCSNLYMCGFGPG GRLGTGHEGTSFSPVCVDTGAITGKRITTVALGLDHTIAISDQGEVFTWGSNKYGQLG YTLPKTKPTASSPNDVPTQLSPRQIFNPFKRDRILGAAASSIHSVVFTSSALYTFGRN DGQLGLMDADARSLTSQPIPRKVGASLFSVSISSVCAIERATVVLLENHDVWVFTHYG YSKLLFPLTGSVSSFIRSSFMATRYGAGESYVTKIRAGGNTICALSNVGEVFTVSVPG RREEERDRDGKEGSRQVSTPASMSTTNPAKIRNSLPHPIRTWAVKKSHMAVCDVDVGQ DGSIIICTQSGTAWLKERRAGIKPTVAGVAAGKEYKFVRVPNISRAVGVRSNVFGAYA IIQRTSDVARRSVVVDEPRLRADILPLMPFEEMVELTNEGQGRIDIRKPKSLPGNKET DEGADIDVEKEMASILDTQRASSSLSSPSIAWLSTSLSDTRIPVHEFMLSSRSHILRN ALSTFRKEYYFCLQGVMSIEYDKAGQVHVLFSNTSFMALFNLAVYLYTDRLYDVWLRN RNDKKQTDATLANAALYRQVRIEVLKLAAALEMAGLERAARIMTQPATALHNDMATAI QDTSFFDTADVLIELSGDAEVKAHSHVLYSRCTFFDGLFRGRAGGRWLHARASGTERD VPEPIRVDLSHVEPSVWDFVMRYIYSDVDEELFDDVHVSNADAFMDIVIDVLSVANEL MIDRLAQICQRRLSTFVTIQNVCQLLNAVMPCSVKEFKRAALEFICLNLEIMLENRLL DELDPDLRWELDQICQDKQLTSQPISRGRNSETFLAERYPDIIPLIEQDKNCRVDAMK LRSHLHEDEVRDTMLRPGSLERDKPSMSPLSRKGKQVGIVTPSSDKPPIEASPTLVPK RSIGDLIFQMDDEPSLHADNRRGDSLRPTPAGSSRGSPRSQPQPATPGSGPGLGLDLG PATFPHLSTKPSYTTLQPPRNMSKVKDIPQPASTGTFNNSATPNSPPPKAPWSSITPT KIRGGLRDIIAESSSPNTPPYRIEGFSRPEAEATRNFSPKLSQKERKKLKQQQLAAEQ KQSSAVSNTPIWQIPRSPNLKPTKADADISTSNPARSTTPKAPLTLRQTVAGSSATPG SSSPLMKPTYPRTPPRTQPPKPAVLSTPHTKSSPSASTGLGTSQISLATILLQQQTEK DEIHEAATAKHNLHDIQIEQEFQEWWDQESKRVMQEEQAAEARAKMESEKSRSRRGNS SRGGRGRGRGGQSRSNTTRGVEGSISRFVGAETAQSAGTATNNPAGHSQPQRRTSGQP DDKDKKRHSDRDRGRGRHRGATVRGGGTPRAQNV TRV_02310 MHQRPRPLPRPASEAPNPPIPADERPLSDKQVQDQIAKLNQIIQ NYHTKAALIILHSRTVLRPIYREGVKKPQFNVEVDDTDTLREDLTQWRNCTATDKRPE PLIIETFLDGSQLQKHEELVILDDHGKRWDVFDALSDTPGGPPEKKRGTRNEVILERW VIELGPSSSSGLPGDMTHILPTTYKKCIITFRSLFAYSKLLPAWKFTKRQSKVASHPA LRLQHRIIPASKSQYGSRSDPLAIPLCGSSSDEPDTYSFGVTESPAGPLSIRVTYRIN CEFRVDDAESMFSSRFMGVDDDLFRPSLPSANDREEQVRAQNVDIGSLPAKHRASNNP DLSQAYGSMSTFHRADPSIKGSPISALRAARDLVGGSPSPPTQMPSPKLGHARVASLS GEDGHSLQRRPSVSYQPFKAPPLSASPGPGAPRSSSTREPLNISVNPTQNVLGASPSP RRIPNSSTRPLSAHVLPDQAVFSSMSASPKQAPISKYSSSFSHRRGRLSTGGGSKTEE DNNSSGKASISSSSAMATGQRDSASGVFPVVDTDEDNISEFLKMLDQRKDLLSNGPDG STFDPASSRRTTTATLSRFQKMKDANTALSDSMTSSLLLHRSTASSSSKHLPPAATSN PTATAAPNSLPSGVSGSISSSPGKAISPHTPHTPAVPSRLSSNSVIEYPSPDEPGHII TTNTRSRRAPRHESPLGETSEPDQESESGPQSQTTTTANAIDIPLPASPHNRHHRGAF VSSFRRSSSAAQRRTSTANSNNTATGDEDVPDFLPFPTTTRSISLGAQDRSPPSISEL LQSASPDAENHPAVSSPVDRRQTESPQTLDAENTKERTALPYRPRVTPQPRLDREPQA NSSHTHAQRASTRRFSTARSPWSNVNPSSSPNPHGNGIMDEDEPLLFTMSDFGAGISH RTTTHESRRDHDRRRDRENNRESDGGGGSGSGGGNPCSFHPWD TRV_02311 MRFFRQQLAVGLGLAAFSVDTLATQSQPSAISDHCVQACKALTS KLADQVSLPNTTVYDEEKTQFWSAQQSDTSPTCFFRPKNSRDVAAAIKICRHTGCPFA AKSGGHAAMAGASNIAGGLTIDFSSIKSIIVGKDRRTVSLGTGNTWLNVYSQLQKEDL VVVGGRVADIGVGGLTLGGGISFFSNLYGWAADNVRSFEVVTASGDIVHASSHQHTDL YWALRGGGNNFGLVTKFEVFSYPLRRGEMWGGNLVYPGAQNASMFRHLAEYTKHGAEQ DPKSALIVNVVYVQQFDQYICVAQVEYSEPMKDNNTHPAVFDGIFAEPGRVMDTVKSS TLAQIATDFNDANPNGLRESYWTATIEADAELLSDLLELWVKAIDPLRKNVKGYLPVY SLEPIGTPMLKPMARRGGNALGLDDTKPIIIMNPSARWEYAEDDKVVIDAYVGWLEKA KAKAEERGLWNDFVYMNYASVKQDPIRSYGKANIERLRKVAKKYDPEGIFQRLEPGYF KL TRV_02312 MAAPATLFMTPAETQRIQKTAQEHAQERQGKAGQPREAHDTKAL VQQAVGKSVMDDFAAAALDMGTLPKDKSEDTVPAYAVGCQYLPCTASLADLEPIKLSD LRMETHHRGRVLSLRRISPVVKLRSSSWTIVQEAGSDDADRLELSLHNTRNGEDMLDS ASAFFIKEPYYTLNTNHESVIRVDHPSDMIITTYSDNPSSWRNNTGDKELASTASKSA TQCKEEGNAALGKKAYWRAHACYSDGLKLIPKDDNSTLRKDIYRNRSYVNLLLQRYDE AISDAFASLTHGTEEEQKALDAKAYSRAGSAAYSLGDFEAAKGFFEEQEKLQPDDRLV KINLKRIKLRAQEKESGIYDLQKVASSLSKLQGRADVASYYGFTEIKESPGAGRGLFA TRDIEPNETIMYEKAFCVVWSHDPEAMSCLTIDMRDNAKIRVFPSGLHKAIVQKLMNN PSQVERVLALCGEYEGLGNKLLEIDGRPVLDTFQLHDIVQRNAFGPGQQTENEDVTNA STGLWSRASYINHSCVANTKKDFIGDLIILRATRRILAGEELTHCYDENSDYSTRVAT IERTWGFKCQCKLCAAEEADGEELRQKRANLEKEVGNFMKKESAQQPKKIAIIRAKRL RQNILDTYDQNKYKDLPRKALFGIEQWLQAARAL TRV_02313 MYPRGPYESDMCGDASYRNILYVGSAAHRQITLIHPGYSVVLIY QPALRTALKMLGFEDTYHMYSCAVENQRDCEMWIDATRAKFEGVGSFEKRDWDALLGH CQATTDAPGCVFIPELVAAYPDAKVVLNKRDVDKWYTSVLDTIHKAHIANRELGIQGK RVEMLNLLWGHLFHGDFRKNGKEVWEQHYQMVRDLVPADNLLEFEAKDGWEPLCKFLG VPVPDVPYPRVNDTSNFWERYEKGTGASLEKVNEIVAKVEKVECSRA TRV_02314 MKLSNHSAVPVYTISGASTARPLPEWLARRRKRSLKADAEYSNR VELLQDFEFEEASQCIRVSEDGQWVMSTDYSKSLHLQSDRSLEFHTPSGCHYTTRLPR YGRDLVYDRQSAEALTPAVGVNENGMGEVFRLNLEQGRYMRSYEVEVGGDDFTSLGGG ALQGGIHTGSVNTGAVAEESHNLLAFGTSIGTVELWDPRAKARAAILPSYTNEKSEIT ALEFNRSGLTLATGSSTGLIHLYDLRSPIPILEKDQGYGYPIHTLTFLTSSISTREQT SDPKIMSADKRIIKIWDQRDGTPWTSVEPAVDINSVAWCKDSGMLLTANEGRQQHSFF IPQLGPAPKWCAFLDNLVEEMADDPNDPHAFATGQSGSVYDNYKFLTVPQLRTLNLDH LIGTTTLLRPYMHGYFVAQRLYEEARLIANPFIWEEERDRKIKEKIDKERESRVRGKK KVAAKVNRKLAERLLEKEEKRERLQARRVLAQGGDDNAKKEENGTGPAEPSTGGLLGD SRFSKLFDDQDFAIDENSREYLLTHSGNAPVAAPKPERGLTAVEEEAIDEVPGSSSDD SSSEDEAPRSKREPSSKQISTASYRRSKPKQPQMQVTSSSKASRTHDRSFESRVHQRP TILIGRATGRKTAEVPPEIRSETCNSSVAHIPVQYLLGNPVLTNTDNAGIALAWYLHG IYNQTEPTSGLTWYSNATGYGQLANLNILECLDCPVMRNKSTGKWLVIIDGQAGS TRV_02315 MSILQQQAMFMQLTLISNQDELSTCHWKVYGVDSNGSDLATSGA SVNQLFKKIQICALALREAGCLTCPSSDGTGIWVFSLDTEFSRLKSFASNQSEDAEGL IVGQVPIYAELSQKLLSKASENSRNSSLTENLSSRNKLEIPASLELTHSSLYASFISS IAWSLSLHLTKRHRAIPLGKRTFFTAADPDMSPGGASASILSTLDIELSQSGKVVISL RSRSQPGISQLSEGPVVSSIGELRLHDDIWLAPTGTIARYIGIGGSEYALGTGNSYHS NNIHGSRNGFSSEVQDPWKLAVCSWLERTGVPIGISDNMRWVQVEVVTRHQRLGQGKA TGTRRIYWPAQLCFKKARFPTGSPIQGAETLSRDSLGPLQYARQWLKCSVPRDEVLSR NAASDVAQHPEQHPQSETLASPDGIDIPSTTESMARALVYPDFHPATVYPTPPGGALG LGQLSSDHSGGQYPLELPHISREDTDANITESLNPNTRSLLEATSPGLGAGTGMYDTA DDDLFEEMDDNFDNKGITEADFNFFDDPGLSDTNLDVDSGNPNHNFVISDHPTAESKP DLEMSESLASLEPAPPTEPHRMETPMLNNAVEEGTGQGHSGIKSSEPTARVADTDRNT ERPISPPLSPSGVKRILFSSPKGNSEKPKNTITSATNLPVEASSQLGQHKGRYDAISF QHELDFSDRKYGIDGRFWFLPDHKTFNHNADSHTTKIPMVNDPSMPFYKLGQQTYPHK INERLDSADSERCSSSTSSTSSVSENHYEQAGFNKMRPVDPIDNRLRRGDLEEIFSVS SSLQSPGFNVRKPYPTHSDLEEDVLLAGLVYPATDWLLSSYFTAFEPSIRSALCGRDE TLHVAQLLVDQITQSTLTHKTEIAYEENEKDIWQASIDDINALGQSRRPSLKCYASIS DIGPSQQIKDTTNGAIINLRPPHMQIRRGNCSLEVLPTAISFWETFGLEPLKGKKDII SYCLHPVSMQESANAFLDRLGLTYLGANLGTFARHGSAKGLVPWSLSQDKLDYTSIMR KLLHICESLGTALSNLSMNNKTVVVYIVNPFKIGAAMVDICVAFLRLFRKYVEEADKR LVRRLNELVLQIVPSDFITGEDSLVIPTQNDYLRLALEVYSRCPPQDPISDMFAGAPA FTLATSVPKIIPFRLTSEEGSPMDAGQACHVAYSVSQDQRWVTAAWCDNLGLRQLTLS YSLRQDVSHTCRPLAEVREDIWQVTMDMTGMSRCRWRVVLAKDEPMDAEEITEPNLHL KLPPPPPQLNALYQSGNMSTPVSTPKPSISSPDPSASAPTPPNIANTPNFSSEPSQPQ AQSHFQVHPTQIPVETNTETLLVDKSDDSWALVLSQRLNNSHSFTNYKPALANGYLIH RSGTSDTDWKASLMVNLIHLQSRIPPSIVLKDLLGQEDLSVLGEIMAFPGTFLLLLKD RSC TRV_02316 MKVQDDERQRVFRAYRPLFVGESALIRKKKAIIKRIESLKCPPD ADLIMDLGQDKIVDILQSLLTDDAFTPKLIAKEPPSAILLRQQTTKGDNVKISVLSKS TEPSCDNRGLGKKGHSGDIDENVADKDLENSSSKDEVSIQDSSDDGSTNLSHAPFPIQ HLVLTKIQTLLEQACFDFASKWATELISTEMWDCPEAIELSKWTLALNKVIHKIPINA FNAEDYPNIRSILHSGYEIRNIAVHRKRVSLQKLEDITQAAILFLRAIRDNNRELQLS NVHAVMSVFMWSLESRRQIIEARFREELGDIQRLRKALDLREKEADEAMRKANAKVND LTRYMLEHSLREIFGGKV TRV_02317 MPSITSPSGPPAIFSHRRMPLSQEYHVMDRYAGHASRCKVCANP VEAITKGRNLCDRGIRHAHNFILCIRSLEGKAYAAADIEFTPKEIDIPPQFSVISDLL RALDLGLLAKLQKPQPVVINTTNNTRSSREDNVPSSPASPSTSLPERSSSTVVVVSSR KGKETSRDDLSFSRRGTLYPDETPGQLVTRTYHSTSSKSGIRVPSTYLS TRV_02318 MVVFPVIQSQANQKAFHCCERMETVSFLLASGWFVLTKRPRRDP TESFGLGGDLLGKRSPSEFTNPSFISFVKYSMSPKFFSYILTFALAPVVYSVRSSYRS FDNSSSCHINSQKHSKGVKSVHPGSSNALFYTFLSLVHRVWDYPVTCDGQKGKTSQRL LLFSSSRLQVFKIPTSSIMAEAAMHDDGLSPTSKKILKECAVELPAAAVKDSRVSFVA RPPSSGAQDAGFSFARPTLPRRAFTSHPQARSSRATSEEDKGLSQSQGDNERALPPDA PSRDEMVIILDTNSVDRAKTKSPERARTVSPSLDRVTITKPASEIVQPTQDIPQPNFS NNIERAVPINTQQATEDELFNLLIQKLKKREEAEVAASKLIDELKASLCTADDHNKQL TIRINELDRQCKEQQKEKVAHKQSVERWKVKFGKIRALMAGIADHQERLLKEYRAIRK EQVSLNVEKDQMHDNLNYLTESTKGLGKTISQYKAQLTGIIHQFTAEEDALKSLLKSK ESHLKEIEQLLAKKNQVIEEAKALARKIESEKSVLELNSKEVEKRIREELSRASLMSK DQERARFEQERHTLTREKQQVESEAAKGKEELEAVKLSLQRIKDIENKCEVVSVAHEQ ALSALKQYQTSQVGEKENVQQQLQAIQSENIILKQEISTLKLTSMAIRGENVTLKQEN ATLQQTNITNHEENVSLQQKVADTKAQLDTLEKENANVQAEKQEREKQITDLSAVKAT IEAERTIIQAENTVLRQENAEVKAENAALKTENAVLTKQKGRSISDQPAARAPSSALS ITTPRGPENAGIKKEKDDTSTLPEGILNGIQPTAAVPAQGKTKFHTPSGGNKKKRPTI APTAPRRQSRISSRFFDPQPTPSPSLSVIASQQDSTTWTVNQSVDTFMSQSRVHKRKR RRGE TRV_02319 MEPRRDSDFDQIFLHPTTSNRSRGGDSIALSSRNNAAYRRLSSA EEPYLHSYEAHGSSNPATPPNYRDAASRGFGLGIGQPGNGPVSGMGEESDLGYHPSHH SRHLSESSLLRESADTSPDFTTAHHRPSPSFNSSFQSYGSDSNTVRIIEPENGQEYVL AFYSTAFSAIYFVVACIKPHYGNRIGGKGGLAPSTATLLSALFAKTIELSFVTVFVAF LGQVLSRKALGKNAGGITIADMSMRTWVMQPGTLITHWENVKHSALTLLGIIAMTTTF VAMFYTTAAEALVSPRLTAGIPETRELTGEVNTKFANSSYLFSNCQSPVPLAVDPVNR GSTCMQIAFSGRSFHNYEQYLGRWTEFGAGQGSTDMLLRPPPSGTWYDNTTVKGSWIE PYNMTELSIKWGRMVVNVTAAMPHAGIFSAARHPKNNIRLPEDLGGQGEFNIKAAVPS PIVNVICAGMTEEELAPIIYTKWPHPNFEFNASTWLMNQTTDMPNYPDWLNATVVDDI FEFGEKFGDRGQRPPIFPKIPLEYNTIINVTGRFETNSIYLLGTSPPSIYPPHYLCQM KGGLTPQCLTKYEAASSGGVLYSQCGKAADSMTYQSVVPDVPPIMIDKDWKNVAEEWA RSIALNTGLSDGYGSNARLLSQLISNSDIKTNISSLDPHLPSVAEALAAMASSLLLLG TQDATFNHKKTFADARMAGHHEPMYEQFHATVWASDYASGANSPAWQNVFYAVLALVL VTNLICSVYLYLAFRGVQLTDFTEPQNTLALALNSPPSIHVSGACGAGPEGKQLTQRW MISMDEKEEHYYMTSAEEVEAEEARLRQRGPYNHDSIDDDNVANIKNAVSPKVSEYRR ISRASSSISLLT TRV_02320 MTNSPLWRLNLLPLSLFSLLVSGLTGAIPAPHPDSTDYEGVVPG SLGDAGSDGSTGSSGADGGSIKIPKGGLIAIIIVVVIVVIIGITTGILFYQAKRRQWT MRETMRYSVRKVAESIKSPMTPTFRSRGQYPMTPAGAKAVASRQPKSSLQPKNPMSHY QNRLAKPTDQYPRVAEGEKGRSGKSDFNFSKLLSSKSSR TRV_02321 MAMTVLIENDEKAGQENAKREEVGIGAPTPRMKVYIHKMKMMYL MIGDIEVGRENTGRPEIDSTEIEAGHKAKESPATSPKKRDRERDREGHNRHRPRRDST ADEGGDRRLRDRRRRGYDTDQEREHHDRDTRRHGRREKHTSNDSANSATVLLSSDALA QLDQLNRKADEDMKKKARKEEIKQQKKEKKKKKYIFESGFAGDSEKEREKGWESSGDI QRKKRREKDREKEKKRLVSGAYLEEGRSPELRTRGGGRRRTEKYHGGGGGGGGDDYYF SDGYDYEEEGSAGNGCFRNWSKRKKIIVVVGVCLLLLAIIIAVAIIVSKKNGGGGKHP DGPVTTGPSHSELDGISPDSIPPDAKGTHLDPFSWYDTADFNVTYTDETVGGLPIMGL NSTWDDSAQANEKVPALDKPFPYGKQPIRGVNLGGWLSMEPFITPSFFQRYSARDNVV DEYTLTKRLGNAGKPTLEKHYATFVNEQSFKEIRDAGFDHVRIPYGYWVVTTYDGDPY FAKMGWRYLLRAIEYCRKFGLRVNLDLHGVPGSQNGWNHSGRQGEIKWLNGDDGAKWG QRALDLHDQLSKFFAQPRYKNVIALYGLANEPMMLKLDIEPVLDWTTKAADIVGGNGM KQKIVFGDGFLKLSKWSSILQNTGHDLIIDTHQYTIFNADLIKLTHKKKLEFVCDSWV DLITKSSTKGSGVGVGSRWTGTMDKNPIGGDPVLTPSCPSGKQCSCDAANADPSQYSD SYKKWLRLYAEAQISAFEKGWGWFYWTWDSESAAQWSWKKGMAAGILPAKAYEPEFKC GDDIPDFGDLPENY TRV_02322 MGNSQGKLSSPDHPVNLNQFRLLRVVGKGAFGKVRIVERKDTGL TFALKYIRKDEDGVSIISMIIVILTISRYIVVDLMNGGDLRFHISRKCFTEEAIRFWM AELACALHYIHGQGIVHRDVKPDNILLDSEGHVHLADFNVASDFKPSKPLTSRSGTMA YLAPEVFQGGGYLSNVDWWSLGVTFYECIYSKRPFDGRSHSSLSEAIMRTQPKYYVTN PAVTVPCLHALSALLEKDPTKRIGAMNFESFTTHAFFAPIDFDALQRKEIEPVFVPSS DKTNFDATYDLEELLLEEAPLEARARRQKPRAELKDDATAKEIREDELHRIIETMFEP FDYTTMNYETTAAAAIAASANPEDCLNLTTSPSQRRRHRHTNSQGRSGSSSPSVRTDR STRTDKSTRTEKSYRSTASDAHGQNNVPVDSVNPGSPSSDRGGALSRSPPTQPPPGPP SLPSQRHANRSHTIASTNQGVPQFSPPPPPPAQLSQPQPRGATRNRSKSGGVQMVLEE TGSWSGLADQTTNGDALPNNVNGNSLPNGTGSTTGAYGNTSGGMFSFFSRKKGRERSP KPSERGVLGKEGARVVISG TRV_02323 MVKEREPSKIPSIPGAEGKKTQHPKQVEFGRPSPLGSMSANQRH PFVIPKSNRPRPEHHRPAPSSTLNDNASKENDKRAFNPYQATKPSSFKSRSTITIPDD DSVVEIMKPTNADRTTWRTPEPMFTSKPSAPKMGKAASTLKNFMDLTTPDVGYGSSNN SFRDEIVGSADAYSYIDSEKANENIKALLEGAFEDEDDKPRTRSRKKKIEKQVDELAD KLMGVKVNENGGNKKSGDKEVEEVEEEEEEEDDGTVEGLKVKLLPHQIDGVAWMKDKE SGLKKTRGVLPKGGILADDMGLGKTVQTIALLLTNPRPPVKPEGKEEISEKGKEKKDK SKIPANVSKSTLVVAPLALIKQWESEIESKIERSHRLSVCVYHGTGRTKHRDDLDSFD VVITTYGTLSSEHGKSDGCFGVHWYRIVLDEAHTIKNRNAKATQAVYALNSQYRWCLT GTPLQNNLDELQSLIRFLRIKPYDELAAWREQITRPMSNGRGGLALRRLQVYLKAFMK RRTKDVLKLDSKPDTEDPNGEELSNDQKQGPSEGFKIVKRDIVKIEAEFSPEEWAFYQ RLEQRADRSLERMIGGQNISYAGALVLLLRLRQACNHPDLVKGELAKEQDALMGDDTQ GKNKDNDIDNIADMLGGLSMATKRCDVCQIELSKDETLGGAARCGECEEDLKAQVIST VVTEKQPKHKKKKTKSRSKPEPVEAKVEKESQRARSRRARPIIIDSDDEDEDGEWIVP EEQRGSENLTAPVGSDGEDADGGGEWIGSEDSDTDEDEEEEDATELATDEDEDEDEAG LSQPTSDVIHDVQTSAKIRHLMRVLKRESGQFKFIVFSVFTSMLDKIEPFLKNGGIGY ARYDGGMRNDLREASLNRLRNSSGTRVLLCSLRAGSLGLNLTAASRVVILEPFWNPFV EEQAIDRVHRLNQTVDVKVYKLTIKGTVEERILELQERKRELAKSTIEGKSAAGKLTM KDMLALFGRDAESRSWDDGKLSFTTKSNKLLDSKEMATPGYQAQTAGGYGKGGSGKRA TPPVMERKASAGSKREDPIYGRRW TRV_02324 MATQLTALNLAAVKEAYTLIKPKIWKTPVYKSATLSHTISSTIF NKYKIGITGIPKINVFFKCENLQKTGSFKFRGASHFLARQNDQELRNGLVTYSTGRYY PHALSSQLGKISMIEKLGATVSAGYPTMEACAVQAEDLQRKAGLTLVPTSGHCHVVLG QGTVMLEFQQQVAALGEKPLDAVIVPIAGGALLAGTALVCQEASSRTDVFGAEPLEGG PSLFQGRQRGRLINTLCPDKSTIADGLRCLVAKSNWDILRSKTHVQDVFPVADSEIRT AMRLVLEGTKQLVEPSAAVPLAALLFNKRLHSNLARRHGPLNVGIILSGGNTSVERLL SLFT TRV_02325 MHTNRQVLPSTNASSTTTLAENAYGSHSRDISVDDIHKAEAIPS NSLDKAPDGGLVAWTQAAMAHLVVFNTWGFANSFGIFQAYYMGYLGRSRADIAWIGSI QIFLIFMIGTFSGRAIDAGYGRTILVAGLFLQILGVFMTSVSQEYWQIFLAQGVCQGI GNGLIYTPTVSLVSTYFSKRRAMAMAITSGGGATGGIVFPLVAQQLLPKVGFAWTVRV MAFIMLANASIVICLMRGRVAPRKSGPIVEWSAFRELPYLLFCIGCFLILWSVYLAYS YISTFSHDIIGISPSTSLTILLITNAVGIPGRAIPAFVADRYTGPLSMYIPMSLAAGI SLYAWSAVRDLSGLVVFSVMYGLLAAACQGLFIASCSSLTVDLSKMGTRTGMVFTIVS FATLTGPPLAGMLIEKRNGDYLYAQIFGVNHYTVISSLVKPEMGIQRHHNQTIEYIIS TEFSAPTKLHISVF TRV_02326 MLSFKLIQWLYREFGLDSVYQTGPDTWFVMLARTCRMFAFGAIS LILALFMSSLHFSDLWIGFFMTMTLVGDVILSLLAALVADRVGRRRILLLGGALMALS GTVFVFFENYWILLMGAVVGVISATGGDFGPFRSVEESTISHLTTPATRTDVLSWYVT TSTLGSALGNEAAGHIASFLKRLQGSKRDITNVYHAMFWIYVAMGVLSMILACLMSRK CESTEVQVKPDTERPRETEPLLNPGTAGEDTHEQNDSDPIQTPSANLQQPSRPQSWLG RLWLKSKIAEISQESLSVVIKLWILLTIDSLADGMVSYALTNYYLVRKFNLTESYLGD IMSICFLLMVISTAFAGPLARRLGLINTMVFTHLPSSLAVLLLPVPQNVGLTIALLFI RTGLNSMDQGPRAAFIAAVVKSGERTAVMGITAMLRTLGSTIGPSLTGFLADTDKFWI AFVVAGALRVAYDLGLFYLFVDLKINAPEQAKDPATNDQI TRV_02327 MASGIPEADLLSFREHLKKSKRILALVGAGLSAASGLPTFRGVG GLWRSHRAPDLATPEAFGVDPGLVWQFYSYRRHMSLVAHPNRGHYALAALARKIPQFW TLTQNVDGLSERADHPESQLHRLHGSLFTVKCASEYCTYSRDNDFQDPLVPCLAIPKA GPQLNPSTDDKTGEQAAKAIHAALDEKISPSRGEDLDISDANVPIPSLTEDDLPHCPK CSTGLLRPGVVWFGEELPNDTLDSIDKFLNEGPVDLVLVIGTSAQVFPAALYIEEGRD RGARVAVINMDATHLPNGGLEPTDWLFQGDSSVILPEILKEVIGEI TRV_02328 MGKTLVYPKGISNTANRYAKRVTYDLGPIHSIINAATHLDVAFV PGGDDPFPTIIPMIGQMGSYEFPSNGIDEPLDCYIHGYVSARMMNMARAAGDKGLPLC ISATHVDGLVLSLSPFSHSYNYRSVVLHGYGVPVTDEDEKNYAMKLITDGVVAKRWDN SRTPPTAGEFQSTTILRVKIVAGSGKVRDGEVSDEKQDIDSMEVREKVWSGIVPVWQT LGEPVPSSTNMVKEVPAYLQEYVSRVTEENKKHAYAAMKLPAP TRV_02329 MSAPKTSKAYRRSKGSDIKTLEPITEKIPSSLKSQEVLIRIHAV SLNYRDVAMLHGKYPIKFLDRGIPASDCAAEVIAIGSDVKDFEIGDHVAPIFDVKNIH DNEEDKAALGGHIDGVLRQYAVFDRNVLFHLPKYLSWEEVSKAFTIKVVNVYIDIPTF HRQHVSPVLVPRHGERYQCLALPALPCFKVGLPSNPAPLKSSIFLTSLLGTGGVSMFG LLICLAAGIRPIITSSSDEKLDRVRALGKPGEVDTINYRTHPDWENEVLRLTNGRGVD FVIETVGPRTIAQSITSLARRGSITVVGFLGGFDVKSFPDTITPLLTKTATIRGGAVG SKADHQNLSDFLSEKKIDLKPILDNTVFTFDDSQAAFDYLYDAKHMGKVVIRI TRV_02330 MAASIHVHPDPARTLVPRLQRHLPHSGPVLRIIRSFGIFPRSAE ACVLASFPPESGSSWGLGSGSGAAENNRQPEEPWVVAYVDVFRYPETQMWAYSSLEAD GRNEMIGDTMQTTLTADERTLKQAREQLRNLLAYVRSKLLPSLLSTPGALERNIPGSQ PNGVKKLPAVPPTGLLMGTINDGLAELLGQLGPREQDGSDQKPFFHINRVDLCEKYMF DRTHYDAGDNEPPQGYRFHDNKGRRGIQDHHFGLVLSRTHIQRTRASFPASVAIYHDD READVAAKGQSETLVAHINGNGVDKVEEMPIGWAFLTYDGSMIVLHVEPEHRGRGLAA LLSKEIMRRGMGNDAIHALQLEDDIDGREKGWVFADVNKENKASQRVMQKLGGEIGWA MRWIVGEVCAGKDCWNCTKGLSNE TRV_02331 MVANTGQPGEHTFYLYVREKDTRAELWEGARSGTQAAMDVFNAD ESGDIDHLKDYLPEILSGASEIYTDISAGRGRSAFSRFISSFSDSASSASDAREEAME KIRPLSPIINELRVFKSDAEIANMRHAGRVTGRAFTESMRHGFGTESELDAFLEYQFR RQGGDGTAFVPVVAGGSNALSIHYVRNDNVLRYVPWLLVQYAGYISDVTRVWPVNGKF TPAQKELYTAVLNVQRSCISLCRESAGLSLDKIHDIAERSLREQLDSIGFNTSGGLQA MRTLFPHHVGHHIGLSVHDCGGYSRQEMLRKGQCITIEPGVYVPNDERWPEKFRGIGI RIEDSICVGDDNPIVLSPEGVKEVRLVVITVR TRV_02332 MAILFVPSPHALNAMTTRRAPLANVPNATNSPHRAVSTATAATM KRTRPPWDSAGAPPPLKKQVLDTADNADARFSQRSLNQQQPQAGESKIFTRRTNTQPT AFEKKLAAVRDKPKQQQNALKVSRNIKYCPESVESVRQWQRHYRKVFPSYVFYFESIP EEVRKKYVRQIMSLGATEEKFFSRVVTHVVTSRSIPPEVESEKHTEATQSASAENNMS GSVQTVNPSMLEKGVDAQLGKTRSRTGFGMIEPESKKGMGGGSGDLLHRARQMNMKIW TLDKLQRVIFTMNDKELAHILQPSRNGTAPAKNKTEDDLSLALQHEKLHAPTDSDSLT LNKGLVPFKGPYLYIWDYNRETRPVVIREYPKVNKKQDGAWPQFRSVELGKCPFVETT GSRKTEKDRQKQQQAKANNTQTTLVTKTMAPPARGPSKTPNQQQQSNMTPTNQDDTES ALEDSAGRPIEPMENTAATTTTTNEGPRPVSKGSISASSLPFKFGGEIAASGIQPSNI TSAIRSQMISSTAATQGARAATSKEVHELKRKVLEKSSGIVSTAISSCHRADAAAAPQ SQPVRVPIARAAKLKAQANENGAVQLTERNRRASDVAPKKQSALRKQQAGSKKRDPKP GYCENCREKFEDYEDVCFSQFFFFHFRLAVLKLTKITAHWD TRV_02333 MASRLCSRLAYTRPATVGIRFGGVIRPTATTTSTSKSFPPAAKL AATRQQERYSSNSPMAPAPGHARKKVTIKSLEALYRKGEPITMMTAHDFPSAYVCEAA GMEMILIGDSLAMVALGMSDTSEVTLEEMIVHCRSVSRAAASAFVVGDMPMGSYEISE EQAVQTAIRLVKEGRVQGIKIEGGQEITPTIRRIVKAGIPVVAHVGLTPQRQNALGGF RVQGKSMATAMKVYEDALAVQEAGAFMTVLEAVPAEIASIITKKLRIPTIGIGAGNGC SGQVLVQIDMLGNYPPGRFLPKFVKKYGDVWSESLRAIEQYKSEVKSREYPSPEYSYP SAKEVVEEFEKVVGEK TRV_02334 MINIFEVFLPQLLRYPNPSDPLNGDAAAVLLRDPKKYDAKVREY VTKYASKDAADDGGEDTEDDGEMSSVGSYESGGEEPAGEMDDV TRV_02335 MSSARRWLGRIVGERLLTGSPSHCDISQSESSRLLDEDIYQAGF NYGTVQGPPPGPELETLKREREALEAICQRASDSVVDIWAIQSQPFVPSAQSSAQASR IPSSEATITQATFKADTYNSTQSPPRASQRSQSSRQSSSPMPGEFAGMRYVSYRNPDY PHNVNLSAVPKHWGEVVMSTRKGKKTRPALNLDDGQKDEVDVFGVLKVK TRV_02336 MREDAEEEEQEFEFRLFSSVSTRKTADESRSRTEGHDGSGLGGV QKLKIRLRSPSPSACPLGDGGFVVPFRGWDYYFSNPELVMRAVGDTSWQRGAKDKNTS KQLDALRYTFRDTAVDGEAILARAASVAWPGCHLPWRVVHIPGPKVKSASSATPTTKS EPSLSKKKKKPGKKRRIALRKRVVIKKMAEETEKEKRNRKNRERKVKRRQKEREKKAA ARAAAGEDEPTVLAASDTAATAGETIDKSREEKKEKQEK TRV_02337 MPGVPPDAINQLKKGLRNFLGRLKNKKQNQEATAPTTTAPEDKK TEETPAAPAPGAAPAPAPTEGSAAQQTPAPEVTKAPETAPAESKPEGAQEEPSTTEDA NKDKVTPETPVPEPAPTAPAPAPAPATTEAEVEKKAVETPVAPDTTTTAPAPAPAPAP APAPEAEKPAEPTPTTTDATKAAEPTPAPAAETTEEVKPAETAAPTTEAAAAK TRV_02338 MELITIPFSEVQTALQEAKEAWADTDKFNTHGHSFEDINALVPE TQNLKHIKPGLFSTLYQHWVSLIMSSQGAEEWHTFELPRYLAFETTEMYQVWIARMSS RPSIFDGLVEMFPKQSLAGVKTEEIFGRHRKWFLRLDSCSTKDGENGMKPITSVHDLI RQLCTSMRGRRAILDTLEDGVSKPVVYLVPYNEQMDPSREFRVFCPPPGNGIAAISQY RWTSPFSVNNMEDAVKVANRVHLDSLQIHSRIMETARHLPDVLVHEMMEREGFTFDVL SVPDGSTQLVEINPFGAMSGCGSCLFHWLKDSKSLYGLTGNIPVKLALPDSLLDANAH TRV_02339 MERVYLITHPRSASNLLVQILGLEEQPMVKARPHAGYYFLETLM FLGEKGLRAKHVDEWTEEEKIQARAMYNKGYDSMMKDVAESKAAGRISVVKEHANILL EPSSQTKFMFKTNDVKEAPLTLDVPQGSEIVRTPGNQTIFSDEFLLTWKPVFLIRHPA LMFPSFYRCMDDFRKMKKEDIGTTASLEVGKPDLPIYMSLHNIRSMFDWYSEKLQQTG SSSTAQPWPIVIDADDVMADETVVQKLADIIGLDRNHLKFTWDPVSEEQSKKYDPMAK RMLSSLNASNGIMKDKLAANIDIEAEAPKWKAEFGEIDGAKVYKWVKEAMPDYEYLKS RRLTA TRV_02340 MKAFAGSFQPWIPQCRSVCICEVAAFKDNGVLERPCSTLSAIVN IAVKKSYINPGPPAKMKFTIPATLGLMASLSSAAAISGTVSLSYDPKYDNAGLSLTQV TCSDGTNGLITKGFTTAGSLPNFPNIGGSFAVEGYNSANCGKCFKVTWPVLNKSIFVT SIDKADGFNVAKAAMDTLTNNQAGHLGRIDVTFEDALPTDCGFKA TRV_02341 MATGAQDQDVTHPPPSEISPLLGDRVTAENGGPSGESEANDTGL PLVEEASFGELLTILMSTWVGVFFAALDGTIVATISAQISSSFNSLSLISWLATAYLV SSSACQPISGKLTDIFSRRTGLVISNILFGVGNLICGLATEEWVMILGRTIAGMGGGG LTAISTFLTSDLVPLRKRGIWQGIGNICFGIGSGLGGIFGGYVNDNWGWRWAFLIQVP FVAVSAILVSIYVNVPVRVTDTSRWKRIDFLGAGTLVCALVLFLLGLNSGGNQVPWTH PLVLTTIPLSVVLLVAFIYIEDKVAAEPIIPIRLLMDRTVLSCCLTNWFVTMAVFALF IYIPVFLQVQGYTTTLAGTRLVAQAFGTSVGSLGSGYLMRMTGRYLYFNYGSVILIVI GIGLICTVNLATPAVPPFVYLFISGLGYGGMLTSTLVAIIAAVDHEHQAVVTSASYAF RSTGSSIGISVASAVFQNLLRSGLWSRLGHLGDAEKIIRKITERFGEIRNLPPDIAAI VRDCYMGSLRAAFLTALGLAAMGALASLAMREHKLHMNLARKD TRV_02342 MAVGVKQMLNGERLDSNPTSKFEIMSPAHINASPRDANPCHGEK RPDGTRLEDCMDGQKGIPSLGRADRHTKRVILATSTTFADTIVRSLYRDPDVELRLIV PQGLGVGQEEMDNVECYEDAPQRVTDTTSQPLRGQFNMTAANLADWADLLIISPMDAG TLGSMVCGLTTSLTLTLLRGWDVSKSIILVPGMTTRQWVAPITRRHLDDIQVFWPWVK VLPPILSKFEAPDRLAELPWDGRESFYDEIKKALGWSVEIGIDGRNTPSQEVEIPGKE NGLNEKQNGMIPPPIVNLQSSDSFSSQMTITHPTPTQTALPSEILSMIFEALGDWETA AAVGIYTRLPTPDQWKPLIPRSHSSQCTLEYAILKRPFSEIEQYLSSASPWKPLSSLS AHLILKFSRIDILDFIITSRSDIFWSTPRLLNLPFRASAIYGNTALLDWWLHCPELPK KDYLTDAMDSASRAGYIHILDWWRNSGLPLLYTERALESASAEGHIPVLSWWRNASEC SPEHSLPLKVGKSVLLAAQSGRTNSIAWWDQSGIPYSYTESVARIASTHGHVHVLELW YKLKGTKMIFDNQVLVGATKNGHVDVLEWWRRSGLHVEFKTCDIEEALEDAIAGSGEK VRRWWERNGLNLGVGTSEWMKVKVL TRV_02343 MGFITKAIPIVLAALSTVNGARILEAGPHAETIPNKYIVVMKKD VSEESFSAHTTWLSQTLNSRLMRRAGSSKPMAGMQNKYSLGGIFRAYSGEFDDAMIKD ISSHDDVDFIEPDFVVRATTNGTNLTHQDNVPSWGLARVSTRKPGGTTYYYDPSAGKG VTAYVIDTGIDTKHEDFGGRAKWGKNLVDQMDEDCNGHGTHVAGTVGGTKYGLAKGVS LVAVKVLDCEGSGSNSGVIKGMEWAMMDASGGGNGTAKAAGKAVMNMSLGGPRSEATN QAAKAISDAGIFLAVAAGNENMDAQHSSPASEPSVCTVAASTKDDGKANFSNFGSVVD VYAPGKDIVSLKPGGGTDTLSGTSMASPHVCGLGAYLIGLGKQGGPGLCDTIKQMATD AIQSPGEDTTSKLIYNGSGK TRV_02344 MAILLGLGLSVALTFLLVSYFPKYSISGSFARTLPVLFTTYLAI RAVYLVILYPIFFTPYKHLPVPPGRSIWNGHFKILQASSQGTPSAKWTREVPNDGIIR YYFMLNRERLLVTSTKALADVLVNRAYDFAKTRALVASIGWVTGIGVILAEGDVHRLT ISKKVQRKNLNPAFSFRHIKELYPIFWQKSVEMAERIAEDIAPLPTDEKIISFADYTG RATLEIIGLAGMGYDLESVNELREEYRKMFTTPGPFTRLIRNVVFLTWPELFFYLPLP YVRTITAASQKIREISRLLVQRKRYELSSKKIDDSSGDIISVALESGNFTEEGLVDQI MTFLAAGHETTSIALQYGTRILCTHPEVQSRLREEVRAKIPSPGQNKDVNGLRHISST LLDTLPYLNAFCNELFRFYPPVTVTTRQAIRDTVIAGQPIKKGTNIVIAPRATNRNPE FWGEDADVFNPDRWLAPGCANTGGAESNYAFLTFIHGPRSCIGNTFAKGELMCLVAVL AGRFEMELEDPDKELEITPGITNRPADGVRARLKVLEGW TRV_02345 MTVTYAASSESTPQPRFRPTVIIHGGAGNIVRSRIPPELYERYR ASLLKYLHSTVTLLNGEQEEEDGTKKKITPYSAIDAAVHAVSLLEDDELYNCGRGSVF TLTGTHEMEASVMVCSLLPETQGCEDVKRALIKRGAGVMMLKNVRHPVQLAREVLLRN DVEGHRGNGESMHSHLCGPYVESLAKEWGLESKPDEWFFTQKRWDEHMKGLGKGASID HDSLPKPGEDISKYLSQGTVGCVCLDQWGNIAAATSTGGLTNKLPGRIGDTSTLGTGY WAEAWDVPSSSTRRALGLSCTGNGDSFVRVAAAHSAASLLKLGAKDSLADAITAIAGP GGELQRSAGPRWGVTGEGSGGIIGIEAEVPHDAEQRQSEEPIKGKAVFDFNRGGMLRA WTEEDADGVLRERMMAFRDEYYS TRV_02346 AIGGAVWHGVKGFRNSPYGERRIGAITAIKARAPVLGGNFGVWG GLFSTFDCTVKGIRKKEDPYNAIIAGFFTGGALAIRGGMRAARNSAIMCACFLAVIEG VGIGFQRMMADNTRLDVSFILEFLLFSLPANIYRI TRV_02232 MLFLRGMMPRLNLPVPVAIALLYILFVFPVMGMAYYELKSRRAR ARTPKGCRKLGLHDGHSNLHDEHEYSTDGLKPGQKNNNEKPTPRIKALIAYPIKSCRG IEFNFTHFKDSGLAWDRRFCFAEYTTDNDDNDDGSSGHWDAKTLRNGTYSRLALIRPE IWLPDPSSPTYDKRLHSVKSDGVLLIFYPRDTSRLPPWTRLGIQLGLLESEEWFSIPL NPPADSSEYPLEELRLFSIPTRATRYSTHVPAALAEFLGSKKPLGLFRVHPEHVRVAV GNAPSERELGYVPDKAFSDEYLLQMQSMGSLREMHGRTKYAIPQLSVRRFRPNVVLEG VGAYEEDAWKMIRFVSSEKEDGDGDGEGVDVH TRV_02233 MIRHLIWKNAGFASLRKIRKQLEDYEPRVDPTVVPTSEILEDDD ALQNQEAEGENGHKVYTAEAQLASTAVPPRARYYTVQDYHDMYVSGELTPTAVAKAIL PLIRRDTTPPGEHSAAWFETRVDLVLAAAEASTLRYKQGCPRGLMDGIPTAVKDEYDI DGYKTCLGSLNDYTSDPASSGGSIASWCVRKLEEAGAVVLGKLSMHEFGLVDVDTSGS NPNYGTPLNPYNPKYYTGGSSSGTAYAVSVGLLPFALGSDGGGSIRIPSSFCSVYGLK PTHSRISHHPGPNHSNTCAVNGPIAADLQSLSALYRIIGCPPPTSPFLPVSPRLAPCN PNGTKYLGVPEAWFSQATPAIQHLCRSAISTLADKHNYTVVPIQIPYLVEGQIAHAVT ILTDAATLLPDTSNLTAPNKILLGLGRETPATDYLLAQKLRRLLMQHLSWLWKEYPGM IIVTPTTSCAGWPIRDQKELKYGISDGDQTLKTMNFIWLANFTGLPAINVPAGFVVPE GTKNEGEIAEENTEGKIPIGLMGTGEWGSEDFLMRWGLDVENVVGDRRSKPPVWVDVV AKAKQVMQSGV TRV_02234 MNRDTANFQATPTLHSQNPSQLLSPSTGRTPLSTSLPHLDKALI PPLDHAANTPADVLPAASQFALPGWTQLGPSAAGAEGGNGNVDSGSNGNGDAEESQTP LSPGIRRGEITELSGPRGSGKTSLAMTTAVNALKQGETVIWIDTAGPICMSRFEKMLS RDSTPLETQDRLRNLLHFQPTTLAHLLALICHPIADFPPPNTGLIVVDSISCLFGSEF RSKLPAKLGSKAKDLPGAGPRANKEAQESKLWWKLIGSLSSNLNALASRFGCAVIVVN EMVTRFRSGQKPMLHVAISGYTWDTSVATRVILYWHWLDSGVSEKFGMARIRIAEVFR AGKKAVLPRAVERIVPFLVEDEGLVEFMRLPISLGGTRGTVTPVSAKRKLDGDELLGA QLPRRPKIEHDSEEEEEEEERESVEEKKQMPEEKPAEAVLASTSLPAEIMDSQDEEED EEWLEAVDLQSSTEPNTQQEEDDTEQLLRSMVEGDV TRV_02235 MGDAGLSTVQPALAQAQAQTQTQTPQQQQQQDKKPHITDTKMTL ANWYQHVNWLNVTLILGIPLSGCIQAFWVPLQLKTAIWSVLYYFMTGLGITAGYHRLW AHSSYSATLPLRVYLAAVGGGAVEGSIRWWARDHRAHHRYTDTDKDPYSVRKGLLYSH IGWMIMKQNPKRIGRTDITDLNEDPVVVWQHKHYIKVLLTMGFLFPALVSGLGWGDWM GGFIYAGILRVFFVQQATFCVNSLAHWLGDQPFDDRNSPRDHVITAFVTLGEGYHNFH HEFPSDYRNAIEWHQYDPTKWTIWIWKQLGLAYDLKQFRANEIEKGRVQQLQKKIDQR RAKLDWGIPLDQLPVMEWEEYVEQAKNGRGLIAVAGVVHDVTDFIKDHPGGKAMINSG IGKDATAMFNGGVYNHSNAAHNLLSTMRVGVIRGGCEVEIWKRAQKENKEVDFVRDES GNRIIRAGSQVTKIPESFPSAGAA TRV_02236 MTILRKRPITIIITAALCSLACSGQLHVAASHSSIYISTSRSRS ALLQTIYTATATATGSDQLPANSYTEETACSLAVILALATRRSLSLFLLALPLFVVAV LILAPALRFPALGLPSLRAARPAFVFPPSRSNFTTSTSTPTPTSLLRSTTSLLATTTR PLTTASMAPSALRSPPQPPPLFTGTPTSVVEDTKRLIELSRAVQDGVANNVTAETASF DKVVLPLARDENTMALESHILGFYQSVSTDSKLRDASTEAERLMNDFGIESAMREDLY KLVDAAVKKNEKLDPESQKLLEKEHKDYLRNGLGLPAGPKRDRFKEIKKRLSDIAIEF QKNLNEENGGLWFTRGQLAGVPEDVLSGLKKGEGENEGKLRLSFKYPDLFPTLKYAIE PETRKAVMIANENKCNQNIPLFKETLLLRDEAARLLGYPNHAAFRLEDKMAKNPETVD SFLGDLRSRLTDGGKQEIKTLMEMKKKDVETQKKTFDGHYFLWDHRFYDRMMLEKDYS LDHQLIAEYFPLQTTIRGMLEIFEQIFGLVFVEIKGEERAKISSSGKGEDIVWHEDVQ VFSVWNDEGEGSGFVGYLYLDLFPREGKYGHAANFNLQPGFIKEDGSRRYPATALVCN FSKPTEKKPSLLKHDEVVTLFHELGHGIHDLVSKTIYSRFHGTNTVRDFVEAPSQMLE NWCWTPSQLKSLSKHYSTISPEYYKAWKEHAGEKPDPAAEIPDELIQNLIKTKHVNAA LFNLRQLHFGIFDMTVHEPKDHEAIKAMDVSETYNKLRKEIASLDGPEVLGQGYSWGH GEATFGHLMGGYDAGYYGYLSSQVYSTDMFYTVFKDDPMNKKEGRRYRYSVLEKGGSQ DEMTTLKEFLGREPKPDAFYKELGLA TRV_02237 MATTASRDSPARESPTPQQQELNAEQSKASQPRGRIPIRTYHCR FCNHLLVASTQDLSTLPRRRAPALDNAIILPLPKKSASAEDEDDNEEEEEEEEEEEEE VKGKEHNEKDSGEAEISQQMESATLKPGSRKGKSSASSSSSQHYTILLSTTTPDRKPI ILRRADGFEKRLLVRCGRCKVVLGYVLDEVHFKKAEDNKGGAGGTTEGEDSNTSGAGE PEVIYILPGSLVETDELGTEIKIERESWAEWESLAKKAG TRV_02238 MASANENHNNGDKASTNYKEAFSLFDKRGTGRVALESLGDLLRA CGQNPTLAEISELEKGIGGDFDFDAFSKVLNRPGGFRDPGEPEEYCRGFQVFDKDMTG YIGVGQLRYILTNLGEKMSDEEVDELLKAVDTSSGEINYTGKVSSWVLSE TRV_02239 MFVDADPLALSAVTAHRYTQAQQGQTQTQTQTQAQAQAQAPAQA PAQTQGQERQPAQELEPSPPSSHSPSDEVQSPVKPDGSPKKRKRTRKAATGKKFECKH KGCGKSYSRAEHLYRHQLNHSPKQIYRCEFPNCFRTFVRQDLCIRHQERHNTHGSQLQ KRDHVATQGPGAGSPSSARDTSNNPALSQPASEARSPAGSMPPHSRIAEQPVNGAGSN GFISVQGFLASNMAGSAASAGAVGAGAGAGTPTELHAGPLQTPQSIYHKGSADSIRRQ SDGNTGGYLVPQQKAVYGEPNCSNYEPYNQTTNNTHNNTPQPSYSISPLNGTTEIGPG ASVASESVQSRQQSISSPAGNHTVFPFGMPSGLLANGMATNTYMPQSQNMHMPAVLPD SGFTGSVLSRSHSQSSSGANLASTLSQMTSMPTTIHAETSVSNMDSVGPYALPVFGSE ILNRSPFALTDDFTAWLFNESSNTSSAAGFPAVSTIMPNYLDPFSGQIQNPYYPTDST AISGYVTGNPQQQQQQHHPMSVTSILDSAPPYSVMSEEKRSELLDLIQTRFNESGSGS LKSRKETFMEGNMDADNHILSLRMMQTYISSYWYHCHAQLPILHKHTFTPDKTPNLLL FAVMALGAVTLDNNRGQQFTDTASELANFICVHLRWELFMDPDFRPPAKLWVFQTLLL LETYEKMYSTRELHERAHIHHDTTLTLMRRGSSLIGRTAFNSPPSPSDNRQRPGVPSS SGSSEPVHFDESWLRWIKSEGTRRVAFAAFVLDSTHATMFGHSVKMAAHEMRLPLPCD ESLWSAPCPAEAAKVQLRLNSSGFKPTMFLEGLKKTLTGQTVRTNAFGRTIIMAGLLS VSWHMNQRDLQVNSLGVSQVPGFRDKWRSSMLRAFDNWKRDFDESLANDPSPPTGSYS SSGGYQQRQQNLFEEDVFSETRNVLHHLAHMASHVDVVDCQIFAGAARLLGRAITPRD FSCAKEKMVERWANKDSARDATFFALKFLSQVLLRNNHARPGHQVTGSSMNVQEYCAR EDFIMSRPWVLYFAALVVWSYGFALDGPIRPSPPELTTPEQQRHDMYVYLERVGGVHH PNDLEKIRDRNRCMGLLMVLRADFLNTRWELLHEAANLLGSCIEKLKGSSITIAPSS TRV_02240 MPPSSPRLIYTWLGYFSAVGAALLAAAAKPGEHYEKLLHDLPAA WLCWVILCIYYIGKRYLLHGRFFDGDGLRGRDLTSFIRLWAASIAVVAFIFASSFSGE ASWLLVWKHDLSKTPSPHSTIFLRTPLE TRV_02241 MDSNIFTDSFALFSVQAINVKQTNEFPVLFLSAAVALIQGVIAL PKATRHQNWLALLLLIPLAGIVRQEASIDLGYSLWDGTVGRVADIRIHPIQQLASDAL KRHRDMVAGQSKTLEAAIKEYERRYGRKPPPGFDVWYKAATQKGFILVDEFNSLMEGL ELFWGVPPAVLRARVAAATQISGSRLIRYSVRNRNLVAENDGEATWITNQLRLWFTPE VLDTVPDIMFALNLLDEPRVVAPFDELVEAQSASKLNGSAGLSKSFSETVGFEKANSN NMWDSMTLSCDPKSAARKGPSRFRLPHKGLASSFVSNTSVAHDVCSMPDLQIQHGFFI TPETASIAHSIVPIFSQARPSSFQDILLPSVYYGAKLELHEYQESQDMKWDEKENVVY WAGSSTGGHTNIKNWRTFHRQRLVLVTSHNATRVRLMKKVPRPSSTGGNTTSTWRTYT SKMGQIASKFKIRISAVIQCEQDACDAQEAAFRPDEYPKDDFKATYRARYNLDVDGNG FSGRYLRGLLSNSAMMKQTMFKEWIDDWLTPWLHYIPVNMDLVEFPELIRFFTSEPEG QEIGKRIAMASKDWAQKTIRTQDLTLALWRVMLEYSRLMKDDRDSLQCCS TRV_02242 MTSWKDVLPTVGAALGNEAGDCVLEFLKILPEEVIEGRKINLTE EELSSRTRELLEENASQVLGLLTQYSQSSPSAATNPLLLECITSWMREIPAAQIVESP LMDIIMKALAEERSFEAAVDCICMIYRDTLEVDDSMDVIKALYPRIIALRPRIREAAE TEDVDLLRGLTRLFAEAAEAWVLLIARLPEDFRNLVEAVLECCSVDKDRDAISITFVF WYELKQYLTLERYARARATLGDLFSKLVDVMIKHLEYPSSDGDENDLFDGDREQEEKF RSFRHSMGDVLKDCCAVIGVSDCLGKAYSLIQAWVAKYGPQARHDHVPHWQELEAPLF SMRAMGRMVEAEESYVLPEIIPLIVRIPDQEKVRFQAIMALGRYTEWTAQHPETLEAQ LNYVISGFQHESQEVVQAAALAFKFLGTDCQKLLGSHIPQLHTFYESVIDGLKPSSQE EVTEGVAAVLAVQPVEKIYEGLKLFCNPLMSRIMNLANNAKDEDGQKAVADHLQLIAI FIQVVSPYVEPGKENPGVKYCGDILPVLSTIVMNFTKSTPILERVCRCWRYMIISYRN AMAPLLPTLAQNISSGFEASREGCFLWATDAIVREFSTGAELVDNPTSVAVYQFFEQQ VVLFLRILNDLPPEQLPDMIEDFFRLATDAVRFFPKNTVTSNLSVPIFSAALSALTLQ QIEPLTATLQYLRDLVSFGFEKPAVSNFTTPEGEVYTNTPEIRSGVKQIMVSQGSFLV QRVLTGMMFTFPGDCFPDASAVLMSCFELLPAETASWIEATIQMLPARSVKPGESERL MKTLSEYAQLGDMRKIRVVLQGSLPDHRHTSF TRV_02243 MPAGELRTGEIVRDAFRRGKQVFVPYIYKLGGSAETKPSSIMEM LALRSLEDYESLQPDGWGIPTLDASSVAGRENCLGGNGLRGEDGALKGGDGDDCGLDF IVVPGMAFDHGRRRLGHGKGYYDRFINRYRSNVGKGQMPYLAAFCLAEQVLQPPEEVP VGEYDNLVDSLVRDIVAFEKDLACPVPLNLPTKLSPGLFLRLRSQLDLDRVVHHEVHE LVKALVNRQLQLQLQLQSRPPGVEPSAYPYLPFYAHCQLLVQPYRDRRPLLQELEDEM DRSQQRLRAASLSSAGHGLVFVFVLFSSTVSLSSFTVVVKLLSAVKKVSKTSLVSKER ERKQPSGFSLHQTKKKVKKQ TRV_02244 MEGEGWSRQWEIIILSAKKRDEPPDITSSSLFFPRRRRGQPSRQ RTATGNAATAQQEISSALLVSVISGLQTTSTNQQPASQQQEEEKEEEEEDSETDRTEE EMRRWPR TRV_02245 MNLLLLLLPLGLLPAVSAEFDPRLVGTWTTKSRKVVTGPVRTLT PPRTAEGGAGGCNRLADNFLLQGFYDPIKDRLIEPSHTGISYSFTEDGYFEEAQYRAI ANPQNPACPSGMMLFQHGTYEIKPNGTLLLNAYAFEDDGRQLISDPCKGERASYYRYN QNETFKRYEVLLDEFHNIQRLNLYGHDGTPMNRMFLIYKPPQMLPTKHLNPVVKVQRR KRDLGEGEFDRSPIKNSVLSHLPVTANADRWWWVGVLMTSIGGIALIYS TRV_02246 MIRSFRFQSPLLRHSLRYSTSSTPGVAPLFAQIKTDLKAAMRAK DKVRLDVLRGIISEVNNAAKTPKPIETDLSLLDLLRKRASNLEASGKEYAAADRQDLL AKAEAERKVVEEYAAQVETVSEDAIRAAVESAIAELKAASEKLAIGSVMKKVLAAGGS LDGKPASKTAVAKIAGEMVKALEQK TRV_02247 MYRIPDQIFDQYNRAQVSTSMGLFAELNHAWVTIDNALYLWDYT HPNPQLIGFEEQPNSINMVKLARPRKGVFLPAVTHMLVISTTADVFLMGLACENTGGY KSVTIYQTGMSVPIRGLDINVIASSDSTGRIFFAGSSDNDVYELTYQQEERWFQGRCS KVNHTTKSFTAFAPQFNLRNKPTEFVEQMVVDDSRNLLYTLSSNSSIRVFHLRPDGSV NLTITKTAIDIYSNIGHIITSNETLNPRVKIVSISPIPAPEASRYHLMATTATGYRIY LSATGSYSWSATPNANNAPTSMQAQHVKTPPSDSPSDQVPQAAPVPGAPFHTTGPSKV SIHSLNPTSSAERFPPGYFFCFTQKDNSKQADTLFISTPDSGKLAIPRDPSTPIKPEE TGIWLTLGSRAEAIGLYTPYDQGGPTSSGFGNELAVQFDKPAAEIAILTNTGVHIIRR RRLVDMFASLIRNSDGEDGLEDRVQTFIRIYGRSETIATALAVACGQGMEVSPDSRLS KINDPDVLEFARKVFIEKGGKPTINENAVTDGSVPAIDTVLPSPRHAGIALYTSRLLR SIWKTVIAQQGRTPAGGLSISPAVPTSTLLTIQRDLSALKDFFNTNRTFIEGLSGPDA LSKVATKQEEVALQAEHRGLHSLVQLISHTIEGISFILVLFDDRAEDVIALLNEDARN RFLELTFEQLFATSKGHETAKELVKAIVNRNIAKGSNVETVAEALRRRCGTFCSPQDV IVFKGQELLKRATEAGPNSEVGRNLLNESLMLFQQVSESLPMDYLKPSIEQYTQNQFF AGAIQLALSVAADSDKANHALSWIMDGRPAEDPRKEAYDSRQQCYDLIYKVILAVDEL SEQDSCDGPYSLIARRRAEAYDVITTSRDEVFLTSLYDWYLARGLSDRLLEIKSPFVA TYLERKSTEDIFHADLLWKYYAQSDRFYDAAVVQLQLAKSPFKLTLNRRIEYLGQARA NASVQSPDVGRAARQRLQHEVEELLDVSHVQDDLLQRLKDDPRLDNDRRADVLDTMNG GIMEISKMYNEYAAPGNYHDICLQIMYLANHRNASDITTTWQDLIEGVHEETLKNGTP LPYEAVIEKVRSLALRLRMSDVAFPVKTLLPMLERYRLEHQKNDGPPTWVVDLFLELQ VEPEVLYTVLESLFYNDEVPFHGANRKFIGNDLAYLISRWFNETSRLGGAAFGSDVIA ARVSEMLLLLQQSRLDKDVVQACRDMRVKLEQSFR TRV_02248 MLFLKDQFCVDNILQFLYTSSYLTNIFVWVDTMAGTKGHSSGQK PKGSSWRRTADYVYAFFFILHIVIMFMVDLVPLYPEALKPAFLDDIRSFYINTYQDKF FIDPPAWFGNYIVMELVYHVPASIINASKLLNGKYSILIFRQ TRV_02249 MNPKAALRLRLLQHQPNTSRCHGYGSLPPSKRRCFSHFTPAPSP SLVHRIHAHTQYPVEIPDQLGQSAKEKEEDKEFFLDLLSSATTKREAKSYLSRFSPQT PPSNAQQEDRVSTNPQAADTGRKYTEVSITSSTGEKLSPTYDISKPKDTITESLHVAL VKIKNPESLDEQCLHGISKTLSQLSRLSMCCCIVLEVNTPGNDIDYRKLASTQASRIA ARIDKVHSPGARRLDSAVSLDPSTHDVSILSRNFLLSPLSRGQIVVIDPVGYTNDTSK ALPVAADEIILALTKELAGLSSKFGLSEASADTVQNVPQPQRDISIERLIILDPLGGI PSLGRGLHKSHVFINLEQEYEDIQNEITQAIPNPPESAHSGLQAELEGHLANLTLLQK ALALLPTSSSGLITTPQGATTLPLNHNGIYGTPAVGTRQRRNPLIHNLLTDKPVLSAS LPARRRGGEKCDNDPNSQPSHPTFVKHGMPLIMIPDPRVKCWTAAHNDGSRINLDDPA IDLPRLVHLIDDSFNRKLDVKHYLDRVKDRLAGLIIAGEYEGGAILTWELPPGVPDDG SPESMSRMVPYLDKFAVLKRSQGAGGVADIVFNAMVRTCFPEGVCWRSRKNNPVNKWY FERSRGTWKLPDSNWAMFWTTDNVPENEQLFEDYESVCRSIQPSWADNKKEVD TRV_02250 MVLQRFKLMLVYIRHLIPITWTLLSEKAKGLFHKLTYRTTESCK NVVIIGGSFSGLYLAQKLIQSLPTGHRVVLIDKNSHFNYTFNFPRYSVLQGHEHLAFI PYDGIAKDAPVGIYQHVRGLVTSVTRDTVTLETGEIIPYTYLAFATGATQKPSAGLLA TEAQEGCTELRDRQKSIMEAKNIAVIGGGAVGVELATDIKSYYPEKSVTLIHSRERLL PRFGGQLHENVMDALQKLNIEVRLGERPKLRFRNEKGESEQEKDQSLLFSDGKVVAYD LIVPCTGHRPNSDLVANLEPDAISKSTGRILTQPTLQIVSKDGQNPRVFALGDVAETE GTLMARSAYFQARVVGENILSMIRGSDPKAKYVPNLAIEGALKLTVGKSDWLMYVKPA DSDAIIDSGNNGNEDLDIHVAWKHFGGDIKNANSLAEKLSSVQ TRV_02251 MLSLIVACLVLPLICYKLVRSYNQSREDEQFAASKGCQPPRKWS AKWPLGLDMLVKAVRYEKRQQILQLFLEEVAASGSTFEQNLLFARGIDTVEPRNIEAI LSTQFTGSGIFTQDGPQWKHSRELLRPQFMTNRFRNFEQIRHAVNNLISSVPDSGVVD LQPLFFRLTFETTLFLLFGHYLPSLKSEGITGHESQFANAFNLGQDYLAQRGRLGDLY WLLGGREFKDACKVCHDFIDNAVQKALKHSSREKKVSDEEKETYVFIDALVQETREPS VLRDQCLNILLAGRDTTACCLTWTLRLLVQHPDVLSKLRDEVRDTIGMGPDAPDPTIS QVKKLSYLSLVIKEVLRLYPSVPVNSRAAVKTTTLPTGGGPDGSAPLLVRRGEAVGYC VYAMHRRKDIYGPDADCFRPERWENDALKDVGYGYLPFNGGPRICLGQEFALLEVGYT VVRLLQTFETIEEAETKVPGAPLGEEKQTLTLVVSSGEGCWVSMKKGTK TRV_02252 MDPLSIAAGTIGIADVCWRVIKYLKDLPAAVAGVQKEIDSLIAE VESLRTVIRSVEEAFEGSFAKSSSESPLRAANLQSLWKDFKRSLEGCQNLATDLEHLV QEIYGKNGAKVTSKLDGLSKENRRRDKAAGLQRVREKLSTEKDNLQILLTGISLYNHH VSQDTLVQMSKDLRSLDQDFKTQIMSLERRIQLSDGIGTEPDQEDISKLSRIKQFRSS VRSVAAAVSITTPNKFFDVPQPVSSFYTGRTMYLEQLQNILFSPVTLGLAPKQLRFVI YGIGGSGKTQFCSKFAEQNRDCFWGVFWIDASSHERIRQTYAEISKLGEVEPNHNAAM HWLSNREERWLLIMDNADDPHIELYEYFPKGDRGCIIITTRNPAHKVYGNMDPGFFEF QGMEDDDAQALLLRAARLSEPWDADSSSWAIKITRQLGFLALALIHAGAAIRNGLCTL KDYLSFYDKNWERIRRTRRLSIDSEDRRDDYMSAHATYEVSYSGIARKGTESSEDAIQ LLKIFSFLYFKNIRFDILKKAVINCEIERAEQERKDRQEAERPLTWHQKYKSMQLAVL SYLMQDRSPPALPLSIREGRASGFFDEVRIRYALRELIQMSLITHHETSDSYSMHPLV HKWARERPDMSASEQAVWSQAAATTLAHSILLPPLGETEDDEIFRRDILPHIDHVQKC LQGVNDKIIANRRQRWYGLLDWPGAESRFGREKAVLYAKFSIVFAQNGRWSDAESLQL AVKRYAEGVLGSDHAVTRRITLALALTYWNQGRGDEAADLQDAVLQSCMSSLGPNNHE TLMAMDLLGQARWQQGRYSEARMLQQHAVDGLVKIRGPRHEDTLTFMGNLGRTLTKFY ENLDDAKQLLTQALDGMKEILGPTHLKTLAVKEEIAMVNVQMEKQLTQSATIIEEVLE CRKEKLGKEHPYTLLAMVNLARVNIALGFYDEAEALVRKGLEVADRNLGRKHIGTLMG RTVLGVILTCQSRFDEAEETLLGVIENLRNLSSYRGDFHPDRLGAMIELAKCYKLQGR YDESINLCDETIKGLSKISLKEHPLEKKMKRLKVELIEMKKSNKEREATSH TRV_02253 MPICIECCYPVSHLYTSYSKADDRALGKGVRLTQCARCQRFADK YVEHDFVVLFIDLVLIKPQRSIIRLGILILLFDVYLSWARIEKSSAIASSRLGNTPII VQYVFFLTLNALATLAHHVTVRFLATILVLKPATQSQKAHEAPQRANTLPSSPSDAYA TGSAIPAQFSANPNSTGGSSTADLARSSSGSDTVGDGAQSATPLPTLSVETDFPPLLR RSSTAPPQIRPIPPPALASRNAISTALLVSSCTKLFPILLVIWGTDASGEASGALETS FPPTGSGEGEAALNSSIWSSQSLQSVVQTVVITSFVRDKYPPGAIK TRV_02254 MTICRVTLFKIPKEEDRKTVLALYRTMQKDALKDGAPYILSVKA GSTFEDQRRQGFNLAVVSEFASEDDMKFYDNDCKAHAALKTVAKPLLEGIMMTYFEPI ETCS TRV_02255 MLNDLGPELFRNRPQTLRTLYTAVLAVAIYNCLELVLLIFMTFS KYEGLYFWSLLLATVPGVLTDAIFLLMYYYMKLPPAVAFAFASIGWCFMITGQALVLY SRLHMVLHNRLGLRLVLAMIVISAILFHIPDIVTGFGSIVPNPARPQFVRAFHIMEKI QLTGFSVQQFILSGLYLYLATGLLAFVSAKARRKELHRLIAMNVTIIIMDVALVVFVY LNWWVAHESLKPFVYSIKLKLEFAVLRTLVSMSKSASANLPDFVDPDRLEGDVSAAEH AEDETPLQSNNNMYVFNKRSKTYP TRV_02256 MPEQVDNAALLRDADACLLKYGSNFLPEVVTRTENIYFWTASGK KVLDWTSGQMSCLIGHGHPEIVETITKHAIGLDHVYSSMVSPPVIELGMELTKALPEG LDKAIFLSTGSESNECAIKLAKVVTGKFEIVGLAASWHGMTFGSNGAQYWNGRAGYGP TMPGNLMLPAPDEYRSIFRHADGSYDWKTELDYGWSLVDKQSCGSLAAVIMEPVLSSG GMMTLPDGYMKAMKAHCEARGMLLIVDEAQTGMGRCGDLIASNHHGVVPDILTLSKTL GNGLPLAATITSNKIAAEADAAGFLFYTTHVNDPLPAAVGLKVLRIIQRDNLVERAAV LGKLLHAGLERLMARYGCIGTVRGRGLMAGVEIVSDRETKKADTDLGRRLSERAFELG VSAMLSSQGSFYGCLRIAPPIVITQQQLEDGLNILEEAFRTTEGSKPLY TRV_02257 MDKVQAFGKNFTPFAARTQQYVKEQLGQAEERTQLPNDYLELEK RVDALKTVHQKLLQVTSQYSNEAYDYPPNIRESFNDLGRTISEKVQLLSQASSPGEAQ SALTAPPSAKPQPKTFSHAIARAALASSQVIVNANTPGTEDQLAVGLEKFALASEKIG EARLAQDAQIQSRFLAGWNTTLNTNLMFATKARRNVENSRLMLDSTKAAKGATKDMDH LSEDARAEIEQAEDEFVGQTEEAVGVMKNVIDTPEPLRNLADLIAAQLEYHKKAYEIL SGLGPIVDGLQVEQEV TRV_02258 MVEDDREVRRDKRSLFSGIPDYVQPAANDTQSTHLVPVIRPGRS SSISSNSNRGGVGGGGRRPDISPARSSDDRGFRRPTLLRRQSSLDRFDLAASKRSHDY RRYDRDDYGPPVTPRKRSSTRVPDIKPARSITPRGRRSSSLAMLDAPAPPRAKSRRAS PKPGTTRIPKHMVAPIALEDLGYDYEEYEEDILIYKILTDDRIHELVEYSKRARAGAL PRRSKEKETVIVGAKDTPLTVERRQSISRRGGRTPSPPMDVRSIRPRHVRRRSSPIRV LEVADDHPERLLVPYHHHMSERELMTAVDAPEVVVKRDYKGMSYPIYS TRV_02259 MKLPAGAGEKDEEEEDEEEEEEDAAGAEEEVEKVATALFPVAYD GIQKSKHLSSASSNSRKRKKIVMIVDDTSGIDDRASQLQPAPANPLTLADRREKQQQQ QRKKAKKKQTRRRKEDAREEKPPSNRRPSTRSLVSALKRPLRRASGGQISCLVHISYG VSWPPRPKLPPATGSQLILYFCRPFRQKGGSPLPLVLLFELPIIALSVSNNPLLLPYN TRV_02260 VLLPQPRWLTDADIVQQKKGSRFFYKTSDGDNSVETYNDPLANP KGCRRDVPLLKELHANVVRVYAVHPEKNHDECMQLLADAGIYVLADLAEPSQSINRDT PEWDVKLYDRYTSVIDSLAKYTNVIGFFAGNEVTNSLSTTEASAFVKAAVRDMKAYIY EKGYRPMGIGYATDDDADIRKNLAAYFDCGIGDERIDFWGYNIYEWCGDSTFETSGYA ARTREFAKYNVPVFFAEYGCNTVQPRKFSEVQAIYGPQMTGTWSGGIVYMYFQEQNNY GLVDVSGSRIKKLPDFTAFANQMAKVNPKGPKMAAYTPVNTANAPCPTISSDWQASRK LPPTPDKELCDCMTRSITCRAKPTVPDEDLSRLFSTVCGLSPMACEGIASNATGGVYG MYGMCSPIDQLSWAFNTYYEDQVKKGNGRTACDFGGAAATQTVAKKPICTAKPPVSIK VSKSDAPTWRNGSILDISGLGCALVAGLGLGLL TRV_02204 QDQRALMEFVAATSINISSLPPAALRRRRNKKFKDAKAADLISQ ASRIGTWDACFDAAKLPRQKAKPVLIFISVISVIVVTRTANRQPPPSIRALADKDDEQ RVVLARTLTEKEPAPTTTCYFC TRV_02205 MQWDNARNVASDPAAIRIMLSGLLQVSVSGLVLLLLGWISTPFL YNRIGYWMSGVWRMFTGPKWEAVLPTVRNQNEQSQKGGIRVKPVCLVVIFVTLVILQI LRPPTPYGLMSTTIPVAMLRMFSRPHSHSHPDSHAEKCSPHVAAYPLVNLTTPDNWEQ PNGNFKGWAPGPDNDMIKSYRNRRPEWLPNTLPDGFFRWAKPSGEEQNATSSTECNKT VSTTYYYNPVADPMRITNLDLGVYKDLEEVFRNESVLISHVLLITMESARKDMFPMQE GSFVHKAIIDSNEEEEQKEKNKLLSQLTPVAHQITGEGFWNKLKPNQSAFGIPDGIWK DSAALGMGGLNVKGAVTGSTLSAKSFLGSHCGVHPLPVDFLEEVTTNVYQPCIPHILN LFNQAKEGHEERAADNHSAPNNVPHVKKRKWRSRFIQAATDGYDRQSRLNKQLGLSDF IVKETLTDPTSKYYPPKHAELNYFGYPDEEMKPCISDTIDEALEKNERLFLSHFTSTT HHPWKVPYEFNREKYTGSKGNADHENMNKYLNTLRYDDAWLGQILGLLDEKGIANETL VVVLGDHGQAFEEDSPVQGTYQNGHISNFRIPIVFRHPLLPRINIEANATSMSVLPTI LDLLVNSNSLDAHDTSIAQDLIHDYQGQSLIRPFRSEYKGRQAWNIAVINAGGKMISV SSASTPWRVVVPLDGESQYIFSDLRTDPNELDTLTGWKIDHLLPAVKTKHGAAARQWL KEADEVTSWWVKEMHHLWNYSKDSSS TRV_02206 MTVPPTNSTNINGSLARMSTSDTSVPTSVNAAELLAHGEPFIEF PRYSILDIKKEAAFAYEKPDEGGAPSQPNAPGTNTGQLGKDTTDYFSGWLSARLQDGK PFVIQDFDKLPEWNKRLFSLEGLIEHSTKKNIPIRNCSTNRDLSFTLKKFADASRQSY REFKNLYARDLRCPDSWLEQCRRLLPPEVQWSGRLDLFQWLPSCARSEVMMAYVGSEG SSFPPHCSIDQFFGNTSSLELTLIDITGLDRPVLCFGTDFSSQQKYDNFMAARGASPH VDWLNLGPEDLKKANFPVYICEQWPGDLAVFPPATAHQVWNLGKVSTKVVWNILHPLS LDAGLHYVQPPFNRLCHPDVARSNLSLACAMLSLLRDDQHAIIPPDLPLLTRLFNQMA KDETIDGSPATAVTLVPIPETAIATCNFCGTAIWNRHLRCNQCLDFDLCLMCYLSGRS CEHPSSYSWAEIVPQDICSRVVQRAESILGYNISQSSEGSRIPDQRKTLGTAVNELML ARQSMAVKLCHLCRSDHLEWKGRRCDKCSAFFCYRGLYRHFDMASADVMRHPGLWICP KCLESCNCRCCHFVSPYVKAEKPASKRRVRPGDPRGKNMGFTDNVFDQKKPSPISAPP RRATASAITLPTLSTPELADLSLLHGRRDSTIEAVSDGSSLDRFKMRRTSVVSRDTTP KPCSDIPPGKTLLGINYITQTASTSDDQLSLPPLKGGGSYSSGPLSMTSPSTVSRKSM SNDGGMATLATIASTRELEPSSLPSSTAIGHVSRYDRDSRSDSNSDTMALMTQPEAHH QPQPYSQHHYQANNTQPHHFPPSFGFFPNSRQQPTTQHQHHSGAPSNTLLSGPLATST STPRSTSLALPSNPNALATNTIPALETQLTRLRQYSEEVLSLSLYDSHRLLQDEIQRL EGTLLLAKKDRSERVLRNLEAEFPALRNIHEGLKREATRLGYF TRV_02207 MLWPKRSVLRPVSANTCLVHVFPAFRHDTQYLARPHSTDAQGDT AADIAGKFMAKFQSIGPQKRTQVLDANQLQLLSLTLNRNHLYPGYPPLSFPPSQTANQ SSSVPTMGTPVPPGYHLVYFTPQFLEEHLGPDGTDVSYNPDPPFTRRMWAGGEMIWPR DKGDKRPNLLRVGQIVTETTKLLSAEPKVIKKTGDEMIVVGVEKTFENETGVALIDRR NWVFRKALKASSPGNTPSKQISPVANTLNSPESPIPTTSANNIYTLSLKQSPVTLFRF SALTFNPHKIHYSMPWAQDVEGHRNIVVHGPLNLISMLNFWRETRNRGDKDPELIIPE RIKYRATHPLYADEEYRIVLEDTPESAKINIYNFEGKVSMNAEIIG TRV_02208 MAARSQYTTFSARPAIISQKRRFNLPSLSSLAPQFSAPEPRSLS ATRTLPFGPSPLFQTISSIDAYKDFLPFLTESKVTAHDSKTGYPTRAYLTIGYGPLSE TFESKVECDETTWSVGARSGDIALQHKQPGSNTKGECIFEHLDTIWKLEPLKSNSNGG ERTKVDLTVRFQFRSPLHAAMMTAVESQMAGLMIEAFEKRMIEGGRR TRV_02209 MASLFNYASMAHNNHFYFNCLSPETVPIPGKLEEAITESCSSVE SLKEEFIATANAMFGPGFVWLVKMKDTAQLKILATYIAGSPYPQAHFRRQPVDMATQT TGITGGENLEAVGRIASRTYGSMGPFAQQKYLAPGGADIHPILCVNTWEHVWLRDWGI GGKAGYLEAWWDKINWEEVAQNYKQAGPESSFDTSRKQKPFGSRY TRV_02210 MVKEDSTRATSPAAGPDAPSSPGSSLGPVDEMAPASAVHRSAPP EKPEAVRRRSLIVLTFWLIILLLGLPMWWKTTSIYRAKLPLNEMENWAAGKSCRPVFP LHIHVHTPGLNIHEAESLVQAAQHALDDLNDFSAHHLRLRLVKTKENPSSETSTANGA VGERALVLRLIPRTNITAISSDLHPYSERLDIFYPSSQGQPQTTSHSPLTTFIANELR TLFNEEKATLEYILSQSNSATMSSKHVAQGAVNKDQRANVLSPAIQPLLLESIASRVK NSFKYAETYHLSFSLFTPGPAPSAWDIEPALKEYLSPLLNVFAPISNFSVDTQVQVYA KFSPTATPPEYDESKAAWTLKQDDLSGFINAAEWPLNPSIGGGPTINFILYVPSPAQS PLVVKENAATSWLIPQWGGVVILNPPLSNISELQHPPHLSREALKPAMDTFSHQLLTL LGTPTSPPSLPLRLQSLIRIHTASLLLSASSTMGSLARLTLSLPSIPIPVTVANSVSH TLSHLSSTCEMLHQGAFESALAHARVAEKEAERSFFEKSMVGQVYFPDEHKVAVYLPL LGPIGVPLVLGLIKEIKRMLLG TRV_02211 MFDTVCSYPLPADIFTQAIHPTEPIVSVGLSSGHVETFKLPAEH ETEPGEKKNGLGHIDTVWQTRRHKGSCRSLTFGIDGDILYSAGTDGWVKAANSETGRV VTKFAVPMPRDKTFHDTDSPCLLHALSPQTLLLATDSSALHIFDLRSPSTEVSARPEQ THYPHDDYISSLTPLPPSEMSTSGFSKQWITTGGTTIAVTDLRRGVMVRSEDQGEELI SSTYVTGLKAGGTSKGEKLVVGGGSGVITLWEKGVWDDQDERIIVDKSPLGGESLEVL TKAPDEVSNGKVVVAGLSDGRLKFIQLGSNNIISETRHDEVEGVAGLGFDVCGRMVSS GGSIVKVWHEAPETTGKGKDNWAPSKRHLEDSDDDDDDDDSDKESAAESEEEEKEEKR RRKRKRGKGKDRTGGQHVMAFKDLD TRV_02212 MKPFEYNAHPAHVLFGTGTIKQLSAEIERLGVNSPLLLYTLRQE KLVDDVRRQLQGKVAGAFDGAVMHVPTHVTEKALAYAKEHGADGIVSIGGGSVIGLGK AISLRTGLPHLCVPTTYSGSEMTPILGETHDGVKSTRTDPKILPAAVIYDVDLTMSMP ASLSATSGMNAIAHAVEALYAHNGNPIVNLLAREGIRSLSTALPDIVANPQSKSARSD ALYGAWLCGSCLGSVGMALHHKLCHALGGSFNLPHAETHTAVLPHAVAYNSPNTPEAM TTLAELLPGSQGDAIRGLNVLMQKLQVKRGAREFGMKEEDIDKAAEIAMRNVYCNPRE VKKDAIRELLRRVWAGEEARADL TRV_02213 MTEALKVAPVLSTPDSRILEETEPTAVNQPIGQNLSDEEFRITY DIERTIREIKEGKWQRIALQFPDDMLPDAPRVFQLLSRGLSSHDNDSQKKRHNTNGVE GDDKDVEDVTNTMDQELNLDKKSEDCTPKLYILADTSYGTCCVDEVAAEHVDADVVVH YGRSCLSPTARLPVIYIFTCRPLEHDEVIQAFEESYPDHEEKVVIAADVTYTAHVEEI AKILTQQKGYTNIFATQVVHNPSSPIPNRTIPPSVEAGEESLNDWQLFHISDPPTSLL LTLSSRVAAIRIYPADSGASGKSGSGSKALLASTAVSLRRRYAILTSLNTAPIFGILV NTLSVKNYLHIVQHVQRLIAAAGKKSYLFVVGKLNVAKVANFSEIEGWVIIGCWESSL VDSREFWRPVITPYELELALQSDSERIWTGAWSSDYQSLLNFEQSAAPKQKTDSPISS PAKAETEAETDTLNHAGENEDETFSEPESAPPDFDFRTGRYVSTSNSRPMQAYSGSSH SAALPNAAHEQQAAAGASRSLAKRFNSDVATIGGVVSPGAEFLKSNRSWRGLGSDFVV EYEDDNTQDGGLKGSAVVEGLSGIARGYRIGDNQERR TRV_02214 MACIFGPGGSSPNEMSPRMPAATSPDLIFSEGEEGEQRPMARHS QLSTFERVLSTHPPILESFLLQTPTESILQLYHTSSYLRSFLKSYPTAWQYLSFRLLL PSSTQVRPLVGATDSQGNQRQSRPYALDQLLLHVIVPFSPSLRSLDLDNTAVSGQNLI SIVLSARRDTLEHISVRGCKNVSLKYHIIPYLTMFGLQYDTETTNPLGPSSKVKRLAI KSLYTYRCRHHRRRPYLTSSLLRRDSDSEPTHELVNLCHKLGIWTDTAWCTTPAGRCF RRRGYVAMRVPQGSPEVWVVFDRLWRSKNWIGASGDSNEPPKRDGRLWEHDETGHSGE PLGTPGAQKYGEGKTVPTHLRSSHKRFVDDIKCDACLEKIHERCEQCSVLMHCVGCRK TLCYSCAYDTPYPRRKTASVGESAGETFWWAPGATISPCAMQEPYQQAIGPNTVNNQN GMANSPVYPNLKLHWCCTEPMFTGGGGITLGVAGREVDRVRAAPLPRGQGWEDPEYSS NEWSKNFPKYAYGDPKKPDYTLAEGHTEMMRWLLGPSSCQVSSCPRNLCQDCFDSPQW KVHCKSCSKPLCMEHDLRGLRLRICGYRDLLLEKLAIGDGRTPASSAASATSPARPAL STSASYAPLRLAPPEQSHGGASSSSNPLPNETNSSIPATAAMNATDNQNADPASSSTS NNHTRSSTPASVYFEAAPQSRKWLGCQSFFCPQFRAAGDHRQRCTSVLSECTSCSVHV CQDCVDENPPCTCSYCDLNYLCPNCYVTKEQDGTCRRLEEERARRDEKQKRDLEMFEV ALERNLANEVAGYAGQFFSQVYHTGEPVHMSEQPTEAVGEAPPPLTQLDGATNSHPPA QPGASQHTATYDDDDNGPAGSGSEHTEILGDDA TRV_02215 MAAFFASLCSCFPSHSRKEEKESDYSSQPHLDDQSSQPSHPSSY NRYQPFVDENDPYHSSQPLPRYTARPISIREKTLAISGRRSLCERDHPRDEKNQNIYD RRSPSNNADNAAEDDSSDASSQISFPTSIGNTSTATGETPPPPYSSYCSSRAHSQRSM SISIPTNYTGTTETDSSSIIPPSPIATPPPVFCRDHTGTSRRSYDGAGKRDDSTLPEY ERS TRV_02216 MTEQTTSAYADCKDYVAVAQLVEPVPVPAHRSHDPANNQKRTDP FQFGSRFLEEGDDVFEFNAWDHVEADPEYYEYAELQYARQRASPVSDFDRQRFNSQPA KWWNLFYKNNTGNFFKNRKWLKQEFPILGEVTAADAGPKVVLEVGAGAGNTAFPVLSN NENEQLVVHACDYSKTAVEVMRKSENYNEKNMRADVWDVTATGEDSLPPGLGKESVDV VVMVFIFSALAPEEWNNAVSNIYQVLKPGGYVLFRDYGKGDLAQVRFKKGRWMGENFY VRGDGTRVYFFEKEEVSHIWGKWTPQGGIPEFKKDDESTTADEQSSPDSGFEILNMDL DRRLIVNRQRKLKMHRCWLQGRFRKRIPPQASKEVPSTLDQPSEFKN TRV_02217 MDEVTGQQTAGSINQTKKTSWFGNVAKNPFIFGVALFSTLGGFL FGYDQGVVSGVLTMESFGAKFPRVYNDSGFKGWFVSTLLLGRKMCVITAVVVFVVGSA IQAGAVNIPMLFAGRAIAGFSVGQLTMVVPLYISEVSLPDIRGGLVVLQQLSITIGIL VSYWLDYGTNYIGGTRCAPGIEYTGGTVEKPVFDPYKDVGPNGCDGQSDASWRVPLAF QILPALVLGVGTLFFPDSPRWLFMKDREEEGRKSLATLRQKPIDHPSIETEFLEIKAS VILENTFAKEKFANLSGVKLHAAQVGFPQKQIVNSPSHANVLYSTIHFLALGLGSGDL QLDVPLCFSSSSWGAMSSLLATGVYGIINCISTLPALFWIDRLGRRMLLMCGAAGTFI SLVIVGAIIGAYGSNFKAHAAAGWVGVVFIYLYDVNFSYSFAPIGWVLPSEIFHLSIR SKAISITTSTTWMCNFIIGLVTPGMLDKIGWGTYIFFAAFCLIAFVFTYLFVPETRGK TLEEMDSVFGDTEAHEEQKRIMQIEASLRGTAIDDSDAVKAKVLEESV TRV_02218 MHDLAGLQPPHLPRGQFAALFTHLHPGQRPFLNDFPRSPSSEYF LSILEQRSVASLSGSRVPKDDNNLISPKPSPLSPLNKNRDLNTTTSRNTYKQPSQRRT EGLDIYLLGIISKGLSRPWEMACTTPSITIESRTGTPSSTASGYLSPANELTGLLKDR RRRHSFHTSRRLSCDYDEDAIYLKVDLFLAELERRLQWLEDYRQSHILQIDSGLRRAY GALVAVRDSCSHASGELMGGGRRRARIAVETLESRYNEVLATKETLEQKAQASMRLME DFLSQLEARVHSVRDRGLYGAIDEGLRAVDSSITHARVMLDEGIERAVHAKIALRESI DRAIALAKEKRLIHYSDLPQPWRVNPHIRKGYRFTASKIECLTSVFSFSNELVNIWSH LIGLIIVLAVAFYFYPLSPNFHLSTKTDVTIAFIFFIAACKCLVCSTLWHTMNSIANQ PLMERFACVDYTGISLLVAASIVTTEYTAFYCEPYSRWIYILMTSTLGIAGVILPWHP RFNGPHMAWARVAFYVTLALTGFAPIVQLSLTRGLQWSLYFYAPVVKSILVYFCGACI YASQIPERWHPGFFDYVGGSHNIWHVAVLGGILFHYLAMQDLFAGAFLRAKGECPCLT S TRV_02219 MAVEQIVTDPALIPALKTCSDTLSEAHKLIDLLEKGIQTPSSNP NETLQEAYKQQRHVYSLLAQLRGLNREAILNVRSTKQATAEARQEIDRLHLQLQNLYY EQRHLSGEIAACESYDHSYLSLPLIPVEEFISLHPEHANSDEHELTIARINHEHAERE KLEQARQELLKKKQALIAENKKRKEDLASLDQDLERFIDVSLTSKLHTCSHETLLTTT FSGCETYPEDIRKGLRACHPEVKWRRQMSSGLGSLYYDYLAMFIYPAWIKES TRV_02220 MHGDGWTTLKIYPYIAMDHIIPHVCTILLAARLMVYWLGKINFI LPDPYLDEVFHVRQAQAYWDHRWQQWDPKITTPPGLYLVSYAVASLSAALFGKPVELS ASVLRCINGLVLFNVLQFTLRRFFSLRQALMLEKGEVRVSTWSISLSALNICLFPPIF FFSGLYYTDLAALLVVLEACNVDLERSSFADGHGTVKGSLRMTLEYLSLVVLGLAALV FRQTNIFWIAVFLGGLQVVNTLRSRSTECQCSDMQRIARGSWELNQLYDPPAAAAYTE GNACMPMMSLGVRSITPY TRV_02221 MIPPRRSILPLWLALLLKRQRRVNILAPSWLALESLTSLLELET MRTEQFCPPPTLMAPAQDGNTDSRQHNRGNNRSTRPRYNMDGKRYTPSPPFLLQNTVN AEQNEFLNALPYHWLEFATMLLDVASDDIQDSDQVRRCLRDIREVRMSKMRQLMEGID ATAVGGGDGLALTGVGAMEIGEARGFISGAAEALRQIGASKEETLREQAGEDEGEDIQ YGGRNDYEDEMDVEL TRV_02222 MSSFMAESEPSINIPSLLTFAVVSFFVFRWFFSSRSASQDDSNA HGGRNRAQTVDPVQLDHLAQMFPQLTRRELMWDLQRNGGSVAATTERILTGRGLETPP PTFQPQIPATSTPTVESTSTAAEQVAKQVSTNLIARYNLQSKIDNESTEGSGTGTVSS DSTILRQGSAWSRDKEERQRLMQKRRDEMILTARRKMLEKDRAAKQEQQQ TRV_02223 MSTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDKSISPIGFEE YATISVTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLN MKPVEILAMIEEAAGTRMFEDRKEKAAKTMAKKEMKVREIEGLLQEEIEPKLEKLRGE KRAFLDFQQTQSDLERLTRLVVAHDYLKYGERLRLSAEEVDKRKQKVEDLEANATRLK GEIANLEEDVKKVKEARDKELRKGGKFQALEDKVKSYSHEMVRLSTSIDLKKSSMGEE TSKKEAAEKALAEVQANLKGKKQIYDKLQAQYDKAKADFDAQTAEVEQKEELLQTLQT GVASKEGQGNGYQGQLQDARNRASAAATEQEQAKLKISHLEKRIKEEEPRAKKAAEQN KGLLKDLESLKKQAQKLEAELAKQGFEPGKEERMYEEESNLQRAIRDLRSEADGLKRR VANIDFNYSDPYPDFNRSKVKGLVAQLFTLDKNHSEAATALEICAGGRLYNVVVDTAE TGTALLQNGKLRKRVTIIPLNKIAAFQASAEKIGAATNLAPGKVDLALSLIGYDEEVT AAMQYVFGSTLICHDAATAKKVTFDPAVRMKSVTLEGDVYDPSGTLSGGSAPNSSGVL LILQKLNGIMMELKAKERALHILQDTMAREKKKMDLAHSTKQELDLKIHEIKLTEEQI NGNSSSSIIHAVEEMRDNITQLKNDITDAKARHAEASKDIKRIEKDMSEFSNNKDSKL AELESSLESLKKSLSKNSVSVKTLQKELQASRLESEQAGSDLTTAEEQLAEAEQILKA QVEEVEEMVKEQARVKEKHDIAQAQLEDEQAQLTRFDDELRDLDEAKQSKAARITEEA LELQKLGHKLEKVYKDQQSAAQLVTNMENEYEWIAEEKDSFGRPNTPYDFKNQNIAEC KASLRNVTERFQGMKKKINPKVMNMIDSVEKKEASLKNMMKTVIRDKRKIEETIISLD EYKKEALHKTWSKVTADFGQIFSELLPGSFAKLDPPEGKEISDGLEVKVSLGKVWKQS LTELSGGQRSLIALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQ FIVVSLKDGMFQNANRIFRTRFSEGTSMVQALTPADLK TRV_02224 MYREGAPPFTAKADIGGQLFRKFRTFKPDEKPWAKYGRVNDWNV DLVPKLLMSNGELTNILVSTEVTKYLDFRQIAGSYVQQGDGPKATVAKVPSDAGEALR SSLMGMFEKRRAKKFLEWVGEFNEQNPSTHQGLNMATCTMKDVYDKFSLETTTRDFVG HSMALYQSDDYISESGKAAETINRIRLYVNSMARYGKSPYIYPLYGLGELPQGFARLS AIHGGTYMLNANVDEVLYENGKVSGIKATMKERGEPGEGFTFTTKTKKIIADPSYFPQ KTKVVGHLLKAICILNHPIDKTDDSDSLQLIIPQSQVGRKHDIYIAMVSSAHNVCPKG YYIAIVSTIAEGEANHHLELKPGLDHLGKIEEMFMGPPIPLYEPLEDGKSDNIYISKS YDSTSHFETTTDDVRDIYERCEGHKLVVEGLKEGETLVGEDQ TRV_02225 MIKHVTHLLFLRKVEFFLLFSFFFSSSNKHQEEVEEVKNLLRPA SNSFKMRPKVSIAHLARHGGLSLAELPPPFLAPALYSPIISVSRSSKFSTSASFQDRN KNRGVSAIHRSGLKHRLSVSKFKLPVPENPERHEPRVLNPEHGLWEFFLPNKQAFPTP EQEHAHGMGPPRRFQFINPQLVLTNATL TRV_02226 MRADTKAGHSGRHYKAKPVLTLDLASLSEFPSLSSGPQNTTPTP GQAIWGNAGQRSIQQSHGQRQAQGSVPPQAPSRESQLQAQQGQSQGQAQGHDDQFPST TQFVTQLDDFRNGVQAMGQMSGNNQPQAGSVDDFPPLSKQSEQGGAIGNYAWSMGFTG FSAQTRSSLGNQQDSSRIASPAAAGPSGTPGPRLPAGQNQNGIIGQDHEVNASYWLTA SPNHQNQQDNAQAQAQAQAQAQASMMSQRGMDPMQQPQQQQNPAQMQSSRQQQQPPQP SQAQAENEAHDPSRAGQTAEQASLSQMSEHDRFGLAGLLRMIHSDSPDVASLAIGQDL MSLGLDLNQQEPLHQTFASPFISSNVSIPLRPDFTLPACYNVANVQPLQNRIPSFSDE TLFYIFYSMPRDIMQELVAEELMGRKWRYHKIERAWLTRDDTYPNPVEVERGISERGV YLWWDTNTWKKVRREFILRYADLDNRLDPGRNLVRGMPFPQAT TRV_02227 MTPEGHLRSVKAIRDKRRRITKLDFVHSSSSFKFEQHRTQDMEE KSRKLKVEDEEEQLQLQLQTSSRTDIDTLEERPHSTTLQLQTTRRTRRIQKARATFRE VENNYTPGSPNMASSDAAQTDPLLALKRALSSSSSGPVLTNSPELSTEHATDDISKAT HLYLTQPIAQSIPLSTPTRFVSAAADQAIDLRSIYFAWLKKDVAIPEYIASAQEVNDA LGSAGKVLNLVFVEKVDLISWLEGASDESEYIKPLEGKEAGEAAGEAGDAAAPAGPAA VSAKEAADVAASSVPAKAAAGAVGAGPSTSRAGKTVDARLQAIYNGERKLGDRNTVLR GIKPTDFSHIRKTAELFLGRNRSRAAVSSKGGKPTPTPGPGSIPKKPLDPTATPSGTS SASSSSRRPNPIILVSPSASSLLRMSNIKTFLTEGIYIPPDHPSLAKSTNSNLLHLSR ALQTIPDTSRSATAPAGAGVTAGAANTLRKPTLFILVDSTADFKPDYWNRVVAVFTTG QTWQFKSYKWSTPQELFKHATGIHVGWRGEEPPREVKGWGRGVRSFAIDRWDEKSANV PGGGARWRDRGVVEGIWSAIEEGMRARGWQAGNYFCIFYNFVYLFLFGGFGFVHACIC TVTFPIISVIIRSPFWRTYIAAVSMYVRYVPIAGSDYYIPHPTPGVMSTWQAAKGGPS QPTRRSKKGGVASAEFASHEAPEEAKRAEHGFFLYFSFFFSWAAIDRRRSQAEAGKVN LGVLRIRKEEEEEREREAYVRVLRLQPSPASPVNPQSPRRASKVVWTWVEEYSPPFAA LEMEEKNTSRQKDSSRKEKEKREEERKRGGTGGMQTNEKKKEKEEGDITTKSLKGQKS MKKIRRRI TRV_02228 MSRPALDRSNSLHQHLKHRPYIPLEDHIASSSSLNSSSSSNHHH HHNANSCSTNSSNSQVAGSKASSPSAASTSTSNSNPSASTSSPSPSSSSSSSTGKAFF AHTSRSSRRSLAAIAIQKTSSAFSLGRPAAADNHNHNHQHHQHQHQHHHHHQHQQQLQ LQLQQTREEEEDPFRDPDSWESDDRPPAAAMAGSQSASKMHQTSSRLLRMTTDERPFT RDFKDLFSTLMVSLKLETHRVRFSKFEHTFTAEEAINNLGSLKFSQSNRMPDPKDPSR IVTTTTTTTFSMAKEMARSVCQRFVDARFIESVDGRFTSQFPLKGALYQLTPKGINIL HRFCQRNGITARHIMDIIDSPRNTMQLVILERDSVTDAISNDKGTIEVIFRRFAGQDG PNIKSSVSSSDSDSLSDYTNGVVGVKMARERKIGDKLYQNTFTGKAAMEWLMDCCTTI DRRETMEIASLFVRQGMIACFLEDKSYMAHDPSATLFQPTKNAIYGVTDHGQRICGWI ARERPPNSSTPSTSSAPTTAVAPRDSNNARLHHIIQDPALRLLFREFLRYSLCEENMS FYLDVSDFTANYRRAEKAGAFSKMDTVRETLASAYVIGLYNAFLAPGSPCELNIDHAL RNSLASRMTRAVGDDASMVKSLTDVVQLFEAAQLSVFKLMSSDSVPKFARDPKYAAVL QEHDFDLNPTTSGRSYSPTPLPERSMSRSTRS TRV_02229 MAGQGMKGYYQREKKRRRRRRRSERRERKRKRKRRLMMFKDEAE EAAEAAAAEEEEEEEEKGETTWWKLSSFSFLRPSLERYTLTRRLLSSTNSPPAGLPLA CCWPLLLLLLLLFFSQAS TRV_02230 MDMDMGIGIGIGIGMGMGMGMDRQHGGMAGERHGMAMDKGRAWA SAWGMEMGQGPPGRFGGRGQRAGRVSASFRVVVVVIVAEKRSRGGRGGRLDGVLERLK YQSQSEKEEEVEVEE TRV_02231 RRRRRRRRRRKDEEASQEKKKSKQKKLEVEEEEEEVE TRV_02164 VAFLAAFSCLSLPLSASLCLSLFASFSLPHQPQEKKKKKQVELC GSHGVTSHAWLTLLLRPLLTIFPRQPPLYYPLSSLFSSHPMRRFVSRSLPSCRRLVSS FSPLSFVALNTESSAIM TRV_02166 MKRKADSEAQSPAENGDQLAKKRAVSAQSVVPSFADGLLDKDTL EKYKAAYAKSGPYKHGVISPLFNQELLRAVRSEIQEHISFTEKETDIYKIYQSGDLAN LDGLDDESLSRLPSLLKLRNALYSEPFREYLSEVTGAGKLSGSKTDMAVNVYTGGCHL LCHDDVIGSRRVSYILYLTDPDVPWKPEWGGALRLFPTTTETNADGEEVKIPKPDHTV SIPPAFNQLSFFAVQPGESFHDVEEVYHMETKGSEKEIKSRVRMAISGWFHIPQEGED GYEEGLAEKLAERSSLQQLQGEGDEFDRPQPQFVPYKTVEEQDTSSKGKEPAKSAGED DNDDEGFNDKDLDFLLKYIAPSYLTPDVASQLNEIFDNDRIVRLEKFLSDKFTPRLRS YIESQEKDETFPTSAEEIEQKTDWKVSRPPHKHRYLYQQPCLDKTSDGQKEKTPIQEL LEDLLPSRAFKKWLSAVTGLDGLLGYNLLARRFRRGEDYTLASGYEGESPRLEFTIGI TPTPGWEKEEEDEEEEEAGKADKTKSETNSKAKPENGTAAAAAAAAAAAEQEEELAVG GYEIYMAGDDDDEEEEEAGAATEQTLGSTGSKSKVNKSKADPAIYKSSTNDEDDGILL SMAAGWNRMSIVLRDQGPLKFVKYVSRAAKGDRWDITGEIDVEYDDEEEDEEGDE TRV_02167 MIIFSLSSSFTRKRLFQLSVSNIKCQVATVFTVTQAGKSCNYQD DDFFRFTRGRFVSDEAEQLRRRYVKFNMNELAAIAAKVTGATKCIDVQKCPDGLYNKA FLLTMDNGKEVIGKIPNPNAGPAHLTIASEVATMEFVYAWNSKVNGDNRVGAEYIIME RVPGVPLGLAWDSLEPPDKLKVFLQIFEYQKRWTAEKFSQFGSLYYTKDLPTNALTEN NLYINRNGQPIKDNRFAIGPSISREWLQDGREDLQCHRGPYYRLAIGHREEMAIRSLK YIPSQCAILHGPGAYQPTAGKKLAAVKLYSQMLGHILPSEAGLTSGHLWHNDLHSENI FVNPAKPTEITGIIDWQSIQIAPLVDHCLDPSFLGYEGPDVGEDPQPPALREDIDSLE PDERRAAIKRFYDMSVMVAWRMLVKQKNPDQHAAIRFRKSKAGHMLGLSQNLFVFGEA HFRALVLDLRDEWVKLGKEFPLEFSTAEISEIEADVKAADMGIEAMNMIIEKMGDLWP EKGVIDHENYHMVMAMLHDIKTDLIDRVVHSPEDRKAFDMFWPFDC TRV_02168 MTASDVKPPQDKERQGTPPPPPYSPVTPGRPQSSSTTPAAPEST IAASPLPPAAYPALSSVFESIPAVVDRARSAPNKNGAVPALPPPQFIREPAPVPISES ENPDAIALRSAITILQIQKQQALRDIQTLDKLKQAAAEDPHAFASEFLAGSLQSDEGD LFHLSPRKENNQEDTGTESGPEAMDVDQVKPTNDNNSPGRNQSRKLSKIPKPQNIVRM PAINWAKYHIVGEPLDKMHEEQRLRPTPGEPRHDTPSAASTSRSPEHTLAAPYRPFVD KIEPHVKSRNVGR TRV_02169 MWSLLTAAVLFARLSIAVPTAAAPAIEKRAAPTVQLDYATVVGS SALGIDSFKGIPYAQPPVGKLRLKPPQPITGDLGTVQATGLPRACPQIYLKSDDIPDD ILGRFINTPVFQKITHAGEDCLTINVQKPSSATPESKLPVLFWIFGGGFEFGSTQLYD GTSLILRSMSQRRDIIFVAVNYRVGGFGFLPGADIKNDGSANLGLLDQRLGLQWVADN ISKFGGDPEKVTIWGESAGAISVFDQMALYDGDNTYKGKPLFRGAIMNSGSVIPADPV DCPKGEVVYEKVVEAAGCSKATDKLDCLRSVDYTTFLNAANSVPGILSYDSVALSYLP RPDGKALTASPDKLGRSGLMAKVPFIIGDQEDEGTLFSLVQNNITTTEHLVDYFSTYF FHGATKEQLRDLVDTYPNDPSAGSPFRTGNLNQLYPQYKRLAAMLGDLVFTLSRRVFL DIANDKFPEIPTWSYLGTYGHIIPILGTFHGSDILTSFGYTPGIPSTSIQNYYLSFVN NLDPNKGTPLGFPKWPRWGEGKMLLNFEAVKNSYLKDDFRADSAKYLEEHSDILHI TRV_02170 MLLTPVVENVSLLLAGQLFLLAVGVLLYHGLNGDRHLWLAELHE KYGTHVRVAPNFISVNTVQGLHDIYGHGKKVKKSNFYNAFPAIKGVYNTHNVIDKTIH GRKRRVLSQAFSENALKGMEDVMLTNVRQFCDIMGGDAPGLDSDRFLNEKGVATRNMA DWFAYLTYDVMGELCFGKSFGMLIERGKRDVIALVDRAAFRHYVCGLWMPLDSWHLDQ IFIHKLTNDRWNFIKNSRVEATQRAKERTMAGHEAKKDFFYYLLNAKDPETGKGLATP ELWSESNVLMIAGTDTTSTGLTATIFYLVRNTNALEKLKKEIRSNFTDVEDIVTGSKL NDMVYLKACIDEAMRLAPAVPGAIPREVLPGGIEVDGVYLPAGTDCGTPTYAIHRHPD YYREPTKYIPERWIEGAMCQADSGMWVSTKDSVDIARRAFCPFSIGPRGCIGKSMALM EMRLTIARMMFLFDIEIADRTGEDENGHLAMVDHFTSQKNGPNITIRKRQL TRV_02171 MSLSKNPISAELIEILKSQVKSSEILTPSSAGYSKAIARWSDAA VKPAGAVLLATNAEDVSAAVKLAQQHKLDLAVKGGGHSVSGTSSSDGGLVIGLSCMRH VEVDAERRTITAQGGCLWVDVDEAGGQHGLATVGGTVNHTGIGGLTLGGGYGWLSSKY GLVIDNVLSVTMVLADGRIVKTSATEEPDLFWAVRGAGHNFGVAVEFVYQAYEQADLV FSGFLIFPQEKLEAIVDTLNRGMQHPQPDSSTMCIFGTHPGTAEPMVATCIFHRGTEE EGREAFKDLYAIGPVMDGAKMIPYSTVNAQVNEMAKHGARRNIQGLYFSPPLRPAFAR KVMDKYAEKMAADPDMMGTAVIFEYFDMRKCTEVPIESTSCANRGATLNGLLTSRWNN KNNDSANRQWGRDMQQMFVKEMEQSTSAVSTNEVPQYLNYSESSDTPFQKMHGIHAGR LQKLKGEYDPTGMFGKMCPIMPAN TRV_02172 MHAIILKAAVALLGVSTAAGFSYQNSRLCLKLLAQGVEVDLPNS TDYETEQQNYWSTACTALRPDCIIAPKNARDMSRAVAAIQESKTTRFAIKSGGHSPNQ LFSSIHDGVLISTRNLKQITYNEHTQTAILGPGLKLEEAVGGLKDKGQTVVGGRLGGI GVGGLILGGGLSFLSGQYGWAANNVVNFEVVLANGTIVNANATSNPDLYAVMKGGSGN FGIVTAFTVKTHTQDPEIWGGSMFFNGNHTESLTRAIRDFAEYNTDDKASIIGTVNRN PSLVWVVFLTYDGPSPPEGVFRNFTQIPNIRNTVKRQSYHSLMLANDEYIRHGNRFSI GAETSVNPSGTHGYDIFKSFIDHWNNVTDDFIDIPGSASSLALQPLPRSISTKAKESG GDVAGFDPRYDYMLLQIAVSWNSSTSDSVIEAATRKYYTVQGEMIKQFTNEGKLPKAY CPLYLNDLNANQDFWGRVAPSTREKALAVRRAVDPTLFFQNRVTGGFRLG TRV_02173 MPPTLTSPFFRPNGQPAKRPSPLSTTQKKPTPTRNGSILNFFKK SDTAPKPTQPRITEFGVRSKVNVRGRPRPDISNDTSTGLFFEEETPNNSNSEPQTDGI SCSDERYELADMLWGSLPNSSGNNSRGDDRYNESPGSVKRRRTSDGTYKRGINGPESA ASSPIGNDGDGSASAREAPRLESEDSSSTPTIARHGPFVDDSDSEDDRSPLCVDVRDT NAGKNPEIGDRTTQPFPDMELPDDKRLEDSSCQSINRPESEIGPGCDTPNELESTLVP DEDLGHLVETFSDDEVTVCPICQTSLSRLSDSEASLHVNGCLDGVDGDSATVISTVKD TQLTQQPPRILPRMKRKEIPRPGQKDPFSFSSASLSTSAFTKIMSANEEDTAWSVAAS REEASRGKQAYERTCPFYKILPGFSITVDAFRYGAIEGCNAYFLSHYHSDHYGGLTSS WSHGPIYCSTVTANLVKQQIKVKPDMVVELEFEQKTEIPDTDGVSVTMIAANHCPGSS LFLFEKAIGKGKNARIHRILHCGDFRASSAHINHPLLRPNIQDRHTGKLSEQKIDVCY LDTTYLNPKYAFPFQQDVISACAQLCAGVNEGYFDTLGARKGQAKKSGSMTPLLQSNQ GSDRNSVVDQHNRGKLLVVIGTYSIGKERMCLGVARALNSKIYVSPNKKRICECLEDA ELASILTSDPLDAQVHMHSLMDMRSETLSEYLKSLGSRFTRIIGIRPTGWSYRPRGGT RTDCPPVSAVLYSDAWKPRFSINDLVPQRGSTNEAACFSVPYSEHSSFRELTMFCCAL RISRIIPTVNVGSPKSREKMKYWIDKWEAEKRKNGLFGIEAESDNKW TRV_02174 MSTSNISASFHFSRQDLKSQPAVPQRRISFDDLYDATDESTDDS DVDGCPSLSSHGDTRSSTASYTNSSNRMSTGTNQGEGRRNRYPVIMIPPPTFNTIHQQ HKNSPVPPTPPPKIPLSPMVLSRISGVVPALYAPPSLAGSAASERPSTLSAPQTPDLA AVPDVDWDEEQLRVQSDTEGTGNPRSASSDMSPQIDIQLEQPEDWSLVLDRFPQIPTH YPVVKAPESEGEAGYESEASLEGVQLSSAAMETLQHIRVPGEDSNASSASSVNDPSEM NQFTGFPDARPKSMNDAACASVSSASSFTSLSIPSPGGFFSSLDRHARKTWSLVPTSN VPTSAVAERFYDLPWNAHPQGRVIEQVVEFRNSYADDDDDATEGPPTAKRIIGSAPQT ARKIPEEVSELVLKLDIDEKKQDDASEYDESYEEGLQTRAMQSLDRTSTWLAAQTQYM AALSDTNPINSPKETPVTPINENTTTVPDITISTTKTVRFLDTIPEESSESQSGPSTP LPPLPVAMNSIFYRGFQHFMRTRGKSCNLDTFLHSHYRYEAMQVSRLAMREKHVKKLA GKYELENPVRPPYKGPFSQAPRNSVLPQIIAEKKMYNDVEKEQDALLQVKDSLWVIDA LRYINGGRLIPSPAAKRLAKTNLPLGGPQSSVKRRTRVLDLGGQASCEWSWFVAREFP SVRVYTVVTKKQSRDMAIQGPPNHQCVLAPSLWKLPFPDNHFDLISARTLHMLLQSTR NILVNGEKVDEFELCLQECFRCLKPRGYLEFFLMDSDIVRAGPYSSATSVEFAFNLKT RGYDPNPTKTFLWKLKKSNFGAIKRAWLFMPMGTALSDASQVPGSRNMERGSDIGSTA DVANITGLLVGWMWEQWVLKLQMEMGRDEKRLRR TRV_02175 MALSLSSDELFNTVLSSSRDAFWQEHSHLPEQHRQQLWSQRLEP FMTTPNQQPNYTHVKGPSVDETPGLSGKRSCESMNPNSTSGRHTSKRRATSQEATLES SSCNRPQPQHSVSSPSRIPRYSPPLSRPGNSTPETDMLPPPTTVHESDESSTGLPFLL PTPTASNSPAFGLDLLELNPDLHSYTPDLFDQKFLDGTPGALDTSTAGTPNPSLDQSP SAQTSKQQEVASSGPDCVVPNPSGFSAEMNRSFTTDSLCGGMDMIRFGSNRSVSNNLE FPDQSSANFFAPSLQQENDTNYPLSFIPTTTTTTQAPSDSNMTHVQISQSLPEYGSGY LPSPLSTPRTHSSSSLHSSSSASSTEMKPSLSSESQESSRSNNSRALRRTHEQIMQGT RKIAPKAAEKQGIQPAETEHRKINIPAADGRSREVAVIPKASVQRPARQKTYCTMCNE QPEGFHGEHELRRHIDRSHSQIRKVWICVDISPDKKFLANCKACRNQKRYGANYNAAA HLRRTHFNPCQRGRGGRGKDSEKRGGKGGGTHPPMDVLKHWMVQQDEIVLDNTTVIAK PTNNDVANVAAKPQIPRRTSNSSSSDSECGSTAAAVNENSFTTVAEEQHLAAPAIGAK FDPCLTTIDQPGFDLSPQMPFSLDDFTAASTPFDWSDGSHPATLGGGYIPMGQGIMNT YDPSFYP TRV_02176 MAGNPPTSYTTDPTLFLYTSLTAGSSHIITATSRLETILKANKI PFRAIDVATDEKARMIWGRRSKGRKLPGLVRYASIVGDLDQIEEWNEYGELKAQIAAT LSPYDASKSEPQKVAVAPTATGAAAPPKTTTSSAPHIRIGDTPSRTPSGEQHGENMPA ALRQASEEAAAKAKENMRARLGLKPQSPLHKSEEQKAETKEKGPEDQKPEETKPEDKS AEVGLKEKDPEEKKATDQEEPSEQKELEEKTEALELGKEDKSEGQEPEGKKVDEQGGN KETQKLAGASQAAKDDKPEPVTASVESDDSKLGKEKDGEDVSSTTGPSATSKEAKGAS SAPEKEAV TRV_02177 MSMSTSHATQPKGALKVGASLTGQTGELYYIDQDGKKHAIKNIY STEFEYQWGLQTPLASCPNLRVVKDTVPEHLLFIYDFLTEDLLGLARKDGLSYIARKR ILRDSLTGLAALHERGILHGDIKPNNIFVDCDLVDGTIKIERTQIGDLEMGSMIPPGL NVRGARLGNPMWRSPESHAAARINTPSDIFSFGLVCIYTMLHKNIFHINERDIDVYEK DRIIVKRLLSHFGDGPGLVGFLQHLEDDSAEWRDIVVAVADEFTPEDPRKPLSMWEDV DEPFRDVLAKMTSLDPARRITANDALEHPWFNNI TRV_02178 MIWLTNTHYHPHYPTISHLGSKHPTKPTSTSRLSSSFNPTQSLA SSYRSFTMGPPAIIAPSILSADFGSLGAACSGLMDNDSDWIHVDIMDGHFVPNITFGP PVVSKIRTHVQRPTCAGGKGTFDCHMMIAEVPTPFRFLRIPMPQPQKWAKEFKDAGCD LYCFHYEAAVESTAAKEPSDNTTTEKTSPKELIRYIHELGMQAGIAIKPETSVNVLWD ILENKEAIERPDMVLVMTVNPGFGGQKFMASELPKVQALRAKYPDMNIEVDGGLGVGT IDQAADAGANVIVAGSAVFGAQNPAEVIAKLREAVEKRRRS TRV_02179 MTSMAGTFTLALDPTTHFGFSEDGSHTDYELAISPRSDDIRAML GSNDFPYDLDAAGVMNQKQNMTKAQPLTGFEQCASAFPANPQRDVEIYNSPYHMETTI PWETDCMMYTSLPQRMVRYTSPLGSCGGDQSTSDSSMSEYSWNSPKLYPSVNDVFDSP ITEKCQFSDGIDNALSRSLSGSQLGSECSVSSKDVQHYPDPVPTQSPTFEELMLSNKP PRSIPAFMSQSFSLQNLDSRLGQDDMVFGDHLQTGNNEIVDPALGEAYFDRQNSLSGI TSAGFSGLVHKRVKYNEIKADSSVSIPSPPLSPSGSESGVRKNRAKPKQRQSPVSKRT TRRTPSKSSSFSIPRNRQSAADRIFSCVFAPYGCTSSFASKNEWKRHVLSQHLQLGFY RCDIGHCKVSKPSNSMVSTPYSCDCPASISSSPSHSCTITTMRTPNDFNRKDLFTQHL RRMHAPWLTLPSPHEPTKGEREAFDKQLDEVRARCWVQQRQAPQRSQCNYCSHEFVGP HSWEDRMEHVGKHCEVMDTEEREDVALREWAIEEGVILPYGPGKWLLASILNGSGKKF CGYSS TRV_02180 MAPAEETNVTKPTGELRPDEKLNYEEDVECSGSSSTTVGKTAYD TDDISQSQAAELQDLARQLSRASRQGGLDVENEPQQVINPFLDSESDPELNPDSKSFN VAKWLKTILQITSRDPERFPKRTAGVSFRNMNVHGYGTAADYQSDVGNLPLKAWSGIM SMLGLRKKVRIDILRDFEGLVKSGEMLVVLGRPGSGCSTLLRTLSGETHGLYLDEGND IQYQGISWEQMHKNFRGEVIYQAETETHFPQMTVGDTLYFAARARAPANRLPGVSREQ YAIHMRSMVMSMLSLSHTINTQVGNEYIRGVSGGERKRISIAETTLSGSPLQCWDNST RGLDSANALEFVKSLRLSTKYSGTTAIVAIYQAGQAIYDIFDKAVVLYEGHQIYFGNA VRAKEYFIEMGFDCPSRQTTADFLTSVTSPSERRVRPGYESRVPQTPAEFAQRWKESE DRRILMQEIDEYNKTYPLHGEQLQKFQASRSAEKSRSTSKSSPYTLSYPMEIKLCMWR GFQRLKGDMSMTLTSIIGNIAMSLIIASVFYNHQETTDSFFSRGSLLFFAILMNAFAS SLEILTLWHQRPIVEKHDKYALYHPSSEAISSILVDMPAKLAVAVVFNLIIYFMTNLR RTPGHFFIFFLFSFTTTLTMSNVFRSIAAVSRTLSQALVPTSIFMLALVIYTGFTIPV RDMRPWFKWISYINPIQYAFESLMINEFHDREFKCAAYIPSGPGYSNASGTSKICAAK GAMAGNPTVSGDVFLRETYSYYASHMWRNYGIIIAFFVFFLFVYITATELVSAKPSKG EILVFPKGKVPAFLKQSKKKQDPEAASPQEKQPVENSGHDQTATIVKQTSVFHWESVC YDIKIKKETRRILDNVDGWVKPGTLTALMGVSGAGKTTLLDVLANRVTMGVVTGEMLV DGRLRDDSFQRKTGYVQQQDLHLEISTVREALTFSALLRQPNTTPYEEKVAYVEEVIK MLGMEEYANAVVGVLGEGLNVEQRKRLTIGVEIAAKPDLLLFFDEPTSGLDSQTAWSI CTLMRKLADHGQAVLCTIHQPSAMLMQEFDRLLFLASGGRTVYFGELGKHMSTLIEYF ESKGAPKCPPDANPAEWMLEVIGAAPSSKTDIDWPAVWRDSAERVEVRRHLAELKSEL SQKPQTPRLAGYGEFAMPLWKQYLIVQHRMFQQYWRSPDYIYSKACLAIVPTLFIGFT FYKEQVSLQGIQNQMFAIFMFMILFPNLVQQMMPYFVIQRSLYEVRERPSKTYSWIAF MISSVIVEIPWNALLTVPAFFCWYYPIGFYKNAIPTDSVTERSGTMFLLILIFLMFSS TFSSMVIAGIEQAETGGNIALLCFSLTLVFCGVLVSPTAMPGFWIFMYRLSPFTYFVS AVLSTGVGRTDIVCAANEILRLTPAAGQTCMEYLGPYTKFAGGRILTPDATDMCEFCA VADTDTFLKGVNIIFDERWRNIGILFGYIAFNMVGAIGLYWLLRVPKRKSGVKQGQQP QRQESETKA TRV_02181 MSTPIYEGAQPKYNTGTASDEQPREAEAGQQYNLPVEEDMVDDK GNRVQMLVEPPENDEHGLLNTTPSQDREKANRLNDDITMLQAERMVSNAEASDIERSL SIRPARSHRTEPVDEFDTATNPIHEHASLYKPPENPTTSIARFFKKVHESSFLVRYFT YIIPLVLIILIPLLLGAFVFKDATVGGVSLLWFSVWLEIVWLTLWAGRIVAKFLQYPV AIIASLFTNNSKKWRDLSAQLELPASLFFWWLSIEISFLPTMITHHVDGDRTVKRWEV IVNKIIISIFVGMTLNLIEKLIIQLIAISFHLRTYADRIEINKFQIGSLAKLYAYSRE HTTMNDSDLEEKSEKRSSGTRTPMMYADRAQRAARGALSKVGDVAGAVAGDFTGRRVN SSRDPHQVVLTLLRSTSGSQVLARRLYRTFVKDGFDTVFAGDLKSAFDNNDEADAAFQ MFDKDMNGDISMEELEAVCTETGKERKSITASLKDLDSVVSKLDDVFFFIVVVITILV FLSLISASTAGVLTSAGSTLLALSWLFSATAQEFLQSIIFVFVKHPFDVGDRVSIYGN TGANLTGDDYFVKEIALLYTEFKKMQGHVVQAPNSYLNTLFILNQRRSGGLAEAVPVI IKYGTTLEQIDALRQRLLDFVTSEKREFQTQVLTELKEVTENYSITLNVVFFYKSNWQ NELLRLQRRNKFICNLMICLQELNIEGPRMNLVGYRSDLPAHIAHQGAPPQYTTTPVY GANTPGGMTDVSQTSEARDALGDLASSSAVQPPHHTQSILRHRDRSGTTASNRSQTST HKRVDFSLGMKALSSDDMISDVYWDRGHRVEDIVRSTNLEAAERRQQEKDSQERANAP LERTPTNLRSSNDSHRPNFSQSRGSVSSSVYRSRFFGRMGSGRGTPSRSRTRDGGGRY EDLEQGRYHASVADEESGPASAPTQASAPPAPGPDQPRTLDPRSGSVDTQGMYDDGQT QGTMWSAGVERHPTHGSERPLDESYELPNYQRQ TRV_02182 MVLSDTSTSDGARTSSIENKTSNHGEKMSDYLKRETSSYPERNT KRKKKNKENEKLLTNLPTRQKMMEFTQCEGNIEEG TRV_02183 MEEDPRPPSKQNKAVVEAAHAAARTRRSVERAKVAGEEESARLS LPLLLPLQHLRLHNKTSVNTRDSLSLLSPFLYSPLSRHHHPTVTMRGEVCHLHIGQAG TQLGNSAWEFEKKGRWRAGSACMEWMRLTRDAFRYLLEHGLKADGRLDPEATDIGEPG SFETFFTETRDNKYVPRSIFVDLDPSPIDEIRTGPYRQLFHPEMLISGKEDAANNYAR GHYTIGKELVDNVIDRIRRVADNCSALQGFLIFHSFGGGTGSGFGALLLERLSTDYGK KSKLEFAVYPAPRVSTAVVEPYNAVLSTHSTIENSDCTFLVDNEAVYDICHRNLDIPR PGYEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPYPRIHYPLISYAPVVSSN RSSHESFKVHDLTFQCFEPNNQMVVCDPRNGKYMAVALLYRGDVVPRDCTAAVAALKS KASFNLVEWCPTGFKLGINYQKPMRVPGGELAPVDRSLSMLSNTTAIAEAWNRLDHKF DLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVASDSLDTEGDEGAEY TRV_02184 MFVAGSRALRLVCRPRRAAFCQPDSVSASFSRRAPLDLSKYSTV SEAAEAQKPASNVASHNSTKPTSVGDASTIASENAGATAELAPKKGVQTSTKGNYIRF VQSVRGRTPKTMEKGSSPGSTVSWRQMDSHIPIKVNGEIIYFEPLHLRDSCPCDRCIH PSTKQRTFETAQIPLDITAKSVVEGEDSFTIEWAKDIKGFEGHTSTFPASYLEKLTTV QLGSKPADPAYYWNKSTFEKTNHWISYGDYMNNPEAFRSAMMQLNRYGLLFLRGVPED TESVSRVATQIGPIKNTFYGPTWDVRNIPNPKNVAYTNVDLGFHMDLLYLIQPPGLQF LHCMKNELPGGESLFADSFYAADVLRKTSKPDFDFLADSWMTFGYDNDNQMYTATRKM INVAGKSKDRIIDINYSPPFQAPFWDISNGLPKSTYTRRAESMKRFKSLLEDKKNIFE LKMKPGECVIFHNRRVVHARRAFGNANNESGGDRWLRGCYIDSDVAVSKFKTLNIY TRV_02185 MSELHEITSEAGFQTHISSLPPSALLILFFHTPWAAPCTQMRTV LQTLASLYKPTTPPSISFISVDAEELAEISEQYEVTAVPFVVLSRNNKIVENISGSNP IKVREAIEKHYQDPSSAGTADKQSIPPPLDAVPRNIDETQQNGQIPQAPPLAGQGTNG ASGRAAAPESSSKEELFARIGELVKAAPVMLFMKGTPSVPQCGFSRQLVSILRENSVK YGFFNILADDDVRQGLKEFADWPTFPQLWVKGELVGGLDIVKEEIEANPDFLRDYSVP KTSSAVPT TRV_02186 MPLNIPFLRGKASPPENTVGEPIDHAITTSSSEKENAKSTGIEI SDVEANRRLDLYHRTHKWDPNLGDDTLDEVATATALNDSNAQNPLFVSNLTFWKVRAA VRNYDEELPASTFRAWAIGMLLTTIGSGLNSLFSLRAPAIIITSVVALLVSYPIGVAW AKIVPSRTFNTFGLKWSTNPGPFNVKEHVLIVVMANASFGNGVAYFTDTIQALKAFYH TDYGWGFYVCLALSTQIVGFGIAGIVRKVLVEPASMIWPQDLVSATFIYTLHDKSATN PAETNGWKIGRYRYFLYVFLGSFFWYWFPGVIAPCLSVFAIVTFIKPKNVILNQLFGG WTGLSLIPITFDWTQVTGNTLIGTIGLFIFVTCGLHYSNHWYAQYLPISDSTTYDNMA KPYNVSRILSPDFTLDEEKYKAYSPLFLSTTFALTYGLSFASIAAVVTHTALFHGQHI WTVIRDSRGELDDVHTRMMRKYKNAPWWWYITLLAVCVALCLVTALAWPTHLTWWALL LALFISLVMTIPIGVVQATTNIQLGLNVFTEYIIGYMLPGRPLAMMLFKTYGYITMVQ ALSFVQDLKLGHYMKVPPRSLFWGQLVATIWSCIVQLCVQIWALDNIADICQPHQSNR FTCPQGRVFFGASVIWGVIGPARMFSGNALYSSLQYFWIVGALSPLLFYALARIFPRS NARFLSAPVIFGSVLYIPPATPLNYFAWCMVGFVFQKYIRNRFRGWWMRFNYITSASL DAGLAISTIVVIAAINLTGSKFPSWWGNTGSMETLDNLGEAIRQPLAKGETFGPATW TRV_02187 MGRKRKHDDVASDDAQPDGSDVTAATSHGGNGFGLADTLSLLRD GDGPREPALPKDTSGGEGKDQTGGWQMVDHTSKKRKRIGNEKTKYPTLTYAMGGRQSS IKISDLQGLLLYCLADAVAPQWISVKHSGHVRKVVMLMVPGLELGMFDGSIPLSKGAE TPTPEVPDAAADNSQSGEDAKKSSEFERWKRGVSPISEETSRQFAPRAIHKDKLPEAL SPLADMFPHVWPVKSPGDSKYNKVHSPLQAILRSSLPKSKENNNNNNKKSKGPGNWVS QRTPITTFLSTLDDLIEHEYPLHPALFSSAEERDKNARLRAMNGQSTEHGWVDTHVDD FAQGAVPDCDIAEGSVTAGRDIFALDCEMCITEGGKSELTRISLVSWDGERVLDEFVK PENPIIDYLTRFSGVTKEKLDPVTTNLSDVQQKLLGILTPRSILIGHSLNSDLNALKL THPFIVDTASIYPHPRGPPLKPSLKWLCQRYLGREIQKGMAGHDPVEDAKAVLDLVKQ KCEKGEAWGTNEASTESIFQRLARSSRPVKSSGPVSQGGRTGAVVDWGSPERGFGAQA SVTLGCANDEEVVRSTKIAVSGDPTGKIVSGDGVDFTWARLRNLEISRGWCNRIPGAS QNNKSINISDQDIISPANPDELGRAVAKTVSCIKEIWDHLPPCTLFIIYSGTGDPREV TRLQAMRRTYNEEFRNRKPWDELTVKWTDTEEQALKRACQAARDGCGLMTVK TRV_02188 MARIQAPAALNHAGEREEIPGPSKDGIYKTLMVISTAVSELSRA VQQMREQLNGLSSACTGNPGLPKSNNPVDQFEIFAIAMKEVQSKSSRIEQLEQENRVL RDKLGDCEKAGERSEGFSQIIPISRNEIGERFVPSDAPNLNGKRSLVTLPRGLRTHED NSTIATGVIPASTDVTNPEVYPSPNSNQRIGIDGPDLEIEEIPESSNAQVNGDDLSQE DSPAMSGATLSKLPAEKPRTRQNPVRRRRGLRTEQVLEQVTGNETRPTRPPLVAQNEH SKKAENSASLRKEQTTSSGDENSTKTGDRKPGGLAHTETPKGSETFTPNIARDKRLRP RRQLMKRGRGRPKVIASQQPQVEIVTSTSPPPTTGRPDVDDYSLNGLGNREDASSAKN EEDEKRRKAEIAARELLVQAAMQREEAYTL TRV_02189 MLEAITNTSLGDDVLQEDAVTCSLEKSVAELTNHESALLVMSGT MGNQVAIRTHLTQPPYAVLCDHRGHIINYEGGGVSTWTGAYVKGIVPKNGRYLTLEDI MPHAVLGNDIHGCPTRVISLENTLDGMIMPLDEMKRISEWAHANGLKVHLDGARLWEA VVAGAGSVSEFSSLADSVSLCFSKGLGAPIGSVLAGSNEFIQKARWFRKTIGGGTRQS GIIAAAAQVALNETFGSGPNGKGGKLLASHEMARKIAELWTEKGGKLKNPVETNMVWL DLESCKLSNEDWIEIGRQHGLKFLGGRLVVHYQISEGAIAKLDKAMDSAISGNFTPAA KNEKNGQYCGQA TRV_02190 MSGPALKVAITQAQPKWLDLAGSVEKTVNLIAEAAKGDARLVAF PECWIPGYPGWIWQRPVDPIINTKYIQNSLSVNSAEMNTIKSAAKENNIAVVLGFVEA IDTHSVYIAQAIISPKGELLMHRRKIKPTHMERTVFGDGSGSDLTNVADVDFGGDIGV VKVGTLACWEHALPLLKYHTYSQKEAIHIAMWPPIDPHPGVGAPALWSMSAEGCQNLS QTHAIEGGAYVLHCTAVCNEEGIEAMKTKGGLLFQEPGGGHSAAIAPDGRRLTKPLAD GNPAAEGIVYADLDMARVVMNKGFIDVVGHYSRPDLLWLGVDKAQKGCVVPKREPEQD V TRV_02191 MDEETQPSTQPYADPRRKGVNNSGLSQQDVADIICILHPNSPAA HKSASITADRNPQHILQRDEFDSDLDACESTYDIALRFSSLVKDPSMGFCFGRSSTRS DIIFYPDHRVSNTHFRIYLKTDGILMLQDTSTNGTIVDGKSIRRDGGDNSPTTQMLMS GSVIHVTGNDLASAIKFVVRIPARDELQAQYTRNLTWYLNRIRQLSTKVGDVGRGEMP APGIVVAHTNHSHGMHWNGGAKYNVTGQIGKGAFATVYKLATKNDGAVFACKELDKRR LTKNNVLDHKVDSEMRIMKDLDHSAGLLPEDQVQKISRQLLHALQYLHARKITHRDIK PDNILISSYDPFIIKLSDFGLSKVSQEETLMKTFCGTLLYCAPEVYPEYDTYKHHLPR KRRRPGDPLPRTSPYDQSVDMWSFGAVAFHILSGHPPFMGRGDDRGAQMLRNIMTTEA DYSLLENVGASEEAIDFISRLLNRDPKARPKEPECFRHPWIAEVPDEFEYEEVGEPIQ TGPLTELIAVPELSEEEDQDDKDVWARAWSLERELEDKREAYYRQQDVEAEQRLSSSD QNANAKKPRVTLEQDIEEKHVQHVDDVRYPSLPQLSSYQYEAKAEVKSSPNGPRLFGE ITPSLIKSSGVLGEDYSEDMDIAGLGEDDADDSSDQYVISNEGSSLSKDIFAEVGQPH PPLTMRPPPPPKASDPSLMGAESLVGHLHMRSAGQNGMASGGRSDDDTDIGKPLDGDS QNSKKYASSNGTAKGNWAELKVGTGPDPFSSTNRHSPLGDKLTSYRSVPRDMNSSVET DKPRSPAPSERTGNQDEIPNELACTIDERTGLEVPSQSQPNQDIDAHAEEDKEKGNGF VKPVAILGKLTPLPGSILDQPIRLESRMTSWGRGTQATIRHPDSMDFRIPSYALELTF WAPSIEARIAAGEDWLQMTDVVTLISTKTSQCIWVNDVELRKESRSKDAFLFGRIYTG DIITVYRSKDQFLRFRCEFYHGKSRLERPAGEKRFLIEESPKSYCNTRTEGNPASKSE RSPVEASA TRV_02192 MIVKSLSLLALAAATVEGCVRERDVGSVDILSVLSKRGHGHPHL PHLSKYESMLINSFDNTTVDSWAYYYTHGIHIAGTNQSMAQWTADKWTEFGIPSSLVS YDVYLNYPVSHSLSLTHPDGTTWEASLVEDVLKEDDTTSYPDRIPTFHGYSASGEATA EYVYVGRGQKVDFERLIQLGVDLKGKIAIARYGGPFRGLKVKNAQDQGMIGCIIFTDP ADDGNVTVANGLKAYPNGPARNPSAVQRGSVQFLSMFPGDPTTPGYPSRPDSPRKDKS PVVPKIPSIPISQLDAQPILAALDGHGTPGKEVNRTRWVGALNATYATGPAPGAKLSM SNVMHDTYTPIWNSIGIINGTEQDEVVIIGNHRDAWIIGGAGDPNSGSSIMVELAKAF GKLQKAGWKPKRTIVMCSWDAEEYGLVGSTEWVEEYLPWLKASTVAYLNIDVAVSGPV PDLSATPELHKLALESMKKVIWPYKGRQDTTMYDVWNTASGGEVGVLGSGSDYTAFVH NGIASLDTGAGGDGNTDPVYHYHSNYDSYHWMATYGDPGFHTHVAMGQFLGLLGYHLA TDDIIPFDVTNYGVQMTKYLDVLKKYIAASKFPDLDVSKIESAICSFNVSANAVAKLQ KKAEHNVHDQQLRKHLNTIYRDFGRGFVSQGGLPDREFYRHMLYAPGLDTGYAPTTFP GVTESLDAGNRTRAVEYIERASNAIYVAAGILSSCHDCNQFVAQE TRV_02194 MPESFSSSSETPASSSTHHRRRSSSHIRPLNLTPSSSLSASKGA SSKAPGLLSAPSLSPIPGTPSVTGNSPMSLSRSPSPRPGGGWSSPGLTTVTAGSSGSS SPRRGYGELSANGQAYSNGGLDGDHGPNASWMAAKAKSDRVKGYPSFSTRNNGFFSRQ RRKISASLPRFRLNSMLDYGEKEKLGRGRWSGPNGSPLLYRIKTLLGSLLRRTRIRLL LLSILLFILWLCFSSRGGKKIVLIVASNVGGGVMEWKGAREWAIERDSLRNKKKYVKR WGYDLEIVNMVTKKRYAHEWREGWEKVDVIRGALRKYPKAEWFWWLDLNTFIMEPSYS VESHILNGLEKKTYRDINKYNPLNITHPPSLPYLDPLCLSPEGDKKTSSINLIVPQDC SGFNLGSFMIRRSTWTDRLLDIWWDPVLYEQKHMEWEHKEQDSLEHLYTHQPWVRPHV AFIPQRRMNSFPPGACGDGTDPGIHYQRKDRDFLVNMAGCEWGRDCWSEIYNYRELSN YLNRTLWEKFKDALSDQWNRMLGKEVKKKP TRV_02195 MPTPESAAFLAKKPTVPPTYDGVDFEDTVALHNARDAIIREQWV RSMMSRLVGDELGKCYRREGVNHLEKCGKLRGERKVKGYLFQEKNYFEKQQ TRV_02196 MVLLFTLPTTSHIQFSPFIHSHTHPSLPQASSTARHGLRAALKK HKRLSPAQRASHLPHVLIALNEYIPYLSAISRGLSSNSWREDATGGGEEGGGRWQGVE DIDILLRAEIEVEWRPTLSSMSTIRMLRQAAMRGNDSSSASSSSRVKGRGLDFEIAFV LSTLAYVHSSLARIEYLTTLYSANTPTVEQKATAIQTATKHLLQASSIHSYLATFSPV SISAPSNTYTPGDLGAEMVHIPIPDLDPSMQSALSSLALAEATLLAVLKDDAFLSACI QSRNKNDTEWMIKSPDIPKVRTLLFARLCVRAAEYAEQAAASAVMLQKGKGSAASHGD AGSERKIDSSLVNYMSILARVARAKACRFFGIDAEMSGKVGEGIAWLRAGRDMLGFKG LTAETGADQSSKGKLSGFSRLKREWSERREEKKIEKVATSSKAGELVTDIDYGDDAGR EEEGRVIDMLEKKWTKMNDTVNTQSIPSPSSYASKLPSGRDIHTPPGPYVPPMLEPAE LERLRGPIEPPDYNIDVESSEGEDDKETSRSYY TRV_02197 MAGRQILKLTIGKKAAQPSQSSQAAPQPSQKSPTPPPPSASSTP AAAPKLKLKLGLKQPAPQPEQKQTPAKAKKAASTKTPKETPASSSSKKRSRDAATDTN GKASGAAAPAEGAPIKRFKLTTKPKQPTSLRIKNKGAPPVRPRGSGYDSEASDTEIDP ALEEEFILRMEPGDDCEYLRKAIEEKRFGPRSLGGADVSFKSLTRDGRRATVTIRGNI YAATLVDLPAIIEGLKSWDKRGWYKAADICQMLLVLGKVKTEEEAHHYPLPKDVDPAT FQYAHGLTPPLRWVRKRRFRKRISNRTIEAVELEVARLLKEDMAAIKPPDFEIMDQSQ YARENQTEQDGFEEYDDEQDAEGEFFDESQQYEEQQYEEPMEMDDDLAAEMEAELAAH ADAGSVPASATPDVSHAGEDSHEPDAEGELEIGTPQTPHTPLHPPVESSGDEDESGMS AAEDANEDLDQDALEQQRQLQEQLEEIAELEADVQAETRRWEEMNNPILKAKLGKRVQ NLKQALELKKVSIGGRG TRV_02198 MSTPDLHISLLRPPVIQILRAAGFHSTRPAVVDTLTDLAGRYLL FLASSTAQHAINSHAVCPTPTLDDILMALQDVGALRPQMSRLEEDHRGEEDMRALEAF LAWFSGPMNTEIRRVCGFIAGEGEVVDEDSLEKEDYLTALKKKHSKTGEESRFQGTVL GKDAKERPVIIEGGAESIKAWRAQVKSREQSSEPSSTISSISSNISVPDAMDIWTPVT CDAWSNTPPHSTVCSQMKH TRV_02199 MIAEWANDKNGSASHACSECELGIQHIRLSSPFVFSALTSSCGV QGYTYDIPAQYALNWTGPFPDRSCDHTEITAQPNDTCINLSGSHSVSTFHLIQKNAMD FACNDLPRRSHYLCLPLHCKTHQLGSVEDCNSLVEDFNITMKELLKWSPMINSNCTNL DSWRGWHLCTSSPTSTIPYREDGSLKRPERKLPTPPTPNPLAPGTIEGCYRYENANEE ESARDASLNVCWWWAAKGEVKVEELLAWNPSLRWGEGCYLKPGYRSGYNRQKYATKIK GQRFSREIESANSSIEYLSCSKIPDYTGLSLSELRTLNPWIEKNCKEDTFTSKLSEDG FLQLCLERSNPDLTPLSLPNSIQPAATTICKEWHIVSEGDTCQSVIEKYGISPDEFSS WNPGIRNDCSTLWVGYGVCIGVKM TRV_02200 MFLRSTIHQAAKGVFFNTSCLSAHGFSSRVPGLIGSQFRRITGP TFEKCGRLRRIDDPSNIVRWQLQSHFPWGWVVYRTSYSDEEAWQKMLNILHERVEKSL KTYKRMDLLPFHEMIIMDDKARFEGATSHDIRDHFTMWVADTVVPKMITAPSESEIKE LRDPYKEDPGPEWLMGSRYNFCLFVDDICLESMEHMTTPVVKILAKNFGARKPEDRHY TVYSGWEDGETEESDEDVGWMYTSVVEIVENYNMMMETHEWYDEYRRPPNIWYPWTKD EFPGYWRKRTNVE TRV_02201 MKAFFDNMGCWPPRNTVFYTYAKLSILREESNSTYAIRTIRETV KALLQAEKTTSHELKAEKTLLLVKAACSAVTTLTISKAFSGYCPWSKGYRCTTQTPKS LIHVLSAAVHDDNIRLVAELLKQGVSCNQSSQVFGTPLCIAASRRNRKMINLLLKFHA DPSYFYRLHDRSHPRTPLQAAAFAGHEEIVDLLLQPNLGVQRSGDRFYRALVFAARGG HFNTFKQLVDAAAPQVDPLFQWADCLMAACESGSIYLVRFILFKGGDIECMRRFETPL ICVARKGYHDIARVLLEAGADPDYDSQHQSAITMASKYGHLEVVRVLLQFGAYVDAKF GSIQNAAAGGHKDIIQLLLDHGAQVSGREPDRWRQTGQQIGQWAYRKAISNGHYSLAS WLLTLGVDMDNHVDTVYEWSSCDETPIITSDDEESLEGGRVSEDT TRV_02202 MRFTPSNLLSLLAVGAILQPVVVPRAITTGLINGRDESDLTKCD VTLGCVDETIQTPSLKDHAVIPALQKRKGRRPGSNNRPNRNGHGKPSKPATDPTTSPK PGPTTKPSSVSTTQPAKTSSTTSKAEPTTSSKVHSATSSKVVSNTRTTSSVTSSRSTS ASTSRAASTTKSVTSSATTSSSQTSAHPTSSAHSTSSSGENSSIKSEEQSATPSEKPS ITSSTSTTKPTSEAELTTTSEETHTTSKSGNTAVPTLSEKGSATRSESATTDSSYTPV PTSSETDVSSSLDEYPTTESTSTLVSTSESEPTTTLDKHTTTKESSTPVPTETASRTS SETDPTTASTQEPTSTTEPGLSHGRTDNKNTSILSWEEYMRDGLNAINRFKNAIQNKE PDSEAGNFEDNYKIEHDNIMIGELVKNDGGKWNLWKATGLDQSALYEGVFVKPMTPKS DRDVVSENYYNKAARTMVIANSDINVDNTADDKRLRWSELTFQGWKKHAGDDAKNLRW VIRNNVINPGTVATVKKAIELTNQNPNKRAEFKLDASNRELNDAFVALAGTVNVKGVI HMLADHHNDMEGLGLKKIHAFGPHKLLLELGRKLT TRV_02203 MPAKKRKGSEAFAPEESRQHTASSQLASRSLPDLRQPHPNAQQT EDFGIVLRDFYPPEISNARCHAYNEGVLERPIEALQRAYKETTEQCQDIQPGKAVVHW FKQDLRLQDNRSLHRAYSFARDHNIPLICLYIFSPEDLTAHLCSPPRVDFILRTLATL KSELSKKDIPLYMESMERRKRIPIRIVELCKTWGANHLFANIEYEVDELRREAKLTRL CTTQGIRFDTEDDTCVVAPGELTTQQGKQYAVYTPWYRSWVAYLKQHPENLELVDAPA VNAGDARKHFEDLFDSAVPIAPNQMKLSEAEQERFKKMYPEGEQEATRRLREFLSEKG KQYRSKRDFMSSQITSVLSPYFSCGALSARTAPFIEAMKLCGLVITDVSSSMNKCFKP EFTDLEWGYDSTQFDAWCEGKTGFPIVDAAMRQLKHCAWMHNRTRMIVSSFLSKDLLI DWRRGEQFFMLHLIDGDFASNHGGWGFGSSTGVDPQPYFRIFNPLRQSERFDPDGDYI RHWVPELRDVEGSAIHDPYGRGAEEIAEKNGYPRPIVNHTESRERALEKYKKAAHGS TRV_02158 IYFSPSTPPPPIYIYSIYCIDTNDPAINLFIFDINCSPMSSPIL KLNTGHDIPGLGFGTWDPRYPEKAYDATLHALHAGYRHLDCAALYINEELVGKAIHEF LESRPDVQRKDLFITTKVWNHMHEPEDVEASIKESLRKLRLDYVDLYLLHYPVATVKD EKGGEAVGADGKYIVKRELTENPEPTWRAMEKVARDGLTRAIGVSNWSVSRLRSLLKF ASIPPATNQIEIHPFFPNTKVVQFCFDHGILPVAYSPLGSQVLTCGEAEKARGQQALR DIATRKGCDIGQILIAWGLRRGYSVIPKSFTKSRITSNFQRVELTDEEFKAIGDAPGG KYTRFVDLSVEYSYDNFWADEEDR TRV_02159 MAYHEADFKSEEGRKFPYMYLSASNSSVENPSSRKLRVWLQGGV HGNEPAGDQSMLALLGDLAANQKWAAKLLEKMDILVLPRYNPDGVFYFQRYLATNFDP NRDHIKLARQQTRDIKELFARFSPHIATDMHEFTAGRTFGPKRDIIYAADALFSAAKN LNIDEGIRQLSEELFAKRMGKDIEAAGLRWDPYITLGESSSSKLLLLEAGTDAKIGRN AMGLSQCVVFLCETRGIGIAGQHFERRTLSGLVMAKSILQTAVDNFDEVYNTIERGIR RFTNSRNDIVLSDKSPVMERTFGMLNITDASLFDYPIDFATTTPAEAVLTRSRPRAYL IPPSWPDIVKRLEVFGVKADKLPYSYVGPVEALNVTSVTFDKEYYEGVVTTTVETKLV ERNIRLPAGSYLVKTNQKNAALAFVSLEVRTLYPF TRV_02160 MHGLLLAAGLLSLPLHVLAHPQPSTSTSLAGRAGAVDLNEFRIA HRSSYTSHDEMKKLPSIASFRQGTYLEVATELVKQTIPNMEFRLVDDHYVGDSGIGHV RFRQTMHGIDIDNSDFNVNVGKDGKVLSHGNSFYTGPAPSSNPMVKRDFIDPMQALHG VRKALNLPIKADGAHVEDMSEHKVMFKGTSGALSDPTAKLCYMAKEDGSLALTWRVET DIGDNWLLSYMDAKESSKVHNVVDYVAHATFQVYKWGLADPTEGKREILTNPWNLKTS PLTWLSDGQNNFTATRGNNAIAQYNPDGGNDYENNYRPSPKNLKFEYPYSPDMNPPKT YIDASVTELFYTSNVCHDLYYMLGFNEKAGNFQVNNRGQGGKGNDFVILNAQDGSGTN NANFATPPDGQPGRMRAYIWTRANPPRDASFEAGTIIHEYTHGHILSTLTLLTVSNRL CGGPANSRCLNAIESGGMGEGWGDFYATAVRLKPNDTRKTNYVKGGWVNNSPKGVRMY PYSTDMNVNPLVYTSNNKLNEVHAIGTVWCTMLYEVLWNLIDKHGKNDGPVPIFENGV PNDGKYLAMKIVMDGMAIQPCNPNFVQARDAILDADMNLTKGANKCEIWKGFAKRGLG VGAKFDPKNRTGSTQVPNECK TRV_02161 MVSYKEVQASNARISESTAPQVAVFAGGTSGIGQYTVKALVGTG ASMRIYLVGRKSSEERMKTFIQELQAINPRAEIVWTEGEISLLAETRRVCNVIKSKES RVDLLFLTAGYAPFAGRKETAEGIETSQSLEYYSRILFALHLLPLLDKAEAPRVISVM AGGLEKTSIDLDDLDLRKPGNFGSIKAQMQYGTMNSMGWEKLAQANPNVTFIHSWPGW VNTGNVRRGWDPNSIMGWVIRLFLETLLGVFSFSDEEAGQRHLFQCTSAVFGGRGVPW DGKPGINSLEKEENGLFLVNYKCDCTPNAKNMKILRYSALERVWNHTQEVLQPYL TRV_02162 MNIFRRSKLLDSALASYAAPVKITRKLFMRTSSTLDTQPQMTAN NQGNQNHDLLFQYTSGRWLWGEEEQLAARYVKFDVAALCQVAADSVGSCSCTDIAKLT EGNFNKVLLLTMDDGKEVIAKIPNPNAGRSHFVTSSEVATMDFVNASKSKVGAEYIIM EKAPGAQLSKFWDNMNVIQKSKIVENIVLFEKSLALNPFSAYGSLYYSDCDTTEGFTE SGFTVGPTNNRKYFDDGRHSLALDRGPWFSVEDYIAANAKRERVSITSLGASPRLQGI FNGPGLYQPSQSAKLRALDLYLSISKYTLPKNKETHEGVPWHPDLHTDNIFVDPQDPT KITCLIDWQAVHIAPLFLQARRPAFLDFDGPIPERLKFPSLPENFDDLQPEEKLNAKK LRDEQALYVLYEIELLRQCRAAGSALHGRDTLVSRLTGLAGSLFTDGEPVVLGYLMQA VDRWGEIVGRDAIGDPVIPCPITFTDAERTKQQIDQEKWKKGVELMDDVAQSLGAYSG WDGFVSHDDYEARKQQVIASRDAFLQRMAGSDEERRAKWCKAWPFPVS TRV_02163 MISLVAFDLDGTLAISKQPLLDTMGEALADLLGVAHVAVISGGD WPQFQKQVVNRLPARADLSKLWLMPTTGTKLYKYQDGAWKAVYAELFNDGVKRQILIA FDQALEATDFKPEQTWGDRIEDRGSQITFSALGQEAPIHAKEIWDPDFAKRKIIQADL RTRLPDVSINMGGATSIDITQKGVNKGYGLKRLRDASNIPLEEMMFIGDAIFPGGNDY PAKEIGLKTVCVRDPDGTLAAIAAIIACLS TRV_02153 MGVQEVLSRKTGVIVGDDVLRLFEHAQQNTFAIPAVNVTSSSTV VAALEAARDKNCPLILQMSQGGAAYFAGKGVANDSQEASIAGAIAGAQYIRAIAPSYG IPVVLHTDHCAKKLLPWLDGMMDADEDYFKKHGEPLFSSHMIDLSEEEVQWNIETTAK YLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDILNIYNTLSPISPYFS IAAGFGNVHGVYKPGNVRLHPELLSKHQAYVKEKTGSNKDKPVFLVFHGGSGSTKAEY KEAIGYGVVKVNLDTDLQYAYLSGVRDYVLNKKDYLMSAVGNPDGEDKPNKKYFDPRV WVREGEKAMSQRLHVAFDDFNTTNQK TRV_02154 MILVFNASLAVFIVKRATIWRYRKGVENAMELLTEDERDWGNRT EEEADVSICVISSFHD TRV_02155 MPEKPATIAAYAAGASLAAITLFYVFGPNFTIDGEDSNISGRKK GIVGLSNPANDCFINSVLQALAGLGELRVYLIRELHKRDLEGAEIYDALPPAEDLRAG QDAEKVQKLQLAPVTRALKDMLDGLNERPIYKKTISARAFVIALELAFRTRISRSQQD AQEFLQVVVERLCDEYHAGERARKRALRNKLAAASTSSPMASGSEELSKEEIDGEDAS AAMPMIELAHAGSDTESENGFPLEGMLESQIECQHCKYKYKPKQTSFVNLTLQVPQKS SATLSSCFDGLLKTEFIDDFRCERCQLVYTLEQKVKAQSRTSSEKTRELLGNEIALLK NAIENDPEIIPEGVTMPNPSEVPRRKIAKHMRITVFPKVIAIHLSRSIFDHAFSTKNA AKVSFPERLALGGILEKKWYKLLAIVCHKGSHNSGHYESFRRNHVYAPFSTPDAFRSY AQSRSQSQNPSTFPSPRMKSSSSSKQATDDITPLNISPISRSPSTTTSTSSFPAAGGT PTDTTTTSSIPSRPASGQAQSSAPHLSPQQPRSQSQSHPTSDTQSGVPDRAPSTSSSS ARRHIDAALPGDLLSPKRDSTSTRRNKAPTPSPDSPRPSTSSRFRWKKKPNERWWRIS DEKIRECKTTDVLNMQKEVYLLFYELERPSDEV TRV_02156 MALVRVDCFYTLLLRRLLAGLLLDTYSLDGTNCRLVALAPAADT KITSTGTDADKPEATANGDEKPVSTENTEKPAVETNAPAEDKKVEETSEESKDESKKE HKEESKEEAKEEAKEQPEEAKGEKETGQKRDHAAMSTAEPAATTADSKDEKEETKAEP TEPAEKKQKVEKKDEPVSTTAATAAEATENGNANGNSNGNEAAKRGPGRPKKSGGVSA TPQKKKMPTPRSSDGVGSRTRSRRPQAEA TRV_02157 MVEKKKKKKTAKAKAKKRRRCCCVAEDPKLAVHSFILDPCFFCP RRFNNKLARPLPQTWSP TRV_02139 PIHPLINEPRLVFSLPIENYREKLLQAAKLQELQLQTVQFYQQL LHVESAARGKKSAEMTLIERSITSGAIQSHLKDSTQPIGHFIYGCCNAVSECLESLTL ESCPDKAPQLVEDILNFCWDSFELTQCHLLDDATFLTYLLIGKNICGEFEHLQLKLVD QFKELLASFNANWELSSGKSMQRIWNSWRPATPTDPSQLEQGMQFRELRTRYDRVALK SRVPVHELGRLYDLFIHAQTSILRGANSTALLPELNTTISRFEAQSSKSDSYDSPHFA TEFEALCQYNDLAEDKILPRAPLPGSIKLLAGRESRPTDTSVIASAAPGLLSKLTQYL GSENSHCGPLALLGNISCSLVKKVEDINQVPLGQMDLLGSEISLLLQGLAANTCQLST YQPTILRHWILELSKELIRCHADLLGSDSVDISMEILQQLQTGETEDLQSGIFTVQVH PEVSDNLVFKLLGNEIFILFRKLCTPQANEEAIGGLGELLVRLSLVLLHCYVPNRPFD PSLHLAVEHKLHLHRQHEMTTRLDCLRTCEHLFSGQTSSLRIQAAREDLARLGSAPPN TPVVRPEISKLNEVQAQFSSILTSVLNQPIEYILSASQAPLTESISKDASSQAPGTLL QLNIRQIAHRLSENCPGYEDMTILPVRFLQLLDQGIELIRHSAASSTRSSPLIQYICA TTPFLGGKLPDLSLAWPSPAKGSQATTAEIDVQTLLLLGAAQNIDNQTLFKPAQKEIL HGILDRAYSSWKEKLENDQSLEAEKSKFYHYRGSFEDDKESESAEVLQMFPTYDNQDE PSNETANTEPHGDKLRMKLFTAFRGLFVSDNRASRLRNVISEGLRLLGSISRKSGVTI PPVDLKFHLGPIFLLMEDVHRPSKVANYNFYTDANIEEVNKFASLVQKVQVRFLQLQE SWPEHATLADVVEWCSKIFKFQHREPLAKFITMAEKLHGHVHEWQTVASKEFSAADCY NDLTSTLIHWRRLELSTWSRLLDVEDEKCLEQAASWWYMAYEVIVAVPLQLIQDNQPL DSHISDLLLTLEKFLHATSMGQFSSRVDLIDKFRQLLMLYLPDLPGLGKVVSALKNLL DHYGPFISSVQSSLKDGRQKLEKELKEQVQLASWKDTNITALRESARRSHHKLFKIIG KYRTLLTQSCEELLAREIPETTSDNEDTAVSQSLPLKAVNPAALSVYQQNEGLWSQRP ARFQAPDSTAKHMHQVYEGSLPEFQATTELEAIMTDVVSSISDFKKRTPKTLTEENKD EVQHLKTQKRSFYAAKLKELRHMGLRSNLGTDLLDKQSSVSLVLATTPGFSAPDLAPL SVTADKYFHRFLHIVPSIRQAARNYSEDLSNVEAGRSAGFTEGFLYQMMKQRDTLSPV LASVKSLVNNVKLATAITSSSTVNTISSERSSNTLHTIYQALKWLPTIIELSLTIINI HRSHSSIDSSAVLASLGLWAESATSLQNRLINLPALPDGLYSNFHKDIILDAERFMAS MKSGILAHMKSQPEIAFALNQLLFWAECDSRGALEWQADKPSISSIKDVDSSLLVVMD AILVALQRVQSALSSAPISAEGPTWLSKTDTSFSRSLSELHIDDISSKLKSVFDMVRK ISDTRELNIAIAGLSISLPILEQYQTICLDLVNRYAAFHHSVCKLGYTLGKSFKQIAS EGFCSPSEPSDQQGQQSDKVESGTGLGEGEGAEDISKDVQDDEDLSELAQQKQEEAEK EDIEGTDDAVNMDNEDFEGQEGDYSKDSEEEEKDKSDAEEGEEDDLDEEVGSVDNWDP SAVDEKMWDGANDKDQKDTENNESKGDEKAEDMSAATEQRKEDDPAKKEADEGHETTE SDEEAPEDEKEGAGREDMDVTDPYAQENDVLDLPEDMDLDGEKKEDESSDADDGMSEI SMEETANQDDLPEHTNEEKKDTKPESPDVDMAENPDDNADEDGQREEETGEPDSEPQP DAGEEEDKEKIIPVEDEQQKADPDNTAPSEQVSAGVQQDQSNEKGTSGDAAFDQPTEK MEEDGEGEGKGVEEQGQQGKQSNQEAGDGGNNEKQDPQLQSFKKLGDILEQWHRSHRE ILEASEKDNEQVQEQDIAEKDVDFEHLADEQDTADTQALGQANEEQSQAMNQSQAIES DFKPQDNEYLPDAQEVDDNSNINNLEDLMDVDAPLASNDQQQPTTSITRSGNGIESSQ GGEGAAAEEKDELDDVDNHLSVINISSDLAPLTPPDEARRLWTHYESITHELSLSLTE QLRLILAPTMATKLRGDFRTGKRLNIKRIIPYIASQYKRDKIWMRRSVPSKRNYQIML AVDDSKSMLEGSSGQLAFQTLALVARSLSMLETGDLCIVSFGNEEHIRVAHDFGKPFS SEAGSQVFQHFSYKQTGTNVRQLIADSITLFREARAKRPSSSTSGDLWQLELIISDGV CEDHDRIARLVRQAHEERIMVVFIIVDAVQEESRSIMNLSQATFEPSGSGPGEGKWKM KKYLDGFPFPYYLVVRNVQELPAVLSLALKQWFAEVVEISS TRV_02140 MTVNTQVILDYTLNDLYSLDNDGSPIPALVLDSQPVEDHAMVPS AKYVYHLAAQEGSDKMLILLTRSQTERRVFLAGNMPVFIVDFAYSQQHPDSQTMAADK ADMYRHFSKMRPDQQPALKFVQRADQITVPPNVPVAVVVPIDLFAALPHVLDPDVHFC ILAKRGLAVSGLPTPPSTVVDVALPLDQLRDDTKMGQEISRMLELIDTYNTPFVVKLP QSVAAMGTFAIRSDNDRERVKAILSTHMRLMLKQINAANYHLNPCSLVFQNFVSGTEV ALSFFVTRTGRCIFVGCFDQEFDKQGRWTGGSISYPDQPALEEKYAATMEKTAKFLHE KGYYGPAGVDVLTDSQGNQYIVDLNVRLTGLCHLGFLKGHFTSRGLDVASTVTGFFTC SRDKIEEIFCNEFQKGSLVIISWSYDDSMKLSCGAIGVGGKTASDIERLAARMHEYTT PGGHC TRV_02141 MALVTYSDSEGDSDTETKPTEQPKAPRQAPTSSSKPAFKPLVDR GNPRKILVNLSEAKPSEGADSGQDKEDGPARKRLRTEGGGLFSGFNDMLPAPKRSAQK PVDKSQAGVKKSKPFTLKTSAEPGFDRSERSDNFNMSCDEFGKPSSGQDTAAQTPSEE ASRTPVPEPVFEKKGNAMMFKPLSVARNKPKRKMTAAAQPVSTSKAQAENPQPPVQPE ARPETKPKVSLFGLSSGAESIPSTDITAQDEPYEAILYSTSSEPSGALETKVPDDSIV TTTAAYNNSPAPAASSGPQDLASVASDLNLSKSQMRQLLGRDLKGASSKVINFNTDEE YKSNSAYLANTSEAELAAQQHRPVRTVAPGKHSLHQLVNAVASQGDALEESFATGKRN KKEASAKPCCVADPRSQSRCKMPRDRAAYRNVRLYDASTGTLIGGFYQNGAITEENLI WILSNVLLATQDANTWTIQQRTSGETVTPSSEPVRPGDYDIHSTGTGFWLLKPPVNIN TELNIYIGPIQVTDEPWFARIASHSISGGEDQFRDGVRARDGRCVISGRINRGAEWDD WAGLESAHVFPLEKESLWVQLGYGRWITNMDDTSAEHKINSVQNGLLMSAHFHRRFDQ YLFSINPDAVLANMRGAGEPIFESDFPPGSDMMATLREELYGKERFEMELESRLRPGT MNS TRV_02142 MDPTTPQREVHGDDKPTDGANTIPKGDEYRASRPGKVRLKSKHS SGRRSHDKKRSREEDDHDGSSKHRHHHHRHRRRRHRKDPEPEPYPEEYRSPPVSPNTA FRESLFDALADDEGADYWQAVYGQPIHTYARPDERGELEKMTDDEYAAYVRARMWEKT HQGLLEERERRRKIREAEREQAKAYGRHTSRAETEREAFDRMVEESLKRGKERKSRKE QTNTWLDIWKRYLDCWENLNMRAQEGQASANPGDALRNVLVWPVESGKRKDVNIDSVR QFLSNAPVPNGSSGPENTSSRTSRHSNMLAVLKLERVRWHPDKIRHRYGVLGVDDNII KAATEVFQILDRIWVEEQARR TRV_02143 MAVQIEVDFAINGEGTLHGDGMALWLTEGRANQGPVFGSADRFK GLGIFIDTYKNGRQGMTFPLVMAMLGDGNTPYDQARDGQANELASCSARGIRGSSYPT KLRLTYFQDKYLALDLQYKSDMAWTSCFKIQSRPESPINLPAASYLGFSAETGELSDT HDILEVDVYSLYTKQGSDSTGPGQMAQSANQRNAQREHSYHAANSGGSWLWFFFKVIL FFVVVIGGYVGWTAYRAAVSRRF TRV_02144 MPTFGGLLKKRRTKDSKSKDLDSENSTTNNTAAAAVATSTTPAP AASVQGRHTAQSSVDSADTSNLPPSAASKDSAAAAGAAPEPGSGPRPGSGSGTAEPVA SAAPAPPAPAAAGGVTAAAIDPPSTSLTPALANMSTAYQRPSPGDVSQSRSPQQTQPS ALPQPHNVTSIKNIINPPHHEDQQQHHNHQQQQLQQHQHATTGTPDASIRASQRQLKG KYSLSDFSIHRTLGTGSFGRVHLVQSIHNQRFYAIKVLKKAQVVKLKQVEHTNDERAM LERVKHPFLVTLWGTFQDAKNLYMVMDFAEGGELFSLLRKSQRFPNPVAKFYAAEVTL ALEYLHSKHIVYRDLKPENLLLDKNGHLKITDFGFAKEVRDITWTLCGTPDYLAPEVV SSKGYNKSVDWWSLGILIFEMLCGFTPFWDSGSPMKIYENILKCRVKYPPSLVPDAQD LLSRLITPDLTKRLGNLHGGSQDVKNHPWFAEVTWELLAQRRIDAPYIPPVKGGSGDA CMFDTYAEETEQYGLPAEDEYVPYTLTPRYTLAGF TRV_02145 MPVRHRADSSPIRGSEQQGGPRVLITSPSLRSVDNRFRRSSLGA VEAIKSRARRDTTTSSDMSSDIELDPEAMKRRQIKFSKHENETFVPREEDEDAESEKL EEEVEMEAELANGGLGVRRDEHDHDDDSIAGSVESAISSDFGHTAGSGSLLGRGGLGA SSPLILNKIPKSGGSGNAAPSVSATASGAASAANSSPRKDRDAGTSTPALPRLPAAQA ITPHPEPVSLLSNMLNARKKAPENPMEKYAALSGKGSSETPLYIKLYTPGAEDPEEPM DMPLTKDTKDGDHSRPVTVAEAIGLALWRYTDDKIQPAIDASKLGVNYWNLRMVEDGE VDYDFPPLARKRPITDFTSNNNRAAGFRGRSRSKPYDEFALVEASPAEFAENEAACPG DSIAVPEASTPTAAPPTEAANTQGGPSAAPGLPANHIKVAIGGRMNPILGQPFSSALN DSSLRPADLPAIPTMQATPRLGVSTQLKVRYVDLEASTRTTTLNTSTDSYIAEILDSV CKKWQLDKGNYLLKIMNTNTVAPLDRTVEALGTNTDLDLIRRRFGAGPLSLMGSPGSS SPSAPLLVDTSTNGASKKSKKSAASRMLHPLSQKQDVIVGGYYKRYNVIRKQSMSFTT SSQRVLAFDNDYMHIMPAETGKTLFEANSKTTSISFCDVIGSKVSRRHPKSFRFVVVR GADATDQKRYDFEARNAVEAIEIVDEIKKNMAHYRL TRV_02146 MSSSALLNEICITVDSRDIITMPFATPYYMPLRKLEIEDDPDKT PTQTPRRMPPVLDFKPLDDDVDGDPRPRPDIKYMIAQGKHAELRALSYALGHGHHEDY AFDNEDLDALVAWEEEEEPLAYKEYRALPVYHEADAVNEWKEEERRKRKEEKAKRKKA ALESSTTGWLSTKALLGLSVASLSVALMLWMIFWVTADNHMLVLVLFV TRV_02148 MKVLAALALSALAMAKPTPPMPGMSLVQTGPQETRWVTAKEKHD MVMNHIGFFDITNRPESASIASKPKSYAFPGNVSHQAEVKPLLEKISADHIKSNLEMF SSYPNRYYDAQSGVESAQWVMEQAQAVVGNIQGAKVEMVKHDWMQPSIRAIIPGKSEK IVAVGAHQDSINGKNPQGEAPGADDNGSGSMTILEALTALVSDQKIAGGEAANTLEFH WYAGEEEGLLGSQDIFQQYSQEGKEVVAMLNQDMTGYGETMGVITDNSDPNLTKFTKM ILDTYTSAKYSDSECGYACSDHASANKAGFPSAFVYEAVVGQDNPAIHSPDDTIEKLD PAKMAEHAKLVVGFAYELAFATLRGIRAVRFDSGLACPSRSIMNDLRSYDLYTSLVSH SDSITSASNTLTEGTAIALSTALLHPLDSILTRLQVRYASQHHNKKKDNRTRTRKPLD VLGDIVDLAAENVKDAEGRAVLYAGLREAICKQTAENMLVPAVYAALHARRLNLGRTA GNELLLSLVSMAFVKLFTEPLGTIMVRRQVTGSGTRCVVDDILRQKGVGGLWSAYGAT LVLCVRSCVLPVVYLALRRRLGMKRGGLLGMLVLRAIAESVVYRLAVMQVCARAGVKA VGNGSKLGSTYTNDYTICVLMVCLGLLLEVIRTLSSQGVTTVTSDVVTVAMMRLSAVM LYMLEPFLLSEQAITDSVRENVDAGASQPLLDDAKYMNNAVKRAISIVNRGIGLASHG RDDVAVAELVGDYVEDGPEDG TRV_02149 MVVVVVAVGGGGGGKGGKGLGKGGAKRHRKILRDNIHGITKPAI RRLARRGGVKRISAMIYGETRNVLKSFLESVIRDAVTYTEHAKRKTVTSLDVVYALKR QGRTLYGFGG TRV_02150 MLTAGVGEPGRDETGRMPYISPSSAPPSPTGTSAAPPRAGPASA TTASSTTTTTTITTTTTATTTAAGSAPVRRHGRHCSEPAVSFQRPSSSSYLHRHRRSP TIRAPVARLQQFEGGSQQGQQQQVHEAWTAPGTGMGLVLSPPESRSNSSDEEESKKLQ VQGTSSLSSSASATTSTSTAAADKTNEGSGKGILIYSVPEQATQTPEQSDQDEEEMPQ KPPMLRKKSGELVRPAIRLRQGRPLSMPGTPTFSKAVHFGAQLEEVRHFMQLDRPLAV SAGSSPVEEYDGDTEFPFTCSNAACSSSSALSTPSKDHWEARVTNFPSMLDDARLNKP VRLRRIQLCPDTHALLGTVAVANISYSKHVSARFTLDNWKTVSEVTAEYVHANAPCID RDERVGFDTFSFSIELADQLRHGMQNKTLFLCLRYNVGGREFWDNNDDMNYQIVFSRR TSNSSTSGSSGSGSVGRDPLFRARMAKAKNARGGRAKPLSMVAMGMNLPMNMPITLPD NVKVAVKQQTQFEITASKPGDSDVDVDSEKEGWFPDPDSPTRKNKLRTNVFGDRYDFS ASLTTSSSSGSGAGGAGAGSAGPARYGHKHSHSMPHLNMVESSSASASASASSPSTPS DSDESPSPVGEKRLSDFLSDRPNHQSQVYKELVDRYCFFGSTKSRRSGVSSKDGTGAE EVDEDTSTPTMPMSMSMSMSTSTATSLNMHHLTHRLSPGPGGHTPVSTSPSASTSASP SDTEGLATPPAPTSASTSTSTSVRPSFTFGRPRPASERGMPASPAPAPAPTAILG TRV_02151 MDPSERDNPPQKKKRRKRKKNNNHDDDEEKKQKKKKKNLQKRKG KDGAAATSDAGQDVTGTRRVTDSSWPDFNLRLLRRLLCFFVFYLVVFFVFFYFFYCLS APLRNSGRRLEVGPERCTRKVPGGTLPFLRPPSGRPALFYHQSTIASNNYCSAVSAVQ LLYCCCTTRWTVYRTTLADDPLIQTSKTPSQTSLLRLQATPDALVDVAHGTAMMDD TRV_02152 MVVVDTYTVSGEMGRDIKHHHQHQSTIKAGSYTSYTVEVPSESS GCSQLVDSTAILPAQWPTYLPSHPAQGWKCKSSLLLQQLRLLLLLLLLPVSLSPLATT TRSNVRVLSIHPPIHACTIHAHIQIIKSKEDSRKKQRWGSTYLLVSAYARKVGKTFFS FFSPPSLISGGNDSFFFFFFLFRFALFASLFFAFEISLLVETADKAERPYSAGGISYQ KVNGWLLVN TRV_02106 THPDQMNAADRNAENERGRMCMIEVLRERGSVRCGRRGGERRTR RGGSPVSLVAVWWGFLRYYCLPPLLTTAHEYDFILPSTSTTSLAPTPTYNASAYECLR VLPAACGLQSTVCFIVLPPCLALKTAIQSSSLALKLALGRPPVWLDMAADTEDPLLSI RIALETDEMKQLPSYKRRSWPWKWALIRWLHLLRASPHGVPKIRGIICA TRV_02107 MSSRALPSAESATFLESSYFSRNGAEAALPSPANVREQSVLQGP ASQAKRDYGFKPVRYEHLGLIVKYGRAPEVTVAEGQLLWALRRTLPTVPVPEVYGWTH DNGQVFIYMELIKGVTLEQRWGFLGPAERVAICEQLREIILDYRRLQHAPGDFFLGHV NREPLGDIIFTSGNLPPAGPFYSVTELHDWISTVLKMKVQPYHWQGKELSEIPDPYRS RLPDDAKVVFTHGDLHPSNIMVSEVTNKIIAVIDWRQSGWYPDYWEYCKADYTAEING EWATTYIPIFLKEPECLDAWDFYPRSFGY TRV_02108 MATDTGSRPCCSSHTSEDNNTNGVTIAPNGNHEGFTAVQTRQNP HPHVSRNPYGHNAGVTDFLSNVSRFKIIESTLREGEQFANAFFDTEKKIEIAKALDDF GVDYIELTSPCASEQSRKDCEAICKLGLKAKVLPLSFLRQYGFTSVETGVDGVDVVIG TSSYLREHSHGKDMTYIKNTAIEVINFVKSKGIEVRFSSEDSFRSDLVDLLSIYSAVD QVGVNRVGIADTVGCASPRQVYELVRVLRGVVKCDIEIHLHNDTGCAIANAYCALEGG ATHIDTSVLGIGERNGITPLGGLMARMIAADRDYVLSKYKLEKIKDIEDLVAEAVQVN IPFNNPITGFCAFTHKAGIHAKAILNNPSTYEIINPADFGMTRYVHFASRLTGWNAIK SRAQQLNLDMTDAQYKECTAKIKALADIRPIAVDDADSIIRAYHRNIKLGENKPLLEL TEEQTAEFAAKEKELAENGVEVSA TRV_02109 MHLSTLILLPALAAAQEQVPFAERLQGWFNQAKELLPTPKVPQA VAPVTTPAPPKAAVVKPKTVSQFNPDNWRDILTPQSNPQEWLIFVTGGNKTCFGQCGQ ANRAWKEAQNQFALDSQSPNLGRLNCEAQGLLCAIWSVSPPMLWHIQVPSTPAIGEEK PLTPIHPFRLNATTVTADDIYKVHSEKLWEKEPELQTMFHPFNGLAAEYRINEAVGYI IYCLGMIPSWAMMVGISFISRTMM TRV_02110 MALPVDRPTSTAALVIATSVLTGVIGYYLGQGASIGIFSSSPSH AHKGKSIADEDDEEEDEEEDEDDIEGELATFEGNTDEVKLVLVVRTDLGMGKGMPFIN YVELQIFPFNRNINFPAQDLYR TRV_02111 MADALSIEQNNKIRVALGLAPLPVPGAANDGPAFKSAAGDESAS SDGEEPASTLETREAQGYDNWKAIQEGREAQQKREARKDAIRRARENAQRMAKLEGKG LGEGGDDAELDTRSWLMQHKKKEKKIEKERAKRLAEELAEREQQMAEYTSKDLAGVRV AHEIGDFDDFDESGEKVLTLKDTTIDEDEEEGAELENIQMREGEKTKERLALKKKRVA YDPNDMDGSGTILSQYDEEIDGKKRKHFTLASGELTPQAREAKRQDVSSKLKMEPVHL DGLLEKEVAGSDYMDASEIKVRKPKKKKAKSTRKRHVEEDEETPTPAAPSDTNGDQME MDGGGPEKMTAGPTVPAKRPQEDISFVDDDDLQASLAAQRRAAFKKRKKVRPEDLARQ LREESEQAQNAMDVDGADGDEGGLILNETSEFVANLQKPALLERARSASREPTAATAE TPANPPHALQPTVEEEASDVEMEPAYTVVEQEQTERQSAEPQADITATGLEEESTLDQ GLGATLAMLKQRGLVTANEQDGRSINALHRDRQRFLAEKRRREAEAERLARAQRERDR ASGKLDRMSARDREEYARWENKQRDQLESRAMADVFNREYKPDVQLKYVDEFGRRMNQ KEAFKHLSHQFHGKGSGKMKTEKHLKKIEDEKKREAMSTLDSSQNTGMNNAMGATAKK NRQAGVRLA TRV_02112 MPNLRCCSSSSLSLPAESYIYQLCAAGPASLAAISSDNSLRRFD RSSLALLPDGLVKETHAAGVSSLCAAGDGLLATCGKDGTVKLWDARRAGTVAVFQAGT PIYPLVIDLTVDRWMLIGVDKGMPLLSLACNASSHSIVAGTELVKPDAFVLFWYISTH IPQILKGLLLYGQLTRNRDMRSPGKTCRQYVESHNDDVTEIQFHPSRPSVLLSGSTDG LVNIYDTTVPEEEDVLVQVVKHSSIHHAGFMQDKAVYALSHDEMFSIHPVTSADEDNT PANEVKAVEFGDLRPVLKAEYVVQVLVDAAGSYVASGRTRLVPFSYYFCTFKTMLMNG KRSDRSLSLTPLVHTPEFHFEQSNSWMLPGAHGEEVVRSVLFDSESRTVYTCGEDGHV RLWKEESAPSAELSSIRPTKQRKEKGYKPY TRV_02113 MTTISYRIITRLDDRRRSRWSTTRVEVSEDDVQVLQLTAASVTA SATAIWRPYQQPAASNCSFATAKKKKRHRRCRRRSKKKKNKRQKKKGKEKKKRGAESH PVCWYNPSARLACHGTDPGWLKEEEERSPPNLLWLELFVRFSWKADGLVQWQLVSSAL ALGFSIGPVCLAKGEKGKTGRAQKAKHSKVQSGGGPVQPENDREKKGRKKRERRKGKE GKKGKRTKNKNNDYDYDH TRV_02114 MALAVPDGGLTLHRDAASSQFLRLNLPQSTLADLLQVLNDPSLP AAAAPRLRLGKRPSLLINSKSTPFHAYPQTSRSEIYQHGGSQGQSQSQSQSQDAAAGA DGGLYFSGVLSHSLEVQKAKEATAATDEALTNLERSLNAFEKGKESRRTPLIATIDQM RALGAGDSRSATGREAASLARKSTSRADQEKERFFKNANASRSTPASPALVPLSPLPP AAAGAAAGAAATTTTDKENQRLEAIRTPLIHLLAIRPVSAKFLASRTRCNNADVLALT NKLCTPNRLDGSKFDLKDKVYKDLDVWSFPYPSDEERTEAIENAISAFDRMRISKADR LWQTLLPKHERGKGKVLSRLNLRTQPQGQAQTQQQQSRLQVPEEGGYGTGNESEGPTA LDQSMATTTTPTIPHHAPTAKPAAKKRGGLEKALSSKRGPKPKSTPAATLTGKVTKKT EKKTPAAGSAASLKSRDADRFKSSEFILDSDEEEAAANGIVASSKPTLAATTTKTTTT TTTTSTAQRGRPPKTKKVPARRQPPAAISAPTTSTTTRPANVTSPLKPSPLGSSPPTN ASDVDVPSARPSSTKSRPHSNSHSSSSSSPLIHHLPRKIPPAQPGKSRPTPPSTATST STSASTSALQSASTAPAKPGALKRKTRPAEDTRPPPASSTSTTAAIGLGIHASEPKRR RPSSISSTTTSSLSQPESMPSVSGSGSGSGSASPPISQTILRARLRTKSAQFKQYYAK YRLLHEEMMARSRNGMDYDIDIEQIRKLERQHAHLQKMKQEIWDEDRRLRMSS TRV_02115 MIADSMDSNFNQILDIELPVSQREFLVFIESRKRSKSRLGQVPA QLPDRGRKIDGRTAIDVGDMRTCRVLWLELLPGKSEELLGVGGMMLDLGMSILETCIC MMTYRYHPASPGTAEGEVEKREREISFLADERNEYS TRV_02116 MLFPLTYPGLPEPGTKILKCAEGLHNKAFLLTLDTGHEVFAKLP NPNAGPSRYLTASEVATREFLRDIANLPVPRVLAWSADPANPAGAEYIIEQKASGIRL GRVWHEWPRKSKLHIIEQIVKLENTLTSFKFSKHGCLYFRADLPDPFRGEAGGLLLGS DSTVGPEVLDRYAIGPLTGAELWSAEREKMELDRGPWQRSEDYARAMGENEIAWINRH ASPRINAYISLKDPELPDRALNLLAKYLDAAPYLIPHDLAPCANILWHPDLHLDNVFV DPTTCEITDIVDWQGAGIAPLFYQSCIPRMFKHDGPVREGWIVPSRPDNFDTLTPEEQ SQLDRNLEKETVHKYYEAMVCKHSPRHWEVLKDMRYIQRKRSPTSLVTGVWENRDIFF LRQSLIAIEALWDKLRPDETVEAPISFTREELDLHMKEDENISGVGSMLKLFRDQGVL PDDGMVDPEDYDTANTNCQKFKDIFIGLAKDEQEKELFSKLWPYQDKE TRV_02117 MQQRGQLGQRGPTVGTSSAVRSRSGSGSESRLRSGLNAASNALS SSMDSRHRSGGRLASLPQIPPRSSKVSEKLVLLPETVEEEEEEEEQEAEEEEEDEDDE VADDEGTPSKINERYERLRRLTAARAKQPDFTQDNMAAPLLDNEEAIRKRRFAASPEK GKSYAERLPKARRGKKLPRVTAYCTAQAYKMGAAASFVKELHDARTKLYDDCLYAAYH LPLLPGRDGYRVRSSPIFRSAEGKVVLDEEIARNERRDYHDEYFTETEEHSVRNSEEQ ERRERRREQERDREEEDGHERDHTHDHEVGAERSNGRRQEERDTPVRGHSFHVEHHDD QPSTQNGDIRQPTSPVSTRQSRPSIPPNALSFAEMFIFSYGVVVFWNFTERQEKEILA DLAFCPAPNDLPLNPLAEEDFETEEFHFEYSSAISRPRVYNDMITLRSGDHMIKLAIS HGIAQSTKLSFFEEGMARQMADAKDVPRRLALTGHLGMGREEVFRIMGRLFKSRVEVN LSSNMLDVPNFFWDSEPMLHPLYIAVREYLEIKPRIQVLNERCRVFLDLIEILSDSIA DNKVSSQTWIIIILIFISIIVTLSEVVLRFGILSTKKGTQSKMLLDQGKANGMSIGI TRV_02118 MAAKLDFTTFRNVINGKLTSAAVTRHSFNPSTGEPNPQVPISTA EDVDAAVAAAQEAFKSWSKTSHEERAKAVLGLADAIEAHAEQFLDLTIREQGKPKLIA GFEIQAAVANLRANSKLELKPTVLEDTEEKKVIQRFTPLGVAVGIVPWNFPLMIACQK IGPAVMSGCTTIIKPSPYSPYTDLKIVELAQKFFPPGVVQVLSGDDTLGPMLTEHPGP AKISFTGSSFTGKKVMASASKTLKRVTLELGGNDPAIICEDVDFEKAIPKIAFTAFIH SGQVCAAIKRIFVHESIHEKFRDALVEAVKQFKVGPGDEEGITHGPIQNMMQFNKVKN LFADIEKEKWTVATGGRSDLGRPGYFVEPTIIDRPPIDSRIVTEEPFGPIVPIVTWND EASVIKEANNTKMGLGASVWSKDIERAQRIADQLEAGCVWVNNHAELSNNTSFGGHKE SGIGYEGGLGGMMGYCNAQSVHITKK TRV_02119 MPPPLRVLTRGSVLVGKRGQRYVLLDPLVQRKGKQCNVWSAAKQ DDPMHQFVLKKPDDEDGPGWPDFTREMEMQKLLYKSGYIRRMVDVIPPPSDTEPPIMI LEAFEKSLWTARLRRPFSLGEIRSVMRSIAIGLSTIHHNNIVYIDLKMENILVNGFDN ETPGSGEHLVTKIADLGMMRPPSQGPISAITYRSPEVYFKKPWTTATDIWSWGIVYLH LLQAHINFEEPGIFDSLKVEGTLIDKENTIRAAMGQEFDLHTIEYYNSDPDTRQLLPP KDKTRTELDHWVVKLINKDIPEKDIQTVHVALNPVPELRPSALELLEYGYI TRV_02120 MALFGRPRAITAGARNLREDLTNRESNPTDLPLPGSLDVENPVA RARGNNAREYSIDQPVVDTALNILRKIKVPTKKYERPSGIFGHASYYGRELFYLLFMN APSSDQLSSSRFTKKNGKLVEAVRLLEGAARKNNSDATFLLAEMNFYGNYTHPRDFSK AFRYYDALATLTGNSTAQYMLGFMYATGIGGVVERDQGKALLYHTFAARGGNTRSQMT LAYRRYIGIGAAPDCDQAVYWYKKVADKAIAWYRSGPPGGINWRREAFRWADEEGGVY GEGASVSSAGYNAMRDVHSSADASLDDVLEYLDLMAKKGDTKATFGLGKLYYEGSRQL ERSYKKAMMYFVVVARKYWTKDGSINPSHPPGIDKIAAQSAAHIGLMFLRGEGTEQNF QKAKVWFTRGRANGDAMCQHYLGLMYLHGYGVEQDVMKAASYFKAAAEQDNYYSKTRL GALFLDQGDVVTASIYFEAAARHGGMEALYYLAGIADRGLGGQRHCGVATAYYKIVSE KAEGIHSAFAEANDAYEIGDKELALIISTMAAEQGYESAQANVAYLLDEKRSVLSLDP ILPWVRGGRSSLLRNAALGFIYWARSAKQANIDSMVKLGDYYFEGYGTKKDVSRALTC YHSAAEGHSAQAFWNLGWMYENGLHVEQDFPMAKRYYDLALETNQEAYFPVTLSLLRL RARNFWNKVIRGKANTINAEPDVNTPRTFKEWISHFINYNEEEEAYQRALRQQAAADP DAILDEAGHAHAQDQDLNYYDEEFDDGILESLIIIGLVATLVLLLHFRQQRAARRAQE ANNANNQQQNQGADGAGNAAEDRGFFPRPDDPNFAAWVAGGLGR TRV_02121 MEVKLTLPKKRGLGDGSHTLKRPFKSPLRKGPDAEPVVFSQSVS TDSSNQRLFVNSTLKWKPSPGTQTPLAAQNPFQDAHISSATTPKARISTLQREMSSLQ LRLARQLEEIDILEQAINILEKGKLGELEILKQKWRSVSRDAAEDLFVSAKDKVNRMG GVRVYNEKIRKRKMKQQEWGGWDDKQDDDDDEDEDLGSDIEREKERRKAELEEMINFR EKDEDEPEDEEESENDDETFTIDMMLKGLNVDLKVIGFDKASQRWID TRV_02122 MDGYKNIPGCTKNLDPRLFQAFAGDKACLCQAIQSVYRKIGRLP DVTAAKYITKRNPTLYTLLPRDTSAFSNLQHFSFNGAHNVAHIVVPKECFANHNVYIY YRLFHFGCRQAKSVRIVG TRV_02123 MSSDAQQSAPSGAPEPEASKGRPLESASDGTRDNTEGPPSKRVK LDDESPVTTSPKPKDGPERRKGLAPIKAEYIITFPKDGYDAPANNNSGRDDDAAEAAG YNDRDGEGKQGKKRREKQKGKNTNRRFQRSQDEKGLCGTITHNPEFSPKPCQYGDNCK FEHNLRKYLKEHKKADLTTFGGVCPVWDAFGVCSAGWRCRFVGSHMAEREHEDGRKKL ILVEDEEKKKKAISSSATTSSINATELGVVNLVSTENKQLMTRKKYLTPKADAFNDWI TVTSKELEERFHGRNFEEEADGKETNGKAEVDGNGEAKAKENSEENRARYTEPPFLPS EKRRLYFGPETPVLAPLTTQGNLPFRRLCVELGAQFTYSEMAMSLPLMQGTKGEWALM KAHESEILPPTISPKQPVVKGYDHSRDLKFGAQIAGNRPWQALKATEILTALCPHLRV VDLNCGCPIDLVYRDGAGSALLEHPSKLEKILRGMNAVSGEVPISAKIRMGTKDNYPT ALKLAERLVIGGYESNELGQGPAGIGALTLHGRSRQQRYTREADWEYISECSALVKRL NAKRDEVSDTIREPDERHLPPGGKVYFIGNGDCYSHADYNTAVDKSGVDSVMVARGAL IKPWIFEEIQAGQYLDKSSSERLAYIERFVKHGLEAWGSDEHGIGTTRRFLLEWLSFT CRYVPVGILEYLPPKINDRPPAWRGRDDLETLLSSDNYLDWIKISEMFLGPADKDFKF TPKHKSNSYDVAEGSRDMSLLLDPAGQVQVNVDDEAGLNAVYINPLNSPNFPNPFLFL FYFQPHETSSLPPSPYWGCSITLHVHEIHHLPCLLLLRPAVEQCPATPCFPGDSAFFR HIDINIPECIRSGHGGSMIQGSLSLGDAHPKTAQRPCLACTKMSDSPTRPRSPIQQEE FDPTPIPHGAQGPFPAGYLERGHHPRYGRHAFFARQFRRRLCL TRV_02124 MSFSMAPNGAGSQQPAHLSLNSNAGGLSNNAAPGLNRPPNQHSS NYHLNGTSTPTYLMPTSPPKSRRGSSDGSSPKLETAVGQVPICLVNASITYCGNNEIY AFGGFDQETDEVLQILLLFISALSQVANTSGFSPGHTASFYQGDKLIVFGGENERPEH LNDVIVFDIKTATWTSPEIRGKPPRGRARHASVIYEDKLFVIGGVTGESNLILDDICY LDLKTWTWSRTWRSVVVGSQGYPITREDILNRARYYDAKFIPDNGKQLLTSLDSPNNT PPTTGRLNSFAPNAANTQIRSANSRRKPTAPGAISSLKFHSGPYVPALRSGTHFHVYS SGLLLDLVTPSETVKPWECNLSTMEMDSLRWQKLANGQEIFPVENRWHYCTIDEAGST AWLFGSSSGNLDLGGDNRLDAILTIDLEKYGVLGREATEQNRILASERQNTLSNLGAG LASVFDQPPESGCGSDFIITADMDDAEFSYGQSEGDERASEFLPEDAARSPPIHVHRI ILYARWPHFQRLYAAKMAEYHTNRMHIPEPYRVVRAFLYYLYTDCISGDSEVSPSVLE VAGMLVMANMYDMPKLRLLCVNRLSRELDVDTAAIIWERAGRTDEDWLRRRAASFCLA NWGRIVRSEGFRLLSKQSMIDLCEVVDTEARILTGHEAELRDILTERYDATSPRRPRV AVAAGGLEDDLDIDEEDGMEIS TRV_02125 MRSRDCDPIAISVIANAGADTLRNQPLIDKFTPAILCFERTIVK MWTPVELPYFCDTDGLPAPLPTTDEIMSSTTLLKDRLGQKMARVGQHFVVKYGQSTTE VEGHNLLFVEHNLHNIVRAPRLYAMYRDLDDRLFLVMEFLEGESLDGLWKALSDDEKS HIMSRLKDMFDGMHSLPSPGFYGSVDKGCMPHHLFYSYPADQSISGPFLHENEVNNAI AGKLRSIFTYNKKYSYKSDFYERHLSRVLSGHRPVFSHSDIYRKNIMIHKTDKHTPEK CDYIVALVDWEYAGWYPSYWDYAISFTHFCWDDDWPERFECFLEPWLAEAALVRMFYQ EIFF TRV_02126 MSTVTVCTPIKSHHGLFSTKTAGGRIPLTPSPRARNASFSGNLS KDDASPFTPPRQTGDGARSSSQSVYGNGNLASHFAKSVTKTRQASKGNYRDSPKSNIA RVRQEPRHLEPAVSDWTLTGTGPAQSQTPSKKRASKKESTITRSRASKPTKTTIRLPH TVADRFIPNRSTSEGLVTAGSAKSDGATQRPKTSSGEGSTVLANAASAFDINGHSRDD DLAEAFGDLGINDNDSTTSSSGSSSYSRPDPEAIAYKSSLADACGVSLKTRILEFKPA APESSKPIDLRSQYNRPLKHSTAQTAQFRRRVQTAPDRVLDAPGLVDDYYLNLLDWSS GNQVAIGLERNVYVWSADSGGVSSLLECPSDTYVSSVKWSGDGAYVGVGLGTGEVQIW DVEEGTKLRSMFGHETRVGVMGWNKHTLSTGARSGLVFNHDVRIAQHKTAELVSHTSE VCGLEWRSDGAQLATGGNDNLVSIWDSRSLSAPKFTKTNHRAAVKALSWCPWQLNLLA TGGGSYDRHIHFWNTTTGARTNSIDTGSQVTSLRWSNHYRELVSSSGFPDNSLSIWSY PSLVRNVEIPAHETRVLHSCLSPDGQMLATAAADESLKFWKIFERKPGTAASTTREAG LSSKGSKMAKQMTIR TRV_02127 MPEIKEYLPSKYEPLLSDANWAKLVKSKRNLHRALSVPHPSDIE DFLISKTGNLIIESGYIEHCKSAIHALYRFRHLSSLEYKSHIRELSMQSALMVSELRA IGRQKRIITQDMQEVLLRNDDINAAYMNAIIGKAAIAPGKELNRKYMSKQLRKLADDF YDGKRVAALETQGYCHALGWTMYDEVTATHLVPEGLDANAIARLFGTTNVNIDEPRNT LLLHKNVGKALNTGFIVIVPDSAPGKEVKWKLIVTDTDFLDETVSPGVTWNALHGREL KFLNDNRPAKRYLYFRFMITYLMIKRHGQLNWIREVEAVRHPWSIPGEYLDRSMLSSL ARNVSGSILPKRFYYGMAFEASFRLLPPWGETYEDLEICLADSILMTPDYRDPETVYC GSDNGIDGHDDRDGDTGSDKDSDESFTLIS TRV_02128 MAGWQSKLSSLGVAHFPWDPAPQPPVSQPQATQQQSPIPPPSTI PSNDARMNPQPQLHPQQQQQQPQQQQQQQQQQQQSHIPQHAGLQSANDHPSGDTFVKA EGGFSPPPPGPPAGTILAQQRAANALHQKYGNAAANQVQHLQSHSQAALSLPGGQPRY NPPPMSTSKPQDFRPPQAQAQIQPQGQAPGQQQTQPQRLPQTGIQNSQTDGASDDLSD WKAEVQRRREAAAKNGPENDRRLRDLVESRNLQLEGGGLLAPLHERYPNANGKKRKIV KFDDLDSLSNQTGASSVVPSSSTPTEAPSHPQFDGPDDYPVKKDDDEDAINSDLDDPD DLLDEEQGEDDAVGQVMLCTYDKVQRVKSKWKCVLKDGILTSDGKECVFPSSTIQFIF SNLSSRYVFHKGTGEFEWY TRV_02129 MFSTHLLFGDLILAYPVNIKYVPFICCTYHTLCDAFPPSSSFPA LLRALNDSKRPLLSTLITHGIISSTLLFFSFSFLHILLFFNIALVNSSFGGMS TRV_02130 MLDSSETPPPLHFSPPSSEQDRTSTRESTPIGTPLGVVSSNPRR PELLHNHHLGNRKASAASPFSPSAAHIPVFDDEDEDDSIFPLFPSSPPDQHHHHQHQS SHHQNRSQLGQRRHHRYNSSQHSVTMDGRAMPIDLSARQTSKSPPGQQASNLTSALQK AATGAADMSSNWNGVASNNFMSNAARKESFSATMAQYSNGSKPITMVGSNRDKPRRES LAGSLVGGMSWGGVSVGSWIRDDIIMTGTSPFTLQSPSYHSSSYLPKLEANFMRDFSC CGITLPSLHDLLQHYEEAHAQRSPQPPQRSAQSNQAAQSDNRAGIGASPHPSQPSQQQ PNMATAPGRAASSQPGTPRPHHRLPQQPQQPMMQTATGFGQPQSNDIPDIDSVDDMDM EMDDPLSDSGFQQQTHQQSLTQARFAQENGGSRVTPLNMGILQGQQRFRSSAPGTPVS SGRPLHNNPTVSSVNTPTLMPLPVQQQQQQQQQQQQQPQAPNPQYTSTPEPSAPGTPA ELDDSIVGGFGDMNMQGTATPVGTGPGQQQFGTFGSNGMLDLCIDEPAKRLFRPNTGF GNGKQVQQQQQAPPFRLGNSHYAANSEIAQHIREQQKLAGVPDVTLAMKPDDEPKPFR CPVIGCEKAYKNQNGLKYHKSVSRVLHYHSSSSFFVDPETCAPYPGTLGMEKEKPYRC EVCGKRYKNLNGLKYHRAHSPPCNPEFSFAAAGRAFNTAGGVMHGDNINIAGAGLTGI GELE TRV_02131 MSQVTATASAAARQRGRQPRSTATESSRQRAVGQDADGADEGRG REGEPKEQESKRAKERARDGTTRKNKTDVESARSLEAWAVVYQQQPQEEEEREEGGNK QESGGYDGDDANRQLGGGYSLTLTGPMQAPVCQSST TRV_02132 MPREVVREKVDFLYAVWRRLERLRHPPSSLTAGSLPSAGPSSVF TSSSSSSSLSHLDFYAASSSKIIDVVVFARFGPKLGNERYIKEEEEEEEEDRYREDDD GDPQLTRSLDSFDSNCSFKSTSLLVFVLLVTEMAIFVGLIVPLPFTVKRKLFTFISES PIVAKLQYGMKITFIFILILFIDSVNRVYRVQIELTGFDAANTGHAIGTERMEVQARK FYSQRNMYLCGFTLFLSLILNRTYTMILDILRLEDKVKMYEGDKRAGGKDSAKLAAAG DMGEIGRLKKELKARENDIEALKKQSEGLSREYNKLGDEVSAQNKDNTTKKDR TRV_02133 MSIDINWEAATSGPDGEELAERIRCFIHDKFQQIALPRFIRSVE VNSFDFGTVSPELQVRDICDPFNDFYEEDEDGADLSDSSEGDEPVPSSMSLSQSTLKG DAGSPGSSNDDGSGNSNGRSGYFQRRRGSREYSGEIPQPLMSPINLGESFNPYLFPRT GTPGIPGGTSHIGYYNMPRGGLSGTQTPLASVASVARGGPLSLAEGWPPPARQRERAR SSDTDVDSPQSRSRPSTSSTRQVTPVEGGTPRDPAEIPESESIITGHLDSALPARRMR EQKPDDFQVLCRLQYSGNMRLSITAQILLDYPMPSFVGLPLKLNITGFTFDGVAVVAY IRKRIHVCFLSPEDADTLLGADDKMTTTEDYHEHHGHHSHHSGSANTTGSRRSNESLL REIRVESEIGRKESGKQVLKNVGKVEKFVLEQVRRIFEEEFVYPSFWTFLV TRV_02134 MFTNTPNGTFLVLAPQSHTAAQLNMATSKPAAADAPVDIDTQAA DVEAPGKTKRSDSNPFIPAIGAKGFTFLRLGP TRV_02135 MSSSLQASPQLNPPQLGSQYMHPPTSNGQQYHPPGSPLSPVQYP HGGDHSGYNESSPASQRLHPSAASPGMSGSQDYASPVTPPGKPGSTSPRARPGTADNS YGSPPIPPPRSSSNNKAHASPQDVSSRQGKRRENGRSHGDSQQRAKDDMSPPSSKPRR KTPHSPEGPQRAASTREPRDYSNVGALESRSEAAMGPSSVPTGPVHEPSVVLDRMVVT NPDIDIARAQQRQENVHIDTRHATNASGYPDEADLHEDEDEGANIPKSRHDGSSGKRK ETSFGDYILGQTLGEGEFGKVRLGWKRDGSVQVAIKLIRRETLGSNPGRLPKINREIS VLKKLSHPNIVRIHEIVHTDRHIGIILEYASGGELFDYILNHRYLKDNAARRLFAQLV SGVGYLHKMGIVHRDLKLENLLLDRNRNIIITDFGFANTFNPSDELSEEIEYNLTNRD FVKQMRLDRTDANGLRRGDLMQTSCGSPCYAAPELVVSDSLYTGRKVDVWSCGVILYA MLAGYLPFDDDPANPDGDNINLLYKYIVTTPLTFPEYVTPHARDLLRRILVPDPRKRA DLFEVARHSWLAEYATVVSHITSNTTDVADIANTTVPSGMLIFQFSPFTIGLVADCNH VEEEKRDTPLLERSASVREPPKPHHGQNNTAVLGGLTQQPGQVSQTPEEKPKRTRDAK RRTVQVEYVAPQSSTARGGSTSDQQSSPGQASSVAPVSAPTAAPAATRTSAASTGAIK GEPQLDKPLPKPVIDRNRERMGYTNNYADRNQGMTRPKSRDVARSVSELTGAFSGTQG SQIPTGRPQTGGSMASAGRMDSRLPSRGSYSQPVAPAVAATNVQGKLAQPKNGKAYNI SAPMPASHGQISASVGHPTTISGAPTGPAQQTSKSHKRSSTVTSISEKLFGRPASSIF GGKSSTPGSPRLKQGKRYPPTSMKDPYVMMDEPRASIDSRRSISHAFRRQSDAHNVSR PRRFSLLPTFSKFSSSKEHQAVEEPGPTHVDDYMYSQQAEQQPQQQPQRERRLSTAPA AVPATLAPTNDQDSDLYKDRVSVSRDHQIDALNLSAQIDRQFANLHSSQEGQINNTFE PTYPQSHPRDDQMFGIASSSSQPLQQQGYPDAHSQGFDQDHQPPQQYDHQFDNGSRPS MQAGRQPRNNVLQKGHRKFADAYEFEQAPSHTSGSSGAARKVMDFFRRRGKARAGEDR TRV_02136 MAGGSSDPRLFFSLDNVRAFHVQDGEETELTPSGPQTLSLLMVP TTIPVVDPANPQAASGNTEEDFYLHLHLPPELELPLPATTQVYHQPPDSYLIPRWDLG PEAGAFTRIQFPKIGSGSGMVSQEDVDTFETILAQCTAFLERSPPPKHQGKQPQKQQQ GGYNPATYAPGEGYAGTGDEKNGQIVLVDEENGSVVGELTEGFSVVQSTDVKPGSKDP VQIQLPETGNQITVSNAPPEYLRMASHPAYAKSSLVQGSAMASRLLVTTTEALASLVN TGADSFTRSTKPAAKPMTFSPSAQAHIRKINTFSQSAAGLSAKTVGQLGKYAQNLGAA LTRRGERDPYNRGYDINGKPIPDYKPGILNKSMIAISTLGDGLDHSARHLLSTGSQAA SKVMGHRYGEEARAVTTDITGGVKNVGLVYIDAMGVSRRAILKSVAKGMVVGRMKDGQ QLVVGGGDGGQIGSSVLSPPSGKPESGLSAQFGASSSSSSSGPMYRAQSPAPPPAYGA QGGKSLGGTPMNDSHKYQ TRV_02137 MLVVISQLRSRAFSATPLRRDADLTPTPITYLSETESMMAESVS KFAVEEIGPKVRDMDEAETMDPAVVEQLFEQGLMGIEIPEEYGGAGMNFTSAIIGIEE LARVDPSVSVMVDVHNTLVNTAVLKYGSAAIKKRWLPRLATDTVGSFCLSEPVSGSDA FALQTKAEKTSSGYKLNGSKMWITNSMEAGFFIVFANLDPSKGYKGITAFVVEKDMPG FSIAKKEKKLGIRASSTCVLNFDDVEVPKENLLGEEGQGYKYAISLLNEGRIGIAAQM TGLALGAWENAAGYFFSPELTTFSTNCDNSYIWNDRKQFGQLIGTFQGMQHQVAQSYT EIAAARALVFNAARKKEAGQDFVQDAAMAKLYASQVAGRVSGLAVEWMGGMGFVREGI AEKMFRDSKIGAIYEGTSNIQLQTIAKSLQKTYTK TRV_02138 MRNDDEKTPIYKRPGSSSSQLNTTNRPGENDEEATASWASMPKK WQLAIIVLSWFWENAAMGSRGTYILFQLRMFNLPDGSVPSAASLAFRVSLLSVAIAVP QLFLSPIWGVLADSPRVGRKKVLLMSLFMTGISWLGLAFCNSLLGVVACHVLIGLANN KIAVMRTIVRDISGEKFESRAILLMPTAFNLGSTVGPLLGGILSDPVRTYPKAFVPGS SLTWLLERWPYALPNMVNGIAIILCAILTAFQFEETHPGKGQRSLSVIPGWAKNLGRR LSWGGYEKLSTEDHERIEMTGLREDDSSKADLARPAAVSIWTRRLIMTLFSNGLMIMH VGIFPALLAIFLSTPRYDPAGVSSATSPSPNGTDQQQSTAANGVLSVPLNYHPHAPFV FTGGLSFKPDNIAFALAIRGVIGLLLQLLFFPYLKRIFGLFRLYLYSLLVFPVTYFAI PFWATVPSTTSPRLPASGALLWIFIAITLSLQTTARSFVTPASRMILNAASPDKTSLG TVNGIAQSVAAAATIVGPLITGWTYGVGLRQGIVGVAWWTMSGFAILNAVAGAALMYE SRRGEKRKSAIQGEP TRV_02095 MSSSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSIKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRPNSKASNVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTVIKDLCEGFPEEFTKYLTYVRNLGFEDTPDYDYLR DLFTQALKNTGDVEDGEYDWMKLNGGKGWEAAKPYSSHHHLHGANALPNSSARDLHGS AAPRASHRPGVTADRLNAAQPPPPSPAKAGVGKARERPNASGVPQVKRQNGVAGGLEA TTPTASTQAQFQNSNVHLPGNRVNTPGNQAMTSQAQQPRRAPEPEPTFMQKIMKALCC AKMMRLSRLIAGMHSLSCTTQHLYISFNLSDLFFFGPMRTTWSLLLSAILGPGKVLVT TNHGYLGPSSPLQSVLYRVQLILLLLRCSPSDRYLFLPWCLHSLTTTRQLFLILYAVP PYPAWLSLSHTYTCSS TRV_02096 MEEELLLLALLVGQPSHLLLQLQRRPDLFRWFFFFFFFFYYYYF FLSIPRLPLRLHLRPRPRRLRRVSKANNNNNKKKKKKKKKKGDQAVIPIRPLASAPGS RRAKAKATKAFPGLVVRRSSPSVACVSSSSSSSSSSSSSLCKLPPKNLMLSTRPE TRV_02097 MGFITVNEAAIDKAFKTNPALQGRILEAISEYPQCVGLFEDIAR FICSEREAHQSQLHSAYSIAPATESLQQQHITPVEGPAAKKRKVANGFAGSAAPGAMG GEDSALIAGIQGDADLQLYVQDLSFTIPQRKKLRLEFTQDLGGGNEYIRARNQASGEV EFGVPVGKIEHVLCLPVPEKAQRQFNFCIIPEYKDGITSAPDGVVTFEPIVWTVPDAP PRSAYLGSGTPVSESASLAETYQTYFQSFLDGKLKHVKVLCPDEKEFVSATPEAHRKS EKAYHVKAFRGSKEGYLFFLASGIFFGFKKPVLFIAFENVESISYTAVLQRTFNLNIT TRSAAKPDEMQELEFSMIDQADYPGIDAYIKKHGLQDASLAEERRAKRLNINAPRGGD GADDGSGMNDGGVPQAEEEESELQKAQRELENQQADEEDEEEDDYDPGSEGESEGSGS SSEEEEGDDNAQGA TRV_02098 MEKVLRRAALASNQAKRKAKAVLEKDRRNKLQGDLKEKFAYNRS LLDEAIEERKNRREDWLKGPLAPRRNFGDRDVLYGTVTTNRLRMPKVPEAKRVKYVTF APGDRVCMVRGRDKGKIGKVLQVDEESQSVTVEGVNMLLIIYFAQFDVEFPAFALAGD SDKRPYRSYPVPNSFDDVRLVVPLQDPATNTVKDVVVKHAYGAGPFLDRPYGSTTPRH TRYISGLDIEIPWPETEEPDFQDHPVDTLQIEVENKSYLPSLQTYPMPSTVIDELRNK YSKFRTRHDPEYVAKKEEEAAFEQWSKQRTLVTPQTEYLTKVAEEKRLQREQEKDENG NFKLSGETTDFIGNFMARKLQQRQQQQHQG TRV_02099 MQNSPKRARAKNQRQVCTLNLPVKQPPVLHSSPSNHHLHLRQRQ PIPDQPQHAAESSSSKALLTDLSLSQLVFRPLAARLDPFFRLALPALSPCCQLFQSLL LDPKTYKKKTKKKITKTDKKNPSWVVVSTDGRDSSALSQRLVSAQYLLPPIHRPSVSD CDYNISNILTNLASLHQKVYVPTVFENYVADVEVDGKHVELALWDTAGQEDYDRLRPL SYPDSHVILICFAIDSPDSLDNVQEKSKTVRIRLLTVLKKYKQWISEVLHFCSGHPII LVGCKKDLRHDQRTIEELHKTSQKPVTPEQGEEVRKKIGAYKYLECSARTNEGVREVF ESATRAALLSKEKTKKSKCRLL TRV_02100 MPSQTKQWPSIRLAFIIIAFLPSLTHGWTWWWTDDRGDTHIDNG TNDRKCTKMNLPKGKQYRWDSEGSMHCISLFSDDHCGDRNGWSCPPWGPRYQGQNVSL SYLVNMNGEEISSTPSSAEPTPTESSASTSNTSSPESTSPMTFIPTSPSTSTNTPIPP PVGSGKLSGGAIAGIVVGVIGLIAFVAFLCFISYRLGQRNPIVKASVEEPKGQSGGSG SPSAHEMHSPTQPSMAESQTMSTASSPLSPRSELADSGMFTKRQMLSMPQQPRATELP DNGRQEIAA TRV_02101 MGSAEYPRMDFKEFYNTIDGKRTTTAVTRHGINPANKKPLAEVP VCTQADLDLAVSAARTAFSKWSKTTFEERRAALHCYADGLDHYKDEFADLLVTEQGKP LEQAQAEAGAAVAFLKGISSLSMNEEVLEDTDEHKIISRYTPLGVACGIVPWNYPILL ACGKIAAAIYTGNAIILKPSPFTPYCGLKLGELGMQYFPPGILQVLSGGDDLGPMMTA HSGIDKISFTGSIATGKKVMESCAKSLKRVTLELGGNDAAVVCEDVDLEEVIPKIATA CFYCSSQICMMIKRLYVHEKIYDQFRDALVKYTAALKVGEGHNPDSFIGPIQNNMQYE SVKSLIEAIKAEGVRPILGGEVEESEGYYIKPTIIDNPPDTSRAVTEEPFGPVLPLLK WSDEDEVIARANDSNMGLGASVWSKDLVRAERILRQFDSGVAWINCHFNALPHIPFGG HKCSGIGTEFGVEGLKQYCNHQALWLSKR TRV_02102 MPPLKPPSIIPAQLSFLTIYNPSLGTTDETLQDQILFYYSTPSQ TKLPRDVPERDTASADSSEEENKRLRHVGLAQGMVSFAKNFSSGEPVDSIETENSRIV LKELEPGWWILASIDLTKIIHTQKGSTRSREETSNDIQVEYSSRELSSPYLLSQQLSK AYSIFLLHHGSSLASLYKTISRKTFCIYLDRYWTRFVWSWDVLLNGNPAIDLFSATKL AGGGELGIGVGEEEWGSGEREVLEGFISRTEGLVDMVVSRFGDAENPSREETPSKKEP TKSYKQEWIGCMMSPGPQDGVIFSGIGALSRESLTHVSQWMEWVYRYGEDAYGVRGDP KSTHRRKRKQRRKEKRPKNSQLEANTSPVPVKPHHEPSIQPTEFSPRIPPPLVVTSAK PKGSETGFKSPKAPSDKAADSSTFGTENILNIITLGYGSAWGATSDSPSLNTGTNSDQ PQSTPKNLPSNPHQRQSGRAVESTGRFLIGLRDDLENEESDSENIEEENSIVESTKQL TSSKIIVRKLEVTVQSSSLHEDSSPTLVPKSLQVVIYLYQPFMFTFLFECGTKALSSA SFYRTIHHQIGPLQKPLLSSTSPSKIVERLSRYDLHQFARGQGKSSSEETLYDIIYEP FHRTIRTSLPNIPEPEIPSDQIRAYNNPRDISHLPPWSRADALNVYAQIINTYLETKP QSSELERICKTNRGWWVLWMRLPTPPDLRSPHATSSNSEKSGSSDAQSQATAGISSRH LESHMSREGHCESTANVAFLVRKASDFKQSGRGHIRSQSGPGFLRSIGGRFGRELEGW SGTPGGLVEGVGLDAKKYIDALINLNR TRV_02103 MLINGIRNRLFVPPLNPIIKQTTSDERELRPASKIKPENRHVAW NSWNWDTIRRHQIVLGALWNTAATSPTIPGEEHLVQRKRVIFGNMKLADSTRRTDGIP FTKPGVPFTFKDPVNKRDEGRLFVFTSDGKLLEIEEMKVEGDRMAPAYRAALKAKLVD PVAARTSMHSVFHGPLL TRV_02104 MVAEKFAAEGSNVAINYYSSEAQATELAAKIEADHKVKAIAIQG DVALRADCENLVKKTIELLGGIDVIVSNAGWTKLSRFGDLNALTDDEWDKCWNTNVKA NLHLFREAEPTFNANPEGGAFIITSSVAGATPGGSSMAYSVSKAASIHLMKGLASSQS SKIRTNAVLPGLLLTEWGQRFPPEVQKGYAEKAALKRVPDIEDCADVFVSIAKNYSMT GQEIQLDSGFHIR TRV_02105 SEVYNPHLNAYAPDSSITITITVDEVFDNDHRVVSQKGADKGRY TFSTADAGQHKLCFTADSHANSGWLSGASGPVKLTLDMAIGESNHLESEDKSKLDDLV ERVRNLNGRLQDIRREQVFQREREAQFRDQSEATNSRVVRWALIQTAVLGVTCVWQFS HLRSFFIKQKLT TRV_02087 MHILSPFESFMPSIAVHPQLAWPGLTLSSGLDVQFHLRLDQKKA SRAKMYIT TRV_02088 MHETDSGTTKAKEKKQQLLSVCLLFSLGSHSFCCALFFLSSLDA SSSRAWSLTLKKVTLELTCSLLFSRPKPKKSPREGEILLRLLFASGVATVNVGLLGWL LLAPLAFSNLLLGTGLSTFFFVIICFFSSLLLLSFFSNSGNSGKERERELRLESDYYI AAQPAAKGGENCCPGYRSSRRRILALVLLISNSSAFFFFVNLRPAKKEDVPSLQAAEA GLRGHTRGEGGSPPAVSHALVCVSSLSRWLPSYQVDTSLVYRTRRKSNEETAAFLRLP ANNTSTPQHHNHHNYTSYQIQRPPAAGSQQPAYKTLALASYTCKTDRLMRQKPRLRET TQIPSVTLASTASHVRSKGEGEGKARVWKDIRSRDTSSRSTSNNNNNINTNTKKPTSC TTKGMPSCGRGSRYSTITITPSTWNPGFTPDSSAYSSPAPSVLDSDEERVMDGWHFSR PSSLALPGGPLLSRPTLDDVLSNTAAPPYTLAAFMAYLSQNHCLETLEFTMDSKRYAE TYNAVSRQLGEFPILSDSPQADHLCMLWHRLLSAYVMPGSPREINLPSAVRDALLRHS NLRRPPPPETLDAAVKRIHDLMDESIFIPFLNSHASPQSQPSYSRDGSPEDREVGRHK SMRKRLSPQSSFVTSPRSAAAPGGGYFSYHSSSNPSTSPPSSHHTSISRTTTTSSRSS PYGYASGDSVSGTLTDDSGSSLPSSPGAGDPMTPPTTPPSSDMHHPHHHHSKSRQDNA WKKMGMKLGWKKKSGGSSASGRGYSTTSTTRDTRYQDDMY TRV_02090 MRQAWGTFEPEVPNEGEEQRNSYKASDHEERFDRKPGPDPIKRT SKVEFERPCIGSVRKGRLKAREEGLAMAEVQAGSSKTRAKAGEDPDNISS TRV_02091 MTTMNRQRANIVFSHLFEDDRILTPAETSEEERIFERDGVLRWK AESSGILGTCQIGTSLEQLLLLLPEQADRGALETSQTSSTTMEASTNLTALLELFGEE EYILYFDPLSRRGAIVLKAGATAQAQLKAWSHALLVSRHLARKNGTATCIHEKQGGQD KLYAKLGFPDTGTLDIICFFFSLPSFIYFLYQLLLPGVSQLVEATAALLAGDSLGINN KQHGKRRVAGSIFHPIRRQLQVSEEEKVLFMHRRDEGRRLFPSLLPIQLISFLAKKKR YRQAKQEDYDDDDMQFVSCMHLVASGCMVYIAATLLEQQRRRQKRRVWLCALQPRIAT WFLYINIFVS TRV_02092 MNHKSSICIGISFGNQACNRITYNDFHTSRPALRPPLAAWTEIG LDRRTDLVRLLDDQLWCRGWSHPNGTAAYQAAGDWSLTYEQGQEKKLVSRDETKASAR AWLKGVALKLGGCILVEQADISPAKGANSVERRGVSTGHHAPGVATRTKPAPSGCL TRV_02093 MQATTVLRQAAAARQPLIKFMGKRSIPASIDHSPQPHPASPTHQ LPDSFVTYRAKAQQHGPLNTTYRPFSSIGASSGAALGPVTPKKGEYFDRNDLPARFRR APWSQAEIDAIETGGASMFA TRV_02094 MHEILLFASVPAAQHDDLLHQLAGLAAMQPTEVLERRLLFKSFR KPGYIKPRVGGSQAQGQEAAFSDVQRLIKMLGSGMYHIQVVSDVDRAASSADGGAMGV AEDQAEWRIEFKDVPDAGAATGVTTRFAASARVPDYYISSLNEWGFNYCSEYVVEGNI LVLDEAVLFLHRILVIPPEIHKPSHAGKPLSRLPPYDQLKPLDSNGGYVLQACFTIQD SNSPDLLRSTSQRMLALKEQLRPAIRLEPGDRLALDPRVKAGTL TRV_02082 MATTKSGQPFDRAVCESLLRRRLFYTPSFEIYGGVSGLYDYGPP GCALQANVVDLWRKHFVLEEDMLEVDTSMLTPHEVLKTSGHVDKFADWMCKDPKTGEI FRADHLVEEVLESRLKGDKEARGQKVVVDEEKDAKRKKKVKETKVVKLDDAVVAEYEE VLAQIDNYDGPELGALIVKYDIKNPTTNGELLPPVSFNLMFQTAIGPSSNLPGYLRPE TAQGQFLNFQKLLEFNQQSMPFASACIGKSFRNEISPRSGLLRVREFLMAEIEHFVDP EGGKKHPRFHEVQDVELEFLNREVQLSGSTATTRMSIGKAVSTGLVDNETLGYFLARI YLFLKKIGIDESKIRLRQHMQNEMAHYATDCWDAELFTSYGWIECVGCADRSAYDLSV HKKKTGAPLVVRQTRAEPLRIEEWQVDIDKKKFGPRFKKDSKTVENAIEALTQDVREK LSLDLEKNGKIEIEVDGVGEGKVEIGKDLVQIEKRMRVENVREYTPNVIEPSFGIGRI LYCLMEHAYWSRPDDEARGVLSFPPAVAPTKVLLVPLSTHASFQPLIQRLTLKLRRLG VSNRVDDSSASIGKRYSRNDELGTPFGITADFQSVKDNTFTLRDRDTTKQVRASEDEI CNAVKSLVDGEETWEDVLKRLPEFTGQEVEPVPQRDQNNSFNLFSRHEDAGLNIERPS SALWHGIMAPKISSSKITLSCPLFAADFDPHNHGFLLVGGGGGEGRSGVGNKISLLDT SRRDAISEVVDIELSRDEDSVTSLGILQCSDQDVVALAGINSSQAEQKRDNNKHLRSF EITYPPRRKAITDLKNGDYGGDDGAQVNVGEPKTRALSQVSLFRKQESKSAEGEAYQR ILRLSPWKGEDAKRIGAIATGLAPRGELVFFEADAQSVSAPNVLGRVILGPQEEVEDV DIIDTSEDGTFKFAYTDGKEVYLANISMSSKSNLHVNSIYKVGRGPKSSKIRALRFIS PNTLLLLQNYPGRTGCELVVISLSAQMSKWTVSRRRGLHRSMKIGLGLDVCRLSESSS GERQCIIAVSGSDMSIEVLTLESASKGQIGKLQHYTTFTDVHPFSMTRIAFSTFISPA LPVTSDVRPQFVKLASVSVGNTVIVHTFPLSPYPTTSKHPRYVLVMPGLSELANTIFS SLVAILVVALGAFFLQAFTEIRGGVPPTLGATNWLSPRLREMLARPYMFENGHPNAQT TIPSLKDIPQVKTSQPLRDLVSSLSQAQKGDSSPTSDQPQEAIVVHDLGTEISAKSTV LSDEGEKHGVDHSKTKKWEDLTDEQRKIWKKKLADAGHWALDEGEVLLRGVLFGELAG FVGQIMA TRV_02083 MGKSSGPRPSGMDYKPGNKLKRQELHIKRKQTKDKETRALRFAR RKEEAKNPQLKAERLKRNVPLTLERKRVWDDAGSDVEDGLGLSFDVERIKRRRQETEQ EQKDKDDLIPDDKEDSEDGEGDADEGGDGSDDVDSMIDFDSDSDEGDQQEEEDKKPAG KDRRKNKVPSATERATSPTQSTRSTNIDLAPDALAAKFPSLFPTEAPPPPKILITTSL NSTLHKEAKVLTEFFPNSVYIRRSAHRYGHKFSVREISSFAANRNYTAVVVLEEDLKR VSGLTIVHLPVGPTFHFSISNWIEGKKLPGHGNPTSHWPELILNNFRTPLGLLTAHLF RTLFPPQPEFQGRQVVTLHNQRDYIFVRRHRYVFRDKRETEKCVVDANGVEMKGVEGI RAGLQELGPRFTLKLRRIDKGIQRKSGQDWEWKGRMEKVRTKFQL TRV_02084 MDRITLTNIPLEIRDIIIELVITTRVPVPKRPAQMAGRLKSVNP DINPLSNEGYITNSLSLLLVNRQLHAETKAAIGRFLKGAKEGNEAGKKRRNLTYTYDI MLVDDSYVWPSHICVPALSPRVDNLVVTIQAFGTCPVTKASMSGVNAFRSDMGPTEVQ WAFYRPLVNLLRDGPGPEMWTSKKPTQRPVIEALSQLGGSNRGITVGTLILDFQKSTD NTATNDIYYKWAADQEGGLFQPWKECRGMPSQIDGWEDVHRIVVRPEWLMRYLTMRLS FMLGMSYHTSQYSSVLFERIGKIDIRLNGVNVRVIHIAEKLERLNFSHPMDTFGHLPS EERIAAFQKWKREVIQLRKDRGLPVVE TRV_02085 MAHHDDVDVKTESVADAVTDMKLEREDSEEGVSLNGKTSSNGEA NGTPATPAKSAASSPPDRPSSALKDEESEEKVGGDITVKQEPGQPPKLARSATKKVVP RKPQMFDHLPDSTAEATALFQVIDACTYSNKNMGYTDHAMECDCAEEWNPKTGINEAC GEDSDCINRATKMECVDNCGCGDNCQNQRFQKRQYADVTVIKTEKKGFGLRANTDLRP NDFIYEYIGEVINEPQFRKRMMQYDDEGIKHFYFMSLNKGEFVDATRKGNLGRFCNHS CNPNCYIDKWVVGEKLRMGIFAEKHIKAGEELVFNYNVDRYGADPQPCYCGEANCLGY IGGKTQTERATKLSNATIEALGIDDLDSWDTALPKRPKKKKTAEDDEEYVDRFQPKSL DEEGVTKVMATLMQCKEKWIAVKLLGRMQRCDDERVINRIVRMHGYQILNSQLSAWKE DFNVVLQILQILDKFPRLTRNKIIDSKIETTVKPLTECGEERVEKQAASLLETWSALE VGYRIPRMKRDPALTANIPSAKQFERREVMREREDRPRKSASESRDKSPKSPSVDPTR VAPRGPAAHGRGGKSHRNSYHQGPRPFRRPFNPLPSGWFAAEANGKTYYYSARGDTTW VRPTAPAPQPPPPPKPESKDKTLQDIIDGIMNSKEDTPKSKDKEVSATPATPADVPQK KEHKPKWKSLSEEKQKKIYENTLFPHVKYVVDKFKNKLPKDDLKRYAKEVAKKLVNSD FKNNRVTDPTKVSEKQIKQVKKFCKEYFEKAVVKHQAYEKKKAEHKRKHSKPSDSISN SKEPAIPPPTDKADESDADDDDVNLSDNDEPVQDDVQCSSPREDESMTPGGSLKRKRD IDETVEEEDNDTKHDGSSLSKRQRSESPPVPPPPPPPMSPDNSMEVDDIDGGFKRKMD EEFELEDENTPCSRSPGKYNSHGSSPPPFPPPPPLSADMPDRSIDPYRNEQSNMDDET P TRV_02086 MKFTNMSAADNYAWFFTYRWFHNKWSWDSDGKFRKRESLEAEEE EMEPMNDLSDAVDVEDIHAEDAILPITCHVKDGDYLHARCDYINGEYNEFVKEIKEPF WARYSDCMLS TRV_02077 MVDDLGVNYLVVILISFFSSADGGGGAAAVFPRVVRKKRPVKGR VSVYSHRLAALM TRV_02078 MSFLEQYTSSPSPSILSPSQPSSRPSTSQWYHDQILRELPFISG ASRSRSGSPSRSRNNTGTQTPKRLSVLGQRSRSDTANSSNLSPASSMTSSKRSSHDGS KGVFDFVLPLEKEESSSKSFFSRGNRILRRQGSKFSLLDTLTLDEEEEMSSDQVWYGE KVKPRREKPAVLRRINRQRNPTSHSKLKSSISEPFHFQHITHTSRGQLPPIAHTHPHD LATEFSIIRASQRPNSELKGIHAQNLSCRPYTAEEESDWTLDTLAPDAPSLFTRSPPG SPRATRTTVTSPELRQLRAPRSVENFSRPVSRIVRQPFSPPMIPPPRRSSRMAMSPKS GGQRTDPSEELMGLNGLSLSPVEDGPINAVVGYAVSPPEQGPVAEPIEEIGRAFSPDE NNAPSTNISPLVQPSGVNERDEPQSNHVIAFDPAPYNAHMEPELVEIPSELKKPALHL SPLKETRFEYEDLHSPILPSEQPRKLLPPVEPKSPAGTVGMHVSNDSWEADIDYCYEH AAESNSNFDWNRESAEESRDRECSHLGKSETSGCFKSNTSSFYLHPLDTTTSGQTTPE LQPSSGISASTRAESDAASPAIFNHSFGTFPSAAESMDKKPKTTEIQVLETHFEDVSH DSVYTHVISDINEDDEYHIDEPAYTRTGSSPLSKCNSQESMMLSRAASIVRKHRSSTS TNSVPDLIHSPNCSREMVDREAPTSPLAERPALPTYSRPLPIGREGMPRMPFASFSGI ESTSNPSVSMHDRSKSASVLDSADCSLSRTSQVNRKRASTLSRGVRKNRPSYSLFPVT GPATSPKVS TRV_02079 MASPSSQAVAKRSSDTQLMPPPPPAKRIKRPPVALDEDDYTDAL SHIVARDFFPGLLETQAQQDYLDALESKDKEWIATAGRKMAQLMTPGSQRRYAGTSMT PQRGRPPSVSNMDTPHRTPAMDKNTPRGWKGDTPMSCATPGSMASVATSSAGHGISSK IRNMGLGAFQQKYTSEDNESFNKLLDKQNEKKREKYAWLWSGNKIPSARELVHKKREA KRLEAQAAPDGSKEKQLMKLSDNKSTADDRPARPDGWKSKPENSLMFIPSSVEDDMET VQQKQESTSRMGEKQVLYHNTRLRPHVENSDGEQYSTVPPSPSLSAVRDAIAGRPRPT DSEPGYTGGETPRVNGYAFVDEDEPDPQPHSAPQADETEEAYHLKLLESQLSDSTPNP FKLKESGKRELLLHRMVDRVAKNNRREKAIKETKTPVPMFPSSPMIAFGKSGDRSSIS KTPAMSRAALTPAAQRLWAQSCDVKFNEWKEYVDTNP TRV_02080 MPWPKLTPLQSRFAASLAATILLIILYFALSNPHLAYATDVDAL IIERHGPPDLTFTDTQEQISVQWDHVSIQGENRLDTEDGADIERRAAPGTEALANNVA KLKNIKIGEVQYWVFPKEAVHGPKSPPTPGLPSDISVILDGGTTDPGSNSGGSKDSED SDNVFKLDVRGTKLSRRSVSVHITANTCLQPELNVTRAAKDAGPPQLEMYVSTSKTFD RPGPQYKDNSAVTHKPFDGGYVAVNPDADEDVYIAVAAPNSTRYSGIYNYEIAASIDA PFHGLDNSSSFLYFVDGDNNAALLQTNDTTREPPESEIYKKWLALDPPPFTLFAHNMN DSAILGLQHSYCGLQNNAQISKKQKSLDVGMTARGIDHKPKQQIYAKGLNSSSVYYGF LAMEGNSTAYGNKVVGGGGKVWKAMNFTTKRVPSSPELKVSQLSEIYDTQAEQLYQNF SYSLKQVSCNAPATEQYSLATNCSQCAGAYKQWLCAVTIPRCQDFSSDLPFLRPRNTG QQFLNGTMLPDDYSGRLNVLTNSSRNPLIDIKIKPGPYKEVLPCQDLCHSLVRSCPAS FGFGCPTGQWLNYSYGQRSANGDITCSYLGAAYFLNSGWKSVDGMKFIFSFTLVFWGL VWGFSHWN TRV_02081 MSDSPKSDKGETRFPTPAPELDDHRFQAESLPRADIMENPAARG SVEGRDRPELLLPVNGNTMQERAPICRDFEHAVIDDDEEEDLGRLGVRRVSVNPMGPR LGPNDARRPSVTSSHTSVSTSPPNSVEAFAEPRRRERANTMESQMPGERELNLHRTIS ATSHHHKPAFSNASVVHPGSVDVRVNPTEEADVCFPPPPFEDTGRSPIIDYEELEEFV AICKARAGNLSAQNGVQRKHSISAQSRQTLNFTGIQKGNSNVDVPEIVMTPCDLEKPN TTVHLPADSAKDSGSDEPEDEKTGLAAMKNINSPQRFSFFSSEFESTVHAASIGDLVL PGDSFRDLFQLGPDGGVWWLDVVNPTSDEMGAISRAFSIHPLTAEDIMTQEAREKVEL FRQYYFVCFRTFYQVDKTSEDYLEPVNLYMVVFREGVISFSFTDNPHAANVRKRIGKL REYVSLSSDWICYAMIDDIVDSFGPVIREIEVESEAIEDHVYVARIEDFNLFLPKIGG LRKKVMSLMRLLGGKADVIKGFAKRCNEQYSVTPRGDIGLYLGDIQDHVVTMMSSLGH FEKMLSRSHGNYLAQVNVTNIMVGNRVNEVLSKITFLASILVPMNLICGLFGMNVHVP GQGGDDLYWFFGIVGVIVLISIVSLTFARRYKLL TRV_02069 APTAIMCCIISIVLLPPAKPMDKNVKKIALRKRVDFLGIAMFAI SITTFLVALRLLGDHEASTRITILFGVVSVVFGAFFLVVETYYAREPVIPPGLLKSMA GPYAVQVLLQNLTNIAPYFIRTTGASNTRAAIYLAPVFVGFTTGSVTAGYIIRKYKTL SIISVLLGLTFFILIAVRWRTGVSSWESLYVLPAFLSFGLLLSTTFTGLIACTPKSIH ATAICVYYLCQQVGTMLGTVLSSLTIETILREVLKIRLEDIPNKKEVSSLPSTSL TRV_02070 MSGIDVEALLDSTADPGQDTSKSPETSDLKDNEVDKKKDRDGRR DRDGSRDRDRDRDRRRRDRSRDRRRERDADGDEEMKSPKSDRASANGSHRSRKRSRSR DSERKRSRCDRDRDRDRDDAYRSSGGGGDFYRGGGRTRSRSRSPLDDRYYRPTGRARR DGDDRDDEKRRSRRDRDSRRRSPSKTPEPQLTEDERDRRTVFVQQLAARLRTKELIAF FEKVGPVKEAQIVKDRVSGRSKGVGYVEFKNEESVPLAIQLTGQKLLGIPIIAQLTEA EKNRQARNPDAHSNNNQQSIPFHRLYVGNIHFSITESDLQNVFEPFGELDFVQLQREE AGRSKGYGFVQFRDPNQAREALEKMNGFDLAGRPIRVGLGNDKFSHDPAQLMQRLQQQ GHNQGSSYSHHGGRGANTGGSSGGNFDRAGGRDNDKGAGGASALDDTDVAGVNFNNYS RDALMRKLARTDEPAAEPAPDEKRKAPKARTEAKPLPVTVNMASRCVLLRNMFDPAQE EGESWVKELEDDVRAECEEKYGHVVHISLDPNTQGDIYLKFDRVQGGENAIKGLNGRF FGGRQISAQPVVDAVYSSLFSRTKAI TRV_02071 MSAYRGMPVTGPSGTQYYHGAQPIHPQAGLLQPPLALQPSGSGY TQQPRPQLQSQTQAQPQGQSEIQQADIDAFEAEIEYLKEQLEHSELQRECIQNKLNTA LAQERHDHDMIEGLQARIRELEEMLQRHGIQGDSRSASMALVPVSSPDTEGYSGSGSG NGNGNGNGSGTRRMSMQGHPELSPTTRYQNVFGQPPPPFNLPGAAGAAAASGTARGNI NQAGAGSQSHFIPTSSNANSTGGTGTGTTGVSRGTSSSPDEQIASFVSMVNMRPQQVI HTRDPPYNSSDFANRLVGLWTASKCFAMKYTNTNFMYDEKVMSAELRSFLSHASSNAT ELLGNVSTRFLMVSKMINWFITRTVLKTSVVNGFDPTVDSEIQQMTTHLHPGTPTTVK NAMIQGIGHHILLLRNRPLFDNFFNKRTHENTQELFHLLSPFIFSRDPSVWRDLVEIM IDAHSLALIMHSGPYEFKFLHPLTHHHFDPTYMTDHNAGTEEDWQMQESKRKYTIKLS ITPVPLFKNVVTHPNAAVQVLHLGDMLLNSEPRRK TRV_02072 MAEQNVESRMAELISSMAQGRGDIVIQGKVRPSNSLMFVCIEAL MKLSAYAYLDEQKDHHRTLPRIQVATIALLYYLSTQERERERDEVEVEVEEKEEKVEV EMLISSLGKGRKDD TRV_02073 METSVQQAHLPDHTAPYSHSFILTQIHILISNMRVTFLFVAAAS AASATVSVSVSDMTDTTLLSTAPPAGTTSGPSYCTASDLSQYSSLPKLTGSLLDEFYS FHSELQQDCTATESGIGAQSCSVLESSYWCSFSTAVPSTLLSDYSSYASSAEAKRQAD ADRTTMTTTTMAAGTPGATTGQTITVPSRSGSTTGGPKATKATAKPNGALGHRGMTGI WMVVSMGMATALVNMMA TRV_02074 MSAAVKRVRIQGWTLADSYTSTSTSTSRTARRHFHDYFVTHLPT SSLHPDSRASVGPQHHLPRSASVPHAASSTVAGSRTTANASPATISPASPGLAIGRET TVVRIPLRSAKHHFGVSHVRGSRPTNEDTYQAGVIDLPAFARRAPLSVTVGQQAAGEG NKAADSATGDPQVFYFGVFDGHGGTECSEFLRDKLHGYIQQTAVEFGMESALSKCVES QRLTNRAVRHRERVGEVKYGVSPGSITTYSSTDDKQRSHAVQGDLPILQKANRERIGA MEKKLVADWRDLVGGYFRRFQPLHFSYAGAEPTGDDGDDHHGTTIKHAEPDAEGEDIR VSVRSGVSIEEVVEYAFLRADLDFVSEQVSKGDSKQPIPTSPEKPLNEYDILHQPNRY RDDNIPGGSGRSPHHHRHKTFKGGSTGSIAMISTPTPTPFWHPDAPSSLLVSHIGDTR ILLCSTATGHPIPLTTDHHPSSPVESARLQRYAATFVTDSFGEERMSGLANTRAFGDL TSKRIGVSAEPELRRIDMRPAEYSFLVLMSDGVSGTLSDQEVVDIVKEAKTPDQGARD VVAFATEVSSDGDNATCLVVRLGGWERRQEGGLGSLATKEAREWRSRDAADPRKRRR TRV_02075 MSARTRRQKAAQQAQAQAQAQGEDEHSDSLANGHSNGSKNGSAE SPKMNGSARRKRSPPRDGQHSEKENIFLFWPNIIGYIRIVLATASLYYMPLHPRTCSA LYSVSCLLDGLDGYAARVYNQSTTFGAVLDMVTDRCTTACLLVFLSSAWPRWAILFQG LISLDLTSHYMHMYATLTMGGSNQSHKKIDSSRSWILYQYYNNKAVLFTFCAMNEMFF IGLYLLSFSSPTLSPSLLQPSAVPVAHPTTLFANPWSAGALEMARANKMDSFWPWVLT GISAPIMAGKQFINIVQMVKASRWLAEGDIARRRKLGIAK TRV_02076 LENDRLGEYPSLSISLECTSANGAMADMQHAVFLRSLDGRLCLC PIKQDIQNVLDVGMCRPNHQTVREGFEVYINSQHIGTGTGVWALDFVYTYIHTYLIPG FSASTHLFLDHRYRPVTDPTESVCDYSIVCLCGGVPPNLSFLIDDVEAEWQFHQKFDL VHGRMMTGSLKDWDRFYTQCYDNITPGGYVEMQDICLPLKADDGTLTADHALDKWSRL CLEACEKLERPLDVAKSHKSRMEAAGFVDVVEVVHKWPQNSWAKDPKLKEIGNWTMTN MLEGLQALTIGPFTRGLGWSLEQVEAFLIDVRNDVKNRKLHVYWPM TRV_02063 MTGRHKRSRLASNCLLAGEDDEKEKMKNFLRRGEKRKKKEEKLG LFAHYELFLSTTKTKQTWQSAGTAPINQIPRHLRFSITIMAWQRPVLAARTFRLPRCL TQFEAFRYQPFSTTPSSSLDDSPNAAPPLDASESLRQPTRMLPVSRSYFTGTPKFTDY ELKLEYLRAKYMDLPSYGPREAPRVAWLVRGQFLAKVNEDISVSRYARLLKSLKVLNK IKAEVMPAEVSKFIEEFSRPRNLYQWELNSRKPDEDGRSRGIGRRKTAHATAYLVEGD GQIIINGKTISEVFPRIHDRESAMWALKATNRLHKYNVFAVARGGGITGQAEAVTLAV AKSLLVHEPGLKSALRRGKSSPLMLMVLNYVFILTSTSTAGVIRTDPRQVERKKPGKL KSRKMPAWVKR TRV_02064 MASLFVASQNEKTTLLGKTKQDYASILRWMCFANSEIVPYVGAW FRPILGKEPYNKKAVEEASARALKAFDVLEQHLTSNTFLVGERITLADLFTASLCYRG FQYVLGKEWRLSNPATTRWYETIVNQSVFKAVVSEPPFVEEPLKNVPPKKEEAAKPAP APKVAAAAAAAPAEEEEKPAPKAKHPLEALPKPTMILDDWKRKYSNEDTRSVAMPWFW ENYNPEDYSLWKVDYKYNDELKMTFMSNNLIGGFFNRLEGSRKYIFGAASVYGKTNDS VIQGAFVIRGQDYVPAFDVAPDIDSYTFTKLDASKPEDKAFVEDQWAQDKSITVNGKE YECTEGKVCK TRV_02065 MPTNIGPAGQVATNNLIPIRSRPYSTRAQRSRTPDIQPVAPTPK EEYIAQAELRPESSQMQQPLLVVLDMNGTLIYRRRRTFPPQFTKRPGLDTFLRYLFDN FKVMIWTSSQPHTVNEVLDKLLCPAMKKQLVGVWSRKDLDLTSKQYKERVQVYKRLDK VWGDAHIQSQYPNQATQNEKPRKKSNKVKLPRILGEDTQVWDQTNTVLIDDSKLKAAA QPHNIIEIPEFTNDRKVDEIKNLNTVIRQLDILSRQKDVSRKLREWNQKRPEGECDSI DVDAFWEKELMHSSLDINTLEFSTSQEKAIITTTTKATKNIKAPQSLTIEQLISAAKK SHTAINQHKDNPTKEATSEESKRINDGKPKRTKGQKRAATRRRAKLKGAAYKKADPV TRV_02066 MALSGILSKRVIAHRADENELEDDFSSSEELNTLGSEDDEDEDE DEDEGEGEGDESENEEAPDNASSEDDGDNDIKSSLSQISFGALAKAQQSLGPLKKGAK RKHGSEEEEEEDNKNTKYKKSKSDALNELRERIRRAKEEKASKSEGSRDNELLDKKHK EARSSKHAPAVQSSKYAVSRRRVVVDGENVAQVKSRDPRFDSAVQSYSHNAKSSSYAT SHSDLAAAKNYAFLNEYRDAELKELEEKLRRSKKDDEKARLKKMITSMKDRKRAMENR ERERQVLAKHRKKERELIKEGKKEKAWFLKKADLKKEALKEKYESMGAKERQKGIERR RKKVASKEKKEMPRSRRMVEG TRV_02067 MSTAVAPPTAAPLPPMVDRDRDLSPKTYPALAPLSTSRPSVSTP RGPPVHDYAGEKTTPEDKRSPNSSRRSGGPAPKISIKKESPPSPDMQTGPSRHRPQKL DLSSVASSQGPATARGPLTARDGLAMHDVGLACLSPGFQTQDPNMREQLQRSISVRDQ QRSIIESRLQKSAKGDGPDSVKPSESNLFGSAAPKSSKKRPPPGLSIVAPSAATFADE RVIQSAPLNQTFTGRHQPPPLTRRFINPPDFTASPQVNHNPANQTNNRLPPISDVFGT DALGPRDRDRDRDREANSRNGFGPHSQSNNHGPLPSPSIAANQPNSAVTKRPREYRSA EEAVHELAGGREDLLPRIVHYSGNRANSPRSPAGAHNGNGEGHSSHPQHHQPHTSGGP PSSQFPSQSSLGFPGSSARRRTRNEYEQDHGSPPLGNGPEHRYRPPPTSHGPFGAGRD SPDTQRKKKEEFLSLCARAWDLFHS TRV_02068 SSQPGLALALALALALALDHSPYPVRLSSSCLPVCLSVCPSGLH SLDICFDICFDICSANNSSSNHEHVDAASGQPWRNTGETAAAHREA TRV_02057 EGKGHSWIDAQGSSNRDLCVLLESQVDGKLQEGETRAEVSLLFL GLGMNKKATTGQFPNKQTTGGIAKKRKEKK TRV_02058 MLIHHVLSPFEPSSSPFLPSLGSAQLRQFDTAFSSSLQLQLRAT FLLLIIILSSATSKRKKKYARWSVKKATLYGVPHTPYMDELHFILTSYYLSCELSTSL ALRSIGLDQERSKGKKKGERKATKHSPSSWMGIVSKGVGEFVSSSDEASILALTTLYG VILQPWKGFHCLVYGTFHSIILSFGIAIVACKARRYIFHFSNMTLQFLSFSLRRRNKA SQAARLMVEWIIF TRV_02059 MLTSEPKEDEEDEKEEKKASHAPP TRV_02060 MGDERKGTPLPDGPWVTPVAKEKKKAKEKEKETTGKRKKREEKD GDVYNITEKSKSARRPPMASLRQPSSQEQDTASEIALLYCAEASLGRGSSTHSLFG TRV_02061 MHLPTPPQSPQPVSYCDAPEDKLGLLLANRLELTGILGVGAYGV VYSAIDIQTNTPYAVKALNKAGLDPRQRKFQQREIKLHHMASHHPNVVSLVRIMDSHD CTFVVIEFCPEGDLFSNITERGHFVGNDFLVKRAFLQILDAVEFCHSIGIYHRDLKPE NILVSDRGMNVKLADFGLATTDAYTSDFGCGSTFYMSPVGIECQQSTPAAYASAPNDV WSLGVILVNLSCGRNPWKRASPEDPTFLAYLKNPQFLRTILPLSVELNAILRRIFECD PQKRITIPELRKLILECPRFTVRPSVPVLSPVVTPPTQSLQCLKEPVEFVPFNNSYPP SSPAAELIEPQYSSSAVSDTSLSDDDSVSSCSSTESISNFQHRFAPAVIPPNFWGSFI PINHPGQKLTTRHRPLEQPMPITVQS TRV_02062 MMKFSLSKLIPRIYIYVQQNPNILPNLEHTNYTCASRKTCLDEP ALKCVPPYPLMYIVTVNTNIYRLISSLTTLLRIRIMVVLPDVVQPAGRIDRQEERQAR IPNARVISSSSLFFSPGEAASPRERVSQFGINVRSQSPIVSLPLILCLPVCSSTLRIQ MQGTRALFLTGSNFSTLQEMIPSQYSVASSEEKIAPSGSSSPFFFLRFLFSACCLGVV MYLLLHLGALLPTPFWQNEDFIFKAMRDVQRIILLLLLHPPPYCI TRV_01997 MEDRLHYLRWKPTITALSPNNLSSNARPRSQVPVSSSSTRATSG LQGPGLARYVRGSYTLFSGYNIDQINGILVSDDGNGFLLAVPPEASLRSTAAEAVSSS ARRETIKATGHPSTISDYEIAKEEQDFEDAIQNSLKDHRTPAAAPRVRCQHSNPVADR PSAASHRSHQPLNTQTLWRLYQEQQETSVDRHQRVPGIRPAVLHPPAHRITCTICNGS RAVRQDEAIADGLPICESCCDEILRAEQSRAEQVERERRVRFA TRV_01998 MDTQPVVQLPDLQDLAAIHRQTCGFHPVDSPNCNVHTLKGEACR YKGRNGIEPDGTIPGRLPVCGMHRPFAPSSARCKAILPCGFECGRLFKWSPGEVRLCP DHDDMSQPCYFMMIPAELRMKIYQQLFLEPQTDKRPYSDSRNSKGNRTSILRVNRKIN EEATSVFYGYPKFTMEVGPDHMHMSGDLWNWKYYKNLLIEKARLSKSENSSDRPVALL GAPSHPFLKCTTISLPMGVANFSKMRSFHIHIHLNHPQKKGQQARSNLANVSLQYTWE REMKTSYHMVADNLQKLEVFLKLVKPNIHTLSISIQIDYNFTGKLSDCLRYPVHVLRW LSRLRSVTNVSVETVEWGNETFSVLPHVNYQPSPSRPTLIPLNTTQIPKEEMKKYNTF RDKIAIWESLVSTTDKCATNTRIMNAYWRLENLLWIFQTAGVRQFRENDDTLPDLLYK ARCALHNDDMSGMNDAAIEAVRYWSDHIDMERDLRRWAWKEFLMVNTLTAPNTPSTLG ELLEMLKDAGISSSVLPQIQLRLAGAPAP TRV_01999 MAKSTGKPLKILMLHGYTQSGSLFRAKSRALEKHIQKNLPAYSV TLSYPSGPIGLSPSDIPNYTPVSNGGSVADEAPEAFAWWRRSDLVDPPEYMGMEKGLE TVANVLADEGPFDGVIGFSQGACLAAMVASLLEPDRSKAFSYMSDPANNQQELVNKSP GGNQRDPQKPPQGPEDKKMVTGIPFPSSFTGISHPPMKFAICYGGFIAPGTRYRAFYE HPKIQTPVLHVLGTLDMIVEEARSRKLIESCAGNPESDGRVLWHPGGHFLPSQRPYLD GAVQFIRLHIEGLDGNGNKNSADDDVGNMDMPF TRV_02000 MSDGTLFKPEKDFTKDVDQQIPEAEALAKASDLPSTSRLLVGIV TICKNAREWELLNEQVIALSKKHGQLKQAITKMVQVVMEFLDKTPNLETKLALIETLR TVTEGKIFVEVERARVTRALSDIKKSQGDIDAAADILCELQVETFGSMARREKTEFIL EQVALCIKRKDWTQANILSRKITTKFFARKPKRTPEQIEKDNKEAEEKEKKRSPDDPP VEKPEDVTDLKLLYYEQQIILANHESKYLDVCKHYRQVLDTESVEENPEQLRAVLQRV IYYVILSPFDNEQSDLLHRIQADTRNSLVPVEARLVKLFTINELMRWPMVAEQFGPHL CSTDVFSAKTNHTADDQAYQRWQDLRKRVIEHNVRVIAKYYTRIEMGRLTQLLDLDEE ETEKYISDLVTSKTIYAKIDRPARLVNFAKPRDADDVLNEWSSNMKSLLGLLERIDHL ITKEEMMARILPSKAGRSKAR TRV_02001 MTGSPAGSGRRIPLLAAIDSGSHIHLIIGSNPLAAARITKSIDS GATPILISPPENKLPSTLSERIEAGQVQWIQRGFQDSDLLTFGREEVEGVVDAVFITL DKTYPLRKGGSLASRIRREIASFLPPGLGTAVENLGLLRKRIWEEDAIEEEADKDSFE DDSDDSTAQKHTFNTLVVDAAATSKTRRIRWLSQICEYWPLRQLASVTLPGVEKMLEA YGVGKNPLTNGKSIGPEAKKGKIILAGSGPGHPDLLTRATYHAIKTADLILSDKLVPA AVLDLIPRRTEVHIARKFPGNADKAQEELLELASEGLSAGRIVLRLKQGDPYLYGRGA EEFSYFRAKGYEPIVLPGITSALSAPLFADIPPTHRGVADQVLICTGTGRHGVAPSPP NYIPSQTVVFLMALHRLASLIESMTTYTGDSKDDVTPSRSLWPKDTPCAVIERASCAD QRVIRSTLEHVCLAVQEEGSRPPGLLVVGTSCEVLHQPGRKWIVEDGFKTLDGLNKDL NLNAIAALGGIDGE TRV_02002 MAEPSKEATELMELISRTDAKWRVLIQRARSSVSGPLNVSQYPK PEPSDIAKAIDHTLLDQSATQDQIDALCAEAKEYGFAAVCVRLEWVTRAVENLKGTGI PVACVIGFHEGTHPIPEMVAEARTALEKGATELDMVINYPFLRSGRYSDAFQSVIMLR TSTKERRPVVKLKVILETSQLSRDDIIAGCVLSCAAGVNFVKTSTGFNGAGATVENVA LMRAVVESCSNGAVEIKASGGIRTADDCIKMIQAGATRIGASSGIKIVKEALGDSNGE ADATSASGY TRV_02003 MFLIKLLLRIASLLLDIVSILLIEEEAHRRQHRARAEPPDAPSI DTTMENLQENVGVIEAETPENEMWIMEYPTYQSLYSSSNTLSDDSSLEESEEEGIPTL EALWANWNPEPDQIDYPDRPTTPGILETTLANDADTIWQVSETDEESNQPEPHRSDTP DSIEYIFNPTGRLEMSDIE TRV_02004 MTPATHLQPITSLVVDPTNNFILSGSEDSSVHVWSLPDLITFSK QSSADKSLSAIYSCSPIRTISNHTTAITSIAVGHSINRSNIAISTSQDGTALVWEYRT GKILHTYLLPSRPLCITVDPADRAFYVGYEDGSVQLVDFFKTPSVQHILHDTDHQTTP TQLSASDKWLPPSSELGATLCLSLSYDGTSILTGHRSGAVASWDVGRARYAASIASLN SPVTNLHMLMPEGLAQKVSRVAIHQIVKPRLDHTMGGGQASDAVPATYHVNVHLNGPQ STDPSMPSSVLDEFSAALSHPIFPGSLISESLIDLNSPSENTPTNNIAAPVTPTEASI WPVKKKDRKALETEITSLKRQISVHKATHQADLDEIIALRKDVRYLSDMSIELLEEQR IALDKEAKERSLKKVSDLAGRKLWFSSEKDGTNGDHYRIKRVYGNSAYDDEDDDEDLD DEDLDDEDEEMDG TRV_02005 MVSTTVCTAARVRVAGSQVLRSITSTRTYATTTPESSIGESKPT SRRTPTTFKDKLNKGPSFSDFVGGAAEPPLSPEEAYALKTALVGPPGKKKEITRLPSW LKTSIPDSSSYKKIKNDLRGLNLHTVCEEARCPNISECWGGGSKAAATATIMLMGDTC TRGCRFCSVKTSRTPPPLDPHEPENTAEALSRWGLGYVVLTAVDRDDLADSGARHFAE TVRRIKGKAPSILVECLTGDFDGNLDMVSLMAKSGLDVFAHNVETVEALTPFVRDRRA GFQKSLSVLRAAKAANPDLITKTSMMLGLGETEEQVLDALRQLRASQVDVVTFGQYMR PTKRHMAVHEYVRPDVFDMWKEKALEMGFLYCASGPLVRSSYKAGEAFIENVLKKRAK ERVGNSAEKAKDDTEEKNALL TRV_02006 MSERAPRGTRPSLRRSAVSHSFIHDHQQYKPAAASPPSYQPELG ASISGTLEDPKVKESGIVHSLFGDALAALPADTPKLVATIFYVGDQSKNGSRSNNSNS NSNSNKNSSADLPTAEDPLEPAAPSLPLDALYGCHVSQLCLTSFIQMIESLSHPYRRM SSSHKCLDRQDNPRVVEVTITPPPSGEYLSFDELRKHESIWRFERTWNVEVVLQMENV WRRYKRLAVFDMDSTLIQQEVIDEIARVTGVEKEVSEITERAMNGELDFEASLKARVA LLKGTSADVFDKLKSIITISPGARELCTALRKLGYKTAVLSGGFQPLADFLADQLGLD YAVANHVHLTLFVMLCAFAIRLVIDEATQTLTGTLSPDHPIVDAKQKRSLLRALALKN GIDMPQTLAVGDGANDLLMLKEAGLGVAWCAKSMVQLEAPTKLNGESLTDILYLLGLS EQEARSLIVSPSVDESSMKELTTTERSS TRV_02007 MEVEAAETAEKKKKQSRNRNAPRDEEDDARHGCCSRTVSSPTRG RHLPSGTPSCAPGAAATASLSLPVTVHRLADSGLQKETRKSNEKAKT TRV_02008 MCSYQKPKTLPVGGLYRTGLLSIWILLFLSLFSIINKQLTTDQD SPLLKTTFAIISLQQQLVWLIFFAFIPILLLHHTYNKFTTTTTTTTTNMPASVHSNEP VDEIVVTKVVEGFAAPVQEPVAAPAVDAPAAVVDGPVEAVKEVTVVETAPIVEAAPAT VQEINDVAAEPVSAPVVTADEIFEKPAAPAPVEDAKEKELESGYASGSGSAAANEEPI PELIFTKQHLQFLNQRLQFLEPQEVLKWCVTSLPGLFQSTSFGLTGLVILDMLSKLDI PRHQQVDLIFFDTLHHFQETIDLRDGIQKDYPHLTLHVYKPQGCNTAAEFAAKHGEKL WETNDELYDWLAKVEPAQRAYRELGVTAMLTGRRRSQGAKRGNMDIIEVDEAGLIKIN PLANWNFDQVKEYVSRRNLRYNALLDRGYKSVGDWHSTQPVAQGEDERSGRWKGQAKT ECGIHNPRSKYAQFLMEQERKKQEEEALKIAV TRV_02009 MSNPPHGGVLKDLFARDLPRHDELAAEAEKLPALTLTERQICDL ELILNGGFSPLEGFMNEKDYNGVCAESRLTDGNLFPMPINLDVTKENIEEMGIKPGAR ITLRDFRDENNIAIITVEDVYQPDKKKEGELVFGGDPKHPAIIYLNNTTKDFYVGGKL EAVNKLNHYDYVGLRFTPAELRAHFDKLGWTKVVAFQTRNPMHRAHRELTVRAARARQ ANVLIHPTVGLTKPGDIDHFTRVRVYEALLPRYPNGMAALGLLPLAMRMGGPREALWH AIIRKNHGCTHFIVGRDHAGPGKNSAGQEMYGPYDAQHLVEKYRDELGIEVVEFQMLT YLPDSDEYRPHDQVPEGTKTLNISGTELRKRLRTGASIPEWFSYPEVVKVLRDSNPPR AKQGFTIFLTGYLNSGKAAIARALQVTLNQQGGRPVSLLLGDTVRHELSSELGFSRED RDKNIQRIAFVASELTKAGAAVIAAPIAPYAHSRDAARTTISSKGSFFLIHVATSLEY AEKTDKRGVYARARRGEIQGFTGVDDPYEAPTNADLTVDIEKQSVRSIVHEIILMLES QGFLERS TRV_02010 MLSSQLHIQRIPRLIRLRPPNTIARFSNPRPFTSNSQLLLITTP ANRPQLPFLYTSSAGHPLFTGRSLNVVTLGRHVTRLISTERRRKITNGIKIGLTVYAI ILLLYVAQQGLYQETIERMFPTPPEWSWKSRWYLRTAKALQSPEKMGRVATSWPAVGS YYRELLLRLEDPEIDGKGLKEQIVNAGEGEAILIDGVGRAGYDIDGMSEPWKKGYFDC LMGAAETAEKLDGWLEDTVTHIASPPEYVVGPSNPRPKEGPKGSIAPLEENCVPAYQN PSVFYMKILTTKGFQTNQKLDAALAYADWLDHKGLKDTAGEVYCWAMDIAVEGLGVDP RKVVDIKSGIIKESGTKYVTENLLRTSKAMGVHQVRVGDLSSALAVFLSVLKCRRSVT ASEDSLPKVINPKPNKVKTKKDDILTAITSFISPPQYPIPIRTGNEPPVRSQSTACEE AGLMLYIGEIIYASSSREAGLSWTRDAVDQAEIALLQFDEKQDQKQLAASPSHGMQYQ STEEQCQHCLRSGLKNWRQMIRSLVVKAEKEELQTMDEVNDYWFGRGPRKVAEKQAQR RRWEAEEMILDDRAKRIQRLIGDDGLSALTSSERMFFG TRV_02011 MADDNRANDADSKGSVRRAREKARQQQMDEEHEMTGRSHSSNGR RPPPVTTGGQQSHGAKRSVVQDPAAMTRPRRNTNPYAKEMAEAQAQAQAALQRAARQP SAVDTFLNDSRGRRYPPSNPYHGATSSPQQPSYSHGSDYNTTALPVPSNDRSHHGQLL AHPAPARRSNSNPSQGSRRDPQGNFYPIGSTVSPIPEEFPSPVQRNVKSFASSKVIPS SWGSAPYETVDLKYEEMPETVHGTDGGSSAYNEDEMAQALKAKSALRTRNKGSVELPT GPRPSSSLARQISLGKTGKGELRTINITKNDPPSMHNRTISERSVGVPSEVGSVTSSG TIGIAINPSLRANIPDHHIPPSFHNPSGQLRPSRLRANSHSSVESIDDQEEPEGDEVP IIHKQPTNDSEFRDIELGGSRSAGRRVSQFSDLAPPPALNIEGKEPEVRSSLTSLPDL IRRATKLASNLDRGKTASKGPAGIFEMTNANDAKNKDRGYSGSITDILASFPPPGIQT PRTNSAHPGDDSKGKNNEVDVPRTRRRFCGLAAPALIVFCIGLVLLFAAIITIPLAVA GKPRNHSPTPENSCELQAPCKNGGMSIGTPGTCSCVCPEGYRGHTCGVLTDRDCVIAE LKDNRNLRNDATVGSAIPRILQNSLSSFEIPLDITKIIHLFETEDVSCTNENALITFN SRSQRRGLPMPKDPANKATMLEYALPGRRRAAKGNVAGRAPQDLIPTTTFTIHTTPTR RPTRTPSPTTTRTSSPTQTATTTRRSSSSSTSSPTSTSTSSSSSMTSTPTPPPTMVDP SQNVLDFARIAVLYIFEKTELFSAADAAHNAIQDALRRPSGLENGLPTFRPVQLEYGT DTFILSFDTLSITFFEKGAQGVVVGGKSESGDDS TRV_02012 MREQTRSPESPEEPLARNWRRERKKAEQVKKKKRRKRILPQAED EVVKKKEEGRKRREKKNSSEASGRFEGEND TRV_02013 MEKESPRKKEYISNGKNAKRKQAEAAAEDEDEDDLHCFDASKFA RIRTNADSTETRMHMYLSLQASCVQGQAVGGLRSITLYRASRGRLHKYIAAVERILQS DLSIPHELVEQPEERDMNHP TRV_02014 MRAETKNEAKKEKEKEEEEERKGKKEWNEFAIEDILKRCPSKRG RKEKRRKKNW TRV_02015 MFTRRLFFTAEMEPTRSLVRCVVHVKDIYIHRAERWGTWSHPKR ARKQIPTFAQRTPSSSQREPASSALRRVFEPPSTATAAAATASSKPPPLRESQQQRQN KSASAPRPRTARAPIPHFRTYSHTQSSAAKSKAAVAPEPEDEDALAIARIHAWLDKVD DEQIAAEVEAERRAKARRVCEERPAEQPTREAAAEAVQSAPGAGDVAVDVEADVEVPK TPKAPKTPKTPTRTRRLDLDADVPRVDSGWGAASPASIGMAFMTPRTIPRIEEDDAVW EMLSPNVTPFRKGRGPKRTRRRSYYDEDIWPSAYNSPRSLAQPVH TRV_02016 MPAPTDGLHASSLAEPAPAFKTVTIETKPDSSRDKDAKEGTGKP SEDEGEGEDEDHAAQTPTETETKEARTKSKMSNPLHWFGILVPPPLRNAQEAFVGAVA GPIPALAGVVSEMREVEHKVESLRRAAPHKIAK TRV_02017 MGAGEQYRTCSLFGCDLLSDAISFEAAEPAEPHERRIVYEDTEE VKTGIGDKLGPRRCWARAAETRGRVSSGGRSIVAGLAEGELVFAIYRAGATASSWKSR DAARDVFLLIEAEQKVEKVEAGRLWGLFGWCLRKISKLRLKSKSEEDAEETTTFSQLI TADRQEKKKGLDGREARSEAEVVQAIDELLERYLHLLDEQQKLQEAIGKQFASVRGGL FFFAWDYC TRV_02018 MTKHFNFIANLTLKTEFPAANLGLLAEEFTSASDRSRMGLVSNR SSRPHRSGPIDRDVFEGLPVRRWARQLTTVSQEPKSTEEDTSAVDSQNLPELPMPRDS HLLTPVSRALLRAARAGCTYIRPVRKDPEPEELEQKDDDDTVVEPTVDERTFAAMKWT ALPRTVELPEVEFLAPRRAGLPSLYGAGAQSNAATAGGSSTPMRKTKFKKIDPTTGVI SIYEAWVPEGYQVEGEIIQEAQVIAENPDAKVVNVEPAPGTIVADIGVVNQDGVVVAT AEGSAVIPKANKGKRKLKAGKAKSRKKVMFASGNGTGQPEAPGAEGPANPSVSENGGA TPSGTVSVPEDEEEDEEEGDESDEEDETATDSKPTPTTDGPVDENNMTPAVDVPSEPQ DIPQQPPTTETEAVEPTPSEPPQTTSTFPELPIITNSTSERPSKSPAEEPKEPTASTE PSKEAVHPTEGQQADPETVEQPKTSPPPVSAAEDAKAASPVAPLPESLDDKMDTAEDA AHHQPTPEKAASPPAPAKEEQVSPPTIPPSTTEAESKPQTPPDAHTSNPLPETIPAST PTTGPSEPAAEEPAGPSSPPPPPETTADSKPEPTSSGPVRFEDGEVDLLGSLEASLGK DPNTTVQDKPEDTSETAAATIEKKEDDTDTKPEASAAKEEENKEDDKDKDVEMTG TRV_02019 MSRVARESTTSTSITPDSDSTLLDSTGHTLTPATSVSETSSNVD VPVTEPVSQTKPPQTRRVTRAASTRGGIDNDTEHNGNKHGDALRGDISASVSEGRTVV KREPARRPERRSLRGRRGLPAGALEPCEEETTAEIQLENTESNTGDEPIKEETGSRPQ SSTKVDPAQPRRRSGRLTLLEKTKTVLNQVSSILGKRQRDDKEYSKSKSKVEDRRSSL RSRAVVRKETQEESATKKRRVSEDVSTPKKEKKVDQPPLSAPVQTVPKRKKWLTHGLY AGPNAFAKLELPGPQSKRKGTRQAPRERVLFPMPKYSGALLLEKGRPFRLPYDIFSPL PRGQPKPDEWRKINKNIFIGDAAGIWKATMPTEQSTCLCTPEMGCEENCQNRHMFYEC DENNCKLGEDLCRNRNFSELRKRIKTGGKYNIGVEVIKTESRGYGVRSNRTFEPNQII VEYTGEILTQIEAQRRMKTIYKKNECFYLMDFDQDMIIDATRGSIARFVNHSCEPNCK MEKWIVAGKPRIALFAGDNGIMTGEELTYDYNFDPYSNKNVQECRCGTPSCRGVLGPR PKGKDSKEKSTVKPASTRTKRKSQGVTNSQASKKQKTTKQSSVKSGLKRVASKASQGI KSQAKSIRVPKKRVGVQFNKSAKARTTAAADVSFKSVSRSSRTSRQAASSTKLRMPSR SRVRLAKTAVQTVLNGRGGRRTSARSR TRV_02020 MTPSETNPEDSSSRAPGSAPQNAAQSAVNIPSPIIGPVSEDGQR TQHSASWSQSGPTSSHRGPPPTRSPVLGARPPSAQSKMSKTHVSSLTSQAFFRPMSSQ RLQAQRGGRPTTTATTATGFEDNQSDVASQARRSMVSNTTAHLDNDIRPPTRGTEFTD PVLPDRLTTNTSPSGHTTIRSLGDNVRLLQDRSQRSSTPVQVNLGENYTNPTASHEPP SKSPISFPSGLLGGNASEATIRRCDQRSHTRLASNATSIPEPEPEKVEVEPAVERGKN YQYFTGNTAFFGGGRFQNTRDRPINVVTGFLIVLPTILFFASSAPWLWTNMSKAIPIV FGYLFYLCVSSFLHASLVDPGILPRNLHIIPPSDPDADPLALGPPTSDWVMIKLATSE VAAMDVPVKYCKTCSIWRPPRCYHCRVCNNCVETLDHHCVWLNNCVGRRNYRYFFSFV ATCTILALFLFSASLAHVLGYMKMEGVTFGEAIDKWRLPFAMVVYGGLAATYPAALAV YHIFLMSRSETTREYLNSRKFKKEDRHRPFTQGGAFRNLVAVLGKPRTPTYLQFKNSH VEGDQRFATFKVNKRRNDIEAQNGGLEMQQVSNGHPTNGAAPPPS TRV_02021 MSSLSAIMARRAFLRQQPVRRLVTPQPIRRHASSKAAEAGLDKG AKRDPELYVLLTVMAGAFGIVGWYLGSSPTSVSSESNVRVGESGAMPWETDEKDSESK GNFKYRYHPHGDKSKPLKEAPSALNEVIIPNVTLPAVCAWSYPAVRYLF TRV_02022 MASKASNAGLEAKTPSKSRSKSRSKSRSPGPKGASKQSKTHDYR SDGVKDYDVLNLPVSNYKIMLVITAIATVVRLFRIYQPSSVVFDEVHFGGFATKYIKG KFFMDVHPPLAKLLITLAGHLAGFKGDFDFKEIGKDYLEPGVPYVAMRMLPAIMGIMT VPTMFLTLKATGCRTSTASFGALLVTFENALVTQSRFILLDSPLIIFTALTVLSFVSF SNQHEQGPPKAFGCSWWFWLVASGLFLGATASVKWVGLFTIAWVGSLTLVQLWVLLGD SKTVTPHLWFKHFFARALCLIVIPVGLYMAMFGIHFLCLRNPGEGDGFMSSEFQATLN SKGMSDTPVDVVFGSRVSIRHHNTQGGYLHSHSHMYPGGSKQQQITLYPHKDDNNIFI LENQTQPLGPYGQVPGPRAWDNITTEHIIDGSTIRLYHMTTDRRLHSHDVRPPVTEAD WQQEVSAYGYEGFEGDANDLFRVEIVPSMSDGAEAKKRLRTIQTRFKLVHVMTGCVLF SHKVKLPEWGFDQQEVTCAKGGSLPNSIWYIEQNSQPALPDDVEKVNYKIPNFFAKFW ELHKVMWRTNAGLVDSHAWDSRPPSWPILSRGINFWGRHHRHIYLIGNPVIWWTSTAA VVIWVLFKGIAVLRWQRGFKDYNCVHFKRFDYEIGQTLLGWALHYFPFYLMARQLFLH HYLPALYFAILAFCQIYDFVANRFNKPSIFGKLFTVAFLSLSVAAFCILSPLAYGNPW TKDMCQRTKLIPTWDFDCNLFHNDLSQYAIPEVISTSEAAHTSIAAPPVQQQQQQQQP GEQKQEQQPEQQENQVPVQPESPQQPPAVDPELRKVREVEYRDSEGNILSEEQVKVLQ EQGEISFETRYETRTRLIDTEGRELPKEAIIAPEHPDVQGANPETVAKDEPKAPANKP AEVKGENESPKKKENKPKPASEAKAATN TRV_02023 MAPSAVNEAASTPSELPDEVNKPPEGVILPPKDIKDRVREKEKN NTKFCFLNPNDAYAPFYAWRLSEIKAGRGTDVSAGRVGEAAPAKKEEESNAPKPPPEF QFSARMPNINALDLEVVKLTALFVAKRGKSFMTSLSQRETRNFQFDFLRPQHSLYQFF TRLVDQYRELLQVEGRESAVTEEQRLADLRENVTNKYNVLGRAKQRAEWVKYQEMQKL QKEEEEEEEKVAYAQIDWHDFVVVETVLFTEADDQSELPPPTSLNDLQTASLEQKAMI SLQPHDRRIEEAMPTEDDSTTYYNATSDISHQPSLPVLQPQRPVSTGPIQPQAPSPSP FPSTAVTSASLSPAPMPATASPTPPAPPAAPVPAAAIPDQHPARDRVRANPSPSPVPG QPPMRIRSDYVPRAQAKHLSNANQPTSICPNCRQQIPIAEMEQHMRIELQDPRWKEQR AKAEARFASTNLSTVDVANNLKRLASQRSDVFDPMLSGVAGSSTATNEEDEEGRRKRV AVGPNGVPVNMNVPVQQRSFSQPMPTHPQPQMHVHAPGTVPGLPPGAPGTIPLPPGVA PPGMAPAPTPSPGVSVGAGISRPSSTSTGTSTNKPPAMRELPQPPIQKPPPVQPQAAP EEAKPDTPPVDINEQIRKIHEKMGR TRV_02024 MAAAVAQGPSSVRRPKDRDRERDRDREKPENSLSTLRDPRVAST SDLSRTRSASTASHHPDLSNEVANLSDKLIQAINHQTLLDDSLAVARQELEEERKRRS TVEKEKKGIETELETLTAALFEEANKMVAAAKQEREVVERKNEQLRAQIKDTELLLAS HQEQLAELKSVIEQMNSRHDDQDTATTATSISSPSDPFPDQPTPNNGNGNNHNINRLF EAMNLSPVTPGSDDIPPAPPTSFSHLLKPVCRTDILAYEDFNTLLQLSRSRPPSHVSS GSYSGLNVMNLANLTSSRPKSTTHTPTNSQDTNHNGGNSNNVNTGSPAAASSSVASSP STSHGGISSSPRENITSSMQLKETRFYKRILAEDIEPTLRLDMAPGISWLTRRSVMSS LVEGELIIEPIPSNTSSANSATDLPSPPSTPSSMSSTSTPCALCGDRRTTVNPRTHRF RTSSSDSAPKHALCLLCLEKMRACCEFVGYLRLVVDGHVRTGGDGEAEIKEREREAWE ETVRLRERIFWARIGGGVVPAFISGKKAEDDSEKEKEKIQQSDDAPLVIKDITVTSPA DAKQTPVSTPTKPEAETETTEQLASSISTPTPTPTPAPAAEAEPSTAPADTPSTSTLS ASTTTTTTRSLSLSPSRYRSRSPSPSPKPAPSSRPATAIPPSPTSTSQTPRTVLRRLR SVPPPSTPQSPSTVTSPDTKFPKVMPVSLRASLANGSSTTSTPSTSGPAPAPAEGKLK VKIPAAFLHDN TRV_02025 MSVYLSAFLLAGWLAARLGLLAGGKNLKLEKFEVEVEEEKKKRR RRQFLTGHVEARGKEDEVNNVMGVMDVGEMRSGDRRQRGEEDIGIYIEA TRV_02026 MADAELEELSQIRKARLAQLQQQGGPSGDDDQRNKETEARQSIL SQILTPEAADRLGRIRLVKESRATDIENRLIMLARSGQLRQKVTEDQLKDLLNAVAEN EEKKKIVINRRGGWDDDDLLDL TRV_02027 MLSDATGRRILRDRPRITSQTLSLPYLRSLPPNSLGYTYAFWLD REGVTPDTRSSVRYIDNEECAYVMQRYRECHDFYHAVTGLPIVVEGELALKIFEFMNT GLPMTGLAAAAVVRLKGAERERFWDIHLPWAVRSGAKSKELICVYWEEMLERDVGEIR AELGIEVPPDLREIRRQQRKRDKEKQKKEQAVQ TRV_02028 MNTSKRLWARLRSFSSINETYPRVYTHTHTWKTKMGSIHFFSTG RPLQHSSSNNNNNMHKKIHIMGVGNIGSFVAHSLAARPVSERACITLLFHHPAFYKDW NRFGRVVAVKRHGIPEQRSGFCINVLQDHQWYYPSEIEGAPNDLVEEEFSLRQGKEAD SDGNLTPADEEGIEHLIVTVKTTQIERAMKSVRHRLTPESSVLLLTNGLGVLEEVNEK IWPDESERPNYLFGVTSHGLFKSGLFETTHAGVGATTIGVVRQSPRDDIEDTADGSST TFAPSTNFLLKTLTQTPELACISTNATDLFLLQLEKLAINCIINPLTLLMDCKNGELL YHYNLTRVQRLLLIEISAVICALPELQGVPGLQSRFSPERLRTIAVGVAGKTGENTSS MLQDARMGKESEIEYMNGYIVRRGEEVGVRCALNYMVVQLAQAKSRIAGRQRNEEVPF DLSKL TRV_02029 MATEASDLTPLLEQLEDSIDDIEEVLEPLLERGLTATAQKLPLL DKAKLHVLLSYSIESLIFSNFLLPAYLRLNDVDAKEHPVFRELNRVRQYHEKIKTLEA PPEKRTMTLDTQAAGRFIKHGLAGNDKYDLERAEREAKEKAMAQLRAAQLAKMKAKVA ATVPQKRSVEESEMSGRDSTAVSTPEPKKSRTTDPEDDDEEDDEEEEDEGEEDGEVEE EESAEDDKQGGEVKEEAFIKLPAVETSKKNKKKLSKAERKAMHGKNGNNAAKKRHKRK NKKDKKAGAAQPPNNG TRV_02030 MRDRLAIALCSSRPIGVKPFNFIHGPTYLRLQRRSPYLFFFIFI VNFPSVNNADSASPDIPRNTNVTEPPYQSPIHTSTMARQRRSAAPARSAPPRPTAAPA RPAAPQMQQQRPSSTAAAPVQTQQAPAQSSGGSSLFGQMAATAGGVAVGSSIGHAVGS FFTGGSSSAAPAETQQAAAAQPMDNSLYQANSTSGWAEDAPCAADARSFRKCMDDNRG DLTICGWYMDQLKACQQAAKPY TRV_02031 MFKRRLIAALEDNKPPRRMREAMAQAQAQAQRMASQTGSSSVSP TTIPAAPAASGTAIATPPAPSPPPATPAPPSNVVDPPSDTRSNDQQRRDSIREGKRPA EPENEKKEQAEWKGKQKRRHQQEREERERVLERIRRDNEERKAKAERQRAAAAEKHEA TRTPPPTAQTYRLQVRLFDGSSIRNTFSPNQTIGTAVRSWLDRERSDGDAPYTLKHIL TPLENKTISLSEEDQSLQELGVGPTATLVMVPIRTYTDAYSDSGASLPYRVLSGGYSL VAGTVNAVAGIVGSALGIGQNPAAVGRGASTQTEATEETSTTSPRTSTRNRVRTLHDA NSGKNDHQFYNGNQLNFEPRKKDDDN TRV_02032 MGCARANQRDIKRKKKTLKSEESDEVFGFFSSAAIETRRDETRR QTGRLDDINSIILDWERAGSWKLSPPDVITYRPGTSSSNNKQQPLRWQHHLLYYDGFR PRGWASGRREAWLRVDHTRYGAYLRLLAFLFFFTLLCGYKSCAIIPVTAFALGTWQVQ RLEWKSNLIAKFEDRLIKPPLPLPPVVDPDSVEDFEYRRVYAKGRLRHDKEMLIGPRM HEGKDGYLVVTPLERGEGESTILVNRGWIAKSLERQSERREGLPQEEVVVEGLLRSPW KKNMFTPDNKPEEGKFYFPDVKQMAELTGSQPVWIEETMVLADKSTVQDILSMYTRED KGIPIGRAAEVNLRNNHAQYIFTWYGLSLATAIMLWMVVKKRPNEAVRRVRQNKSW TRV_02033 MDILKNLGKVLAPISKTVSSSPPTEPEPQPEPPVDEIAELDKEP PIPPPRLSLPLNEMALDQDDNSPPIPPPRLSVLPDDEDVTRGSIELPRRERSGRDLAR LSRVSFASNRFSDHYGDTTNIEDPEDGLDFRVGQEDDFDEDLDNTTGQPMLDAGGETE DLGRFNFDFAFPTPETPHTMPIENENEQDTFELESVPPEFGGPDSPSSGSDFGTGGFE PAMDDVPSNRGTPEPIEQEAEEVEMEQEDQPEPPQKKQKVSKHGIPVPSLPAGVVKKL AMRFARSGNKKTRITKDTMAAIQQATDWFFEQASGDLSTYSKHSGRKTIDETDVIALM RR TRV_02034 MMASVISKDAPAGSNKRKRVEEEDGTENTSSAAPVQQPSKKKKK KRSKGAKGGDEYDANKVMGKDGGIDESIGKMDGKLLGDYFVQRVKRHNKNLTAVELDD FYIPEHAFLDTSSWESSRELDNMPSFLKAFSLEKGESLSKASEVKGSPHTLVFTLAGL RAAEITRALRQFQNQDCAVAKLFAKHIKLKEAQETVEKTRIGIGIGTPVRLNDLVKSG SLKLDSLKRIVVDGSYVDQKKRGIFDMKELHFPLMEFLNRAELRERYQSKKSDKVQII VY TRV_02035 MGDKRKRDEAAVDENDGAVVDLGKSSKKIKSGDKEKVKKERKTK KDKKEKKEKKKLEKELKKKAREEANGAAGEDKMEVDGEPNGVQKAEKSKKVKREDKYK RAKQERMEKRAKLAEENKTEQDDKKEKKEKKEKKKEKKEKKEKKEKKLKDEEKEEEQE ENGVNGADVEEEQSTPAAPAPADTETPAENGTEEAAEGAVGDAEMEEARKSQRFIVFI SNLPFTATQESVTKHFEKLQPTSVRVPLERGGKKGRGFAFVEFAGFDRMKTCLKQYHG TMFEDGDKPARKIKVELTAGGGGSKSEARKMKILEKNQKLNEERARDAQEAKRAKTAS ATTNGAGTSAADDQSHIHPSRRARVPV TRV_02036 MSAHLLHDQCVPWRIHPHRVCCEETPRPPRTSAASLHTPCSHCY FYCSRFDNYSASVMVDGKPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPS FDNVKAKWYPEIEHHAPNVPIILVGTKLDLREDKATADALRSKKMEPVSYEQALAVAK EIKAQKYLECSALTQRNLKSVFDEAIRRYIDLLSSTILSPKFTATIPQPQPQARRLHH YITPSHPSRCETCNNLPNPVLSTCLFPPLPIFNDERFSFFHHLPAFGTEQLLVLQGYL FIHLLFFFSFFTLDEVNTTIPDITKPKSPSLSFPSFVYL TRV_02037 MAEPGLDVATSPQSDRETPVSSQPEKEIENPQLAESQAQVDRVL QSDIGVVTLLTRLKQSISSAKDLATFLRKRSVLEDEHAQGLKKLARAMHDSAMRQDNR QGSFARSYNEMNMIHDRISDHGVHFSQSLMQMAEELNDLAASMERGRKQWKQNGLTAE KRVQDAELLAEKAKAKYDSLAEQYDRARTGERQGGKFGLKGPKSAAQQEEDLLRKLQQ ADSDYSTKVQAAQTQRQELVATLRPQATRALQDLIMECDSGCTLQLQKLASFSEKLLL GSGLAVSPMKTGSATPDSLREAAKRVDNEQDFRDFIISQSSKVPDTKPALKYERHATL MPASNPNAHPKRQSLSLNANPTPPPQSASQVFNTMSPIPRDSSGAQGSPLSMQQRRDS WTSQPPFPTSTPQYSNPSSMQPTYSQQHSYQPPPQLAQHAQPRPSISDIPPNAHPELP PLNPVFGLTLDELFKRDGTAIPMVVYQCIQAIELFGLNVEGIYRLSGNTNHIAHMKSL FDNGMPAPFLTYSGYKLITLLDSSQVDFTNPENFYHDVNSVAGLLKLFFRDLPDPLFT NERYSAFIDAARKDDDIQRRDALHALINSLPDPNYATLRALILHLNHVQERSSENRMN AGNIAISFGLTLMGTNAGRNIADSGWQARVIETILQNTLQIFDDD TRV_02038 MGQPSFQASNALIYLTYGAFLVVGLYIAWRLRNQTKGEFLSSNG TQKAIPLALNFIASALGSGILFTYPEIATIAGVQGLVVYGLSSALPLLAFAFVGPIIR RKFPEVFVLTEWTRQRYGVVAGIYLSILTLITLFLYMVAELSALQQIVTALSGLNGLP AVIVQVVVTTIYTSLGGFQVSFLTDNVQGAMVVGLIIIAVITVGARTDIDKSLIDSSG LLKPTLLGWQLIYILPVAILTNDFFLSNLWLRTFASKTDRDLRIGISIASFVVLCILV LVGSTGLLAAWSGVWPGDPPQAGSIAFFLLLEKLPNWVVGIVLVMTVALSTAAFDSLQ SAMVSTASNDVFRNKLPLIYVRIGVVLCIVPVVVVALRSPSVLRIFLISDLVSASAIP VLVLGLSERMYWWTAFEVIVGGLGGILSVFIFGTIYYGNATEGGKLILLQNGLYANDW SAFGAFVAAPIGGLIFACIALGLRVGYAFVLSKTTGRHFDVFDHPLPRSHMAAGPMHL DQPDFIATGTDATIRNNDEEGAKFRAPR TRV_02039 MNSSIPRALSSTLALRPSSRSVVFQWPSKCAARSFSQSSLRAGM TAPKVMAPKPPAQMSMKNRLQETMRGINKEMVPDDIGILPGTFIRPVWKNLPSWFSDP KTRLHMEWMHWKMKFLNFMSLFTYCKFINKKLPLRLRERKKMAIELHKRMYNHFASGN LGALQTYCCIGIFESFFKRVVRRPASSPELIWKLHKYLKFPHSMTITGARVVSDRAAA LPNATGMGIRQVVVRIQSRQSLITPPAPIARLSEKQIQDIEKQQQEKQKDCTEYIVLQ RFMLGGKDGEWKVWGLADETTTEDLRTNPMFMKGITMKDRLEMMAASR TRV_02040 MANPPGKLSWSGRHGDAVKRMNSFPADALQPLKHQQAWAFHMGL LKLTRQLHRAGMPGLLTNHNRDDLRASQHLLTQLEGASPPDPEVSTALNLLKIDLLMR QGDYDDALSTVDQVAQSMHQDSFDIATQISLLIAKARIFDRTGQPERGFSLAMRAAKI AHRSRLLHSLWDAVAALCVILMSFREFSAALDLLESVMPQVLECEDRALAGRMYACLA DASMGLAGESSSRRDSGRKKEFLARALEFIDCAFAEFSSIEEVRGQCEMMGKKATIMH LQGDLVLANDFAAKYLDLKRQAISERLPFSTIFHLIFLDPLFSSSGSPFLPLFAARQK RKFFLLLQLQLSTFNFILQLQLLLSYQLQLQLQIISSSRSTTPFIQVSDLTTAQHSEK TEVPLRSSSSFRLFHPITRYLDEFQSTAEFMSIENLKTFGTSLASSPLAAHAAAWANI TSSSLAIDPFAEADEDTGDTKKSQNYIHIRIQQRNGRKTLTTVQGLPKKFDQKKILKV IKKKFACNGTIVNDTEMGEVIQLQGDQRKDVQEFLVDKKEGLELDAKTIKVCLAYKNS HASLS TRV_02041 MFLLLPPALLAADGRLHSTNAGAITASYYSSCLHVVQQDVCCPF AAVAVNSPLLLTADGKTQTDVSERPRRPRGQSQASLRKREAEEEENTRRRKGKGRRKG KETSRTMRFLTPSKVALLALISIYTEGVVPNSAVVPVLAFLVSHLLPLEASRTVGHGC IHGCRHGCRPGCGRGSGRGCGQPDSSSSNKDAASTSTGTTGNLTSTGTRTEEKMQGTP SVEEVKEAMAGLASSIPGRSIWDLFLKRLWQFDCADTLDEFFASLADILARSREERLL DPEGAKEADEEQKKHGRVLLARHSPLGAFVRRVRLEYTKLQFHDAMSLWKAFIRYRMP TYAAWAKRNPAEAQLSVDANLCELGLDLDSPLARVMYGDLADDGADEGAGMSVRDVER LLEFQISKMQSKAARVSPDMMAQLRRVIMAGATVPCLFHYVRSKEEKKQRIKTDCDRF LDSWKAGDHPSSLDHLHRYFDYTVQTRDRTFYQYALLNLALLQADFGCHGEAISAFRE TIAIGRETHDMNCLNYAMTWLYHFGKISPDELAEIHNTGMLGADKEALAFLQAKAKEA EMWGMLSTALLSEGRLELANGGSIASTFESIIKASHVCITRGRQDSMGPQMMLHASLY GRLGMSAMLSNIFLRRTNAVDIHY TRV_02042 MMELVEETDLHGALPLVSRGKVRDIYQVDDRTLLFISTDRISAY DVLMQNGIPNKGQILTSLTVYWLKFLTGALPSLRTHLVSLEMPGDMPQACRDYVKNRS MVVRKLKVFPVEAIVRGYLAGSAWTEYQKTGTVHGIPLPAGLVESDKIPGGPLFTPST KAEQGGHDENIHPDKDIDILTGEVAADIVGAAYAEDIKAVSIRLYELASFHALQRGII IADTKFEFGHDEVTNEIVLIDEVLTPDSSRFWALDTYQPGKPQDSFDKQFLRDWLTKE GLRGKDGTIMPKEIVQKTEAKYIEAFERITGETFRP TRV_02043 MFASAVRMSKGGPGFFRKTADELSRATRIAWKLEGLDIAKDQYH LLDFSKEETVRGCKTMADRAVGGYSTANLDYVPADPETNMPAHARFHGSISTKLPRDW RVQRTGYAAFRNQDRGFWLMGRLYWDVDPYTYLALRVKSDGRRYTVNVQTDSIIESDI HQHRLFTRHHRLLNRAENHLTSSTITPEETLSEPESESDFPSTSTSSPSRIPAALADF APPPSPTTTSSSSYITNPQPGSTGWETILIRWSDFVRTNLGTVVEPQTGLLQQRVKSI GIGLTDRVEGPYDLRIHRMWATNGLSGEELEEERRICGEDAVYTSAPARPSPAASVAA GGPASSKAKVEAAAEHSESGHVDDDDHSSLVERESKGLDRFKDLKGFQKK TRV_02044 MTISHPPQMSNPYSQPPTSLSDQPTARMALQQQARSGPGSDLGR GLGPGTNASPVIDLTGSDNEDDEDLESSRPAKRLRIDTKGHDEIARILSSGMRLIQGA SDDVNDGKSSEVTDQNIPFVESVKPPASFQDRLAYISVDGGGQQSQSSSSPLPLPPRP RRSLFMRDKSETAPEDDEQEDMTVQTTPYTMEKPAAAARLADNTVLDFHPWTGNHPED ALNEQTAKQGFYDRVQLSQNESNTARPSLYTHFKNPNGLKALSHIFAAALKRRQAMTK VTPNSTFKPPPRVTLTDNKREAWLRDLANPSVPLRRLSRTIPHGIRGKILLDQCLGKN IPIGRAIWLAKCVGANEIRAFKRKGTAATIASGLEAKWVKDWTGSVQQFMESVVQSHE DAGWIENQAYAMRLSGRLFLEQLLDQDAYLEWFLGSLHASNLDMLPVWLSSIGVYWKN LTSYRKRGRRLAQTLLDKLAWALNVNRSAVLNPLITRLQSLIRSFITSYPSSFILPLT WSQHQKALTACFNTSVPLETAILAQLIARNARLSKISPQSAINSPQSIPRPAPQQLIS LLDAPCASNGFPLLAAKCLALPLDHRTLIHTLLEWSSTPFRYGCARIYASARLLRRWR KLSIDTDAHISSFLAENSKYSARQLENAYHLVSELVRSQSFSVGKYLEWLMARGAVRS PDMSGQYLTADVELLRHLPSSRLPEHIWNLRNTLLSRAGVSVDAEARQIRQIKTDLLQ KHSDIFVGHIGTADTEMMDGEIDWASLTWTVKSEISHWIREQVALKLRAQAQAQNQPS SNATAQATASMIRPDQFYFLRYILERMGDVSILADVLKLLSQSSNPTVLASVTDTLNY HLPSFTAIGATMDLLQSFAISYSKLSKTEAHVQDVIVSLLDVAIVIPSEASTVAVLRR DLARYDKKSAMAASSPVSEHMADTLNPVNPTFGGMLDQLLASGNCMDDATLMRIFDLL IQKLETGKADISITSSEAARYLAQLRLFNPKTFDGLMIKRVVGMIRTSPRPKLSYFLP PLIGVGCITLPAFFGLVKRLLLDADKAGENNIPDLLHLRLDMLSVLGLGTTDQNTVPD LVFYRFKISRQEFVQKHCCIIVSAIRDAVMDASANHSAADASLHEQWNKAIFPLLCEI MVRHSSLARDECVKWVTAKFPTGLRLLTQTLDSLLGVESDNGNLKILPLSNGDDARDA NLHADPNRASTSSQSVQQQASDTIRRIDDFSLPFCLIKLQLLLVETDAAGKENVLDPI FSAAEMDVKKGLSRWVEIITVLDDEGRRQLQQKAENKLLSLFITSASSSSTGNSDDGP APHEHGLVYLRIIEELVSKAPNENENVSSTIGSALLERMNLLLQRIAFLSKVKSTDSG STIIAQCNEGGMLGIWIYIILRLVALYRSPFDAERASKTDLSDQTRLLLSICYMAFTP ALMQVLSHTTNLPPTPTGKYAHLQRTVLGNWNSLRIYTIDVANILVDTLPDEARIQCA RFLRDRSPLFLQQQQDSRLLYLFGPMPDPQAGPISSSTSTTTSGAPPPGSSPLNTQGP TAQGSSAQSPHPTNPSIPTVEETTSPVQNFRFQQGNRVVGPCPPRTWEMIEESAPVVG VNDTPLNLSYFGARLPHETRLAVFTSSDIISTFSSLLFSVKYFNVFISFHEICEHLLG YQFASPISDCTIVLTSYAIFNISSLLIFTSHIFNE TRV_02045 MELPLSSTSGVDGVAGDREKHEKITCYSYDSKREYPNYVKCPDS DSCCETIEQCRPDRLCTSKEDPKTLIRPPCAYKPWTNSCAQVCLYDNKDSPVLPRAVV CEQTGPSSGSYCCDDNRTCCIDRAGFFLDENGLLIGRANETDNSTLSPKPIGVSVTAL RSMGSASATSTPAHSPIKDKTGLSTVDKAGIGVGVGVAVLLAIIAGTLLFLRSQRRKR RTRADSASTGGNNSTGPGTADTDAPTDTWGDDKEPLPGDEQRREKEEERAYELMGDGG TPELESVESPRHEAPPSELPVVGVQQTEPIELPADQPTGKPRRS TRV_02046 MNCLRVSRTVIPSLRTAAPRAIPLSRHPALAYFSTTSAMQNRIS DAVKADHRELEQYYQIIINSIDPGEKTKYQNAFVWELARHSVGEELVLYPAMERIMGD EGTKLTEKDRKEHQLVKEQLKVFQATDATDPNFMDLIKGLMGNLSDHIKEEESIDLVK IEERLDPKESDQMAASFERTKMFVPTRSHPSAPNKPPFETAVGLLTAPIDKVADLFRS FP TRV_02047 MGPFSAFLLVYVLGGLTFIPLVLSLLVLYAYLTLPGPPDSESKT ENADPGGLERKGDDQYSYLNARTAELAEKFQRAREADVAAGYFAVCREYVPGGVNGKP PERTTPAGEVIATESPSVYQSVYRSIFDRKQAPTLDANRANGRNVRKARNVFYVILRH GHLMLYDDAEQIEVRYVISLAHHNVSIYGGGEPIPEGELWIKRNAICLTRKDEGENIP GPRPPTLPFYLFSENLSEKEDFYFAILNNLDRVPDSIDSPPTPRQFATQDMVTLVQRL HSSEEHLQTRWFNALIGRLFLAMYKTPELEQFIRSKITKKISRVNKPNFITKLELQTI HSGEGAPLITNPRLKDLTVNGDCCIEADINYSGKFRVEIAATARIDLGTRFKPREVDL VLSVMLKKLKGHILVRFKPPPSNRLWISFDTMPTMEMVIEPIVSSRQITYGIILRAIE SRIREVVAETLVQPFWDDIPFLDTEEQYFRGGIWEETRKAEPPRAAVDAEATSDKESD KQPEKPPEKEEPADTGTPETQKESNTEEHGTSASVTHTPPQETIYQAFADSLNDKEAP EKPEIQKTDFRPSSLPDIPEKAAHSASKHRSTRSLSFSPATTGSSIFSPSISITQQSE PRDVPSPTADTSNAAPQLSRSLSDHGTDAASTSDSRSNRSNSMLAESTQSSKSANASP SLAPGPFEPRQAMLSIGSAAAAAKKWGLSVLTRNDQGHRPGEVPDHPVGRGRPLPPPG TPLPSPGRFNFGSIAMPKRKPVTPSPVPERSQSVIEPTRSEPKVQLPKRHDSMLDQDT KSQEILVIKAPVGSEPSSPSKESHGPGGKVDESDDVPDAWSNEVLMDFQDSSMSATES ISSPSELSVHRPSKRLDHLKFD TRV_02048 MLCYWRNAILRGERRLIQVTGYGPLIREYVFFLESKLAFHRQHP EFNGLFEYEEYISLKSINDPNEGYETITDLMTLQDQIDTFQKLIFSHFRGSANNECRI SALVPLVQESYGIYKFITSMLRAMHSTTGDEDALEPLRGRYDAQYYRLVRFYYECSNL RYLTSLISVPKLAPQPPNLLAGEEDKPSLPKRPTNEPEREPTPPPKSTIPDAEPINDF WSTEAKRQQEEYEAEQRRLQSQWEEQQRQQMLAQQQAQREFEEQQRLQAEQQRLAQEQ LMANQYQQQTQGRLAELEQENLNARAQYERDQLMLQQYDKRVKDLEEQLNQINSNFSS QNSSKDDLIRSLQEQLNTWRTKYEALAKLYSQLRQEHLDLLQTTKSLKLKAASAQEAI DKKERLEREMKTKNLELADMIRERDRALHEKDRLTGGNREELEKLKRELRMAIERAEN AERAKGSEISAMLSKYNREMADLEEALRSKTRALEEFQSSRGDLNADHEIMLREKDEE IEIYKSGMEQALTELEELKLSQGDADKALDSQIDDVLIGSISKVNDIIDSVLQSGVQR VDDALYELDSTMQAGNQNASLSYVLSQIEKASASATEFSTAFNNFIADGPNSEHAEII RTVSVFSGSIADVLSNTKGLTRFATDDKKADQLVGAARSPAESTMTFFRALQSFRLQG LEPTQKTDVVINNNHEVLMNLQKLSKLVDTFAPKSNKLTGAGDLGDIVDRELTNAANA IEAAAQRLAKLKKKPRDGYSTYELRIHDSILEASIAVTNAIAELIKAATASQQEIVKE GRGSSSRTAFYKKNNRWTEGLISAAKAVATSTNTLIETADGVISGRNSPEQLIVASND VAASTAQLVAASRVKATFMSKTQDRLETASKAVGSACRSLVRQVQDIIAEKNRNETET IDYTKLSGHEFKVREMEQQVEILQLENALSQARKQLGEMRKISYME TRV_08208 MLSRQPILRAAQRASIRSPVARNFVQRRLNSSTPHDNEFLRERA AVKAHAASTSDLWRKLSIYAVIPTLILGSINAYNLWTEHWEHWSHMPALEDRVEYPYQ NIRTKNFFWGDGDKTLFWNSDVNYHNKDKTT TRV_02049 MFDILEADIVVFQEAKIQRKDLQDDMVLVSGWDCYFSLPRQKKG IYVFSKCAAIRAEEGVTGALCPPSSRTPFRQLSASEQIGGYPSIEQMEELSEVDPITV DSEGRCLILEFPAFVLIGVYCPADRDETRDDFRLGFFNLLEVRVRNLVKMGKRVILAG DLNTCAGPIDSAPALERIRKGTETEEEYLSYPARRIFNRLVRPVGSTGDTHDTESPPV LRDLCREFHPSRTGMYTCWNQKVNARPGNYGSRIDYILCSDNIRSWFVESNIQEGLIV CVKFGLISPSFNNLLIPNEQGSDHCPVYASIGDRVPFDGKDVHILDIMNPKGTFDDGV EQPRSNKITPLALSGRLIPEFDRRRNIKDMFRNHSLSRNNSEVKMSTTTDTLAGSEIP GPASQTKSAQENKTARVTMNHAPKRSHSTGVQAPPSKRLKPKGRPSGARSNGQQTLVG FFKSERTSRAVSEENATASSDSPQEAPAEVKITPASELASTAQNNDSVPTAVTTSPDN SVVPSCSPTESWSKVFTRKPVPKCEGHHEDCISLVTKKPGINCGRSFWICPRPLGPSG DKESGTPWRCPTFIWSSDWRSS TRV_02050 MLEIPPPPPPKPRVVLPWSEYDPGLLNGDGVAVRKCSTDYLASI YKTCLDTYRPNEVNLSPEEAERLTESVRHELTRLTSHPDLFPTPTAHERFFKLLQESV ARVVKKRQDKENESIEESIRLRANILAREEEEILQEINRPSFKPGLKPWEQFLLKGSP GSGVVLPPDPPNGYVQMMPIGGVALHLRWLRENYTASKEYAEASQITQFLSNPPSADS STEARDPEETISLTPNPYFEVRMVCLNISIYLDDLTPFKRRMPIPKHDRVIDRLESFN ALIVRTNNDKERLEGEEPTNYNGDPSGVRAMLYRTIVEDIPDNTEENVA TRV_02051 MATTSGTMPMPASGSVEFVTELLWQHAISHLQKTNNEILLPIDI RSIVGGASIEVIKTRLEKLLNTPVVAFEDSVNRVYRIMPTPAFDCQIGAAVLPMSLAA NNRSIATQPLNGNELVSQDIICQVKAPKVPRPPNAFILYRQHHHPVIKAAHPEYHNND ICELATKYNLIYSLLTLQAVLLGKKWKAESSETKAHFKALAEEIKKKHAEENPGYQYV PRKPSEKKRRCTNRRNGPAPTQKHAGGEGIEVSLHLSQNGISGLIQSDAEGSGLSPES TESAPATQREQLPPSPPVTTSSAFHDALANQSDQFVMGIQGGEFLSYGRRRHSPTNSM STVNQLAPIPPLPQQLPQQLNAPQPPAEDSTQNDWTTDVDFDFDEYFLDDAQ TRV_02052 MDTFEAYETNNRGMHNEFARYLGCNPSEPESPMSNTSMEGVTFG NGGNDQEDSFGHTHFEVLNRLIELYRVQPDASDFTGKLLKFSDKLEIPELNGEILGEN GQSKDRHREIFKAHARLFEDEANYRLFQHVQEHGPASIYWRAFREYFTGEIINMVESH WRPDPRCAQVRIDAHSLSYQAINEVPFMSTAERNLVIRATGSYPEDAMSFFTWMTRNR LTYLMVKEMSDLFFFRIRTLAGHEIDLNMEREMARMVNWAEHQ TRV_02053 MQRLVKVDGKVRTDSTYPAGFMDVITIEKTGENFRLIYDTKGRF TVHRIQAEEAEYKLGKVKRVQLGKGGIPFLVTHDARTRWTKVWFDSRRAWSERRWQLN IRYPDPSIRVNDTVKIDIATGKITDFVKFDTGVIAMATGGRNMGRVGVITHRERHDGG FGIVHIKDAIDNTFATRESNVFVIGQEKPWVSLPKGKGVKLTIAEERDRRRAMAN TRV_02054 MNFAPRLSARAIPRGLRAIRQQHQHHGQNIVRCWTSTAAGRGAE VSPGLLWKTGQVVGALAVAIGAGYALGVYNSGSASLGLLSYKHDVSPVYGSIKDFERA IVELREVLGEDAISTDDEDLRLHGYSEWSTVNCDQLPVAVAYPKSTADVSKIAQVCYK FKVPMVPYSGGSSLEGNFSAPFGGMSIDFAHMDKIIKLHSDDLDVVVQPSIQWMELNE QIKHSGLFFPIDPGPSAKIGADGRVIKTRNRPRKSSAGYNLAGIFVGSEGTLGLVTEA TLKLAVIPEETRVGIATFPSIRDAANVAMAVIRSGVQVQCVEILDDVHMDIINRAGGT NRTWKPATTLFFKFSGTRNGVADSISRTKSIAREHNSTSFEFARTDQEGHELWSARKQ TLWSMLALRKEGSDDVWSTDVAVPLSRLPDIIELSKKDLDELDLFAGIVGHIGDGNFH EGIVYNRNNPEEVSRIEKCVHDMVDRALEMDGSCTGEHGIGLGKKKYLVKELGMDTLN VMRSIKRSLDPLWLLNPGKIFDAVPGEDERKSKK TRV_02055 MLLQTESRLLPLSKDPGLFQPALHALLRWEGRQVRVLSTWQSAR IVYHVHEECPCSWSRKSNTALQHGVFLDDGRLYMSIYGLGNIVEAPRAKIDADDRAAM YDATGVSSLTYYKPAEIDTQNYRGGKKNIWNQNASTFSFVVCFPVSPVAHAVAADSGQ RNQKHIVIMPASKLGRTLAKAVGIDVDYRKEPRESMRTAAESIRSLEHFDEDEPSAKE WLRNHSPTVKGTVDYFNSLFPFWNWIFHYNTQWLMGDIIAGVTVGFVVVPQGMAYALL ARLPPEYGLYTSFVGFILYWAFATSKDITIGTVAVMSTIVGNIVTKVQAKEPDISAPT IARALSLIAGGFLLFIGLTRLGWIVEFIPLVAITSFMTGAAISIGVGQIPAMMGLKEV NNRESTYKVFINVLKNLGHTRLDAAMGLSALVVLYVIRFFCNYMSQRQPNRRKMWFFI STLRMTFVILLYTMISWLVNRHVKDYKKAKFKILGPVPKGFQHAGVPEIEARLVKAFA PDLPATIIVLIIEHIAISKSFGRINNYVINPSQELVAMGFTNLFGPFLGAYPATGSFS RTAIKSKAGVRTPLAGIFTAVIVLLALYALTSVFFYIPLASLSGLIIHAVGDLITPPN VVYQFWEVSPLEVFIFFGGVLLTIFTEIENGIYLTIAASAALLIYRIAKAKGTFLGQV KVYRVTKDDSLKKSDKFGEDAKLNSREAFLPVEHQDGSNPLIEAQSPHPGVFIYRFNE GFTYPNEGRYLHHLTEYIFKVTRRTQLDTFAKLGDRPWNDPGPGRGEVVNVHDTRPIL RAIILDFSSVNHVDVSSIQGLIDVRNQLDRYAAPEIVEWHFACISNRWTKRALASAGF GYPSPKSPELLGNWRPIFSVAAVGAEEVVNDKPGTSHRANASSNPTAPSDDLEIGQAP SSEDGEYEDEKAHTQVITTPINSSSHPLPRRVGNVHSINRPFFHIDIQAAVESTLLNI ETRTASAKNSSAPSVTKGFVE TRV_02056 FYIAVSPLKGEASAEGFFKAYLALPVVLFFWLCGWLWKRQGWTK IEDIDIDTGRREIDWEEHNREIDRIKQSSFLKRIAHRFF TRV_01982 MAAQRSRSPSVPSEGEIIESDSDTKATTSQTSLFNDTNVDRNPR NDALPPKSPSSSRPYRSERSRSRSPYYKSSRGEKRRRDDYYDYDRGNRGGRVKYSNGT YDDSYHRRGSSRRHNQRPYYDHDRNDSYDRGSRHLQYSDDYDRRPDKRPRTRSRSPYR DPRKQKQYSGDEASNKDASEKDGKGMARGQMEIKLSTEQSVSERGNPSVNAQTWKQKA ENGESQIQQNRSITETSTDDKPSVQGKDEDTEMFIEPVDEATLIEQRRKRREAIKAKY RDAPKPLIVQALKPVNPPESATNGSAKSTSNADEAASSAPSPMTTPIDTPGSQSPANF EVSKDEDLANTDLSDRSGINKEEPCAADYDPTSDMREDKKRHDKRHFGDDLSAASYDE TKTGQLTTAAQEAEKPNPVNVKDDFDMFAEGDDDMFAEEPPSSMRANGPTGIPKAQEL DMSMLDNWDDPEGYYNVMLGELINGRYHVQQILGKGMFSSVVRATDSKTGKLVAVKIV RNNDTMRKAGMTEINILQLLQNADPEDKKHIIRFDRHFDHKNHLCMVFENLSMNLREV LKKFGRDVGINLRAIRAYAQQMFLGLSLLRKCNILHADIKPDNLLVNENRNILKICDL GSASLASENEITPYLVSRFYRAPEIILGIPYDHSLDVWSIGCTLFELYSGKILFTGRN NNQMLRSIMECRGKFPPKFLRRATLAHLHFDDLLNFRSLEEDKITGRMVTKILDFKKP SRDLKSRLIGKGARLNDAESKEIMMFIDLLDRCLNLNPEKRCTPSEALRHPFIARHNK V TRV_01983 MSPGLQTASGLFLVFEVGDVKRRVRQKKVLPLGPKRTSSTPSFR AKQKRSYQFEATIPTATLEFFHQPQPTPSTHPLTNGQAQAAMGGASREGGKAKPLKAP KKEKRELDDEDLAFREKQKADAKAKKELQELAKGKKGPMNTGQQGIKKSGKK TRV_01984 MVHYPHNHGEPYQSYSSTHRSEYGDRDVSPPVSPIREHYPSSKE SRDVSPIEEPKGNWIADMHNKDNHPAPRATYASNSNRDMKPSTSGRRPLTKWDGYSRD LAVSDKGSYHQDPQGIVKPEGYSRAGGPSKPRFDIGPETGRVNVPKTRCRTDCDTSAY SNPPPPPLKDRSYRGPSSTRLIDDKPVTTNPRSKDNKALPMAPKPRPAVPQKDKVLVK PAPAPLSIPNSTYSSLFEDSLQTASASTWETGKFVGAADQPEQDSSKGKDGLGDFLTE RLQGIALSQEPRSRFSATTYATTEPGSPPPISESFDQPLPGIGSRMHQISAKNTTRKP TPSQMTTVSETGTGPQTQPETNITTRIDDIKSKLADLARRKTNIDTMIHELTQVIQPS SIAYDMATRSEVNKTVTSLNNELADIKKEEHELGLKLLRAYKKRDKGDIYANEPTLWV KRVTS TRV_01985 MQFKPKKPVTLPTAPPPTVFRTFSPTDLLPFNGKNGAPVYLAVR GRVFDVTPGKNFYGPGGPYENFAGRDATRGLACQSFDEEMLTKDLKGPLDDLKGLGQE ELENLRGWEERFLEKYLVVGKLVAEGDPALKE TRV_01986 MKWLLTGLIIALTVSEAVGSRDWFSKAVYNKWHETELERWLSDH DVPYPSPADRKDLEELVKSNWESYVAEPASKTAEEISGSFNDAKEWIFDSWSDSQLKA FLDRHGIPCPQPKKRDTLLSTARRNYEAIAQKLGQSVNYPGNWLYEHWSESELKEYLD SHGYPVPQPTTRDKLIAAVRRNSRRAGIEGQKSAAAASASMAAAQETLAEALFNAWSE SDFKKFFDEHGIKVPQGSKRNELLALARKHRQALLEKPSASMASVYGAATSKAGNEFA RATDDAQLKMEDAFNQAINGWSNTRLKAYLDARGIPVPQSDKRDELLAKVRLHGHKAA SGYTAWTFDTWNKENLGKYLSSQHKKALEGVEYTRVELLKQAQDAYNRASKTGGPDFT SITNYLAQVTGSMKDTNFNTWSKDDIENFLASYGIKPTRGSSIEELRRQAKDNADYFR YGITRQEASMFSRLQSLGQWAWDQLKIGALSGRAEGQKAAQEVKEKATKANDEL TRV_01988 MVNLSEECKQLASPGAVSFTFSILIFLGILLSYLPQHYRIISRG TSFGISPYFILLGTTSGTFGFANILVLPKSARDIACCSDISGFACFAGLLGILQVGVQ SLCFIIFLILFVVYFPRTPPTPTSTSATPEKSHNFRTALTVGAICLVHAIAVIILGFT VVFGYPSSRQMWANILGVSATILSSIQYFPQIYTTWRLRRVGSLSIQMMCIQTPGAIV WAISLAERLGMEGWSTWGVYVVTSMLQGTLLIMGVYFEYVNPQKPELEAGANKPTEPN GRSYGTMNGTDAQDDAISEDTPLLQG TRV_01989 MEEADSFAQEFDSRIPSNHAKPALSDAYQHSGKDAKHPKRPSRE ELSEDERRRMDKEEEDVQRHNEDMEHRHGRAASQITQDGKVETIDEMEEVRFKSEE TRV_01990 MSDRPTSPSKGSEGDADRQKSPSIEQSGGGAGDGGGAGSEQSSS QASPVMDVFSDEFSVERIDNSSSNSQRQSVNSLSSHSSSSSDKTETRDGQDQISIPPL PTSSFQGIPESLERRASQLLQQQQQQYQQHHHHHQTVTPQVPDKKHFPPDAGPVPRTS SPAVSIAQSTASASHRSVSTVSRLSIPPRALSPYTGQTGPSHPYAMYSQGISVNRTSS ISSNSTVRPPERNFVAAAPPQHPYALYSQNTVPEHVPDEPLNTTVPLPLPGHPQIYSQ PAPAPVADEVGDILGTDGYREQLPPYTRYPEGIPEKSYYAPAAPTGVPGTTNTPSQPL ETPVSPVSQVSSRTLLSENVPGAVAPAADSSAAESGNTSQEIVNEKEKRRNQKICCGL PLWIIGLIAIGLITGTLIGGVLGGIVGARQGQKDSGKTPDPDHQPTHGTLDALPLPTT SGAPVFSPIPTGPISISGRTFNSLSQSCVNTTSLRLSWDCVDYGHFKGSISVGPKDTR TIKFSQNSLTGKFIYGDQIPFLANKDYQLQPAYDASAPDDGPAYFFYTTFDKLVIVPD DEFPYGVLGRSVKDSAQLKRSNNRSGKVQSQPGDKPWFCWWNTTYIELFVYANKNATE DDMKTSSDGSDDGMPSTPPTPYPRESTPITASSSPTSTPITMSGEGGSNLPQAYPQAI KIKELRFSDASQSPPYCQQMQVLDDWSLSTLQNQATIEEKDSRSGPDSENIDISQKLA RRSQLIGSRDVVPDQCYCEWLYGN TRV_01991 MGGVEEADVVAVLFGGWKGEGVEEEVEEVEEVEEKSRRRTRRDS EERQQERQRGRESEIERDGRDGAEAAMLNKKRERERQAYAK TRV_01992 MATAKDLQALLRFLTQDARIPLATAISKAKGLQEAKLLNPEDIS RAKLTAVQSVFTEAKTARQVWNAAKRVVKRRATEEEKNDGMVTASSVSSPSKKRKATV AGLDMTPQQLEESLTLPVSTDLERISKTVIVTNRAPLVLAFAVMSLKYTMPEQPLSSR LSLAQAVVSANSRTKARSLGIIDTAAPSAEDAGWAQGQPTVKVLGRSISVLRRVGYDW DDEKGETASVSPPSIGFDSKETVPEGGSSKSPHAETGSPAHKSNVLSPKEDNDDDNLP ALWGLDLEGDRKSSDGRQHSRYGGYDGGSRSQSTHLPVFRAEPARDYLLRSFSEHKHE HEHDPNQPDSVKKAKEIALSLLLGAIDHVCQSWAPTLSRDELDKHVWRWYIAVRPEVE GGISGWGQKGKVHLSAILDLKRRDRDEMRVF TRV_01993 MTPYFMITIFFTLFTYPAKQWLTAILPTGIPTLPRYHLVICPSS IAINHVATGINLILSALGLLAQSLSLDEVRLELGPQLSESARISENGQEFARWSEYYS PTPGVVVSVASEEDVAVTFFAQSGAHGFTTTMDVPPDGILINLRQLNSVTFNDERTKV TLGGGVLISEIIEAAASNSTLVLTGNCNCVGAIGAILGGGYGNLMGIYGYGVDNILSL NVVSGDGVLQTVTDGDDLFWAVRGAGPNFGVVTSVIMKAYPVQPAGLYAWLGTLTFTG DKIEAVTKAISELELKPEMNLLMYFLDARAPSKTPTIVVTPFYHGSEAEGRAAFSSLL NLGPTLDTTASTHYTHWNDGSQSACIKGDRKPSYCSGLAKIDPGTWKQVWDEYVTWVS SNDGKSRNSTVILEAYSMDRVQSFPVNSSSVPWRGRIKFNALVVPWYKDEDLDLAAES YGRFTRSLILSTSGLDPPATYVNFAYGDEEPSEVYGHQLPRLQKLKAKHDPNNIFDQS FHIEPKY TRV_01994 MGTPDEELGNIMTEQLASRLQSPPAESSLQHEVHREDSTSHPKP EKQKGLESLDDTHNKKPESHEVKIHVDEPLHHQHHPDGFALAPEPEAKLTEHLPEEED FPVLASDEIDPSSAALQPAVSPPFEHKHHDHIQDTESSQQMELPRPTGSGNKGLESNP RDQDESESVPVKHTKEYEPLFHDDDNERRLSPEQRFKSPVSHTQRFPSKDIWEDAPSS AQLHATVSTPDIPKRPKKDGSEHEALFETPEQEQERVREADKMLEKSGLEEPPAHLEG SRSEEEGPKQRFPSKDVWEEAPESQQLTTAVEAPADSEKSEKPEKPSLPSLPPRPSRQ PDRSSTEEQGDISPTKTQTTSPTELKKRPSIPDRPKPQVPQRPTRKKGQESGEPLSKT ISGENADDAAPPATKSKPALPPRAVGSKIAALKAGFLSDLDSRLKLGPPAPKPKETEK EEEKPAEKGPLSDARKGRARGPPRRKPAAKSTPAVAETEKPQTPEIKLADPWNVWSIG PDGEVNVPGSQQGKSPSEPNDIQSESPFAPPPTKATVSPPEDLESQAPPPSSIDKAVE SAVFSQAAEAEGDHAPSEVTRSPPQPAAEEPLSSDLVSSEHLEEPEAPAEAETDTRGS GESNAKSEPYSGLRASGEALNAAGIMDKLKRPSFEEACVPTVEAEVERTSMAESRGEG EDAKPIEKQ TRV_01995 MSTKAPPPIPPRPSRSPNNANANPPKIPPRPTSRPDRNSPPKLD SFAPSPLNAPPSSRLLGADSPIDPSIRPDSATLPPTAPKLVELRNPVTQGEKVAGYGV EQPAETRNVHQDLHLHAPRPSLPTSSATAQVQAVTRTDASHGHETGSEEDVSNQSLYS KSGIPHIQLESSNASASQKSYPNDEGTAAPDMGQRVPMYPNAGYVQAPSPSPGPSSPN EHRDSHSRTKSRQEPPGSYGLHGHGVTPDDPFEKSWYQKHPKELALEEQAFHASGVGS PRPDWAMSSEDLNKIVKSSRVTGSIP TRV_01996 MTLAEEFRSRNFSKSIRRTSWPVPHMLTSSPPGIYAQWLATPTA SSDSSLSSRGVLLVARPLYWKLTHYIYRTGILSMLICFAVGISNLFRVPSIILAFSIV CLACSPVILFIEVPLLLRICPTSSKFDAFIRRFASNTMRGVIYLAMSVIQWLSLVSKE ATSLIAAAVFLLFTAIFYALAAAKGQEFTGSKTLGGQGVAQMMV TRV_01925 KPSAQLQTAYLTAQADSEWFQSLPPKVQQRHFSVEEQTRLGGWR SSIILDAADQALYKFGSFTTAAESSLSLPATATLSRSSSFSSMSSAVDGDAHHHHNNN HNNNNNDDNNQNDDSLYDSFKWLDEEDEIDLSLDYHAHLAETSASSANLHSKKSPFRS RRQSSFRRTFSISSGSNRGRSSGSGLPSPRLRSQTAFQPNSISSSSSSSNNNNNHNLR PHSVSRPTPPPLIPPYLPHTSHGSVDHPAQFYQDPEARLKLRVYLASPQKFDEAIEFG FPSLEHNDNLGTPFSFDRKWKMQESPTSSSFIDSESIAPFDSTASASGPPSPRTAVRP KTSGDLKPDSNQSASTWRNPSVCDSVNSRPSCSSRRPIIVGSSGPMGSREMTLKMTLT RPDLRSSELTSSPKSSMTAEEDPLKLADLPVDEKAPVWEKPEKTSMKSVWRKITGKAT TRV_01926 MIVRSLLLAGSLLLASVVPASFTLAKSDGPQIKVKEFDKVPTDV HYFEDTDTIILSGKKAELYISTDAAASWNLLEGKTGILYPNKYHTQSAVIYGPNRKHW VTFDAAKTWREFEVPDKLAFGGGFMPFTFHGKDAEKVLLSAEECQFMTCRHVTYYTTD GFKTVKRLFEGDMGCFWAVGNPAFGEGEPHLPDKLDDRVFCIWPHATPLDLTRRLVYS DTYFSDRKAVAVEAGGRDIKGVNNMASVKKFLLLAASSVGTSEAAIYVSKDAVHWDRA DFYGGPKVRGGKFTVLESTNYSIQVNVASRRSRVPIGSLFTSDSTGTSFTMNLDGVNE DKDMITDFEQVSGIQGIFLVNIVENAEEVKKGATREKKLVSRISFDDGRTFKPLKCGD KELHLHSITRPSNIGRTYSSPAPGLVMGIGNTGDKLGTYETGDTFVSNDAGVTWRKAL DKAHKYEFGDQGSLLVAVFDEYKDKIFTDEISYSLNHGKDWKKAKLPHRVTALQLTTT PDSTSLQFLLVAEDEKKKFYVMSIDFSDVHERKCEKKDFERWPARLDEKGEPDCLMGH KQFYKRRKADADCFVKEKFKEPLPETEPCQCTKEDFECAAGFLRNKDYECEPHRKVSP PEGKCKNPDDKFMGPSGYRLIPGDDCLKKGGVDLEKEVERTCKDATKAPVSGQISVET TPFKTKNLNYRYLERSDTSSGDDETVILKTDDGDLFVTRDHGKTWQRGKFKEPISLYI PHKYDNDVIYLLTEGKKAYWSIDRAHTFHSFEGKLPITRTLGTLPLYFHPDHPDWLIW IGGQDCKGKKCTDLAYYSKNRGDEWDLLLRGVGKCMFVGKEGELTADDLIFCSQHEHE DPSKSLRLISSDDMFTKKTSIHFDGKPIVGYAKMSEFIVVATKNGTELSSFTSVDGKT FAHAAFPPNYHIDAEYAYTVLDSSTHSIFLHVTDHPAKMHEFGSILKSNSNGTSYVLS LPNANRNDRDYVDFEKIQVVEGVALANIVINPDEVKGKGQEKKFRTLITHNDGSEWAL LPPPKKDVDGKSFDCKVKDKGTDDCALHLHGFTERRENRDSMSSGSAVGLIIGVGNVG SSLTPRAESDTYMSRDAGITWHQIKKGRYQWEFGDQGSIVVVVAEEKPTKVLSYSLDE GETWTDFEFSDKEVTVEDISTVPSDTSRNFILWCRPGSSNEIVAYNVDFSGLKEREKQ CVLKKESPEADDYYLWSPKHPMQKNNCLFGHVSMYHRKRPEAKCYNGPKLDRLSSEKK NCECTRQDYECDYNYGRQSDGSCALVKGLKPADPMQICKDDPEAIEYFEPTGYRKLPV STCEGGHQLDHLVARPCPNKKKEFDEKHPGIGGFGLFFAIFFPVAIATGVGYWAFSKW DGKFGRIRLGESQPESIFSRDSPLISVPVTIVAGTVAVITALPLLFSSLWRSFRGYTR LPGSWWGQRQRPYASRGAFAARRGEYVGVVDDEDELLGAEEFEGDEEEDV TRV_01927 MTPKLSTFDLSKYRRYTYTFTSFHALHNAIKLESSSSLANEDLF PSPPEKCTWTAFNFFAYWWSESWAISAWSIGASLITVGATVRDAVLVVLFANLLTSVV IVLNGRAAAAYHIGFPVQSRTSFGIYGQYFVVVLRALVGIAWVILSRTVPFRMSSLRL FWMEQDSKYYTRSFFLAFLTTLPFTLIHTTKIRHVFSFKAIVVPLAGLGIVCWAITAN RGVSADKLIDESKRKSTSIFAWGLVAQLNAVFGANSALIVTVPDLARYSTNRNAQAAV KNMYGEAYWNPYDLLNGILDHGYTAKARAGVFFAAAAWAFATLGTSIACNAVPFAADI TCLAPNYINIVRGQVIWLIISLSIVPWRFVGTASGFLRFLTGYCIFQGPVVGIMLIDY FFVRRGNLYLPDLYRHSSTARYHFTKGFNIRAFAAFIIGSLLPLPGLIGSFGHSFSTA ADRMFSLGWILSFLVGSLAYWILCALFKVPGDDDSYGFEEKVAEAEEMICQGGAELSP SCEVKGDTSLQVEEIERNVV TRV_01928 MDGDPLPAVKQKPTIHTMNVELSDLPVRAQHHGIDAVQDIVFGS IAGMVGKYIEYPFDTVKVRLQSQPDGRPLRYTGPIDCFRQSLRQEGIQGLYRGISAPL LGAAIENSSLFFSYRLTQHLARDYVYGGLEKLPYTALLVCGAASGAFTSILLTPVELV KCKMQVPAGQHQGKAMGPLAIVSAVFRTHGVLGLWRGQLGTLIRETGGSASWFGGYEG VSALFRHYNPAVSLQGKQGMADDLPPLPIYQQMIAGATAGILYNFIFFPADTIKSRIQ TEDIAAHSAKSGAKMPSFWSVGKAVWRQHGVAGLYRGCGITVARSAPSSAFIFSIYEG LKTHFQ TRV_01929 MTLDRPLSEGPYRAAANGEENHASSEAEQDSARYSDASARRRNG QTGRKVRVFKIRMRATAAKVVVDGRVFECTPYWRAKAYYQRRSHPILWLFFSSIFFLS SFLLLLESRRFFFLLFWTLFFPSKKAKKKRRRKRERKEEEKKKIRGGESSRKGRQEDR REEREKMSEATHDSVEVASEKKPAPTSAIESSKPKGMRKNGKNWHAPKKAFRPTAGQT SYAKRLEERKAMAVMKEKEKEMKEEKEATRQARIQAIKDRRAAKEEKERYEKLAEKMH RKRVERMRKREKRNKLLNS TRV_01930 MEQDNLRIPPLALPAAQQTPAPAPAGSPDESTEMHTASPASPAS SSLQPSPLLPPNRAYPSLNNNLYIPHHASIPDPSLFSPSSPLQQHPEDRSYDAEKRSR RHHKHQKSRHERDEKYLRNPSFPIPEQVAEMPFHLGKKRARAAAAEAEERAKAQEKRE LDEKARRDREAKENREELARRFPFTRTREAVTQARVDELHTKRLEAEAELFDEITEKS GSFSKRIEDTCGNLVNDASVIRGTIYSLQRLSNTALAQHREFLYRTTGVMRNAERHVH NINKFERQLQSIALLEARLKATEEASKKLDARLDVTRQRIHAWDREEDKWQAKINRRL RILGAAIVFLFVAWVGMKVMGKMYPDYSIFGSKANTTLHGNSSECCVETLDNAKNAMT TGTAIGVATGTPTGTPTTGRARSAYKTPLDLDALEEPLQRIIDEL TRV_01931 MADTENGDAGTAPAEPQARHIVYCGVCSLPPEYCEFGGTKKKCE EWLEKSHPDLYQKLYSDETIAANLSALSVEARERAAKDAAKKEAKAAQAESREHEKKA ASKILIRRVERNKRKFVTVISGLEAHGLDNKKVAKGLGKKFATGSSVTKATGAAAATG TNEEITVQGDVSEEVMEWLLENYKDVPEDNFELVEDKKKKSGAAAGAPPA TRV_01932 MACRAADDSFVEREPSRHVDYLSHSWREEDVWSSWRYIAARKDE YESGHRLENAAWRSWARIRFGLGWFPAEALNWQKDIDVTWLYGPLQVPGDETDDRSST ASPTPSSPGASNSSLIDKKPILKKRTISQSILQRPHSTHTFLRLANALIKAQDGADGR YIADYPVSRQEVEKSLGLPSESSVSNFFFQESRGDIPDKRHISFSNEVAQCVAVEDDD EPFDGEYYGYYSYATDGSVVEEDEASDDGVVMARYYPERPPLSFQSTPRSSFSSDSRI IAHLPSTTLKYRPDTPEPKQETPRPVRPTLSRSSSAETLRPSNYHAAAARTSSHGDFF LDEEYGADEDWNTGWDIEWNAQQSSSMNQTSSPPSSSTITTSASLPSLASQWFSNSKA KASISEEGKYYSSSGVFMPFGYGDNSPDGDLDGPEETEETNSSRGVIDRVIDTVNTAR DIAHVIWNVGWRG TRV_01933 MESVNISELVERLGSDEDAVRKMAVFKLQSNIGDPSFADIFIAE GGLTKLKYLSLTASGNTLAYSLTSFARLLEVDKGWEFVQTDLIERIVQLIVTHPLVNI LRGAMSILVSIVSHPHTSGRASVPGMFGFQALKPAIAIHPQFLEMLVRRLSSADHALC ANALQLINSLMRDSISNQGENEWPKFIKRLQDLGVIRAVYVLMQGSALQDLAHPLIEF QSLTKLLLRKWREVPVELERSEHRRALKGIHLASNPERSEKDSAGAKEKDAAADPKAG DGVTLPQKSSKRHHPYKWRRLGFESESPQWEFQEMGFLGMMDLTDYVRRYQDEFQKML LEQSTRPAEQRCPIARASLAVTSVLYEHFEVDKAEIDDPKSYLALEAKTNFDKMFKPI LLHWPRLHVAGLHAFFRLWKSTAAEVEDFTKIVELVRILVESVIGGASRTKDVLEVEE EMADFEYQRLRELQMELLELTYEDVWGQHLHQVREELHHEALQFVKEQRVRCLLQGAW FPNAESSSKATADTNGVTDKRGASTYHRYIQLSHNRRFLHYGDFESTGDDFKPELESL SNKIDLSIVSSVVSNVSASPDDSSGSTIKTLPHTASGSTKITIHGLAKPTPPPQPHES PIIRTETRDTEYPDDDKQAQNEVVLLTLYPQSHSIASEWLDGLLMILNQQPITAETNK LINLISNYGLKIRLLNVRFDDAAFTGDCPEVPSREGLDEDYYYDIFGGN TRV_01934 MVSSPPRKRQRKHIVLSSDDEIESPAPAKGRQKPQPQVQRTYKG ERSLTTSRATSAATAGSSNRNGALQLPLSTLPTRPSSQNLPPPPPPPERSLNDVLKSP SKASSVHSTRTTPTSSPEKKRKNTARPKKNTGDENIGQAGKSLRSFFQPATEEERWSR LQREREREKEKERERQMFLELENDLLEDMIEDDDSLDEFLSQPRQKASQGGTSSFHGH PALDRRKSNPPPSQNGISTLKPEKKPRSGKRFILHTDSNDSGGSSQSTFASFGPSSAP AQAAGKPWAEQFAPVNLDELAVHKRKVADVQNWLNEVLIGRSRRSILVLKGPAGSGKT TTISLLSKALGYDIVEWRNSAGTEYSAQGYTSAGAQFDDFLGRGEKYNCLELDGETSS ISSSSTALQSSKRRIMLVEEFPSSLSPGSPGLTAFRSALLRHAASSFPSIAARIAARP TETPNTPVVIIVSETLLGDGTSLSDSFTVHRLLGPELSNHPGVSIIEFNPIAPTFLTK ALDLVLKKEARLSHRKRIPGPAVLKRLAEMGDVRSAISSLEFICLQGGDYEGYSGMLN SRPKRSGKTAVPPTAMEAETLQMVTQREASLGIFHAVGKIMYNKREEPSPAPPARTGL RARKPPSQLGDHHDLVSQVSVEDLINETGTDIQTFLSALHENYPPSCHGDLFTESLDD CSEQLSAADILGIGNRRNAQSTRGIGSGRVAFQGAGTGIDILRQDEISFQVASRGLLY HLPYPVNRRGPDAHKMFYPSSIKLWRQAEEVDGLIALWMQRITTGCTDISTTDTVPQR TEGVESWGIRARARQPHTQKESGPSSQGMTRCMISRDEVVLERLPYMRLISKDITERK EIERVTQPKGMIRRSQEVEEDDFQPGHNTPSVPTIGPFNKNRVWQPTLATSSITGLPA VEQGVDKLILSDDDIEDD TRV_01935 MTGRREEPQGSQLNRRSNAVPGSTTLEKTTKRNDVAKSQSLGRK DHNPTAVASAIVQSTVPAWLNIVIITAFIFGGCCSNISNADIWAIICRDDPNHGTLIT FAQFVIIALLTLPTILSPAAGLKSLFISKPAIPLKSWAIYTAFFMSVNLLNNAAFIFK ISVPLHIIVRSGGPVASMVVGYLYNSRRYTPMQVISVTILSAGVVAAAIADASAKGKS LDLGLAASEGSSLFMTLAGFMILGLAMVLAAFQGVYADWLYQKYGRDNWREGLFYSHA LSIPFLLPSYPQLYPQLKSLLASASVSSVIKASSATASTSESPSQQFLAPVMPLLHLL ASHPSTQPILSMVPIKISYLLLNGLTQYLCIRGVYLLSAKTSSLTVVIVLNIRKLVSL ILSVYLFGNVLTFGVLAGAILVFLGGGIYAYEGARLRRQQSKDKNT TRV_01936 MDIYTGTLVTLILANVALASYRINDNEGRASLRELTSSVSGLFR GQAHDRQNSKGLALIFLPVYVLAMASDWMQGPYFFPLYKETLQLHDHIIATLFATGFI SGAFSASFVGKLADVFGRRKACLAFCVIYSLSCIMTVSSSNILILFLGRVLGGIGTTL LFTVFEAWLVAEFHQRKAASDSTELNQLLGTMTVLSGMVAVLSGLLSNYLVSITGSRR APFLASPVCLLLASLLIIGTWVRIDTLS TRV_01937 MISEGSMYLFVVFWSPAIISASKDDDIPGSPPFGVIFASFMTAM MLGSQISSQLMVSPPSREDSSPTPSLSSSSSSSSTEPQNPPSYDFNRISVSRSSCLLT ILLFLGSMSLTCAVVFPTTLLTLWAFCVYEFSIGLYYPNMGVLKSVLIHDKDRAGVYA LFRLPLNCFVVAGLAFTTEGETCVPG TRV_01938 MSQPQQDTEWSRRDSAVKRGQLSIPSDQGNAIEVRALRSSISDA GSLMHNLSLSPSFQDRRGSRNSFGMALPIPKSPRASRLSGSRDPASLKRDVLASQIQD MAKEKVEAAKNMAFAFDIDGVLVHGKKLIPEAARVMEVLNGDNELGIKLPYILLTNGG GKTESARVDELSGILKSPISTEQFIQSHTPMQALSEYYDTVLVCGGEGFKVRQVAEDY GFKTVVHTKDIQAWDPTISPWSQLSEEEVKQAKIRDFDNLNFDAIMVFADSRDYQTDM QIILDLLLSENGRLKTKAKNPLANQIPIYFSQGDIVFPTEHALPRLTQGAFRIAIESQ YKTLTGGDLERVVYGKPELATYKYADTVMQQWMKEIHNEHTLPKNIYMVGDNPQSDIC GGNMYGWNTCLVRTGVFKGGENDTNNPANFGVFANVLEAVQAAVKKELGQEFKLKWQD EEVNPVLQAGGVSAIE TRV_01939 MSRNITFKLSNGVTIPGLGFGTFSNEGSKGEAYKAVLHALRTGY RHLDCAWFYLNEDEIGQAIQDFLKENTSVKRSDLFITTKVWNHLHEKDEVAWSLENSL KNLQLDYVDLFLIHWPIACEKDDKNMPKIGPDGKYVVKKGLTENPEPTWRAMEESYES GKVKSIGLSNFTIPGIKQILSFAKIPPHVNQIEIHPFLPNTELVDFCFSKNIMPQAYS PLGSQNQVPTTGEKVGTNPTLNKIAEEGGHTLAQVLIAWGLRRGYVVLPKSSNPQRIE SNFKEIKLSDEEFEAVNKVSEGRHSRFVNMKDTFGYDVWPEEASQ TRV_01940 MRGCAGGDDAAGGVKLASKSLFEAHDDDLPDRAQTPKLRVSRAS QTYNINDNININANANANDNDNDSPPNGQLRAGSNIHSNRSPSLKHTRNYAVCFFYLK VY TRV_01941 MSLNTKENTNGGTSAEKSGNPPAQILTGKQEHSRPEITVWLIRF KWLLDLKRELVGAQVSSEIVELNSPTALRRFGAPFKSDFGEIAPVDSELPILRYIFVN HIRNFPFLDKAHEKEFWQDRLQVFLESFANKNISSSEDRHEETKRRKLAKKCEKVVEL MMVSGIPTSSGYEERIRFSEMEVVDRGANEQGLLVNMPEGNVINGWDVNIASVRTTSV RRTLRYHQHAEFLIRVRQQDGPSIYIGRRFGDFARLHKKLRTELPGKIIPPLPRKNKT SVSSSFIGSNPDDDASSTSSVNTLETTEGQSTRNLIVPGTLKHKTSMSSLKSIGSQSP RASGELQGETVTLYREEQRVSLRAFLRLILQNHRIASSNAVKEFLTDKPITLNEEEEV DVARRKELDAKRIEEQRRFYEIARKRARELDVYMEQFRQDIVERNGLTKLFQEIRDKD SVEALSPQYQKFAEWLRIEYVYPAFAFVTAGFMFLTITIYNRVAATLYHIFLAEDNSP ELFAQAKRIHSLIPYSILKNVIRIANPAAVMAGVLDLFLAQPFGARSLLQRIFAMAIQ DGVKAFQKSVDSLAARIDDNILVDKLRAFTEADEQVKNELRAEAEAESVDIVVVILRS EHFEPELTVRQIEKVFNSYVAWVSAVESINDPEIQQGAQWFSYLKQLLKVLTRQRDKM MMLSIIEEQPVTLQLFRDLFTIFYEPLVRVYKSANVYNSITDFAEFANDAIAVIERAQ RQDVSADPNQTVQSFIDLCTRHQHSFYKFVHEVHIHDNGLFASLMTWLEDILKFLREG PESGGTLDMNALFQGAVSAGQIDKDIAIAEIDALIKWHSDRKKWHQDKTRQKMAAEGV NEQIPGASTFKSSDFGLHEGDLEDLVIEDDISDPSDENSEDDDMDPIEFERKRRARHQ DRLRRSAGEPVKPKISEILKLRDSFGSMLRMVLA TRV_01942 MRCGFFLWDDVAKEREASQNAVDSVTENTDAQEADPVQVRPDNT KPGPVLRTPKANRIRESIRGGLLTPESQTKRKRDADITAYLDKYQSPTKKGKLELPKS PATEQDTSSFTTVADISYPSLASRLEALDAEPIPPPPLFTTPKKSTLPPKSETCPAQK TSLEAPTTPTPSRFTSSPLFYHSAERINKKSLHCETTAKALGILEAHKINVTPKAKEE LVELLDRNDLKTLGIIKGRDISRLAIKSREKRIEELESRIPILEAERESWKSLSINKG HHPPSGTRKDKDGLGM TRV_01943 MRFSVASLLFLGATLVAAGSVPDDSSASSRSAHSLSRTGNNLKA RSNIFARASGDEHCSGRGVTCQSCFGSGYKECPNDSTKCYNPDDPRYSSCDPSGGSGG SGGSGGSSGGSSGGSGGSGGSGGSSGPTDESCARQYGTGNKVCGTDSCYNPTVGETCC QNTGKSAPSLAPFAVLLNPDCRGISSNDSTTTSSSTSTSTYTSGSSYPTSTNVSGSSP SSSPDTPQSNGAGCLSVNVFPILAGGLGVIAAL TRV_01944 MFRPPINRAMRVLDRSFFKKTIPLAAATVFEARNLGRIKTELAK SNDILNAPRLPAIRYVQNPTTEEEKNKMCILLKEQIQANDAATWSPTIRELVEAKSVE LKPYDLHLDYDYWLYHDILSSILPEEHLEETPAGFNQVGHVETGYTKLRENIAHLNLR EQYLPYKHLIAEVIRDKNSTVRTVINKVDDVGANSEYRTFAYEHLVGDEDMNVVQHEQ GCEFAFDYSKVYWNSRLGNEHTYLVGRFKEGEAVCDVMAGVGPFALPAGKKRVFVYAN DLNPHGYEKLKEGAARNKVREFVQPFNMDGREFIRHASQELCVNGPRPVKIYPKVKRT EAAEKKAVPPQVYKCPPTFDHYVMNLPASAIEFLDAFIGVYAGKESMFEPHTQRKRPF VHVYCFSTNSDDNAVEFADICNRISERIQYKITPDDMIGGTGNQDLELEIRDIRLVSP NKRMFCASFRLPAEVMFKS TRV_01945 MSSSTPVHEPTHRKRELPQSELEATAQLKLGEFQHVPTLSLSEA RLVINKVLDLRRKGESKFEEREPSMMLTPISLAGRTLVKTQDYLEVFARFKEKENIEA VERLLSAHTELEFFERSQLGSLCCDNAEEAKSLIPSIGNKISDADLQELLDELTKLRN FVE TRV_01946 MSKAFDRYTIGPESPDVHSKYKPTDEVVFRSPFGPFPKVDPDLN LHDFLFPPEKPIDQPDHDLFIDGYIGTTVSLYQFYDRVVSLSRALRHDGPNPVGLGQS PVDDNGNGEIMGILSGNHLSWPLLVHACFRSELVFGSISPNSTPYELYHVMRKMQVTS MAVHESLLPLLMDTVRNGPQTGNDSRLELVFDTSKIVVISDDPTLDSVQGYPTVESLV RLGSKTPEKSWKRSGGERLCYLLQSSGTSGFPKAMMISHKNAIHTTLQGMINSRRTAE FMNIDPPLTVLLGIVPAYHSYGLTMYALRVNVMRNTNVLMAKWDLETALRLIEKHKIT TLPLVPPLVRQLALSPLTEKYDLSSVTLALSAAAYLPPDVAHSLGKKLPQQSPIQSGY GLSEALSVAQPVVEGLFGLSRSQPGTIGHLMPGIEAKLVDPDTFEPVPKGTKGELWVR APVVTPGYYKDREATASLFAEPGWLRTGDLMMRDAEDRLHFLDRLKEMIKVKGMQVAA TEVEDTLLSHPDKLVRDACVAGVDNGRGDGGLFPRAWVVLSEEGRRRDASQVIVQLDE FVKSRLSKYKHLAGGIEIVDSVSQLTHFYLFSFEVLYTD TRV_01947 MLLMKPAPSKTPLPARGWKAALVSDCCVNLPRHPALFQLALTYT LAHFSPRSTSAAAVLFFLSPVYRPDRLAIRAALSRISLSHSLACFLSLNRRALNLQNR GYRSPFLSFILPLTDPPCLSSEAKKIKKRETVSTKRNKKKKAGYSPRAEVVDADMASA GGRHIPPNLLPGNRGEDGGLQITNTSGFQGQSPIDSPDGNRSAPWSDIPPSAPTESNV NPEERSPRQPDSYRSPGRTKSRDRHNNNNSNGPSTSKSPSGNTRICRVCGEPLLGQFV RALGGTFHLECFQCKDCGEIVASKFFPVDSEDGNSQHPLCERDYFRRLNLLCFECGGA LRGSYITALDHKYHIEHFTCSVCPTVFGAQDSYYEHEGKVYCHYHYSTQFAQRCNGCQ TAILKQFVEIFRNGQNQHWHPECYMIHKFWNVRLSPSGKTFEPPEIDRDVSNEERDQI RDEEEKMEEKVYRIWSVLSAFEESSAACISDMLLHVSNGAYIDGVVVAKKFIFHVEVL FKGTDQLASQISALGVKEMAYGREAKLLCKKVVSFFSLLSKTQETGVRKLGVTQELLA LVTGLAHYLKLLIRIGLQGSLRLERETKTPDALYAFLDLTADLEEVNTITASDLNTDT SQLAHSQSDCCAACTEPIDDECIVLGRRQWHKKPPHLVCGACQDDLTHDLERARWSEK NDRPFCQNCAVQRGHDPQAISGFENVTKLQQYVFLLRVALARLLSVLRSGGTLPHTSD DPNLKEYEANDGHRIPPQADPHVPPQRPTTRSMSYTGEQNEEKAPSSLEQTVGEMRRL RSTRNERAISTTFKKARTSRIISGPESSSVQPGAPGSANNGQNQNFQIVEERDADGEP VADLTFGNQDALTLDDIPRIVAAEQAKEQRPNAYKHAGGNLIGSGGPAAKLVNGHQRG VSVDQLGAMERPRAKKYFSELSALEYFIVRHVAVLSMEPLLEGSFNLEELLGLIEPRK PTIWNIFNRAFKNDPRKVGKKKGVFGVALEVIVERDGTESTHGVGPGTLRIPTFIDDA ISAMRQMDMSVEGVFRKNGNIKRLRETAELIDTKYEAAELDKESAVQVAALMKKFLRE MPDPLLTFKLHSLFVIAQKIADPVKRKRVLHLTCCLLPKSHRDTMEVLFSFLNWASSF CHVDDETGSKMDIHNLATVMTPNILYSNAKVAGVDDSFLAIEAVTSLIENNDTMCEVP EDLLSILTDSTLFNGSAEITTKEILKRYGALGKLPVPQRAPTIAETIPVRSGSSKGNN SPIAARIDTDPSQATAWQMQSSVRHVHGPQDHNAPFPPQPIRTNTGDSNQGHGQGQGQ AYSGVPPQKPQHQQHLTQPPMAYRGRPPAI TRV_01948 MALRKQNLGELLAKYSEATKETSDNRSPFKKPSLPSKSAKTVRP VTQAPANSAAAQRTGASRQSHGSSDKENAGYPDLSNHPLTNPKQRGRPTMPASSSRNV SQRTESNILSPKSSNSRTFPQSPLKMSPTKTQQQEPYHIRAFSPIKHTSPTKHMNYAA TPATPKLRTMRGAAGVRRVTPPDNSSDSVPGRVIRPKKAMSVKSAPAGTRKPPARTAT RQTNRQVSTSTTSSNASAATSGTTIVRSARTAATKKAEASSASSKKPPTSRSRAATTA TSATASTARKMTVAAARKGQGVEQPAPGRRVLRSRV TRV_01949 MGGNGPEVLVTLTEDIGKILEGLHRTKIGGTSHLASSIQVAYLA LKHRKEKAQRQRIIVFSCSPVVEDEKSFVKLALKMKKNNVSIDFIAFGELDSETTKKL EAFHEAVNSGNGSNLVIIPPGPNLLSDSILPTPILGGRGMASHMEGGEDVGGGSFDFG IDPSADPELAFALRMSLEEEKARLEKEKQEKEKQAKESMDKIPEEGGEASGSGSGKKD NDKKQGDGDKMDTS TRV_01950 MLLQGVSPLLMEKKKKQQQQRKPGKRRRHGSGLPLPSHHAASCI LHRINIDQISCNPGLIDKANFR TRV_01951 MSNQALLRIGREISQIQQGADLSLAVACQEADIRNVKALIVGPP GTPYEFGFFEAMTTNGGRCRFNPNIYASGKVCVTWEGSSGEQWSSAQGLESILISIQS LMSANPYENEPGFENSKSPTDLKAMDNYIAKVIPLF TRV_01952 MPPTDEITEDEEMFEDEYSQPPFEPFKDLCKRRFLWYYETYKNT MAEAETKCPVGSRFQRMQFEFTGNTMDGHFNYTELTKRLDAIKNAIMKETDSWAEMGR KAIKNETRIAVNLQRQYEQIVEDLKNKRHFTIDISLDEGNPLVWMLTYFGRPMTHLDG GIFRIKIALSPNFPDEQPRVIVQTPLFHHRISKDGILCYFPKKLEDMKAHVEAIVEAL EDESPPYDPRATVNPECSKLFWGSAEDKKKYNRMLRRSVQRSIE TRV_01953 MASEIVNFPVDRPSWIKMVKDNSLGEANIYSTPCGSGSKVNISQ YLLLRSVWLQHPKTKDKDVEKWGIKGFKQAEKWLGNNDDWSTYLENLSQITNYTGPPR GLGTFSYVWFTHHQVISLPKQYEQVEDRDKNVSYSPISSRLRSAAHKTNLHGREESPT PLGKKGYQTPPDQITGYGSYSPSEGLAEGVRNMTVHENSKKSPSDISNSDASLSPASA RRAFPKVEDEQIVNGYLIALLASICMYHPDVSLHWSPVRKSFRFGKRDVEPNSGDRPY LFEARTDGHLASRDPGSNDEKPSAVIVEVKPTNRRYNNRVIYQATSQMVSWIYQEPDA PGAKKQYCRPMIIQEREQIRLVIATYDQEYIDYLYNKPPSGSKIPLMTMNELFTWDIT KQHHMEVCGPVLLALALQNGKLEN TRV_01954 MENHTELNAEILDTLLGHKNQVSTKLHTIIKVLKPALNQEHIYL LEDKLLKYGIRKETMDLEKGGRFFKPMSQSVFESLPRLEEYYEEDGWDWDNEWVSTDD EIHDASHILVKLEGFIAGEIPNTPTDEWGCHRVLTDYADWDFAFLERTLYGGHWGSTG PGSEPTDWRFERAFDRHVRGTYLQPHVISLSVHGLYPDEDANLKIARSEVLVAADLMK ERLKCPLYVTNDVYPVCHALVHYHLLTYSSRLTNHTTDSDGFLLQPTSSYE TRV_01955 MVGIRTAKVKGMKLSVVPLGEPGGTYQIRHILFKYRHIQFLLKK FSALPLSKKEDKEEIGVTPRKMPPVADLTVDNSAEAVAKAKWERHTSINAKTAPHSLF REDRWFTGKAPQPGVCPGVNEAGVISKLPLPNLATTGRAEMQQYFDNTWTLYETLFAG LRTRSAFYRQPDHKLRHPLIFYYGHTAAVYINKLRVAGLVDSPVNTHFEALLEVGVDE NSWDDLSTDETEWPELDEVHAYRAKVYTVVSDVIANTEFGAEMGRPVNMNDKAWAIVL ALEHDGIHLDTSSVLIRESPVDLLSRHPAWPAPSTSARTSQEERLVPDNDMVSVPAGE VVMGKPNDFPSFGWDNEYGHKRVEVSAFEASRYLISNGEFYDFVADGGYREQLYWSES GWGQRRLNNTKHPRFWVRDGPAGLHTYRLRTIFDEVTMPWDWPVCVNYHEAKAYCTWR SKRSGRSYRLISEVEHHRMRDPLPEKPGPQDDPVMCMDGHALAQKGVNLNLAFGSETP TDASPPTAMGLGDVFGNVWQWCEDAFAPLPGFAVHPYYEDFSTPFYGGEHQLLLGGAF AATGDEASIWGRIPFRPHFLQHSGIRLALSAGSKIEKPKAIKQDEAVAEVDVDANTRR ELNQRMLLHYGSMAETLGPAVLDTAGLSLCHNYVHRVVELLVDTARAQGLQLQSALDV RCAVGGTTFGLSKYFNTVVGVDSNQAFITAASTMARSGSRMYERRDNGGRMTNLCARL DTAAHPERVTFQTADVCSLPSELTGFDAVLLADASPSPLSDPDAFIERMTGPHSIVRP GGLVLLSDEILNSPTLSESHTHSSSNGKKLPAEYELLRVCDLSILLRENDRHFQHIIP RISIWQRSMTAAI TRV_01956 MAPHANRAKVDDAKANSMAGTGGSNALHALLTLYPSICFLRCQW QDYSGVVRARIVPMEQAQAIAAEKRRLHVPACAFHLTVSHENIPEMDPRGYHWLIPDW DSLYPASQQLGVDPSYATVMCGVVEHLPIRPELNWNYCPRKALKTVVEKAEKLLHVHF LVGFEVEFQVMKAAADGKIGPHSSGLGRCAISGLRDPCVAHIQEVVHILIKAGVGVGA FQTEGDRGQYEIALEPQSPLRAIDELIFVHDTLKSVFARHGLIATMAPRPVASHKQVA GQHTHISLNPPNKETSFLAGILRRLPGLCAFFLPYDLSYERVQPYLAGHIVAWGSENR AVPVRQIKPGHWELRCVDATANMYVALAAVISAGTIGCINEEPLVWPDTGLITEHFPT SGVEPFPKSVAGSLEQLEKSCDSLQEFMESRILRHYIAVKRYEAPRLQTLSEETVRQL LCEIF TRV_01957 MKPTGSAQCEVITDDARLEDVSLHPFLVSTSRGFLPLRNPLVTL PKEFNALESLLQRMPIKTASGEPGLLADGKLGDVVDKELPDLTARVDLYRDNLHVITA LYRDYSFLAEGYLLEPCHKRFMESSEYGIGRPFLPKQISRPFKRRAGFKPYLEFSSYV LLNYRLENPAAGLEFSNLRLIRAFEHGLDPASSESGFILVHLTIVKHSGPLVQGVLKA FEAASHGATCGGTIEQRKLLNEGLSTLVDALAKLNSLMKIIYQKSKPAEYNNFRTFMF GITSNSMFPDGVVYEGCNDNKPMFFRGETGANDAMVPLMDYFLQIPMPDTPLTVILDE FSEYRSDKHKDFLNFVKQRGRELDIKGFALNGVGTAGSEDVRDALRESRGLWLQILDQ VREFRWRHWNLVKEYILKRSDHPTATGGSPIVTWLPNQLEAVLDCMIQVYAAALEADN RGLGRTCDSIMEVALRQKETLKKEVEKYCAERG TRV_01958 MIASVNSIKTWVMSSKGRMVKIAKGSGTAGTRAAPTQSGTNDEK MGGRLDFGERFEKDGKVYCRFNFQLNKNAENKTLRDLARDNTHKKWSSAEIEISENPT EDEAREKATQLFDSIISNLQKP TRV_01959 MKLPWRLLCFTKTHRSARKPQFTRCHSSDSRAMHTIPRQSQDWN IHDEFFQFTRGRFVIDEKEQLSKRHVRFNMNELAQEAAKAVGAKYCINVEKCADGMFN KAYIFTLDNDKQVIGKVPNPNAGIPHYTTASEVATLDFMRNVLKTPTPKVYSWNSRKD KGNNVGAEYIIMEKFDGVQLGQVWPSLDPSDKMKIFLQIFDYQRVWTQKKFNAFGSLY YRDDLGESIRRPLADEEGTQINELDRFAIGPATGREWSDDGRSSLTCDRGPCECFILP DDAKLVFIIIIACNQGKSLLEYRIAVGHREKLAIESRICAPKQLAMLYGPGLYRPTQE KRLDAVQCYLKLVSILLPSDPSLASGHIWHDDLHSENIFVSPKNPTEVVGIIDWQSTQ ISPLFDHCMDPAFLEYDGSPIGDSLKRPELPENMKQLSESEKNDTIKQYLNKSVMVAW RMLVKNKNPSQYAAMMFENTTKGYLLLLSRRLYELGEPQFRALSLDLQKEWLKSNANE EQKFPLSFSPEQEIEINADRELSALSSEVMKSIQQRLGRIWPDKALAEHDDYQQLKPL LAQIKEELASELVKAPEEKAEFEKFWPFD TRV_01960 MAQSRVIELGNVLVVGGCGFVGAHVVDQLLNFPSEDSLPTHPVS KVIDASGKPDPRFAHPRLRDRYPSYKNTKVSVLDLRTTHNRFPGADYHDADILNTEQL LKVFRIVKPDVIIDTVSPPPLEGEREMLYNVNVNGTKNLVEVAGGAKGDWGGKCKAFV YTSSSSVVHDTQGDLVNVDERWPKIVGKLQQEYYTETKALAEDFVLKYNGTSPSGMLS VAIRPAGIHGERDTTLTKKLVEHGSKASPLVLSFQLGDNDNLFDFTYVGNIAYAHMLA AELLLATMKRIETKAILPLDHERVDGEAFNITNDSPVYFWDMARSIWALMDRYVEPEQ AWVLPEGALTVIGGILETVFGLFGKKPRLTRREVRYSCMTRYFSCDKAKRRLGYVPYV PLDEGVARSVGFMLEQNKNIEAKKQL TRV_01962 MSSLDGRERSDAPRYLEPPAVTALREEARNVAAPAPMERSLSQD IREEREDLKEAAEHTLNVIVDLDLDGRIKWVSPSWTEVIGTDAQEVEGQLIHDIALDN KDVFKEAVQSMKEDDSKSKIVRFDVRLGPLSVFWQDSKLPDEEEETSASAEKTLHAQE SVEQEDGNILSLEGQGIMVYDRTSGGSSHTMWMLRPSTEPREVTIGLPPLLVESLGVG AEVLANYLNVLAEVGISDPAHHPPPMPVLCRICERQITPWWFEKHSELCLQEHRAEMD VQMAQENLNEHRHRIVKVLDALEARKSRDSSPFVGPQAEYKGLQIGPSPASTSGLVSG SSSSSGTPPRSRDPSTSGLGHSRGRSFAVRRPLARIVELILDLCDTALEISTPALKES RTDIGDEVRTQSPQSESRISQVLQWQSPSNHTLEQEKGLAALSADTEEVARAKVDAVF RHRRIVEYAERIRIEFTVIVEECIAASMAKAERIATGNLESSSSSSDIETATEDQETG SVPQVTPLERTPSMSAMSVGSTARSLSGDRGLRRALERTPTTPIPISTRSSSPMECPT PRSFKSLGSFVNPSLSKRGSLLAERESDAADSDSSILSSVLSERRTQSPLSERGPSRA ASAKDKNRRSLILPGVSSPRRQSPARNNPPHSPLRLSRPRFPVGSENLPSPSTSPHLT STELAAHGHAQFYPPPQHRHHHRRQSSATSPDVGKAPISPHLTSASHPPPPAVAPSIK DFEIIKPISKGAFGSVYLSKKKSTAEYFAIKVLKKADMVAKNQVTNVKAERAIMMWQG ESDFVAKLYWTFSSKDYLYLVMEYLNGGDCASLVKVLGGLSEDWAKKYIAEVVLGVEH LHSRGIVHRDLKPDNLLIDQRGHLKLTDFGLSRMGLVGRQKRIQKSPNDSAPDLLKQG PFTRPDVSIASSRSASFDFPTTDSPHQTPLIVPEPVLTQPSYFSLSKEPSLSREHSRR ASGYRSDSGSSDTLSQMMRNCAVSDTTGSNPLTPAAYPSLQQSKSTIDEEILSEGSDS PHLFPAQPSSSYTTPSIPSQFEASQQQMVPPPMALFDPQDHNRCFVGTPDYLAPETIN GTGQDEMSDWWSLGCILFEFLYGYPPFNASSPDEVFENILHRRINWPDEADELVSREA KDIMERLITINPHERLGANIDEKYPSGGAEVRAHPWFSDINWDTLLEDEAQFVPAPEN PEDTEYFDSRGATLQAFNEEFEGQLSSPSSSGDYPDRPHDALYKVKTQVNSLKRGLMP LHIPPHVRDTRSRRLSEPALADDFGSFNFKNLPILEKANKDVIQKLRHDAMQAQQRAV PSNSPATPSGPSLEGSPLLPMPLKRTMSQNKANNRPASPSASSLPSSSSPSRPSQPGS PLLVQFSTSGHHERRKTSGSSTFSPPSVGSAPTSGGFEPPRLSTNLKISTSTASSPIK SMKTSISSDTPSLHRQSSVPSCRSRSHTIGSQDSDLTPAKEPFVPGHCKRKSQLWDIS PSSSDNEDPRAKALLKVQRRRQSSRRLSQINLVEGPFFRPLDILICEDHPVSRLVMET LFEKLRCRTITAINGSEAMRYALSEVQFDVIMTEFKLPQINGADVARMIRETRSVNTH TPIIAVTGYLKDLPETHHFDSLIEKPPTLQKLTEILCKFCQWKPPPKDDTPMMSNPPS YSVLRRKSGALEDSPSSGSSGFVTIPTGSYRGSSREDSIDSNSLVGEIESTRSDDIPV IVGHRPNESWDRSRTGGLGITDDGSAAISRPIVAEYPSSTTPPSLMHATSAPAAFSMP GVSTPRKHSSMEGIRAKRESLEKKRYEGAESGDDEDEELGNTQVRKSPQFTPGRTRRS GSKLGTEMMRTNSRGSVVSVNEDYMKEREVESDTQTASSDTASTVVLPTSIESTIERL HINEEALGVLPEDPEKEEASSSSTPLSKPVISGLSQRLSKERPAFNLQPGSLTPSTHL AATETGRITPPLISPGTTTTTPEHQTVNLGEESDVHDNNDITPKPHISASHLGDKGPE TPHEEPTPRASDQAKLHTRDRVLGWMRR TRV_01963 MAPLSILDLVSALPSDDTWGPAVTTEKTLDGVPYAPFSKGDKLG RMADWSSEGKDGRDGRGGRQAYNRNYRDQQVYGAGTGSLFAVQVAEDESSFSVVDNTR TSVKSRGFGRGGGTIFRGRGRGGPQRGRGGFQRVGGGRGGQGGDRYFDSRGGRGGRGG GRRFGWKDYDKPQRNRDSSIAIRPEWTVLEEIDFNRLAKLNLETPEGQDIDTYGFLHY YDRSYDKAPVKGAEKKLQSLDRAAYNVTTSADPVIQELSEKTDATVFATSDILSMLMC APRSVYSWDIVIVKQGDKIYFDKRDNASIDLVTVNENATDAPLEVSEAQSGTKHDPIN TPGALALEATIINHNFTLQTVVESDTSKVDYPNSNPFYNPSEETEPLASKAYKYRRFD LSVGGEEEPVNMVVRTEVDAVIKNVSGDDHLVTLRALNEFDHRAPGAGGALDWRNKLS SQRGAVVATEMKNNNCKLARWTTQALLAKTDILKLGFIARANPRSASAHIILGVMGYK PREFAGQMNLNINNGWGIVRTIVDLIRSHDNEEEGDEETVKKYILVKDPNKPVLRLYG VPSNTFEEDEDMGQGRDGKIDEEDE TRV_01964 MGPPIVPIKKPSLANLPFIRNFSDPLKKSPSDGQQGQPKRRGPK PDSKPAQTRRQELNRQAQRTHRERKEQYIRALEVEITRLREGYATDIEGANVSIMQQK QALEELRDENRMLKEILASHGINFEAELEKRGAPVQSTPQESSYGGSVAPSLPQIAPD IGSMNYLGTPETSISGRSPGTTTSEMAPLPATGSNNPYFESAIPVAATGNALSSSDTG GGSALVSSTPGVFDVDPQLGIDFVLQTKKNYFLTADTNNYSLEKPCNWHLEFICRRAH DDENQEAVSGHSLMATCPTSSVIANTERGQTYETKTYDLPPANLNTLLNLSKQLVTDD EITPIMALQLLRNHDAYPYLTREDIAHIMSDLAAKVRCYGFGAVLENFEVMDSLNSVL TSKMDYAIASCDGSSSLISPPIQSADVLDMYS TRV_01965 MDRISLLPPEIRCMIAGECDSRTLRSLSLVSTAFRDPAQRALFR SIDQLFERQADVRALGRPGAINRYRTSWIYLLLRTIFECPRFASYVRHVHISVDESWT PVPFYNNRKENIIRSPKRVVPPEFKELGLKRKNKKPFLLHSSTWNKTWVAALSQGNDE AMLAVALSQFDLLTSIDIAPELFYYSEFIAAMLARLSSPNPKFNHFPMLQHVSLCRRE THHHSGELGLGFKKFSIMRYHNIRTLELSAHDSHMNIMRKDLFNTHPYLTTLRLKFCD LTISTVGEILDHTPALKVFECYLLREYSSNTHQAYNHQSMMLSELNFGQLATDLEKVA STLEELTIGVAWSSVGFYAYEEEPSWFRGIPVYSLRHLEHLSHLQIPVEILMGLRPWT GPLLSQTLPPNVESLVLVDMLPYPYCEDYLENYSAMPIMNQLEIYLAEDPPRKLRKVT VNVYINLEFCFFYSCEQEVRRYIDLDEVRLLGVSLGNRGISLEICFLWTEYDEATPLW KGIWGPGTEIELSDLSLHFAPVSTPEGETESEEEEDSEEAEDDDDGYEGSGEEEEEGR KRRRTE TRV_01966 MGFKSIICVFALLVLFLSVALFEKLEFSVSLNSGRSHEPVPSEA PKNQCLTRAEAEVILQKWIDVKVSRKHDQQHELFHESFRLFSESQNSVNGGRMKSVLI HVTIQVSLPVFYNRNELFTHFNENDLSAAGGIIDVMAWDHGCHSIAFRFTLHAESRPG MLPTAGVAFITLDPNTHRVKDVPLLDKYGVL TRV_01967 MDYESFSPPAPKAEPRWADEDPFHHDFRRSHRYQPEREVRDRDR DRDRERREDYYSRRRHPSPGAANIDRYIPGQQDGSSASLTSSSSSKPVPRSNPIPAPL TLDYQVGFNYFAEWWRTENAIKEEKERVRHGLPPAARRVKGEREAREDRERERAQIQT AYDAYKADLQMKLARQFVQMHRAEEWFRERYVADIRDPFRQRLAQFRVSAYDQWCADL ASGVFDEFTLEGIYKSESDGAGGIVEKEEGEATAVGETLGVLDLVPARGADLRDDSIL QPALLIKTLAPNVSREKIEEFCREHLGEVDGGFKWLSLSDPNPSKKYHRIGWIMLHPT SADDAAAATVVDRGDGRDEEVEEEEGEEGEMDTERTGQKNTSSSAAAASQSLAERALE AVNGKTITDPVRGDFVCHVGVHVPPANPRKKALWDLFSAPERIERDLDLARRVVAKFD AAMDAADGMTRIEERVEDLRGKGWLQPPVSGPVRVKHNNSNSNNNNNNNNSHLDPEAD MILLDDGDTPGKMVDEEGEDPDLDDSDEIDTEDLLAKKKTLDLTVEYLRRVHNFCLYC VFESDSVHELVRKCPGGHLRRPRSGLSTHAKSAARASAIGAPYPSKKDSTNTSAAAAA GHNNNNDEEEEGEAPASPAEEKKPPRFSSKSEQQIYRAFNWVRTFEDKLMQILEPENV DLKKLGGKPVDEAVEDELARFVKQEDESKFRCKVPECTKLFKAHNFWRKHVEKRHEEW FAEIKHELSLVNAYALDPAHISPTRSDAASNGHFPFPPGHIPAGTPRGFNYNTMPFIS NTASFNGMSVANHAAGSNPMLNMLPHDGLHHHQHHPGVIRRGAGRYAHSHRSGPYDRR TARHPMSGPPGAGRLSPSRSMFGRVSMPIPAGHPATALMGAGAAGVPGGRWDATAQQA MGPKEAVQGRSLKSYEDLDAVGGAGGGELNY TRV_01968 MDDYNDYYLLFVFFFFLLAFLCFEARSSQAAQSFSHSKRHLISS SILLPFFFILLLLVTNYPFSLLRPAPHSSPSSSPVMSSSLPSSLLLPLPPSLPP TRV_01969 MRKKKEKKKKKKKTRKIIITRRKEKKKKRKEEEEEKMTMTTKAE LSPRLLFFSFLLLLLFLTSSYFIVSFSSLPSTALLSPD TRV_01970 MREETRERDGWWLSRVGAATNERQREDEGEEDEEEGRKRRKRRR GKEDAWRRQKGKKAEKRANEGDGDLALFKAKKPMRRGMLREKRWRLEEEEEEEKRVK TRV_01971 MEYAQYQQPQHQASPIVPPQPHPHYQGQPQQPPPPPPPQQQQQQ QQQQPQQHPPPQQQPQSQGQPPQPGQMSFPQSYAPYGISPTQAAAMATAAATGQFFPL HQDSTRLPIKNERPRQSPQQAHAQPSAAAAAAAAAAQRRMSQQLSGSPHSMPRQPQQP PPPQPQQQQQPPQSQQPQPQSQTQAPPPPPQQQPQPSPELAPPGPPAEESPLYVNAKQ FHRILKRRVARQKLEEQLRLTSKGRKPYLHESRHNHAMRRPRGPGGRFLTADEVAAME KAQGGSTGTNNNSASTNENKEVTGQKRKSIAESSSPGSKKPKTSPLRTGANANVAAAP TETSDAEDEDG TRV_01972 MTNEAVLTNCGSFTSTSTSSMHLQHPRTAFIYCRQSTSTSNPLH SRAQRALVAGVDGSLPLPSLRSHHLPPPPPLLLLPSPLLPRSPPRLTLPPSPVTMEGG GGNIKVVVRVRPFNSRGISLLPSLFLAISF TRV_01973 MKGAQTVLTPPPGAEEKSRKGGKSSNTGANAVPEGPRVFAFDKS YWSFDRKAPNYAGQDDLFSDLGAPLLDNAFQGYNNCIFAYGQTGSGKSYSMMGYGEEA GVIPKICKEMFQRISTMQVADANLTSTVEVSYLEIYNERVRDLLNPANKGNLKVREHP STGPYVEDLAKLVVQSFSEIEHLMDEGNKARTVAATNMNETSSRSHAVFTLTLTQKRH DKETIMDTEKVSRISLVDLAGSERATSTGATGARLKEGAEINRSLSTLGRVIAALADL SSGKKKAVVPYRDSVLTWLLKDSLGGNSMTAMIAAISPADINYDETLSTLRYANSAKR IKNHAVVNEDPNARMIRELKEELAQLRSKLGGGAAGVPGAAASATSSTGIPQEEYPPG TPLEEQMVTIMQADGSVKKVSKAEIVEQLDQSEKLYKDLNQTWEEKLQKTEEIHKERE AALEELGISIEKGFVGLSTPKKMPHLVNLSDDPLLAECLVYNIKPGTTTVGNVDATSS ACEIRLNGSKILHKHCTFEHVDNIVTVIPCEGAAVMVNGVRIDSPQRLRSGFRIILGD FHIFRFNHPMEARAERVEQSLLRHSVTTSQLGSNSNTPGRSGPGHDRTISKTGSEIDG DSSRSGSPMPSQRGGGSNGREDWFYARREAANAILGTDHKISALTDDELDALFDDVQK ARAVRKGFYENRFLDMEEDSDSLSSYPIREKYMSNGTIDNFSLDTALTMPGTPKQGEY DESNGSPSNANSSNSNGNSNGANEPDTEKGRMEKALMEAREEFQQQLQRQKEAFETQI KGIAHLSGHMTEDGPGFSFLEPREIEVARNVLAHWRRRNYVRMVESVLQHASLLKEAQ VMSHIMDKHVFFQFAIVDVGQNMGSSYDLVLNGISGDDDMALDDAKKPCIAVRVIDFK HNVILLWSLDKLERRVQAMRQMHQYIDRPDYIQHFKLENPFSEQCSPTYSLVGDVDIP LTAVFESRVQDFSVEVLSMYTQNVIGILRLSLEPSAAQAPSSTLKFNVVMRDLVGFAE REGTDVHAQLFVPGISEEGGATTTQIINGFDENPIQFESVHSMSLPLNSPRTSTLKVS IFALVSSMHLDKLLSWDEMRDAPEAPPQKRKAPRIAESEYFQEERHDVFAGVQILELA ENGEYLPVDVVQANSLEAGTYQLHQGLQRRIVVNLAHSSTESLPWDDVTGLRVGGIRL LDPWGKVPDLDDGKSADIPLKMIQEPMVKDNADGSSNITIIGQWDSSSHGSLLLDRTT ADKYKVQITLRWNLISSRLQEPIVFELDQCLQILGRSSVRPQSMFKQFWSSTRVVHST SQMFSVAVRPVSAKRAADLWRMNTQNDYIKGEELLTTWSPRKVSLIKDYIAARKRRHR IAEIEAARAALSDGSLTPLSANGHSTPLQRNTPEHAERREQLLRKYLSLWSASKDPTD TILVKGHIEPPLQGAAFAPTATASTSTDNDSVISSANTSSQPSTSTSTDRSSSKPRFL ATITHIPKNPTVLKSGYLYTPDDTYSLWVRRFVELRLPYLHIYSVPDGDEINVINLRN SHVDHDPDFARLLVGSSGNGLSARGQPNVFAVFGAQNTFLFASRTEAQKIEWILKIDE GYFSGINSNHGSRGPSRR TRV_01974 MTSFPTQKIHPCLWFDKEGLEAARLYTSIFSNNPHSKTKGDSYI VNEAAITNESAVLVSFKLGGQEYSALNGGPHYKHTPAISMFVSCEDQAEVDYFWDALV KDGGKPVQCGWLTDKFGVSWQIVPRALMVLSADEDRGKAKRVQQAMMKCVKMDVKKLE DAYNGVQ TRV_01975 MALSKPKTLNYVTRNRSKLAEAQAILGDAIELTSQAIDIPEIQG SLEEIARDKCKKAADAVGGPVLVEDSGLEFNALGGLPGPYIISTYLVLPYTRRHFFSS LGNDGLYNILAAYPDKSARTACIYAYSAGPGSEPLLFHGYTDGVIVPKRGSGGFAFDP IFEYQGRTYAEMSFEEKNKVSERFKALQKLKAWLRGDEYQSETQDS TRV_01976 MPADRPTSQRRPSSSPYLLSPGGYDEDATTIRALSDQESDSEDD QLMEASRSTLDLVQHDRTVLEEEEERERLLIRAKSSPTDGLRRIFGANPHDNGSFSVK IGKRDRSRRRRRELAAMTSDRRRRRSSAQSNNDDMTLGEDDELMYEMEEGVCKSIDSD DDSRSVSTISSIFSDLDPRDIGSRGYCGTSSGYRTSHHQPPNTISNGTSLFLPTTILI SLDGFRADFLTRGLTPTLNSFIANGISPKYMLPSFPSVTFPNHFTLVTGLYPESHGIV GNTFWDPELKDDFYYTKPEKSMQPKWWTAEPIWATAEKQGVKTAIHMWPGSEAHIMGI EPTFLDKYNGSEALPRKVDRILELLDLPGEKDADIPPAITQRRPQLIAAYVPNVDAAG HTFGPNSTEIRTTIANADKMLASILQGLETRNLTDVVNIVVVSDHGMATTSVDRLVQL EDLVDLNLVERIDGWPLRGLVPKRKEDIQLLFEQAKNNTAFMGFDDYLEIYTRETMPE RYHFSKSDRIAPLWIIPKTGWAIVERPDFDVKLAQKEGKAYHPMGIHGYDHEHPLMRA IFVARGPSFPHKANSRVQEFRKSLHSYTLQDLLANGNLENIEVYNIICDSLRVQPHPN NGTLRLPLKPVGLHSDGKSSPIENLDDQDPPKSTSSKTPVSTRSPLPHPTAATGNAGI PPPPPLQTTQQSQGRPSSFIDRIIDKVKGWTGDLLSFVGLG TRV_01977 MDSAERAARHKELIKSARQAYRRARQQSSEEIYDTPQYWRTTQT VLDTEDAVKDLVWQRRSETLSSEDHTFEQAKREYLKQKKEREERRRSAMRREERYQKR EKVLNFPKTNNRATLRAAYVDLFLSIRGKTSRDKQNDFIASIKAAYGSKQLGNQTTYC NVLTGGWHVRQYFVAAHIFPLSYGQQAMDNIFGKDVHGEINTARNGLFLPSEFEQAFD AYQVVIVPYGPVTSEPREWQVILLDHSGLKDVPVCGMTFAQLHQKKLIFATDARPRAR YFYFHFILAMISHYRAHKSVGVVKSELPDSMIPSLTRAWGSEGSYLADNVIHGFIEKV GHEIPAELQKNMQSQGFRGSFDTESEIDEIREATKGIDLRSDAEESDSDDDDDDFYDA EDWEDKETIDDVDEE TRV_01978 MVTWVLISFAVLICAAVSTASVDTNLLSIRGQQPIHLNLTGHIP AEKVLDFVFVDFDVPEGTTSISVLQKYSLKGKGNALDLGCWDQRGHHLALEGNFTTGF RGWSGGARDNFTITPEYASPGYIAGAIQPGVWSVALGPYNSVPQGIDYELKIKLGFEP VKSYFQPAFAPSRIDSSLYMNESGSNIDQLKNGHGQPGLQWYRGDFHIHTIYSDGKQT PQRVAELAQQANLSFFFSTDHNTQSSGLIWGAVAPPSLLVGRGIEVTTRGGHWNALGL NWNEWVEFRYKGDDSTAISQAVRQVQRQGGLAVANHPFANDCLACDWSFSFDIMDGLE VWNGIWNDFDRKAVDKWHSMLVQGSRVFAIGGSDYHSSPSAVGTPTTVVRSESLSTAQ IVDSLRHNRAYIVRDPGIDIQFTLSHQGETIHIGDKVNSGKENKIHGPISAVLEVKGL PANAVALFISDKGVLRRQTISQADHVLHARVDSKHSFIRVEVQDPTGSMLAMTNPIWT TRV_01979 MSNNPFTASYGQRRDGPKPAELQIPQIPNFPLSSPIHEELLTPF DDLDSSPDPSNPFTSPEDAKEETFSSNNPFLNPKEGLGGISKLKGRWGSVKQPTRVNV TRRSPKPGLNLVTNFSSPGAQSRTYQWAEQQQQQRQDQDGTKHLSEVAEMDSQSISTS RSLSRTNSKSLRIDTSQLKPRSRPARVEKRQSARKGPIRLFQKPLSPEIAVSPSDRPI VIGVSVPLGSPAALAFSSDARSTPGRANSIHSLRSHGNTPVTPTIVVTPACEERHWAS DKMEEAVPPRPRPASSIYSTATPLARGVNKVEDIPPVPALPPPGAYGGQGIDDPFGTM EAISERRRRVLSSGTLFEEEDSPSRQINRPRSFSNKEISRNESGLVASTSRFSLETIS PRRRSEGWWNYLLSPLLSRSSTMTTINTLHRNGSQGDDEGPPPVPELPNNTRLQLAIP NRHDEPTLTNKEWRDKQVSVFSPITPETATEKGSPSVKSPASGWRERLVSVFSPITPD SAGTSKEKRILSNVTEWPGMDHWYFQQEKSQMKVDTGNLTLNTTVKNKGNVTTSPKHR ENEEHRTSLCSAVTSQSIPFMMSDPSSHHASVSTGANHSSRNIANNQSFASYSRGATN KDSNPNICRTNSHKDQNTNNPFFQQFVDSIRGEDGRRRSDSASTMIEEDEPDISPNVR HATATPIFHHTMPTMVGTRGPPAPIAPPAAAQRTSVSSSGSDSSCSPEPTPERPKNKI AGIGTIKRKTVPKYHAILPPDRQSPLESPGPLSPEAQATLNSGGIQMNDVPLPRRPDR TYLLPATNDFPRHPKVSPVIMQTPIIRQTPKIGITKKDVKSKTVIQQKKVTRKEVVGQ DSSFWRGQKCRCKQGCAGNRDCEGRRKRRKCCWIIIILILLFLIGLGILLGVLLTRKG PGQSQPPRPPGSGPQPTPGQEPGQKMEDDRWLNLTGYPPIPTGISTVAGPSAANINSG CIAPTSMWSCSLPKEMQDANKPFDADQPRFKIEIKFKNGTYQHSTTIADKGKREVPTL SNLFVLGNWARRQYPLERRDEFTPSPAPPSVEEQSFLGNTTDKTAAPFEGEETPFFVT VLDTAPPKGVPGTGNSARFRRSEPEGFPDLSKIIPPPALDTDGTAAPATLYPFPSSQP LRLYDRGLPTEHYGFYTYYDRSIFLKSSRPIGDGKETGNIPSDLDGGSTKSAASVQCT WAQTRFLVQIWTQASKAGLELMSPSGDPGPKPPSYAEDFRRPGSLPYPITITLDRHGG DPKKKFVYCYGLDVGGKPIMTKKKLQLEHRDVGGVLVNPAPGIFNISSSSKNSKRADV PGIDGGSGGCHCEWRNWLKA TRV_01980 MVQVQQLHANFIAANSLPTQPGLLESAIHSPMNLKHYAKQEDVF QLAANLAEKIMKNHAFQDGNKRTALLAADMFLKVNGHYLQTVPFASDSNNKGLTEAHV ALVTNRMNAEELGRYYKSVARPLMEMTPEIVAYREAATEH TRV_01981 MEASVAHRPWEDSRPAPNTNGHNGSQTTLTTLPSISTLTAAMQV DKAPIPVASGEKSPAQASMNTLERDSGTWSMPQSTRMSNSNLFPSLLPPLASPLWDTL STVHGFTTNNTNGYHQHSYLMSSQQSPNRLSGVSEPPAAATAISSPVSSHASPGQNPV LPSINQAMDPHQQQQLQQQQQQQQQQQQQHQQGQRQDYTESRRSSIDSRMNQGISALQ INPTSPYHSTNASQSSVVSGMPRDRMSYSHHSTTSSRFPPGQSANHQPPLSPLGPRSQ DHRSQFPAGRTAPPISSNPRPEEFHADSPVPGQAYAFPDPSISHHHHSSLNAQGPAPP ISNNPRPETFHAENPVPGQAYAFPDPSFSRASTISAQTDRPSNQFTRRHSTAESMNSS IYTTESRLPAGQQELPQSVHHHSLQHRQVRNIIGEGDPNGATPYSRTPELRVTHKLAE RKRRSEMKDCFEVLRARLPSSQNNKSSKWETLTRAIDYINQLEKTASQSRAETNQLRM ELEEMRAQLSQVQSQPQQPPQQQQQQQQQPSLTRRASFEPSSLQQQQQQQQQQQMQVN GNGGLTPPQNPGTLFQTHGHVQPHHSHHAHHQQLPAAPPPTHSHYSPSSNVTPTTHAS PDPSRTLPPLMNGSLAPMQGVQYTDERR TRV_01919 MGISRDSRHKRSATGAKRAHYRKKRAFEKGRQPANTRIGPKRIH TVRVRGGNQKFRALRLESGNFSWGSEGLSRKVRVIAVSYHPSNNELVRTNTLTKSAVV QVDAAPFRQWYEAHYGQPLGRRRQQKTAAETTEEKKSNSVAKKQAARVAVMGKVEPAL EKQFEAGRLYAVVSSRPGQSGRVDGYILEGEELAFYQRAIRK TRV_01920 MLASSTTAAVVLAASQLFSGVRADGPTCPPGGEVSCHNSGPVGD TCCFNTPGGLILQTQFWDADPATGPEDSWTIHGLWPDNCDGTYEQFCDMSREYSNITQ ILQAQGKHDTLEYMMKYFKDYKGDDETFWEHEWNKHGTCMSTFETKCYMDYMPQQEVG DYFTKLVELFKGLNTYKTLADAGITPSNDKTYALRDLQAAVKSSFGMEITFNCKNGAL NEAWYFYNVRGSAQTGEYIPTLPGGSPSTCPDTGIRYLPKKSGGTQPPTTTTPGSPAP TGTFSGKGYLTVTVMGEEDGCLISSGNWYTTGTCATYRAAASGSGFTLTSSKGACGMV NDQFKCGSGVTASVFGVCLPPSFPCQILLLTISQASEGKLAASGKSTFYADKIPSGST QAPVYTAPRAIPLTVSWQAI TRV_01921 MKFSHSIQFNSVPDWSDSYIAYSNLKKLIYTLERQVNNPEHENG QLESAPLLDRSIDTDAIFRRALDGELEKICSFYRKKETDLYQEVEDIAQELESFAQDS IGINMEPVAHSLVKSRTLSFGGRNRRRSEASRHATLTQHRSSTISEPSGPEGDADSDD SEDNMDMAEFPQRRIRRHSASSRDWTRDPRPQEDLLNSELMDSRFMGVAHDPEHTAIY DVGVSLKKRTIGIYVSLCELKSFIQLNRTGFSKALKKYDKTLDRNLRRQYMDSTVLVA MPFTDPTIAKLDNTIARVERLYAGFVTDGDLGLSRRELRLHLREHVVWERNTIWREMI GIERKTQAANMGIRRTLLGGEQDMETAQRQGDEQEASIKEFITPVGRCPVPAWLLSST FATLIVILIIFGVMLVVPIMKKPEQQNCLAMLVFVSLLWATEVIPLFVTSILVPFLTV TLGIMRSEEDHSRLGAKEATSAVFAAMWTPVIMLLLGGFTIAAALSKHDIARRMATFV LSKAGTKPRTVLLTNMFVGMFLSMWISNVAAPVLCFSIIQPMLRNLPTDSRFSKAVIL GIALASNIGGAASPIASPQNIIALQNMDPAISWGTWFFIALPICILSILGIWVLLLIT FNPSRGTTIVPIRSVKDKFTGIQWFIAIVTIGTICLWCVSHQLESTFGDMGVIAILPL VLFFGTGILTKEDFNNFLWTIIILASGGLCLGKAVTSSGLLHTIARSITSSVAGLSLY GVLFVFAALILVVATFISHTVAALILLPLVKEVGMGMNEPHPNLLVMGSALMCSVAMG LPTSGFPNMTAIMMEVPETGQRYLRVRHFITRGVPASVLSFGLVVTLGYGLMIVAGL TRV_01922 MVKLTACLLLLVAAVQAKLPVTPISQLRAESHRNKALVARSQDV NAAFPAHTIQIPIDHFPKSSRYEPHTTEKFNLRYWFDASHYKEGGPVIILHGGETSGE GRIPFLQKGILAQLAQATNGIGVIMEHRYYGGSLPTPDFSNKSLRFLTTEQALADTAY FSKNIKFPGLEKYNLTAPGTAHILYGGSYAGGQVAFLRTQYPDIFWGAISSSGVTKAI YDYWQYFEPIRQEAPQDCVHVTQNFVDIVDNIIIHGKNANTTRELKNLFGLGRLRDAD FANALSSGITGWQSTNWDPAISGKSFYQYCGEITSDRYLYPVTAQQKASAKRIIEAGG HGREAPEILPQLLNFVGWLNKSTLESCSGQGQTAEECLNSYDEAFYKQDNADQSWRAW PWQYCNEWGYLQTGSGAPKNIRPVISRLIDLPYTSNICKQAFGITKPSNVDLVNKYGA FDIEYDRLAFVDGGSDPWKEAGVHATAARKRGTSTNKPFILIPDAVHHWDENGLYPNE TTAELPPQRIKEVQAEEARFVKEWMKGK TRV_01923 MPPRIPVLPARSALSLVRATPVHDTIHQTTRKFGNISLRSSKCN TPLPHRSLKGACAYRMSSTSSGGSGKQNDGGVGEQPLGPNQDQLPHVSEEAAATAQIM GKKCGEVGGPELEQGTPVADILKRDKDALKNMPKVLRDEAAASQNGSGSKRSFSTSAR LHQGEVKGNSPEDPSAATVANMLAASNRGESVDVEKGIPEGFKYEPPTLPLPPNERFD RRYEPLVEQFTKLIMKHGKLSLAQKHMAKILEYLRTAPAPLIDPSKPFLPGPPSPQLP LNPILYLTLAVDSIAPLFRIRQIRGIAGGGAALPIPSPLAQRQRRREAITWILEAAEK RRDNQLSHRIANEIIAIAEGKSSVWLKRAAIHKQATVSRANIRRASQQRRGRKQ TRV_01924 MAEELDFALEEALDGLINSTFGNAVEVIVSIVALSKNEIRIVQS SMLGSVLSNILLVLGCCFFFGGINYHEQSFNSTAASTMSSMMTVASASLIIPSTLYAS LSSSKADSRENILFLSHGTAIILLIVYIMYLFFQLRSHPDLFESPNVEAGDTREEEEE EERILNPYAASILLLIITVLVSGCAEYLVGSIEPIVQSTGMSRTFIGLILIPIVGNAA EHVTAVVVACKNKMDLAIGVAIGSSLQIALFVTPFLVILGWIMDKDMTLHFQTFETVA FFISGLVVTILIQDGKSNYLEGGLCLGMYVIIAIGFYVYPDDAGDIGAVASQLASRFA VFI TRV_01878 MAARRQAPEDPMATPLAVQPPIQATPTWPANPAIPGAPISQPPN TINTWTAPPEASPTSQYVPGAGMTSVVPILTSPAGTKHPKATEQYPPTTATYSPPTES LQPSHPPLHKKPQTTGFSTGILVGAIGGSIVGSTLLTLIAAYLFFGRRKSRGGNNLEG RQTREPLADKNYDLETACSPEHFSSNVPFAGNTDKTNTVGSLDLHAEKSGLGNYIPSP ADDKTVESRILTVFDHLALHVENYYTSSSRSNSESEQLSQCVAMLSSYNSPFLPAPVV SLLSQSNDCEPIIKHCLVQSLIPATFHAAPSSASRSEVTSFLPPPFTAGRDFHEMQLQ DQEESQVHFYWRMLTARSYRGVPDTQKQAYLSTRAENISQAVNAFTAAFRPFANPQHR ESERIRHLTKVIEDAAELGIWLFEQPCGFQFIWSNLAAGQVVVSPAVVKVSDGHGKLL QTTKTIVKADTACYS TRV_01879 MAPRSTSGSSRASSGPRIKIDTEKYSPYDHDEEYGSHSEFSYAT SASESSASRPFLSQSKYRRRPGVRLYRVPNSIMRWVCLGVFAGLVLFVFTLFRVTLSQ AIFKIPAPPPPNIFKPQPPEWESFPFLLRYYGGLRTLTPKNESAPEYPGENDGQDNST AEEPIDMDRVVKSTPFNPYPEFSSKSYVEKYGRKVDCFMDPERKISVPQVHRYDGLPR GFPDSVIGSNELIGLETNACYDRFGRLGPYGLGYGVRSGGTGAGLEGDRSGVDHMWEN TSPVDYRKVKWAKAQKQCLHDNRHRFPLPPAPKTDRFLGMSVGEKTPRGAEATQNGAP KNATTPSTTKLPRTAVIIRTWSEFKYDTETILYLRSLIAELSLFSGGEFDVQFLIHVK DDNLQIWSDEDTYNRVLQESLPEEFQGMGTLWSERQMGLIYGGLEESFARNLPVHGVY RSTFMPVQYFALQHPEYDYFWNWEMDARYTGHWYDLFNKMRLWAKEQPRKGLWERNSR FYVPSVHGSWEDFRHTVRVQTEHGTASPNNMFSAASKERPNGHRPTEMKGDRPIWGPE RPPAENDVLELEDDGIPPTTYEKDKFEWGVGEEADLIVLNPLYDPDGTTWLLKDDITG YNKSEESPPRRAAIIAASRLSRKLLLTMHKETSLKRHHMFSEMWPPTVALHHGYKAVY VPHSVYIDRRWPTAYLESVFNAGRNGATGGARTSVFGNREHNFRGTTWYYSAGHSENL WRRWLGYRSNNDGGEEYELANEGRMCLPPMLLHPIKEVNMVIDSAGRS TRV_01880 MKLASLLVGSLMLAVPALAFEQSAIQTLRDATTAAGAYHSKFPH AVSEGPEAAISNINSATARAADEAKAAMTGLTVQSQSFFDAMTTLSHDATNPAVFCSK NIDRLGPSMGWNLRLVRYGVEPHATTLKRDCKDGEKLATDLAGGYKIAVDSLEQAQAM LESPQGKCKQ TRV_01881 MIWKQRPVEWAKWAALFHGALETQLRAGLAGGFHVTRSGWRSSS SSSPCFDSFKEAASHFSSSTSSSSSLLLPTTNNYQHLQLHSLIPTLNTAHLPHQQASS ARMKASLFLACSALGLALATPSQDAPETVDNPLGVVYQAKLPETSRTGIRGTINATAH SSGRGVVFTLDLWGFDNTEGPFRKLHTCFDQTNKQTNKIVKLTTTTAYHLHVDPVPTN GSCGATKDHLDPFGRGQTPPCDDAHPQTCEPGDLSGKFGRLTTSSMEEHFNQTFHDLY TSTKPGLGTFFGNRSIVIHHRNSTRLTCANFTLVEQPGTPTSYVPRPTGTGIISSIFP TGTGAISTSGHAPTISATYTPTPTPSPPAQNNGAGRLVGFSLGAIMAALAPLAL TRV_01882 MATSQDQGTQLISIQAGRKWTDNEEAWLVVNSMKDVTSAWLHAN FPYAGRSLNSIIGHLADMRLHSRLSRKWRSKTWDDEPPYTLREDIEIMQWHVWGREHI DPQIFVANQRAGGSVIARANYLCKDPELVRKVKEAEEAARQALEARDEAWYDAAEEPS EETMDASALRMMNRILFRTESDTIVKICDAISQSLSNREDFEDDDDEEDGEGEEEAEA EDEEDAA TRV_01883 MPSACTQPVGCHEVRYIPLSYNHADSQASALRLVLTLNPHWKDA QGRIEFVRFTDGITNTLLKIILRAPGLSEEEIDKEAVLMRAYGNNSEIIIDRERETKS HALLASRGLAPPLLARFANGLLYRFVRGQAASPDDLTKPAIWRGVARRLGQWHAALPI SDAPSDAPSPGTRDGDSLSLGSSDSEIKPVQESTAVEDDITPINTRFEGPNLWATLQN WILALPKSTDQERTRRKNLQKEYERILSEFDDGSGLGEEGMVFAHCDLLSANVIIQPR PKESTLADGAAETVDFIDYEYAIPSPAAFELANHFAEWAGYDCDFSRLPTRSIRRSFL EEYIDSFAQHRELPESKQKTVDSLFADVDRYRGLPGFYWYATISRIDFDYASYAEQRL AEYWAWRHEQDGSRSKAGEKMPLREQRWAQEA TRV_01884 MAQKCVHKGCGKVFADADESCVYHPGPPIFHEGQKGWKCCKTRV LTFDEFLAIPPCTTGKHSTVDDTPVEPKNETADIPASTPAADAPVGTTKPIAVSAALP SSLSATGAHTPTPTGTPAPPESESDDPSLEIQQGATCRRKGCGKTYSGSGSRDGEECI HHSGYPVFHEGSKGWSCCKRRVLEFDEFLKMPGCKTKNRHLFIGKGKKSAEEKVNDVR TDYYQTSSTVIASVYLKKIDKEAAKVDFASPTTIELDLPTADNKRYKETWTLFAPIDT EKSSYKIMGTKLEFSLAKANGESWQTLRSTDPRTGEIIQTGRPTRA TRV_01885 MRPTLSLLQNARLALYSRLNCGLCETAKLTLNNLRQRKTFEYAE IDVMAPGNQQWKDMYEFDVPVLHVEKTLPNGQLSDPKKLFHRFTETEVQKAIDEC TRV_01886 MAATMQAANRLQSVLKAGKTAYGVWQMFPGANLSRVMARCGFDW VLVDTEHGNIDDAQMHEAVGAIAATGVSPVVRIAANEGWMVKRMFMVNTAFSTGMGTD GEIGALDAGAHGILVPLLETADDARKLVQSAKFPPVGKRGFGAPFAMGSIGNVSPIEY LQHANDALITAVQIETKSALDNVEEIARVPGVDVLLVGPWDLGNNIGRPVVTAEFHPD LEVAIERIRRAATDNGKKAGIYCPSGEFAKKYIDQGFHMVRPAFSFLFLASASCCHHL LIRFRVP TRV_01887 MNLANTVTLHQRMFTETIKGMPYKLIYLYSLKPTQRPPLSPSGR PSKSPRLSLPTRSASVKRPSTQADDRELFSKGSSVISDDISDSEADDLSTEKSASVTN TTDLLKLFQRARKKGPEPRSTSVEILESFDPRGTDKDTLDLSTWLAELKEAILHRTDT SKPGYREKAKRELARLSLLQKDNPGITEIKPDPIDLIKPPRSVADRCFAIYLTREYVN LPVFHLPALHAMYIELYTSKNSGDDKIISLGIFNMIFALGSIAIDPYFDASVYFNTAQ RLLRLGSLGPDSFSTIQAHILASQYLIAIHNFHAAWKSIGLAIRIAESLRLHLGSGSQ HLEDRVDRELARRLWHSCILLERLVRSDSCTELILTVVTPRSFQTPLPTPLENEYIDV IFGGEPAASSERPSIIEFFTAYTRLMERYEDVVAVQEEFRPIMHHPHKLMGICEFTTL LNADRKLCNWMAALPPFLLPESNHFSLESPVAKRQHNILRIRYLTIRLLLWRPLLALV AASPDLILGKNTSNHSGEASEIVDTPIIHTIILKGACKCILSAQEIINCFAENQHPDG KIDHQAPIPDWWENITSTFICALVLLAARLCPLDLIKQLPRGSKSVEDAWEKSTGLFR EYRKFDSKAGTYLVAIESLANNVAEMDSTNPPRAKDRESDARPINDVTWLETLPNDLP CSV TRV_01888 MTNFNHERLTIAVGVTRQARVALSAAFEYCMKREAFGKTLMDQP VVRHRLSKAGAELESMWAWVEQFLYQMSHMPKAEGDRRLGGLTALAKAKAGMVLNECA QVAVLLFGGLGFTKSGQGELVEKILRDVPGARIPGGSEDVLLDLAVRQLVKNFKAEGK KLGKAANL TRV_01889 MGTNSPNPVPFSEPPYLCGLPSPYYTEAHRQFQKACRAFIWEHL HSRAMEWEREGTVPPHVFEAFAKHNMLLPNLPSPLPVAWLKKLNIHDILGVKVEDWDL IYTGIYLDEMSRCGLSGPPSSLTAGFAFGIPPMLAFGSREFQEKFLPDMLTAKVRSCI AITEPDAGSDVANITTTAEKSADGKYYIINGEKKWYILVPGVQFTTVH TRV_01890 MSTTELINISAHGPLSPSHFYARELICASRLWQDFTEPIEFAIW MASSEVDQKFLGHFATQTSETNPFLSGMLYKILGLSVILSKKLLRARKLRRLDPTRET KSFQLYHHILWLSREGLIIVEQYVHPMVADYVELRVLAYKLQASFYHIFVLFHNQPRV YHRGIQALPGSASFVDIVNATVPSGEQKGANSQDPSSVPSHPAEGGTVGAGSHLQPPG LEVPVQPKFAASFILLAIDYTPRATECFTYVAALADQLLPGSHPIRLSVKLEYAAYLY DCLNDSKACRRLAKQAIADVYNAQEGMDDESFEDAAELVSVLGKMVKRGGKTTSSTGG SSNGGAGAGDKSVSDASQGTPREAAVAAADVSGTPKSSHQQPKQQQPSTPQRPIPVTR GTPSNIPAETGLGLAIPSTTMANPL TRV_01891 MEAPKAETVVPIREEEQPAATTEQDINPWDVKGAKDAQGNTLAF DYVAISKKWATKLIDDTILQRFERITGHKPHRWLRRGLFFSHRDFDKILDKYEKGEPF FLYTGRGPSSDALHLGHTIPLTFTKWLQDVFDVPLGILSLLPYNPILIKKEEDDEKAL FKEKLTFEETHKFALQNAKDIIACGFDLKKTFIYSDLEYIKGPFLTNVWEFSKLLTFN QVRGAFGFNESAAAFATSYPELWSDKPATARTKAMAKIQCLIPMAIDQDPYFRLLREN CHRMRFPSPKPALIHSQFLTALQGAGGKMSASDPNSAIFMSDTPNQIKKKINSYAFSG GQETVELHRQLGGNPDVDVSYQYISYFEDDDEKLKKIEQDYRKGDLLTGELKKMAIAL LQEYVMEFQERRKAVTDEVLNQYMTPRKLEWGGNPNSTASSHSSAKPTEAVRDS TRV_01892 MKEDNEACLRNDSCFISWLRYLRSNNIEESACKLASHHVRREAT SAEEYLAGSFNRCYRVKFKDGPDVLVRFPALGRSMFRREKIVDEITVMEYVEGYTSIP VPRALGYGATEVGPYMILEFVEGKLLSGYLKASEDPKVPSTLKLDLDPAILRRAYRTM AEIVLQLSTCRFPEIGGIFRDRAGYYEVRKRALTLNMNELVALANFPPKRLSQRRYAD TTSYLVSLANDHLHHLETQRNDAVVDEEDCRKKYIARCLFREVARRFRSPHDPNSFPL FCEDLRPSNVIVDEELRVRAVIDWEFCYAAPAEFTYCPPWWLLLCRPENWEAGFDDFL VHYMARLEIFLEELREYEVEFAEGHIPPGSSRLSEHMAQSIENGNLWIFLAATYSFGF DDIYWKFIHPKYYGDFGSTEDLLKLLNEEDRKKMDDFVQGKMQQMKEGGLDSHRTIEE ITDH TRV_01893 MAPCLLRFPLLLLLTAVGADAAALNIQDEAQVPLVTAVDEADNV QGEGHSGLHGRFLHITDIHADLFYKAHTKIKNDCHRGHGIAGFFGTPGTDCDTPETLL DATFDWIGNNLRDKVDFVIWTGDAARHDKDERRPRSEKEIVSTNRLVFDKFVKTFHKP KDELGNTLKVPIIPTFGNNDIMPHNIMERGPNKWTRIFSELWGAVIPEEQRHSFAIGG WFYVEAIPGKLAVISLNTMYFYRANSAVDGCNSKYEPGFEHMEWLRTHLQLLRDRGMK AILIGHVPPAQNKKKKNWSGSCWQKYAIWMKQYRDVVVGSFYGHMNLDHFILQDFDDI KYIIGDESEYDTSHEKSGKDVSITVSMGYLRKLKKLWSKLPQPPWAEQDQANTAGYKK ELKKYYNEVGGPWAERYFMSFVSPSVIPNFFPSIRVVEYNISGIEHSKKWPQPPYLSP NQDNTPLSYLDEDDRDDDNDQDNTSIDISKKHKKRKKHKKKYPKFTVPNPPSRTSPPG PAYSNQPLSLLGYQQYFANLTELNQAHDEKSLELSEVDATKKSKAPKDFYHLEYDTRT DPYYQLPDMTMNSYLELARRIAREEEVPVHDNEKSSDGDGPSILKRTVSLWTVFRQRA FVGFFNARMP TRV_01894 MTAANGIAMAVEQFYEERKQGDLLPFCWRNQDCGSCLRAADFCS WCAFSSTCVPNTSPFPLLAPLTNSSICPLGTKERWEIRTRPFGCAVSSATFLTCIVSV IGTLLLVVVAYLAYQMWMAKKDDEEQQQQEGGDEQPGGDSYGASGGYGGTQFGEEGGG EGIGGALRGLVGGISNWMGQGQEHRAPETIPEEDEEQEQNERSPLL TRV_01895 MKLAFSSLAVSALLCIGAVTAHNIPLKAHSRECFFENLHVDDKM SVVFQTGDTEFGGSGNLDINFWVEDPAGHKIHSKNSISSDEFTFTAKRDGKYVYCFGN EAWSSNSKEVTFNVHGTVYVPASEHPSDPLEAEVMRLSDELAQVKDEQSYIIVRERTH RNTAESTNSRVKWWSLFQLAVLIANGIFQVWWLKRFFEVPCPFPRIYLDISELIHSYV QVKRVV TRV_01896 MSFGDVFKSSKALKAERELVARKLTGKQRAQLKAESKRLAELNA AMAPYTKVQEAKNSSGQAAGPPGPPPAVVPPPPANLPPGTIQQKPEAAVVPAIVVRDW TDEDNAQLKALKEDNISWRKIAETMNWPVHELKERWRAIKPEPPKKPQPEPGKVKQDG VQEKRVVFTESGDEKKGKEAPKVVYADESLSTEEAVLLSKLADKYDKEMWLRICSKFF DKTGKRLDPDEARRHIRPS TRV_01897 MRFSTALSLALAVSPAAVFAAGNLGFSLGVKKPDGKCKDRADFE KDFDTLKAHGTTVRTYAAADCGSASLILPAAKSKGFKVVLGIWPDVEESYKADVEALK KAVPGNEDVVAAITVGSETLYRGNFTGPELLKKINEVQKVFPKLTIGTADSWNKYADG TADALIEGGVKYLLVNAFAFWQGKAIEQAPKTLFDDLVGAAKRIADKAPKGSSPYVAI GETGWPTDGGTDYGAAKAGTKNAEKFYKEGVCAMLAWGVDVFYFEAFDEPWKPKSIGD NGNAADETHWGMYTADRKAKFNADCKVNKKKD TRV_01899 MSANININIVFPTSFQVSFLPLAIVLHGFSPLFASYFSSSSSSS SSSLVFFFASSFPVAFLIAFDIPLRRTIRPREDPKTKKRPSFVSHGPTLAVNSPNPVI LQPSLHREDDEGEVEREHTLTLPLRRSVTPGAVSSIAAGTSLAVPSAGGYRGPTSDAQ SSTFSPDNKRTDASAYYTAAWGSPYATPSSRSVSWQLRSRQGDFESELDLENSPFSIR TTARGEEQLLPDSASQTGIVPFPELTSTLFERDLQPESSVSRHRGKSIKDFTQDWINQ YLTGKPRSERTNWLSDDSGDSDVGSFLTAYQQRVDGSEGWLDLDDDDDDDDNANNNNY YKEDLLKTPTASSLLKGKRPEFGWKSRPAHLRAKHAPKASTATLKQSDFWDFGQDPEP SSRSQSRSQSRSQSQSRSHSPARSQSQSEACDQDCASVTMSNLEPLTIPEVRPPPQQH TYVSPTDKPLPLPPTPVEDALDKPLPSGPKETIRTAPAFLRPKKKVAWRGKQCIIALP LEDSRGSAEGGKRLLTPADVEQILDSWEEKGYDVRGTGRGEPVTVDLQNRQTHPDPAD TRRELEEKQFHVSFPDQSVWEEYVDFLKEEKLRALGVSLGDPEPNPIMSPTPGAMSRN SSQMPMLPGHTISPPVPTSSAGSNHLNMMQNPFSPAFNQSTKATSNISSMNSPASPFG FHPESPFQQFQPVYGNETNYPFLPFQPTPPAHGTHTPQNLYGIRPNGITPTNIGNMPN LGSLLHSVSPILDESKQYPGMASMLPHSHFNNPYGVSTPQGRMNEYENTMHHQPMDEP NVEMEGEADTTLQPTHSGPEIAHPTPRGHRHNVSETLQKGVERDYQMENPVLEDEPRV EDNLMNSRWTAPEQQMGQQHSFFPSESINQSSQLQQHIFNEQQAHKSHIEEEASDLET NPSIKDVRSPVDDYHTHQAKQSTGSTFIPGHKSTSSISKLNVAAKAFDPSISSFSPTN FSFMPNNTFQPTFSSFHPRPAFNQDVPQSVSHSAHSSGFNVEAPAFAPIQKPQEPIST PSNFKFSSATFNVAAPVFNPGTSFTLPSSEQKPSPSGPRTKIFDNFDPAAIIPPTKAS KAIPIVRPGDRKESNDQPDGDASGRFGAQGREKRARRVESHGGDHSDTTRELMFTMSP AAAADSKRTATLIEDSDEVPPEIAANRKRTEEEIIETILSAHDDDLEEVEEGEIVEEN DDIKQFEFKNKEDAAAFNAAHPSILADEKIADDQETLESQPAQPEVGADKDAAVDQEE QDTIVEGDDQEEHESLAENIADQPKTSVLKPTAKPFEFISSKPPVLKPISPVASPLQT PISKPKKQGLYASRYAVSEPDSPEPVRSQSALTASLKAAEQAEEVEEDEKPTVEGANS SDEDEIDAVMKQLNDGDSSVGVERRESPSKPVFQTSSANNSVSNLAQSQVSRSDAPSP CLLDEPPFEQESPEVPTYERTQPPSAPLVQRKPAVGGPPSIRQLNTQPQDHISDWDDA ILSGDDLELRQRAPFFETHVKDIVRSSMGDHLAPIERSLSAIQLAISQNPSYKPRSTR VEHSDADDEDDEDDGDHRPRSPRNKHDKLAVRIKAIVSEALATHRPTPVPEPAPKVDL SEVTSTLAEMKAAIALVSQQQGQTDGIRDIISQSISDHPALKAKEAVVEEKPDLAPEM EKLKLQVEGLQSMLRLADERAENEYNARRAAQDALALAQQRSEAAEQGIMAEREAKAA AEEALELVKSQHLSQTQKIEQHSRDLQEQQEMMRLTLSELSNKNIDLQGTLDEYRTSA DQARAEKERFEEENNDLLRTIEILKIQTDEGTDVKQKLRERFEKLQGDMTSLIKNIAN EQAEWRKKEVDANIKYNTLKAEFDREHKQRQKLELHISDLEQKEMEATKLRFILDQSQ NENDKLEALLMKVRQESHDHQARAAQFEREAKAAIENSHFEAERVRALLETDLSAAHH QVNFVRTELEAQISNVQSLLDNAKMDSETAKARYELLLAEARDSKLAALHDAAESKEV AIQDQRRLHERTLNDLRERHARAMHNTSEDRQRDEAHYMEVVALRDEKIEHLQERVAS LEEKLEIAKSAARAAAEAAQTAKAAPAPQQAVQTTSPSMTYAQGSEVPDKISPQALRE SIMVLQDQLQQREGRIEELEQELSTIDRDAPAKIKEKETEINWLRELLSVRLDDLRDL IRAVSQPSFDQHTVRDAAIRLRANLQMQQQEKERAMSGGNSQFPSIASLTSLASSPRA LPLAAAAAWGKWRKGNGGGSNNNNNSAPTASSSNSSHNDDQLTPSKPPKPALTASQGF LSGLMTPPSSNTRGPPVVPPAPIGRRTYAETRPLRGQNPGIRRLSSRQLENIMPPTTP PLLRNSSYDHDAETMHYGASSSTDDNDNDSVIGGLIGRETGTRSIEGPFGPEI TRV_01900 MVRVNTLAHRAPDSPSRQLLQDLTRDLEQVRIHGEELKLVKAYE SRTFYEKLDRIDREREEVHTSALNAAAAKRDQRRLQAEETLRLHLQAVEEERRRKEEA ERRRQEKIRQEKEEKERKEREEAARLEAERKAKEAEKARQAEEAEKAKKAAEEEKARL QREKAEQEKKKADNESRIKAEEEAKRKAAEAKEQQAVTKQAALGINHRTQQEIQEHER YLKLHAHLKEFRSYMRAQTKSNAILKQHMGDMRRTIRKCVGQLVADDKTANQKPTREI AAILKKAQELAEPSVDIRQFIAFPPPNIANAENPQVPALWVYLLNILAKSVLAQLMAE AGVTTKCAEPLGVLTAQIFSMDAFCYQGQSMIDILLAKYRFLCPVLWGFYGDQSTDQG KAAIGWFREEKNGPFISAQLHEERMTGLGAGYAAIALRNFAKSPRQNPYPNSNFWKSL SYIVNVPPAEVQDTHLVVLTAMLRYSAPRIVNFWGDMGVLALRQAIVLFPAKLPRKST QRAMVEDLRDILAREKRIII TRV_01901 MPYSVNVIQDVCASASGKEWTGRRAVEAEDAGKEAAGGGEVDEA DEEEEAKGSLFSVSSFVVFFLFFCGFVLLLG TRV_01902 MDSQGSQGNSGPPRANGRPIHIGHRRSPSEMTPLIMEQLALQQQ IEVLQQHQQQIAAQQQQNQQFLNIGMIPQQQMQQMQPLAYPQMQGQGVMPVGFQFPQM QGQQQLGVPGQSSHRRNQSALPNVGMGPPPAPSSGASGSQYGENAQSRGGQGRENNNN TNNSNNNNNSQSRRGAVPAGGSHHRRHSLALPEARRAAELAQQRQTAGAFKFPIPGGA GASPSSASQGQGQGQGDANDDKPAEVAGPAVPASSPLAAGLGVQRSGNIRNAHLRSQS MAVGGARQYSGRNNPSFQFPQGGESSGSPGPDAGRRTSHASHGRSGSRNFDSNWRQQG GAPNTASSGSGNQDPRASLGGLSPQPQQSGNGFQPGHRSRGSVSSMSAFQFPNTQQLF QLPQGQVVLPQMYQGQQQQLLHNNALQLAQLQALQSGQLGSLPQNLQLVGQQQASQPQ QQSQTQAQQNRKTLFTPYLAQTMLPDLFEEGKLVTGILRVNKKNRSDAYVTCSDLDAD IFICGSKDRNRALEGDLVAVELLDVDEVWSQKREKEEKKKRKDIDTRSGSTAGLDRGG RSDSNATADIQQIGPDGSIRRRGSLRQRPTQKKNDDVEVEGQSLLLVEEDEISDEHKP LYAGHIIAVVDRAAHQIFAGSLGLLRPSSQATKEKQEAERQARDGYSGRPQHERHQER PKIVWFKPTDKRVPLIAIPTEQAPRDFVDRHADYANTIFVATVKRWPITSLHPFGTLC EQLGPMGNLKVEIDALLRDNNFAADDFPASVLDKVGFEDWSIGQEEPQSLASRTDFRE YNVFTVDPNGGKAMDNAFHIRRLDDKTVEIGIHVADVSRFVDPSGAVEREAKKRVSSA FLMNRIVDMLPPHLAQKVMALLPGNDRLAVSVLLQVVVESGKIVDQPWIGKSIINSKG KLSYAELDKIIKGTGQVEVNGVSVKDIKMLVDVSNKLRDARLGHRAEKLRPLRLLYQL DDENVPVQQNIFNSSPGREAIDELTYMANHYVARKIFEAFPEHALLRRQASPNFRRLG TFVERMSKLGYNIDPTSSGTLQNSLFKVENDDVRKSMETLLLKTLSRGKYYTPPTVRE EHRSHYMLNLSLYTHFTNPSRRYADIVVHRQLEAALAGTTEWQDELDYKALNYLNSRK DSAQNAQEQSVHFEACHAMERKRKEIDGDLIAEGIVLCVYESAFDVLIPEYGCEKRVH CDQLPLKKAEFRKDDRVLELYWEKGVPSSTYIPEDERPKSTLSGRAASQAAAAREAEE ARKREQEREEAQRISTETNTVSPNDVDALFDDDEDALTDSFAGVSLNSPDRSTQSMPP SPRNGPAHPPHRTQSDPRIATTAGETTNDEDAEGGENAKNTQNGEDGEDGEIVELSPK EKYGDLFELREEDGEYIQDVRELTRVPIILKIDLSKSPP TRV_01903 MTKFDEDQPKQQQQPRQRQQQRQQHCRRQTANISDAQQPKTTTA AWYEDAAGYFLLPSAAVFVEEEAARRTLTLPATLVTAETGRCLKRLAREHASLHHKGL PPYYCFPSSNPAGPDSLTQLTVLLTGPSGTPYSQGLWRLQLRLPEDYPASPPKAFFKT RIWHPNVEESTGAVCVDTLKRDWDPKLTLSDILITISCLLIHPNPDSALNATAGALLQ ENYESFARQAKLMTSIHAPIPADMKDQVNEAKRDDSVTASSTGEEQNVSNSDVPVQTV IMKRKQQSTPPLPEEQQPASEHQQVNQPTEDSDSDADADSDSENSASKENDPTLSSSP VIMPVRSPRSVLEKRPLSVLSVAEEPELVLVNDSSDDEDGADQPPEFSGMTASEKNVA ANSPDFATTIRRNRSNSKHQHQHPHQHQHNIPSLNDQSLLLQPRRKAPKLSDSTAKRS CINMVPVSVLAPAKTTAERKRSSAGSDGGNYANAKPLSPIISAATTTMTTKETNMKQA GGPAPFLTSKVEASFSMDDKLSVRSSLAPLPLARKPQHQHTLSNLTVRPKPRTGLRRL TRV_01904 MRFSAVSVLALATLAMANSELDPKMSILPYTSSMVMTSAPAPYP TGGSPTGIPGTGTGSSTMPHNTTSTAETSTRTGSGPSSSMTSTSTSTGLAATAGPAIA GVIGGAAVVAAFL TRV_01905 MANANVRYRYEPTQADVVTFKAFKCEPDASKYPHVARWYKHAAS FESEFATLPGDASKDYTAYGPENSELPINTKEEAPAAEDEDDVDLFDSEDEDPEVVAE RERNLAAYRAKKASKPKPVAKSIVTLDVKPWDDETNLTELEAHVRSIEKDGLVWSGSK LVPVGFGIKKLQINLVIEDEKVSLSDLQEEIEGFEDHVQSTDVAAMQKL TRV_01906 MEGKKRKEEEKDFFPSERSGDRGGRLPWATRRELGGELHGWDRT AAFWSLREGPSTRGRPSQRLDIIYIYIKGKAWYVGLQQVKAHTMSCRLVMRGSRQLLQ APRAAAHINTTARRGLYGLLPRQLSKQYVPASGFVRHYANGRPHPPGGTHRMNLGGEP EKPALEQFGVDLTARAKDGKLDPVIGRDAEIHRTIQILSRRTKNNPVLIGAAGTGKTA VLEGLAQRIVRGDVPESVKNKRVVSLDLGQLIAGAKFRGDFEERLKRVLKEVEDAQGG VILFVDELHTLLGLGKAEGSIDASNLIKPALARGDLQCCGATTLNEYRQIEKDVALAR RFQPILVEEPTVPDTISILRGIKDKYEVHHGVRITDGALVAAATYSNRYITDRFLPDK AIDLVDEAASALRLQQESKPDAIQELDRQIMTIQIELESLRKEKDIASVERREKLEEL LKTKQEDVGKLTEAWDKEKAELEAIKQAKEDLERARGELEQAQLEGNFAKAGELRYST IPNLEKKLPQEDDTGSTGTQGQSLLHDSVTADDIAGVVSRTTGIPVNKLMSGEVEKLI HMEDTLRKSVRGQDEALEAVANAVRMQRAGLSGENRPLASFMFLGPTGVGKTELCKKM AGFLFSTETAVIRFDMSEFQEKHTVSRLIGSPAGYVGYDDAGQLTEAVRRKPYAVLLF DEFEKAHRDISALLLQVLDEGFLTDAQGHKIDFRNTLIVLTSNLGAEVLVSADASEGE DISPEMKSAVMGIVQSSYPPEFLNRIDEFILFKRLSRSALRDIVDIRVKELQDRLDDR RITLSVSDEIKDWLCEKGYDPKYGARPLNRLISKEIGNHLADKITRGQVVSGQTATAI FNEDKTGLDIATEKSA TRV_01907 MARSFQARQRRYPFAKGRGRGYFTRRSRGNRTSSQDTHYISSRP SYSRATSVATSRNLQNENENLPSNALPHSQATTQEERLDDDDEELCRVVMAVDMKDRG TIGCSYYSAQEEKIYVMEDIVYGGHDVIDILKLEIEPTVLLLSLRADQGLEDPTNAGS TSHATSDADSHLQLPYLLDVRPTQEFGFENAKMKLAAFKFNSESNETFKFLIPGTGFS HDGNVTGENIDFTEQQGDLLNIGGVIDMENRLSVGCAGAILTDTLSSLQILQSESHPN AFNQGPGKTSSGSKEGLSIYGLFHHFARTPQGKRLLKQVFLRPSTDPTVIGQRHEFLS VFLRSENDPSLGQLVKSLKNIKNMRPVMVHLRKGISTGSAKFRGFKGVVWSSLLDFAF HAIDVNQALKEVTGVQALDVCMKVDLSLSVEEHRTVVRPGIDQELDNLKEIYSGMDSL LNQVAINIATSLPESITKEINVVYFPQLGFNIAMPFDDRGIPMYGPNDEDWTQVFNTE NRAYFKDSRMREMDVKLGDIYGLICEKEIEIVYKLAQDILTYEKMLVEASDICGEIDS HMLHEATVSSFVPNDTFIVGGKGPMEDTPNDLPPNTGPHPAGDTAQGPSMLLLTGPNF SGKSVYLSQVAIIVYMAHIGRLIPILSYYPSKV TRV_01908 MAKKRKAGGTALAHRSKNPIEDENSRFAADARFENSEDEFEAGR DRVLLEERPEVKRRRKLEEDERLLQLSDEEVHGYLSESASEDDYDYGGEEGEEEVEKG DKSAQSKKKLPKLRRREELSPDLFDDGKKPEEDEEEGITAWGASKSDYYNADTIETEG DALEEEEEAKKIQLKKLQSMTDADFGFDESEWLDSIIGDGETSRDSIAHGKTITEVLP EIQIPDDMSVEERLDILSKRYPEFIPLSRDYADLQPRYQELSVAADGSRKSRKETPDE KTPLSVLQFRALAAYLGVISMYFVLLTSPARNGTESCLALPPAELREHPVMEVLVACR KTWEQVKDLQEIEEAPSDIEKEEEISIAIPAKKSSLDKPSKVAKAAKIPKKSKAQKLM EEAQAVVESQRAEKLNQTELGLQELSRLLEQGNSSRLASQREPRLHDDDSDFGDETRL TAHEAAEKAKKKKSLRFYTSQIAQKANKRDAAGRHAGGDTDLPYKERNKDCQARLNEE AQRRGRMEANEKERLGGDSDEDDYQVAREVRGEMDGSNSDDYYDMVASRQKQKKADKK ALSEARALAAKEGGRVEIQEEIGPDGKRAITYAIEKNKGLAPKRSKDVRNPRVKKRKK FEAKKKKLGSMKQIYKGGEGRGGYGGELTGIKKNLVKSVKL TRV_01909 MEGIAYPSVQSIAAVLLFGVFIYLAAPMFGFGGKNQFQVDGQTV LITGGSEGMGRSVAIELSKKGANVVIVSRTVSKLEAALNDIKAAALYPERQKFHYVSA DLKDHEGTEYALEEVSKWNGGQPPDIVWCCAGMSLPGFFVTTPPETLKSQMDTIYWTA AFTAHSTLSRWLSPVDPSSRNTKSSPRHIIFTSSAAVFVPLAGYGPYSPAKAAMRALA DTLAQEIEVYNGSRKNLQQPAPAADVKIHIVYPMGILSPGFAHEQTIKPDLTKLLEEA DKPQTPEEVAKISIKGLEKGEYMITTMLIGALMKGAAMGSSPRNNIIIDTLISMVSAI AFIFVIPDLAGKAWNWGRTHGVPKPR TRV_01910 MGALLSIPVLSYLLIPSMSSYGTSLNLIFFYLTWTTLVLSHGPL KVELVGTTAVRLIFYFIPSVLFFLFDILIPSASVVLKVYGKDGLPQGKKKKYGSSELK VAGWAIFNLILGIAAQGLIEFVLTRVLGVKSRLKVTTKLPLPWDIIKGVGRALIIREV CLQAAFHRRPETDVLSRYCNTLFIAMLCIRGHPSSNIITTGTIRYTLRTR TRV_01911 MDWLFGTSIGDELEDDIPEQSNGESIAEKLVEKKPRRSHRRKTR ENRYRYVVWDVRSGRQVSLMSEGGEETEKEVACLVVIGFVCIILQGVWNIATKALNFF GNFDISVGNTPYRCDGGALL TRV_01912 MSASGQTKKFGKGERTVPTQKAQKWYPVDDEPQPKKMLEEQPRG ESAVFGDNISQFPRELRYTIGTAKYKYIYVRKSVNPAKPRASLQPGTILILLAGRFRG KRVVLLKHLPQGVLLVTGPYKLNGVPLRRVNARYVIATSASVKLTGLDEKTLEKVSQP GYFTAGKSTEKKGEEAFFKQGEAPEKKKTTSERASDQKAVDSALLATIKKEEFLDSYL ASSFSLRKGDRPHEMKW TRV_01913 MILSQQRLDQPAAKKKQQQAESQANERQGRDRREAEDEDEEEEE ADEKTRRRSGHVDSTDYHLQGWHLRTRCAFSVHGRAGKVLTGRQTSVTPNAVKARPTP GYIYLYSEDDLVHFCWRPRSAPIDQPELDLVMVPSDGTFTPYKPTSAQRPSNPEQPTN GRIYVLKFASSSQRHLFWLQSRSQHEQGNPAWFSARDLKLGQIVNTLLQGEDIDVQDA IDSLPRGDGGNDGGDDDETMEDVEGTDHSPERRRHGGSGGAGPGATGGDIREEGQESR EGGADGGRAFDVMPVTLFDFWLAAGSDPSSVVQNFLQSLQGNNNSQQETTESRYTTLA DLLSTQSTLPFLESADESTLNQLLSSLPESLQLLSKQNNNAPVERISVDKKRNALRKV LRSPQFAQSLGSLTMAIRDGGLPSISDALKIPVQNGGFMRRGGVPLGGGEAVKAFVEG VRQQVEDNQKGDSMETD TRV_01914 MAPSENPSYDANNQSGSHSIPLQDLSRPPGTVIADGAGRRARSA TIEDELPGRQTSVQGIRAIGRPLSRRYERIAENSPTGDRSADGPDNFESVRLSNDAGP SGFDHASFHQSTIGLSFGPQQVRHSGSSTALDGRVGRSDYNSYFGASEDTVGQNGSGS FAGENDSLPLTDSRYLQPISGASVPDAQAQVKRNSNEGRSVHFAGSATGGGSHLGDDL EGGLRSGRSSVRNSHRSATGRLSPSPSPSSALSRASSMMRMVSQRVVNLSNEPEVIES VMRQKSVLKHARMDEPPSLPAMLEYAHDVASSETLNEGGPEKRPPKAKRKHGHPAISP LRGKALGIFSADNPVRKWLCELLVHPATEPIILILIIIQTILLAIESSVHTGRKGSWS SPVVDILFLAIFIIYTLELIARTIVSGFILNPEEYSTLDRSAGFRNAVMIKTRELFSL QRQPSTKKPADPQQMSIIRSFTTMQQQADEVGDSRQQQRIRLARRAFLRHSFNRLDFL AVVSYWIAFALSVLSIDTNHHIYIFKMLSSLRILRLLALTSGTTVILRSLKKAAPLLV NVAFFICFFWLLFAIIGVQSFKSSLRRTCVWVDPLGVSNFTFNQAPDTVQFCGGHLDN VTGLAKPWIHANGRNGTTTPKGHLCPQGSLCIEGSNPYAGTISFDDVLHSLELVFVLM SSNTFSDLLYFTTDSDYLAASLFFIAGFIFLSLWLVNLLVAVITSSFQVIREESKRSA FTADRIDDVGPEDTSFRRVSKLKRLFNRTNYLWIGIIAFGLIVQCLRSSSMSSNRKEL IDSTESAVTVILFIEICFRIGVDWRNFFKSRQNWADLILAIITMVMQIPPIRSSGSVY AALSIFQVLRVYRIVLAFSLTRTLIMTVFSNIVGLFNLIIFVFLITFLTSIFAVQLFR DQIPAMDSDGEVTRTTFSNIYNSFLGMYQILSSENWTSILYSATEANSPRGTAWISAA FFIMWFVLANFVVLNMFIAVIQESFDISEDEKRLYQIRAFLQQKQVSESSHGNLALSS IFKFGRGRERYRDPLDHGPAALEMLLKEAVVQDFLDETSPLRPMETQPTEHPPEQQAV QPGFFSRIFNKLTERMLNREPNPFYSKLKFSRDHDELDPAAMAKEVLSAAEQRKRAQR QYLQRYPKYNVSLYIFTPSNPIRRICQRMVGPGRGKSRIEGVDPYKPVWYLFSAFIYA AIVSMVLIACICTPLYQRTYFETHGPGIHNWFVWTDLWFAILFSVEAVIKVIADGFFW TPNAYFRGSWGFIDGIVLITLWVNVVAALYKTGDVSRVVGAFRALRALRLLNVSESAR ETFHSVIVMGGWKVISAAFVSMSFLIPFAIYGLNLFNGKMKQCNDGDFGYVSLAHCVG EYKSSQFQWQVLAPRAVHNPFYSFDNFGSSLFILFQIVSQEGWTDVLWNAMSITGVDK QPQPFSSQANGLFFVVFNLLGAVFVLTLFVSVFMRNYTEQTGVAFLTAEQRSWLELRK LLKQISPSKRSLSKDNSKFKAWCYRVAVKKHGRWARFITGLLLVHLVLLVLEFYPEVD WWEKTRDALFLFLTLFYIANVVIRLVGLTWSRFRRSSWDLYSILSVVGTFITTLLALI NDKSIVFAQLHKLFLVSIALLIIPRNNQLDQLFKTAAASLTSIGNLLATWFILFLVYA IALTQIFGLTKFGGSETGNLNFRSVPKALILLFRMSCGEGWNQIMEDFATMEPPYCTL GENFYRSDCGSAAWARTLLISWNILSMYIFVSLFVSLIFESFSYVYQRSSGLYAISRD EIRRFKQAWSTFDPDGTGYISKEAFPRLLGELSGVFEMRIYEGDFTVGRILEDCRVNV RESEISHSRSVGELDLKKLMLRLSHLPVAEIRKRRARLNAFYEEVLVSSDPERGISFT SCLMILAHYNVITDSKSLRLEEFLRRRARLQRVEEAVRRNVVVGFFNTLYWSRRFRQR IQARHDSRLVSVPQFSVPEIYVEDEDGHMDEDQSRRASEDRARSPEGSPSRKAPRIAL PKIETNMFGDEAHRLPSPTHSDWGNFNPSLTPQSPTTPYDSSVPRDESGEGHGHQRGD SSVSVQGVLDSFDHSVWGESIRRSFTRRRSHGSAGE TRV_01915 MASKPAVHDLISLFEAIETSESKMDEHTRYLSQLLPSPWTEMAP SLTIPYSPRSTVGSEVDSDRPSPLTSATSSPASSPTSSPISASPRMNRFTSSLRRIGS RSKKCYSSSSLGMGTILLRRRPSNVDLALTEERYRCTEDSIERRGLGLLEPRPVDPMP LMADGHAHIDSSNNLSSVTSDLKEPSALLSPLSARQSPRFVMGGIAEVMEGSA TRV_01916 MPTQIPGQAVTRQLDTVIYSEHFWQPEVISSRLLKAFISPEDDR QRQLPSKPPSKPGRAVVDKGSTSSSSRPQSKASNVSVVIPVPPRRPSPSPVTTSRKRS LSSDGDINKALSRKLTATKIPDHHGLSDFYAVGNEEVKRPYLKLKTFDPKKTEIPRSS KRYIPPKRRSDKESACSRLEELYNKKLQRIKGPPVRFKAGKIAKEIDFNFDFIDSYKI HSGVNQIDPEFLWGCDCTKCDAECDCLSKDLIHYEKGQRVRAVLKSEILNKRTALIRE CSSRCKCSGVNCWNHVVFRGRQVELEVFQTKNRGFGVRSPHSIERGQFIDTYVGEVIE PSTSDAREEAIDVEKYSSYLFSLDYFPAEEYEKEKDIYVVDGRKFGSITRFINHSCNP NCKMFPATQTDDHGVYQLAFFAVRDIPAGTELTFDYHPGWEGGDVDPDATKCLCGEKN CRGQLWPAKRKTTRPGEDSSSGESSEESEDDE TRV_01917 MLTRGGKTTGFYHSDKPLVQQALARSLSYTLVPSLPEQMVLPFL RAFWITMSRDFHSLDRLRLDKYLFLIRCYVGVSFEYYLKRGGKSKRQPGNNSTENQKA KNKRKRERDEADSEVNGGSTSRRKTSSTSAVPVREGDEWAELEAYLDMLEDGPLSPLI FDPNPSKQLSNQEDENGALIKVPKGPDGIRYHLMDIWLDELEKCATEPDESSAGDDGE SRATKLKECVPMELLLRPIERLQSESPNKTVRSRAKETLTDERLISWGVREPEKPDEG SDEEEEWGGIED TRV_01918 GCCFSRDADSSPYPGSTAGQHGDSSHQINVNTAGHRHDQTERPS LNGGLSHAASDASSATPRPHRSAARRGVPLNEHFNQPIRPHVWKSKRRTWTRATIDRE REVFFDTRVAGRPEIWAALSTALSLLREGDVQTAQGIIDAAGITIPTGDVCEGCYDES GALYKFPEVIVSDPVNLADDDDAAEEAFKCDTGADLDGDADGDTAGDETSTAKLVLGT GSSDDLLDKEKERRREEKGKRNERDLLKVTARLSDRGGPDVVVSIGKEQTVAALVRRI QAEARVRCRFDISLTTTTMMMMIEKLSITANCSSQLPSNTRIQIVYLGKFFRDSQTLL EQGWKEGNVVNAYVRVSK TRV_01869 MRSILTVSLHSLDLFWNFTGSPYNTIFKILFISSSAYTIYLMLN DYKPTNDPNIDTFKVVYLLGASAVLGVLFPYKYTASEILWAFSIWLESVAILPQLFML QRTGEAETITTHYLFALGIYRALYIPNWIYRYFSDGYFDPIAVVAGIIQTILYADFFW IYFQKLVSLSLMIQLIFNV TRV_01870 MPAVAAKLSKNQQRRAKKKAKKAEVFYYQIRHIDEFELTCNKEQ SKSNTETPTTQTEQPATATNPVKSESKPEDSKQNDELDYASTMFAPAEADNELFQLYQ DVMGKFDHSEADDSTVKKSDKPEIYFDEDDIPDEEEEDNAEAKISKKKRKQMNKLSVA ELKAMVRKPEAVEWTDADASDPKLLVHIKTYRNVVPVPGHWSLKREYLSSKRGIEKAA FQLPKFIQETGIAEMRDAVLEKQDQQTLKQKQRERVQPKMGKLDIDYQKLYEAFFRFQ TKPELTRYGEVYYEGKEFETNLKHLRPGELSDELKEALNIPPGAPPPWLINQQRFGPP PSYPAIKIPGLNAPPPPGAMWGYHPGGYGKPPVDEHNRPLYGGDIFGVLQTQQNVQQG EPVEKDLWGELQPPEDEEEEEESEEEEDEEEEDEDVGAGLQTPSGLETPSGMISSIPS EYGGTQSIAGEFDVRKHHRGTETEESTQPRSAYQVIPERETQVKGFFGGDRVYDLKGT TANLPVLGEEDENRKRKRHGDVDVAVDPEALEGHGLDKENLKNLYNSQKKQEENPNWF QEDLSDMIANESRKRLKKDEERRAKR TRV_01871 MPVFRLSILGIGAARFAERPPTPKSQEKAPSSQIVQILSLYQVG YLLYFYPMVMGTKRVSEAESSQNSSQNSKRIKKEKRGPQEKKSSETRTNDHPADKLPI QFQLIQLQKLTRDLLAQSDNIESTEKENAKDLIKGLKRINKAFENSENTSLNCTKQLP LPPISSGLPSPAGTHCDSKLPLLPPIGDESLKTAVFTHTGIMERGPVSTQTSEKTYDR LEVLGDAYIELISTRLIWENFPTLPAGKIAQARETMVKNETLAEYAIAYGFDRLLKTS SDIKNVPKRWTKVMGDVFEAYVAAAIIADPKGGLQAVESWLTQLWLPKLAEVKVPLAS VTLAQGSKASFKDKLAATVMSRGIKLQYLEEKPRQREGGLVTSFIGAYLTGWGYEKKL LGSGSGLSKSEAGNEAALNALNNKPLIDQIAAKKREYDQNMAAQKAAAAAAAASSAAE TS TRV_01872 MPDQSLYFYKASLPAAAVFAVLNAIPAAFLFYTTIIGPKTGKYR NASFFIPLCIGGLMEVLAYIVRCISIKMDRVIALYAVSSSLIVVAPVLVCASLYLLVG RLVRAGLPSSGNQQRILGINPWWLPRIFVTSDILSFLTQASGSGIAASGNWEGSTKTT GENVLKGGLALQLITFTLFLALVARFHSRANAVAKGGVDSGVFKVLLGLYISGFFIEV RCVYRLIEFILGIDGYPFRHEWPLYVLEGLPMLFAMFALAYFHPGKWLPNESLNSETD NEEMQNRNGY TRV_01873 MHAILGLGASHLSVIRPHGDPTTDANAIEHRGQAIKGLNQLLVK PDPSSEELDAMLAACYALTMQSGYMFDALLDFVVFIRGCSLITTRIKQKDAGKSVFPV EQTADLNEFLPKITNNLDINPILLKSGIESVQSLVTLLEDETHAYFWKCLLDTLLAAQ DSSEDTFLIYEKNYSAWYNLSTSQFSKFISAENTSTLILFAHHIAIETMMVPMLLSVI PARARVPEVTLYQVQWVDVIYRKLPSHLRKYVRWPIEAIAHWGMEYKIFSNEVGSKLR KTFLDHCENTMLGE TRV_01874 MAVGKNKRLSKGKKGLKKRTVDPFTRKDEYLVKAPTTFQVRDVG KTLVNRTTGLKNANDYLKGRVFEVSLADLQKDEAHSFRKVKLRVDEVQGKNCLTNFHG LDFTSDKLRSLVRKWQTLIEANVTVKTTDDYLVRLFAIAFTKRRPNQIKKTTYAQSSQ IRAIRKKMTEIMQRQASSCTLTQLTKLVPEVIGREIEKSTQGIYPLQNVHIRKVKLLK SPKFDLGALLALHGESSTDDKGQKVEREFKEKVLDSV TRV_01875 MTSKGEQTEPTAPKETTAKQENPDTSNNPPSKTADEKENPILVQ VLAAVKRLELDHGRLSLLLDAMNGRIENISFNKGIVKMMTEEQSLDREFPPESIPTPG VGEDGRLRSAAEDTTGVPRSPTAVKSPKRGGTSRIILTTYPGQSGIDPIKMDWGNIDP KARGPVVVSRQYDTVRRRNDTEHRPDFTNTEPATKIGPFPQWGDKKKIVAMDPWGHLA PWLFDELRTDHQLDIRPTIAITRAHMKLPELADSVRSGRLVPDGKICLNETGELAVTK VAVEPVWYLPGVAERFGIDEGTLRRALFEVTGGSFPELITRGDIKVFLPPIGGLTVYI FGDPAKMSDPNVKLALRIHDECNGSDVFGSDICTCRPYLIFGVEEAVKEAQKGGSGVV IYFRKEGRALGEVTKYLVYNARASEYFKRTENIAGVKILHWLGITKIDRMLSMSNMKH DAIVEQGIPIVERVPIPDDLIPEDSRVEIDAKIHAGYFTSGKVMNLEELSNVQGRQWD DVDAESITPGDSCTLKYFRLRSYLEGQALVVASLGFS TRV_01876 MIRDQRTCRADFVFYSNRIIRLLVEEGLNHLPVVQKTVTTPVGH TYGGVGFEGKICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETCKPALFYEKLP QDISKRWVLLLDPMFATGGSATMAVEVLKSKGVPEDHILFINLIASPSGVADFAERFP KLRVVTAFIDQGLDEKKFVFRRTWHFVNGQHC TRV_01877 MGLDMPTADKSTPSRNIRTYGKQARSGESRFLERVPSDVNKAHG KANDSPLAKRGQALETRKSDTRDMGSSTAMRNAASPTMPRTPKEKKEFDIYDVQLSDE DRQSRSQPWLKRRKLNTPKAVWRSEELDRAAPKNTPPRKAITYDAASTRGSKDAASHP IKEKKLPEVEVVIRSPAKPRQDVKTRTSKQKLERPPSRSAMQGGASSTSTNAQFVAAS SRDLPVRERRLTTPPKKVPRLTKSLSTGTDRLRKTYGRFGIGVGERRDSPAKTITPTA ERKRIVDALGGRQTADSSSDSSGDEGSARDQISRANSHSPVRSTDQRFTVDTMPSDDT GATRSKGQKQNSIHQAVASGLKVTYSRQRSFLNEMNTIEEIGGNLFDSGFPPPPDSMA SKISGSSSQPPLGLARQTSALSACLEEESISGPSTIRSIHELRRSGDNARYHAMIDTI FEDIEDKTASISRQRSGMVQLCTKLFDAQFSQRFLSNALEKRFSKIKRREFDLVCGYL TSCVYALLLSSGSISPMTLQDCWAQILAVAPSLLNEDKSMIELAKRKDLKMTKAGKAD IQDICGKLAASKIWSSQTPSVITPQILTLRCLELSVRKLRETGNSMETMPVSILRQVI RILILHALIEDIQGACPDELLILELTLSILESYTIHTISLNEEQQEALKPLSKLGHLL SILGHQQDVRCRQIQILEIRLILNVTNNNPTLCEEFSTPRFIEALAHIIISNFQSVGD ETTGPHKESLLDTVILALGALINLTEWSSAARRVLLESRVDNTTLIDRLIPLFTNGLE SIAEADSVVQTHSNVAFGYLSVLLCTASLDDEARSYLRSTIQSRTFERLLGTVEEFLH YHRKVEDELHDARGDAEDAMTGFTSRLQGIVDRIRNADRS TRV_01848 MKAFQRAIPTLRPLQLGRGLQVQQGPHRHARLVHSSTTKAAVAH PVNVSGPPPKPPGSTASPLRKRFWKDVHVKEAAGGHQIYLDSRPVRTPEKKILTVPSS KPHLAHAIALEWDLLKTAQHATKYHLIPMTSITGRAEDIAAEDAKGVTTIRDEITRVM LRYLETDTLLSWAPEKEPDYVGRSEEKRETLREKQIKAAQPIISTLVSTVWPGVELKP TLDANSIMPLPQPRQTIDVIRGWLSSLSPYDLAGVERAGIATKSLLVGARVVIEWSEN FRHLRPSGASRTFGIEEAAHASSLEVRWQTENWGEVEDTHDVEREDLRRQLGSVILLV SGCSS TRV_01849 MISLRSSKRKAAQDNGGNNTTNIGNCPEIPEGSVQEEVEEPRPR HSTAEAGHATESSSISLDQSVRTFRLFEVLRSGDTNAITKAVKEYQESGGQEGATLGT SILHLAIQCADPQVVEFVLASVDDAGINARDREGNTPLHLAAQLGRLPVVRELLERPA INDAATNFQGQTPLDLARNPDIFQHLQLARSLFVDTKTREIQSLVGQEDYEKLERVLV EPRVQGMVDMNAPELVTDRTTYLSGGTLLHEAVRKKDTKLIQVLLMHGADPFRRDRKG KLPQDITKDDRIRSFVKKSPAAVMAQRGIQEKAILGNNFAQGSGEVFIGGKDAREIKG YLKKWTNYTTGYKLRWFVLEDGVLSYYKHQDDAGSACRGAINMRIAKLNMDAQDKTRF EILGKSSVKYHLKANHVVEAKRWFWALNNAIQWAKDEAKESDKRRTKDVEVLRQAKME QIERQDQDTMPTAGNKLNDKTLAPPAASLGSTTTPSGSKLSLQISRGGTDNGFGDDEG SVTGFNDLSTSQSNMARVISHVTTNTAEGDGEDDYADYTSSREMRPASDKDAFSITAQ SVRLQLDILRGVSSAINAEKAKNPSVTLSDPTIAQGLTTYGRAVDTLNSLIVDLLKIS RDRDAYWQYRLDREADARKMWEDSMARVAREHEDLQNRMGESEDKRRRTKKALREALE NVSVPQSRRLSQTVPSSQVRTSDTVEVVQDSKEEKRPEQTEEAPSPVLSRKKTVLEEM NLSDSDSDDEEEFFDAIDAGDVEVVVPTKEEKIQLDESSARAKKELEIAPSFKGYEDE IRKRLKMEADDRPKVSLWGILKSMIGKDMTKMTLPVSFNEPTSLLQRVAEDMEYTDLI DAAADCPDSLERMVYVAAFAASEYASTIGRVAKPFNPLLGETYEYARPDKGYRFFVEQ VSHHPPIGAAYAESPRWDYYGESAVKSKFYGKSFDINPLGTWFLRLRPASGGEELYTW KKVTSSVIGIITGNPTVDNYGPMVIKNWTTGEVCNLDFKPRGWTAASAYHVSGKVLDK DGVPHWSVGGRWNDKIYARKLSRDASLSASEITPDGNSPHAMLIWQSNPRPTGIPFNL TPFVVTLNAIPDNLKPHLPPTDTRLRPDQRAMEDGEYDFAATEKHRVEEKQRAKRRDR ESKGEEYQPKWFAKGVCETTGEEYWVYNGSYWKSRAARDWSVCEDIF TRV_01850 MATEVACRRCVQALGLGIRRNGVTSGPKLKQLARLSHSYRTYST PSRKNISPQQQGQLRLNGCRSQTRGTASLALSQEASASEQSEPKQPLLRRDNLFHSYS NSPSPQIRKRAQFIKQHAFCPHPDHQQTRASVSPVCSEAEKPGIPNEQKQPPAHAQHE CPDCGVPIYCSEEHWMDDFEAHLQVCETIRQINEDDHDLQSGRFFTEFDYPGYQADEI VVNMTNWDTFLYTREFEAVNDDRSMRQVTRLLTYPVTIASVLHELSPYNISKGGRLTP EGLKSLSESSLPREVWMQISHLFPRATINLIFTGPESMANRDDEFPLPERTASNPFGG IVEDRLGPQMKITTYVDYFHTMHKANMFAPYDPYFDCFMLFHPGLGHPASSHEWEETL PCLLETKVPIICTGYTQSDMERDLNWVKEKCGGEADILLEQGENRFRSLRWDLNDLDP HDVSCGNWGVWAFRGKRYEATFKDS TRV_01851 MKFSVGFALSLLLVSSNVASRSILQTTDLATVRELEHPSVVEGP KHAVYLEKRKGGGGGRGGGGGGRSGGGRSGGSGSGGRPRPGGGSAYPPRPANAGGTSP VGSGTPRSFGGFYGGGARVPFKAGGRSPLGRSPSFLPLAALAFFPGLWLFGVYAYYHS EPYIWYNRTSMRDQMLPVTCLCQQYSVCGCEDNNNNTYIDTLLDKSDPNNITSASPIV RVAEANGTTSIFINGTLENGTTAPDDNPQTESMAPPRMLRISGYWPMAFMVFSAVLLL TRV_01852 MRAIQVQEYVKGPLDLVVSTLPTPSPSADKYLIRIHAAGTNFFD LLQIQGKYQHQPPLPWISGTEFAGVVLSAPTASKNPRFAVGDRVFGATQGAYATHILA GETSLFPLPQGWSFEDAAGLFVTAPTSYGGLVHRAKVQKGDWVLVHAAAGGVGLAAVQ VAKAKGATVIATAGTERKRQIAQGFGADYTIDYRDPNWPDAVKKLCAENRSGNGKAGV DIVYDPVGMIEQSLKCVAWNARLLVIGFAAGKIEKVALNRVLLKNVSIVGLHWGQYAT FEKETVQSVWNGIFDLIKEGKFKGTSFTDERFVGLESVPKALRALGGRQTWGKVVVQI PEGEGASQPSKL TRV_01853 MKTTIPSDVWETKRSQISNLYTEEEWPLKQVMKKIRTEDFNPTE TQLRSRLKKWGVTKPSRQRRKRPASRPTDRGGSLLSPTQAMELRNHVNDNYPPQFIGY PPPAGQPVHPEAWDSRVRWIIAPSHDRGHQLKVAPCCDDRRASASSNASLIEGPCQSP IGYSDHHHHHHHHTHTMNRHYPNANPTHGSSSSIESCSALAFTGINPNLTTSSGDITP PSDAASSGLPAGWQSPDSAHHAVRTPRSTLPSPAIQPTSPWSYPTPEMCQRCSPTIYP LDDPTVEQQVNYVKEYLDNEDSLSYPSVLDLPLNDGEILDSYSMKPWKRPSSSGEGSV RFSGAGDSSPSQECQNIESQTPAPSAACTTVVTPPSTLDVTSGYPKIESPGPLDISGI GNPLTLWDSHPINHTRPMP TRV_01854 MQNGTPNGRGHSRDTSTPEYDRDLQRIASPSTPADTPTQQPRLD SNTSNNNGVAKHDQLNGPPSKRRKLAGTSGRSTPRPPSPPWKRAGFDGPTSFMEDGKR RSTRTNMIPIEMLPEGDPRRTQSPVHKGPVNKTIQGWSQGRGSSASPTVAARPEINGR RVLGGSNSNNGVDPASSPNGTSRRAVISKAASGFAKSASQLQPLSQRRVSDITRSSTT VGPNSPSDPPPKRKPGRPRRRQSDGAGVMKQENGDQQRWSRSEVRDRDDGAAQKLPRL RFRVKMPSIEVQHPLHILPPKKYSSFYEWLYKTTPGDEDTHFSTPQEALQEAQVRLRL QEAGKPNQILSKERCSFYLTDPEELPPRQYSHQDHFVAHALYFKKLLDKERKHHRNLA RLFAHWCADAWKKRNKAPEDILREQHNELRQKRRQLIKDLQRQFDLVRAEVDNARLAI WEQERKMEEQLALNNALKKSTALFERRRSDRIGTGELEEDEEDETDEGETTSSKTEDS EDDSNMSSSGSESESNQGLDDDDDEQLTPEALRAKYANLAAMDVSVLSGDSSDESEDG EEGNENEDQDEDDEEDLDEDRKATPGEESYIKETLREQEQSYVKLEEVDPLLLDESDE STDMDDDMGDSDVGVEEGYTDDASDSEESDANDDGRGGLLGFFSKAETIIPNGTKASE VSNNTTQDANSPAVSGVSSTPDNADISDKQAHVMMKCPGKRPDDEDTPMLDTDLGEAT TLALDTNDNTIDHQAFTEATFAEEQQSPTTQARSPKNTDAGETASAVEKTGIKTPIPH LLRGKLREYQHFGLDWLAGLYASNINGILADEMGLGKTIQTIALLAHLAVEHEVWGPH LVIVPTSVMLNWEMEFKKWCPGFKILTYYGTQEERRQKRKGWMDNDRWHVCITSYQLV LQDQQIFRRRNWHYMVLDEAHNIKNFRSQRWQTLLTFKTQARLLLTGTPLQNNLTELW SLLFFLMPSDEDGNGIEGFADLRNFSEWFRRPVEQILEHGRETMDDEAKAVVSKLHTI LRPYILRRLKVDVEKQMPAKYEHVVACRLSKRQRYLYDGFMSRAQTKETLASGNYLSI INCLMQLRKVCNHPDLFETRPISTSFAMPGSVVSDFEIKDLLIRRRLLKEDVLEKLDF DFLNLAPISREQGSKMLVEDCARIMAYNPLNSLRQRQYNRTNWDMSFDGSTVQSTLRS MDNNARKSRMRELERCLYFESKRHGQRPMYGQNLIDKLTIVAPLQPETRHRPPRKLLL DWLSNKSLVLASMIRSVESTSLMMEPLVQKFACLTPAAVAHGVTAATLTPITSRYFTR SQRIPAYDPFHEAQMRLSIAFPDKRLLQYDCGKLQQLDKLLRKLQAGGHRALIFTQMT KMLDILEQFLNIHGHRYLRLDGSTKIEQRQLLTERFNNDTRILAFILSSRSGGLGINL TGADTVIFYDLDWNPAMDKQCQDRCHRIGQTRDVHIYRFVSEYTIESNILRKANQKRM LDDVVIQEGEFTTDYLNRLDVSGILGDEELGEGHDEAGAAMDRVLDTKVHGTSSRIFE QAEDKEDIDAAKTAEKELEQTVDDSNFDNVSTPQTPAAAQGQQQPTTQSLLGTPAPTE PGEYERSVSHANGSTYPVSVSATPDDRHAVEVDDSESHVGHIDDYLLRFMEWNLRDEP LVLPADKQRKKSKRGREHRIRRKR TRV_01855 MSAARRRRVSSNDAYTYALRVAFLAYLLQARTKRVQAAPPPPRP QSLNRASTSFHDLMKDFSLVRDSKSTRFPHGFISELERRLTGVLIGREHRKEFQDSTV KRTFGAFLNSLKEQTFKKRMEKDRRVEDLVLIFFSNATKELSKGKAPEDTSWKLMVDR HVALFVRLITFILKDHDWIKDRPELASRLATLESKLLAHDQNLTVSSQRDSHTIEVAV PLSYDVKDMPLVLTVAKAFGLRNSQVQSDINKNKDSWTGKEALQDLKLYQAHLNLNTG GTLSVADFEVEEGYEAWRKAEGPDLSQMMLAIIQSNPELAKSTGATLPQFNAQLADNP TEEDINLDPYPNASEGSSYVIDQPVDMSSLSLEEQPYEHPDTNLFTFIPPDPRSYYRF LLSQLLTHDLSDQSLEASEATSETPASKLLSKQSTELLNEICLRWRIPNFTRVVLFLD VIREKYVDQEISLDTLDSAFSFVKDPPPTKNKRASIVIAPILYDRNKWTQADIFCMQR VIAGIHEGLLRQLYETMMSCYETKIPPIGPVMYVLENHVESDPYFVESTEERERFREY ASEGLLEKAKAIYQEALNKEIPPEQHEWEFHHVIQLGKAVMKVCQRIQKRYRKNPDIQ GVNPITVLVNYILPVYAEDARDMIIRIMEQAQEKNEHIEMQDGFDLYSELSNIRQTYI EALPGESFPFHLEDLLAEFVWRWIQVTDAKMNDWVNQAVKQDNFKVQTDSPGEIPTEE QRHSVSVTDVFRSFNQVVDHIVQLNWDDDVGYAKFMTAISRSIGNGMARYCEILEGLF SREMDRLTPEQEAAARQTKQEKWMQMAKDAWSSKEKVEPFQFFPESFVKLNNIEYALQ KFDQLERDINVDACAEVLAKNAPPLAKRQRKISNYVFTVKIVEAEDLKGCDLDGLSDP YVVLTDEYQKRISKSRIIYNNLNPRWDDTVDIMTKGPLNIIATIWDWDAVGDHDYVGR TSMKLDPVHFADFAPRDYWLDLDTQGRLLLRVSMEGERDDIQFYFGKAFRTLKRTEKD MTRKITEKLSAYINHCLSRRALKALLSRGISMSTVSSYFNRNRTQSSQAPTQAEVENA LAPLFTYFDDNFSIMNQTLTGPAMRTVMARLWKEVLSTVESLLVPPLSDKPSNQKPLT QLELDIVQTWLGLLLAFFNAVDEETGEANGVPMDVLKSPKYHEIQTLFYFYFEPTEQL IRTSERMASATAARQQANRNRLSASTMALGVPGFAGVLSTRRGKSIFLSRNLGTMKKA KEEKRREAQAEPNDDMILRILRMRVEAAGYLRDRSRQKERLATAAAADLIVKQSLMAG TGGRMSGTLPRY TRV_01856 MEPVFTKDACPRKSSLAPPLPRRSQAIKAGGQVFVSGQIPADNT GKLLEGSIAEKTDLCCRNICAVLTAAGSSIDKVVKVNVFLVDMADFAEMNGVFEKYFS HKPARSCVAVHQLPKGVPVELECIALQ TRV_01857 MKNPPTIFTVNNPTNTKQKRETRDSCNQVEQVEVDYNDVSSLAA SLEKHNIDTVICTIGMISPEAGQSQVNLIQAAEKSSVTKRFIPSEYSFVQSEEILHIT PGVSLYIAATNALKETKLKYTRIFPGYFMDYWGMPNVRTRLKPLAYAVDIPNRRAILP GDGNNVVTFTYSYDMAKFIAKLLGTEEWPELAYMGGDDLTLNELVKMAEEISGMILTG DTILMFTTELTFFCFFFRASTGTKFEVSYDPLEKVKNNESTPLPQSDKVVYPPEIVSW VVSYESSCHYRRVQATEG TRV_01858 MEKPATPKNTKPISTKEKEAVIKAAQYYVDGLKTGNVDHSRKGF HPNAGMYGWLDKDLKTVPIQELFDMIVERGCTPELIYHMDIIAITPSTAIVEVDSELE NGGFRDHLAMVKVDGEWKTVAKLFHIYEQ TRV_01859 MAPNAADKCPVMGNSGEKCPVMSSSTQSRGPRDIYTLEALSHFN REKIPERAVHAKGTGAYGEFEVTADISDICNIDMLLGVGKKTQCVTRFSTTGLERGSS DGVRDLKGMAVKFFTEQGDWDWVSLNFPFFFIRDPAKFPDMIHSQRRDPQTNLLNPNM TWDFVTKNPEALHMTLLQHSDFGTMFTWRTLSSYVGHAFKWVMPDGSFKYVHFFLASD RGPNFTDGSTAKVDPNDPDFATKDLFEAIERGDYPSWTANVQVVDPKDAPKLGFNILD LTKHWNLGTYPKGLDTIPSRPFGKLTLNRNVKDYFSEVEKLAFSPSNLVPGVEPSEDP ILQARMFAYPDAQRYRLGIDHLKAPLRRKETACQHDLGPEFEKWLSQVTSEAWSHPHE DDYKFAREYYEVLPEFRSQEFQDRMVENLCKSIAPGPEELRKRVYDTFELVSSELARR LREGAEAIVAEKARPDSPSRAQPGQLRL TRV_01860 MSFVSSKIFAITGGASGIGAATCRLLAKRGAATLCVGDLCSENM KLLEKDIKKINPDTKVHCTVLDVSSSSNVDEWIQDIITTFGDLHGAANIAGIAQGAGL RQAPTILEDDDQQWKKVFQVNLDGVLYSTRAQVRAMKEFSSTNPGDRSIVNVASIASM SHMPDVFAYGTSKAGCAYFTTFEVTLFALGYFSNIMGMLEGITRTPMLPRFVPSAKTQ EEVEETYKKEGFSVIEADDVARTIVWLLSEDSRPVFGANINVGACMP TRV_01861 MQWMKLAVYPYLNLEKGTMQQSTPISLLPDKRQNEEERTESIMG SINPPQILDIRRSKFEESIPKQVEAGLLSSPKTLPALLFYSTEGIQHWNRYSHASDFY PRHEEIQILKDKATDMAASIADGSVVVDLGSASLDKVIHLLEALEAAQKKVTYYALDL SFSELTSTLQAIPTDQFVHVQFSALHGTFDDGLQWLKETLVIRDQPHCLLLFGLTIGN FSRPNAAKFLHNIASHALVESPSQSSILLTLDSCKVPTKVIRAYTAEGVVPFALESLK YGNTLFQQDAGENVFDPEDWYFLSEWNYVLGRHEASLVPRSKDIKLGRPLDKIVVGKH EKVRFGCSYKYDSEERKELFGTAGLRDVKSWSKEGCDVAFYQLKCCPN TRV_01862 MQFLLWSTGLVALLSWLIYTQETQSASCRCRPWESCWPSEELWN SFNTSVDGKLHRLRPAAHVCYGPSFNRSACDNILLLSRDSGWRASNPGVLQDWVWEAG ETANESCPVGSLRTASAVNSCHQGRIPLFTVGVESTKQVQEAVRFARKHKLRLVIRNT GHDLAGRSSAPDSFQIHTHRLQEIQFHADMRLDGSNTSLGPAVTVGAGVMMGDLYAQA ARHGYMVLGGDCPTVGVVGGFLQGGGISDFLSLNQGFGVDNVLEYEVVTADGELVVAN ALQNQDLFWALRGGGGGTFGVVTRATMRVFPDVPVVISEILLEAPQAISSSWTQGLSI VLTALQSLNHDNVGGQLVIAVLPNLAVQASIKFFFLDATEAAVIDRRMKPFLTKLSRA NVKYTYSSKNLPHFSSNYRQVPDIHSDNDYGVLGSTVAISQQLFDSPQGPEKVAKALA NLPVSAGDLIFTSNLGGRVIRNGELAETSMHPAWRSASQLINYVHTVEPSIEGRAKAR ERLTNTQMPMLYALDPNIKLSYRNVGDPNEKDFQQIYWGPNYGRLSNIKKKWDTDDLF FSKLGVGSERWDSEESDEVYFFSYFYLEGINTLKVARR TRV_01863 MGSIEIPNCSGSIVYKTISDFIDFPDHEQKLWWHSTAPMFAEML RVAGYDLHSQYKILGIFLNHVIPFLGVYPTRINNRWLSILTRYGTPFELSLNCSQSLV RYTYEPINSATGTVKDPFNTHSIWDALDRLMPLQKGIDLEFFKHLKQDLTVDDQDSAY LLENNLVGGQIRTQNKLALDLKGGNFVLKTYIYPALKALATGKSIKTLMFDSVYRLCR QNPSLEAPLRALEEYVDSKGPNSTASPRLLSCDLIDPSKSRVKIYILELNVTLEAMED LWTMGGRLNDASTLAGLEMLRELWDLIKLPPGMREYPEPFLQLGTIPDEQLPLMANYT LHHDQAMPEPQVYFTTFGLNDGRVADGLVTFFERRGWNHMA TRV_01864 MTPGGGVNVTTNRTQWPVDGGAILFTPTHHWALTYVNLGLGDDD SIIFNNTLVPGFNQTGNGTFCFPKISIPAGLGIKDGTNASLQIVQASAGGAALYNCMD ITFSSSPKNLLAQGMCVNSTGVGGVAITSAPTSGEGMPSSGGAISSAGAASMGATALL AFAVASLLI TRV_01865 MEKTGYISSTETKNITESLEISTAALRKLENTQDSSTLRTCPFD HGKRRTSMEHDFTTNGIKGALGCPFKNSNSSADAGEETNINGESCQNDDGDPIKLEKI GEGVPSTVHSSSARCPIRYLGDHSPEELAEYFLNHKHEIPRSHSVCIRRYQNNPQTSR KIDAKYGNVINMVKGLGEYHQPYLSVPHEIDETRQGDTDPVAQPSSVERVEKWAEDVS NKSPPAGSTSPEEIETAAPAPDEHQGLTNGDYDRQGHFERPLRDVRVGESPSRPWGIH VPPEQPAVDHTFTHPPESHYEKPEVVDEEPTMLLPSQGRLSPLGQASTFGNDAEAEAE ANATLDAAVDEDEQPGPEIDEASSHRRIHSHHQMPHTNTQTNTYSVPRSNLSPEAPIT HIPNPQPRMVFNGPVFFGYTAEAAAMLLEKMGSK TRV_01866 MPPIPGGPADIFGFTAVDASAEKLFDQIGLRDMPPPPVIPVSAT PIPQTILARRIQGYAKVHLPTPTFHHSMRVYHFGIAMKRYAFPAWAFSDETYFITCML HDIGTTEDHLRASRMSFELYGGFIAMDLLQYPINEHASTIIDPDKRVTASNALAESVV EAIMRHQDIRETGKITALGQLIQLATIFGVSTFLQHLFRRNPNFDIANTEKDNIGGHE QLLSAETVKDVIEHYPRMQWNNCFAATIRKEISLKPWAHSTTLGTDEFPSRIENNPVG LKFESLEKRVDEEGGITGEVKGMDDK TRV_01867 MYKDLIDSLLSKAKVQRAKKLDGALRYLSEYTPDAIFATDAALL KTKYATVLEKIISYVRSGGTIVFGATFSSFAKPDHLNRFFEFSWGLPWKSGSYHRTTV HVNTNCQGLSMERLQTSYSQKALYLKNVPPESRLYAADEESTIESHVFYPEPIHDLTQ AAIVFAPYESGRIGYIGDVNGEDGSHAVILAMCKL TRV_01868 IYICPLRRSTLLLPPSPFTPSSMSLPPSADNQQAYRDKSNASST AQVAGDAAPSASRTAELASLKVKLRSSLRQFPDFPSPGILFEDILPIFADPALHESLI RGLELHLLETYGADQKPDVIVGLDARGFLFGPTLALRLGAAFVPVRKQGKLPGPVITQ EYQKEYGSDFFQMQSDAIKPGQKVIVVDDIIATERKKYPSMLNLNVFLPGGSAYAAGS LVQKLGGNLLGFVFMLELDFLKGRDKLPAPVYTLLATQESK TRV_01839 MRPNHQPYRPHPNARGGPNMNPRYNNTQQRPYSGYPNPPHQHRH QNHHQHQHQHQHQHQHQHQHQQPGSSPALSHTNPATPQMAPVPLTNPQMQAQQYGAYP QPMAAQQVIQHPFPPRPPSTKSHSKRRSSYQKKHYSSSHYTPAPPYHHYPHHNAPIPL PTPPLPPPPPPQLTNQSYQPPSYDPPSLPSQPLPLPTPSPISTLERQPHEEQQQPSSH MSLSPESGNFEHLLTKFKSQGYPPYDNNAYYYPGQYGMQPMPMVPSSPRNTYGIPQQP YMPGHYAAQPHQMPQGVPIARSSSQLSATDRPGSSLSQVPPAPGPGGPGHHHTNSRSN NNGSPVNQSPFVVPKKQSPLIIRDPSSGSVVKPSSSPARGSASPAKPGVTPTSTPPPR TASRGEPDATDTKSQTGEEKKQSFQNAIVQSLREKEAAASKAEEKTAAEKPAPKPEAT PAPAPAPTPAQSEAPAPEPAPTATTTPAKEEEKKEEKPVVNEPAKAVEEDEIDYDAIE REMAEIEAREAAAEKAYQEKKAKEKAEAALKEKERAAAEEEALKKAEREAEAAEEARI KKLESGENEADRKERESLFASLRGKSATPAESPAVATPESGAATPVSDTSMGPPQKLL AGGVGKREKPASLKLETTKQVEPPQPSAAMKSLHSARFLEDPSNVCYPPSIVSPNPAL NSNAPADRKFKYNKEFLLQFQNVFKEKPSVDWDARVRETVGDGSADSARTPVRTPGPR SGASRGPAQGPMMGHFNQPVRTQTLPPGSDRFPVMNAPRGSSKGGNPFGQFGPLNPMG ARSASAAGSQLGGSRNPSHRGARTGSRQQKKKEEELSKTMPLTANADIKPLNTSTTGW KPRSIGQKGPTLAPDGHLPPDVVQGKVKSNLNKMTPENFDKISGQILDIVAQSKDESD GRTLRQVIQLAFEKATDEAHWASMYAKFCMRMHESMSPEIKDENIRDRNGTIVAGGSL FRKYLLNRCQEEFERGWKVNMPEKPEGVTEEVAMMSDEYYKAAAAKRRGLGLVKFIGE LFKLGMLTERIMHDCVKRLVDYDGVPDEAEVESLASLLRTIGASLDSSEKGHAMMDAY FARIKLMMDTTELQSRHRFMLMDVIDLRKANWISKDADKGPKTIAEIREDAARAQQEQ EAERARQQASRGGGGGSGRMHLGRGDARNFSGYGAQVPPPDYSNKVGSDDLRRLKTGR STNQPASFSPSGLLGSRSGSGRRNLGPGGNLVRGGEDSGASSRTGTPPAGKEKKDESS QNAFG TRV_01840 MDLLGLLGAIGHEVEDAEDDAFIQFSHPIPSSNLGFVDPRSNTV ELTVGGEELTIRQSPTILSSKRTGGTTGAVLWQVTPKLAEWLCKTDNPLWKSSVLNSE SAVVELGCGTSAVLAVSLGPKVGCYAATDQEYVRKLFNENLHTNGKMDSSSSHAGSMG NRSGKRVERKGGKHHHHHHHHRPERERRNSPARRGDDGSGSGDYSGGGVAEKIKFVPL DWELDSPDMLKRSIGADVAEDDPGFDLLVACDCIYNDALIAPFVATCADICRLRPSVG EERPERPTLCVVGQQLRSHEVFEGWMREALQEFRVWRVKDEVVGSTLASGTGYTVHIL LLKGGN TRV_01841 MKFSVSVSLLAAAGLASAANEFAMNRLMSMKVAEREEYRAKGMF APGRYGNSTNKFVPCRHGKSGEYSCNKVDQHGFLTHQEMGSTTREGNDVWGWTSRDGR EFGAIGQTDGTAFVEILKDGRLEYKGRLPTQTVSSIWRDMKVIDGYVYIGAESRDHGL QVFDMRKLLDLKEPKTFSIKDDMTAFFSGFGSSHNIVHHEATKMIYAVGTGRKTECAG GLFMVDVSDPSKPTSRGCANADGYVHDAQCVIYTGPDQQYKGKEICFGYNEDSLTIYD VTDKQNPKIISKTPYQGSAYTHQGWLADPKKMTYLLLDDELDEKDGTALGKNHTTTYI FDIKELAKPKYTGFYQSPAQSIDHNQYVLNGLTYQSNYGSGLRIVDVSSIDRKPDGSQ FKEAGFFDCHPEDDHMNGEVSFHGSWSTYPYFKSGYILLNSIERGVYSLRYNGPPARY RW TRV_01842 MPPAHQTATTTKTAQPKMTMENLNTLCKQGRHADVSVKSALEPV SLNHPSKVTATAKFKIFKAKRESVKPRQLEKISRPAASTTPTYQGSQKKQQQDQQQEL EVAIPRSPSPGCGVLPDCWSCGTELGDCPPCSCEECGMPN TRV_01843 MSKDTVFYPRVLKRGPFTVEAAGYEPVEGETIPRRHPSAKDELL KTPNPEIATTYDNLRWSAKTYGNSKAVGSRRVVKTHVENKKVKRLVEGVEQEVDKEWT YFELSGYSYLTFTEYEQQALQLGAGLRKLGHQAGSRLHLFSSTSAHWLCLSHGAASQS ITIVTAYDSLGEEGVRHSLQQTHSESIFLDPTLLPLLRKVLKDAKDVKNVIYDTNSEP KQEHIDALKKDFDYINIMTYEDLRKLGEENPVDPVPPSRDDLCCIMYTSGSTGPPKGV PLTHGNVVGAMAGGDSIVGPYLGPSDVLLTYLPQAHILEFVFENLCLFWGGTMGYGSP KTLSDTSVRNCKGDIRELQPTILVGVPMVWESVKKGIIGNVNKNSAIVKSIFWAGMAA KEFLMSTGLPGAGILDSFVFKKIKDATGGRLRLTLSGGGPVSKETQRFLSMAVCPMIN GYGLTETSAMGGLNDPMAWTNDAIGEIPAAVEMKLVDFADAGYFTKNNPPQGEIWIRG VSVAKSYFDNDEETKAAFSPDGWFMTGDIGQFEPNGHIKVIDRKKNLVKSLNGEYIAL EKLESIYRSAPVVANICVYAAQDQAKPVAIIVPAEPALLQLAKSNGIQGDSIGTLVHD KKLNSIILRDMQNVGKSAGLRGFEIIEGVVLSDEEWTPQNGFVTAAQKLQRKKLVNKY QKDIDRAYGKSS TRV_01844 MKKKRIRNEKEEKKVLQNSSGENILGLGQAENRRPKEGMGGIRQ EHTWRHQEVGINVNVRMGIANERSNGQEKKGDKAIYGQSIKYTAS TRV_01846 MRSRIAIHNIHEVQKVGQPGEFEDIKAVSLVEKKESSLFEVRPC VETSIEEVIGPGFWGSLETKEIAGQGGKRDIQGKDAPRRKSKNTSHYHEMAIRRPVCS VFKGEKGQPVLGMLMVECLDATGGEGGYKGKEVK TRV_01847 MQSSGGVLPASPGMMPRGDGYHLQYHQPARSVFPNSNGLAMNNL SRYSNYQTTRPMDMNSNAQGGTMFGASGGFVFDSPASRQSSSASPEAPPFHETTVLHN LLINNHPVRPDINAKIHKGFFQVDGKWTCYRRNYFSLSCSFTLRPWIQMQSSTPYIQL SNGTTPRVKSFAMAISAVVHGQENEVRELVQHTPKRDKQSERRPGKVSLEPQPPPSLM LNAGPVNNGHHHMAFSMPPQSPMHFDCGSAFGSGHSQAVQTAPTSHTFERIQFQKATA NNGKRRAQQQFYQLVTELYADVSDPNSKSSPQWILVARRLSYPMVVRGRSPGHYKDGR RESTTSIGPESDTGASGDGRMSGLTNGMVQSTRQPPPLLSTYDQSHGRRMTATDQPPL TAGSLVSSSSSSPGFDFGMMNDSMNPMETMKDTNVDAYGHQSYNSASAVQRKVCIEPS GMRSHMPAFNDPITTTKSQDPQDGGYVEPFEPMVSLMHHDNADNHYFNRQDDHGLRNG MKMHNSYTSRPTAHYARY TRV_01782 AQLTGILPYTCAFVFFSTFDRYVVHTAGHLYIALKAQLPTMLED DIYRTSTQFRLWSFTEDSLRSIRANTNAVASERVRAAVRRAREARQQASTAPTPDQGT PNPNPSDADRAVTPARTGAGSEQEIECLTPDEEFELVQYFCEKTMELGDEYKPPLPTT VRATAIQYLRRFYLTNSPMTYHPKSIMPCALFLATKTDNFYMSLRSFTEHIPNSTMES IIAPEFLLTQGLRFTFDVRHPFRGLEGGMMELNAIAKGEATPGPHLLGLTPAGLQKSI QSLPPPPTSIAGPVPASPLATRLAKVHHNTREILKHSAQMTDAYFLYTPSQIWISALL IADRPLAEFYLETKLGPANTSPTSSTSPSDMLSNIHAKLIPVLQSCSDLLTSYIQNNG TSSTVPGSARMKRLKLIGKKLFHCQNPEREDLVALNRLQKAGGSAVPTGANTPSEPVA APASVNVAAMSEEQDVENDIDRAAKKRKLEGERLGGMDSPFGGELKKG TRV_01783 MVSASKAARQAKRAAEGDKKKTATSKLSSKANSKNVSTASSVNG DDAEEGGTSAAKMSDVKKLTEQTDKFGLSDRVTTGVLASVPSSRDVKMSSISLVFHGR VLITDSTLELTYGKRYGLLGENGCGKSTLLKAISKREFPIPEHIDIYLLNEGAPPSDL GALDWVVTEAQNELDRLEKLAEEVLENEGPDSPVLEDIYERMDGMDPSTFHTRASLIL TGLGFNKVTIKKMTKDMSGGWRMRVALAKALFVKPSLLLLDDPTAHLDLEACVWLEEY LKKWDRTLILVSHSMDFLNGVCTTMIDMRMKQLMYYGGNYDSYQKTRAEQETNQMKAY HKQQEEIAHIKKFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVIPDKVFTFRFAD VEKLPPPVLSFDDVTFSYSGDAKDNLYENLDFGVDMDSRTALVGPNGVGKSTLLRIMT GKLAPTSGIVTRHTHLKLGMYSQHSAEQLDLTKSALDFVRDKYSEKSQDYQYWRQQLG RYGLSGESQTALMGTLSEGQKSRIVFAILAIESPNMLLLDEPTNGLDIPTIDSLADAI NAFSGGVVVVSHDFRLLDKIAKDIMVCENKTVRRWDGTIGEYKNHLRKKMINAGQV TRV_01784 MVLLHSMDALVAIPLGSIILALSAFLLAREELKSCLLSSISFPI SIASKRVKAWRFLLDGPNIIQAGYDKAKGSPYEVLAPDARYVFVSSAEHIKEIDAASD NVLSLQAAAKQMLQPKYTMNNFNWFDKRGVDGTPLVRTLRSLLTNNVPNLIPDIRAAV SKMFDTFHDSLPATNGAKAAPLYPMVKEAVAYSNALAFFGAELAQDKQFMKAAIDFIE NTLLIAEILRLLPSSLVPIVGKLLARHFRSHDVLHSTLIPVTEERLRERALKRLGHKT PSHVSEKFLSSRCSPLLMADQKDCIQWVMECSPTQKPWSAERIVHELMALWFGSVHVL TTTICYAVHDICLHPEYVEPLRKELQGPEWETFEKTGKGLPLLDSFIRESARLTPVES MSTRRQALQPFQLSDGTRIEIGECFCTPQRAMARDPANFEKPLDFHGFRFVDPRVLSD LKQPGFNIPKTAKPSQLTDTSNSQLWGTGRMACPGRFYAAAVMKIILGLYLTKYDMEL ADKKAPRWFTWRSFIYPSASTIVNLRPTGATE TRV_01785 MIVAPALWATKSAVLVLYIRIFSSVRWISRVSYGLIVLTFLVYG INIILATVYCLPRNGAPWDATAFARCAEPVTLAIFIGSFTVLADFIIFLLPFPIIRKL QMAQAKKVGLAIVFLVGFTTVIMSITSLGFRIQLFLGEDPVWNGLCISLTTFAELFGT VIVSCAPAIYSFWLNIVQPSTVYSTLRSRLLISKTKSNPDNQFADEERFELPSKLRNG HDSWPGDSVDGLGGKTGPFTRIYSSSSSSIPMKNAISKAIHISQSQAGPDTPPH TRV_01786 MFEHFTAWHLPPLFVATATTFGGLMPFWNAAYAIEEFGLPKRIA VSKEAQAIMITASGRTTALGLALFTFYSQNKLREVDTIMFILGYLGLVDGYVCWREGV PGKAVFRTVSGLLIAAWGWFSMTS TRV_01787 MEGTSPPPPANIAPVSISHATISPEKDGKVQVETPQAFTRSWRI WCIFSALCLLSFMSAVDATIITTSLPTIAREIGGAEKYVWVANSFLFATTVPQPLFGQ IANIFGRRNPLLVAIALFALGSGISGGARNVGMLIAGRTIQGLGSGGLYVLSDIVICD IIPPRHRGPYLSAVLSTAAIGTTIGPIIGGKLAQVNWRWIFYINLPIGGLGLIVILFF LNVRYKTSPTWAHALARVDFLGNAIFIPSMVAVFFGLITGGSVYPWGSWRTILPLVLG VLGWIIFHIHQASAICKEPSMPPRLFQHRTSAASLVIIFLGAIILQAISYFLPVYFQS VKGTSPLISGVNFLPFALAIIPFGGLTGVFMSKTGMYIPLHWLGFTLSAVGAGMLSTL NESSSTGAWVGYQILASGGTGIIFTATLPSTLAPLPESDVAVATGTYSFVRSFGLVWG ATMASVVFNGQVNSHINLITDTAIQALLEDGAAYSYASGGHLKMLPADSRSQVIDVYV KALAVVWQVIAAIAGLGFLCGFIEKHVDLRKEHETEFGLADKAQSSSESEIEKGVFPR EGERKE TRV_01788 MNLNDLRRLEIDIDIFLNRFIPHPRWHRYPYPVAHFLGHRREAV PKLGTLVVIFWTFVGVFCGLLTITGISQHIPSFKQHDAPLVVGSFGAAAVLAYCAIES PLAQPRNAILGHLLAAVVGVGITKLFGLHPRHEELYWIAGPLCCAAATLVMALTKTVH PPAGATALLSAVDPRTRHLGWFLLPVVLLACMLILVTALIFNNIERRFPTHWWTPVSL IPPKAADLESSTSEETVHPDQSDESPPDEGNDKQPVDQIIISPGQVDISGSITLTAAE YDFLERLSRRIIDIDEKDALTDEKEKADETRQN TRV_01789 MTEYKDIEGSEVLPIKPLTSSKQPFGCGTRRNWKHIATLTIDLA TIRNIQWMYDIKANLSMSGIDMSSVYLPRPCRDSSTKNAMHTKENIYQKHTYQPSKDK IK TRV_01790 MNRVGWYTYPYNPHILVGTYAAFPHHGGFRYMVPVTTHPVAPDH PISSQWDVVSGRIIEILDRVGTQWVAVECFERRQVHNDRHRGEYAEIRETTVLITVQE FPYIDSDYKKILHEIYECSGGLFIELLGGTVWCGATETAYGWSINVRDLRFPDASDDK GGKLWGPYGGNLSSNTYVATDGIDKSLGNFSCYLLLSKPGERFASDVKECWKNIRIRC PTTQEFAIDTFGKLVDDPEYEDEEEEHIGNVLASSGLAVSKDDNCRLDWAVVLCQPGK CGKNVSMGNVHRNTTTVTIDSWARPTGNSESYLAGRREKGYLNTVKSCICYRNAGQET RTKEWAAIGGCKGHFVYNDPCGQLVVGKSNDEALGVVWGGTRSKLTIDVAAIYITPLH IIAQGIREATGYDVSLAGGSKIV TRV_01791 MAAKAFNVGVIGYGMSAKVFHIPFISALPEFNLYAIVQRTPSAS DDAQKDHPESKVYRSAEELVNDSAVDVVVITTTPDSHFSLAKLALEAKKHGTVYSPSI KACPSGHPANRCVRYIVVVEKPFTPTTKEANELIELAKTQNKLLTVYQNRRWDADFVT ASKLIKDGSLGRVVEFETHFDRHRPELNIKKAWKGKDIPGGGSIYDLGTHLIDQAVHL FGLPNEITGFITAQREISTENYEDSFTVLLHYDRLLVTAKSGVISPEENQLRFWIRGE KGSFKKYHLDPQEDQLQAGVKLDDAQYGCEPSDRHVSYSISKLTSTLGVLTTFAGGKF SSQTVRPEPPTYKEFYRSLAKALSGQGQVPVDPADSGTVIRLVELAKESSKKRSTLPV SKS TRV_01792 MSVKTNRANILRYGLLFPIVLIFFSIKNEVFIVGRFLSLLVFEI IPGGLITQPTSKISTALSLFDAQKSPSITLDNTLAGLFREHGVSDSIVLDIYNGYTHV DIHPQILRETVYIQDEDVGFSSNHTDEGLERFRTLVLTYKTVKFLLGIGPIDVYLYRP ANLPANIDRLLSPLPANQRPQIHYIDLNKHNVQDELRRVTRGKKLWYYRPKSYMLEYD TLVHPDFAYEINDKRFLLHPTIPTPDLQMAPLQGEDLSASVLSTRPLPFVVKLRRCSG SRGTWIVTREDQRQEMLTAMAEYQDRGVPDVQISEFIHSTRPHYSVNFFVGAPGPSNA SSTVTFLGATEQLFTQSGRWAGSVIDYRLQKQIKEQLMDTINAVARTLIGSYVGWAGI DVIIDAHSNRKVVVDLNARLTGGFVVCLLSNHFMKERGLPLAQAQSFHYEGKSPDVYT LLAPFISKGQVIVAAATESLPNNSTAQLIFGGRTREELFSMKAAIQERLSRCLDRHGM INYSTGYPSMSI TRV_01793 MLSLPDFTNSRTKSIIHGFQAFIIFLAWAMTIAVFTQPGKTDGR TVYYFILCWVSIPGLIYLTAVPMWPRLRKFGNVFAFAFIDGLFTLLWFAAWIATATYV GTGVAQGEKDKDNDKNKDDKDKGKKSGCDVFAFGSPAKCRLSTGTTILAVLVFLIFIG TTLISLRSVMEYRRTGTMPYDGSDPTFAAHAQAAFSSNAAPHDFDDDDDRDAESRTGR YHGGPPSRQNDEDAYALLHNSEVDDLGPHGSHRPPPSYDPTASNVSSLPPRLSPIPPA GSSLSDYDTSYGGAYGGHRSEVSGDYSYNR TRV_01794 MSRCHYYVVDDDEDDDVQIVGVNSLNPEAAPGPQLGEYRLDAPR SFYQGTSYLSQPFYTGLAAHEMLATSAAGLGGVAPLIPFPAASYQSFSGQLSAAESVS AGQFFPPAAQTGHIVDLGSVAASEAAPISESPAASASASGSSSSLSSSSTSSYGQATR SDYELDPTSSQTQTAPAPVLATIHEQSPTGDTVGRSAWSGIAYGNILKILIGPRNCDT TTNPDQFPPSFFSDLASTIVSSFPYEDFAYKHRCTVDEVNHALVAQVVAPLIQCHSVD KKQMADTANDIYNRWVPSDYQYHDQHASPSSQAIVTTPNLSEPHETSASEKCPSPQLT REETLEYARVLLEGIADYAFGTNNDTQAQNNAVPQAQTVGTPKPVPTSNIQAPSEVEP VNKNEHIFTTPSPPRSQTKDTNSSRRPMKHAKSPSCLTRVSSSTSSSTHSAPIKPKRR YEDIADPESPSPQNQLSRNEREDTPRPTKKAKPDFNCPPPSPKACEKQSHPSLPKLQN SEKAKKMDVCPAKPHTYVPLTDETRIKRQRVTVDPFGKFKKVSPYLEPNRNYTNILLE RGVPLENHPRTKRPLTERDIETIKKGQKNFFKYAMKLQCEHMDRLGLPHPIPKEHLID EGEDEASAPEDFYHWDDEGFDD TRV_01795 MEEEEAEEGRGRRRRRKRKEAEKKKKAGATQRLDINNNNNNIDI TYRYKEEEDEIQKRRRR TRV_01796 MSSAVNELADTMASVTVNEANGAAPAAAAANPDPSAISADEGRR LYIGNLAYATTEGELKDFFQGFSVREESRRQLLTLWEFAVLCSLVSFSQLVGHLLGRP LVRLSARRPLYLVKESTSIPVNPRTDRPVGYAFVDLATAQEAQDAIAALTGKEILERK VSVQLARKPDEQKQLKEQKEQKDKEAGASGNEGTSGTEGRKRSSNRGRGRGRGRGRGG RLGRGGRKTEDGHAPEGESAEQAGLNEVTNTEGEAQEQKGRRPRVRRGPPEDGIPSKT KVMVANLPYDLTEEGVSPPALHSKGLFSCRMLTPEQLKELFAAYEPVSSKIALRPIPH FMVKKLQARGEARKGRGFGFVTLGSEDLQAKAVQEMHGKDVNGREIAVKVAIDSPGKE DEVPEGQADEPADAPAADSSEAAAAPTTA TRV_01797 MDAFKLAEIQRMENGGNEPWKQFFDAHSLTLAEGRTFEDSTVKE RYSGEVGEEWKERLSAKVEGREYVPVPKTEVKKKSATDKLPGGGLSRSNTTTPRGFGN DSREPLSRSKSATGQLSKKEQNEAYFAKMGGVNASRSEGLPPSEGGKYTGFGGGMPVD SSSSRSGGGAGGIPGLDDFQSDPVAALTKGFGWFTTTVGRSAKTVNDTYIQPAAKTLA ESDLAAQARLAATEVGRNIQTGTRTAADSFNRFVEGSDGPAGGPHRATGSASASSRGF EPERKDFWDSFAALGDERDRQRHGAGSSSGSGAIGTGTMKKNDSSSIKPTSSSVSKDD GWDDNW TRV_01798 MTIAVLPLGTTFSRRFLLPTCRVGASKWLSRASLPRRYSTAVPG GNVEDLNRTRNIGIIAHIDAGKTTTTERMLYYSGFTRRIGDVDDGSTVTDFLPAERAR GITIQSAAITFHWPPQQEESSNSLTLEDVDKKGLPRSAVSHTINLIDTPGHADFTFEV LRSLRILDGAVCILDGVAGVEAQTEQVWRQASTYGIPRIAFVNKLDREGAAFGRTVKE IGARLGGWPAVCQIPWFEGGDGKLQGVGDVISLQGLLWELGGDGKKISVSNLSTLEQS DRQFADELKKARIALVELLSEHDDTMVENFLEHDEDHLAVKPIEILESLRRCLLGDIK NKIIPTFAGASFRNIGVQPLLDAVVNLLPSPLERPDPEISINNVICGLNEYLEGKAMV GTSSGSQKGKKGVVPRSKPATSLQKLEACALAFKVVSDAKRGVLVYARIYSGILHRNA LLFNTNLQISERVPRLLKMYASDAVEQESVSAGHIAVFVGLKYARTGDTLISYTGNKQ SPPEPLNTLQLRPINVPPPVFFSSVEPHSLSEEKNLQTCLALLLREDPSLRVTQDEDS GQTLLSGMGELHLEIARDRLVGDFKAKASMGNIEIGYRECITGASSTTSKVFDKEIAG RKGKAGCEAIVEPLAEEQETQQNRDDHVYTQTVDGNRITIELPNIGEKKGKQADDMFP AHLSIDMVKNALLAGGLAALARGPKYQFPLHGVDVKLRFDLEQHLFGTETTASAISAA ARLATQSALWEVSSSSGLMEPVMNVSISVQESALGSVVHDISSSRGGHVVSLDDDASS SSSTESEESNTVDVSKIYAPRDPFEPSSSQSVSASLSATNQSRTISAKVPLKEMVGYL KHLRSITGGRGTFVMSVDRFEKMGSQREKAILAEIRGI TRV_01799 MDYSSITNDPDPTDSSPWGSTSPRAARPFSASSDVPPAFPSQHQ SPYTTDQEGPYQHNDEGGERVHSPGVSDHPADSHPVGSPEAQAAYRHDSQHQQEYRQQ QQRSQAPSRYQTGARQQARPNAPKYKLQAKVTALERTGKRDPVIRFDVHTNIPKFRTT QFRDVRRTHSEFIKLGDHLISSNPEALVPAVPPPLTPAGAGTEEDEVRVKASMQRWLN YVCSNDVLMVDDEMILFVESDSGYSPVVRMKQPATGVRRKVLKQFAPPPDDTPELHDA RPVVKLFYLGTMEAGQKVDRVVKARRALALAESDLGVKLGQMHVQETHAGLANAYKKL GKIIQTTGDYHAAQGTAEATTLGDPLNYHSSDAFIVKETLTNRHILLRELIQAQQTAS SKRAAADRLKASTSVRPDKVDEAISALDDARGHEEYLLKKTQRVTNNLLQEKRRWFNR TANDLLLSLREYTLREIEAERRTLSTLESVRADIRSIDSSGGLSRLGRESHPAARRAS LASSQGPKGDAWSGVARRGDSLSRSISGSFVAPVPEVDDDVNGTIHGTGRRSRSGTIM EEDDDRVDAKNAASRLAASTF TRV_01800 MSNCFCITCRKISGAPYATFARFPRSSITWLNEPPKYFRSSNFA KRGFCGDCGSTLTYELDERPESVSISPGSFDDWSVKGELMKPTDHIFLGEKAVWFDVP NDGLKRYTEDDEEPAAGNAS TRV_01801 MTPRPLYLVTFRTGPSQRKHFAIFLPNEGDSQQGTVIHVVGAPM AGYQHEFKRNYNPFTSAIFHTVTEIAQIDDCHIANPQTKDFQKDSTPKGDLEIAASQV RPPPISQNFLAPVDGVTNKRCQEWTVEFVDKLIEKNYIHAQAREIVQAHRDPPSHGVG LQPAGRGRGAA TRV_01802 MAPSRIEDSNLSTLDTKRTETRIKPKLLLSQLPSDEMNTVDFRG SSFFKMNENLPTTDEVMAMSNYPDKTFYSPPPVIFERLGLLVKFGRRVTVAEAQCMWA VRKLFGDKVPVPEVFGWRVQNTVVFIYMQLIRGDTLADRWDNLSEENRLSICRDLRGM ITSLRELQYDDTCGPFIGSINRRNVRDYIFLTRPLGGPFETAEQFHDWIASLPLIGLS VPSDYVDPYRPYLPDNAAIKFTHADVHARNVIISRDSSPKVLALIDWEQSGWYPEYWE WCKACYTSDYNGEWRKKWISKFLTPYHDEFDIFGEYIHFMGAM TRV_01803 MAPVAGNKRKRGDRSWSGDSSNDSPRPSPHRPSNLNLAQQQQQQ QGRDGQEGRGRGGRRSSRGGRNTRRGSDSAHVQHQPQQHQHQQQHHQLRESPVSAKPV PAPNSSESEPAKPNGVSAIQPSTVPAELPQQAIVTKTSSYCYEYVSPESMANWAGIGQ PAIIEIGTKARTEGDSLTLSSVFQELVQLALEGRVPPQDLGSTVRAIIGNTETTDSAL DPRTIFLDTLSILTESEPSNPNLRPFLFSTGIPSETMRLQLETPLLQSLGLIRDTFAR MGIRKQTNLLYRQSNYNLLREESEGYSKLLTELFTTSNNEPPSSEVVEDTFERVKAMI GAFDMDVGRALDVTLDVFAAVLVKQYRFCVKFLRASSWWPKEDGIGGHNSMSGLPRWA LPGSPGWSTTDEERAEMLRLNETRDQEFWDRVREIGIRAFFELGRQPISEEEQRKCIS AAEGDTVNDSGETKKWIEQTGTLPPRGNRVAAQLLGFKLRYYSSDARNPSDVLPDNLI YLAALLIKVGFISLRDLYPHLWRPDESMDALKEEKMKEKAEREAASRHGGAVNALMTA GALIDDTVPPPPRIREPDARSATPSRDQDHDQDKSAAPKVEDKEQLPEPADQKVLLLK SLLAIGALPESLYILGKFPWLLDAYPELLEFIHRIIHHCLNKVYNNVRPLAEQDELKA RKKIVSTDQSTTLSGKVRLGDQPPRRILRWAQLDKEDTNDGTDYRFYWDDWADNIPIC QSVDDVIALCGSFLNLSGVKIGNDPSLLTKLARIGNHSMKNDPSESNISRWRDLCKRL LVPALSLTKVNPGVVNEIFELLQNFPQDVRFSIYAEWNIGQTSRSPDIKSAFDLARAQ TKDSLKRLSKTNLRPMARTLAKIAYANPGVVINVAISQIESYENLIEVIVECARYFTF LGYDVLTWALVNSLGQKGRSRMQASGLLASRWLNSLATFAGRVFKRYSSIMNPIPVLQ YVSDQLRQNNSTDLLVLEQLISSMGGIVTDNSFNDAQLQAMAGGNVLQSQTMLQLLDK RHESKTTSKRLMKSLSDSNLAGLLLVAMAQERVTCIFKESESDAELKLLGNIFDETHR VLTQYLDLLRSNFTVDEFNSYVPDVVALISEFGIQPEVAFWISRPSIAQRIADASKQI QEVMAKKSEVEAPAPETSLNDDVEMGEDKEACEPVAEQGDAMAVDNQASFDEGKALPG STSPDPINSEKASSPVSPFNPVVQELMDQMKSSVPESFWDVVGLPFYITFWQLSLYDI YVPQKSYEDETERLKKRVIAISHDRSDMSSAGVQRKEREKRQINELHDQILDENKRHI RAYGQTRARLQKEKGQWFVGMRVKHEALNIALMQQCFLPRTLLSPIDALYSFKMLKYL HSSGTPNFRTVGLLDQLFRDQRLTSIIFRCTAKEADNFGRFLLEILRDLSRWHADKAV YEKEAFGTKRDLPGFARTMDPEGKPTTFLDYEDFRRILYKWHRQLSASLKTCLTGGEY MHIRNAISILKAVIQYFPAVNWIGRDMQTCVTALTNSDPRDDIKIPSATLVGDLSRRE KKWLLPQAFTILNPGATTGESSNTPKNETAGQEDRVAGGKPQTPQPQSTTSKPLNANA PEFQPSAATIKTNGTTATPSTGRLEVEDGEIEDAKKEEVKATEDSSKTEPSNPATPTP TPGIQTSTLDDETATQYHDKPQATEVPEPEDAQIDRSSTPIASRDGTRGQDAGNDLSP QTGSGAATRTPSESSHPSSSSRRMDFDRHGASNSGLRPPANFPSKPDLPRQYRHSDLR GPGMRSSEPSGDRRERDTRDYKYSEHGRLSRYGPHDHERLVEHPSMVEPRGFGRLSEK EMAHRPYPEDHLGRPPHMREHTGPRDQEWRERHSRGRLNPPDNLSQRPDYPRSLRDDM NMQHPHEYPHRPGRPSREDRRPPPHGHGSRPPTPSQQDDQRPSSSRMDRHDDRDNRSM RLAPQGPARHDDLPSGPRGTRAPHGSMSDSRPPQEYQRDGRMGHLPPPDPSYGRLNQD SRFPSGRSGDSFERNTDIPSGPRRNNQPGRGGRAVSAAGHHPSSSSANADRQPPTGPA GWTGQRGQSHQDRSSQGTGAQEDSNVNINNMDTSGIHPDRLKAMQDPNDQGGRPGAPP SQQQNLPPLAPPSGPRGGAHGPPSSSPTTRGRPSAAALSGEGGRGDKRFAGLNNMLQQ SSGPGGDKSGQGPMSRGRMSNRQTGSTSGPSPQSTRPQPPNDVGPAGGSTGKSELFPA RSNGPPPTPEEESRRPGRSSRRSEIVDEASSSRRSSHSNTPLDRPMDRSMERPSDRVE RDRERRAGEESSRTRDTKKDDRRERPRERDRDRDRGRVDEGAERDDARASRDSSTRRS VHSSGGNTAEVTPTTNRRRDRRERDDGRGSGSGGGSSGAAGKGIPLPPPPPPLPGDRP LDRWGGAGPGRSDDRPRDRGDRDRERGGGGGGDRDKERDRSDRGRDRDRDRGRDRRDG PNVGPDGRGPPLTPTGGLGGGAGNTGHNTWPRKRGRPHGMGGDETHEHSPNMGGPMRP GPGSDMKRQRR TRV_01804 MLTQQAWDVAMRRRFNFKFTSSKRPFISPHPAPVVDESRYYNFD DTLAPIRPGSPLADRPVIDPTTLVHLRHACILLYHRVKNQGQPVRRAAIPQPDPGYSQ HEHERHKLAAHPPDKRPIGSVDEGNASGSSIPPESASSGRHRPLVRTDLPSSSLPPTS GPVAAPNSASVVTDQPSPEMGIRRTTSAPMHTETGIIVEDPSYPYTSRNCQVPSVSHG TSLPTSPTGPAAPESSLQTRPEDAPHSFAGRTAGRQGYQDSDTETLAPTETDIRQHAE STPGPISQSMGLVPVATQASDERSSSSSSNSSTDATNTSATTVTTASTSSDITAATST STPPATSASAATTASQLSRVQTFVKKLSKFGFNKKKASSTNRRNMGLGMVVEAT TRV_01805 MGISEKQPETEMREDVERNNGPDISEKHDSELRSTSSSEPDFSD INEKKVIRKMDMRLIPALALLYLLSFLDRGNIGNAKIEGLDVDLGLKGGQFNWCWCEC KKDRHANYCLDLPSIMLAWGTVVDYKGLLIARIFLGVAEAGLYPGVAYYITMWYCRHE VQLRQAMFFSAASIAGAFSGLLAFAIAKMDGVGGYQGWRWIFILEGLLTVVVAAGAYF FLEDFPETASFLTPREREFVIHRLKYQGSSLSGRRVVQTEEFKWKYIKEVFTDWQVYA SLFVNANHRNPVCVGIICPLYGTSLFLPSIIKDLGYKTSTAQLLTVPIYVTAATLAVV VAFYSDRSGKRSPFVIGCMCLIGIGFIICISAAGRGVPRVVYAGVFIAICGIYPAFPG AVTWLANNLAGSYKRSAGMAFQIGTGNMSGAMASNFYRAPDAPKYTLGHALELGFLVA GVISAIFMREAYKRVNAKREREGTRGLSDQELSELGDKAPTFRYTL TRV_01806 MDMDIGCRRDIRPLLDFPAWVPRTWPYGVSNDLMASSPGHPLMI KAALSLYDHNWWYVSKYVTVFFTTGPMFFSGIILSWFEILKTGAKDDIASFKGPHGLA ILPSQLYDTTEYTFFSHFPGSTWHGNDVAVLSWLYHYLWIFFLVAFALMIVSVVLGPT RRAKRRMPRFMMKQELV TRV_01807 MRGTDSPPTKAPSVYTTSYTPTVEGEQLLNPVERVACQPENPFS KLITDQSIAIVPSFTLESGVTLYNVPVAYTTKGKLSPTGDNALVVCHALSGSADVSDW WGPLLGGPGQAFDVTRFFIICLNSLGSPYGTASAVTYKDGDKSRGLYGPEFPLTTIRD DVNLHKIILDDLGVRQIAAVVGGSMGGMLTLEYAYFGKNYVRCIVPIATSSRHSAWGI SWGEAQRQSIYSDPKYEDGYYAFSDPPATGLGAARMSALLTYRSRNSFESRFGRNVPD PSKRQNINGTQRLPTPPNEHWAVHNDGHKSTRPSRPSSGAQTPRPLTELQFTDPQFTG AAVYTAHAPTPASPGSVTSLELSKRPATYFSAQSYLRYQGEKFVKRFDSNCYIAITRK LDTHDVSRHRADETSQTPVKDALAQIQQPVLVLGIESDGLFTFAEQQEIADGIPDSRL KNIDSPEGHDAFLLQFEQVNRYILEFFREVLPDIMAPSETDANPAGDHVGKLTKSSTF GEAEVDDITAW TRV_01808 MKTADPEELPLPDVALLEMQWMLNAVAAMSAGAEPTDIDYSDDD DYLNSIMDGTMSQISLSPPRCLKPQKLEGEHLYDST TRV_01809 MKAKIAKSGSQAPHLNKEKDKSNFRQYDKACERVKEFYREQHEK QTVAYNIEARRQFQSKVRARMTVWEAIEKLDTLIDESDPDISLSQIHHLLQSAEAIRH DGKPRWMQLVGLIHDLGKLLFFFGAQGQWDVVGDTFPVGCAFDERIIYPETFANNPDS THEVYSTKFGIYKPNCGMYNVMLSWGHDEYLYNVVKTQSTLPEEALAMIRYHSCYPWH TEGAYKELMNEHDHQMLKAVQEFNPYDLYSKSDKIPNIEDLKVRLPLFELWRTTYHIW PLF TRV_01810 MLTSKSWLNAYILTFNCARNTIDTQIFASHLFDGLPKDSDPVSY PEVLVLSLQEIAPIAYAFLGGSFLEWYFDAFRLAVDLATPEDQRYQNVLTKNVGMTAI MVFIRDDYVDRIAWKEEAEVGVGVHQAGNKGAVGARIGYQVDYDSEDQLPLTFVSLHL APDESAIDRRNQDWKDICQRLVFTGCDVAGQSGRQHRQYDEEGTPLIPSYHGSERGSG MYSPNTYLFVAGDFNYRTSHRDPQPGDIPAFPQPTNDTSSRNHYMHLLAHDQLTRERL ANRTMHHLSERPITFPPSYKYSLEAREGSLHHPEEGFKWAKNRWPSWCDRILYLENPF PGDESQKVQVHHYGILPLFQTSDHRAVVISLSVPLKTPPALQDSSAISPPFDIDHDWR SKRLIARKKEIAVGTMAYLALTWEGNGLILATILGIAAGYFTLYGLE TRV_01811 MCEDVMDETYNKRSRGRPPGKPTTKSPRQKASVKSLAEERPSLA AGGNSIDDRILDRIKKCLERANHENTTESEAKAALFLSQKLMAQHNVTNADLLSKESD EQVQFAGSSIVAITSTKEGSTSIQNEGFVIKAAHAMQMFFDCQFYSSRKFNSIEWTFY GIAENTVAAAIAFEMVYNLISQWALAYKGVSARFNYACGVASEMARKAGLETLNERIR EEELRRQEELARLNPVQSESGPISDDQLSSQSRAPSPTDLGNPGTIPKTNNGGRDGDT SSDEEEAGCGFTVEPDFKEEDGDLLDPTADLDEEIGRLLKREASPFINLDGIQSEEEK QSHSSTGEDTAMPRDIAVASVPAASLWKSEMQLIRFRQTSKKVGDDYLKQQNIRLHRA AGGTQLSKMIQPIARAWKTVRRSMSDAEGLSEFGNLTLEHHGYLPVQSIFRLSFSLSL SL TRV_01812 MLHLDGSTLEGGGQLVRIAAALSALTGKPLSISRIRANRSGSKG LKASHCAAIKFLLDVCGGTAVGNQVGHHELSIYPRGQKTGRSSLQTKPEDSNANSDLR KSAASLQQLSLTSLYSSPRVTVKSEYDIRLSTPGSVFLIFQALYPYLLFAGACTPTIE ADAGTSDTAREHPKGIRVNITGGTHVSFSPSYDYISQVLIPNFAKFGLPPLSMELKKR GWATGQWAEVGAVSLVISPLSANGTPPRSDTISSPSHDSGRAQAETPPVVFPSIELDA FDKGFITQIDVTILAPDTLAQKLLKELSAKSAKGRNRDKKLQKKAVLCHDPSGVRNPY REQETSEDGDEYLSNAGEQAACMGDACNMKQGPPQTAREFIQLETIRTLSRELNRQVN REQSVLPATPEIDDAEVDEMQSHRINIGDVVVNLHTSEATSHYSQTYILLVAHTSTGF RLGRDALYSSFHHGPSKSDSKTGKKARKGSARSSADSGNILLRMKGMIDQCVTDLMDE FESHPGDQGQGRRIIMPKKAVDVYMRDQLVVFEALGRLTHPGPHLSAGGEQRDEEGVS LHTRTAKWVCEQILGCP TRV_01813 MINLVHARQLLAATHNGVTSYRNIGILGWDRVVQSLEEIYGQEK EKRHQEILSSRLNAQYDVTQQHSLKIWRQKKKKEGKKALVPCGDATHRLAFTSGEDFG SRMNPTYSICPFHQTIKAGLLRL TRV_01814 MDLGMFSADMKRLYSRPPLGHSCREGVWDDPICAVLADIPSSLA VMESVMRMRKETKTEEERKCPCQLALTISLLFFLPPFFGRVRSENVHKARNYASMRLL SHELQKPWTALAMHLIPIFGCLFIYIVIFTCRCRSYDCLRAYSLSLRDVNIPIQVEEE KTQFFFMKPKYCSA TRV_01815 MVSNNSSGNKRVRRSKSSASVQNRRPAMSEAFDSELAQLQALAA ASLAMRRHDNRPSSSLSSSKKWYDHSSSTDGSYHQQPLSQPGDIKDESESYCRTSATE STILPRMSESSGVMEQNRPNICPASPRPSSYRRLRKSKSMFTTSHESERLCHGSGNNQ RTSSGTSRLSRRTLRRSLSFFRGSSISKADKENHATVSTLPIELAREQFQRSMEEKRV ANGSESIVTRSSRQVPKPFKKSMRSNGTNAGSTTPSRYASTGTHCGPKGRLFSFSIKN SIRRIFGRRPSSSREELPKQHGAHHDFGSFDVGSSEGSYFDESSDYAKYQEQLLSQNV ANTAPSVRSMKSCSSMATSNSRVTSWTDSTAGNTLTMKQFPPLTRQGLDIIREDSDVY TPTPSPGRHRHDGYSIFRQPLDNTVDIDSQRMFSALMRNIDETKNRRKTNQAPLTKSK AKSSHDSSTNGSPLTIRAVKQSPNTPSARQSMSGSRASPSSRSLFSVRSSRTVKLTPQ EIARKNEMVSRKRSDQTIRGLGRLDLSGTSIYENKPTREWQNEQLEMRDDTGSVIINI SQEDGDIPPSPSVYSRTTEARSPYRLNVDSSDSDEEEPGTVTILDSQRLPYPPRRSSQ AARGISSDWKTWMNSQMDLIDAAARSASLANPTYALPGHYREDTQINGPSPEELKRVV LARRKQSSRGVPSPSSTAGGRSTNGEPRVNITEALSIPNNNFSRPLRSSPNGNLSTCS TVVRKPSITTSAIRHPCTPLICDSEMTPSPLSVRARSMSRTPTTATVPPLQYNKTRPT EDGAILEDSSLINSGQSSEGQSGPSVSPICLNDGKRLLQEIQFNSVRPRREQTNGTKE NKWASASYRQNGRPNYASKLNGLHSTISTKRMVDIFLSERSQPPRGSADDSAPEPAFL TRV_01816 MLNINRQFGKFMKRSADESQVSVLLKDFDDADKLLQKIIESSKA WRDAWSSIFTYQARLVQEFEQLYNPIVGASEPSSDHTPAVVPEHTLHRVVRLREEYDS LKADLVAEVSAVDDRIIRPAMDAREYLQPLKKVIKKREDKKLDFERYQGRVDSSRKKT KRSDRDNAALAKAEADLSKATDDYNAADDHLRSVLPGIITATFSILPHLLGAQIEIQN TLLALYYTVLHTYCEEQQLPSPPPPMEDIIRTFEDGFLSVQREIESFNCVAGGKTARQ PRTSGDQRNGNHPSSNGRPVIGAGGTLRKPSTSPNSLSRLPSASSLDSRPRIGRYTSS SQLDTQSASEQPTHESPNNNNNSAHHLAPTPTPVPAPTPPHSTPHYIPPIPTSTKPTQ AAPPSMGGIASLAAAAAKKKPPPPPPPRSASTSQIQFVTALYDFGGQGAGDLVFKEGD RIRVIKKTDSTDDWWQGELRGVRGSFPANYCS TRV_01817 MSYSDEVTLPQRDACSRLLTGLPGGTRQIRYTNMKDLRAAVEEC YEDDTQDPFLVVVDVPPTILEDFDSEYLDKGPRITANLPERLLILKTLSNPGHESVAS DLIFYIRVGILEMGLPDRLADTRSSRVTSKNGRFVKEPDGSLRQLHQEWPSVVVETGP SEAGEKLSMDARGWLETDGSETRVIVTARIDQKARCIVFRKWTREADGRIAPIVVEEE VMATRKEDTTEVTGDMTFTFEEVVGRQRNEVNEGDIVITRADFREICQNAWAAQGFG TRV_01818 MASQRMSLSVPPTQNTAPVIKFQCLFTHDIRRKAKRWQDGFLRF HTFNKRVMVYDPTGNLVGDLHWRDRDMLQDGDEFELERGILVQAGEQLESTVTDLTGL LEKRKSSPAKPNHSQARPRNANVGRPNDFKAPFPSSGSSMKSLNEVLGIKKSTQPTRV IRSPYEERERLNKSMCESEERPAKKRKPSPECDTEEASRLSHSPPPPPTKSLKTQLRP NVEEPVTNSKSRDASGAEPRVPGGVTDLCNKISNENNRPSFASASTASFRPASAVEPE PISTKDRRKKSSGKASAKQMSLTSMFGNVPTTTLEVAPRQPRNQLIFVDKLAEQQAKA REKRKLAENNTVMNSESSKRVDTLKSPSALKPRDKNITSCQSAAQLSKDGSNDGETPR VQQKATSLLGFFKPAAVTTQEQEIQHDDNEATVVEPKPSRPLQNMQRSLSATDSKPVD TTCSTKPPVPEAPVPHIEPGEQNAQLEKHRPLERSNSDSSALNPPRPVPKKRVIQTRL FPDRMLSLPAMDEPEVEIEQGPWTSEALDLFDWWPPDRPKPTIGSIPI TRV_01819 MPTLVERINELAEPGYLGVSAAYSFMTVMLETILRGQPLAPIFN MQEIEDEAFSRFWIDFSTARANGDPNAPAPEPAGSSLLIPPLLAHADGVVLDIGPGTG TQTPLFTNPNLRVMYGAEPCVGLHKDLYAKVEFCGLASKYRVLHCGAQPESLLPALKK AGALDDETNEGKGLFDTIVCVRVLCSVPAPEKTVKGLYDLLKPGGKMLVCEHVVNPWT TAKGSWVARFVQAMYGLSGWSFFMGGCNLDRDTERTLRAVADVDGGWGSVDLELSFTS GPLPYISGTLVKRV TRV_01820 MDDPFVATPLAAVQSQREPHRYSSFDNQLLTLNSSSPSQLKRAL EIHLAETDRRLEETSKLGTALVRQQQELADKLKEVEQQEDDGEIGPELREKLLALERD YNEVGRESARTTFGAKPRLLAPEDTPLDSRPASRIHDIEFATEISTSLLTQVRQLQAL LAERDEALRETNLEKSRLELEAEGFSQRIRSLDESEQRYKDENWRLETQSHELMAAAK EAAARENRLTANLNALTAKKNSVQRELDELKQANGKLIEEHSAAQKAHDSELHILRRN LNTGDAERNNLRKKVDELVGQNQELAKAVAAKLRQHESEPARNVDTETKDNDDDEDTP ENSPPPSPNKATPRHGHLESETLKSSLHHAHRMIQNLKSNIHREKSEKIELKRMLQEA RDDLEARRNEIAAAQGSAQKRQKTKAEIFKKPPRPDMLGAGRKGITEIEFDEPDWEDE EVMEPSPTKTVRPRQTSVVYTRPRPRPRSGYVSASDAYQTANETEDGGFETAHETETA TESENFQTGTEDMAGDSSDELTETEGRAVGQVALPRTKPYKLRNAKAGDRSSFMSTAS TSADEDDYPYNEVHTPVQSHPPRYRLKMQRGRHARSSEETIQAGGSMGYETQSPGGSL HSPKSRVEGGRSLFAELGEAGMGSDGEFGSPLQQPNNNPVSSESTPIRNLSFLQNKDV DQPPRVAMVDSSMMTEPWPAPAPASGTVATQTLAPQTVNATTSTTSAVLVDSSTQSID SKHPITQTEAMVVFNTLPSEPVKSVDMATQCSPVKSQPMAQSAPVVIHDTPPAEPSKF TAVDMATQYASAKPQAMVQSEAMVMFDSPPVATPAEEDRSRDTIAPMPVPLPITSSSP NPEPAPEPIKLERSPIVFEETTPVLAPVVEQPRRSSMQLAFSDVTFEQCRPVTPLPIP AALPVPCTPPKYMASSIQTIDTPPISPPTQPEVVKPSIQLEMSSIYAESTTPVVPEPA PTVVPPVVALGTGSETETSPRRPRTAVHDKDTEVPSSLESSREMIPPPKFVTEDTGSM KSEQPLRSFAPASVTENGAQTILTSKQIDSLLLDKYVMPPPKTPESRAPVPAFPGSLE EAALATPRAKTQPSSANDNFLLPNAAAKRPGSAGSRRSAMVHPPLPPDHKQAIAAAAQ RLSTESPTGGAGTMGPPVAPASAYRSGVPASRPRTPNEQAMGTATVQGSNGSKTQHKH SGAASRRSSISSFASELDDRFNLSRSEYGFEPGTDPRMIQAITQTMIGEFLWKYTRKP GRPDFSQTRHRRYFWVHPFTRTLYWSTQSPQTASKAQLMAKSVAIEAVRVVTDDNPYP PGLHRKSLEVVTPGRTMRFTAATSQRHETWFNALSYLLLRANEEEGDFVLHSTPEDGP LDFNPSLNGRSSRMTDYSRRSAASHSSRAARGMARGYDITPGHESPSLSVRPPDTTMK AEQARQGSVSRLSSMFKTSGMMGTFSSRRSRYGGKRGSVDDTSQEDSAEDLRRILERQ EREADKIENVRACCDGNIDLHPLLAFPFSLVLTFSFTRQT TRV_01821 MEQSVAEEQTPAVSFKKRSAKAKSSLRKKAATPPPASDSEDFTS SDDEQGHRIKRRRKNAGVSASSKTAAASAGRELDSEKPETTERPTLPTTNDATKQSNW YDEELDEKNLLGNTRPRPGDAGQAAADGKYRGTSNYQSFIQKNPNAPTKQVGPMKAAT NIRTITVTDYAPDVCKDYKRTGFCGFGDSCKYLHAREDYKAGWELDRDWDVQTEGKKL EGQTVASRRGGEDEAGDDEDDEEEEGIPPECAICHKPYTSPIVTKCGHHFCELCALKR YRKNPDCAICGSPTGGLFRAAKKPGIRGQKVES TRV_01822 MTAHLFSHLLPAIPLIYTTHLYPSIPIYRYLVLFLLSFSSIPIY THLLPSLPSLPFLLFLISIPISAISAIYTHLLPSTAISTISAISTISAISAISAIYTD FCYFCHLCYLLPSLLSAISAIYTHLLPSTAISIISAIYAYLLIFTEIYKYLQLSIVFS AISTIY TRV_01823 MTKCRLRHFIILTGSVLACIWYFLGGEFRAIADQYNVRDYLRAS LDPSRQPVRPSNATAVGDKAIVMAALEEEDTHWVEEYLPDWQRAIYTVNPSPETRRDP KRLTTPANKGHEAMAYLTYVIEHYDSLPSIVAFVHSHRNGFFRAWHVDAPLHDNAIAM QSLQTDYIRENGYANLRCMRNLGCTSPGRHPLLTPEVWGELFNGTAQGKAAAATAAYA SASADANGDTKGARAVIPVPDVVMVACCAQFAVSRDQIRLRPLEDYIHFRQWLFDTSL NDATSGRIFEYLWHIIFGKDAI TRV_01824 MLLPSALSCESRQQQYSSRPRFAASHLFPSTPPPSDDGIRSGNG LLGTCRALQSLLNVSPAPSPSRTRTRAPKPERLQSPLQVKPTPYLQDRTASASSTSSS TGPLSSARTVKRVVKPAAKYPRGANKRRREVYEESMDFQHGSKNRGHEDKFSTPKRQR RAPPQLPLGLETGDFRSLDTPSKLQRSPTQSPCIRRQWNRRGDEADRISSSTVTSPDR TSSFPSFAVTAATHNSLNNAIDSSIFSSPPHPSTEWTTEDDGRLVELVLEKLKLSKRD WNDCARRMGKNHDSVGRRWKALVGEGNVGLRRGRRMVRGRIDESWRP TRV_01825 MTAAYSSHAHQIMLGLCMSIMRGTSVAVRSNPGYQCKKGNANKP FIEWKLFANEEFIVGRNSSSCHLVVDDPVVSNVHLRIYSIIFDQENPTQVAPLVYVQD LSRNGAFWNGFKIGKASGGFLLSDGDMVKVCSSVVLEYRGERVLQTPFDQVQIQEMKH FEEQYSITNRTLGCGAYGRVHMSIDKKKKRQLACKIVNLAHLNARLQQIEMGKMPQTK VSEARNKASKQRRLTYRVQAKLGMYDREVEILQKLRHPNIINIEKVFKTDNAIYIFQD LVTAGDLFSFLEFKNGKLLDVEAAVIIRQVVIALVYLHGQNIVHRDIKPDNVLMTSLS NGSRVILTDFGCVRYLNPKKSRMASVMGTLEYTAPEMGQGCHKPTKGYTKSVDLWSLG CLTVVLLTGGSPFSDPVTGKYCGKLAKQCNLDVLEQDEDWKCVGDRAKQFVRGLLVLD DKQRMTAEQALGHDWFTNSAHSQAFNELYVRAIQGWEPRDEKEKVLYDLRYAQGSMGE YTFWQGRSAIQLSPEGQEDDRKSTSPEDEIGESSYFSISRWDPEMKAGKNISITLSDP GNKEAPERLHSSTDASSHHSPQGSTAQKRDGILMDPKRATVRFEATSHDDAADHQAKP RIRNPFSPEAWNKNDHEPVQRPKGTQSNTSVGDDMGHEQPETVTKGEWDPNVVRRTAV PSLITKQHRNEALALRPERAAAQHVPAKRNFKGASSRPKCDSGNRSSLEEDEVFEEIL DPLTGKRRAVRYGEQ TRV_01826 MMSGIVEVELPRYTITPLDREEDEEYWKLNPNRSSLIGGSWSYF HWNCLVTGKKLYRIQYKDELLVPQAEIDFDELIGFLLDRGAVPDENGWNMLRTSGLWT PAGTVLLRSPLSGFGAVLRTSVPDDSDGVLSLKVHWQCDWDQRDKHCLPPFWMRIDQP KLFGGGTYSSTEFISRDSGGIDDKDGAELLSEGDDSAPPATVPSLLAHIEEKRASLDS TDSSSDSIRFRIESDTVEKIYLEQDHLLTGRTAEMGHSGDAINQWFVYTASSLGHIEK AGTWSFALPQNILLAASREAVPCGIMELLGIMQGDELPHWASPRPQFNDHMKFHNRFL EDLWAKEVEKTMPPAQAEASRRAREQARWAAMRNDHAEEMRLMREYEEKRGVEALTSP KLSNKDIADACLRWLIPSKPSQLLSCVIVGRSGISLQA TRV_01827 MSCALCQVAFHEVKEQREHAKSDHHRYNLKARLRGTATLNETEF NKAIGDLDESISGSESESDDDEESGQQTPDTTLTALLKKQAKISSPVPFDDSSNSIRK SRGGKEPLLWFTSPLLDANTSLGVYRALFTNEEQTEPNYLVDSLRAKQKKPHVIQRAK DTSETASSTSEATKDSPPEHIFLCMIGGGHFAAMVVALAPEVQKRSGVEERQARVLAH KTFHRYTTRRKQGGGQAAHDAAGGAAHSAGATLRRYNEAALESEIRQLLKSWKDMIDD AQLLFIRAAGSTNRRILYGPYEGQVLKHTDPRIRVFPFSTRRATQAELMRAFTELTRV KVSHIDEAALAAEEAKRDAASKSPKSTAQTQPQKPKISKEDEAAMLHTSQIQALIRRS KVPALLSYISSNSIPQDFRFYPANSAQNYHASTPLHLAASSNSPAIVSALLTKVRVDP TQLNDDGKPPFDLAGNRITRDAFRVARHELGEEAWDWEAAHVPSAMSKQESDSREEAE KRTIQEAENKRRTEEVERLRKEETPSIGGKNRASRQTIAAIQQKTGAEKREEETRGMT PEMRMKLERERRARAAEERIRKMQGGSAA TRV_01828 MAGIDGEQAVLALSSSEIADVVAGPLAHARHFCGDAEGWGPISS IRYGLTPCFLDVFICFVAVFGLVGSAGALWLLLRHRTAENIPRNWHFYAKLSLIWALV IVVGLQASLQIENLPHVWASDFRFWTTILLLLSFVCIYAVQYHEHWRSRQPNGVVLFY WLFFLIAHGVKLQSLASRQYYRDHLPYFITFNVGLGIALLEFILEYFVKKKQSVYHAL GDEDECPFEYADIFSVLTFSWMTPLMKQGYKSFLTQDDMWNLRERDTTRVTGEKLQSV WEDELRKKKPSLWMALFRAFSAPYFRGALIKCLSDILAFVQPQLLRMLISFVDSYKTN NPQPAIRGVAIALAMFIVSVVQTTCLHQYFQRAFETGMRVKSSLTAMIYTKALKLSNE GRATKSTGDIVNRMAVDQQRLSDLAQFGTQLWSAPFQITLCMISLYDLIGWSMWAGIA AMVLMIPLNGFIANVMKTLQVKQMKNKDQRTRLMTEILNNMKSIKLYAWNTAFMSKLN HVRNDLELNTLRKIGATQAIANFTWSSTPFLVSCSTFAVFVWITDKPLTTDIVFPALT LFNLLTFPLAILPMVITSIIESSVAITRLTAYLTSEELQENAVQYQDAVTHTGDEAVS IRDATFTWNKYESGNELENLNFSARKGELSCIVGRVGAGKSSLLQTLLGDLYKVSGEV VVKGRIAYVAQQAWIMNASVRDNIVFGHRWDPHFYELTVAACALLDDFKTLPDGDQTE VGERGISLSGGQKARLSLARAVYARADVYLLDDCLSAVDQHVGRHLINRVLGKNGILS TKTRILATNAITVLKEADFIALLRNRTIIEKGTYEQLLAMKGEVANLIRTAITEDDSR SSGSSKDDGLGGSESSSTMIDIEDDSPIASDTEEAQERFAPLAPIRSAGGVKPRREST TTLRRASTVSRPNFRGKITDEEEVLKSKQTKEGMEQGKVKWSVYGEYARTSNLYAVSA YLIILVMAHGTQVAGNFWLKQWSELNEKEGINAEIGKYLGIYFAFGIGSSALVILQTL ILWILCSIEASRKLHERMAFAIFRSPMSFFETTPAGRILNRFSSDMYRVDEMLARTFN MLFSNSARAIFTVVVIGISTPWFLVLVLPLGYVYLRYQKYYLRTSRELKRLDSVSKSP IFAHFQESLGGISTIRAFRQQKRFAQDNEWRMDANIRAYFPSISANRWLAVRLEFLGS VIILAAAIFAIISVTTHTGITAGMVGLAMSYALMITQSLNWIVRQTVEVETNIVSVER VLEYANLPSEAPDVIFKNRPTLGWPSQGAVTFNNYSTRYRPGLDLVLKGINLNIKPHE KIGVVGRTGAGKSSLTLSLFRIIEAAEGQISIDGLDISKIGLQDLRGRLAIIPQDAAL FEGTVRDNLDPRHVHDDTELWSVLAYPDSWMPRYTKQGQRQLVSMARALLTPSNILVL DEATAAVDVETDALLQQMLRSSIFENRTIITIAHRINTILDSDRIVVLDRGTVAEFDT PAELIRRGGQFYTLVKEAGLLETGTSSPAALDK TRV_01829 MSLHGKTKAQHPPASGEDGSKAKIKGQEEEEEGRERKLKLKLGE YLEKKRALSVAAIKLPEDKKKTIEDEAGESHIKQSCTAPGISPLVVVAIAAATALASS LACLRCWLSLSVPGRGHMPSGRREKSTGETDEEGQDGSSVRVELDLAACQIAAVLRGW LFLCIPFFLPCSMAHGLAGLQDKADRQPLFDGKGLFWPVIGEGSGIRNRRTPSLQADT PIESPAEG TRV_01830 MIVAVVVFIYIPSDRCGYQQEQDGRLIPPPHAASLSEPWFIFLP PANLSILDPLHITSSLLLLLLLLLLLLLCLFFFGVAGLPRAIIIDLPPERTVTLVTCH RAQQTDKQEGNRHKRNKKKQEKKQEKKKQQQKQIRRDENKNKKMKKKKKKKQKRRRRR FVSRGRSRMFDLNQDEQT TRV_01831 MALQESLFSPQRVYLLLIVPFLQDPVQLWQGGKQAGNCISKIYT GEYPSVWINKGTDSQAAGPDKKREIGFFLALSLAVCSRLVAFSGFRAKQTDAARRRKR NDFEVAKKKK TRV_01832 MYDQQREISTKDSDADDIFKRIYVCMYVCIDISEQWSLGMERMV IGQEMGEGWLAGYIWTKAFRLEGFFWLDAEKIKMRSGSEDKVVL TRV_01833 MVVNTEAGRPRVNMKGMDQYSPMHCQAQAQGVEEYPSWNTMPVS ERSDIKFWCDLKNLVHSANIGGLDKAHDGRTTNALKVPYPHFALVGIDWSGQLKFHSS LLEKFNGPVFTSEFKHWFERATGVKALDRSPIFAGEDSYQGRQWPMAQEVDVFPNPAF PKKRRREMIHHQAPIEAEEQVNEMEAVEMVPLEIGNEEKIEAYYESAFRAFQQINCRQ VAKAYIKIIEPRKQVKHPYNGGRGAPGEKGDPEKTKPDWWPAGVIHREPDHLKKPERI RLLVHIFRKLGKTHGITADKLEEAGRDAQRQIKPRERLDILDEIYKVRRAEECYERGE ADANAVVYVVNRDANAKTERDSEAMSDAGQNSALTEDSRKTMEAKYSTLSPSHVPKRQ SLVRDHKPPHLFRAPNLADFSNMESKPPADQTIEYFSQPEFATSPVDEHINNTIRDSH HWSSFQQPLYSPVEYNTNHLVPQQLLAPPSMVTSEAPNSALQPTHGLPIPEPHGSRHQ SFDYISMDNNPFNAGPMTHQLLPQSSQESRPSCTI TRV_01834 MNCPSRTDEDLVHPDWNQNPPFLPSDLTKREDLNGRVNDRQHRG GRDLSSSSLACPGGAFESSEVTVDTMPSPANFPLADAKLARYREEFCSATKDTPSAVH GSPAWTNPIKRWFILYQSHLWWMMATVTTTLVVYRIAAVFRTPASSKLPRHKAKRSST CESGGVDGAEYNLPLHVIALFIIFFISSFACGFPMLALKFPRLHIPQSFLFAVRHFGT GVLIATAFVHLLPTAFTSLGNPCLSGFWTTDYPAMPGAIALAAVFFVTVIEMVFSPAQ HVCSGGRDVERIVCREMPSSTSKPAGDDSKIMNTPDELSRSVSRHEEEPRVVTEAGAG RQLSQSPSQRAADAEEGASSAFLPIILSPEQRRQKAFMQCILLEIGILFHSVFIGMAL SVSTGSTFIVLLIAIAFHQSFEGLALGSRIAALDWEQGAIQPWLMAMAYGCTTPIGQA IGLATHTLYSPDSEVGLIMVGTMNAISSGLLVYASLIELLAEDFLSDESWRILRGKRR VYACILVFLGAFGMSLVGAWA TRV_01835 MIKRFRRSAAGKDEQLPSDIRTPKTLLQTINYMLRHVTTSDETL GSRHKFVWDRTRSVRNDLSIQQVSQKQDIEIAVKCFERIARFHILSLHLLSSPTNQEQ FDHHQEREQLNNTLLSLLYYYDDNRGRLTFPNEAEFRAYYILFSIHDQRPDLEARVQK WPRELRESPRVQVAMEMFAAAGNTWEYQGTLDAKRPNPLAQGLYSRFFKLVKSKSVSY LMACVAEVYFNQVRQTAIRSIWKAHCRQPASQQHKNQEWTVDELTTALAFDNDEQTIA FCEEQDLQFATNSEGQLYLNWANRPLDSVVFQPSSRQTFSYRLVEQKRCGRSLAAVIL GMSVSQAIRYKMIDASLLQNESSVQSMQGDEEGLFVSDEEDKPNPFQTTQQSPFETTN KPAVTFGTPSPAVSTSPFGQSSPFGPPSNSRLSPAASPFVPQNSNPKPTVQFGQPSPA QTPASLSLSPTPTIQNSSEASATATSNPPTFSSTFPTVTVSGFGSPSFSSPSTNGVFK GEQTTQAAPTFGFASTAPTDTAEAAEVKPADPRTQQSLFGSPSPFGPLAETKPSEVKP VDSPFGKPQQTSSPSQDETSKKPSQPLFTPFPGSATSQKPPLFGQSSIFTSGKPAQSA TEQPSNEGSTVETAKPASPFTMFQPTSSIDLTGNHETVEPEPRSSAKFSFPSSPFELN DSERAASNAQPSNPFQLEPSTDIQSGPSLEQFKEAEEEKSKEREEAEAKKAKEEEKKK REALQLEQERERQRLEEEKAKKEELERQEAAAREAAREALRKQKEAQEEARRQAEEKE RAEREIQRQRELARELQAAQREIARRQTAEKKAARKEAARRASIEADIMKRKADALIE ELDVHHKRGSKVARLTPEDELSVEELMTSNRPRHKKPPTSAPQPSKPAIDEDELLLSA ARLAAHTLSQGARLWDEVPDFTRSVSSPSSSLFGRSSIGSQPSFDGSRAIVNGYDVAL APSSPLGLGRTLSRTEQRIRATGAKGLATKPITPSQRVKRNSEKGKEPQY TRV_01836 MAGYQGANIHFFFNKEKAGTPKVMLNRYIYETRSSELPTSEWLY QKAFIAASSKATDGLLWLDI TRV_01837 MRIATFQLRSRLADVEGNIRKADALVGKLDRMLQGNGKRKNLDL LVLPEMAFSAVGYPEICPSTLQDNLSLPAKTGEDVQDERRFNSLIVVKESGETLVNYR KHHLYYTDDPWAHEGESHESGFFLMPLSTPTKQQSIPKDDSGDASVSSSPKNEIPTTV GICMDINPYKFIASYSACEFATHARTSGAKLVVLSMAWLTLLSSEELSTMADKPDMDT FQYWISRFAPLFLPDEGGVEDSSDEMAEPQVIIVFANRAGEEEGQEGKDTARYAGSSC VVGIRRCPRKVGDEEDGNRVEILIWDMLGATEEGICLVDTDSTPRMVGSVKI TRV_01838 MSEPSMNTMEHGSTDPNISSGKRKREVMDTGDVQRLRSAHGGPN NFDVNIQATDNYGYDAHGMPSNTTDLSHIDQQLLQAVGNQNGVSDDNAMTAKAALAAH QPESKYPPPEPSFDNNGLGNNLSFGQDVGQVSMGPVQSHTSTAAAVYAAREAQAQAAQ QISPKPTVGSAEWHQVRKNNHKEVERRRRETINEGINEIARMVPGCEKAKGSILQRAI QYIAKLQEDSKEMAARFDTTNMTTNQAITEISAQNAKLKNEVNLRSDIASKWIQRCRD AGLSFDDYDDEKSLTKLPVDESHNIQT TRV_01771 ISYAVESRKPFVVRGGGHSNGFSTISSPGIVLDLSQMRNVSIDI EKKVAIVQGGATMGDGLRAAASEGLAIATGTCNEVGLVGAALGGGIGRLLGHYGYAAD TVVSMRVAVVGSSGRARIVEASREINPDLFWALRGSGHLFGVVVEATFRVFPWQHETW HSCLAFRPCDAGRVAEAVDRVSYRGGMQGRLVFCAPNKQPIVLLQLWYLGNPEEAAEK FEPLLGLPFMADHPLHSIGRLIPYVNLNDSSERICSYVGRKNLAAFGMRSISEASCMA ALMVYMDFITQYPEALRTHILTEFYSMDVVSELDQDGEETSIPGKMRRAVKYWVMPLA WYEDAALDDACAGLNGAVRDAFLTNTDGSRAESIAYINMPFEREDASDIFGDKQHLER LRSLKQKWDPLNIIRGTVGFC TRV_01772 MIIFSKNDKLLSQGYHLSGIGYTPGARTLKGKHILSLRDPASAC IGFTKENSDALKVTPVPHPFTPRDSDDDNSSLEGYPLHSRCWDLIQHNIGPVDGFELD LLVAALRKQWDDLMPQLVAASTNYYSPLFAGPFDYFLAGRLGHSKEYRRVLAFRDYMH WDNKSFPRQPTLSLPDPVFIPELDSLFQNCRQKSSLIRRSSKRILTLDYRLPLEILMC IADYLTTQELYTMLTAFEEEFPIEYWKRQIPVDLFFELNYVDSESFPWAFFVSEVLSQ NLLEKSGELWNRMHIMKLLAPIKDYVLQEKR TRV_01773 MAPKENVDESAIQLFSTVPDGSPDPSCKDLNDPARGYPLRRFWF RTFVLILGPVIIFIYFLMVWLFFFKDTDTVKYRSRDGTWVYYSWFVVGVFGLNISRYG LVGVEAAMLQVPFWAPKSAMRLLMHSGSTWAGPGGWMKCIQRAVSMEKNLAGRLWYLL AVLSLVPLVAFPISGLSMELADGYIESSAPPMVVGREWSNFHRREPYQTQKRGGTVWK TASAVIPGIGIAYTPPQVDREKFEFLTTLPNSLSSNPGIPELFLGPQAATPIGGKAWG LRLQYNCSMVQSASEFTILSQKSPALKSNASGMVDGAIRYKTLATPSGATITFFNSSQ SIGGDNLWAYTEMGVSHDFVSSTYDGSEPSFESGKPEILEYALWQVRRPCSYDGDDRI TFNYTLKPTVADMGSPIIRTPSGKFERNASFFDWSNDTYTNVKDFVSFVAYDHFNENI TLAPPIGVQCRRISDLGTAKLDSRTSTFSEFEKSPSPIWSAAEYEAKQPRFGATAISI LLGRYTEIFESTNSPLPYILSNSACYDRFVQPQVLLQSVLQAHAVDGLQLMYDGATGV NGGYLNTNLTSSRRGKVLEPGVVPPVVSTILLGIWPVGCIVLGVMYGFGRRWSDTLDG YSLFRFGVDFGEELRDKNVNVLSSTQGLEKSNRLWSLPGMIGDSRMQFDIGHISLVGK NQVVNPNRLYT TRV_01774 MLYHEPEPLKSAMPLNGTIALWNARKISSTNIAVLEAMKWRLSP KSKRSKTEPFCVMDLGYVHDQYEHWEKTLPGVTPFYELVLAQGIPAARILFANPCKSP SDITFARNVGVNRLTFDNEAELHKIKQLFPESQLILRCFASDPSATYSLSAKFGAHPE ASVRLLECAKSLRLNVIGVSFHIGSGARDPNAFEIAIESSRRIFDAGIRIGHSMRLLD IGGGFSESTFEDMAASIQRSLDFHFCDVNVELVAEPGRYFAAGAMTIACEIIARRDAK EAPPSAEEASNMLYLNDGVYGTFSSSLFEPSPHPRVLRASGVFYPQPAAGDQVKNILW GPTCDGVDCIAKDVELPERLTFGDWLYFPDMGVNYPCFLAFTDLMPAYSTCLATGFNG FASDREIIYISSNPLTIGFLTKANKIMYKEQTH TRV_01775 MKFIAGQSPLVLAALAALAWASPYPPYQQPLRAGPPALLHQLEV AAIRSEIGQGVSMFCLLVDEHRFEEMNKVFTSGDVHIDLPEDGFRNLNSLDTFVRNLY TYADYPFQHAIGVPIVDVEKSMTVAHATSPLIATIFDGYDGEKAVPNGAEYGFYITDH VLTDDGWRIQNISAKALGKYLED TRV_01776 MGSQDTDSDDLFDRSLSSSHSSSPPTPAAPKSSIYQTNTLDSVW KWNANAPPTLNACVHELVQTRVDLTPDAIAINAWDGVLSYQQLASEAAHFARQLIDSY QVVPGEKVLVALERGLLIPVAVLAIIQIGATFVLLDTASPESRLRQIAERTCARVTVT SQSLTTLARRLSSSVMTICKRRSEPSFIATPGTTSSLWPADPSSILYVVFTSGSTGNP KGVEVSHSNFVSGLQQQSELYQLSQDSRFLHFASYSFDAYVHEILITLTQGGCLCIPS DTDRMQRLSAAMNEMQVTIALMTPTSSQLIEPDKVPTLQTLVLGGESMSRAVIERWSP YVRLINAYGPAECAVVSTGYVVDVHRCLETGIVPIGRGYGCVTWVTHPDNVNHLTPVG EVGELLIEGPNVSSGYLSDPEKTAASFIEDPKWLIEGSPFACFEGRHARLYKTGDLVR YTEDGNLVFVGRKDTQVKLHGQRIELEEVEHQIQSFLPGGKKAVAEVIQRGGQNKAAQ LVAFIEVPDRELESNRESFTQEASGGGLDPIFRAVDAEFQQLEETLLSALAERLPSYM VPSVCLPVARFPETPSGKLDRKYLREAGRGLLDVLLQRRVAYNSSQPSWKPEQAVMRG LWSLNLGIDEDEILLDDHYFRLGGDSVSAIKLSVAARRRNVSLDVKDILGHPTLLDMA ARMREGEKKTDEQTDLMAPFSLIPKDDVPSVIEEVAKACRISEAQVQDIYPCTPLQEG LMALSERQPGQYIACEVLKVHPRADLTRLCAAWATACNLNAIMRTRIVQTSSAGLVQV VVRHDLDFASRDTIGACRSDNVEFGLGSPLTRLRVVQSSSQSESSYIVLLAHHAVCDG WQARAVASQVEEIYEGRYGTPPPGFNTFVRYLSRVDNDKETEYWQRALGNGNVPSHFP TIPPGKTAGWANQTQHREIWVDFESAERNTEYTLSTYIRLAWAFLTSKYTTSDTVVFG ATVTGRNAPVPGINDIVGPTIATVPLKVYLDKNSTVRACLEAMHHQAVEMIPYEHTGL QRIRKLGEEVEAACDVHALLIIQPTPTAQDPMAPQNRVEDEEDFLLAFSNYPLVVECT LPGPGTGLGVRVAFNDQITDMEHINRMLLHLEHVLKELSCKPDHELRDIDIIIPQEVE QLQAWNGPLPQSQGRCIHDLIWEQLASQPSAEVVYAWDKSLTAESLSHFSLRLTSYLQ SFAIGPEDYVPLFFDKSSLAIISILAVLTSGSACVTLDRKQPDQRLIEIVRQTGAKYM LVSEKHKGMLEIDGVTQIVISYAELEKMPLPSHGEIFSTTRARPENPAFLIFTSGSTG KPKGIILEHRNLSSATLAHAANMNIVRGARVLQYASPSFDVSIYEILMTLAMGGCLCV PSDYQRMNTPSEFAREAKAEVVIISPTAIRSMSPDEVPLLKTVVLVGEAIPRDVVETW SRSSLVMNGYGPGECTFCSTTPIDTQKWDLATVGRAGGCVFWLTDPTDYNLLAPIGAV GEILIEGPVVGRGYLNDPERTAASFINDAKWLGRFRENGRGRLYRSGDLGMYNPDGSV VYMGRRDMQVKLRGQRIEMGEVEFNLQRLLPNATIVVEVVKYGENERVTAFIALSQME ASTTVTTATSPSSILLPPTQRVVQAAQLSADLGQVLPSYMIPSVYLPLARVPTTSSGK IDRKTLKHAANSMSLVDIMAYGEEQSSTTEPSTTAELALQKAWASLLKISPDTIKRET DFFQAGADSVEAMKLVSMARREGRTLNVAQIFASPQLCDMALWWDSQRDNDDDALEKE WPAFALLDDSETETFIERHICEPHSIPREKIKDVYPATYDQVLVYSINHTVYAHFEVD ERLDRAHIVESWLQVVRQHDILRTVLVPAGNDQYWAVVRTGDVFDVDYRTIRHDSELH QIIDTDHASGLHPGSFLGKLFVLESANNGKAVIILKMNHTIFDGSCFALYWSDWQSAY ERGIVPTRLQYQDVLCSRRRIDSYAAARQYWGDMLHGLEMQSLPTMSEDSTPERMGDP LKISRCLTHISPPAEVVLDSFIKAVWTVTLASLSGKRDVGFYHISNGRRLGGRRTEEA AGPLMQLYPMRAQLQSDWRLVDLCRFLQEQDMRNLPHELLTTAELCEVAGCSDTFSGT LVDHVKDDIESGLSFDGIQCGLSMEWRGENQDENICFVRSKGDQLEVTLFTSWNVHKV VAEEVMDIYCEKLQYFSSHPEATIF TRV_01777 MHSEPLGNPWRIIDILNTHQPSSAIYISLATLVLLAIGVRFIFA GGFQSGQSMERQGSRLCRTNFPMDKEMSQSSWKANVLTRAEHIQAVFKDSNMHIKGKA MDSGWLCGEILGQCLGLLNQDDWTRARAPFIDTFHRNKSSSYIPLIERRVDRQFQMLQ KAEQLKNKHILINSSDDLKFLPFWVLCDIIYGDLTADMETQLQEIATLREGLWNEVIS GGISRFSFSRLFPTSTNRRLKMFTEKWTQFNDQAHKRARENYPSHPIITFYNAIQQGS LSKMELLHTLDEALFANLDVTIGNFSWVPVFLAAHQSAQDDLRQEIKNARNRESADSW SQYIAANSTLLMASILESARLKPMAAFSIAQAAPTDRVVGGYVIPAGTNFVVDTQALN ILDSYWGRDNTQYRPKRFLDARNVTDMRYRFWRYGFGPRQCLGKNVADVILKILLAHL VENYQMRLSSGESTDLEDWKRKPGVWISLAIPDIVCERL TRV_01778 MPVTTPEMNEFASNYLDYLVERKLDEVSTTSIDDSNTQYTGIHS GLGPPDMSLEEAVDHLRTVPPSKFFDHNPQGWYEGDVAWWILFPWSKMPVGGEIHLRV TLIARRVDGQWKAVHWHVSEAVDRAAEFGETPRQ TRV_01779 MLAAMYFALKELGFTPFHGQEFPSNPTRWFLLWKEAIDCNFYGK GTPYGREEFDKLLAGYDAIMDFPPCLFWEDFYKAYPNVKIILTNRDPDSWLKSMQDTI FGFMQWKIWLVWRYVDRQTTKPMLDMLTAGFNVFCNNDYGSAARQAYTDHYQRVRNTI PKDQLLEFHFGDGYEPLCKFLDLPVPAKPYPHQNTSATFNGLQEKLWVSIKSTLRTGM YFGLTALCVGAGSWYLYKFKSSVFLAGFMNV TRV_01780 MATEEIKKTLTELNVSLQSALKVLSGDLRAELMASLHDPNELPD RSLYDLSAQAVNQLQETKQLLEPRTVILADHFLVELDIPDILRRKGAVNISELARASG AREDRLKQIMRVLYSAGIFSYDPSTRLYTNNECSTLLLRDHWTGWRNWVDLYGNEFYD MARGIPRSCREGEKRMASQINFDTDLDMFSWFAAQGSLDRVHKTLGGGAVAQAPGILA DYPWEEVADKLIVDIGGGGGGLISLLLRKFPNLRGGILDRAEVIDQAITNFCTANGMY HDVGDRVSRENLHAGDFFKEIPSYEVYCMKWCLHDWHDEDVVRILKNIRKAIIPGPKS RLVLLEILLKEGRAGHLSRMADMSVMMAANGLEREEWEWDSLAAQSGWRIACKYDLRN AWPCAMELLPV TRV_01781 MMDVIILSELAKSLQIPKDTINPSSTFYQIGGDSMIALAVSASC RRQGVSVDVPSILRSGSISEILRNAKLLPTQPVNTVPKGDLKPVNNISEAELDRPAGF HHSSTKARQYSPTPTDLRNAVGSSRGNGVGSCSMAKLQSVLVLDTKARPGNNVISYFQ EYSPGQIPTLKRAWQKVIQSEPIFRAVFDDDFFLENGMACFVWSENIHRDLCSFEEHV ESIKAPEMVEPATEFEIITLAGKRSIVVWHVHHALVDGYSARLLLQKVCTLMEGGQIT EGRSFLELSRAWQMYQAIFEGQARQFWQDYLSKVTDNDFLLPPPCNQSSNNSLSANFV AFSVPLQRIIRYSSEMNLPLSSIYYAAWSLVLAIYTGSDTVSFGIVFENRSIPIPGIR ETVGPMMNTLPLILHLDRGTAFKDLLLCTTQRVAKLREFEWSTPDQSPKMTSVLAMQY DYEAVLSPTSSTMVSSTRLQSSIPINIFLGPKEKIQINYDKVLFDHSDIEDLVRMYVN AIETLIGPEGTLDWYFRHSLTPELLQQSLLNGNCFSDATLGQGEDTLTSLFDACVKSA PDSVAVARGDESLTYAQLDAAATKIASSLCEIVLPQEVICVHADRSINWIIAIYAVLK AQAVYCPLDPASPDDLRDSYFRTSGSRVYISPFKESKARKPSSCSLFYSIEELLYQAD NTPGLVTRYGNSIISKKDLAYLCFTSGSSGLPKGVVCTHEGIVAFQKDYNARLHMRPG LQVAQVMSPAFDGSMHEIFSCLSYGGTLLLSHKADVLGNIQQANVAMITPSIGKMLNP CDHKNLEAIYLVGEQLPQAVCDAWSATVPAYNMYGPTESSCGSSYKRLVHGEIVTIGK PVESTRIYILDQHQNLLPPGVIGELYTAGVQVSPGYIQRPDETAKNFLKDIIRPETGQ MMYRTQDRGYWNRSGEICLLGRSDRQIKLRGFRLDLNDLEIRMVANTEATSVAIARKD DILVAMIQPETTNIALFKETIKKVLPPQAIPRLIKAVDTFPLANAGKIDYKAVAAAFC ASIPRVSSHGRPVSPVSTPADAREAIATVWRTLLKLEDGHVLDDNSNFIELGGHSIKQ ITLSKRLSSILDRDITLADVIENIRFGDQVNKFLPAYSESSGSQSPLIESAATTPAVG IRDQISSIWQSLLSLDREYPLNDDSNFIELGGDSVLLLNLASRLTCLGGRHIALHSVI KRPCLGDQISLFSSSKLGSVAEASKPEIDSKPGCLSLSPIEREWVEKYKVGKGSSSFN VNYACALSGDTDIIKLEEIWNKVLKRHEILSSLFPNEHTRSYAKVPPQVAVVGKIDIR EEVNREFKLDEEHPIRVFITPTTFLLVASHIVLDLTALNVILRDIEICWGGKILLPLQ RTYSQTAQWSRDVSQDDLDFWKSLSEVPCYREPLRLSYNGTSRVCKIPLETFQGMVQF TVKHSITLHQLSLAAVALALYPTGTHDQLAVLGAPYLNRGVEDFDTVGLFLEPLPIRI KVPRINRRDSMQQHISPRETWSHAFAKSVGKASQDALSHAIPWNRLLEYLDIQPQHPN VPFIEAMVTFHDNRGQKASAIPGTQQLYTWCEGSKFKLMFEFSAIGNETLMLRIEYDN MIYNDTKARNFQRRTIAALEAISQGFEQEKLVELIQTAMDRQSSEGSGNEYFS TRV_01752 ISAQLRSKLSWAAAKVEKDWKSNPNSKQFSLSSQTHSPRGINAS IQPNGHPPSNQPPKFSGSVGERDLAAQVLKIATGHSNLRPDMPQSKPLNSSSRPYHRH NLSMGSIPSKLSYERSTSNGFHQIPRLAPPADIIPGNGPHTKRRISQNITSPKKFKVP SLSQQSSSSSVIGTQGSCPIPATPPQPHQPSFKAPSSATTAILGRHCTPTEKTIMEQD AVETLVFMGSPENSGYYNDSRPHTNPPSNSKDSFQYPPVTENTSAPTSSAPPTLGMPF SYEPRERDPLHSKRVSFAEHGGEAPYANCSRPRLEQNAGDEIDRLLDEMGDSDNEPDY DWFAHMSGARDNFSLPQQNSQWTNDQCSHPSSAICQPGNNAQLRG TRV_01753 MDEKVNPRPADADAAYNFLHEHNIDLSSVDEKALLRKIDWMIVP LMWACYNLQYVDKVLINFASIMGLLEDAHLDTNQFSYLGLAFYVSYLFFELPTGYLMQ RLPTAKYLGANQSCLLLLDALFNDEPSLILITGMWYKKKEQPARMGFWYSGTGTATII GALSAYGLLFYTGERFKPWQVMFLIFGLITIFTGILVVLFLPDNPMTSRLTEAEKVYA IERLRENQTGVENKHFKIKQFKEVFEDPQTYLLTLITTAGLVPNAAISQFQSLIIKAI GYTPKQTQLLSIPSGVVNMIAIVAATLLAARFGKRTLFMVAVLIPSLLGACMLAFLDN THPAAKLAGNYLTHCNNAFLPLTYSIITANYAGHTKKVTMNAIILMAFCLGNILGPLT FKDEDAPEYVPAKLTIVVTMSITIVSIFVLRFYYKYENKRRDRKFEEGQVQPAADFLD ITDRENLSFRVSYASSISSVLTLIKMILT TRV_01754 MTTGARLQDKIAIITGSSDGIGRAIALRYAAEGAYVVCADLTPE SRAKTKDGEELKPTHEVLDGKYPAASGISRRSIFIKTDVSIDADVKALVSACVKEYGR LDIMVNNAGIGPNYNHDPALRLHETPEYSWDRIMGVNGKGVWLGCTAQMLAQEPPSTG DRGWIVNTASVMGLVGIQCGSAYSASKGAVVNLTRAVALEYGADRIHVNAIAPGFVQT PLLVDLTPHDSEDPNVQGIRASFASKQPFEYRLARVEEVASVAVFLASAEASFVTGHT LPVDGGYLAQ TRV_01755 MQFTEEKAAEVKSWVVKKLEDIDLYILNSSDADSEVLADYVLAL ISTDAPDDEIRKASVENLEDFLKENTVPFVDEIFEKFHPKPEQRALPANTQQPEMSSP VHNPPFVQQPPSADMMVEFAQAQQGAMGQQGSAPFDNNDQQFNTRKRTFNDSQGDDQS PYQRNADRPHKSARGRRGRGGWDSRQYPSPHGQGYSPSMPGGFHGGPGTPQPGFPPFN PNDPIAQMLSMSGINFPQIPGMPPMPPLPSLNGQPQPSGSPPNKISERCKNYDNMGFC VLGSTCPYQHGQALSKDDEYDPTNSNIVSGHSANGANGHGSSGRGDRGRGRGRGRNDR GGHGSQRRNRAEFSHAGPNDDRRITTIVVEQIPEDKFDEATVREFFSEFGEITEVTMK PYKHLALVKYDSYDAAHRAWSSPKVIFDNRFVKVYWYKPTESRGDSNGFKKTSADEPS FDMEAFEKQQAEAQKAHEEKVRKRKETEEAILEHKKKTEELLKRHKEEQAKLLQKLEA KGAGKDTASPESGNSANDKTASLRAQLASLEAEAKSMGIDPNAQPESPFGRGRGRGYA GFRGRGGFAPRGRGFDPSFRAGFRGRGAFRGRGGVIRLDNRPKKVAISGVEFDTNRDE ALRQYLLGIGEYESIDPSPDRPGALVVSFKDRYVAEKLVHGPSDIPSVGKVEFAWVAN APASSAQPPGAAASAPKTSEDVSMTDSNATAAPVPEKEPAHEVDYDVAEMDDSWAD TRV_01756 MQQLAATFFSFASAFLNLLKPLNSGVLCMAWLLHPLVSSVGQPS ASAISPQSTPAGAITAITAITAIASIAVVAAPAVPAVMPSHLAKRSAAAWWSDQERPS AGHLLTSAASLPLLGYRPRPLAETAQAPPFSFPRARRKKILFFFFFLLLLLLSSSPTP ALVSSLITTTRRLSPVLRVSYPSTLLDQVELFSILINIKPQSHPPLTEKTPRLEGISL QNNRQDGQDTLLMRFGMEQLHHRGGMQLIPEDRKEVEVDHGKSTLTDSLVQRAGIISA AKAGEARFTDTRQDEQDRCITIKSTAISLYAKLVDEDDLKDIPQKVEGNEFLINLIDS PGHVDFSSEVTAALRVTDGALVVVDCVSGVCVQTETVLRQALSERIKPVCIINKVDRA LLELQVSKEDLYQSFSRTVESVNVIISTYLDKALGDVQVYPEKGTVAFGSGLHGWAFT IRQFAVKYAKKFGVDRNKMMDRLWGDNYFNPKTKKWTKNSEYEGKTLERSFNQFILDP IFKIFNAITHSKKEEIATLVEKLEIKLSSEERDLEGKPLLKVIMRKFLPAADALLEMM VLNLPSPVTAQKYRAETLYEGPTDDEACIGVRDCDPKGPLMLYVSKMVPTSDKGRFYA FGRVFSGTVRSGLKVRIQGPNYTPGKKDDLFIKAIQRTILMMGRFVEPIEDVPAGNIV GLVGVDQFLLKSGTLTTSETAHNLKVMKFSVSPVVQRSVEVKNANDLPKLVEGLKRLS KSDPCVLTMINESGEHVVAGAGELHLEICLKDLEEDHAGVPLRISDPVVAYRETVGSE SSMVALSKSQNKHNRLYVTAQPLGEEVSLAIEAGKISPRDDIKTRARLLADEYEWDVT DARKIWCFGPDTSGANVLVDQTKAVQYLNEIKDSFVSGFQWATREGPVAEEPMRAIRF NIQDVTLHPDAIHRGGGQIIGTARRVLLAATLLADPGILEPVFLVEIQVPEQAMGGIY GVLTRRRGHVFAEEQRPGTPLFTIKAYLPVNESFGFPADLRSATGGQAFPQSVFDHWQ LLPGGSALDPTTKPGQIVTEMRKRKGIKETVPDYTNSALVYLKHNAEKPM TRV_01757 MAKMRPFTPELPVDTCHVKSKPKPPECEAAGGASGEDENGGNHA ANGKETPARIEITLNGLEWFVYNRSPAYDSILAGFGLVPPPDGASASSVAENGENVQH EDSSPKEENVDEVAASSPSDPLTPSSRKEINSQKRRTSSSTRNSSIPQHRENSTGPRI FSFFPISMNCYKGALALGNENTKTILTLTFDKAVGKIDAESSGPLDIYKQIFEYDLSH PVIHMKPNPDYRQSQLAAVHEMETPEEEESRNRGYVHSLHRRYRDHRHRVWASVRDLI PYFQRSVESFHPRHDERDRPVSRAVHQDGWLGLTRYLDEEEQDDYEGWNSIEYGRFST LLDSPSMYFRYYWDIGGPVAISSAKPDPPDRLTPHNINGAEAPEWGMDLTIRGGLLNY GPWADRERINLQSVFVPNSYRDSHVTPILKPGETRQSTAFVLNILIEETTILRIPTRE ASKDWQWRGRADRSRGASRMKKKDKDRSRGNEADNPGTQGPDIRPFGWLSLRAGPGST IVYSMAMFASRHGYCNKVDIDLRQTKMTTSVNHGMLWQSDRQLISCDLSNPLRWNSLH NWSVDVESTNMELFLLWDHLILLTDLISDWTLGPFQEYYTFVPIKYQLSFAFLDFKLM VNVNDLNIINNPSDFDDNSFLIIEGEILTARVEIPVIHHRASRNIATFDIQGSNGDLK LSTPLWNTQRTFLDDRPVGGLDTLKIDGSYTWHSITSPKVTDVAIINLSGTAPYLYLY GFILKQFLDVNENYFGRTIHFKTFDEHRAAANSTATQGIYGSNPAPHTNGLDVILHIA AEDIKVLLPTGLYDRHESLALKATSLDLDVRFTNYYMDFHCSLSPLEVSVLSEKPGAS VVRSGPQLYINGLGIFGHRLFGLPPTEPTYACNWDFQVGSIVGECSPSFIKSAKSAFE SLAFSFDDDENALPPIQTGVYDVTFLRANVKSIKVWILAEKSAFLFSTGTIDVEFNDR CGLKMSLFVDVTVPDIVLAVVDRTSVTPGSASQSPAKTYACFRTAVKLSTLGKREDFD YYRSLQQNHIRRHDSRTHRTPWLVFNELELAPGENLHPSFIPPTMPVPSMPEPIQSPA DSKASQAPHHSPLNDVPDATTIPLTSSLNPTPDQYVSQGRRAGHCSTTWAMPKFSFYH VQLDETNVPPIPSNSEVFIGRNQALNAGFGRSYADEFLAGAQACINCSMAPGLSGFAS IEAVRAVACLLDSLQPRKPLEILDAFQAKVTSAALAAQKSAIKRIKKIFSLNIELPSC RVRFFNTLLKSGRPTGPFRDQFHLDISYIRALIREKVSSLDAADFAKPKTSLTAHIAM RSLMATVREENVETHSDVKLCVCKVFDTTFWFFGSKDIRSRLQVQNINLMSSNESVTR IEPVLNRTMKLVESLSATFQRIFEAHEDRLLYLIHYLTKAGVDMPEPHFMTLLSDALR ATKPHLRLEDAWKIISRIRNIYKSITPEQRGQLEKECQKSDVSYPEDAKDAILSVFET WRAWELAPIEKNLIIKALWGEVATTDETAGPLKAIDAAIYLGSVHLSMDQTPRHNGFR VENMSTTIRFGAKSQDAWSSAKDGLLVIQNHCSNAYLQLNWELCQLIEKILESNDNLG SKATTLQRKETSKSRYEVKPEHEIQYETHVLLIADKGSVWIDGINLEIELVGRGMKAS AIHLPSTTLGKETISAMLASKLSSARVISHSSPLMEWEFHNPNIFVSRQSQKRNALLE HDWKCAATCRQLRYELTENPLELIHVADRVVEDEVRQILKMVSSLSDVKSEEGPTSAS SGEEIHYFHVATFLDDYQIRISLLPSVAYTIEGDVARLSVTPLGRMKFEVDYDIKNSI HKIYSNKDGSLRTLCSTAIPPINGRVRLDMTTNPIIVDADTTVEVLYLDVSAVQNLLG ALNGPEISHFIDDLSHDGKALKSHFEQVLMNGRATQPKEKAKKKGPVYQVRLTLAGIN THASSPGLRTRDHTADMNIEFKSVQVHVENSPIQGVRNARPEFNIIVAQISVNVQRTS MHDSFSYGKVAFGAQFSGSFKDLPDGKTMQLFHLKSSGLEIDMSAETASLVLDIVAHL QEGIKTIDLSQDIARLRKSRRLSFLRRKHRKITHTATSPAEPSAGGDNSQGTPDFLNA TYSVELSNIQISWLVLENTSPGKNRNPSIRHDTGAEDLVFSIRKVELTTKEINAARLR IQQMQLQMVPVTYDKKKRSQNSALLPEAVFNVSFVSVKSKWKLALNAAGKALDLQATS DFIIPASHLQKSMASAVERVREAKSLWTTEFRENPKVGKESLGFKQLDSVFIDANFDG AIVTLQERRDAGDSTPRPPSNRSSIGSTDFRYGQAWTGDAVSKATLRAPGVALKIRFE GSEADTPILEAEMKVEASSNVLHPSVMPLLTRISSSIRDVVGREEKRDSQVTKAGAEE PSAGKDETDKPAVGGEETEKPPQDKSMDPTTILGSCKLSIGLWIRKQEFTLSCQPIAR VEASAGFEDVNITVNTVQSEEQRRFFAVLIAFNKLRASVKHVYSNDPTASFNVDSIVV SMMNSKHVSSSSGISAILKVSPTRLFMNSRQAQDFLLFREIWKPPSDHFKRPVQTQEP SPEVQAYMVQRYQQMAATGAFPWNSTLAIDSLDIQLDLGQTIGKAEFSIKNLWLSSKK TSDWEQNLCIGFEAVSIKNEGRLTGFVELSKFRVRTSIEWPGGVISAGHTPLIQAAVG IGKLQADVSFEYLPVIVADVTSFEFFMYNVREDEGKSNDRLVSMLEGDKLHVFCTTIT ASQALGLYQTFQRLIGEKRKAFQESLKEVEDALHRTKLSLGVAPQKPVTRPTIKDDKD AMNLPISLQTTVVVKLHTVTVGAFPNTFRDAQIFQIEALGAEARFSVGIQGGKIHTGL GLTLGELRVALSSVGGGSVPADANDLTVDQIVSRATSARGGIILKVPRVLSLMETWQQ ATSNHIEYRFRSSFEGKVDVGWNYSRIAFIRGMWSTHSRALAARLGKPLPQAAVQITG GPKGEGEEGEYNGQEKITAVVNVPQSRYTYTAISPPTIETPQLRDMGEATPPLEWIGL QRDRLPNLMHQIIIVTLMEVAKDVEDAYSNILGSS TRV_01758 MTHGRPPIQHTWPLNKCLSKIDISDPYTFLAVKRGILQYAWLKP ILALVTIILKATGTFQEGYIGLSSGYLWVGIIYNLSVTISLYSLALFWIIMNDDLKPY RPVPKFLSVKLIIFASYWQGFFLSILQFLGAIPSGPEGYSPNNMAAAIQDLLICCEMP IFALMHWYAFSWHDYANASISSARMPVKYAIRDAFGVKDLIEDTKETFRGEKYQYRFF DSETNVIAHEESRSRMARVMDGMRYERGGKAKYWIPKPKEANSRTPLLGPESSSRRTS HASSSRIRDEGQRTYDIEEPTLNEDDEICFENARKLEFGDWNYPVITTNEVYREQVWH SRASMYSSRQGSSEGNQIRRSGNRQSRRQRSAEGQSGSAYSNIKADKGKQPAALTRGT SRMSQLSSSSRQLADLVDDADDTATQGRQKKKKKRESESERERSLELEKYVATNIKAP GTLHTTLAKHRSSNQSKAKPLTILIIIPITTRMITPAASNMTDPGRDQRGTAVSLTMN MMPGTPLVRPDN TRV_01759 MMLLEIEAAVHGVNGKAELTISTIGAQEDVIAVCDEQPLPVAPM KLVLYDDIPSSPRRADSRASLLPNLVTETRSRASRASNRASLLVKHKKRSKNTPVRKL KISGPTDFRHLTAGSTPTPRRRRRSFRPLELSIYVEGNQLPDLPAFDTFGLDDFDLEG FGQDSSRSTGTTTDSTTDPIIAPPPKAVCVPDQKDDRRRSESTSSPFAVARKPIGTSS ARNSLFIEVHDRRHTIPDPEKLQEIQNAISPPRRSSETLNGYPLSSLEDLTDLKQTES EITPSSVSPSKGHNSSSAPIPTHYPTRSRTIAEWFAAKSHQGRPPSSFRFDTSHARAR TLSGSTMVSLANASTAGYSSRNPSLSSSVMMGTTTQSPLSFHALTEKDYEICDIAMGY KTSSQEACSTPNEKRQSQTLTDKGPYYEIPLGPNDIGVAY TRV_01760 MSQPKVINLKSDTQSLPTEQMKQFMIKAELGDEQHNGDPTTADL CKRVAKLLGKEDAVFLPSGTMCNQIAINVHCRPGDEIVCDRTSHIVNYETGGPAANSG VMIHAIDGERGIFTAKQLKNAIRAPSRYFPESRLLCVEQTANLGGGAIWPLSTLQDVA AIAKEAGMATHMDGARLFNAVAATGISAAVYAESFDSVWIDLSKGLGCPIGAVLCGSA DFIRKAWRLKQRFGGAMRQSGIIAAAGIYALDHNIKRLSEDHANARLLAKLLSAIPNI SIKLHEVETNLVYFEVNDREGRPAAADFVEKLQSLGVKGGAMGPSTVRFATYLNVNED DIRAAIPAIKKAMTSITEQ TRV_01761 MVGFAAGQLIKLADGRHARIRFIGTTRFAPGEWIGLELEDATGK NDGSVQGERYFECEYGFGMFVRASAIVEIVEQARKEEPRVAPKSGLDGRGRPGSMIVP PGGAVGTRRQSLMSSTPGGKKSSSAASSPSPAPRALGRVLRSPTKSPVKQLAGPGTPA ASRASSTASRTIPSHKPRPSLSNRSSAAPTPTSTTSNSRLSLRQSQPQSTMGPPAKTT RTGLAASRTTISPATSRRMSLKPATAKSPVMGKVEASSGEQSEDASRASSPPDGPELD VVKPSLARPTPSPMSQRSVSGSNMLVQKELDDLKTKLKIMEKKRAEDREKLKMVETYR TERDKFETIIQKLREKYQPQQQEITTLRKQLKEAEYRVEEVERLQAEHESILEMAALD REMAEEVAESIKAEYEALKIRTEELELEVEVLKEENDQLGQVMSPEEKSSQGWLQMER TNERLREALIRLRDMTQQQEADLKDQIKDLEEELKDYNNLKAQYESTKEKLLASEANM EDLKQQVEALGAEEMIEELTEKNMQYQEEVNELKAIIEDLESLKELNDELEINHIESE KQLQEEIDFREGIYHEQNRKISQQDAVIEDLEYTLSKFRELVSTLQNDLEDMRASQQI TETEATDLTVRSRAMMDLNMKLQASAAKAQVKSMDLELGRMEAEESASHLSIVKLYLP DYYESERNPILALLRFKRVGFKASVMGNAVRERLADPSIRFPERDGFLAYEVLEKLTW ISLVCDRFITFMDGCSSEQFSSFEGALYELEPVERILNFWIESLKKGELNEKTCADEL QRSTALLSHLAETLIPQHVEGTGNEMYMLSVLTQTYLDSTASAFAQLKSKIQSKIPPS PEDEESQHLYKKIDTVVQQARGIKVASSKVTRSLEELRTRSLALAEECKEAFESTEQA AKELSEMAQLIGNSFLQLVDDDDRTEPFTYPEVLTSMSDAIASIPKGSLGDSGSNDAL ALIANKLRLLTGYVDEASNLSSDLSQTVEFDRRQSPWIARSKMLKASQETSPDAEEEI RRLRNELSEASNALGAKDRVFEEQSIKIELLEARMREASKKAAVVKDLEAEIGNLHSK ENELVALVERQSQNMQAIERERDEYRSRFEKLKRASDSEGSSGVGGVVNAAASLSVMK ENEALRAEVTGLQSSIRYIRDDNKRARLLDPYSVQRSNHMRSWLDVPLVRSKPSVNEQ DPDHRHKQAAECRDILGHLLKLTKESKLVDLKASSTSDPANRLAWCPVKSTPKYHAMK QREHYEQWSQWKDEVVKERKMKKLNAGSGTTRRVLPTSNPSAPASFPVDHAAFPDISR KGLAPDRDEGVMGKTWKILGLQPDTGDIPRVSNEPQILDD TRV_01762 MLASGGHLHGQVTFTSVSAPSARNDSQLPTKEVAEYEKILNIRD QIFAGTHPRLKVPESVIRKVPPRTVGQTSPSSTSASVVAPSSQASASEPGPPSSLPPA HHAAQGTVTSSSWPQLASTAVGGDTDSPVTGASTPKPTSEIDPIFLTKSDDLIRAETQ LQRQRIERALRDYAEQKRVEERQKPPLQELKPDFDVSDVLNKALEIVKPLSPPYDQDD NTGIDGDGDRANNGNAASDSFDENSYYSSRAPDSPQNEEYDEEMAPAPPLAQNMDTDE SDETVIVDRAGVHEPEHVSASGNHHLLDQHANIDDDARRDLENIHPWTEDNENHYSPP EPYEEPEYSPPGPDLMVNGRQDLLEPSYLSPSSRRQPLQMQQPPDQPSPAPKNLRVVR NHITSPAAPQPSRVSPLAVTRVSSITQPRQGRQSRQSVKAPVDQGSARTSPETGHSQQ LMSRKRRRIQQAQDKKKLAEERRAAASPDMPYIKPEPVSPPPFIDAPPAVSHYPRSRL VQEVPTDVDYVSRHDDHVIAGRAPAYDDGHGRNYELDSPIELHTPRTTSRIGYRRPIR DEHDLRRVASLQHARQAEYVQEYSEPIAEISPRHGRAVSYAEPIHSERPRYHEESQPA QYTTTRRYMTRPLSPQYRESYAPVRVSESRVMGPPPSQRRIVVDAEGNRFYESIDAPR AMPPVSVRPPRVEAYDGVSHAPTRSMSIVDDHYRDRRYIQEMPPPEITYRRNAGPGAG PGSSYTTGNELGDPRYYDSEAADRGPVMRSASVQVIDYPSRQPQHLRQPQPSFVDESH FPHEEIVRVASVRPPPVGVPRYEEHHEVRPRMTSVRPMRREMSVYVEDEAGHAREYPP VERYSGGPSYTARPMREERFYESAGPGRIEHDEGSEVIRRVSRRY TRV_01763 MSDLANGDTVDKFFVKHLSLDVEDAVMLHHRYYKEYGLAIEGLT RFHKIDPLMFNREVDDALPLDDILKPNMKLRTLLEDFDKTKVKLWLLTNAYVTHGKRV VKLLGVDDLFEGITYCDYGAEKLVCKPDREMYLKAEREAGAASPEECYFVGKFCLHLE YAYSVRSMLCFNCVVGFFFGFLTSCSADDSHLNCRHAQAHNWTTIHFVEPTLPAPETR ASKYQIADLEEIRTLFPQFFKATN TRV_01764 MKLKRKKKTKREKAKKREREKGRRWAGATLKDDDRKTQAKDGEK KKEKKREEREEKAESRLRGGERGLRRGQKGLMGARRVVKMEVDVTRWQDERDFWAESW KIQEPRLERCLGSVLGLWKDGAAKGEEEDEKKERKESAGDGFSSSFLKDALGGGQMHD FNARSQKYGTATESERHEAVRVVDVISGKHCQHQHYPTTYSVHREVYGATCKQRDRYG DYAVQLACWTVRYAYLVTAIVQGLSYLFSALGRAGIRVPKHP TRV_01765 MHKISNFTGQARHGWERMTPNFGMSRPQQDAPNSQYRRPQGPGQ APPQQPHHQQHPSQQHQQLPLQQQQQQQQQQQQSQQLPPHHQPSQSASPNPTSGASTP AGTVVSAAPSVEPQLINISFNIPFSSNLPGPDPADILHSSPNAFQRWTFPEGTPEGTP THRLPVHTQHVDSLRKLCRQMSESSGGRIEATVTSSEPKMASPMQRRHHGLVTNVCIS GEAEMVQQMKARIYRETPIVLRSLTIDVDVDLLLQKETDSIRKIVLEHIDILAGYTGA DIFLLSPKIVDADNALSSSYGYSADGGLNRRFRIAIYSDAESAEHAKSRVLIMIDQIV RCTPSASFLFQWPFFSDLNIQLKRRVDAVRLELSTHFLVCGRTRKNIKMIEATTNTAI YFPPPFPRVYGYMPPGATRRCEDEVYITGNSQEDINLVKQKLRDLVAVLKIYVKEAII HPEKVDSIILERLDKIQKVMEANGCFVLLPQLGSGTSSIRVQGTDVLHVERTIRELMN VAGQFYGATWWLMADNPQMRTPSPADVRTMLSDICANSGADVTFDNLTFHIHGSDDSV KAAMMVIHQIPFVKRSPHQMKVKIELANEHKEFVSGKKNGKINKIMSQIVGNVQIIFD GFNEYNFYIDVCANDYEAVTQGLHLVEQEMPASISFHVPDQYHKRIIGIGGQHIQRVM KKYSVFVKFSNAMDRGGAGKDDDDGRVENVICRTPARNAQNLELVKQEIMDMVEKVDA EFVSETVVVNRLYHRNLVARIKDIDELEKKWNCKIDFPSTETASDLVTISGPEYQVPQ AVDAFLGMVPESHSMVFGVSDELRAFFLTPEFSEVICRNLREQYEIELSLGTATRQAI VNPENAEPLSEDTLDMNFTRNNAGGIKDAVDFLISRLVPHGLDATTVKGSIPRPKSDS FEDSLPFFDSKLLHHAPPPLVADSPIRASFATDDSADRGSIFGRLRKPGSIASFSSLI GRKNQSSSPGSLFKHASSNASKASLVSMESRDSGYRNPWNDSGVNLAKEDLLTPSGNG WPTRFDNKFPFGTGGSGSVSGGDMTPRHDLRASFDSGRPSTSNSYSAPIGPPR TRV_01766 MATPTHAQSSLPALPAHLQNDTHLAAHLASRFHVSLPTARLSSQ ALICLNTYTSSTKGPDGGKEGSAMGEAEDLARRAWTRLGSRGENQAIVFLGESGSGKT TIRSHLLSSILSFSSTPLSTKLSLAAFVFDTLTTTKSVTTPTASKAGLYFELQYDGSS TVNPTLIGGKVLDHRLERSRIASVPTGERSFHVLYYLLAGTSAAERSHLGLESPTTVR GGAGNRTSTSEMHKRWRYLGHPTQMKVGINDSEGFQHFKTALRKLEFPRSDIAEICQI LACILHLGQLEFATGQSTRTAPEESGGYSHEGGETVTIVKNKDTLAIVAAFLGLSTDD LELSLGNKTKTIHRERVTVMLDPAGARANADEFARTLYALLVAYVIENINQRVCAAES AVANTISIVDFPGFAQTSATGSTLDQLLNNAATESLYQYCLQNFFERQANMLETEEVS VPATSYFDNSDAVRGLLKHGNGLLSILDDQTKRGRTDMQMLESMRKRFDNKNPAISVS SSTAILPGSNFPTQNTAASFTIRHFAGEVEYPVKGLIEENGDLISGDLLNLINNTRSG FVRDLFGQEALQTIHHPKERSAIMQAQVSSKPLRMPSMARRKTSRVSKFAPNAPNSEN DDTDDASSGRRGASGNHNKKTGDVGLKQGAAAQFLASLDNINKSLSATNVNPYIVFCL KPNDRRIANQFDSKCVRTQVQMFGIAEISQRLRNADFSVFLPFAEFLGLAEEESVIVG SDKEKSQLVIDEKRWPNNEARVGSTGVFLSERCWAEVAKVGERVVPAFNGSGTDDGSG MGNNGYGDSKVRLLTPADSTPGAYIYGDETKGGYFNSRDVDARSDAGASAFHSGDMFK NLETREQMAEKGNEKKMVEVEDVIVSGSRKRWLFLVYLLTWFIPDFLIKLVGRMKRKD IRIAWREKLAINMLIWFTCGFAIFFVIFFPGLICPPQHVYSAAELTTHNGDPGKDAFI AIRGEVFDLGEYAKSHYPSIVPRKDLLKYAGTDASDLFPVQVSALCDGVDGKIDDAVQ LDYTPINRTGSASVISKSDTNAQYHDFRAFLEDSRPWWYFKQMKMLRQTYAKGHIGFT QKHLNEMADQSQYIALINNRVYDVTTYITGGRIVKSKEGQATPKDVNVDFMHPDVISL FQRYPGQDITKRFEELNIGSAMRRNMKICLDNLYLAGELDIRTSPRCLFSQYFILAIS VLLATIIGFKFLAALQFQKKNIPENIDKFIICQVPAYTEDEESLRRAIDSMARMKYDD KRKLLLVVCDGMIIGQGNDRPTPRIVLDIFGVPENIDPEPLSFESLGEGMRQHNMGKV YSGLYEVQGHIVPFLVVVKVGKPSEVSKPGNRGKRDSQMVVMRFLNRVHYNAPLSPLE LEMHHQIRNIIGVNPTFYEFILQVDADTTVAPDSATRMVAAFLHDTRVIALCGETGLN NAKSSMITMIQVYEYYISHNLTKAFESLFGSVTCLPGCFTMYRIRAADTGKPLFVSKE VVDAYGEIRVDTLHMKNLLHLGEDRYLTTLLLKHHSKYKTKFTFNAHAWTIAPDTWAV FMSQRRRWINSTVHNLIELIPLQQLCGFCCFSMRFIVFIDLLSTIIQPVAVAYIVYLI VLVALNSGTVPWTALVLLAAIYGLQGIIFIVRRKWEMVGWMIIYVLAMPVFSMGLPLY AFWHMDDFSWGNTRIVTGEKGRKIVISDEGKFDPASIPKKKWEEYQAELWEAQTHAGA DDRSEVSGYSYATKTHYAPATEYGYSASRPVSQIDLSNRYSSRLSMSATELLGRGSDV EMADLSALPNDDSLLAEIRDILRTADLMTVTKKSVKLELERRFNVNLDAKRAYINSAT EAVLSGQL TRV_01768 MANRMSIYSALSSVSQDPRSTAQQTTQVSTTTLLNALHTSYASA QGYQLEAGTSLVVNTWLTTTTPGPNGEVGGTLDPSLARRAWEHARRRAEDGCIVLCTS HQSSPSLLFPMLGSLPLSTPEIAYTAISAIRPFVSQVTPYNPTALQYSAFAVSYTFTL TGRVTEIQLSVSNSGINTSHGLFNVPAEAGYRAFDVFYYLITSSSTPAEREFLGITDP SAFALLKKSGTFDPPSYLPTADDAAAAEDFRNCLKAIGIKGAAQRNLLSVLAALLKLG NAMGLLVDQEEIEDVCEDVGGLLNIDPLILLKNFNTDEREALMAGIYDALLDWIIAKA NEAIHNEIVSVHENGSSDGGRQDESADTVGLTVVEIPDPALGKVVALRGAFDDTVGIN AEIKEDGVEVISPGHSVLSEMNAAKAEVGVHLGMTDNAAARERDYFNDKVDGVLEKIG VEVEPGCFIRQLLYPVPGQGVRYGQTKRFDLVNTLGSSRVWYHLSVHPTDDLPAALAS LPSATSAWSAGTVSRQLRAWRLPEMANRRNKRLDFTADFDVEEFVVRYAPLGCQEGKD GVENWVLERGWSNGDVVVGKERIWMRESAWWEAESMLDLIPQQQAASNPFNPGMTSNF DPGYTPSPQGMNPGMNQSGFFTADGMSIQGSHEQLVNRQGPGTIAGTVATSPGVAQSV APTMNRASRNIGDYGLGSKGDDIKYDDTYYDEALGRYVGQVDPEFAEPKSIEHESITF GRRVWIGFVWALTFWIPSPLLRYVGRMKRPDVRMAWREKVVLVALIVLLNCIIVFYIV EFGNLICPGKDKVWDSREVSYHQGEDDFFVSIRGHVYDISKFAKTPHTQGRKYPTDRE SMQPFAGQNMDAYFPVPLPLACPGFGIKPEIQLIPNNTDTIQNSIAIHKSGKTVVTDP TVKTHEDDWYPRVFQPAIKEYYKGDLVWSRKNVTEQAKQFDRKWVIINEKVYDLTDYF NSIDKMDGYPGYDFLPSVVTDLFKKEAGTDITSLWGDTTDFKNSMNCLANQFYVGKTD FRDTPRCQANNYILLAFTVILCAVILIKFLAALQLGTKRRPAMQDKFVICQVPAYTEG EDQLRKAIDSLTALQYDNKRKLIFVVCDGMIVGGGNDRPTPKIVLDILGVDPKIDPPA LPFKSVGEGSDQLNYGKVYSGLYEYEGNVVPYIVVVKVGKESEQNKSKPGNRGKRDSQ VMLLRFLNRVHHRAHMSPLELEMFHQINNVIGVDPELYEYLLMVDADTSVREDSLNRL VASCANDAKIAGICGETSLQNEERSWWTMIQVYEYYISHHLAKSFESLFGSVTCLPGC FSMYRLRTQDKGRPLIIFEKVISEYADVRVDTLHQKNLLSLGEDRFLTTLMTKHFPHM SYKFIPDAYASTAAPETWQVLMSQRRRWINSTIHNLAELMLLKDLCGFCCFSMRFVVF IDLFGTLILPATTVYLGYLIYLVAAHKGQFPLFSIILIAAVYGLQAIIFIIKRQWQHI GWMIIYLMAFPFYSFILPLYSFWHQDNFTWGNTRIVIGEKGDRKVIAVEDEPFDPKSI PLQRWDTYALIHNLPGRRGNPNAQEKAGFPGYNDEAAVEMDDMQSMYSSVKPASTILT GFPTQGVQQTPYVLPHSPNPYSNLPGNRNSHMSHFTHYTDQPQLGNRASQHLSVSNLS HYQDNPTNASRLSGFGVPGSENFAPSQRHSMRSSMPRPASTLVDFRNPPGQGPDEATI TAAIQACLGEVDLDTVTKKQVRALVEQRLQTTLSGEKKAFLDRQIDNELANM TRV_01769 MEAIVKGQRERKDRFPKIITCPHEMVAMSMADGYARVSGTPQCV LIHVDVGTQGLGPAVHNASVGRTPVFIFAGLSPFTQEGELRGSRSEFIHWLQDVPDQK QIVAQYCRYSGELKTGKNVKQMVNRALQFATSAPKGPVYMVAGREVLEEEIEPYSLDQ KQWSPILSSALPQDAVETLSKRLVNAKNPLVIVGYTGRDEKGITELVKLAELIKGLRV LDTAKCEMCFPADHVSYVGVRDAKDAVASADVILVVDCDVPWIPTQSKPAPDASIYHI DVDPLKHQMPLFYIHSQATYRADSAIAFGQLYRHISGTAEFMQTLKSPEYQARHARVE DIHKARMQSLAEIAATPSGVDAPLNIPYVVSQARQTCPKDTIWCVEAVSNAGVVSEHL RATLPGSWINSGGGGLGWSGGAALGIKLATDDRDGGKGQGKFVCQIVGDGTYLFSVPS SVYWIARRYEIPVLTIVLNNRGWNAPRNSLNLVHPNGEGSRVTNEELNISFAPTPDYA GIAKAAAGGKLWAGRAGSVEELATKLPEAIKAVMGGTAAVLEAQLNGREGAYTEN TRV_01770 RLQLAGQAGFFLLLNNVNININININFQVKRQTHSKVKERIDIY PRTMQTEQSTASDPFPWDIGVYDAHCHPTDTMSAIEDIKDMKAAALTIMATREQDQEL VSQVALQYKGSSKSNHQAGETRGDRIIPCFGWHPWFSHQIFDDTKDAQPRDSEYLASI KIEHYKSVLTPSIEDEELLNELPVPFSLLELISNTKERLQRHPHALVGEIGLDKSFRI PKAWSSGAGDGDESMPSSDPSITPGTRGGRGLSPYRVRMEHQRAILKAQLRLAGELQR PVSLHSVQAHGATIEVLQELWAGHEKKVISNRQRKRSSSAPRAHEGEDISPSTSDEGS PSRKLQNKPMPFPPRVCMHSYSGPVDPLSQFFHPKVPLDVYFSFSEVINFPNGSNAKS SSVISALPEDRILIESDVHCAGQRMDDLLEQIVRQVCDVRGWPLEKGTRILAENWKRF VFG TRV_01745 DLKVHVSCEVYTILTRIWDPAALFNLTKYTRQGLQDVLQREYLL YRSLWLPAAETCSGPLTSLQVTMKPGAPDEALAKAKESAKKTGGTIRHEYKLIRGFTV EYPNDHNLDDVLKSNDHIHVEQDGPGPAKKVTATSTI TRV_01746 MALLRANPLRIMAGSRLIAGGPSLRSLSSITTSSWSYPPSASDV SNDEITRLSTSPRRPLTLADLLRHGRPPLTRDALLASANFTLSLLPARLACRIQALRN LPFIVVSNPHISQIYNNYLHSFTTLLPFQKRRISTTEEEKQFTEIMADLVQTHDNTIP VLARGFLECRKYISPAEVTAFLDEHLRARIGTRLIAQQHLALHHASLSENGELLTSRD KNVPSNYIGVIDTALKPARLIKVCEEFVAEICELKYGVRPRVVINGEPEATFAHIPVH VEYILTELLKNAFRATVEAGNEREPIEVTIASAPNMPSTNSIEQAVPELEKSPNSLGT GSQNDFQMSMGVHKGRPLGPGPMFEPLKSSAQSITIRIRDRGGGIPPEILSDIWSYSY STFNSDELPVSDNGNVDALNAISGSGGHNASTIAGLGYGLPLGRAYAEYFGGGIDIQS LWGWGTDVYLTLQGVGKVQG TRV_01747 MAVDYRHGVAIFSLIVYLPCFFIAVYIALRHGFAKSAGWYFLVV LSLVRVIGSCLELATIANPTEGLIKGAAALNRGRKGIPPIIFRVVGLLVLLGLILSII GINSQEDGATSYKPNAKSKAAICVTLGVWVITVGLAFIINSNKHSLPAGEKRLLLAVA ISLPFILIRLLYSLISAFSHSRRFSAVSGNVTIYLVMAVLEEMVVVITCLGVGITLQP DGVAAYRGEPASAEEEMKVFQEARDNGYRQSVPAPSTR TRV_01748 MLIEIKSKEATSKKEPAIKVTAMPGKHVPPGPLSTANDILKALH EGSIYNVANAVCDRIYISGDTLMCDELKEIPKIYADQKIDLMLIHLGGTTIPSPNIPL LMVTMDAKQGIELLKLIFVDYFISDYDVFLSPLSDFKKAVEEAGLSSKVVYLDRKDQY KFKTTWTDGS TRV_01749 MVAGGAESCIHPLAIGSFARCRSLATGFNDNPDRASRPFDRDRQ GFVVAEGAAVVVLEELEHAISRNAHIYAELKGYGCSADAFHITAPHETGRGAFSAMKR ALKNAGVPPSKIDYINAHGTSTPIGDAAENLAIKSLFLGSEGKAKASEINVSSTKGAI GHMLGGAGAIEAAFSILAIDQGVLPPTINLDNVTDEFDCNYVPNNALQRNVEVALTNS FGFGGTNSSLCFAKY TRV_01750 MVYLKYKTLALAGAILSAQVVDCHSHHEEGQIPLSQEELEHKWA PELRQSAFATMGSFGGLKHVPCLTKPNELYDIAIIGAPFDTATSYRPGARFGPRAIRE ASGRQVAARSYNVRAGINPYLDWAKVIDCGNIPITQMDNRIAERQMFEAFLELGTRKT AYQPQKKGDKSKRQARISDGKPKLVTLGGDHSIILPALRALNQIYKKPITVIHFDAHQ DTWESSRYDGYWKPDVDGLNHGTWLFHAGQEGLISNTTSAHAGLRSYLGGSDDRDYRT GVEKGFMRIHADDVDDIGTQGVVDAIVSRVGLDPDQPVYISLDIDVLDPSIAPGTGTP ESGGWTSRELARILRGLEKLNVVGADVVEVSPSYDHRAGGTALAAAHVVNEIIASMVK LGVEDPDAVGGWFGRKGNKSVKEGVMESYQEGAAGSEARKDEL TRV_01751 LNMVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNY AVTVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWF PEVRHHCPGVPCLIVGTQTDLRDDQTIRDKLSKQRMQPVRKEDGDRMAKELGAVKYVE CSALTQYKLKDVFDEVSQKICFYLLPFLLANSINLGHRCCFRAQSSKEVEPLSTLVDS TLPAQREGYSAI TRV_01743 MDGDRMDLDSTSQGPRGLKRPAPGADAGADTANASSSPAGASGA SAAAASAASAASARPRKIQVSHVIQSRVERERERADLTDGQKALDPDVINKIAAGEII VAPMHALKELIENSVDAGSTSVEILVREGGLKLLQITDNGHGIDHDDLSILCERFTTS KLQAFEDLSSIATYGFRGEALASISHVAHLTVTTKTAGSSCAWRAHYSDGKLVPAKPG QNASPKPIAGRKGTQITVSTALENPSIIFSLSIYMHMLTRSASEEYAKILDIVGRYAV HCSGTAFSCKKHGEAGVSLSTSINSSILDRIRQLHGGAVANELVSLEVDGKRWGCRAS AWVTNANYHAKKTTLLIFINHRAVESTAIKRAVEQTYSTFLPKGGHPFVYLDLEIEPQ RLDVNVHPTKREVNFLNEDEIIESICSAIRTKLAAVDSSRTFMTQTLLPGIRPPEPAT LAGDASSGAEGERLALRTVAGTKRPYENNLVRTDAKLRKITSMLPPAGSETVHGDKPS GNQGLAYQKVNREPVNIRLTSVKNLRAAVRSSMHNNLTEIFSSNTYVGLVDERRRVAA IQSGVKLYLVDYGMVCNEFFYQLGLTNFGNFGSINLESSPKLVDLLSLAVEVERDEYY RNNPPDGDAASVASDASRSIDEGIVVDFTSVAATVAKHLIDRREMLKEYFSLSISEDG CLLSIPLLLKGYMPSLVKLPRFLLRLGPYVDWSGEEACFRTFLTELAAFYTPEQLPTP YSSSTPQGGCGRQPGPGARESSPHSIVSDISRENGVSATESPQADQSSSHDEAESEDE SVTRRREQLSWMLEHTLFPAIRSRVLIAFKHPPSCLYSPIPSTTSLQKENSLSASYID DTVTTYTVPFYGLTYLPARSMI TRV_01744 HPSTHVLRKGALLFTAMAAAILQHHTVRQTPTPPPMGTSTISLT ASSTRSQTPIPNKHLPICPTGPAPASSKLPAASYSSSSSSSSKQFSVQHPTALYPADN RYHQVYNHPPVFSINGKQLATALDDLATRPLPDPSKLFPWLHGLHPENQIQLSFFVSR RRTLRRIPKCLRSITVVKVGGDLTKAKLKGAVAPDEILAGSSFIDADPPEGFSVRNFH IQTAKLAAISDIIVYGDDDVSEESILAVAVDISTAQEEWMRRYDPGQETRLFNTPNDI LHTTNRRSVLWERLEMYSMSQATEITKNVWQGPSPDLSYDMGGPPTSHYDVFIETHDG ASIPDTRYLAKISSTLHKGPHHIEFPSSGSIMASSWSQLEVYDFIATCRWIYQLANPR RRRSLSENKDGDIPMKAATTKPYKIFIHCGDGYTESSLLALAYFMYAEGAPVHEAWLR LHCEKKRNFFAYPSDVTFLLGIQQKLLSESPNPRARQLTHRPNPNWMTKMDGSLPSRI LPYMYLGNLAHATNPELLWSLGIRRILSVGEPLSWMAEDVEKWGAENLLYINEVQDNG IDPLTQELERCLAFIGMFSSGLLRI TRV_01735 QIMRWNDYPLKTVNRCIHEVIHDAAIRLPDDEAICAWDGSLSFK ELDHLTSRLSHKLVELGVGPEVRVPLCFDKSKWNVVSMIAVMKAGGAFVPFDPSHPIP RLQGLVKALDASLLLCSAHHSQHLATVAETILPVDDALVKELPSGPDAIRFTSRAKPN NAAYVIFTSGSTGEPKGTLLEHVAFCSSAAAHCVPLHVDEGSRNLQFAAHTFDASLVE ILTPLMQGACVCIPSEEARLNNIVQSINDMRVTVATLTPSFVGFIEPDDVPCLEYLVL AGEAMSQSHIDTWSKVNLINGFGPTETGVACAVNSKVTSTTDPKDIGFPVGGHAWVVN PEDHDRLVPPGCVGELLVEGPTLARGYINNPQKTTDAFIYDPVWARTSETGGKPRRFY KTGDLVRYNSPEGSFNYVGRKDTQVKFHGQRVELGEIEHKLNLAPNVKHGLVLLPKAG NCKGKLVAILSFSDHVTEKFSNDPKSLRLIETKKRDEYVDMVRETMAGQLPSYMVPSI WVCMESLPRLPSAKLDRKAVAKWIDTMTEEVYHEVSGAQQVEAGSSEMSKPANAAEAA IQLAWSRILNIPLNRISLEQSFLSLGGDSITAMTCMGQCKKAGLGFTVQEILRSKSIR ALAPCAKEVKRAVDLKEEIDVDFELQPIQQFHFTVRNDEMGHFNQSFFLKLARRTSEK SLKHAVDTLVERHSMLRSRFRQSGPNGEWRQYITNDYKASCRFRVHSVQTKKDAEPAI ADSQASLSATKGPLFAVDLFDVNSDHQLLFMTGHHLVIDLVSWRVILEDLEELLVNPT NPSLNDKSIPFQTWAKLQTEHCRDLSLSHVLPNDNIPRANFAYWGTDVKANTYGASAA EGFEIDAATTSFLLTDCHNGLRTEPVDLFVSVLIHSFTQVFTDRDPPAIFNEGHGREI WDESIDIARTIGWFTTVYPIFVPNSTSKGLVDITKHVKDIRRAVPGNGRPYFATRWLT EKGREAWSHHAPMELSFNYLGQYQQLEREGALLNPVDELAGEAKEAGGIADYGIGTPR FGLFEISAVIAAGKLRFSFTFNRHMKHQNLIRQWIAYCKGSLQNASKLFMGLPFTPTL SDYPLLALNYDTLEAMITEKLPLVGAKNVQDIEDAYPCSPMQQGLLLSRSKDGAFYAV NGTYEVKPKPGTNVSAEKLAAAWELIVRRHPCLRTVFIEGLSSDGLYDQVVLRQSPFG PSRLRCAKESEVLSTFNGQGFMQYTDHTPANRFTICETSNGKVFCRLELSHAVMDGAS MSIIFDDLCRAYAGELKDETGPLFSAYVAYLQTQSLDQGLSFWKPYLDGLDPCHFPVL NDGVTVKKELHNIKLEFKELATLQKFCDSQGLTLPNAIHTAWALTLRAFVGTEDTCFG YLSSGRDAPVEGADSAVGPFINMLVCRVKMPGDATLLSVLEQVQKDYMDSLPHKHTSL AEVQHALKLSDTSLFNTCVSYRKLPPNKNRKPEIAFEEFAPTHDPDEYPISINIEASD EVVAIDLDYWTDSVCDKQAKNVASSFFRCLENITHSSHLEISKLDNLSNLDYETIWKW NSRIPLTIPDCVHYVIAKQTAQRPDAPAICGFDASYTYKELDEVSTRLASYLVALGVT PETFVPTCFDKSAYTVVSMLAVLKAGGACVPLDATHPMPALETRVVDTEAQIVVASAA RAAMFDDMVPYVVAVDAEFLEQLPDYGEDNGIPAEPCNPAFVIFTSGSTGKPKGVVLE HSAMVTSAEAHGSALGVGPDTRFLQFATYTFDNSLEEMFTTLMRGGCVCVPSEDDRFN DLAGAIDRLDANFMDLTPTVATFLKPADVPKIKAMAVGGEALTKKAQEIWGGSIPMHN QYGPSECSINCAHNGDAGTVEDVSNIGRSVGSVSWVVDPTDHNKLVPVGCVGELCVEG PIVARGYLNDEEKTAKSFVINPAWAARDPNPREFPTRRMYKTGDLVRYNSDGTMAFLG RKDTQVKFNGQRIELGEIEYHVKENLPEDAQSAVQLVVLGGAKSLAAFIYLQPSGDAD TNQTILPMTPSIQSLAKELEAAVTKSLPAYMVPSSYIPVARMPMTSSGKLDRRTLTIW VKELSDDAAITYRLGGVGGRAPETEAEKILQGLWASVLSKPATSIGADDSFFRHGGDS IGAMKLVSAARAKGVTLSVAKIFSSPKLCDMASTCSISSNRSLGADPITKEPVKRFEL LPPSISINALIDEVSSICNVDASRIQDMYPCTSLQGGLVALSSKTPGSYVAQTTFKLP ADIDFDKFRASWQAVADSEVVLRTRVVFTDLLGFLQVVVNEPIEWNSVAHLNDIKDEN RHLPGRDGGPLVKYTIVGEGASTPYFVWTAHHALYDGWSIPTLLERVAYCYKNSQSPS TGAEASFPDFIKYLATIDKKASDDFWISKLEDPKATPFPALPSTSYTVQATSKCTHSA EVSKSPSRETTVASIIRAAWGLTMAFYTSCDDVIFGEILTGRDAPVPGIEDMIGPTLT SIPSRIQVNREQTVSEYLHDVQKQLAETMAYQFAGLSQIKRLGDDASTSCEFQNAIAI TQDADESAFGFWQMISSGTTGNNFYTYPLNLSCTLRQSNRIDIEAYYDQAVISSWQVE RLLRQFDTILRNLSLGENAQKKVGEIELVSHDEVKELEAINKNGAKFIERCIHELIQE QAKLRPQAEAICSWDGSFTYRELDNLSTALAHHLIELGVGSNPEVFVPICFEKSAFAI ISMLAIFKAGGAFVTIDPEHPISRLQGIIADVEAFLILCSPKHQELCDSVAPQALAID LEMLQQLPKRHQPTPKVPASNAAYIIFTSGTTGTPKGTLIEHSAYCSSAAAHAPALGI TAESRTLQFASYTFDACCPEILTTLIVGGCVCVPSDWERLNDISNYIRDKLVSNATLT PSFVQLMNPDDVPNLKYLALVGEAMSSAHVANWAGRLNLLNGYGPSECSVSAVINSKM DVNSNHKNIGRPLDRCWIVDPVNHDRLVPIGAVGELLIEGATLSRGYLKRDDKTKEVF IQNPKWAKQNNGAARRMYKTGDLVKYDPNGSMNLVFMGRKDTQAKVRGQRLELDEVEH HLGADNLIQHALVAVPSKGFAAKKLTAAVTFHSLTSSVDAATPLQVISSERAIQMASE ARERLRKRLPGYMVPSRWVVFHKLPLMSSGKLNRRQIVTFMEKMEDSPEATSTTTPDS PDTHSTKAVQTAPQIDIRESLWKVWSQILDLPVEEADMNSSFLHLGGDSISAMQVMAR CRSQGITASVQDIMQSKSITDLATRVKVTKSLASAPVKEIKTVENGKPFRLSPIQQVY LDNAGDNWRQFNQSVLLKVTKRKSTDVISRAIDQLITLHPMLHARFQKNMNGEWRQHI SNDLKGSLRLRTHSDARRGQMGSLIEQSQKALDIENGPLVAVDIFSLPGSETQMSIAI HHLVIDVVSWRIVLQDLEDLLNGESVARQDLTFQSWCELQIQNAQEASVKGVIPATAV PPADLTYWGMAGKSNTFGEVLTDEFKLDQLTTTRILDACQRQLGADLIDVLLATILLS FRQEFTDRRVPPAVFNEGHGREPWDSSCDPSSIVGWFTTMSPVYLPADADTDSNYSFI DTIRWVQDFRSRTPGKGRPYFACRQLTEAGKEAFGSHWPMEIAFNYLGQMQANSQTES LLEPVDGAGGQSVNSLSDIGSNVPRFALIEISTVIEQGILKLSFTYNKNMHRQQGIQG WFSKCQTLLESTYQSSQMQSFTHAQFPRLPLAFGSSRKISEELPSLGVQSMNDIEDAY MISPMQRGILISQLKDPEKYAYHSVFEVKSNKRGHRINLELLEAAWQAVVRRHSALRT VFIDSVSGNNLMDQVVLKEFRARTLLREPSSEDYKAAIENLEPLDYTEKQPPHRMTLC QTPSGRVVCKLEISHAVSDGSSMMNMLNDLSAAYASTSDLGTAMLYSDFIAHLQLTSK EAGVAYWKTYLSGLEPCTLPSLAPVPPPKERTVGEYVMKLPIGSELQSFCKQEGLTQS NVLQLVWGLVLRAYTGSDEVCFGYVASGRDLPIDGIYEAVGAFINMLICRLNLTDNSV LIDTLQKTQSDYMQSISYQNCSLAEVQHELGLGDTALFNTVFTFQKRPSSDDTEDTPL SFESIGATDPNEFNMSINIAAIDSDLEIDFGYWSDTVSDAHAENIANTFKQILSDIIE NGVDRPLGDVNHFSEHSYQQVRSWNAQSPKVVDKCVHEIIEEQAMLRPRSALAICAWD ATFTYSELDAAASRVAAQLMNLGVGPDVYVPLCFEKSAWAIVAQYGILKAGGAFVSLD PSHPEQRLANLIEDVGADVVLCSSRLHDKIRKIAKKAVVLDSKTVQQFPKQPSQQPDN YPDPSNAAYIIFTSGTTGKPKGTVIEHAAICTGSDAHGKALLMDSSSRVLQFASYTFD ASVTEILTALQVGATIYVPSDEERMNDLQDVIAKGKVNWTLLTPSVLSTLKPKKAPTL KTIVTGGEAMSEKAIKEWVGGPAVVNAYGPTEASVVASASLKVNKAGVSVDQNRSNIG TACGCRTWVVDPRNINRLMPVGAVGELLIEGRTVARGYINNPEKTSEVFISNPAFSRE RRFRSLFSQRHRMYRSGDLVRYNPDGTINYISRKDTQIKLNGQRIELGEIEYHCKVNL PDQTQAGVDLIVPSDRAKKTLAVFFSVPSARSQPSTLTNTDGSPADELLLPMNDAIRT IAKTLETKLGTAIPTYMVPHLFIPMTKLPWSAAGKLDRNRLRNITQALSKESIKSFRL TGVAGKRNVSSTGLEGKLQSLWESVLDLPKGSVGTGDSFFRLGGDSLAAMQLSGSARS SGISLTFANIFKHPILADMASACVVLKGAPSMEVHPFSLLRTNESIDAIKTEVSQLCR ISTDLVHDIYPCSSLQEGLIALSLKQPGAYVAHNVFKLSPSLNLDHFKAAWQKAVDDL TTLRTRIVHTASSNFLQVVLKHEAISWHSAQSLEELSGEAASVPPFNGGSLTKYTIVQ TPRCRYFTWSIHHALYDGWSLPLILQRVESIYRGQTPEAPKSSYADFISYLSTTDEDS TKQFWTAKLSDISCSKFPPLPPTNDQSETRTLSRHIATSSVGGVTLPTIIRAAWTLVL ASHTASNDVCFGEISTGRNINVPGIADIVGPTLTTIPTRIQVNPQATVANFLQEVQQD SADVVPHQHAGLQYIRRLNGDASEACDFQNLLVIQTAQGEGQEDLWEIQANGDVNNFF TYPLVIECQLSKKDVGVTIYHKEGIISAWTVERILDQFSYVMDQLFKKAPATKLSEVE VLCPEDKETIKWWNRRKPVQVNECAHDIFNRLADTQGNKLAVVDFERQLTYRELKDLS LRFAKLLSGHGVGPEVFVPICVDRSAWTIVAMMAVIMAGGAYVPLDPLHPSSRHQEIV QESGARLAICSPGYLDRFSAFVDTALVIDEATAMSLPSVHSLPSRAKPHNSAYAIFTS GSTGKAKGIVIEHRAFASASASMSANMCMHPDSRVFQFASVSFDASVLEILTTLTIGA CICIPSEKERLADIPGAISRMNVTWTFLTPSVANVVDPDATPSLKTLVCGGEAMSREV IARWADKVQLMNGYGPTETTIVAVTNTKTSYEKSSLSIGHPLPSSLGWVLDPRDHNKL APLGAIGELALEGPVLAREYLNSPDKTAEGFPENPAWAAEFPVASQVTRRIHKTGDLV RYTSQGALEYFGRKDHQVKVNGQRLELGEIQSRLDSNTEVRHALVLMPKAGPCKKKLL AILSLEGLGSSRELSTESLDLFEEKFLADKANAKIDKIKDDLSNQLPSFMVPQLWIPV KAIPLLVSGKLDRKLALKWLENLDQETFNRINPAPEEEEDSPGQSTGTTELLRRIWAS VLNIDIKDVKLNKSFMSLGGDSITAMGVMSRCRKENIELSLHDVLRSKSVVHLANCVG HTVSGPQKEEVVDELFDLSPVQQLYFRSSQSQDGDSRFNQSFTLRITKPTDARTVTLA IEAIVNKHSMLRARFVRDGNSWRQKITKDTNASFRCRAHDNARKYDIPRIIKDAQMCL DIEQGPILAAELLNTQNGEQFIFMAAHHVCVDMVSWRIILQDLEEFIGTQSLDTDKPL SFQSWCSAQTSHLKAHNTSSLLPFDVKPSNLSYWGMEGRQNTYHDAEYETFQLDKATS SLALGDSHKAFQTEPIDLFLTAIAHSFARVFQDREVPTLYNEGHGRDSWQGGPDLSRT VGWFTSICPATIPVDMRKFPLLGSHFLEENFTKFVKLENGKDDVLDTLKRAKDIRRQI PDNGRLYFANRYSTMHASQHDDTFPMEIVVNYLGRMQQLERDDSLLQQADLITDENEA KSTGDMGPRTIRFALFEISAIVLQDQIRFTFMFNKNMRQVQRIRRWISECKQTLRETV DAMSHSAPEPTLSDYPLLPISYEALQKLSKSTFPRAGVCSRDQVEDIYPCSPIQEGIL LSQLRDPDSYVFHTSFEVTSAKGSKRIDAQKLGRAWQQVVDRHAALRTVFIDSPCRGV TFCQLVVKEVNSGTIHISSDSSNAMDKMKAIRLKDNNGKKRPQLPHQITICSKSDGGV IIKMEINHAVIDGGSVSILMADLAAAYEDRLPTGQGPLYSDYIRFIRSQSTAGEIKFW KNYLGGLKPCYLPRLSSFSGTQKQLRTTFVKFERYPELQTMCEQQKVTMSNVMHAAWA VVLRAYVGSDDVCFGYLSAGRDAPVNGIQETIGAFINMLCCRVVFSPGASFHEIFRKV QEDYLDSLPYQRCSLAEVQHELGLAGKPLYNTAISSQNHAKSSDAVEEGILFEPLGGH DPSEYAITVNVETAKGDEGVLLRYWDNMVSEAEAQKMAASMAHVLTSFITRPEQLLSE YDPTKTTGSILREELPQRTREEPKQADLESNLAQMSTGNLSTEQLLNNNAELRKIVDS CVQDILQKMAKSGQLATKSADEILLNNSRRDIGDNNKAMSTEQTLHSESIKGMEPETA TPSEAWEQSDYNPKTDTDRTSMAAHINKRGRSAHIEKKLLVLWSSMLEMDEDSISGED SFFELGGDSLTAMRLVGAARDEGLSLTVADVFRNPVFEDMVAVIRVASMMNTYVDGAD MDDFNAQSQAIRSAATSELYQRFSLVKAPNIDAFLQNNIIPKVGVFKGGMVDVLPVTD FQALAITGSLLESRWMLNYFYLDGHGVLDLRRLKRSFIRLVHSVDILRTVFLPSGDRF LQVVLRKMRPDFFVYETESNLDEFVAMLQQRDREQGPRLGEAFVNFTVVKEKDSNHHR IIMRLSHAQYDGVCISKIFSAIQAGYDDEPLPVMSSFASYVRSSASTITSDHYQHWKT LLKGSKMTEIVRREGPNYRRSAGATSQLKQTVLLPAIAHGNITTATVIKAAWAMVLAQ LSGSPDVVFGHTISGRNTTVPGVESTVGPCLNIIPVRVQFNERWTALDLLRFVQDQQV RNMSYEALGFREITKHCTEWPDWTNFTTVVQHQFASVTGEMTLGRNTYTMGAVGTEED FSDFSVVSSLQEGDKCEIALGFSLNSSITPIFAQKVLNMLCNTISNFMADTSMVLLSP QQISRMPPQTIDETQKPYDSYFLSSQLQDLTQAEILVLSDILSRAWRQVLGEENTTSL NLESSFFDLNGDIMGLAQVAWLLEQEGFTVRVEDLIDHPTMLGQMATLCSQRSMEKEK AIEASSSQNSIEDDYDSVPNAKVEKNSWFKALGMARRMVRRNTRPS TRV_01736 MAGNSNVGTRTIYESNEQRNVTRSEVENARRNRDNPPDSNRRGS AHGKEQKSRGKHSPTDDELAKIDPTAPARMHGHKPSRGAQVDAELKREDEERIRQKQG L TRV_01737 MSAVEAANEPHKAFDTILVLDFGSQYSHLITRRLRELNIYSELL PCTQKIAELSWKPTGVILSGSPYSVYDQDAPHVDQAVFDLGVPILGICYGLQELAWHH GKNVAASDNKEYGHAYLNIQRHGEKGQANIDRLFQGLEDKLEVWMSHGDKLSQLPEGF EIIATTSNAPYAGIAHQTKPYFGIQFHAEVTHTPCGKQVLDNFAVKICGAKQEWTMEK FVDKELARIRAMVGEHGQVIGAVSGGVDSTVAAKLMKEAIGDRFHAVLVDNGVMRLNE AEIVRETLTKNLGINLTVIDASEKFLGRLKGVVDPEQKRKIIGNTFIEIFQDTAKTLV EESAGYKEGDKIEWLLQGTLYPDVIESISFKGPSATIKTHHNVGGLLKGMHLKLIEPL RELFKDEVRSLGTNLGIPEDLVWRHPFPGPGIAIRILGEVTPDQIRIARHADSIFIDE IKAAGLYRNISQAFAALLPVKAVGVMGDKRTHEQVIALRAVETTDFMTADWYPFDGNF LKKVSRRIVNEVNGVCRVLYDVTSKPPGTIEME TRV_01738 MGKRKQVKDVEMKDGAAEDSSDEDLDIINVDFEWFDPQPAVDFH GLKVLLRQLFDSDSQLFDLSALSDLILSQPLLGSTVKVDGNETDPYAFLTVLNLHQHK DVPVIKTLTDYIRTKSSSPDCSTLNQLLSQPEIPQIGLILTERLINVPAQVVPPMYTM LLEEIAWALEEKEPYNFTHYLIISKTYQEVESKLDQEDDRPQKKKKKAGNEGSETFYF HPEDEVLHKHALCYTGYNYTHETEGQSDSKRAFQELGIHPQGHMILIEASKFEAAVAN LKEFLNPSS TRV_01739 MDASIDLSDASKALDLENIRFQLIRLEDTITFHLIERVQFPLNR RIYIPGAVKIPGSELSLMDYVLSEQERLQSRVRRYQSPDEYPFFPDVVEMPILQPLQY PKILHENDVNVNDVIKSRYINEILPSLCKSRDRGEAQENYGSSATSDVSCLQALSRRI HFGKFVAESKFLAETDKFVKLIKAGDRDGIEEAITNSKVEKMVLDRLRLKAKTYGRDP ANPSEGKSKIDFEAVVSMYKYSVIPVTKFVEVEYLMQRLKGTEWEDK TRV_01740 MKRDLGALFQAANLENKKRHKKHPNVKRFSPLPNTQKVDNASEP ASQPQEELASQWPVEQRQREATWLLSCLPPSFDRLFHHPPPTCSIMAGNAARSRSGSG ASHYGTGDDEGSVLGTGLTSRQLEAFGRKVTTTASHLMSTTTDPTANVHYQAALSGIQ RELRRPGAQRRMFAFAQTTPTELVRSKFSTSEIQYRALSSLPDDLLQHLPEDTSTYSL FQGFQASIHDADNEHRKSHRRRSSHGQKRLEDVDRNTGALPPTIGHLKRERDSLNHRL EMMGVRKNMCSAEIHEIDNKIMNLNKMRKIVLDRLAGLEMDEADLEHEYNRLEDMEDA LDESVAAATAATPKTGDIPSSPIGDGEGDSSFMSESIYEKIPSPKAWRHKTSNIFFCS EAYIVDIEKRSTPVLHEHFEPGSLIRELQAHNDMIAALDFDVPFGTMVSAALDDTVRV WDLNLGRCMGFLEGHHASVRCLQVEDSIVATGSMDASIRLWDLSRAKYEPRDHRADRD EEDDEDALGFEDPAAAPPTPPPSSMDECPLFSLEAHVDEVTALHLRGDTLISGSADKT LRQWDLVKGRCVQTLDVLWAAAQASSTMAAGDSAAWRPTGRLPDASADFVGALQCFDA ALACGTADGMVRLWDLRSGQIWDLRTGTIHDAYAYDRPVTSMMFDTRRIVAAAGEDVV KVYDKTDGRHWDCGSGVASEDDGRAHSIVERVRIKDGYLTEGRKDGTIGIWKC TRV_01741 MAPPRRTRRLTPSAAGGASNEADNPYLPSIETQQTFSYGGSATP ALPRPLGSLPAANTAADVAASIEAAITRPARPARPAARPLTESAGFHQIEDEARKSPE KQRVTRGQQRRAESMTPPREPVRRMTPDIQLMGSLREASGEPEDHDQQQQQQQQQEQQ QQSDPVDLLADAIDGSSISWNTERHLLANERPAFGLTGWPRPTSMRPQMSPSQASSTS IHQTTQQQYQQQQHPRQQQSLQKHHYQQLRGQPQRSRATAERIERGIAIGPPVGLTTI TNNNNNNNNAATTASPSVRPETPSDQPAAIHTPQSEHTPASSRPPSALDNAAAPTSPT STSTSGVTNFGFMHVVCILLSIMMALNGYLLRDEIASAARSIIYSPSGHYGMANCTES ISQMMATVDQRLTSITKDISFLKQEVNKATTSPPPPKRPVNPLEPRRPNFFSLGFGAT VDPYLSSPTLSSTTSYLDRLRRLAGGIRPGPSHVSALQPWDDIGDCWCASTTSTSTTT SKKENRIQLAVELGRPIVPEEVIIEHMPREATLDNGAAAPQLMELWGEFTDTNSVNND EVRSALAAVWPGEAESAYAHEPSLGPSFVRLGRWHLLLSMYPCIHHVHVHLRNDNPRR PFVSDILVTKSCLIFFSVFSSSSSSSSSNYSPGFTIISSRLS TRV_01742 TRLLSLSLSLSLLLSVSQLLLLFYSSSTLIIFFFFFFYFSSSSL LLFFFSSSLLLFLPFHRPASAQDNSNNNNNQNKRREDEDEEDNKKRRRRDR TRV_01731 MSLKNPKQKMSKSDADIRSRILVTDTPMEIHHKIRLALTDAGST ITYDPEKRPGVSNLLEMFSRAEALMSGPSGTTGRSPTEIALEYENSSFKALKQDLSSK LIEMLGSIRERYVEIMEEDAKVAASGSSEKESYLGMVARKGAEEASANAAITMRQVRT AIGLS TRV_01732 MFSPSYFRSVLGATKRIKVGLVFSANVMEAQWLTAAFQQVTNLF RPLSTSSSSSSDIPPEILSAIQKGNGESSSGIPEIKNGKIVCSGFNNTAPNICAFRSI FQTHLSPADLTSSPCRNDRLEVYKEKFRLPKAIQAAYMKPLKRKPEYGLPVCNLQLRS YSARHVEFFADFALRAAYYLNIPASGPVPLPRIVERWTVIRSPFVHKKSKENFERITC RRLIQLQDGHPEAVQAWLAFLRKHAFHGVGMKANVFEHASLDVGKEMDTAAKSLEKAL DEELAHFGAKKGAEFPESLASMLERETQTRTAAPLTEGRKG TRV_01733 MLGADTVGMSTVPEIIVARHCGMKVLALSLVTNNCVLSPAPRGD DHLLQKSTAEELNVIVDEGKANHEEVLEAGREAASDMQKLVSQAVEDMFAQ TRV_01734 KRRKTLKRGRIRAVRWPVELLEPPSRAAQGTVQSESMSVEVVAV SPASKMEKDEDEELSEEQIQSLLLEAETRLKKASGSSTKQDGVVSLATGQEAGDSASR PNIATIDKSRLVPESAKKLAETIHTVEQPLVKSVLDYAAPMKASFNHITPELKRDLQI LRMRSVLDPHRHYKKENGKAKIPEYSQVGTIIEGPTEFFSARITKKERKNTFAEEIMA AEKESGRFKRKYAEIQEAKTSGKKAYYKKLKAKRARPMK TRV_01713 AIAGLLLCVAGIASAVEPCGQVAEIQRERKKEDPDVTEFKIPAN LAHACLLSVPFNVNNSLRLLDGLSYFWDSQSTKGWLPNPPKGYDLPPTDLDAGLEKIR QKALSGGYTGEYMFQLDLQTLVTSVHDGHFHMDLDLLTVFSFIRYDVGSLVSLSLRGK DFPRLYVLDDLKKSSKPSAIGNKHAIDPKSAVKTIDGEDSVKWMEEWSLNGGSQDRDA LYNQMLAGLPRVPHRRRGGFRYPGSVYPGDKTTLGFYNGTVRDFSNWAFFDVSFTGVK DGETFYDKFCTGRDDESGVNRMGGLDYYFKESDRKTPRLISSPISNPQPPSRLAAREL PPYVKPDVNSTDGIVEGYFLKNRFPRTAVLVVKEFIAKGNTTEMDLSYTIAAFLEQCR KMKTKKLIIDVSGNEGGLVFLGYDLFKQLVPNGKILTPSNMRATEQLNAVGTRANELL NKPLDPRAAEAENLRYSGYDLDTYITVDGTKFPSWPDLYGPEILPQDNYTHPTKWDLD NIKMTLATGPFIVSGYGNRTKIPPSPFSMRDMVIVTDGICASTCSIFTDLMRRHGSKF IAVGGRPQHGPMQAVGGVKGANVLTYRYLYYVIWLLYEKLSTVEERALLEKTRVGKMY HIGLYVLGRMATRGKNAAVNFRNAIWNEDKARTPRQFVYEPAECKTFFTPDALYDPLA WWTRLAKSWWGLKEICV TRV_01714 MANEQSAAWPIADEALTTELLDLVQQASHYRQLKKGANEATKTL NRGTSELVILAADTSPLAILLHLPLLCEDKNTPYVYVPSKVALGRACGVSRSVISASI TTNEASDLQAQILNIRQKVERLMI TRV_01716 MAQSATALPFYSSSAAASPRLSSPLPLLPTPTRPRSTPISLPPP DVDHRIDAGEEEALSHHHSLARVSSQVLAAMDALNVFAKRDEPEKPSEASNSLSGFLS TLVPTAIISAIMVVLFLILRQSQRRQYVPRTYIGALRQHERTPAPKPGLFGWVSSMWS LPDTYVLRHQSMDAYLLLRYLKIATALCFFGCLITWPVLFPVNITGHGGRQQLDMLAI GNVDAKRPGNLYRYFAHCFVAWAFVGFVFWMVTRELLYFINLRQAYFMSPLYAERISS KTVLFTSVPEEYCDEAKMRAMYGNDKVKNVWLVTDVKELEKLVEERDKAAFLLEGAET KLIKMANVARGKALQKGGEVDDPAAHGNIGEAESGSVAARWVKPNQRPTHRLLPIIGK KVDSINWAREEIGRLTPDIDNLQRNHLNGQAKRISAVFVEFINQNEAQAAYQMLAHNL PLHMAPRYIGINPSDIIWSNLRIKWWELIIRYSVTIAAVTALIVFWAIPVAAVGAISN IDYLMAKVPFLRFIGKIPPVILGVVTGLLPTILLAVLMALLPIVLRLLAKLGGCPTKA AVELRTQNFYFGFQVVQVFLVVTLSSAASSAVSDIIKNPSSAPGLLARSIPTASNFYI SYIILQGLTFSAGALLQIAGLIISKLLGMILDNTPRKMYIRWATLSGMGWGTILPVLT NLVVIAITYGAIAPLVLGFATVGMLLFYLSFRYNVLYVNDTDIDTKGMIYPRALKQTL VGCYLLIICLIGLFAIGTASDRSATAPMILMIVFLVFTILYHISLINAVNPLLNYLPK NLEAVEQQHQALLAQNNNGQPAAHNGDVEKGDVRGATNQPNMIAKFLGLNKYEGYEQL RELVPHGAYDTDYPAEVERNAYYHPSITSTAPLLWIPRDAAGVSRQEVIHTSRYIGIT DEDATINDQGKIAWDEERGAPPIQEEKIYY TRV_01717 MDPIKKSPQLSQEKFDNRSQPQTPSPRYTNKADQQYSHHRYISE AESYTAHWENSILYDKDINTRVSSPGFFRLRSEYRSPYLGPVGWTIPSKYYSTTRRSP RRPLNSYEASTDENHVSTVDPRTGSPRERVRNRNTVSAYSCRASRPFLIYQDPEWVVP PQGVTDVYFDSTASDDKENSAPEETEAPIDNEELSSEQNMGIQVGRPAQENAGDIDGH DEMDIDRDESRIILTQPSRREMPQYQHRRDTSSMSSPSII TRV_01718 MSSVKPFKIAVPDSQLEILHKKLEVTTFPDELDESKWDLGAPLD DIKRLTKYWKEKFDWREKERWLNEQMSHFTTDVEVAGFDKLNIHFVHHRSDVAGAIPV LFLHGWPGSFLEVSKLLPLLTAGSESHPAFHVVAPSLPNFGFSEGVKKNFSLTVKQRG FGLAQYAEAMNAVMMTLGYEEYGRIPPLISLLPQEYKLIFFSAIIVVQGGDWGGVISR IMAKRYPSHVRAVHVNFVILPLPYPWKSPILFFKSLLTIPFSRKDQAKLAVSQNYATQ GNGYMAQQGSRPQTLGYSLHDSPVGLLAWIYEKLHAWTDSYAWTEDEVLTWVSIYLFS RAGPAASTRIYYEFNNAPPGPGNFSSQEVASCYSPDVKFAIAHFPREILAFPMLWCRS IGDVVRESEFDKGGHFAAWEVPDILAADIQGFLGKEGQAYGIVSGADGY TRV_01719 MNGTLVDPAQCFSHLVEHIPIWLTRVTELASHTAAKHAEFAAEY TRLSKLKPRQRRRRNSSLNTNKGEEDQRSVNSRNRPSSGSTYRHFDAQDPFRDPLIFK RLTRQNNQDAINRKRKQQDSASSAPSDADSCLARRVRQQVVIHYDSQTQTVLERLVRD IGGARNQIRKGRMNYMMKVDFSRRALPSHLFPAGGPDDDENTLTLPPHRSFRRSRSTH FDPKPGTNGTDTKSTTPAKQTASAFDTTDKQLEVAQSLCETAAHQFLRNGDCSRELSK TVERLNAALEMAQAEGTRLKAEKEAEKLRQQAEEEEQESKSDRTVVETVGPAGSDAHM QADTLQPVKMKMDMNVNGDAKAPPEMMGAIEVDDGSSTSSISIDLAAFRRRRFKA TRV_01720 MAKNWCWACVDSVLKRWPAFMRKNGAGRRKEKPQGGKRESAGRR RKSKESGHAAIAFSSSRVTEKQSDKEKWKKGGSRKKSKGERRVEQSKSKSKVEIEGRR KKGDGAAGGSDRKPAVVKLG TRV_01721 MGHSITWEYSEESEAEEMVSRCSYCGRFCNKRAIHGKTGLCYPC RETLRKEGDKRALETDSDTESASSGRGAEECEPVKGHPAATAEAEDDSQSAKSEEGTA RSNVCSRCWAAEATGTLYNSPVCDDCYHLAQANREWMKGTKRKRCEHRRVVDATDPEA HCRKRGRKRKCEEISEDKKESESNNAPTRREEEQGVLIDILFFFFISFYPAEIIVVDD PAEEPGCSDESMNHAIKESLDTVYKRELLKLEAIANEKVDEANKALDAVRDHLRSWLE HVRRGNSLGKQQLPEQQPKGPEQPEKLDPLEQQDQPPQSLHPPEPTAQEECI TRV_01722 MVDAVSKAAGQTRQSNAALTPRLQAVIWTERLLREEKRGRREKR KKSWKKNKNKKKKISV TRV_01723 MESAPWRPLFEEHVQAAGSPLLSLATVFKGINGNPFPRVRTCVL RGFFAGLQLHPNAKRDLKLASGRPVNEESDDEDASYLNPRKYESDLLSITTDARSEKV KHILSGNEVGGPVECLFWSSKAFAQWRIKGKAYVVGGSCSDTMELKARQEVEQYLRLK EDTDKPWSWEKEMTTQFANLSPGMRGKAVYILALANSVIDTFRQALPGLPKSGPAPEL QNSGEGLVDLTDPVARKNFRVVVIKPEEVEYIHDEGPGNIRNERFKWKLVPSDSQYTW RWEEEQLWP TRV_01725 MRLTVELIQNSLSYLNPLKERELDLRENFGAAKDHDAIDFTDNS ISSISNFPFSPRLRSLLLARNRVSHIQPTLATSLPNLTTLVLTANNFAELADLEPLKT FPRLTHLSLLENPVTRKEHYRYWIIWLAPTIRFLDYQKVKDVEREKAKELFGPSTKQP TALASKILGIKSRAFDVSAALGAASSTSSPGGAGSEKPLRVKLTPEERKRVEKMIREA KSLQEITRLEKELNEGRIPRGAGDADGDKDVEMT TRV_01726 MQLFWTLDRPWPKKAHKDAGSKDLAKLPPIETTKEWTKKIKPGV SSFAKNPENVGPDHLHKLLKHVHSVIPRKEVEDTPIFLLATAGMRLLSDNEQKEILKN VCSYISDNSGFLLPDCDAHIKVIDGKTEGLYGWIATNYLLGGFDEPKAHDHGKGHHTY GFLDMGGASAQLAFAPNSTEAKKHANDLTLLRLRTLNGQSNEYGVFVTSWLGFGVRQA RSRYVKALLKGAPAGTVNRKFPDPCLPDGLRTTLEGTILPSDGPVPGTDSYLVGNGKF EECLRETIPLLAKDTPCEDEPCLLNGVHVPAIDFDVNHFVGISEYWHTTHEIFEMGHQ DKAYDFKTYQMRVNEFCSTPWSAIKDGIEQKKWGKVDQETAYEVCFKAAWIINILHEG IGIPRIGLEASTNNTNSATKELGGHGKNGQDKTYLDPFQAVDKINATEVSWTLGKAVL FASSQVPASKASLPVGFGSNTPGKVPDDFEYPSSNQPHIPTPPSNSDKNGTSENWHDT LFDGDSPRRIPGFFIFAIIVLIVIFFLLGRERRSRIYHKLGMRFGRGGNNGGNSRRRS PFFRGKIPFLSRRGPGISYERVLEEGDHRDFELGSMDSDEDSQGSSSSSPPSGAAGSR PLARTPHSQSPKYNLDNSSLLSIASLNNSLDRRGLVIRTESRDRLYAPALAPTTVGRK SRATSPIRLSTPITNP TRV_01727 MASKEGPPEVPKLAPDLEIVGDQVRIQPTGFTAGIEETDGITER RLMHQMGRFRENPFDFLREISLFVSGTGWRAYDDFIGQPIFYSGFSEKMKSSVASHSL LVGKIEELAESRVKTEEVEGLLSVTAPASSNGEPSVDARTRRKEEIAASLREVVDTMM DNMICKMESKSFIRGAYYITTQILTRAYHQGIHVSSEEVLRLRKVAEEAAKKKQSIVF LPCHKSHVDYASLQVICYRLGIALPVVVAGDNLNIPLLGSFLQHAGAMWIRRKFGNDP LYHALVQAYIDTLLSNGHNFECFVEGGRSRTGKLLPPKYGILRYVLDSVGSGRVEDAL ICPVSTQYDKVIETESYISELLGQPKQKENLRDFISASSVLSLKLGRIDVRFHEPWSL KQFITQQTTKIHHMPTNERNLMATISQEERSRILRTFGYRVLSQINDASVIMPTALVG TVLLTLRGRGVGKAELSRRVDWLCHRVKEKGGRVAHFYRAPTAHVVERALEVLGPKLV GKTAGLAEETYHVVDRFQLSFYRNMTIHLFIPEALVSVALYSRVKKGGEPSNQQITYD ELLTRVSFLSQLFRGEFIFPPEGLTANLEKTLHGLERGNVLKVTKDASNVPQMIELSE HERNCGRENYDFYCFLLWPFIEACWLGTVSLIGLTPPLTDPTNVWVDMNKAQSNAQLL GKTLYYQGDLSYIEAVNKEILKNSYQRFEEEGIIITAKSKESPQPPTMRVSPEWAPER DPETGKLLPQGKLWEFIRMIAQSRREGKNRRDGETISTRVLELSEAMGRTLFQAAHPV KPASAGGDVELSSQIQRRRAIDTASKL TRV_01728 MGALHDGHMSLIRQAAQENTDVVVSIYVNPTQFGVNEDLDSYPR TWNEDLEKIQALNTEFESKSSPGSGRVTAIFAPTTKVVYPTLPPTSELNGNGSFVTIT PLATKLEGASRPVFFRGVATVCTKLFNMVTPDRVYFGQKDVQQSIIIKRMVQDFHIDT EVRVGPTSREPDGLAMSSRNAYLGARRRNVGLVLSRAMRAAEAVYLSGKKSRNEILGA ANSFAQSTLAEQELLPAYKRARFEVDYISLADPESLEELDHVDESKGAVLSGAVKMFP LEESQEGEDCGLGGGKVPIMGPPYILWSCGYTAIYSYNSERERELGFYYQNGQATFFF PPSAAKLKTSIFTLQLQRRREQKREINETIPKCSALTLVIIMADDGMLMNFDVGDGII SSQQKFKGGKWKDRLVAKKIADHRHKKSKQPFTADDSRRGERGGEADHGGVSSRPPKR QRLNNGDFQPSTATITGVSNNSSSKYATGPQREVISSLFSYNPVAKTVPTVEESTAHD DAEATKASNAPLVDGIDTFTSLGLSPELAAHLLTKLKLKNPTAIQKSSITQLLKENCD GFIQAETGSGKTLAYLLPLVQRLMNLSGRKSAEEGQGQATPIHRDSGLFAIILAPTRE LCKQISVVLDSLLNCAHWLVAGTVIGGEKKKSEKARLRKGLNILVATPGRLADHLDNT KVLDVGLVRWLVLDEGDRLMELGFEEEIQNTIKKLDSKRRPTSKIENLPPRRLTVLCS ATLKMNVQRLGEMSLKDAVHIQADPADEIEDTSSQAGDAQKSLEFSAPAQLKQSFAIV ASKLRLVTLTALLKSTFIRKGTVMKAIVFVSCADSVDYHFEVFTRKSDKSTESVGKGE KEKQEEEKEEKDSSAEAAYTTNSATQGTVSESPTLSNPNNSVILHKLHGSLPQHVRTA TLSAFAKQKDTSVLICTDVASRGLDLPNVDFVIEYDPAFSADDHLHRIGRTARLGRDG RAMIFLLPGSEEGYINVLKRGYRDNNTKAVTRSDESDILKRGFGRTNGKGWEEAATEF QLDIERWTLDNPSILEMARRAFQSHIRAYATHIASEREYFNIKDLHLGHLAKGFGLRD RPTKINVPGLRTGKEETKKAFKANRTVDSSDKKNDSGPKNDSAADKMRKKMREHMAAA NEFNIA TRV_01729 MAASDQYRQGPSDSSQKHPPASPTYVLRGHANPIHSLNFYGSNS RLISGDADGWVVVWDMTSKRAVATWKAHEGSILAVVGIEVNLETGVERRILTHGRDHK LCVWRLNEKDEDIVGKILPLDTQNSPQDGNKPWMLHSLSVNALNFCGFAYCFLPHKRE MQSEDAINAENQMLLAVPNALNTGGLDIFHLPSEKRLCVISPEKEINTGMVMALEMFI PPEGEDLYIVSGYEDGSAMVHACRGAIDRLLIAEEDNSNSSWNWELLYTNRPHSQPIL SLDVSPCSERKCFITSSADAMIVKHAIPRLPPTLEPDVTNIRSAVESAHLKAVNTKHS GQQGLRIRSDDKIFATAGWDNRIRVYSCKSMKELAVLKWHKEGCYSIAFAQVNMDTGD IETTRGAIGPNTIQENKSTDLTIRNEPRSLAEIKQQRSIKAQLTHWIAAGSKDGKVSL WDIY TRV_01730 MASSVGQQLMQENEFDTSLALSSANPIPSLSESSEVRGSYQLLT TAQGPGQAAERRKSIGKKDAGERDEASAPVGIQPTAGTASSPGAAKGAATSKPKRVRT GCLTCRERHLKCDEALPRCLNCQKSDRQCKRGIRLNFIDTQVAAPPYAAPSPQTWQIN FRDESREIASEYLGGSERYRPIKNEEFTKPIGFGFANIMGFSMPSHQTLASAPALLTF PDAPSAEGYESMFQTTQQPPSSNHVVQDQPIPQPPVLTLAPPRDPKPYLNTPEEVLLM QVFVEEVGLWMDSMDAMKHFTRIIPFYALGEPMLLNALLACGARHLHLVNVTYKEEKA LFYYNSATQDLLRYLQNPNRDSALSATTAVVLNVYEVMSTKATQRMNHIAGARALIKE CHWDGRSTGVGGACFWLNVGMELLSCLHYNWKLAWDPDTWGVDMNMVPAESSIVGNEE LWTHRIVYICAKVANYRTTKHQPLVHERQSHEPDVSRQSDEWNKMKAWCDEWERCIPR SMRPLGYLQPWQSKTKSSFPEVWLIKRSSVVARLFYHTTCVLLAKTHPTESQFSENIL AIQQSHANDICGIVAHVKDRGVASVSIRCLIIAAECLVNRVSQEQVLDIMDKILKETG WKIGPLQQELVQKWGWNVKTESQPMPQDQHQHQHSHQQHQQPAMTSIPTTSTAAGPMN LNPSLLPPEVGLAPPRPAIPQGIVNPMMAAADFNAANHPYQNHYVAPQNTPQGSYQYG NY TRV_01711 HRRAMTLLPEGPEETPAEQSPGLSDEYLIANLAHRPLHQLAGRI SISNPAKFSALLPCEGPSSMGYLDCLPLEILQAILNLLDFQALSRLSCTSHRARRAVE YLPAYRELMEHAPDTLTALGKSCLITAHSAAKLRAALRTESCVWCGEYGPFLFLPTCE RCCYECQRSNPSLWVMTADLAKYCFRITAAKLREIPIMRSIYGVYDVSHELSRRRRLK LVSVRVAKELSLTVNAGSAPSNMRNNAHLIHLAHFLNAPLAPEGQNNGSGVTSRIRYC TLTDECCGMGSICFPSLQPDNTVERGLWCRGCFRASEQYRFSSPTADLTTRNVYPLRA LIGTNLCARSKMQFLEHIKHCDGSLELQANLRKGLQN TRV_01712 MVFKPFTHLARQTFSKTFVHGYAQSVVAASQSSYAPSASSLNQI TSIPQTVKYTRTAQLQSAFQHASGSSSSASGAKEGHSATSGSTGDSGLAAYYAAWQHA QQTGDDSDWKQFQFTKRIGWKPAKEEKDSLEESDVDQLAASVSRVRSRSEVRASRNVE SAPVDKDVSARVDEAVAREIQEIQEAHALAESEYGTSAAESGSEVFDTQSGEQSHQTP ASSIQESIVSPRTPVNDTTPYEKKRAESRSNAIVELAKDGAHAQVPAAFESLLRDGIK PTASAYNALLEAAIRLHPETHNAVPKALDVYSDMLRRSVMPNENTYKIIVQLLSTRSL DCLRMAKDLEQSRVRFGGMEEPGKFIFQSSEVEHELLTEDHSLPIALKLFNVATTRHP EIAFPLTVYRSLLTACAMQGNVDQMIEIFAHMEANKVAPHAAMFPPMVDTFSMKGDFK SAVECYNEYKTLAIADNNGVFGIVERQDAEVYASVIKAYLSCGNTEGAHRFFNKIRVS FDGVENQAERLRAVEQVIIKDGFVQHSLDTRNFASALHIAQTQLHGQPLTDAALNICV AAADANDIPTATGAYRLLTTDAATSVEPAIAMLALHIRQGSLEMAKPFWDVLSSSSRV NASFIQPVAMYTIALLKSGQIEEGLMEARSMFARIRSASSSATANEQKQRVREEIDEA IDLLGRILIQAAAPLSPHSAMTLMWCMVENGGLVSPVAEYVVASLGPMGISHLTARDL TLAMQAQAGMLVNGSTLFDAAHPLRFAHMLDVAMNAGIRLDDYTKQLLERGIAKLGNV RPDLAQKWHHYIHGSTPVFTTPSYNTPSPAAPQPQPSPGLKPARPDDSFDPYAHSTDF RGSSIIAEMLESSRGRIEDHLNNAMTKFKNMRRIGRHPRYITYAKLITAAAKCGNMNM VHEILGMAKHDVPLLPQYNAVKYGWTSILDAMVAACLTTGERSLAAKYHQELLNMGSA PSANTFGLYITTLKESTKTFDEATEAVKVFHRATSEGVEPTSFLYNALIGKLGKARRI DDCLLYFAEMRANNVRPTSVTYGTIVNALCRVSDERFAEEMFDEMESMPNYKPRPAPY NSIIQYFLNTKRDRSKVLAYYERMKSKDIQPTMHTYKLLIDAYASLEPVNMAAATEVL DTIRASGQLPEAVHYASLIHARGCVLHDMAGARETFDSALAEPHLRPQACLYQALFES MVANHQVSDTPAVLADMAANKVAMTAYIANTLIHGWATTGNVSNAKAVYDGVGIDKRE PSTYEAMTRAFLAAEDHAGADGVVREMLSRGYPSAVTGKVLDLVNDGQPA TRV_01691 MSDGYNEARALRVSELINDFRTLLVHISQLKLDATEMAEVAQGY VLMRQCVAEAQELLASQFDIQSIQNLQGDGEFEKVQLQR TRV_01692 MSEYAFKAITAANITSLGIRGKNCAVIISQKKVPDKLIEPSSVS HVFKISPSVGCVVTGSIADGRAQVTRAQSEAAEFEYTFGYEMPCDVLAKRLANINQVY TQRAYMRPLGIATTLISVDSENGPQLFKCDPAGYYAGYRATASGPKQQEVTNLLEKKL KNKEDGGASWEEVVELAITTLGTVLSVDFKKGEIEVGIVGGPRADGNEGTQTAFRTLT EDEIEERLQAISERD TRV_01693 MGSTLLPASKKAGSKSKPSKRSASKHADTTGENCERDQVKSKKR KAAAPKEREDQIENNEPEEPLIPENESMKRSKPKLRKSVTFSEDTKPDDDAAMADSEL TVDPQDDPDSLANRRAAKRRKREERRKDRNINVQAKPEEPKTPSDPILSYLATYYNSR SEWKFQKNRDTAILKNCFSIDRIPPSYDSALRAYLSGLKGEAAKKRIVEAAKEAISND DKSTSDPTTADVETQRSIYDDAVDEFRTRLEHQDKSQEDDDIPSDLSSSWHKRLKTRR RAELILYLFRDSLPKPPPPKKVTRKTRTAVVSDSSSSSDSSSDSESTSDSGSDSESTS DSGSDSTSDSSSDSEDTDSSDISGSRSVQKGATLKRESQESVTAKHKLDSSDSESTSE ASDTTSSSGSDSDPDSDSDSD TRV_01694 MAPHPRSANPRSRRIEDALSQLVDSLLPPSPHILDDDTGSESYR DGHARAEAAERDELRHQLALENAWRIIRNYGSGNKSQPGSSSEVSVEVINNAPDLIKR RLLKVNTTPDKAVRFSNLYSRLLTQPVLSQKWAILYLLYRIGARSGDADQRDGNLLRE GARSPLLDERQLDYMSMKDKFGARRTKAPESINPGSSASAIGREAPPIELSQSQREWR DPKASKQPERKRKIEDDPKPSGSTVRNIDDFIPAEQPSQESEPPIQDIIVVKPSEPEI LRDLPFFLQGLSTTNFEFSAQSVLKLPRTLPTPIVSLLHTLAEPCLLYRGLSNFVKQA EGGLIEQSLRAAVGSELRSYLGLVASLEGEIRRALTAVSQDSSDQKTVMGAGVTLKRC VVWVKDATLALRLMSLMVEEARKKRGGQLISMIHEFSTSHGDPFVGAYAERLLTHVTR PFYNMLRQWIYDGELSDPYNEFFVVEPETRPDIDPRRAATSVWDEKYKLDSDMVPTIM THDFAKKVFLIGKSLNFIRYGCGDSAWVVAYSRESSKTLHYGDNATLETYIDEAYKTT MARLIFLMDTKFKLFDHLKALKKYLLLGQGDFIALLMESLASNLDRPANSQYRHTLTA QLEHAIRSSNAQHDSPEVLRRLDARMLELSHGEIGWDCFTLEYKIDAPVDVIITPWAS TQYLKVFNFLWRVKRVEFALGSTWRRCMTGARGILGGVDDKLGADWKKARCVIAEMIH FVCQLQYYILFEVIEASWDQLQLAISKPGCTLDDLIEAHTRYLNSITHKGLLGSSSSS FSRSSGSKEDSFLSQLHQILKVMLAYKDAVDGLYSFSVAEFTRRQELNAKIELRTAQG RWGVTDKDAQEPPTRPSRSGSTRRVDSPAFGAVEVPPNQKRWWTADPNTPGTPLPSGD ALLGGEDHLLPSLRERLRELSSDFRVRLVTLLGDLAYQPDVDMRFLGVVMNFNDVYQV TRRRRGVSSREKRKGTSNPSGESTADRDQEKAAGVS TRV_01695 MTASNAKLLCNARMASVSAPKTPVEATVRSANLPSNARTASVSA QRIPVGRTVRVAPLERNATTDSVSVLKIHVDQIARNVPPEKNAEMDSVFALGTPADST AKNASTLSYVFTASVCALRILAELSARDANVPRNARMANVSVLRIPVGLIARNANTPS SVYMVNVSALRILADQTARGAPQAKNARTANASVQKIHVEMTARSAHTLSSVSMANAF VQRILAALIARSVNTLRSVSMANVFVQKILAVPIARGASTLESVKMANAFVQVILVGM TARSASIPRSVCMVNVSAFQEHVARTAERYVVNEP TRV_01696 MRLVGNKRRNSYAWTKCPSSWNKGTLAFVVVDTLLNAGFERGLL ALSGAGADIVGSSLVSTSYAASPGAHMMRLVEVLAAAVELCHVRLEFEAEEEEREYDP EEGFPWELQGYTVDVVRP TRV_01697 MTTYNSNPQATLPPSDIQQHTNQTSNNANATQSNGATPHGDAEK WPLDRVLLWLANNGFSQDWQETFKALDLKGSTFLELGSRGNLGKMHQVVYPQLAKECV KSGTGWDHAREREEGKRMCKLIRRISSTLGSDTGGHGRYYENAASHSASTDGGIENSP NLNREPMSAVSNNVASENSPGPQFAEVDTSNTAQRQYSSQHMTVPNTDTATNDGNGHD GTLRRKQSPSISSDTGFPPPTYSDSKSHANSPAAQFASLASPGHHNKRNSSDSTMSRG LSSQGGTAPSGPNSSIRTSIGAALGDWRAGHNSSPQEQQKQVNETPHTQGKSLFHIFR KKNNHESHHQSSDEMFDSPTSPGVGVRNTPPFATSCQVPSTVPDYDKPRGQSWGIPPP SPAKKFVFGTVDGWNFRLIDVSDVDAADSLRALICNSVGIKDPGSALIYLTEPGQIMH EEPLSDTMLVVNRRARSDHLGSLKFFIQSIAMPPSAGLGVTFERSVNGYRVPLDMTED DSATRVSTARRVPPAERSQNGLSNTSVFPTPNGGQAANLNFSSDQEKEVLIQRAHEQH LRDAERKQKAYLESRKDRIQRTEITTGNTAVSIKGDRVIDFNAPRTSPYEDKRQETLI PLRKPPLAPSESNTLTKVNSLSKKPEDLRMRNSKEFQPDFHRQASSDAKSNSPGIGFG LGAALASVGKMSGVIGTPMGSSTVPSHPADSAVRTESRLSESGAISPGTAAFSEKNVP ARSENVERDNDNAVRNPVSEWTSQPDERPAASSDSTLPSKPTLQTRKSYGPDFDFQES TVPFAKSPLPQEDSDEDSDSGLFAKPIARPAPPQAKRKPVASSSKIEELKPTLKVNTE PRSVKGRSVAFKSPTTAAVSGGNFPGSSMFDGTENQNSADADTSNPSTDDRDYDRRRE SFVRDDIWASRPPVEGMIDHLDDFFPGVDLDEPYLDGNAQSPPLSPSGPSSRDEAESE ATDISAATTQTTGTTTAYTTMTDLPDVLGSAESTLKAKRIPSTVAHRNLSRSGGLNRM KSIREVAKGAHQVNRHQSIQNKGAAASGILRRKSTKMFGAKIMQISPKPGTRLSDLDP IPQQPSAMIQQGKLPQRQPTFRIIRGQLIGKGTYGRVYLGINANTGEILAVKQVEVNQ KAANYDKDRVKELVAAMDQEIDTMQHLEHPNIVQYLGCERGDLSISIYLEYIPGGSIG SCLRKHGKFEESVVKSLNRQVLSGLAYLHDQGILHRDLKADNILLDLDGACKISDFGI SKKSDNIYGNDVTNSMQGSVFWMAPEVVQSQGQGYSAKVDIWSLGCVVLEMFAGRRPW SKEEAIGAIFKLGSLNQAPPIPEDVALAIEPAALAFMYDCFTM TRV_01698 MASLSFTLLPEALMQLRDVLICLSKFNETVSIEAEPDCLRLSTL NVTKSGYASYRLDAPSFFSQYSYNTPLNKDKNGKDKISCQIYIKALLSVFKGRAGDFK DKDTAIEKCEAQLFDSPEETECRFVVQMICKHGVLRTYKLIYEPADVQHAIFARSDSQ NQWSVDSRFLREILEHFGRGAEHLDVYCEDGRAVFTSYTEKVIAGTEILKQPVHTSVA IETRDFVHFSVEEGLHVAINLRDFRAVILHADTLKVPITARYTRPCRPLQFSYGIPEM TCEITLMTRGEGIDETESVPSQSNGVREVPARPAQQVAVSVSAGGDGVAREQQQPTQV HAQVQPEPQISMLPPASHGQGTKQITSLAPVAPIDHESLFVAADDDRQWDEPQYEEEE REDMLRWDSNLDQVKTFLMPIDYLILMKSLVIGGLAAEYRRHYPRQRI TRV_01699 MHLYAFGSNGAGQLAIGHMEDVNTPARCLFVGDERPSPASGDRD SLSPSLMQLIAGGNHTLIRLACGRVYAAGSNLHGECATHASTQNLLRFQRVIIAEPLA DVALARREVSTFSNISATWSASFFVDVNKDSIFVSGHGSKGELGLGPDVTERTLPTKI PNFPPVGSDVISIASGMAHTIVLLDDGTIYGWGAARKGQLGADKVSQKVVWSPQKIVV GGNLPGHIREISCGREFTVLTTTSSASLSYSGASSESLYILGPDKWALSSAAPSMVAP YKSLTASWHGVYVHLRDGTVLAWGRNDRGQLPTATMPALDYLAVGSEHVVGAIDHRTL IAFGWGEHGNCGPETDEQGNVAGHWNEVQLPIDNSSIEAVGAGMIPKQQVNQIDHVSI SVSTVMEEK TRV_01700 MKVQASERKKQKEYLYSLPPRAQDGCILVISGKQQRARREPALQ TIQIKGPSAEPTVKLASSTPNFLSFFFSSLLALPTTSVTSILKAISTALSSAISLFHA SPSTRALARQKTASSATLSASASSKMQSKKAIHFGGGNIGRGFVAEFLHESDYEVVFI DVMDQVIENLQKSESYTVTEISSAGENVKKITNYRAINSKYNMEDVIREISTAEVVTC AVGPNILKFIASPIAKGIDARTIERPLAVIACENAIGATDSLASFIKEHTDKDRLATL SDRARFANSAIDRIVPTQDPDAGLNVKIEKFYEWAVDQTPFGDWGHPDIKAIHWVDNL EPYIERKLYTVNTGHATAAYYGYNSGKKTIYEALSDPRIKKMVDDALSETSRLIIDKH GIPEEEQRAYVNAIIERISNPYLEDVVERVGRAPVRKLGRKERFIGPASQLAERGQKV DALMGAVEQALRFQNVSGDDESTELAKILREENCETATQKLTGLEPDHPLYDRVVEKV RKVQNESSCTSTCNSTVGTQINKRLFALLANSDNWKDIPSSKGSAKATE TRV_01701 MATLPLPPHRSLLHGVEQLPPYTPRRTPMNDPETASIHSDAPSY VSAAPSYHSYLPASHRRASDVLTGTPSPAESQPQHQPRQDTQQRQERQTARTQPAHNN NSNRHGLPPTPMYARGFENRIGPISPFSNSSNFTARSIRNTASSLRSIYNSSEWVPVT SGLQSRHYRNVANRRVTSSSSEINAISRFIFPGLFQTTTDLSTSSISETENRPSSSRN TTVPSSLGQAHNSSTVTLVRSPTEEPPTVSTANEIASPMHSGIHLPLSPHEDPDLVGE EAAARFRSQRLYMASQQEELNRVRYPTPPRSPAQQPEPPSQPGLVYQYSSLVSPASTS IEFTPSPEQQRRARMTGSPVSSDNLLLRPRPSRDRIEATRSQSPETQQLNIHPLTPTP TQTPATARNTTATLSAEAGPSTSTTARYNRRSVVDHDKLVLRAQEAQNWDFMLAQMAD GEGRERSWKKRYKGQVEKQIHIARHLKLGPLLMVGWRRRLEERKKAKARAFS TRV_01702 MQGSTNGEGTEQPALLAVEDALSGMKNDLEFTQWYGSVKDELLE ASYEKYQSCLDDLELTTCHLDSLLQDTSHTLDVLSTLSQSFEAVEDRTSAFRKQCEGL LSARNKSAKLADEIQENLTSYDYLDPISRRLNAPGAGNSVRSKDFSDMLRRLDECLDY MHAHPEQKEAEIYRARYRLLLTRALTLIRGQFVSTVRDISSGVTKRIADRQLNDTTMS ALLYAKFRVGVSEMKDMGLEIQKRAVPPLDPEQGAEAEYQSLLNELHVNFATSRARLV VPLVRKRLNDIANAPSTSKDLVAFARTSISYVRGICLDEFDLWGEWFHGQYGLYDFLE AIYEDGECVVDPNQLDFAILIQPALQDAQTRLVFLAQAILRDEIERFKPRPEDLDYPA KNKQISLSAENNTTPVVSGRKSSLIESKMPMVMDEDTDSPAEKESQWDFTSQTMFEGW YPTLKKAIWLLSRIYRLVNSKVFDDLAHQIVHQTTLSIQQAGTEISSKKSKPDGLLFL IRHLLVLKQQIVAFDIEFVSPDVSFDFSGVTSTFWELQERGGLFNTRTWIQLVGGGLL PQVVENMLDAKVELDGTLRTVINDFTNTFATKMASSLPPISGKTITPTLSQQIQKGAL NMRRSIEEEVPILRRLLDDYIDDKRTKETLVSAVQDTVTQLYEDYLEAYAAAEAGKDK PGRKTSRKPVATGELWDVERFGDWTDSIFRPKIEVYESDNDESQNDDPDENGEYGIDS DEEDKSS TRV_01703 MMQLGHTKEMASEMIVVSPAAKEPLQSTKSRNITPQSERMTSKQ IAFISPLGSFPTISPSRVGSHLFTPSRQLFPSGEAFIGSLLRNTITLLQHVNIVIDER LTLCPGHAHPEIPPWRHRISNKPFPRHIIFDFDLRTDLVFEEKSFIFYDIIPSTLSVM FDGWFLIFHLASLPPKPWPKRIAGLPCYFTTTEGDLGPSPPINRPNFTHIRLLPDLNF SDDESKAEELFQLTKTHFINILVAITEIQYWGRFIVIVLESRHTDMSHLPQSIARCNC FYLYDDEMGRPLNHSALRHLDPARGYPDNSKYDPLRPGVMLSSGKHPTEGWELLTSSG VEVHDRNGYRYMTCTAHGFPHNGRVFHPNARGQEIGTLLEEITHTGIGLAQLDKQISF TNEMFQNTVVPGPPIQLQRFNAEEESRGKAGDDIYINSPFTGYIEGMQGVLSTCRIPA NDPHDEPEQPWIQTRWDYMGQDSSHNLEDGMCGSAIWNGQGDVLGFFRYAPKSGHFLD WCMSISSHELVKRGFSIVTDSHR TRV_01704 MGPATALWTEAKIDFTVTREFVLKHVDPILHPRLNSKIAFGEGL TDDTYIEWILQRGRRLFLILVEIEVPSRIFRLVDETYDDSDLPFDSNKAELLRLSPDR EDLFLNWRFVEVQNRFLARGIEAGQHITYDEDETIPVDVCKERPAVVLSDRLPVEKVS LPGIETKEYLRVKIAIGEDTASHFLSESDVLREVRSLERFSHEHILSISGTYTAESTV NVLFHLPECNLRSFINDRHTSALRKFSKLEQKRWLVNWPNCLASGLAWLHDQGYAHGA IRPSNILIDAKMHIYLGLFDVHDLLVGLRKEEFAEAYEYAAPEQWSNQHCAWQQPPGG TRNALTRTDNLAFSPLTSQKGSYDTRGRLTSWGSSSDFSSVGPSRKRASYAHSTSTTS THGSNQSATFPSPPQTIPNNSHCYSAEQLPLASDVFSLAAVILDIITHLCKRKQSAFA QHRGCTSRTKSSVRSTNDTSFHLDQNTRQIFSWIAILEKDAAKQSESYFHAVDPILEA VKEMFARDPKYRPRAAYVADKFAMAILQIDFGSTQPHCQVKQSDGVIGSLRSFDMSEE SDIPIPPMPTFPEDYSCYAVNDKATQDSNKLPNITYTDSSAQLGGAETDSYTDLSNGS ISLYTDDSITSSSSVYGTPLTIATPATPAPPAIQKPWNVHIVNSGGEFDDKNIHPGND SAEIIDEMMEDMHLQTQKSLPPLPVPTSHPWYVPSRVSSLFNSKPTTSHTSSPLQHSY PDKPFEDSGLGIKPTIPPRHPNRPRANTGPNQDHIAAPRSDPDDFFNRRRSNSSFGSN NGGSSGNTSPIDGISGRFSLLTSSRPSTSYGSSISGLTFKKRAFMNFSRGRPGLRTPP PV TRV_01705 MASRSSTSSPDPLGYPGDPEYLLSSAKKPFSQRIMSPQKRGTPR RGRPPLHQKSYQSPTKSVKKNGQTIRLEDIILPSTPSGNSRATRFDRRSLSPTKAILQ SDGNISPWRIRVTVEAEQEDEEDGGQAMKQKRLGPWVDGKTVKVPLKGTSSTEPTPKR RRRRKSRTDITERPPTPGPKKGDMPTEAASATIEKGQMTGVQENTEAVEDVISSVEDN ALPQDLDEPTQGFGDVFLDLAADGDTDDGDDGGGDALMGDHVEMNAGPRQPSTNETGI TPLDRRKDLMSLENSKVSSIRTALSPINVTNAGRTPRPKRIYPTPTSSSLIDETLEKP ANHVAETDPTDEHREFDSIMESEGFSMVSLNTLPSARQRLRQIVENQASRTKTPKSHA TPRALQQISPKPTSTTALSPNISATQSSSKRPHSQLYEAISSLQQSSPRDMTSRLHRT PRLNNPRSVTRPPPAPAAPPEIIPPKRRPLARLCRVIRAGIALHGLLDRRRQSSNLQT PFSSPNTDSADDMAATRRRLDHLFQDFNMETRRELRAGVRFGEELVKRLRQPNGKRRE LSVTRESTRLQEITSMRPSQNVVYPELGGASQGFQPATVPAPENREEEIKDDPRQEPY PAEENPEDTSMDSLMARREAEWRREREAISRQIEMANESQVIVIDSDDGLRQPAARPG VMDNHKENNPQQRNERDLVNSQDDTENEKEDEVEEVYDGDETDIWQQAAREPEARIDS PSLSDTARKELPQHRKLGFPSPRAGTEEEAYSATDDYESVALPDLSAGGDAFPKLAVG KSKMDQYRDTDASLSPLLGTPDSATIRFYEGNFKRSSVGNSQHHHSREMLESLPRRNN RSFDEPAGSENSHPKGDQEKSMPGEDGGVIDNPLNTLPEGQSSITPVPLRNQDEVLQG ANIVQEEALSESDVYVEVHEEEGSENDPAESDITNPSIPDPPEQTSTVTWFNKLTSNL APAWLTSTPAPTKSSKQRPTVRTKQDVGSISNSEIAVPATQPSARSQDWGRAKGKILD RHSFVKPLAISGYFTDDHYVELREIYREAKMRPEKFKYYPTDERDEMVGQWMWSADGQ HRRQVTEIQLMIVERFRQNLIEDDLRHGGEGEMEWSEEDILWRLFSIIVGEQIRKQRK EQQQRLQLHH TRV_01706 MCGVLRQLMLLPLAFLSITPSCSAWNFHHQLNGTTPSNGTPMNG SSSCPLANGASSNPLQVYTIAANNITASFIPYGARLISLMVPDREGKMQDVIVGYDDP QDYVKDTLTNHTYFGCIVGRYANRIRNGTFVLDGTTYNTPKNELNKTQTLHGGSVGYD QRNWTVTALSDNSITFTLFDSGYEHFPGDVINHVTFSVNSSYGLKRPNPQAEFTARTI SLSLTKKTPIMLSPHIYWNLNAFKNETVLEDTLLQLPLSRRYVEVDSRLIPTGNIGNV SSSLNGTLDFTKGKLIGKDIKSADGICGANCTGYDNCFIIDRPNNASDWTSSPQTMVP AVNMSSVTTGINMLVTTNQQAIQIYSCNGQNGTIPVKSSQVARNKASGDGNGTVVDKI EQYGCLVIETEGWIDGINNPDWGQDPFQIYSPESGPAINWATKGLLQYWCRLIEAIKA TRAVTKEGKVVPHKNNICKLFLVFCIKYYF TRV_01707 METPQPRQPEELRRKISTSSLLRIPTTDEEAPISVHFTSDDSSK PCITTPPPRGREGSHSPTPSNAPPSPRDELPKDFVALDGLLNYHLSQLDRIKNEIKEH NTTLDQLSYTLANMSTRPEVQCAAMYMNWRLQGVRNARNLLAKFVAFHLQEIDRISAL KLEMGGNDVLEM TRV_01708 MAAAPSSTIDSERRQSLSSASIRGNLRQARGSIVSIPGSPHTPQ QQRPILSPYTGTSSPGSSFRQEEDAVILEIGSRWLRAGFEGNSMPTCVTGFGTEEGRR VGDYRGWIRNNSSTVRKRLTYDVETWSKNYELWTSDLRGFDIQLFEDRIERAIREVYN KYLLTDAGSSRLVLVIPSIVPHPLLASLLSTIFHRWKYPSITLLPTAAMATVSAGLRS SLVVDIGWEETVVTAVYEYREIRSKRSTRAMKMMMHEMGKMLSSVIYEIEGSSLGGKE SSVCIPFELCEEILVRMAWCEKSSQNSEDSEFMSAHSAPSTVSEGGNRDTYSRSLDSK VSVPLPIGSDSKYTEVPFSRFSQPTETTLFGGPYENRDWDDEDMPLDILIYYFLLSLP PDLRGTCMSRIVFTGGGANIPGVRQRILDDVNALVEKNQWSVSRGKSINKRKSRLYEQ NGNGNSTPSNTDESNSAFREPPELESNFIDEKMDLKRKDDRYVHGVLRQVESMGPWAG ASLLASLKIRGMVEIEREKYLQHGLAGASRDYELNPPDRRSGHGQGAVRAGGDRSSWT LGEWR TRV_01709 MGIPGLKDELGPGERISLARYSVGHLQRTRKPLRLAVDISIWLF QVQAAQGGANPALRTLFFRLTRLISLPIQPIFVFDGPHRPDYKRGRLVSKNAAAAQIE LSRKLIELFSYPCHMAPGEAEAECAKLQQAGVVDAVMSNDVDALMFGSKVTLLNYSKG SAKQSGAATHVDLYNTEAEDGDSKVTLDTRGMILVALLSGGDYSPAGVALCGPKLAVE IARAGFGEDLLEITQDLLSGRSTKKAEEALCEWRERLQYELQSNESGYFKTKHKAVKI PADFPNLAALRSCVHPVTSSLKEMNALRRSDIWGRRIDVDRLRAFVGRYLGWKNAIGA KNLIRKLAPSLLSYNLFYSSLGTPKDKLPLKILGYKSADSFDALPVLKLEYVPLQIVN VDLDQETTTTSPQEEEVMNIDSSDNDDTPKESHAMVRKSTLAEYDPSASQKAWLFEAI VRRGIPDTVDDWFAECKKKEAAKKRPVKKSETRKKKPKVVDPGMKAGSILRYGTITKG PVVQRNATSSLAKELPPSSSHSLSYSDMAGTQTPPISSGKNTDLPASSEFLDLTFSDP LDDPVGLDHTQDKKDLALSHVHISERMLAGSTRPDIVCAPSQEQKIPKCKEQILVPPV QPNEAKLPKEKKAITKSPSPHPSYSATQEFPSLNQLSIELDNIYNMNPPSDTRTRHQK TDRHVLYRDNGPPAPEGIMDAFKPKMISSEHRPPALHVKIDNGFWTQVQGATTDCPCL LSSEKNSSLNCLSGRLICLGYVSIVDLT TRV_01710 MPNQYKIPATPRVISPSPTPSDLSESREHISDKGPLASPPPIPE EDPVADDASGSDSSLNKRSRTRSRSPMVLSSSVQRRRKSNSGTGEKAALVANGHTSAN GHLSPYSSAQENWRVISRSPSPLGLIPLHSHYRTFIHRHEIPRKLLHVSIGFVALDFF RRGVQANQLTPWLFSAFIPISATDFLRHRFPAVNRFYIRCLGALMRETEVSGFNGVIW YILGVVIVLHFLPKDVAMVSVLLLSWCDTAASTFGRLYGRYTPRLRRGKSLAGTLAAW VVGVMTAVAFWGYIVPAVGSFPNDPEDANMFSGSIRLLPSAATKLLEWIGLPTPTSDS SIVSGPLALGIVSLWTGVVGAGSELIDLFGFDDNLTIPLLSGIGLWGFFKVFGA TRV_01652 MRKVCRLSREVLDIAAREIRPGVTTDHIDKVVHQACLEREAYPS PLNYVNFPKSVCTSVNEVICHGIPDQRPLEDGDILNIDISLYHGGFHGDLNETYYVGD KAKADPDAVRVVETSRECLDKAIEIVKPGTLFREFGNVIEKHAKSRDCSVVRSYCGHG INQLFHTTPSIPHYAKSKTVGSAKAGMCFTIEPMINLGSYRDKTWPDNWTSVTIDGKR SAQFEHTLLVTEDGVDVLTARLPDSPGGAIPMPAAAS TRV_01653 MRSANRTGFVETVLSEAIPMTGRMIHGRNSAGSLWEASQDYDVH GRYINSIDRGKLNTTLLDELEKEPNVKIFFNHKLTGADFKNKKAWFERKNPGDTPGFR STSNGDDKSINLTRAPELEVPFDLLIGADGAHSAARYHLMKYTRMDYQQEYIDCLWCE FSIAPSENNDFQISPHHLHIWPGGDFMFIALPSPDKTFVCTLFAPAEHFATLESDPKI LLKFFQTHFPGVSPELIPPEDLIKQFNTNPHLPLISLKSSPHHYGSSAVILGDAAHAV VPFYGQGLNAGLEDVRVLFEHLDKQGVYSASNADNSQRIASLRAKALDAYSLQRIPDA HAINHLSRENFIEMRAGVKSPVYRMRKALEEALYKYLSGLGWSTQYARVSFSNDRYSE VVKATKRQTNVLSKAMLTTFVSLVGFSTIGLWKWPWSRDIITRMLHATTRIAKGIEGS LA TRV_01654 MATRDTKRKVRTKRTFYITRYRAMSVAKHRIINGARISPPWDIS PRDHGEMSSPERQQPGPGRPLDVFTGRGMVTTPPTTYDRYSTIHPPIALEPIGELPRQ NSSNGSKDPFMSYHNSRLSDPGMPLSLESDHSMGRMKKMNNQEHVDSIPRFHLRRKPG NRDEFDGPTQLLRMPSPGPAATEIPEKDLPHLPTSLNVQEQVKILCDINDRLSRCAFD FVAKYQFPIPLEPEKSEVRAPEDREWTEWVHLLRRLATKRRIPARVLYDGQIKQFITV LENSLEMRHTAKNQSRPLRDDRNILQLISAGTQVAKILKDAPAMEYFDFLYSRTERQI HERRNHTPSFF TRV_01655 MASEKKDIDASNVGSTRLSVGESDGENAPIADIFQNAKAATDKE RKMTLLQGIRLYPKALAWSILISTCIVMEGYDISLISNFYAFRQFNQKFGVRLEDGTY QVPAPWQAGLSNGAAVGEIFGLFLNGWVSERFGYRWTILGSLAWLAAFISLFFTAQNV QTLLAGEILCGIPWGVFQTLTITYASEVCPVALRGYLTTYVNLCWGLGQLIGIGVIRS MLDREDEWSFRIPYALQWMWPLPLAIAIYMAPESPWWLVRKGRIADAKRSLLRLTSRS DESDFNADETIAMMLHTTALEAKITRGATYWDCFKGTDLRRTEIVCMVWAMQNLSGNS FSNYSTYFLEQAGLSSSHAYSFAMGQYAINMVGVFGAWGLMSFGIGRRTLYLAGLCGL CAMLMVMGFLGLVPQSHRDAGSLATGSMMIVWALFYQLSVGTVTYSIVSELSTRRLQI KTIVLGRNLYNIVAIICNVLTPFMLNPSAWNWGNYAGFFWGGICFCCIVYSYFRLPEP QGRSFAELDVLFERGISARKFASTEVDVFSEEVATNVIDTYKEKDSGNVEP TRV_01656 MSLVNLAHVCSHLSNASKARLALTSIPNSNLHLALSNALVEAGF LSSVVRGGPTPPPQHMLLNIPAVNDELEPIEPVTQANIASRRLWLGLKYWQSQPVLSK LSMVSKPTRRIYVDTEALRDIVRGSKSRTIAGLRNPGECLFLSTDCGILESRQSLDPP FQLNVLLSLSLSLKLRHVLIVFRESYNALTPVGKNTKSHKTLWPDFLFLPAEMPILLL RIPVFMYLAASANGIFIEFILAADWLIFLLHPESQKYAREPARQKGKARSPPSSAQVK VNALSRLQRR TRV_01657 MGVSPPTHVSTEHEWRLGWMNPVDRSISYFRLHTLDLYFWTLDD AKQFLATVKRLLAPNQLENIQQIQPSSQQAPMSAVVQNLEKVAISDPGYQQQQQQHQQ QIRPDVHSIKNIPPPPPPPPHPTMQPTPPVIPASVQVVTQPVSPIDDAASHASTAQEK KEAPASYAPLAYNPAAPPAPEPIKPREATPPPPDAAEGTGLSTPGMGMTFAPPPTGEI ESPQPVQPHGVGNVSGSNPQPYTVPGVQGTYSPASSSINQQSYTPGHPPPGGMSFGPL PTTATVPPTAPPTTAASHRGSYGSTFAPPPQDPNAHLYGQQTFGPPPVNQYTQHLAQN HSHLTRRESQASQHSAGGYSYNYPQQQQQQQPQQQQQQPRPSDYSIHQQVYNPSGSDQ PPTTNRNEPAKYSKLGNSAMRMEKGVNKLFKKLENRI TRV_01658 MASNAQEPSSPSASSIFLPLCRRSRRGSHTSITSEAEKENLTEA LDQIHSAASQMGTLTVFNEYTNPPVRSVAIDNKGLVGDLQGGLSGLYNIFKASVGGVR EMVGGPSKAADALSQDPGNNDSSKAPVVGARLADTSSTHIASTQASAANSPVMGTFPS LSEGAGSSQPKTIKISSKAASVSSKTSIPAGNPLKSPLLPLSKVHQPATADPTVTEIN VNAIKDGHHHHRSNSGSLSSSLLMNSDTITSERKDRPSHLSSSQVSQESTVAMRSQSP ILLAKANSGSYRDFQESDFNQTAFPEPTSHDGSISPKLYSNSASRSGLSEMDTSMYST QQKFNQVESTHDDKYRRKDTYPEDSNVSLAMDSGPTSISNSMSKFGGSTNDGNTIATL DTSVSMTDHGIPSAHSSKASINVPKEKLPPRVTQPHVPRFVFPSISSSESSQTVSTTG NTSKHVQKESEKASQTNNYPFYPRWGQSEAQTSIKNKLLSKEFWMRDENAKDCFYCGE PFSTFRRKHHCRVCGQIFDAKCTTLISGASFGYSGSIRVCKPCDAVINAPRDDSSDFS GDEVRNPVVINTRGLESPGDHLSLSNIGEDDASSTVSQSLDHVLKTPTMAIPATRRTG DGNHRRSAILEIDSDRQLARPTSSRSLKSGISRSHSVPHKRHHSRTQYIRNFKPYHDE RAPFQRRFGDDTLGDKLPAFHRDNIIDPDLAQYLSDDASSEEDQPSLFSVVGEGSLSR SGGDSEKATFGGLLAAVKKGRSRFGDKSGLYPSRDIDDGSVSSSRAINLPRSARRRNL SVASSVHQRISPRNSKENPSLHHIHDISAPAFTTPANPATTGFKMTRSASMRGAGAPA IELNKASLDHVRKLLHQQLRDSSVPNCQSWETALLPILLKATDDVEPDVQHGDDMDIR HYVKLKKIPGGRPSDTAYVSGLVFTKNLALKSMPRNISHPRILIVTFALEYARQQQHF MSLEPVIRQEREFLENLVNRIAALSPNLLLVTKHVSGLALQLLEKANIATVCNVKESV VEAVSRCTQTRIITSMDRLAASLSYTGHCGSFDLKTYVYGKRKKTYVYISGCPKELGC TIVLRGANNDVLVEIKRITEFMVYVVYNLKLETCLMRDEFAKIPSSPSKLAQSGQKSP NPPGTQSGDDSTSYTVKSETADTPVPTDGDFGNEVSAPEKSLPAILTQGQPDESDVPP PAFYEDMVEKHQVKLLSASPFVKFHQPYLLMRARALERQLAYLKRLRDQDFSQESSPE EKTKSEKFFLITPEMIHESLSGASSKVKEVIHAVHDAEYDRALHHYETQKRQWEAYIS GNRNLFDPHAHQNIVVLYSLVCTKTSIPCAGPDTFALAFYNQHEIDNKLFEEDCTLGQ YVEDLCHSANAVCAANGCEERMFEHHRQYVHGEAQVSVFVQPYPSKLRGLQDTILMWS CCKKCGNETPAMPMSDSTWRYSFGKYLELSFSSADLHARAGVCPHDLHRDHLRYFGLK DMALRIHYDPINLLEIIVPRPRVTWKVDKDLRVRNEVYLAAQRRINKFMASVKARLKS INVESVMPEIAQACQQEVEALNKRANDDHLALIKEHQARYMNTRYWEVIPLNTVIIST QEKVVEWDAIFAEFERNYFPSEKDIRRLATLQLKKIFLDRDVSVTSLTSSEESGAIPV ETEEGVTTPSGSPRLTRRMTLSPQEAKHMLASVVEEHTTKPIKQIEGEEPNPQTPTPG ADPQEAGDISPMKPIIASENEFRHLDLAIPSNLVEKLSPPKANTPDNVDSNHPDNSTA NDTPNPPVNEVEIPSEPRSSVDSNSNSHDVIEAVKRPSGLPRPRDRGPYNFKGKSPPL MRTLSQPAHSRQPLSSPVLPQDKPLKPSGEKPAEIGKGTFTSKRQRFSERLRLAGLKS PKLPGGPSLIPRSVAKKSSHVSYLTRHFEELSREFQKERIMQNHRRAAQGKQSRAYPM ASSKPIVEVYKSVRDAVEEREPGYEEFPMSAPTAIVQKEEPVAPTTEIVEAAEPPVAR PETPDKIIEERRTSDESLRDGDQTEQNLSGGDGEDEHSDGEKNYLDDSRNIEPSDEAA SLSPDEIALDLKELPKHERTSLVKMLTNFWAERSASGWAPLDYPLSDSDHVFADCDII VREDEPSSLIAFALNSEDYQQKLKSIQEQNEASDSVNVDAGPEVEQSLLRSTGTHLKY QFQEGQAKMLCKVFYAEQFDALRRKCGVADRIVESLSRCMKWDSKGGKTKSVFLKTLD DRFILKSLSTIETQAFLKFAPDYFQIMSEALFHELPSVIAKMFGFYQVIIKNPVTGVE FNWFLLIMENLFYDRTPTRIFDLKGSMRNRKVQSTGERNEVLLDENMVEFIYESPLFT REHSKKLLSQSVWNDTLFLARQNVMDYSLMIAIDESKQEIVVGIIDCIRTYTWDKKLE SWIKDRGFAGGGKNRPTVTSPKEYKSRFREAMARYVLYAPEAVLTISLSSWHQFHAVN PNKQPPKIQNETKDTITPQSRLPDAAVPPP TRV_01659 MELLKNWIEPLVATAISQSADKNDPNLRPRELKTTVDDGKNFRI PVTRPKYAQLLEWLPEAPTPQAILSDSHTFISARFSERVCQNFNWNNNSYSRSNVTPC LLRIKQCEIVIAKSNKFPPEISLYIDEIKLEGCEKEGVFGNPGHIVQCKAVIELKDKW FRKIHILGPTVPSPRNDESGRPKSQADAPTPNNGGNSKPTGHHDNSTRENGWHTQPPV IISQTSRAQENKDSVAVQLSNAQEPQQALPPKSTIPKNRPRSSPTVEHSTKNDDKQAG QTTPLPVATDSSSITSSPRRQSYSNSVGKATQKAHPIPSQTDQHEVISSLEERTTSAT CPRHSSRSRHSNNLFRPDDSEVIKQVSNRGFFSSWNKIRRRDVIIPESQLNLIECEDS WIPPDPGKKTPQNFVPLELLQEWSESHKKETSSIGTSLRRSPTLGEGASSTGSPAPDD EIGSEDWPPTSPVPLVPLDSSPPRRSVNSSATPSNKRTSVANPTDSTDAPNHPPPTNV PGFATKAEEVFDDKSCPDSEIELSIPRDLYTSTQNCDTGMDNNEDVTRLSGTMLSQQL KVQPAPSCESTKHDLVTQNSSTNNDPLVVTSQTSGEWSAGSGNVNGSTSILQVPRSSL ENADHNALILPSTKASASVPLLDNTNQQHKRKAGSPSLPPYPRKVPRIAEVDRSSSAA VPSHWGGRTFDKVKFSSQTEEIYYKFQQAYKTYTGSLEVFRRACCRLQFLRNGLLKRS ILWDDFVAREVLEYQNYLQRCDQRHKKPNTYEDYFEKHAKFPLYKRRNLTVKNLQAVV TEAEGESDCASELGDGPRKEATPELQCTSTLKRTIPESPCKHGIQDWESEGSDSETTK VNLEASSHDRASVELGDEPYCRVSVNKSWKRKATPFKEDEAKESEDEDVFHSVRGSLH RPIRLSHEMDTDGVSTNNTYQKSSGSSSLLAKGPTASLPKPKEPNMPSKAKPSRPKRS PAPSLPSHRNTSSTKKTRSAAIPERSTSWKDPNTSLKVFLRDYVRIPGELGTLDAGLN SGDVPIDEAGVVLIKPRKPPQVGGRMNSMGFTF TRV_01660 MKLLNLLSITFALSGISSAIPATPEKALEPITGTVVGKGVTEHG EPYTITADFVDISDKDLALFKNNPGNATTGLEKRGFGSKGNCDQWYENKYCYCGGAIS NRGDCYWGSQEFCNMHKFKNFRNTSLYHVKWLPTVKIEYWVTNKCNHDRYVGDNCGGI FLDLIDWCEWSWMTAKGGHQNFDDCLFFRFDVNGR TRV_01661 MNKKSLIESLAPPDWLASRLQALQTYRRWLFPMRPGLRSRAGDP PVETQVSEAEAPAEAGEGSASVVQEPAACCSLDEAPCTPRKARTPRRSRKRVRFSDPG CHIDQDNTPEQNNPSTGLTPLVSRTTLLASQTGDVAVHTSRSGRKRRDSRLRHSDPAI YSQLRQTQAEMAMSTPDSNIFRYLRFHDILDPRSRRRIQRLGFSEAMNEIDRRRKEEK RLQRQKDEQMRQLQMEVESLRNQRMEIDCQHSTTEESVCKTPERSERQHSHPIEESLL TPCPNTSGDSAAIMDDDTMDEDFSAPNSPLWTPMASSTPISTFGRAVSSTTLSDPNTL AQIEQLTSELNDKNRDQLYLFEEWQRISGRPNAVTQDNESGEEHSALPPPDLAKQVIA TLQDATVRAAEAVQNVKDLQSELSGHGFDGESAAEIIASIEAHFRHARVELERLVPGE TANASLSNWKAIIDALVDRIHRLVRGLTQAKDQIKSGEDRERALRKQFDATLFRLEQE TKEKNKLGKYAESIAEDTLNMRMKLQNMERDMKEQETDKIRLQDALSKYREDLLMLER LNSELEDEVAASEKKAEKLTMLNNRMRDAGNLSKARIFELEGRLKREKETTASIEASL HQSDLKVLELNKKIKLLETEKEEVISALEMAAKEQSVEHQKEVGNLNLRLSAISTSLN ETKAENATLQSEKAQLEKRQRNMERISWNAIRQTQQKQREAMKAIVEWQNGIQLLAKD AGRPSTCSDTMAGLRHSFNGFGSEPITPETVSRFKNVEIGKGKKRRRCPDSGVNMVVE EDVWSESEALPSSACKPTTAFPIISPISPRA TRV_01662 MTSGDPGPRYKLQITAGPTYDTSTHSLVHVNTDTNLCIETEHAK YSLAISFVPKKGLTGNDLVLGNDLDRPIRDRLPPGSSYAFKFIKWWVDPGLEGDIYAD KPYIYGPCLSSWNYFRVCGKEDETGNSEAEIHEAVVEEGGEGSGQAVREELQIPEDNG QRQKFFLDEQKRKDFEFEPGRIYKADFGNPYIGFSDFTIRIPGFPVPVTKYIDEKNHE LRYILKNKTTGDIYFVVLFTLLMQNGAEVEPVKDESAPGEAEAEVD TRV_01663 MAARTLRIGQLIPKAASSLGLKFSFVDLDAGYDTFLRTKTALPD KTVETLKKECDGALFGAVSSPSTKVAGYSSPIVALRKKLDLYANVRPVKTTAGANASV RPIDLVIVRENTEDLYVKEEKTYDTPNGKVAEAIKRISENASFRIGTMAGEIALRRQK IRQAQSANTTTASPMVTITHKSNVLSQSDGLFRESCRKALANEKFSGVNVEEQIVDSM VYKLFRQPSYYDVIVAPNLYGDILSDGAAALVGSLGLVPSANVGDGFAIGEPCHGSAP DIEGKGIANPIATIRSTALMLEFLGEGAAAAKIYAAVDANLDEGKLLSPDLGGKATTA EVLEDVLKKL TRV_01664 MNRWGETRRDEGLKQAMTVCLFTVTRLYEGRRRRRRRRDELEQY FMIKQGRLFPCFVAGSDPVDSSLTSGQTRDTSAVSYSWTVLYTGFLRCWASRLIPVSL TFNSALSSFLHPEKKKTSLWAPNDGVSSLLERREIILLHTAARSLARHASCLHLDIIL ESPYKINILVKIRDRDRHSFLEPPGTKEEKKRKTAREGGKKGVEVQVTSDILEPLSSL SRSLALCSLPLPLSLSLSSALFAMSAPTGVRGLLAKFENNNVSNISTSPPSRGRSPAG SDHSAAGRPLSKVRASFVAVERNIPGTSGSPILGLKRVGEAGESFGAPPRSVTDEMGS PIDRNTTRTPVDSTSPRKWGTPQQQQQQQNSLDGVVSPRKISDPIVSNVELDKTTAAA VDGKSKAGLGAILKGSPFEDTSAPPSPAKSRQTGKQANKTDDKPNGKTKTATPTTASA TSKTGSRLANSSSSADSKSKATPRQSVAGSKPSSAPKSAKSPAVPGTTAKETAQSQTI SATKKLNKVLQEPAGKTHTTSTTSTTNNNNNAAAKLKPAASTHKNGDPKSPTRPTRAH GSMLAPTAASTAKAPNSSPSRPSSRTSVPANTTKAQALSRKPSSLRSSAPRTTGSSKP SAPSATTTTTTTTVRKQGSRASLAPQHSSSAHDRPTSRASVPSSRPVDDSSLARLIRP TASSASKRQEKLDIASPPRLAANKAHTTKKPTAEKTNTTTTAAAHTGSPARTKSTKSS VRPSAAPEVAPPKPAAAEPVPVKEEKPVEPVKDEKDSPAPALAEDEVEVHSLPVVEET EPEAAKAAEVVEEKPAEVQTEAKQEEAKPKEPQAEPQPEAQDEVKTENTTSTEPQSKE TEAVKTEPEEPKPEETEPQEPKSEESKKDQPNPVESEPEQIPAKNVEPTAIEA TRV_01665 MKLEWHSQLDTEYKPANNYRRTSIICTIAGLNVVRMNFSHGTHE YHKSVIDNAREAERLQAGRPLAIALDTKGPEIRTGNTPGDKDIPIKEGTELNITTDDK YATCSDDKNMFVSRHLVAFLLPSQANRGMLRYVDYKNITKVISKGKLVYVDDGVLSFE VLDIVDDKTLRVKCLNNGNISSKKGVNLPGTDVDLPALSEKDKQDLKFGVENGVDMIF ASFIRHGSDIRDIRAVLGEAGKEIQIIAKIENQQGMNNFDEILQETDGVMVARGDLGI EIPAAKVFIAQKMMIAKCNIKGKPVICATQMLESMTYNPRPTRAEVSDVANAVLDGAD CVMLSGETAKGNYPKEAVVMMHETCLLAEVAIPYVSVFDELRNLAPRPADTLESIAMA AVSASLELNASAILVLTTSGNTARLLSKYRPVCPIIMVTRNPRAARYSHLYRGVYPFI FNEPKPDYNVTEWQKNVDLRLKWGIAQAIELKIISKGTSVVCVQGWRGGQGHTNTIRV VPAEPDHLGLV TRV_01666 MGKGGRFACIFLPYILSIGTLVCLALVGLGCYNTNTLTDVYFAK VNMKDINTSPSGLNSQLQPLAHGLEQAKAKGDIHDFYIVGMWNYCYGDSKDGSDKVTF CSPRRMRFWFNPAEVWGVDDTIQQLYPSSLQRGLNTYQKVAGWIYVAYAVAISASAIQ LLVGISAIFSRWGSFFTTLIAGAKKKLTIFHQVAAVFTIGGAATASVLYGILVGAIKA GLRPFDIKADVGTRMLAIYWLGGLFTLAGGFFWLLSVCCCSGRSPYGHRDDRRGITAE KAPYTYERVASPYGVHPGSAVPMHNMPPHHTQDTAYEPFRHDHRV TRV_01667 MKTFLCVMVRCYTPDWEKFTDLFNIVFANNLKACGFHEGTRIQP LRSQWNHLKHWKDPIWTCVNLTPLAQWAGLSLFVEMIERTAHGKIRLFAQRGVDIPHL LAMGKEARSERISSSSTTPTQTRIQQTSPELPIQITSPVRSQLPPTPVSDSHVLPSPA REYLPSETPTPTQSPSQSAHYNSTPPSSLQSPLQSVRPDVTPPPLLFRFWDENSGGIN SANKFVAGMWDQNATTPIPDHSTIHPRIISTLVRMHLTRVNVSSAFISLSTSPLGVFH RALRAGKANISILDTSKMNQSHLFSVIPFLRHEPIMYGSEGKSRYFGQGEWLVWGIIP SEAILTTFSTDTLFSISTEYPSVGKLLQLHLIKNAKFNRAPLIRKLGSKNIMADMHSG RSVGQFLKLLNVPQPHISTLAMLFATKWSWRSPGDKRAAYLQGVQRGFISAYSLKLPP PDAENTESEQEEDATGQYYEELESDREDESTEVDCQNEIQNAAGDDIDLASGVADPFA MKRASIAAMLKW TRV_01668 MATELTVQSERAFMKQPHIFTNSKVKSKSKSVGKGGRRWYKDVG LGFRTPKTAIEGNYIAPVLCHLGNTPIRITTKDVLGLEDWKSILTSLFFIDKKCPFTG QVSIRGRILTGTVVSTKMHRTLVIRREYLHYIPKYSRYEKRHKNLAAHVSPAFRVEEG DQVTVGQCRPLSKTVRFNVLRVLPRTGKAVKAFQKF TRV_01669 MPHRGSGIDIDKENVTTDFSAAQKQNNIPSRALGNDKRSRSKSL GPGGLDLLKNGGNDRRKSTAAVQLKSILKPTIPVSPISHIPSFAETRRKTPHRDAFGS NHKPSGNDDLIDLSTPAVPVVGTDSLINPFDNFNPIPPSRAKDMAAQREREEKERIER EREKKKAILEQRAARRKSMANRRVSFAPEATLHTWNVVELMEDSTASTGANTTRRNSS MMAGHRNQGSDESEPPSSPGKYELEDVNPPQSAPHLEELADEAFSSSPFSGGSTAEND TGFPQGNMEMGSDSLSSGPEDESTSMSMENVTEQPLSSESNDSSPSSSSLDRSLKKAA LAAGTRGIEFDENGDLSMELTGHEIVGAFQPWVKKGSSAKFDAEDLTSRFDQENIGST KMTASNPTRAAQVNEDDGDMSMDITKPVGGIPRRKSNITSGSNEETRPYPNYQKYGYQ QASRRTSAITNLGDQTMEFTNVIGGIKSASPKRPDTESNVDTDEEMTMEFTNVLGGVL NKQKFDRKDDYTSQEDPKYSHGDEGDITYPNLGEDDMEMTGAVGGILPPIEERTEPSD DGTMGMDMTNAVGKILAPFQVNNLKGKNRIFDEYEEGPPSSPFQENIPASPARAPLPQ HPDLVTSEGGSPLRAIPRLNSTSRQPSSSPKRSTPQQSSPGKGLSTLSKQRSPHTVRQ LTPATPEGSPIKPKSRSASPQKRHISQTNQPPVKAIPASLFQRNSLTGQSTPTFVLKA LNHASHGISEARGSPRIAEILDRRRSIGEDAQEFVLKPTPSRGVKFDDMLKIGQEYEK GNEEDTFPRTSQESEKETTLNLRELISSLTPKKNKLKGRKSLHVGAARGLLGKRPPEL DMEDADEDLTPQKRLRGREASPVKNIKLPAPAPMADTVGRPSQPTLHYDRNVSPFMDK NISPAKNSASPKDNVMHTPNDQASIQEEAKEENNISPGGITTPVEPIKLSDFLEMTNI HFMELTTTKRRHTIAPGSPEKCGTESLQGAKEFGLEDRVAAGFCTLPMLELYQHSCRE LKSYISEGRRIIRSIEAETYAENPPLFQEYITATPDIRLLMDNQFRNVKTHARLLSKE MWYEWRMKLLEGLKQGLDRHLDEMKQDDAILSRKENILANVVPGLIERHDKLEIDSQN LQKVIDEIESCDQEELRDARKKLLAVETELSGYKDKYTQAQSRLETKSDLLEKAQARK EELLQEIREAESIKEECRGWDGKEVRVLQEKQTGWTIISAKPSMDVVKGTTLSMRYGG ELQLDCNPSHIHALSQNLTKGERQAPQHDTLPLTLTYAPKGNGLQSATVNPSPGASLI LNAIRMQVSHALLSPVSLKQLLNGIARCWETAKSLQEEIRMLGYCGIVSIKPIGTRRS EPMQLKIRCTLLGSIKDKISPEEVNQPKKKQGERARIDIDFIAKPKALDKYADGPNIG VGIDFDAAASTVYGFKTPNDSQTHDLRMNDLLTKLMEKPNQSFGGGLLRATVKAVEKR VFHA TRV_01670 MFDYLGIFYISDLLRKVKGWFQIPENYDKEVKWIDEMDETFNNH DFLLQESIFLRELFIERAIEGKLGQTVKPLYSGPKNWKEKLRDAGYQHWTIQKEMHER YLNQPDGPISRQYDISLSRKDQILANNPAKYLSQKRLVQLLLTCVGVREEIKVRTWSV TRV_01671 MYYSLGHAFRKTAAGSFGPDLAKKLSQLVKMEKNVMRSMELVGR ERMDVAQQLSLWGEGCDDDVSDITDKLGVLIYEIGELEDQFIDRYDQYRVTIKSIRNI EASVQPSRDRESMITLLSVTHHLTNFTLGKQKITDQIAQLKYKDPNSPRLVVLEQELV RAEAESLVAEAQLSNITREKVKAAFNYQFDALREHCEKLAIIAGYGKHLVELIDDTPV TPGETRAAYDGYDASKAIIQDCEDALTNWVSSSAAVHSKLSTRSRTLSQRRRNNISKA RADGGGMGSHEQSMRGDRDSWVPAEQHPNYTPSQAEDDDIYEDDEVVQNGDAVREEER AQVAA TRV_01672 MGVTGLWTVVKPCARPIKLETLNKRRLAIDASIWIYQFLKAVRD KEGNALRNAHIVGFFRRICKLLYFGIKPVFVFDGGAPILKRQTIANRKRRREGRREDA ARTASKLLAIQIQRQAEEEAARRKTKSQHEPQEEQLPDELVYAEEILMTKAERQRNRQ FRKTDAYHLPELEMSLAEMGAPNDPRIMSHEELEAYAQQFKSGENINLYDFSKIDFDG PFFLSLPASDRYNILNAARLRSRLRMGYSKDQLDTMFPDRMAFSRFQIERVAERNSLT QRLMNLNGMNGDNLAYGSGSSRVAGERDREYILVKDSGVEGGWALGVLGNKEGSSINK PIEIDKQEESHLPDDDDEGEEFEDVPIEGLNRLPKLPIFEPGVFDESIAQQRRTQLAT DAMEATEGSVDDALFVDDGAEAEAAGVDELFENLEAEESKDLSRAIDLSLEDIPEADH PVLEEWAGRATTSEPTNVKDIPSQSDSDDDMEFFSALAKSKVTRQPASNTQPSHPFSG PLPFEPLRVIRGPQEPLSANKVPEDTDAGGFEISEPKGKEPQPLPPWFKGPSEQKEFI SKEESHNKNYHQSLQTNNVLSRSNAIDITDSDDDKGAEKEVIDLASPTPSGSAQLPTS PVKLQDEKIMTTEHSLSTASNISPDVPEDTTLKSSISEEVEGIRQPQELSKCSEDVSS TMPIPLSPGARDEVTQTLPSGPSTFNADAEGSENLLGGYPDGDDEYSDPEDDELMYQL AMEAEEHARFASTLNSKSQAENAFDYEQELKQLRSQQKKDRRDADEVSQIMVTECQQL LRLFGLPYITAPMEAEAQCAELVALGLVDGIVTDDSDTFLFGGTRIYKNMFNQSKYVE CYLSSDLEKEYTLDRKKLISFSHLLGSDYTEGIPGIGPVTALEILTEFSDLEEFRDWW SQVQLGNKIPDDPHAGFRKKFKKNTTKLFLPPGFPDKAVEKAYLEPEVDSDPSEFKWG VPDLDAVRQFLMATVGWSPERTDEVLVPVIRDANRREQEGTQSNITGFFQGPQGAGAF APRQRTAGKSRIEKAFGRLRNQAEAKQTTLGSFIDEDTNSAERIVGSDDNASAASRRR IRATDSSIDVDDETASKQPPHKVRKKAKDTRI TRV_01673 MSCSIKNHDTCLPITALKHVNIPGRGLLLNGSGPFVQLLDESNG ILLDRFRVFERNTVHGIQAIEECWRDGNDYTSSFLVWGGYSLRIVNLHLQGSRETENV SLLAGSGECRAPDWILDTTVPSTSADNKGAIKGLLITAHNVVFTLTFLLHKTELGDEN IIQLHELGSNLRPILYSADITWSSNRVLVAAGTVFGEIIIWSCQLALDSDPCQQVDDS ITINHFFTGHEGSIFGVDISPDIPINGGQTTKKFVASCSDDRTVRIWDISSYDQGFAS NDELSACETNTNSRGTGFYITPADEASAAQEFCLAKEYGHEARIWGIRFLDFEVSDDY ITFNLISRSEDCTSVVWNLTTKYELVNDKYILRKNQTTLKPISSHSYHVGKNIWSMDV VENMGSFVVLTGGADSNISSLIVPQLTGAYIPGRRAETYTYDRVYDEFLRINNHPVVS NGRTKNSRNDGKINSFGFISEDSFIAPFTEGVALLGQVSTAEPQDIRSQDGSVVEWST IPTIGGVGSYGAITGIPEREIGLIGTSTGRLLWYSHKERRVEELADIGQGISDIFVIQ PPKGYDGNDSCPVTFISSSRAFSHANLFIIETSPSPKVLANQKLALPAEFVVSSAFSI PSMSLLILGARWGDIVVFRFSFELQREMPEDPLCVFPTHKKDAVTSITYLREDQNLSF VDLITTGRDGTYCIHRLDRSGIQFKMETLHRISAPFGPYIEGSRLDPKTGHLILWGFR STYFILWDETTQTEILSVECGGAHRRWTYHFGNMGHVLLWIKASTFNIMSEQFQCHRR VRQGGHGREIKAMSISKMQVDSAKAPWTVLATGAEDTEIRFFTLNEGPEGKDGFRSIR AIKKHSAGLQHLQWSTSGRFLFSSAGREEFYVWRIRWIPGFGIGVLNESEAPKSRANS DLRTTHFDVINVTAVDSGHESFLIAMAYSNSTAKVNETPNLLLNAYVT TRV_01674 MGQQQSKNVSRSNGGGLQVEGLQAYPSISKSDTKDSSRSIRGSI RSKIPGSGRSDRTDSPRASNGTLARSDSAPPEGLDAAFARSSISRHARNLSGSSMPIP PISSQDDLQDEAPNPPPSPPHSSSIGRGHKDVKAAQKSGEVDHVSDAGPQHVAPTTVA QKAGESILIKRENSLNPVLNESGARSVIDQNGTNGSPGMTIGALKSIDVDDMITRLLD AGYSTKVTKAVCLKNAEIIAVCTAARELLLTQPALLELSAPVKIVGDVHGQYTDLIRL FEMCGFPPNSNYLFLGDYVDRGKQSLETILLLLCYKLKYPENFFLLRGNHECANVTRV YGFYDECKRRCNIKIWKTFVDTFNCLPIAAIVAGKIFCVHGGLSPSLSHMDDIRGIAR PTDVPDYGLLNDLLWSDPAEMEEDWEPNERGVSYCFGKKVIMEFLQRHDFDLVCRAHM VVEDGYEFFNDRILVTVFSAPNYCGAFDNWGAIMSVSDELLCSFELLKPLDSSALKNH IKKGRNKRNNVLNSPVSSHPSSPSTVNPFLKTANRIYDINTNSLPSSLLWFLRRVIES DLRYPTYFSHPLEVYS TRV_01675 MSSSDGFCSSLSFSPGELGQVYTMEKPHPISSNVGVSSNAPTVQ SPILTSPRKNSAGKASLAAIGTPAPTPTAVPARAASSGPATPSSATSKAANVVNNPTP ILGTVPSVTATNSSQPFSTPPETPMSSHSATNSISGSVLGKRDISTVSESEKEDSHDK DEDDQTGNNAAQREPKRKRIAPTLVSSASSGMPNSEDSNKPSS TRV_01676 MLASAGDDGNVLLWVPSETQTQPAFGQDALDDKETWRVKHMCRS SGAEIYDLAWSPDGVFIITGSMDNIARIYNAQTGQMVRQIAEHSHYVQGVAWDPLNEY VATQSSDRSVHIYALKTNDGQFTLTTHGKFLKMDLPAKRVASSSPVPDFGGNRVQSAS GNPMTVSSPGASTPGTPLTAPLPMDPPPVSLSRRSSFGSSPSIRRSASPAPSMPLPAV KPLEAASPGLFGGIGVKNASIYANETFNSFFRRLTFAPDGSLLFTPAGQYKVSLAGQN DKVVEDIINTVYVYTRAGFNKPPIAHLPGHKKPSVAVKCSPVYYTLRQGTKPTRQIFL DSSSGEEAFPSLPDSVISQPAMEPPSSAPPSATSETARSFPQLGTNENDTGSQNTPIP PVFALPYRMVYAVATQDAVLVYDTQQQTPLCVVNNLHFATFTDLSW TRV_01677 MGWFWGNSSSSDADPTKKLDPSLREYLEQESPAKYTPTAAGITP PQQQNQSPEKAPTESSSSQDRTAEAASPVPSASLFPDGRYAHIWKDYKTLEEIEGPSI SPAERVVEQFKQRKDVLNRAALENCSEEHEDLSLCFKRGTFADKIKARLTMCGEQNAR FSRCYTMQSKFLQALGYGSSFVWDMEKEERIQMHADKLYHRMLDYERRVAEAKEAGRE PPHPKSLFKSEAEIAASEQLGRPDDECLIPGGEGLPAGAKPYKPLKEMTPHERELEVQ SLKQQMAQRDVYMKEVAPVLKAEEEAKNKRREKFSSWFGETIGSWLA TRV_01678 MRLLIALYLYHSNHKVKDVNFGFTFGSPAPPSPPPPPQSPPGLP EKPPITPQSRHSTTSNKQLSIPSRASSRRSAARSSARKLHDDVSIYDIPPDDDGPEER SSKRRRISKAHSGSVTGLYTTADGICIGKSFESSDIESSPTAHRTSVRQPPQLEPEVT GKLASGRSSSIRRTASPAGSSLRNTSPLENIRPSVESSVSVPPSLRDGNQDSDARSPN VTQKQPAGPKQRRRKRKSVVQHPKKKRKSLLQPPEVPESHETEAKDGSEQLQSPPKDS TPDAGLDSGDSPEPNDPSAPDNEPTESPRVHSPPIIDEQVDAASEQTEGKEVSDNPAP AVASPAAEDDDGDPVSKNQLPSPEAQEAQGVEPDTAQREGSSIRDQDNPENQVTAPKG SRKRKKKGQGTGAPTQKGKASQKKDKGEGRSTVPVRVHRLPNTSAVQEWSDAEESARS TPADIREPASVNPSLRPLQIPSRSGVNAADVLSQICRETLEKTLSTLDSAIERESNRT RQVEWTRKRKVVEAFGTELEGRLFEMSELLDSNYVLAMRLRKEKKEVLALRNQLMDIR KERNEIALQADEVRRRFSADESAKAEHGAINNALHDLQLAVDRSQKKVGNEDVGGSTN PFAGLEFLLRTVSQDVCSSSSDSQGGLLNQVKSFNSQLQRTAALLDRRGS TRV_01679 METLGTWAETPVICTSDLDTVGGDNPYPPTLLQPEDLDELDEGL DPEEMPRDMEDCYAWSPVNLFELAGARYDDPQDLNPSYILCLLPNIPGKDPFELLRHP GASQVRTFLIPSIFYPTDQEWVLRNLTTREFVRAAAVALDKAYIHGPFIDLIGFGEVI LSRTIWYDKLPSDNDSWAGHCLDIVPITDLDEGGQWKDIGDQVAEDIERIGKDKLGDN WRTHIQEKARLPNELGSRKRPRWMP TRV_01680 MLNCRLWFSERPKICLLNKLAFPCLSDGEMASQGRLFDRKISEG TELKGCSATHSHEDNVTSYFYIEWKLSHACTGIAGWNRFLIDAMGVEYFEGFGSRSDS LEPRLLRKEKKESLHRLSDSDKHLPRRSSI TRV_01681 MSSDIEKDTAGRTTTHESSLKGMSVEEREVAEAAARYGYGPLAN GNTSVAAFGKFQPSPAPAPAAAAPSKSFANPGPLGLSAFALTTMVLSLINMQARGVTA PHLVVASAFGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWISYGIIFTPGGFNIIE NIKEANGITGVNNVTGFWLMSWWIFTTLCLVCTFKTNIAFVLLFFTLDLAFLFLGVGH LIESAPGKIYIPLIKTGGFFGILAALLAWYCALSGMADPNNSFIKFPLGRLPWMGNQR VKTEREVV TRV_01682 MAIIAQFQGANIWRYSEYLIARSLAFSETKTDYVRNGQGRLKSL TVSKGLLRETEIVQKQIKALLKCDVRIYHLAFLLSDEPDNEITLTGFRLVTLDLLTLY SVMNEGVINVLGWWLSAPFGIALTDDVVAFLRVARQYEHATRLEIPNLKHASTDLAKL LEDDLHDPDFAIRRKEYREQKFGKSKGESSSASKAAKAQPSSKANNSTSSKDVPSSGT KAPAADLIDFFGSIEENQPPANQQLPPQQPQQQNMPMQFQQTGFQQQPVPAEFLQNQT GFAPNPMGQNINPFGQYDTQMQQQQQQQPPAAQPLQASHTGAGFGGYTPQPFQSPSPL SAIPQNGAVPFQRPMTAGATPRANNPFRQSMLPTADNLSSPQLQSPSTLAMKRQSTNP FAKQPPAIPPQYQIPNPNQYPMPSSSPPPSQQQPQPLQPQRIGTNPFARPQPQQSQEA LPAQRLQPNPTGSTNPFRQSAFINQATGQGWQNVPQGTMGGLEKLETIPVFPRPGQMA TRV_01683 MKRKASLSTILSPRSSTSFYDRTVSPIPCSIGDAMVIDDTPQHL HSRTRKRFRNDRPDEQAVYDNTLRWLFSAQRQAENHGLYPEPITSIDDSLESLEEDSS FQEDSTTSLPKPDPSQKTLHHFFRPASAPARVSLAEANGNSTATSNNTDTNPSISTST HIMSSQVPSSSSTSVGNASLSSSTSGAGPGNGTDTVECEDIDMDRDTHTFTASATALT PAFQQRAWMDGKDLTQPSSCYYLLS TRV_01684 MVGSTSAANERVFGSILRPYLANEENAFVISSDFCHWGTRFAYT YYVQAPDPTTYKLPLTYPSLPVPSDMLTAEKAAEEVRAASSGRMLRENDKSYNKEKYM WAIHESVSACDMACMSAIASGNTDVFQEALRRTGNTVCGRHPIGVVMAGIEGLQHQAE TTMGAAVTQDGVKKGKFYFIRYERSSDCFSVQDSSVSYVSAFAVL TRV_01685 MTNDEDEDGDEDKTMTRVEGRGRQKRVEDTGHSHYEACNLVIRI RPLLFCLPGRGLVSATSRRFFPPRRSPFFDFNFNFNFTNFNFNIACISFSLSLLQPAS WHPGLDRIDRTSCSVKQTGCSPTRTGRQLGLAWNRNQGPRAVLAAHHLNISFSSPSSW TGRHSDSKRPSPIDLTLSLSLSLSQPPASINHHIRFDDDDTDCSVRKEHRLKTFARLK TVRLACVAADKKVEHSPGTIQITHLFAHAFVVNANINASITASSSPSQPARPRPAIAL AAAVDSASLKFRNLLHVLSVTPMKYENPGLLDEALSHVPLDRLYAEAEEECQILQAEA ASMGENVKPTWGYQDCVIKALLSRGRWFKRSFFQFINNPPCSRCLRPTLLQGMTPPTP DETARGATRVELYICSEPSCASPERFPRYSDVWTLLQSRRGRVGEWANCFSMLCRAVG GRVRWVWNSEDHVWTEVYSEHQKRWIHVDACEEAWDNPRLYTEGWNRRMAYCIAFSID GATDVTRRYVRNPAKHGLDRSRAPDEVLLWVIHEIRRMRRENLSKEERRRLVKEDERE ERELRGYVAQSIATELSRLNPNGVSAPAPGDEVKVPVSAAATTTGQSGVERTGQPNPD PSRRHR TRV_01686 MGRMPGDSRHAEEDRSMKRSGEKYRKRKKKGPERMSTGAPERLG IYRDADKEMEEPEEKRIERERDRWERKGRGSIGIKMENESVKRVWVEEESAVN TRV_01687 MSSSGAPYCIICGVLIHNRTRRNEWPGQYRAGKNVCFHHYIDSN GTNPAHTIRLVRAASLNEEPFLTGVARFVHGERLLRAPSNPYERYENDRYTNETKSDE FPSQGRTSEDHPLPGFVFHDACWGILKALVHPQEISIPRLYDLCLSFPSQEFGLLTWG HGYESSVSDAEIVTHYRSTYQQSFFLRDPFDIPELQEALDEAEKERSNENTAERSIIA ERADCDKFYSLPFEIREDIQCMLSLRDVFNLRIASRSFGSMPLSQHFWRSRFLPSFER GFIFEALRPQLDKATSGATIHYNWKALYEKTNPNLKHFEAIRNRRRIWECNKSLAALL ISQPPLEDGGAYSMEEHNHVLWRTAAAKPLEPPRTQPSRGRFPGSAPLPPPSIVKEHA IRVPMQVSHVGVSLVCFGGNTYVSGIRISTPDEKEVRLGYIRPDNEVILNISQKGAES NSHPLTGFAICADPGGIRGIRTATADGALSNWAGDHHDVPSTLRLCLNERVTHIKAKF DAFRLISLSVPEEEQIHSQNPPCLSPRKATLWYPHIPCEHQSLHELDFVYQDEPQPSL LSYQQPHILLMFGGEKGSYLPYLTKISVSTLGGILTWIDFHYECDGAPISCLPVYQGR EKHGNQSKIQFNIDGPGGERVTGFRVKDKQWRTPKNEPISFSIATLEATTNRGRSFTF DANVLPKIRLPLGHGQQKKKKLDIEPGSTITGIYITHEPPNGLTGLGIVSEKV TRV_01688 MDIHRCRFVPYNPQAINALAFSHPPSNEIQGRGFPTLRLAIGRA NGDIEIWNPLRGAWFQESILRGGKDRSIEGLAWTLDPSETIEGKEVAGKLRLFSIGYS SVVTEWDLESGRPARHSSGNYGEIWCLAVQPQWRPRRKKDGDAAPAREEGYLGQHLAV GCADGTIVILSTEDGDLKYLKTIRSSTKRTRVLSITFQNRHTVVAGYADSTIRVFDIR NGSLLRTISLGKGQAKHTKELLVWTVKCLPDGSIISGDSAGEVRVYDAKNYSLVQRLQ GHQADILDIAVSADGESIVSGGADQRTVLYKLKRREKQMTTRRWAEVMHRRYHTHDVK ALAAFETKDISIVVSGGLDTTPVVLPLRELGKEHHRKLPNLPQIPQVSSSGASRLLMS WWDREVNIWRVAGSFGSDMEQHKLVGKILFQGDEHLTCAALSRDGTILAAATISEVRL FTLTPDEVDGFPSLRVHKIELPARLASNGAKNVAISPDCKWLCVLRPNNDIYMAKLTR EFKTNSTLEVLPSSQKLTRLPRQTRVDKPLHGTLGSYERTVRCITFSGDSRIFACGDL AGYVDVWSLDRRNDDPATIKETGDANSDSSDEDSDSDDEESLPSGVWWKHTPSEAILP RLNSSVLLMTFRPTLEASNTSKSKRTSTVPVPHESELSALDDRLMILTSEHHLTEFNA LKGNLSEWARRNPKACMPSEFTIIKDRAMGAIWDVAGGRDRLWLYGPAWLWMFDLLQD YPGPQHASTSGHPSPHKRKRQDHEEHSTEGERKKKKTNTGAGDRVALADTEVGFGPAI KKGVGANGTNAELVPIESQKNDNSLPDDEDEDDGDIDELALANEATLASLRRQQFPGA NGQAMNGKNVLSGPEYRPSYADNEPVLGNHLPNGSEHGNQVAKLSTPEPESDHNAESR PRQWWSIFKYRDILGIVPLSHNSQSTVESADLSEAEDAKSVPLEVVVIERPIWDVDLP GRYIRDYE TRV_01689 MAFQGWMAPVHYRVPLLSAVRSLLLRTMFQLLDLPESWTQMFLQ KGYAGCMDVSCPVLLSQPASKPASQVPPSSFSYAYEGAAFISGSSSFATSACSDVPFL LLALLLHRRSSSGFFPRFFQVCCLLLIVRHVCEDGPRAPQADVTWLVEGCPPPGGPLR LDREIGGASPFRSMTSSPWQKVAPPLGG TRV_01690 GGRGRGGERERGREEERKREEEKGGGRLAFFLFLRGGKLKKTRA RQARETTNGRRTSLISLLLQAGWETNEREEERREREREREGEEGEGREIKELSLLGDE KKKKKKKKRRERRRRGRRRDEEDAAKRAMDGGAGFAQRAEGATDWTRGDKGRQGDLLP LAYTLTMQALLFFLKAEGEAAKRQTPRGWWWQAEKDDRDRKLKKKKEMMIEQTEGAGF AGLPACIYVFLLAVSPFFPPDEYNV TRV_01625 MLIPSASYILSLAILYLPATAGYPHQDVASFPPVNLGYATHVPT YINVTSNGLKYANYNNIRFAQPPVGALRFRRPKTPPPREHGVKNGSAPMYATDCVSAI PNIFPPQGLPSRSWGQEDCLFLNVRVPEGVKESDNVPVVHWIHGSGYAYGSKDLHRIS GDGSGLFEDMDHGTQKFIYVASNYRMGLYGWSSSPSEDTEANVGLHDTLAALQWTRKY ISKFGGDPRRITAFGESAGAGMLDLLLIAKDGKEDLPFDKAFIAFPAIWPRKDPSRRQ AVFDSVLKASNCDSADCLRKLSEGDLFKANEYLLVNVTDGKTGALGPDPGFTPVVDGE YITDLVLTVLERGGYNRRVSRIAASNMALEGLGQAPPDHMPEIFPYLVRGTIPHASDE TIQKIQSLYTYPADLPAKLGWDWVTDITYACNAYYTAKAYAPKAQRYVMSVPPAIHAL DQSYYFYQDNTTTPVTDVDLAREFQEHVRRYITGGKNFKKFPSLIDFPTYGNGESIFN VTLGGWKKEKDPWEINRRCQILHDIFADPKNGA TRV_01626 MGGIGCFLPRNYWSPLAFKILVACELPLSIGLLTLTGIAAPDLY RTKLWQDGADNGFNSSPDEKVYAFANYRPYTIPRPWSPFTTNFNLVITVLSLFFLLTK TPMFVMHVFYPPLSILVHGISVLLYSIGAAFQAASDTTDPKHPQNGPPWYITKNCNVA SLHTNVNYCKQAKATFALMIVMIFIFTCQLILAAVSCFPTEEDREKKRIKEERKSTLA ELKSMKSPLNPDGKMTFPLTTPRTTAFNKLEGNSDLPLRDRSNSYSTMPHEEETHGMF KQPEQPEAQMYFPPPPQAAAKGKA TRV_01627 MIAQQLLNSLFLPQSCISLNGPDKRRKAENPLAQKRGLAWWYRR REKELKANSLDFMWCGRLLESAMRQLKRDDEVERKKMSGGRLEVARSL TRV_01628 MSAQGSKPHELRTATEPRQNSLYKLRVSYISQVNPTIRLIRFNN FCGCASLDWSKDNSEDLTYNQQPPLEFLPGQWLDVHIPNIKHAGGFTITSTHQDASTQ GDIDGNDNHLPYVELAVQYSPSNPAAKWFWQPIEQILGAYVKVRIGGGFVWPPPNGMA LDEIKNIVFVAGGVGIKIPSPSTPINMARPGEAVKILATDRPSKILFLDRLLQISRDW NRAKDNADPIDLQLFLANSYLENRGGNKVDFHSSILDTDKHITVHSRRIEASDLHKAV GESDELRSGTICYVCGPPVMTDEFVKELETFIGSEDDKTRGRVLYEKWW TRV_01629 MNRRIIRTIIQLGILTVTVVVFVVILDSQIRVLPASIHDHLPSH HPGLVVTELTVTTCSALNVFSSCTLDPKVWHRIEKDLYLGQGWTSKAYLHIQRKKGDE ILPTDKIVVDVKMGRLDPGAGTDKKWESRPGGIWILRLPHSTDSQKSITSVDVLFGPD AVDPRPDWELKDIPLMLDSKSEARLTVRRGHARKIEPPVPRIRKDGKFKIMQAADLHL ATGLGHCRDPIPKTDEDKCEADPRTLEFIDRLLDEEKPDLIILSGDQVNGDTAPDTET AIYKFADLFIKHKIPYAAIFGNHDDEGNLDRRTQMDLMQRLPYSLSKPGPEEIDGVGN YVVEVLGKGSSSASALTLYLLDTHKYTPDERKYPGYDWLKPSQIKWFKSTAEGLRTAH KKYTHIHMNLAFIHIPLPEYRNTANFFTGNWTEPPTAPTYNSGFKDALIEENVLLVSC GHDHVNDYCMLEKDKNGKSALWMCYGGGAGFGGYGGYNDYIRRIRFFDIDMNEARIMS YKRLEWGNTKERIDEAMLVDAGRAVGPTN TRV_01630 MASVTLFSRRLFTTSRSPLLSHARPIDTNFGIFQGTQFYVLGDV YLLYANCGLKEGDRVILNTTKGVTLTKPLKKDQKIDVRGGYIEHNHIIGRGDFRITQP TLDEYVSLTRRLVTPVKLPPKESCHLPLCGDILSLLDIHTPPPSGDEQHGEPLEILEA GTGHGSLTLHLARAINGANTCPPPIPQATQRTILDPQSQAQDTAEVTEEENIKQKNWD AWKAKRNAILHTIEISPKVSAHAEKVVRGFRRGIYTGSVDFYVSSAEGWIQHQIESRS SNLPPGEKLKPFLSYALLDMPSAEKRIPEVARVLKTDGVLAVFTPSITQIGDCVQLIK DQHLPLVLTKSVELGMGISGGRLWDVRPAVIRASVKNREDAEEPANEALDNLVDTSAT NNQTHYAKEDSGIQSSQENGLGGENQPVKHVMVCRPKVGERIVGGGFVGIWRMGKV TRV_01631 MFPWAGKAKDGKRPNWFERLRGSSQSKLQHQQEQPAPLKSRPDI SDITGISLEEICKVCYNLDPSQAPRSGPPIKNGPSLFSWAAREYNLPAESSVAKVTID KSHILLDSAWGCFFCAIISTALSMAQPGWEAEDTVIRINLAPNVPVTVQLQYGHYGTS SGDTMGFEVPMHFEYIIQPGTPKPTTEIEIYRPRLCGSSGKAPDRGELISGLLEPMGF GEEIASHAGDDTCVKFIKEHVENCIREHNCGGNGGHSALPLLPDRIIWIQGGNIRNIR LVEPKNTRANYLALSYCWGSVTADTYLTDQFTVRSRKTAIDYNALPPLLQDVVRIACL LGIEYIWVDRLCIIQGDNSDFKKQAPKMGDIYGNATLTIAAASASSENDRILVERDAK WKSSGISLTLEGLGTLNLKIRRRSHKLGTEDQGGDYGRVSTRAWIWQERLLSARTVFF TSHALKFECHCHSIWEGFDQGISGNSWSSNLEKVSIDSWLELVDEFMRRDITYASDRL PAIAAVMNRIEKRKGWTAFWGLWKHAIVESLGWKVDDCGIEGRHLCEVNPSTYAPSWS WLSINGPISHGNLSVSNKVSQEVLKPWVYELECHGLNDATGSITVDGRFVTGWVEATV KIDKKDKSTPAEPDRFSYQYRAVFTADYVETVVNADVALMPRGDEPWGMCRPAVRVPV GEVPPTRSWTRYCIYLVVATREPFAIALLLGPSLRSSKLYERIGIAYAVRCNMFEGAK KGRFEII TRV_01632 MAGLMESTATVTGGQDEAATEIKSSSSSLEGSTDCSAKMEAPKM LAGGAKEAVETSGPAEADEKQEEVLQDRYQEKEDSRDDHGPEAQEAPGAPAGSSEVKM SKGKVALIMLALCTIVTTALPTIAREFNTSQADYAWVGSAYLLGAACMLLLPSWAVVL VLTCFLASTPSWGKVSDIFGRKPVLLAANVVFLIGSLLCGVSINIKMLVASRVIQGIG SGGLLTLVNICVSDLFSMRTRSMYFGIIGMVWAISGIIGPVIGGLMTQYTTWRWCFYI NLPIDGVAFLIIFFFLELHTPTTPLLAGLRAIDWLGSLAVVGGTVMFLIGLEYGGESY PWSSPTVICLIVFGIVTWGIFILIQWKVSRYPVMPLWLFTQRSTLAAYGTVLIHGAIY TSGSFFLPLYFQAVLGETPLKSGILLFPNVIAVSIVSAVTGIFIRKTGLCLPPIWFGT TVLVLGTGLYINLPSHRSLVKVILYQLVAGMGIGPNFQAPIIALQSHIRPSDIATATA SIAFCRNLASSISVVIGGVIIQNRLQSNILEIQHLLSPKTLDALQGVSAGASVHIIQA LPPNEKQPVLDAYTKSLSTMWIFYTALAAIGFIVSLLLQKKRLNKEHEVTKTGLDAQE RARKERLQMEKEAKSSRNKAV TRV_01633 MPMQKFQHPGQHPQHPQQQTQQQQQQQQQQQQQQHHAPHSQSNP VLSHQQHSYSAGALSNAAAQHFTPSHLQNGSSANLEDEIDEPMNEHWQQQLQLAAESR QANSPHYYARSVAQQTKGIQLSSHQNDSNENGTEDRNRAVAVKETRRQDWMAIDFGGQ GLRALSDGLFHYAFLDKLYLNHNKLKTLPRKIGQLKNLTHLDVSSNELTEIPEEIGML TNLKRLLLFDNNLQTLPFEMGYLCELETLGVEGNPLNDVLKSRIMQEGTKALIKYLKE ETPAWVVPMPPSDRDWIVLDESGRGSSKNPHDKFTVLTYNTLCDRYATHQQYGYAPSK ALAWELRRDLLLSEIRGQDADIVCLQEVDQGSYHGFFREQLAYNDYKGVYWPKGRAQG MSEEEAKVVDGCATFFKGSKYILLEKAMIHFGQTAVRRPDAKGQDDIYNRLWQKDNIA VVVYLENRMSGERIIVVNAHIYWDPAYKDVKLVQSAIMMEEVTQLAEKFIKIPPCTDK TAFRFSEPEDETNNSENTSPPTPVEPSPSVEYSSPSQIPILVCGDFNSRPSSAVYNLL AHGRLEEEHPDLRDRLYGNLTRQGMTHPFTLKSAYSAIGELSFTNYTPGFTDVIDYIW YSSNLLQVTGLLGEVDKEYLQRVPGFPNYHFPSDHLALMAEFSVKNKKGKVVEVDFGP QRDRDKDRARG TRV_01634 MVNELMAGDWLIGGERDGEGVSGLNFSLPVEGGVGELGGAARAA VDAVGTVVVVAGSAAAAVVAVEIGSGSKTARPQAGTGRDHLPFNEADETEDVCWRAVE GVAGVAGVAAAGVRTERRAAWREFELAVFVSST TRV_01635 MEEQADCATKGVLPSLSTAASHAFTALCVYSLHSLAITSSPSMY LFFIFFHLFYPFIPFYIPFFVFFVFFAFFFSFFSVMQKNKQKETKRRRRRRRRKKKKK NKDEEDEDKRDSHRQAPEETPLTD TRV_01636 MEADMEGLEAGLEDSKAGREISAWDSLLAGGRTWPWQPAGDTLY RWLFFFSYYYSSTTILSLLLPLLLLLLLLLLRIYRVLDLL TRV_01637 MAVPPAGGGSNTSGGGNTTTTTTTTTTATTTTTTGSSNNNNNNN NNSTYNYSSSEVRHYNYNHHYQYTPPTLVSAVDFKHVFLPHAPMMSIPALDPLPAGGG SPSSASLNNTDYTTYPLGKQNGRTYLRDPESTYPLPCDVPEIHRQSLHSLIVMTVWGG PFCSPTTADNPPKKVLELGCGSGLWTSACHEYFANRGQTDISFTGLDIISLAPDLQHK QGVDWQFKKHDLRKNTLPFADESFDFVFIKDVSLCGPMSDLKADGTLSEPLRVLKSGG VLEIWDSDMVYRTLLPNPPVAPGKLSEEYQEQAEITGTYTISSATPFTNAQNKYLNDY NTWIQKAFEARKLNTMPCATIGLAFSSEAESFQSSGSRRVAIPLGEVKWEQKQNGKPL TADQMALRQTTLLTVVQLIESMEPLLMEASGKGQAEWDRWWTGMTTDLMQKGGVASGE CLEIGAWWGRKK TRV_01638 MSSSMNLARKSLLARSAPSSPLVSSIPSLSSPGRCSIPALSRAS HSHTAHRPASKSNNTQLDPRWLSNIKRRIGKCIAFGMKQDLVPAAGGVLEEIARNWRE LVAGSEGFLTGEKYCGLHRHNVVWGEMVSSTALSSTFFVFYPPSPFHVTIG TRV_01639 MFPDQISVYHKLSNEPPAPNDPNPSHFSNLHLDVLIMSEAKQRP AARCEEDVVLYDYKIAKKLNILPTWMLVQYRKLWEAQEAAKKANREKVRDIERRVREL EVGKWDREGAVESMGSAASS TRV_01640 MARKNHHGQRSESGRALPGKKLAKQKSNGHLNGLPNGFHQASSN TPPTPSTTPPATASRAEVAAASISPSVTAASSSSPYASARTLQNLDGQLENSYGSSNG GMGGVTKKETGGRVSGGAQVNGSNGHALVSSTSVGGDVAMAPNGHHTYSAATCIVAGD RLNEHFSRRADRMAPTVKKAHSARKGVNPLVLASTILKACPTSDTIAILILLLQLPPI VLTLVQFLYASMTFMLPAGVSTGTLTSNFDIFQGPAGTPSLSTMIAMDGFCLLVWGLF MWNWAKNFAIDLAHVQVAIALGAGSSGGTGGVNTFCVSMVLIVHLLRSEGIQTFLLGH LFSAKLLSPETVAKYSYLIPAEFRRQDSSSPPSWIGSLLAVHILAQAGTAMARRSMAK NRSSPRAKSSERSATDTSAAGTPTLPDISSIEPSTALSSGISSEPAQPSSSLKDAKER VSTAKKRRRQANEARRIQPFWAALASTKFTVTREYERSRNCNWYSPNAPTSEDDLDGV SPDNAFIWITGVDTSTIEFAANDFNISEDAVAEGAAYGAAGPFYVLVNGAHWAPVSLS KVTDSSKDSPIAHWRGEISGLAPDCTYTCSFVCVDTNEEVCVVSVKTPSAPVTDQVIT PPVAVSPQQSLRPSSPSTTIKNSIVNAEAKLNERRSRLKRSRNNHKLHLSKVKRELDG LTHRLLSGGDESRQRQRSLQLERTIRQTEDATAGIEAQLEKLENLSGAGTELEEWNAR KAVIEAETSKLKTLRQEVEDAKSNMAKAVTSSEAELASAVQKRERLQSRLNRLTAQHE RIVSSTNAQDLAEREQQAQMQMAQSAIYNSYLPGAFVPKVPARFRLIPACGGDPNLFI DVEIASEPAGNIQNIFRSDLYRLNYNPLTYAGVEQNIPVEFATETSNGVEIEVEVRES LRIHMQILTPNGVPITPWYIERAAIIEDDRAIITAGGSMGMYRYAPNGMRQFLPYGTG VTSSPALAPAPVHIPAAALSHAHPHTPVHGHGNQASYVAPRQGEVIEQLPII TRV_01641 MLPFSRPWGQGLPILQATYNTTIRASAIPPFHTLSRRYYGGIVR AIVSKHVESISDTLSPVLPPNLRKRADHIKSESTYRANSSHIPNTNKTKPIELEDDVS TTESGRGLDAEMDIQTEVRRLMRLVPHPVAIVTSTDPNSPPSSAFRGMTVSSFNTVTL SPKPVISFNVKLPSETYNAIRFSSRFLVHLLSPTEAMARLALEFSKGYENVARNKDEQ QASFFRFTAPSDVEASPSLQSGEPPRLVINGVKAGEDGRFPFIFECQCLPQTTRIGDH VVIFGTVVNVLSDQAVNLNTAHSARELCLSYADTRFWEMGDVILPSSPSK TRV_01642 MSFHAPVLRAGSKAASSSTTFICTQCRNATLLRRPKRPYTFTQL IALSDGSTFTHRTTSPQAVYRSTRDSRNALLWNPSSEKLLNLEDDEAGRLAAFRSRYG RGWDSTTKISAEDAAAAEDLASAEVGTAATPQQTAKTPEKEKVVESEFEEEDEDSLLD LISSFGQENPSSGSKKK TRV_01643 MVRQTAFLLPESFPASAETSRTPSLLVITARQLPPSPVLRSSPQ TSLLAMDLEGSDSPWGAKETQPAAEQSSTSLSVPQSPPTARGPRAPRKVSAQVVKLEP AGDVLDPLGPLGETSSFSAADAQTQDQRPAPPRKESFTSRSARPTSSASQTSSAAGVT TLMESVDLDEDGPSARAKGPPPVQPAPASSTADKRQNQSISVEQAAKPSFYITVGDPH KVGDITSSHIVYQVRTKTTSKAYIRPEFTVTRRYRDFLWLYNSLHSNNPGIVVPPPPE KQAVGRFDTNFVESRRAALERMLNKIAAHPTLQHDGDLKIFLESESFTMDVKNKENRE PDLGQSKGMFSSLGISVGGGGKFIEHDDWFHDRKIYLDALETQLRALLKAIDTVVAQR KNLAEAASDFSTSLHSLASVELSPALSGPLDGLAEVQLRIRELYERQAQQDVLTLGIT MDEYIRLIGSVKTAFTQRQKSYHSWHAAESDLQKKKNTRDKLLRQGKSQQDRLNQAHA EVADAEKRVHQSRLLFEDLGRVMRNELERFEREKVEDFKSGVETFLESAVEAQKELIE LWETFLLQLDADEDPAAAHSSVAAPPSEGNGPSHQPSESNSTSRDQDEA TRV_01644 MADNKPEQSLQGENNDHLVQSDDPQHPANLIPEMCKNFYSLGWV TGTGGGTSIRRGEHIFIAPSGVQKELIKPNEIFVLSYPTPKYPPSARKYIRKPSALNP SACTPLFLAAFDRGAGCCIHTHSQWAVLVTLLVEREKGKSGCFEISNIEQIKGIPRGK GKGMLGFFDTLKIPIIENTAFEEDLTESLEKAMEEYPDTYAVLVRRHGIYVWGDTPAK AKTQCESLDYLFQLAVQMHSLGLPWVVNESA TRV_01645 MRLNAQLASLLLSSIVLVGSAHGADEEPVAEKKETSSIERPTFV PTTIKAPFLEQFTDKWDSRWSVSHAKKEDAKSDEEWAYVGEWSVEEPTVFKGIEGDKG LVVKNPAAHHAISAKFPKKIDNKGKTLVVQYEVKLQNSLSCGGAYMKLLQENKKLHSD EFSNASPYVIMFGPDRCGATNKVHFIFKHKNPKTGEYEEKHLKAPPAVKVTKLTSLYT LIVNPDQTFQIRIDGEPLKNGTLLEDFAPPVNPPKEIDDEKDTKPADWVDEEKIPDPE AKKPEDWDEDAPFEIVDTEATKPADWLDDEPTTIPDPEAVKPEDWDDEEDGDWIAPTV PNPKCEEGSGCGKWEPPMIRNPAYKGKWSAPLIDNPAYKGVWAPRKIPNPNYFEDKTP SNFEPMGAIGFEIWTMQNDILFDNIYIGHSIEDAEKFKAETYDIKRPIEEAEEEASKP KLSPQIDDETDISFTQDPIGYVRAKIDRFISLAQDDPIAALQAVPEVGGAIGAILASL LIIIGMFGLSSPAPPAKSTGKTAEKTEKEKTTEAVTASGADSGQGEAKKRAAKEKERI PFYAFFLSSFLVFLILYLFYTVIAAGVFASFTFAIWKKGGRKYFLLNKSGASRFPFSA AAKIPKDVERAGLDRRASVSRHLET TRV_01646 MASRAATRLTAFQRSLAAASPRSSLARSLTTAARTGSTTSSTSM MASSRNSSATAIRRLHATAQQLKPAAAATASTTSAASTATSFPQSHERIASPIDTTNF IDNEFTASRAETWIDLFDPATNNLVTRVPQSTEEEMKAAVASAQAAFPAWKATSIMAR QQIMFKFVNLIRANWDRLAASITLEQGKTFADAKGDVLRGLQVAETACGITTQMTGEV LEVAKDMETRSYREPLGVVSAICPFNFPAMIPLWCIPIATMTGNTLVMKPSERDPGAA MILAELAREAGFPKGVINIIHGSAKTVDFILDAPEIKAISFVGSNRAGEYIFTRGSAN GKRVQANLGAKNHCAVLPDANKNHALNAIAGAAFGAAGQRCMALSTLVMIGETKEWLP EIAERARALKVDGGFEEGADLGPVISPESKKRIEDIIATAEKEGATILLDGRGYKPEK YPNGNWVGPTIITGVKPHMTCYKEEIFGPVLVCLEVDTLDEAIELINANEYGNGAAVF TRSGPTATKFQRQIEAGQLGINVPIPVPLPMFSFTGNKKSIAGGGANTFYGKPGLQFY TQQKTVTSLWRSEDAISNKASVVMPTHS TRV_01647 MGSKSPEGHRQGPNEPPTGSVAAIINPPKPAAASGLVQGVLDGD DDDEEGDNDDHQNIGTDLKSGSQPNNDGKKRKRKNNEKKKKKTAKGQQTTPPRVPLPS IFHDQRYPEGEIVEYAACNDNLQRTTAEELRHRAAIKNMNDEFLTDYRQAAEVHRQVR QYVQSITKPGVSLSELAHEIETGVRALTGHEGIETGDALKAGLAFPTGLCLNNVAAHW TPNPGAKEVILQHDDVLKIDFGVHVNGRIVDSAFTMASNPVYDNLLTAVKAATNTGLK EAGIDARIDHISGEIQEVMESYEVEINGKVIPVKALRSLTGHNILRYKIHGEKQVPFV RSKTTQRMEEGDVFAIETFGSTGKGYTRDEVGVYGYGLNEHASTAGLHYASAKSLLKT IRENFGTLVFSRRYLEHMGVKNYHLGMRSLISNDIVECYAPLVDVPGSYVAQFEHTRG EQFGIAFNILYNIVNRVKQTLILSPNIIKAQATTKHIISGSYRGNVAYSSYEAESRFG MLVEDV TRV_01648 MAAPKEANVHNLSGRWTMDSSLSDSTDPTLQLQGISWFTRKAIG LATITLHIKEYTEEDIVHIDIAQTLTGGISGTTEKRELGWNVREHTDHIFGSVKGQTR FVSSKKDGSKTVPDLEIQTKVGKEEEDAGVAKFFNGDVLADGSASDGWVVDESEGSEP FLQSWVESVDNGWTAEQVWGFEVINGARYYTRRIVVAKNGVCSKVRLVYGYLGQEE TRV_01649 MAFNKKYAGLPDLATTVRSSSPFDPEQSSNPEIDGRRLRVDEAR SHFEPVRVDAKDVDFSDSIASKKKSYRTIRGPGRQNRVELVLGDLSDEEDETLERKLA RLRRETEELKEELASRKSQRDGDAEAEEGEAHGDHDEGILELSRTLDTLYTSSKGTGP TAEAALSHKLSTDVYNGQRALDQGPVGADGSSASQPSPNASILSQAASFDARLALLEA ALGLKNTPVPVSPDDPSDMDIQPILPSLNHLSSQLSTLSSTLTANQSTHTDRPSGPLS VTTTPHIEALSSKIRKLTADAEALTTARQRATDAARAAFSARIAAATSDEPQPFMDPT LSTSAAVESDAAASEQTAKIQALYQTLPTITSLHPLLPAVLERLRSLRAIHAGAATAN QDLDALEQRQADMKKEIDQWREGLKAMEEKVKESEETMKGNMEVMGPWVKDLEKRLDA LHQQS TRV_01650 MSLQPHKEWRFIIYTIPPLTGVASLGASYVWTRRAKSILYCLLS LSLVLSTLVSFAISFLILLPISMANYPGGAAMKQVHVLAHNTQPVITVHMDTLTCQTG ATHFLEMPIPRSPMIYLPGSNDGSFPELKAGESRWIYDKTESEIEKRNSEFWGHIDYA LVEDESVLRGMGNWRLIDNAYGYDGIRIVRPGTDNCYACGVETMILRTFFGDTGVDYW ESFKAGARKHITRGWWVEARLAPKIRIMKHIR TRV_01651 ANSTSANGTAASKKDSAPLPKTPLQKLLSDAGPLKSDGSDKFFG MENSGTFSSLWDIIMSFLTGLTSHSYCNSILQCLYYSAPFRESVINYPQRSPPESLKH ALSTNFKYPDPDISLEEALLQTKLKQQQLATSNGVRPTLNPQNQIPKAEDKDSPEYKK KVAMHTLPVLETTDNSQNYGMQESLFTSLKDIFESIAASEERVGVVRPQHFLDVLRRE NEMFRTAMHQDAHEFLNLLLNEVVNNVEAEANRTSMLEADADSESSTATSTSVATTLA TSVGGSAKSPYSTRWVHELFEGKLTSETECLTCEKKSQRDEAFLDLSVDLEQHSSVTS CLRKFSQEEMLCERNKFHCDNCGGLQEAEKRMKIKRLPRILALHLKRFKYTEDQQRLQ KLFHRVVYPYYLRLFNTTDDAEDPDRLYELYAVVVHIGGGPYHGHYVAIIKTEDRGWL LFDDEMVEPVDKSYVRNFFGDKPGQACAYVLFYQETTFEAMQRELQSDERMSAASKSQ DADLAPLAALDLKTTGNQPIPTPPQDISRVRSAIPQLPTTTDNSTAGSYNPIKLIPSA PQLSNIGDAPPTALPTTAANSQPATAPTPSYNKLPISLPRIPLMSRTPDRAAEIQSKK ERAREEKDRKAQEKETQKQKRKEQEKAEARLRELAKKEEQQIKSALDASKLTAKEEKK RLSSEKKRLSSEGASDSANGNGSTNANGNGNTNGIANGFTNGINGIAGGLGRLKLGSR TLSYSRKHKDNKDNKDKVPALPTASASAMNGNPTASDITITPKNFQPRELDTSSSAIN DAANQPPASGSASGSGTGEQPHLASRVLAQAEQEDFSSQQQQSQITSLPQPPPTPSPP KQPTPRRSRFSLRKKSFSVL TRV_01569 MKPGTPHKDKAIFYTARTLFSALHLIQRFNGQGISDTDNGEKVM DMEGGPFAESTKLFLNDKDTWDDDEKDIAVGQVSRAFAERAKGIVRVVFPEDYSPHIR PNTWTEYEWPALKENPDVTKVLAWWVKKGGDEPMGDGTEIWPCDMTTDPRRQPF TRV_01570 MKEFSTLNYFTEYHAPPPQPIVEAAVDAARGGQVEDLASLVKDY PNLKYSIFVNRAAISGKGGISTYQVLVDGGCNINISYGYGGSPLINAIYHNKFPLARH LLSLGANPNINNLGIYLAPLGVAVSFRPGFVVDLLDAGADIQNTGALHIAAIKGNLEI MRLLLDRGADVNEVTKYPFYAMVGFHGRYPPMHWAVQGGHIEAVTLLLQYPVHLDMVD EDGLTAAELANERGFEAAEDALRRHEHIKHAAEPPKPNI TRV_01571 MECSRDSRDALLIVQSEGGEKTNEKIDNHQIPSHDEITQEEVEA AREVAMGKLIRLELFNFKSYKGHHTLLFGDAYFTSIIGPNGSGKSNSGRVLRTAKIND DGSASKDPAAGESGAQNGEVAEEPAERNDPKSAWVMAVYEDDAGEEQQWKRSITSQGV SEYRINNRVVTAQQYNDALEAENILIKARNFLVFQGDVESIASQSPKDLTRLIEQISG SLESKADYERLKAEQEEAAEHLNFQLNRRRGINSEIKQYQEQKREAETYARKAEERDQ AIITHILWKLFHFQRLIVESSAEIQKYQDELKEFRRGVEKYEKNLEDAKVEHARVGRD VSRAEKGIKMKEKEIEETTNSLVPINEKIEITGKKVAKYASRVDEVSKEALSQAKTVK QLEKDLKVVEKAQSQWENEWKQTIAVKGIQLTDADLQEYSRLKEEVSKRSSSTQLKLD NLKRQRKADAEAVNNMKSNLESVEWQAKNLQTDMDHILERKAAIAATIKSTSKEIDAT KKELNSLTSERLRVAQMRTELEEKLQVTLKKLLEADDGRQQSEKEQRTKEMIATLKRI FPGVKGRVSELCQPKQKKYAEAVSTVLGRHFDAIVVDNEKTAKECIQHLRDQRAGQAT FIPLETIQVKALNSNLKGMHRAMRPAIETVDFDSSVSRAITYACGNSIVCDDLATAKY LCYEKGVEAKAVTLDGTVIHKGGLMTGGRGPGQRNAKRWEDTEIANLNKLKDKLMADL ANLPKAHRRGSEEESLQGQLTGLEQRLAYSRDELSALEKNLESKSSEVDFAKRQIKSV QPKYREKSALLESLDQSIEEIQSSVTEVEDKVYRDFCKRLGYKNIQEYDAQQGSLQEE AAEKKLQFTTQKTKIENQLSFEKQRLQATEMRIDSLKTQSQKDEAMIAELEAERGSIQ ERLDELNDELASLNETLQDQQNLFSESSEKLAQQRRELQRRSKNVEATLKTISGLEAD VQRHSSGRYTLIRRCKLEDINIPLTADSEPLDKLPIDELVQPDPDAMEIDEDSNNPVP QNHVVQDFGIEVDFLSLGDSLKEESDDKLEEELQERVRSLNNELDKMAPNMRAIERLE GVESKLRTIEKDFEDSRKRARKAKDDFEEVMQRRSELFNKAFTHISEQIEPIYRDLTR TESYPMGGKAYLDIEDSEEPYLDGIKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAI HSYQPSPFFVLDEVDAALDNANVSRIANYIRDHAAPGMQFIVISLKTGLFQVSEALVG IYRDQAANSSKALTLDVSLIVPFDFES TRV_01572 MYAGYNFYTSSIDPVLKIQWWQELLFGGICFVMAIMGTVFFRRG FGLISQINAANLNGKTQISIKVRRMLPFMKQRELTLAPSELSISQKVTVSETELTKAG WSESQQRFARQRALDNTPFFKMPVQKTSLAIFRAFSNARRLFTQEHFVYVNIKGQKST LRLDALGSFSPEFHMLQKAVVEVV TRV_01573 MANLSALARRSPLRQTSNGYYSVRCVQTAAQQPNLSYPLYPSVA QLLKQNNIPSNDVSKITATGPNGRILKGDVLSYLGTIAAGYPADLASQLAKNSRLDLS NIKIAAPQAPSPAAPAPQEEQIQVEEKTAGSRSEEAAGSVFETKIAVPVSFSKLISVR RRIQSSVGVEIPLATFVSRAADIANENLPVKPSEKQRSSALFDEILGHKPSASKQMAF SRGDYLPEIVSPSEFYSSSEESQQSLRKSTDDIIDVLTGRSPASKRNVTRTAEVEEDR NTSIFSLTIPEHEEARAMAFLEKMQVLLEEEPAQLLAL TRV_01574 MIQAGKPVDSPGIQSREYLGSVDPKHSRKITAAANRVPAQQCQK YVVALIAELEKQQLLPCGHAARLNREVQMSATARDYAQQHPVPPPQIVSPNSSAQPIS SVGYGWLDDEESGDMQYVQLNGRQSQKQL TRV_01575 MTSNFPEPLVIQPRDSHQCTIILLHGRGSNAAKFGPILLSSSIS HLDQTSTGAEHTLVSAFPHAKFVFPTASKRRAAAYNRSIISQWFDNWPLSTDTPPATT PQEKQRREYLPADGLRETSLYLHKLLREEISLLGGDAKRVILGGLSQGCAASLVALLL WDGDPLGAAIGMCGWLPFRHQMEDFVHGVHASEPDEDNPFIEQAKDEDDIPPPVQAMI SLAEVLELSLPEQPPRELPFQHIPLFLAHGTEDEKVPVGYGREARRCLSEMGVDVTWE EYAGLGHWYSGNMLRDLVSFLKKHEPDLE TRV_01576 MDNRNLWIEFASRPGVVQGGLHAARNLTRRQLFNILNIAFRPSG GFDISQRGSTTPLPVTDDLIEPGHYILSSTIPGKDVTLSDEQYYRRTLSVFDTDRDES FRHDVRQRDGRCVVTGKVNRSSGVGVWRGFEAAHVFPLALSMIFSSCGFSNIITDSRG INSPNNGLLLRTDIHQMWDGYDFAINPDDHYRIYSFSANMTDYHGAALNQVCRQPEDA HRVYDDLLRWHFEQAVLCNVRGAVDLGFEFDFPPGTDMMGEICEGPFAAERMEAELFG RLYGYHQGE TRV_01577 MAETGPKLGRTRASAARMAKADTLDAGMDRKPISPPASNSPPPS HGIKSKSAHSTARSTRQRSRTGSEPVNPDALARALQDFEDAGRQRESTPGSSPSRKRQ RVYGDRFIPNREGQDLQAGFSLLHEDGCPSTPSKTKKKSTHGEIHSQKTEEANRTYSR VLRNEFFGSTIPQPDIHSLSPNPLPGRSSASRDFASNTPQSHKLAMSLPPASITPSTP SKNLLSYTSPRNASGNPTPSRTPRSQHGPNFDARSELYSLSPIRFDSQQILQSLRKQP RYVNKVPFKVLDAPDLTDDFYLNLVDWGSSNILGVGLGSAVYMWDSINGHVTKLCQLD DDTVTSVNWIQRIWDAEHCRRLRTMTGHTLRVGALAWNDHILTSGSRDRLIFHRDVRS PDQYLRRLAGHKQEVCGLKWNTDDGQLASGGNDNKLIVWDKLNEAPLYRFTDHTAAVK AIAWSPHQHSLLASGGGTADRTIKFWNTSTGSLIKEVDTGSQVCNLAWSKNSDEIVST HGYSQNQIVVWKYPRMEQVVSLTGHTFRVLYLAMSPDGQTVVTGAGDETLRFWKIFNK KGLKQQDRESKLASYTTIR TRV_01578 MECFVQIVSMFQWENQRMGSVLRVGEALTKDKKSCARAFSSAVI IVARVRKDGVRRATIRRDLVDEVTGRRSRDRRTQRAATPVSSSARDIQISNTSPEKKG EEEEEDVTSTASLSGLYTRQGIGWPSPY TRV_01579 MWKVSFLHYLHRNKIKKGQMSRISTKRTKECANIYFKPGNSIAM IGAHTDSPCLRIKPVSKRTNEGFLQIAVEPYGGGIWHTWFDRDLGIAGRVMVRQQDGT IASKLVHVDKPILRIPTLAIHLDRTETFAFNKETQLVPICGMVAAELSKTNEDPKQEE AGDSVSPFKKITERHHPCLIELLASELSAKPEDIIDFEMLLYDTHKSCLGGMMDQFIF SPRLDNLNSSFCATVALIESLAKPDALENESAIRLVALFDHEEIGSRTAQGADSNILP AIIHRLSMLRVSETKSDEDLSTAYEQSLSTSFLVSADMAHAVNPNYAYKYECEHKPEI NRGPVIKVNANARYATNSPGIVLMHEVARAAVAKSDISSDSIVPMQLLVVRNDSSCGS TIGPMLSAALGSRTLDLGSPQLSMHSIRETGGTKDVALATRLFTSFFENYTTLAPKIL VD TRV_01580 MLALIKTELKNPYRILRELDSHQWLMFGVGFIAWVWDAFDFFTV SLTITEIATEFGVTNSEVSWGLTVTLMLRSVGAIIFGLFADRYGRKWPMIINLALFVF LELGSGFCHNLTQFLAVRSLYGIAMGGLFGPAAATAMEDLPYDARGIASGLFQQGYGT GYMLAAIFYRAFVPTTKYGWRSLFWFGSAPPILIIIWRWCLPETRHFQVMKAERESRV LAEEAALQPSGSSDTAPKSRMSGLRAFWKESAPGLKENWFLMVYLVVIMTGFNSCSHG SQDFYPTFLKDQVGKTATQTTVIVVAGTLGGVIGGTLIGWISTFLGRRLTMMISCVFG AALIPAYILPRSNALIASSFWQQWFIGGVWGPIPIYLSELSPQSLRGLLVGLTYQLGN LASSASATIQAIIGERFPLPDGPHGKKRFDYGKVMAIFMGAVWVFLLVLLFLGPEMSQ EERDEEEAAAKELERLRREGKTLEEIGRERAGLANVKVLEDEDVKEPTTHHMDEVPVE KAV TRV_01581 MASLQYLTGDKSGIQQFVDRFDKLADISKLRTGVLWSGDTPFKG AVETLDMLRNKVFVTNNSTKSRADYRKKLDGLGIKAGIEEIFSSSYSASVYISRILDL PKDKRKVFILGDSGIEQELAAENVSYIGGTDPAYRREITQEDYQKIASGDPSFMDPEV GVVLVGLDFHINYLKLAAALHYIRRGAVFLATNIDSTLPNSGSLFPGGGSICAPLVAM LGGVGAPGAEPLSLGKPSQAMMDAIEGKFKLDRNKTCMIGDRINTDIKFGIDGGLGGT LAVLTGVTSKDELMASSVVPTAYVDALGDLLEGA TRV_01582 MKICVERQLERRYISTSEVKRPDVATPGGLVQSPVVLRRAQKQT SSASSKVIERSKEWDTDSSLIRNPWAIALASPPRLCHATMSRLPTELMIDFGLVQHPE TSALWLLPTSLLENELNEMEIDPNSKVTADDNNTPAVGEIRMDDSTAKMRKRRAAFPS VRVTNSGTLFDMLSNPKFSNISKGLIPPSWKLPQGPLTKSSMQTLVWRGDMSDYALKA MRKEILRRLKKILHVTPKIEKQRRRDTWTPLSIAGHPITQSNLESSLKQLPELRDIRS GMVLVLRSSHHSTEVNSNPDCNATSSTGPENTTFDLAELPVHESQVPVFDLTKMLSEG ELQEIRQLGKVFQGDALYFIPASRKSALFALDLWRLKSFLMERNEEGSSFHR TRV_01583 MRETAKTNETDVLRLKLANTNAAFCDGIPPLFPSLTRNISMTTQ APITDAEYITDVLNIPSIPGSEDAESNASGTGQPDLGSRRVTFAKSKSLFLDRLTRDF DLLIYCELSALYYMDNLVCIFLHIASANPSAGEASRGYLHGGLFIDFVGQKGPTSKLQ LLAVDLLVICLQILMVGAILEKEKVSALTSSRRTAETIPSSTVPEQDHDSEEQGLLRS SQNLSNQEDVMGNRQVSGIELSDLGGERGVNAVVGMHPRDYFHSGEALIMNLNIGRTL RQQWQNRTAAIAPPHASLSGTRVPASTFIRRQLGIQAEPRS TRV_01584 MTYIQSPVSTICVGQAASMGSLLLCGGEAGKRYCLPHSSVMIHQ PSGGYFGQATDIAIHAKEILRVRHQLNQIYKRHLTGKEMTLEEIEKLMERDYFMGAKE ALEMGIVDSILDRRMPSKEESTSS TRV_01585 MLFYGSPGTGKTSTILAMSKSLFGPALVRSRVLELNASDERGIN IVREKIKDFARMHLSQPPADPAYRSQYPCPPFKIIILDEADSMTHDAQSALRRTMEKY SRITRFCLVCNYVTRIIDPVASRCSKFRFKVLDGSAAQSRLVEIARMEKLDLEDNVVE TLLRCSDGDLRKAITFMQSSARLARYGSGKKKDSSDKEMELDDVKPRITVRSIEEVSG LVPETVMQRVVAALRPSKRASKYEEISSLVADLVADGWSASQVLSQVYLLLPQPLRNV LLTSFKTYQAVLQDESISDVQKNQILKVCSEFDKRLVDGADEHLSTLDFMLQISGIIQ ST TRV_01586 MDQGELPAKAGHTIQFWGIFVALCILSFISALDVAIITTALPTI TAEIGGANIYVWIANSFVLASSVLQPLCGQLANIYGRRIPFIGSIVLFTLGSGIAGGA TSAGMLIAGRTTQGIGAGGIYVLIDIVCCDLVPLRERGKYLSLMFSWSGMAAALGPVV GGALAEANWRWIFYLNIPICTLTLVILLLFMKVKTTKLADSGLGQIDILGNLIFIPSM ISLLLGLVTGGIEHPWSSWRIVLPLVLGIAGWICFHLQQNFSSHPSVPPRLFSNRTSA AAYLLTFLSSVLVQTISYFLPVYFQAVRGTTTLRSGIDFLPFAISTLGSAVISGILLS KFGTYRPIHAVSFALSAIGFGLLTLLNSRTKTVAWVFFQLIAGAGSGTILSALLPAIM AALAEADVASSSATFSFVRTFGYIWGVTIASIIFNGQFNKYLPAISSQTLRDQLRNNA AYSFASQAHRLRTLIPEPVWNEVVEVYVKSLRAIWWVGLGFSIVSLFVVALEKDLKLR EDLKTEYGIKDEKNGGTPADEESGLETN TRV_01587 MVASLHSRLASVEASIERVSALIRRLHSFGSADAYDDDERINLS TEIHQDLGEIEEEIEVLRVETHPLDRRRHQRRESVPFDADAVKNVALMAKIEEDLKMT RSKFRRAQIEAKRSSEKAKNRQRQLLFQPSAEGEDVPNRRTPRFTHGDLVASSSKDVT AALRQTHNLMQAELSRSQFAQEALEQSNKALSSLSESYTNLDTLLASSKSLVSSLLRS QKSDTWYLETAFYILIGTISWLIFRRLLYGPLWWIVWLPLKIVARITFAIFEVTSGVS VAGSKSVVPNKPLVTANMGPTATNSLWNPDSTKKADVTGIPDMAEEIKSWTAADQAEE ETTMIPSGPKANERNNDLTSETIELAPFFHTIALLSSVPNNCEIGPQHGRGKKYIPAA PFN TRV_01588 MAYTVRNVGALNTLEWRAYIEKDGVPISPFHDIPLYANEQKTIL NMIVEIPRWTNAKQEISKDDFLNPIKQDTKKGKLRFVRNCFPHKGYLWNYGAFPRTWE DPNVVHPETKAKGDNDPLDVCEIGELVGYPGQVKQVKVLGVMALLDEEETDWKIIVID VNDPLAPKLNDIEDVERHLPGLLRATNEWFRIYKIPDGKPENQFAFSGECKNSKYVSS LSYLIMYAMDVVHECAEAWEKLMSGQSPAGGISLCNSTLENNRADPSKVEAIPCASNL PPAPIDGSIDKWFFISGAAV TRV_01589 MLAGASLDGERAGDLKKREEEEEEEEEEEEEAGEAEEKEEEEEE VKRDKQATQELRPDDKNDSDNDNGNDSNGCQQRRRRWQRQRDRWLLRPREGLNTRPES SWPSIELLSTASMPSADVVILHARIAAGMSLATVSKPKHVSLGSIDALASPDTLFSRC RIPLLRRSLAAAALWRVPWCSWRFSLSAVGDFPGLDAVIRPDDSPGKTADNDMNRLVR SVMALGSSSVASQARVPIPKNGVDYRGKIVLAPMVRSGELPSRLVSLKYGADLVWGPE TIDRSLIGAVRRINPRNNTVEFTRVPSHPGPDAKDKVSVIYRIDPAREKGKLVFQLGT ASPELAVEAAKVIADDVSGIDVNAGCPKPFSTLGGMGAALLQTPDKLVSILEALVREV GRPWQIGISVKIRLLKDPKDTERLVTALCATGITGLTVHCRTTPMRPREAAIRDQLPM IASICRSAGVACLMNGDVKSRDHALELMSQYDVDGAMIATSAESNFSCFRSAADGGCV SWRELAHEYVAVAMECENKWGNTKYLLNIFLPGKDKVAQAVKSARTYTHCCTLLGFND LLPAANKIDVILGISDRTEAGLQRSAELLGPALLASATSTPITATTTTTTTKEVDIPL DSNVLRGDTLNNQITA TRV_01590 MKSQLLSLAVAVTTISQGVVGQEPFGWPFKPMVTQDDLQNKIKL KDIMAGVEKLQSISDAHPEKNRVFGGNGHKDTVEWIYNEIKATGYYDVKKQEQVHLWS HAEATVSANGKDLKASAMSYSPPASKIMAELVVAKNNGCNATDYPENTQGKIVLVERG VCSFGEKSSQAGDAKAAGAIVYNNVPGSLAGTLGGLDKRHVPTAGLSQEDGKNLATLI ASGKVDVTMNVISLFENRTTWNVIAETKGGDHNNVIMLGAHSDSVDAGPGINDNGSGS IGIMTVAKALTNFKLNNAVRFAWWTAEEFGLLGSTFYVNSLDDRELHKVKLYLNFDMI GSPNFANQIYDGDGSAYNMTGPAGSAEIEYLFEKFFDDQGIPHQPTAFTGRSDYSAFI KRNVPAGGLFTGAEVVKTPEQVKLFGGEAGVAYDKNYHGKGDTVANINKGAIFLNTRA IAYSVAEYARSLKGFPTRPKTGKRDVNPQYSKMPGGGCGHHTVFM TRV_01591 MEDRIPDTPIRNMAAARRALNIDHEPVSITRETLEQETVATIPL NGEHSILAPTQPTQLLTQPTQVVQPPNHSKASPQHDAVVQVAGSSPLGPSLQGANRNF SASIAPPGTHFRPPAFSTGPVRRTPVIDLSEDDGPTYRGGSSDDDFSAVRTTDIRPST FAKAAPSPEKDGYISSPVSASGNSLAKFREITAAAFYNPDGTKGQKRSAENANITKTG ASEMVNKRNRTTPPAPDDHGFTLNQIDDFTLRAKVQRLYSVYPNKSVRFCLDTLMQHR GNYQHALEYIASLEEKSSINLMSEDELSLDCSIPRVSAVKQQIKSKGRIQDKWTSSQQ PINSHRESEDRPQAPRRRLIRGSKSRQESPADTAASDQAASRKLPKKTVARGKGRLAS PRIPLEDDYSSDSEAESLSPDDGSQEAKVLGFFNVCSPEDLSDIAEITVDVANEFISQ RPFSSLASARCVSIEQSDTSEKAPGNKKKTSKKALGDKIVDKCLDMWTGYEAVDALVA ECEALGKPIATEIKRWGVDIFGSKRDSGELEIVSLDKPSSDGIQDSGIGSPSSRCCAS EDADDVRDKSRGRKFISQPSIMSDTITMKDYQIVGINWLKLIYDQGLSCILADDMGLG KTCQVIAFLSHLLEVGVTGPHLVVVPASTLENWLREFSLFCPKLKTMPYYAGQAARAE IRTEIEDNRENINVVITTYTIAKAKVDAAFLRSMNFNVCVYDEGHMLKSSKSQLYEKL IRIPAQFRLLLTGTPLQNNLQELASLLGFILPSVFRERKDDLEYIFRAKARTVDDTHS ALLSAQRIARAKSMLMPFVLRRKKHQVIDLPAKTSRVEYCEMNESQKEIYHSEIESVK QHIADRAAGKKLTRNKSSNILMKLRQAAIHPLFSRRVYDDKTLSRISKACLKDEKWTH SDPDQIYVELREYNDFECHTLCTGSPAALGKFALKNKEWMNSGKVDKLCELLDNYIAN GDRILVFSQFTMVMDILEQVLETQKIKFFRLDGKTSVEDRQSILDAFHEQVDIPVFML STKAGGAGINLACANKVIIFDSSFNPQEDIQAENRAHRVGQTREVEVVRLVTRGTIEE QIHALGQTKLALDQRVAGEDGGAQFGGKRDDESLKAVEELVMSTLDLEQAPEEVS TRV_01593 MQIPPKKVLLSWPRPNYEHPKELRGPGLIILTAIFAPLTAAVVA ARLFTRIRISKSFGTDDILIVAAAVPAIACGVITVLGTIKYGWSRHVYDVPFDQLVLG LKLTMALECLFGVSCALTKLSLLFFTRKMIFGINSKILKWLVWITIAVVAAEMVIFCI VVIFTCRPISAYWTLSLHPQECINETAHLLIGTIINIFTDLLVVILPIPTVMGLKLPY RQRIVLALLFGAGFAVCIAGSFKTYYVHAYNVSYDKTWDSYPVWISGTIELYLGVIAA SLASLKPFFARYLPAVLGTWSSQRGGPSSGYSNSRSRGRRGDNGYVSDGNGGILNSYH TTTTVASATPHGIEFVDLDKKGVMVSKYITYEANNVSKPSSIRSADATSESELRLT TRV_01594 MIFTRGISLTNFFVASSALCFQVFVLYPWHKQLDEAFEDLKKEH LRVLNTEASRIDELKKLQNQLVDLQEKRKKWWA TRV_01595 MADGCTEYGVASQRAGRLPGEADWRQFHRQTPTRQAPLLQLCTS SSTSPQSSLFFSILSFFFFFIFASSASFLISKKKSREKKKKKNRRKIEEEKMKRRKGR RREVHAIISRPVSAGR TRV_01596 MMTSPTSTTLFHIPTNRISSVTNGKGITRRRRTLTSPSSSHILQ TIEERKLCRLRRTPSLLHRCKHLVVRHTWTVPLVPLLAILFLYGVNPTESNVFHRFIF LSYKQQRSDPTKPVQYAKGPWDIALVTFYTIVLSVAREFVMQELLRPLAIFCGLKSRG KRLRFMEQTYTALYFGIMGPAGLYVMSTSPVWYFNTRGMYEAAPHLTLDAGFKFYYLF QAAYWAQQAVVMLLGMEKRRKDFRELVTHHIVTLVLISLSYRFHFTYVGIAVYITHDI SDFFLASSKSLNYIDSPLVGPFVGATIATWIYMRNYLNLRIIFSLFNEFDTVGPTELD WEAGQFKCLYTKLFFLFLLVSLQALNLFWLFLLVRIAYRFVVHNIAKDERSEAEESEI EEMKAKEAKVAGSSAIIQSSSLKPVS TRV_01597 MSNHSGTIHTVRFSPNGKYLASGADDKIVCVYTQEANATAHATF VSVGLDSKVVVWSGHTFEKLKTLLNHQSHVKGITFDPANKYFATASDDRTVRIFRFNS PAPNSTAHDQTHNFVHERTVKSPFVNSPLTTYFRRCSWSPDGNHIAAANAVNGPVNAV AIINRGSWESDINLIGHEAPVEVCAFAPRLYSPQPIQKPMLDSHGNPVHNAVTVIACA GGDKSLSIWITSNPRPIVIAQDISVKAISDLAWSPDGNNLFATALDGAIIAVRFETAE LGHPMPIEENEKSLSKFGTNRRGAGMVESTDGLLLEEKSKAGELRGVKGRMGALMGDG QADTDGTVSGDADAAVAVTSAPKNAQADKSNKTGTTNGTEPAQAKQDPYAVKLDRLKQ RPTYTKDGKKRIAPLLVSGAVGSQSSLPQTKLVAASMNTQGTSEGPQSVLDLSKPFDG LPKGGLTALLFGNRRKFSQLEDDEENSAEKRVATARDNGVIPILSNTPDGLVPAVPTP SGPQETPSFIRPAVVNPCMAISQLRLAVPKIRSHILQGIDTLGNPKDVSDSSKARVDI VFEARNPSQASVTGRSVDKEPVRISLNRGDQPLWQDYLPKAVILVTGNKKLWAAASED GSIYIWTPAGRRLVNALVLESQAVVLECMESWVLCITAVGMCYVWNVGTLSSPHPPVS LQPVLDAALHTMTGHATAVPSIVASRISSEGRIIVGLSTGDGYSYSPSLYTWQRLSEP WWAVASQYWNSTEAPVGNVQVRGQAEGESVAPVSAGIVPFLERNTTQEVLARGRGHFL QRLIKVLLSREGFEGFEASASIAHLENRVAATLSLAAKEEFRMYLSMYAKRLGAEGAK LKAEELLEDILGGVFEDQVIEDEKAKQNRQPGQPEQRSWVNESETLCGWPRRTLLKDV ILAFGKHRDLQRVTVPYAQLLGILDESQDDNDTMDV TRV_01598 MQPLFSPSRSLVLFSSSPTRPLTQLAAGPAFGSFTLLLFRTSPS LVSSRGFASRKKKMAPKKPVKREKVLLGRPGNSLKSGIVGLANVGKSTLFQAITKSTL GNPANFPYATIDPEQARVIVPDERYDWLCKHYKPKSEVPANLTVYDIAGLTKGASTGA GLGNAFLSHIRAVDAIFQVVRCFDDAEIVHVEGDVDPVRDLNIISEELRIKDIEFVEK ALEGLSKQTRRGGQSLEMKKLKEEEEIVARVLAFLKEGNDIRKGDWGPKEVRILSPFS LATQLHMNMVDVINPLFLLTAKPVVYLVNLSEKDYIRQRNKYIPKVAEWIKTNSPGDP IIPLSVSFEERLAQLGDDAAAAEECEKLGTKSALPKIIVTMRQSLNLGSFFTTGADEV RQWTIRKGTKAPQAAGVIHTDFEKTFIQCLVFNFDVLKECGDEAAVRAAGKVLTKGKD YVIEDGDIVLIKAGAAKA TRV_01599 MTDHLGSYRPDRVTLYIADSKPIFFQSMDSPLIPHLRVLHAFPN AMPTVGIDRGAIRFVLSGATLMAPGLTSAGGSLPDAEHALEAGTIVAIRAEGKEEICM VGELKVGTEDIKKKGKGVVMDEGHYLGDGLWKLNFD TRV_01600 MYWPNGVPRVYAVNGPEIQKQQKRQQQQEEEEETEQDDNRTETT AESADEREQSNGLLQHEHQEIAVQHAGDADDAWADEPIAGLCVSKSGALFATMTRSSL AIWQTKPTAVVAAIKRSHVSITNYGPNVALLLRPDSGIIVVQTLEGYLITYSIATDAH SHVYQQLFLQSHSRRNLPSNDSHAIREVSLRFRVAIKVDAGISKAVALDNELMVATTK PAAIQLIRWTPDSSGNQTSTELLSRIPWLSKKSLVVEMVYDRAMNLLLWVTSDGRVYA VQYSYREADESGSSKVNFTGHRFHNPENDGQRALQVAVNARFSLFAVSCVNGEICVYA ARDYSGNIPLSHKLQMPASATTMGKISFMTYSYDGYCLFAGYENGWTTWSVFGKPGGT SFTADKSLAESNGETWLTGVSMGAWIGGGSDILLASSKDKRIWLLEVARSSLTGCFSS ANLARALLQTGTEIILYRGHDLPDLTTISGKDSLWHHAQYPPGYLHAQWPIRTCCVSQ DGRYVAVAGRRGLAHYSVHSNRWKTFDDPKVENSFAIQGGMCWYGHILIVAVEGDSSY ELRMYSRELPLNNSSILYSEPLPAPAVFIGPSGEDSLLVYTYDNILYHYVINAVGTRI SLVQVGQITFNGIVRAPARVRAISWILPEDQLRDGDPSQDVAVASVLFLVDGKLVLLQ PSVSPNGALKYDMRVVAHDVEYYILMRDQLSFNIAPPNDEPSSGGQTPEITVNNSPSD ISLRDSLWVFGGKDLFVWSDMQDVLRPTGRSVEGPKNLPIPTDFYPISILLNKGIVLG IEPEITQRRDVTFTLQRFAIRTQLFLPYVLQHNLSYFDTPSALSICHHFSHLSYFPHA LEILLHHVLDEAVDDHSIGNAIETPVKGKQLLPGVLAFLQAANPPELYLDILVQCIRK TELRSWRTLFAYLPPPNELFEQALKFNSLKTAGGYLLVLQAFDDIDDEDSGDGFDKIE DSAVRLLRLASQRGDWELCGEIAQFLIALDGSGKVLKRAVVRVGLRREGPGSPVDVDD GPIPRFGMLDISP TRV_01601 MAPSDSQKQLVLAIIDFLNTSLKDGTLTAEHSESIEIATSCLGD TFGVDPSDEAAMKDALGGQSLVNIFSVYQKVKGTRSTAPAATPSEEQKEPSKTPSQPA GAPTPESDKLKSEGNAAMARKDYPAAIDCYTRALDIAPANPIYLSNRAAAYSASGDHT KAAEDAEVAVAADPKYVKAWSRLGLARFALGDAKGSAEAYQNGIEAEGNGGSDAMKRG LETAKKRIAEMEKTENEPPAEAVDDAAGATRGAPGGMPDLSSLAGMLGGGGGGGMPDF STLMNNPMFANMAQNIMSNPDMLNNLMSNPALRQMAENFGGGRGRGSGSGGLPDMSAL MNDPSIAEMAKNFMGGRGGGRGTGPSP TRV_01602 MIGTGALSQPAAARLVLRGCSPLTSTAGARGHLAGRRIITPTTL RHFSSPNTIHTTSSPIIPSTTGLSHLSRHASDPLWVILATKRSFHSARALLQQQQQKQ QNPTDSSAEGSAKDQTSKEESKEEPKKEGEEESADSKKEDGKKKEEAPPPPPHGDKTP WQVFTETLRSEFKASKEWNESTKALASSAHQFTESESVRKARSAYEAATSTASSTTSS ALKKTGTVIGKGAAWTWDTTAVKGIRSGVAATGRGIEQATRPVRETKVYKTAVGNMKE VIDDGSSSRYGGWIEKEERRKRREQRELKEGNKKVERMEEDPNAGTNVTLHKDAAWKE SWREFRDTSPMMQRLFSLKNTYEESENPLISTARSISDRVAGFFAENETAMVIKAFRQ MDPNFQIEPFLREMREYILPEVLDAYVKGDVETLKLWLSDAQFHVYSALTKQYKTAGL KSDGRILDIRHVDISHARMLEPGDIPVFIITCRSQEVHVYRKEKTGELAAGMEDRVQL VTYAIGVTRIPDEVNNPETRGWRLIELQKSGRDYI TRV_01603 MSGRIPLLTALRSLALSGEAQSYSSRSLLRRPFSSTAQCRTASK DTQTQSKNDRELENRLLGGQSKNAGPSRLATLSQTTRSKAAASSSSKTTEEVISRVSS LIQNPQRTTELPHRLHIYSHRRNVHATLTKPNNDVLMSVSTGNIGFRKGHRGDYDAAH QLAVYTMGKIQERGFLMQINGLEVILRGFGPGREAFTKVLLGKEGKVLRERIVRVSDS TRLKFGGTRSPALSLASFLFYSLDSVATLTLFFMMAPAVPAVRVNDLCCFISSQAQDK QQERKEEKLNFKQPQAGRQLDSQRTTDQLKATVSTQ TRV_01604 MVNHAQLLSDVLYSSPNDSSQQWLIYYISKPLVGSYEPGQIVQA IVPGTLDSVSLRHGSGLDASSTFPANYHRNEISSFGDLLSKFPLIAKQMKPGLDRLFR EFGKELGKPLPPPPSESPVAPERPTDRSEVYSSQQNSTHSLPFGASEQYEDEEDVMRN ALDTAVTAAIDIFRLVDKQQLSLLGATTDLTGPMVERLIERYVLEQVHDNLLFPRLCE CRQSDDLDLDLRVRQMENIDVSQVGIPVEGGHEGREDLRRRIATAVEEFRKISDAKCP QDMLAILLKTVKIVTIDQASVAPDNQNEKPSVLTINADVLVSLLLLVVIRSHVRHLYA RLLYMQRFIFVDDVESGESGYALSTFEGVLIYLQNDSAGLRKASSRNKRLWSATKHGK IPEMTAILQPELRESSPVTDENECENEQQDDSRSQENERQQPVPNGVPSNRHKLFFSE SSCAIQDDVPETPRLAHVFPFQTWDQPTTTMERPKPRKKVSMDTRSMSESSITSFLSR STTFGSIAGGIEGDTSVQSLTATKDPSGHSVPMMAVEACQPEALSYLLSLSEYYPRES ILQDTNSEGTTLLSAAVQLAYTEIINILIEYILQGNESEVASYLAKADNRGRTVAHYL FNSPALLSRLGKSLPWTLRDRNGQTPLFALCRSYDHPDYNPMVNEALTLATQAQDDGN PLRLDDHVDAKGNTLLHIVSDPQILLRILRQCDGDPNYTNDRRFTPLMVASKYGRIDL VRTLFADPRVDVHLRESRGLTAIELAKDDEIRNRIDDLVLCSSPLPSSPDASNRTTSV VRSLFVEDFGIRFIIKSGAPSPSSPSPDPKAPRTTTYTVTTCRRSLNDFENLLRFLRV EHPASYLPEMPHFRSPFQIPSKPSRAVLHDIQERLDRLLKVLLAHPTFSTHELLWEFF LVPEIQTEMIDQRSARKATVLAESIMDDYEPASAEVIRSTQQILSHAEDAVQSVNEGT RNLICCGYLLQNASTDFADALFLCSSMFSSLQPPANILPHQYVRAFHRFVSSFNLSST DSSPLLQFLAALTSSQNTTRAMLTSLSRPNNLILTLNSAQRSLSRARSAVASSSLPRK FNFSVLEESRQRSIREQESKIATLTTEIEEVRKEISWNKEVVVGELAGWSSWREQTGR KAIRDFVKTTLIREKERGKRMERCLRMIKG TRV_01605 MLPPTLIQLLLLQSCASALATTPSIRSSARPDSCSDQLGCLKQD DSKLPRQFDHLWIWDEISKAKRADDDKGKGEGRKEGGRGKEGSQGEDQEGGRGPPPGP ENKPPPGRPDKNDRPNNGRDNNGENKDKDGKGSSGGPETGSGKGGGDEEGDKDKPKKG GNEGGNGGGNGGGNGGGKENDPDPPKNEPPKNEPPKNDPPKNEPPKNDPSKNDPSKND PPKTDSPPPVPTQQPDPPRDPVPNRPPPDPMTQQPDPAPSPTRDPTTEKDPGTRTNGP QPTHEDPSPSQSPPPESKPSAEPTEGPTTTKDPKPNSTDPVPASSSSEPSGSSKSPEQ TTSPTGDPAESSNVPPAPTSSSSSTPVTSSTTPPGPPKSKGSSLSKGGVAAGAVFGGF VVISLIFLTFVYYKRWKHTRENQTDEGSSRRLSPFPPTPPMGNVQQTQTGIVDHNESM AYDHNMSSFGVLSSPSQGDSYPHSMEEKGIAYSTYPSHQDAAYQPSLSQSAQNELHLP QGNMGNTTQSNLLIASSEHQHQYMAYSPDLMEDEKTGFHAVGAPGLAPLPESSQENLH LHNSSEEYRRPIPKRYSAEFLFAYLFLVSPLLLRDATYIKITGTYLWWTPLTDEVI TRV_01606 MSCKLFFFFSSPSFPVLHLPSPSISSFIKTIVKTAHHLKKLLAP GRFTSTSSTPSTMPLVVPNLSEDDKAAWAAKLMGKKITESESNETCFAKKDLPEGHRV LKPGDMSTMDFKPDRMNVYVDENGTVMDVKHG TRV_01607 MSSGFVSAGVEGEDYEGSKPNDEWLKVKQELEESRQRRAVEGQQ EGGKSLYEVLQQNKAAKQEAFEESIRLKNQFRTLDEDEVEFLDSILESTHAKEEALQK ETSEKLELFHRQREEAEKALLDAETGNAAELVGPADEGDNWAISGRKRRRPKEKEGGL LGLKRRRSATAAENNNNSSTHSKDGKDKAMEGAEATQPPPIKKPEPSIPTETKASTLG LGAYSSDEDD TRV_01608 MPPSSGHQLIPKVWRVARFAFEKTARAIRNRLPDAPEPRALQLQ PAYIRPSARTPANRIAALRSQTRSFTTGRVSSRAAGIRTAQPLKIATQVSRLTTRTPF ASALRPNLTGGAFCRTAGGYAIGAGRIGGARYFSSSPTCPAQVIQNVSVAMRSFWLSG QRIRFDGIDKRTGAKKYKVVTPLQQEAGRKMDAISPAASGSYVDFKVSPTITALGCFK SMQKGSSFAADEQPTLNSAGLLDLLSGDFARVLKELAAVLNDLKKLAALGDLPISLQD QSTIRVRFPGCDADTVERLCIEAGVQRGVVGQDEDFESKNGAEMALLFPFAPSHQHSE SGSCPCESPFMRTPEYVNWQEMMLSDKSISPFGTPEGDFSLLNLSEENPWARQPSDFS SVGISELGDRAFFPDIRYPTPTASDYGGIEGVCRFIEECNRASR TRV_01609 MDEFNNTDTDSDYTSFWRDWFISSRGNEYFCEIDEEYLTDRFNL TGLNTEVQYYQYALDMVTDLFDLDADEDLREQIEKSARHLYGLVHARYIVTTRGLAKM VHSPQRSLQVEKYKNADFGKCPRVMCEQHPLLPMGQSDIPGQKPVKLYCAKCEDIYNP KSSRHAAVDGAYFGSSFHNVLFQVYPDLIPDKSRQRYEPRVFGFRVHACAALARWQDR KQEELLDRLRELDMESPFIEDREGDEDEDELEEDDEEEEEEEDEGGDSIMKDESMPVA TRV_01610 MACGNKSGCCAGEKKPPAAFSSSCSPAEDDHARVMARLPGRDAA CCDPEADERCDAVDEHVHEHGDDGKHGAVACSSHLRLAFERFSSYLETAQCICRSVIA SHSLTPCCAGQAASSNPHPAPNHAHKHIHEDKDIDLDDTSSCSGHDHDHDHDHDDDHD HDTHSHSHANDNPTGIIKGAAVDLEKSAGHEHVLLAVSGMTCSGCGNKLSRALQESPA VYNVKVNFVLGNAEFDLDTAHTSIEALIDRLDRLTGFRCVKASSSADDQHLDLLVPPG TAPNVTVESLPAGVTQVDILDARTVRIAFDPLAIGARDLLQAMGDRSSGLSPVNNHRD PAASAGRKRLYAMLYKTTYAALLTIPVLVLAWGHSLTGPRTKVIVSLVLATGVQALAV PEFYKPAITSLLYSRVVEMDMLVVISITAAYLYSLVAVGFTLADKPLATPEFFETSTL LITLVLFGRLVAAYARIRAVEAVSTRSLQPATALLLEDAHAAAARQTREIDARLLQFG DKFLVSPHCTIPTDGRVIEGTSEVDESMLTGESLPVLKKRGAQLIAGTINGSGSLTAL LTRLPGKNTVAEISNLVEEASSHKPRVQDLADKVAGWFVPVVTAAASIVLVAWLLVCL LVRHQAAGGAIGTAITYTIAVFAVSCPCAVGLAVPMVLVVAGGIAARAGVIIKSAEST ERAHRVTDVVLDKTGTITTADLEVVETVLLPQPEPESHQDTLSLVSALVRDNKHPVSI AVSKHLASQSQNQNQDQDQDQPSQRLENIRIIPGAGVECITDTSILRAGSARWLHVQS HPDVSRLITGGMSTLCITRNDTLIAVFGLKNNPRPESARVIQKLQSRGLSVHVVSGDE TKAVEEIALAVGIPTAHIAARQTPSDKQAYVTRLMEQGKTVLFCGDGTNDAVAITQAD VGVQIGSSSDVSRATADVVLLGGLEGVVVLLDVSRASFRRIVFNFVWSALYNVFAILL AAGAFVYVRIPPAYAGLGEIVSVLPVILAALSMFWTRRSLAV TRV_01611 MTLRPFSFILSLCKSLLRRDGRSGAEKKKKKKKHKNKRAFFAF TRV_01612 MKPGGVKLKLKLSFDLHLRPSSPIFASEEAISGDEEEEQARRRP LNVKPTEADSQSVSQLLSREEEKKKRRRARLYISVDRGPSTQQSSQQSTAKYQSQYSL TSITNINHFYGVHLLTMQCHTCRKRRVRCDGALPACQKCRDRGLDCLGYGKQKPLVWL AGGGHQNAFLDDRQKARKKGRPRLVVDSPGSSRDAKDKGVKDASTAVVVAPRAVPESM MFSYPAEIQKVVRTIWYYEHELVPDLDPINHVDVKAAEDPTAWQDDLASILWHVLICA VDTHKAIRSQPDSMDSGVEVQVGREVYRSKSHTLRRISRNIADPETRISDVTLVGVLT LFMAETQLSAVGSWQTHFQGACHIIRMRGGVRTIARHSAGLNSLLTFFMIADVMSYTT ISSSLPMLDARRQLEYTDIIQEVYQDGSGTCIPCPNQLFDAIIRINHLRALAESYSNS TIIELQDLDREDASPSSSSTSTTTSSSTSTLKTSIHALLQDILSFPIASWADTMHQQT VTQQQASSCSRLDPKKAFLRPDRPDWVRIASIYHAATAIYCVRSLGHLLDQDQLLHVS FSASTSTPTASYVSVSDILLVARKILLETLREVLLTVSDPRKSFVKVMLWPMFVAGVE AAVDSRPETVQFRSLLESVLRRLCRSLGTLSICGLRNFLLSLWEHARLHPHLWSWSEL MALSPGRSVFFM TRV_01613 MAENSGYEKYSFGEHYLQNVYVHIPPSPAPADTGYWVVYIHGGA WRDPDILAPSFKATQDHLLSDPSVSYKIAGIASIDYRLSAHPNHPQDPTSLDAREYRN AKHPDHIHDVRDGLALLQSMYGFGERYVLAGHSCGGTMAFQVVMNRVLSAAEVVRPVA VVSVAGVTNLLGLVEEKAHISAYREFVTGAFGDEAEGTWEVVSPAVDGEGVGGVVDSW NNGRVVVMGFSVNDEALPGSQLGFMKGTVDAWAAAGGDAGVERRVRIVEDMAETHDGM WINGKEVADVIAIGIRELENMQV TRV_01614 MAEYVFTETASLAGGLEADLVLGETNTTPKKTILMAVQVVMKII KHCTQTFPTTATGSLVGMDVKGTLEITNCFPFPVVDLPPDSHLDISPSSGAASAPRAK SNVAYQAEMIRMLREVNIDANNVGYYTSANMGNFLNLNVIENQYFYQKDMNETAVTLV HDVSRSSQGPLSLRAFRLSPQFMTAFKENKFTAEHDIFVELPVTIHNSHLLTSYLHQI PTTAPKEELDLPPSLAALLASPLSNPPMNTPNFDNLSLNIDPFLEKNCDLLLESIETH HTENNNFQYYQRALAREQTKIAAWQAKRKAENVSRAQLKQPLLAEDEWQRLFKLPQEP SRLESMLNTCQAEQYSRQIDGFVAATTGKMFGIKGNLVPDNQS TRV_01615 MACADVEMLDTWSPLCRVGDTPLVDGDLMASERRLRHRSTVEQL PAWSSPTAGNITRCISPKVRTILFDSFYSLFPPILILFSLRPLLTVTRYAVCMFHHLW LNDFTARTANEPFFFFFSSSSSSSPLFISVPDDLRAILEAAFSTPEASPLPSYDDAIL DLPPDYACDEYARAHVDTHAGPAPARHRSTKKPSSLFQDPLMNISVDFKDTSRFREAK KKKPAKKPAPVADPWADTTPEKDSGAGQEEKDASGGGADGGDGGGDNGGGGGGGDDAG GGGGGGGGGGGDDPGGNDDKGGGEEDADQGSSKKKKKKKKKQEEEEEKKRQEEEEEKK RLEEEEEKKRLEEEEEKKRLEEEEEKKRQEEEAKAKENAGGDLSWADDTNADTSWGDF GAATTSKKKKKKGKNAATELPPPDPAPSAFQDVSLDDGAGAPQIGMSFGGEDTKGSTG FSFGGWGKDWNTGGELDLGANGGDTKDDGLNPWGGTSKKNKKKSTGSDAFDFGFDSNG LDGNNADPAGDAGADKEPDNSWGLPAPTTGKDKKKKKKGAAEPAATEEKDSGGLGDWA DLAMPKKGKKKTASPWGLGDDKANDGDDFFADLGKKDKQADPEPEPEPEPAPEPVAEE KPAEEDPWGATPAPLSKKEKKKKKKKGAADPEPEPEPVPEPAPEPVKEEEPVKEDPPP PEEEDWGGFGVTSSKKDKKKKKGASLLDPVPEPEPEAPKEEEKPAEESFNSWDAIPAP TTKKDKKKKKGAAAAEPVIAEPEPVPDPEPVVEAPPAEEKPEDSFWSSLATSSSNKKD KKKKKGAAAEPVIPETVPEPDPVPEPEPVVEPAPAEEKKPVESAGWGFGLPSTTSKKK KKGSAADPPPPPPPPPEPEPVVEETKEEEPESFWGFSTSKNKKKKKKDEPEPAPVEAE KSKDLFDNDSWGNEFDQDKSKGTDLLDDPPKTETTSWFGSLTSSKKDKKKKGKTADPV TPGAFDFGDDPALEATGAGDEVDWFDSGKKSTKNEDPLDIPEFIDEAAEDTMKKEEEE KKPAVKETKLSKKDKKKKAAAAAAAAAADPVPEEPPAEELPKVDFFEDTKEEPDLLAP PPVDDFDSWGTGAGATTTKKDKKKKKGAATALPDPEPEPAPIAEQTESNDVGFGWSFG GTTSKSKKGKKGTTVEADAASKAPEKDPFALDDLLDQPAADTKKKKKKGAKSIIDEPA VAAPDPEPEPVVEPEPEPQPEPEPEPEPVQSSSWSLWGSSKPTKEKKSKSKATVPEVK EEPEKAAKGKKGKKVAEEVIDTTPQDDGVGSGELVPVPKTQDESTWDIWGTITNSKKK GKKGASELPPPAPTPPEFPPEHGGDGMGDTWDDITAPWPTSIKKGSLSRTTTSTSKAS HIEESKLSKTRGKGLEVVDVSETPNLDTPAEDVSAAKAAKGYWSSFGLASGGKTSSSK AAKGGVDAPADDLLDLVEEEEPKPAASKTTSKAEKASAKASKKEKKEKDAKKEKKMNV GDQEVKEDEGSLEAASAPAADKATKQPTSSATATTSAPKAPGKSSVAERIKQLEQKKA DKEAAKAPKTKEKAKPEPPPPAEPVPEPEVVEPVEEPATKAAKSSKKDAAASKTKTSS TSKTKTKSKSKKAAEEDLAPEPVPEPEPAVVAKEPTPRDSVPGSFPGAFDELADIEEH EQPSKAEEAAPPPPPEQEAAELKELLSDPDAGSSQRPSTAKADGDVADEMPGATPKKS TKKERARIDRSSGAASWALWGAAGASGPAPKKSSKKESKSKDPGEPVVKKEKEREKDK DKEKPPGLSRSKSSATKKEKVSSLGAEVEKSSGSDKDKRASRPSKHSRGMSFPFMLSG PPPPPVRSKSTKRASASKPTSRRHSVELDDSGLLTPRESPDIPDKAARMMGVESSKRV KRSSSHKKKSERKHEITNILLSVPRDPYALDDDVEMLSPDDGLISPPGKSSKDPERRR SRKESKKHSSDPALAPSGEMPMGRDDFGVMNHSSREPVPLRRSNTSPKRPEGLFGFLS LRKPGARAPEVRERPKSRSRHESSRRETDREKDESRRRRRSVRPDTDGEGFTTDAMRT GNNSPTEEAEARRAKRKAERARLREERDERAREAEREGRRQKRHAREMEDLPHESKRD KKSDRRSRSERPPKDPLSPHESRRHRSHRTDDEGGKPRRRRSSPDHHRSRREKSSRHE QAVPYPAMVNGGKDKTSSWVKSQISDPPEVPPIVATVIDVPDPAREPQNASLSSDEEA RRAIHRRSRRKSRPVDPMDVDFDRRPRRRESRREGRDPVRSNESSGDMDHRYGGMNTK RTSWFKKLTSGF TRV_01616 MVFKLAQCGRFAVRRFNSRVLYVPSSGLRTEVIASSFAARLSIE GLFRSLSLSNSYATTAAKPKTKAEKTVKKKKKASKKPTPPPKRGRPGKSNKEKRAEEK KKEAKVRAREDLENLKEAALTPPKPLPTSKIAIFSSGKGPLAESVKAFKEISQFHVDE LGQTAEKNAETNRHNLEQWIESHTPLEIKNANAARRKLRRILPKKSRIYGPIKDYRQV KGPRNAYLLYSLDMHNSGELRHLSAKERIAETARSWKNASESEKEKYKSLQEEDRKRY INEYKSTYGEEPKLVESSDAEDL TRV_01617 MARDTRSPSPVGSTYSSSKRSRRGDDNYDKSRRHDVKGDAIVTE AGTGIETFTDIEIALLILETLVTIPPIAPEEAVRDLDTVLVREKIVVATDIEAGAGIE PTVADEMILVIGVVDEGMAPLTPDVLLDGMGVVLFTQGSANLVQVSKQASTASPAQTD EQKKAERLAKLEAWKQKQAAERERKQNELAASGPRGILDEIDKKVALSRGVSTTTTST QPTASSHSAGTARVRDTTSSPTPEQLKKTTVASGSTKVSEASTLSSASAVANKSSVGA SSSPAAAPLKSSGNVSGFGLGTKNLSDSNKLSVKRTLNFGEEESKGTKLLKLPDSSLE DISANTTPQDGDDDDDDDTVMQDGGTEEENAAAARAAAERREQNRVQPEVSSDENKPE EPQPKESSNDIAMTDSTAEPEAEEEIDPLDAFMSDLKQSTSTPKQSVKPSSSKLQQPE AMFGDENDINMDPVEPDADDFFALANKSKRKKDIPTVNHSKINYEPFRKNFYTEPVDL AGLSEAEVANIRLELDGIKVRGVDVPTPVQKWSQCGLGVQTLDVIRKLGYEGPTSIQS QAVPAIMSGRDVIGVAKTGSGKTIAFLLPMFRHIRDQRPLENMEGPISLIMTPTRELA TQIHRECRPFLKALNLRAVCAYGGAPIKDQIAELKRGAEIIVCTPGRMIDLLTANSGR VTNLRRVTYVVLDEADRMFDMGFEPQVMKILGNIRPNRQTVLFSATFPRNMEALARKT LSKPIEIIVGGRSVVAPEITQIVEVRNDDAKFVRLLELLGNLYSDDENEDARTLVFVD RQEAADRLLRDLMRKGYPCMSIHGGKDQIDRDSTIDDFKAGIFPILVATSVAARGLDV KQLKLVVNYDAPNHLEDYVHRAGRTGRAGNTGTAVTFLTEDQERYSVDISKALKQSGQ PVPEPIQKMVNAFLDKVKEGKEKASGSGFGGKGLERLDQERDATRNRERKTYKTGEEG EDEDKEEDKKEKEADLFSRAASAVQSSTATPALGTPGGVDLDGKITVHRTQKDTSSSA GKNTLDKVGSAVADIHARLNKAGVMRSGVPIDNKGPDAGAFHATLEINDFPQKARWAV TNRTNVAKILEATGTSITTKGSFYPAGKEPQPGENPKLYILVEGDTELVVTNAMRELM RLLKEGTIAAADSDARAPSGRYNVV TRV_01618 MADQFDERSFCWECYWPNLDTDNNNNTALSSGCVDASCWNQADF SHQSFDLCGTGTECCDNDQCSPGCATICDGFVDCDKSTACSEPQCNDMNCNNQATACF DMNCVTEGEQSLRSLDQGHFFNQGIPLNWGCLDLQNTAAAAAATPTVTTSAVNDVSSL QHCNLDNDKLRSHFHFHHVGSINSLDINSASKGLGNGIIDPKHSRPVIGTPCSNHAGN VWPMASTAGDCNNNLNNHNNTHHPYLNHIHQRGNLDKAFDIVQWSSQMHRHRHDPSFH SQTSSAGFIHDVMTNNSTVSTRSTPGLSLESSPMTALTSREVSIQSMDYSGDELHICK WVANGDSGLVCGITFPDAKSLQEHLVQEHANQTEGAKGTGHYCCWEGCHRPHEPFSQK SKLQGHYLTHSNCMQLSHILSNPNHPCIMSNYFLQIKTSNALYVGNHLHDKRPWRGMN AATGEINRISARYVEKLLRIAVNLKLTGEYTRERNRSSVNILAALLKLAM TRV_01619 MDPLPRGSPCPVKAMVLATIRPVRVKVHPTVNPDQKKADDIGDL TLLEEKAGIAEEADMTNTMRSLAVANIASLGDHALEALGFMGAMDGSRKQGDRGQHRR YEYGDDHRDRRGHDHHRGYDNHRYDYDDRRQDSSRGRNHNRSSSLDPRAHGYRDDPNK ALQNAITAALTAGVLEAYRARNDPGDWTGEKGKRVLAAVASAGGTEKVLDRGGASKST KRHILESTLAGLATSHLVGGESGRHRSHSESHGRANTGATAALLAAAGKKAFEHYQSR SRESGRHDYYSDDGRQGRSGRSNRKRSKSLTDYVAKGMATLGLDERGRDSGHGNSHRR HRSRYSSPPSEYEYEYSDRPRHTRRHHDRY TRV_01620 MVSILNNDDNPSFAVRPSRSSKSRQEPTGHSSQFHGWPASVKGN PESANLRHGDSRPQWKDMSSSSESHYYSYGPPPHCSSPPQFVMPHNLPYGYDLEEGGP IQHEHQTGERERVSPQSSHSNFTEYAAPPTTKKNKHACPYAASHGCSATFTTSGHAAR HGKKHTGEKRVHCPVCNKAFTRKDNMKQHQRTHRESGIDVGIDGHDQNISDTAYSQSP GSTTAYFPAYDTEAPTDPRHSQSRRHSSRSATSYEASPRYLSRELPDPQDAEDERRPR SPAYRSDSISSGLDSLAIASTKSSYSSRHISRR TRV_01621 MDRGIREWTKLVQLDQPYQQEPPRAQARDLGKSKTKKQNKKPKK RKGKQKENISSLECWVHHDKGGTKNILDSSCFYCLYLLFPLAKGARKYLYLVAIYIDP DRLLPKTALYTVNAASWLGSS TRV_01622 MPSPKPPFELKDHCSLIHQNVLYVYSPAGFMSLALEPNAKWSKL ETGRSVTGASCFKGPTDGDMNNPAFYVVGGTGGPDDYLGVQSYSFLDKKWKFPPLDGN NMKNRLDHDAVFIESQSSILVFAGSTNGNPTPSTETFMISMNSNLYHIESRGGPVAPS TKPVLLPWGKDSVLLVAGSGDNKVYEFKDNAWHMFDHVLESPITDPTNTPIIANPYID GNMALQVFDLAASPVTVRTDSLVQPGAPHRRSIHSRHHGHVHSTIQKRDSYPAYNSTL APTGSRTGASVAADPGYNNRVVISGGSNEEPIVIFNQDDNAWLDIKDFFSVSTTSSAS SSTMTSSSMTVISSTSTPTITSAPTFTPHPTETETSSTSSDRVDDVVPPDGRTLTIIG ATLGTLLGIGAIIIIILLVLAWRRRTSLYCQHAKEKHMNSDDKDRFSFQDAGVEPLTR SIQPIARGPVPSTDSWAYVAGQVDDYSRASLNPKPPAPPPTRGMPISEKSPSPLRAME TTQEERPDSDVYPPVSTNKPVFGAVVDHRQSEADDRLTDEGWSKYFQRDKDNGSIKSR ASSVDSKYSKSDYKSDYQSDYRGSGWPHVSAEVPPLRFGGPQPLGMVSSGSPSTETPP KWPFTQHQGMAAKISSADSISINSDDFYDDRRDTGRDTLRDTKSDAFSTGAPGSTHDV DRWGRVSREPRVPSSNYSNSVYYDNPGPPYAGGPDRYLNPMHPESRAPSSYYGRNTRS ASRGILSSDISWLNIGNNR TRV_01623 MDSTRGPPRVKNKAPAPQQISAEQLLREAVDRQEPSLQAPTQRF ADLEELHEYQGRKRKEFEDYVRRNRINMNNWMRYAQWELEQKEFRRARSIFERALDVD STSVVLWIRYAEAEMKNRNINHARNLFDRAVTILPRVDKLWYKYVYMEEMLGNIAGTR QVFERWMSWEPDEGAWHAYIKLEKRYNELDRARAIFQRFITVHPETKNWIKWARFEEE NSTSDLVREVYGTAIETLGTDFMDEKLFIAYARYETKLKEYERARAIYKFALDRLPRS KSAALQSAYTVFEKQFGDRVGVEDVILSKRRVQYEEQLKENPKNYDLWFDLTRLEETS GDVDRIRETYERAIAQIPPSQEKRHWRRYIYLWIFYAVWEEMENEDAERARQIYTECL KLIPHKKFTFAKIWLLKAEFEIRQLDLVLARKTLGQAIGMCPKDKLFRGYIDIERKLF EFSRCRKLFEKQIQWNPSQSESWIKFAELERGLDDVERARAIYELGINQTALDMPELL WKAYIDFEEYEEEYERTRNLYERLLKKTDHVKVWINYARFEINIPEGDEEEDENEERP VSEEAKSRARKVFERANRVMKEKDMREEHREADAQKGEEEAQGGRRQVRRVHRLYLPS GRRVDCNDIEPVVHSTPVEGTAAAAAAAAANPELDSTPYNEKPLFFLFIIHHPPFISS VYSVSYSPPPPFFSRVPGTPKKNWPSQCDLYIQETSDRSPEKSKTSIHGEKHESARM TRV_01624 MATLADELMNDFEDSGSEGGLDDAHLKDQDDRDEDARDTSMLPD SVDEADDNDEGQEKIEKTQFKGVSDVRSVARLMETLRPILEKIAHYKQLPEREEARTG SVEDDEEYHLLTQSNSLSTSIDNEITLVHKFIRDHYSSRFPELETLISNPIDYAKTVA ILKNGPFDDIKAMASSTDNLVGQTLRAILDGPSLMTVAVEGTTTRGSPLPAEELECIL RACEMMFSLEKAKTVLTEYVQSRMTMFAPNLTALVGSLTAAQLLNYAGGLTGLAKMPS CNISPLGSKKQAQSGFATNVGIRHQGFLYHSPIIQDIPNDYKIQAMRIVSAKVVLAAR VDRVHSSRDGSTGEQLKQACLERLDKLTEPPPNKGTRALPAPDDKPSRKRGGRRARKA KEATAMTDLRKAQNRLAFGKEEKEVGYGTGESTKGLGMLGQENQGRIRATQIDPRTKA KLSKSNKGWGTATPAPGHASSLHRLGNAPGNASVLNAQGLRTAGVGPIAGSGTASSIA FTPFQGLELVDPKAQAELKRKRAAEEDRWFKSGTFTQ TRV_01553 TLVYPWRRTKRKNIGPLPSRANFFPHFGDFDKLTRKAFEADFLF FFFFFFLLFLLLFFFALISPSPSSSLLLQLHQLHRPYRYLHRL TRV_01554 MPGLMAIRKKYAADAPLKGARIAGCLHMTIQTAVLIETLTALGA EVTWSSCNIFSTQDHAAAAIAASGTPVFAWKGETEEEYEWCLEQQLIAFKDGKKLNLI LDDGGDLTSLVHKKYPEMLKDCYGVSEETTTGVHHLYKMMKSGELLVPAVNVNDSVTK SKFDNLYGCRESLIDGIKRATDVMIAGKIAVVAGYGDVGKGCAEALRSMGAAVIVTEI DPINALQAAVAGYQVLTMEEAAPKGQIFVTTTGCRDILTGEHFNVMKNDAIVCNIGHF DIEIDVAWLKANAKSVQNIKPQVDRYLMPSGNHIILLAEGRLVNLGCATGHSSFVMSC SFSNQVLAQILLFKCNDPEFGKKYVEFGATGKRDIGVYVLPKILDEQVAFLHLEHVNA KLSKLTPKQAEYLGLDVEGPFKSDM TRV_01555 MGFFENFSNHADAHNEVMNAPHKASLSHELIAGAAAYEAAKAYE DHVQKNGYTGKPDSHAKAKEILAGFAGAFTDRIIETKGLDYIDKEKVKYQAHEHAQDA LGREY TRV_01556 MHNLVVCGAFDAQSPIDAAVFSDFMGISMTLEHAAQGAERTALS CFPLGEHFNFLETKAPPITIIKWGVLGPNQTPLFTYSKTQWITRPHEWLEYVSPDDIL KRVTAWMEDKAHTVAENDVVNIFFEAHGTMNGKICLGSKYLETSKVTSLLSQFPTGCQ MNAVGRHCYYRQFRNVIKADGQIPRHAIADCGPEEAVHFAATRSVSNRIRNFHSLLPF VRSLAQVSFPWLSRQAHPPVHTAELESTLREAIRRITPSLTRECQAETYTSYLSPEIQ TSVTLLEELVLRDHVDVVFLSQNVHRRRRSEWPTLDLQLMRQTRSVIPPSSGSLARRI QEHVHAAAADCDFDNVLRDDGPILGRLEYPNTPYDEILRALYYRGRVQSAVWDLFLIL CERGFLNLEASLEQPINFYSTPESLCNVLNLLLCFKKPCEADACVPNNFNTSLTTPIQ WLAVMISRGCAEPQRLFETIHYTRILGPLLECEVNKLLHANNGPISIECDPKMVSGYS PPPFGFWLPSGVGNDPSMIPDIVLKRLALFNETEALFKELLRLGDAELPLGPQRFAID YQIPLTTRTWLPIITDNLSNVLEQYRDRISETYKKVSFIETPQPTLA TRV_01557 MGKFNRILEPGLAILVPFLDRIAYVKSLKEAAIEIPSQNAITAD NVTLELDGVLYTRVFDAYKASYGVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERAVL NTNITQAINEAAQDWGVTCLRYEIRDIHAPEGVVEAMHRQVTAERSKRAEILDSEGQR QSAINIAEGRKQSVILASEAMKSEQINKAMGEAEAIRLRAEATARGIDAVATAIREGQ EAASGAISLSVAEKYVDAFSKLAKEGTAVVVPGNVGDMGGMIASAMAVYGKVSEGQAK TIAAKAIQSGEPITEQKTTSDAHTATSKTTHFEDSEHSSTDNTGTPRNEVAESVLGGF EQASHRDR TRV_01558 MDMSTDDEFLSQEQRDQLFRGIFPTDYSGEVISIKTNRAEYNRL FEAFENSTNKFVFLFLLSFCTDAYCEIYRRSQSAILRFDKTFHTTSIQLRQVSIHECV ISNVSAQLRNLIPPHPRRFSFMKNTEIDDYLPDPDLQMVWRSNMGVIPVLAMENIEIC KAMMQASTDKPELLIDQYCAGRELFSPVVLYGIQWVGELTAAVQVFGKDSVTGEVVAR TPRVPAKGIKQSGAPLYEAYPSLNTKFSDCVDSDDEAYNVDLSLTWDDIRDDLDIAKR MLARKRCSAAVSRLKKEGKI TRV_01559 MRFIYWAAATLPGALAAPLNPQNLQNAVNPNQLKNPLTIGTGTD PISEFLRKLNSGEPFTSSPPKPAGGAPTVQKREPINPLEVVDGLARKVTGSSTGIARR GSSGDEKAAKKKFGQRRGLFPFGGDVRGVHAGNAGLDANNLGREKRNDDEFPFNNQQN GQHSVILNPLLNTHGNDINRGFGRRDSADEVASKQVKRNDDEFPFNNQQNGQNSVTLN PLLNTHGNDLNRGFGRRDSADSKQVKRNDDEGPFNSQQNGQHAVITNPMMTTEGNINN HGFNRRDSGDKIEPKEENEPNEVKRNNDEFPFNNVQNGQSSTVIEPLLNTHGNLNNKG FGRREEQKDATEKAKRNGDEGPFNNQQNHQTSTVTEPLLQTGGNIHNEGFGRRDSADN TRV_01560 MRVLYWIFAAMPLAMAAPVALPNENAPGMLNIGPRAQDEASDIN HIPINSVENLCPENEHADECGGGQPLGHHEMNNGESPVRKQHGDVESDLRRGSLLPRQ SKGRLLSGALIDGLPLLGSGGQ TRV_01561 MGALGRIFAIAHPNRHSFSLRDPNISYPFAVKETVSVEVLVLVT LLAPLVLIALFSLLFIPGRTAAAGAPSSLVWRRKLWEWNAGWMGFGIAYACTYAATEG MKVLFGKPRPDMLSRCDPDLSDISKHVVSGLGQRLDGAPELVTWTICRNTASMLLRDG FVSFPSGHSSMSFAGLVYLTLWMCSKLFLAFPNISPNLFTRDIRASSFHPHPPHQEGE DTCEAQSCPDEIPLREQGAAPPTYLILIAAIPICTAMFIASSRWVDNRHFGFDIIFGA VLGTLFAWIGFSLYHLPLASGAGWAWGPRSRHFAFFAGVGFPTYGCAMDKHEHARASI PQ TRV_01562 MPHPHLGAVKDGRLLEEAFEPKKYMVRLEKGKFIPALDKDPDGR VKWRAMSPYNYRLVFDKSPYPAGEHWKDPEICDDAKSAHEWKEFHAGSTKYK TRV_01563 MEDTQQVASAAEIQGTLERCVHQRQRYYQRLSAFAIRFEEDNTG AEQDLPNFKSLVRALGIDSVEECVLLASDRTPGWTIMSKIREHLLDGLQKCLDPQFGR YLVIIHYSGHGAYGPQQGLWFYANPQSKPSFTFNTLTEAVSINSQQSDVSMDAVLIID SCEAGSAGLTVRVDGTFELLAAVPEQKTAFGTSAGQNQKRTFTAKLANAAAIARGKAR SVDFAELLDSAYSLSSAKFPVHKLLSGSVSIRVKFPCRAHANLPQPPVSLPLSMKVPT KVLFSCHFLEDPASEATKKLRSWIELLDPEIGLQVTGVFQTESTVLILLAPYTVFCTI RTLPGITLIAGRASTPQTPATTTEESVLTSTPRQPDRQENKPPYKPSLHYTAIEKDLE HEH TRV_01564 MPPRNTKFKLNTGAEIPAIGFGTWQDEQAQEGAVLAALQAGYRH IDTAAIYGTEAAVGKAIKKSGIPREELFITSKLWNNKHKPEDVEKALDDSLKNLGISY LDLYLMHWPVAFAPGDEAFPKDSSGKMKVVDIDYVDTYKAMEKLAMAGKTKAIGISNF SKAETERLLENTSIVPAVHQLELHPWLQQPSFVTFLKTKGIHITHYSSLGNQNESYKQ DGKLLEAPALTAIGKKYNKSPAQVALAWGINEGHSVLVKSKTEQRIKDNFQADFELKA EDLQLVHEMDRQRRFNDASESFNYKFFSDLD TRV_01565 MQFKTLSILLLSASAVIAAPQEEKRDIIDQATSGIGGIGSDIGD IATDIGNIGKSLTALFPSATAIPASVWSSLTDASHIKSFGSSLHSAISDHHAPAWFSN LPASAQSAVSSAAAGITSEIGEHFPSATGTPGATPTTGGGSHQTNTPNAAPRATALAA SIAGAAGLLGLAVAL TRV_01566 MAQLADPIVFLRLAVSLGWLRLRFCFFFFSTGRKTDANGANSAK LTTDANRPNYAKMTSEANMRRGWDGRSRRDESRAWLTWKRNIAVDDCILVLEVLEQAY KESLLSRSLSQQSTLLDTNKIPAAHIYFYPQTNQDAYVRTAALLSTIQGYKDTY TRV_01567 MRYRRRVYIPPTTISIADSMSSVIRPPDPCLIAIALVVRSRAGP RFVYHYPPNPTLAESPSTAPNKYDGQQQPRDEQEHEQADPDQEVKGTDSGASVDEDGV TSEEESSVVDRGERERERERDRRSPSRQHSQSHSQSQSQLQLQSHVRKKVAIQGQGAP GEEGAEDKKGEGSSLQADSFLGLGYGVWEKLLSPSPAWHKRRFEVGVNDLTFVGWPVF VRKDGTWRKRRKKRKSEKRREEDEKEREKERVKKSAGVSGLGAGLVGDGHIPLTDTDD GEDGGDEREERGEDEDGDGEEDCSDEEQLTIKDGMTMFNVVFVLNPPALEYNVRVREM YDNVVKKFGKALKSEQARANYVWKEAQNILRIKEKCREERTSLSGLYTTLSAKSSLAQ ALETLYTNISASKIASVSLGPQTSMSMQIPPMTSTPHLPSPNEPGYPGLWLTTADSVS STDETAHMTNPGQSQVMLAKHFALLLLSDETSILKDIEASKSTIGPPLAHYIRSSKPT KSFAQISARSHIPLADIQILASHLVYWRRARAIPPLNKKDVYIVSPNADMSKLGVASA AYEGMFPTLPSLPKMLSALSAGSPRPYFSYIPSKDHKEAYYDILAWLVRGGWVTQLRT FGWVKVSPEVKMAVQNQPVNGHREHQDEDDEDEKEEDEEDEEEAGLATPVPVPVPVSR STAKTSVSTSTASLILRPHRASPLESRWLDHIHKSFPLAAENDDEDHEEEEREAREEG EKEEEEEEDVYAALRRHWPMLTRYFTGLDALQKISVREGLPHRLVWRMLNLLDVNSGV LGGGGGVGGGGEADGVWDEREKVLVTVRHW TRV_01568 CGGDQEERQDSKKKKKKKKKKNLPTEKKKMDEEEKKREEEIARG KRPGRLFRLAEEEEEEEDDDNQDDSNGDEEDGH TRV_01539 MLAPRLFLEVEAGQRRDKQALEINSHIKKEENCSSQARPLTFSG KCQPAYYRASSPASHLSFFCSHFVKFLHYPATAEQAAIMSWPLSPSTSTSSPLTSLPS SPSSRIFHIYNSFPVHYKISDPQKRPLYHVDNSYFTPGTPDLSLYRGEDKKGHLAAVC KFVLFSTCSKIGLMPKPQKSYRDRLNNDIFEIGHKRTHSMGVESSKPSKLSACNYKLV DEESGKIMAVIANNGLKSMNKKGKIELFDTAEYVFAGEQLDIMILMTGIALLEKERRR RAQRRYDYY TRV_01540 MTIDYTSKGDIPHTSYYDSVYMAKALDSALDMEFEGFDDGKLYW DMFLKLDRTQQSPTDPTIVLDVGAGSGRIFRKMALKAAKSGEDLSDVRFIGLDKSSSM VERAKSRASELSDVGSVTWIVGDASDMLAQPDLSQLRGQLSFVLCADGGIGYIEGEAN IHAFFYHIAKLLRPGSGRACISLLEFQVAGAYKDGVVPSTYDESTESMDEYSKGSSLS FKPIFHREYVEDGHHVGRLDTEISKVDKEGNKTILEICHYTHRFRIWSIEDMIQTAEK AGLKHVETIDWQRMQHFVFMVPEAN TRV_01541 MLGTRCPAYMSTLTACGFSLIICLQPIPIRAASIWGASIWIDPA PPPDEGPPISASATRDTALLWREIVAIVAAYIAVVSLLLGCLLTVGRRLRRGAEESNG TLEMDLVKPSGGMMEDSPAPVEYSTNNLWPSPMSTRTQSNNAWPSPPKNKARSFNMPW SSSNRAQSAASIAGSVSTVDESVVQADRVKAQEEMERLYAAVMEHDARKSAMSQETAT TRGSPPSPLKSPVRLNPPEGPPEFRHLRRQQQPLSPVSPSSPLSACHLPTVTEFEPQH HSSHPFQEDPASKESPISPRTERFSRLSQLPFLSSRSKNSTSSPKKLLGRSSIRGLHI SSPMGSPELNSRDYPDRQPLSPRTYNPGPPPLTPHEQAKLNSPQPSTAPPPSFLTSPP SKESLDSRKFRPTPPSLNINTAHSTPPLPFRVAYDSPMSAPSTKTTIVERRTSLLHGP RTGVPRTPYSPYMPFTPITPLTPSHVVTRQERKQRQKRDGPRVLMEEDLVKSDEDMWS TRV_01542 MGNKISAVSGVKSPNFYEPGNAVNEIWVGNLEIISRLPFGDSDM AQAGWPKNDYPKFPWRPFVIPLFAMFTNGAVGAETAAGTAARSHLSRRSTPQEPMTKT AAAEMTMLSSSIVSGTITVYAVVTILLACVPKIFDLLDTVYFKCRRSARDSLETSAEC LHQLCLLGIYSKPYLIFSYMFHAGIFSPGKPHTMNMLFAPAETLSYLVVIQLLSTIFA AITCYSNIYRYCRESRPKQADEMALWQEKSLEVEGSLEDGREKSRPEAFGPWVFIVNL CAFFEAITTVLLLINLSRID TRV_01543 MNCYRVAGRKIQSSLPGWGTSASLLRSPTARPFSYHQRLYDQKP HPQDGANKELESDSDGAMSRRLAEMTEEAITQGGSSTRKNIQQETGFSEELKQRLQER IAETAFKNENAAAISYANLPQSAGKGTRDIAGAPPWTGNESIHDATLRMLTDASKPMR VPFRPPQPGGPVPTAPKPKRSVSSGERLAAARERTTEYTLKQDSSISKEERESFRKEL QDRFGPAVHSFPVTIQGLSSLANERIEDAMARGQFNNIPRGKGKNTKRDPTADSPYID TTEFVMNRILQKQETTPEWIQKQHSMQSEVKQFRNQLRENWRNHAVLMIRSQGGTLEE QIRRARSYAAAESKHNKLLQARVQPAGSVDDANTASSGTSEILEDLPCLRDPQYMSTE REYHELKIKRLNESIRSYNLQAPQVSQRPYLNLQRELDSCYADVAISLPDEIRERAIR GSTKPGSSASHQTGLGGFSSFSASAHESRIYDEDSSKGYGFKQMWRDLWN TRV_01544 MAILSSGLFQATAAALLLALGVYKFIIYPAFLSPLAKIPNAHFT SPLSPLWILWRRFRMTGNRTIHAAHMKHGPIVRLGPSEVSINCYEGGLRTVYTGGYEK HEWYPRLFGSFGTISMFSMVGSKEHSVRKRMLANIYSKSYLQASPQLKAVTEAILFDR LFPVLEEYANSESSVEVHELNNAVALDFITAYIFGLPAASDFIQNPSLRKEWFSIYHS RKEFEFYIQETPELLSWSHKLGFPLIPKWCDGANAFMEKWGLDTCDKAEENISSSDPI AEPVVYRQLKQALQRHFAANPDPRLEGKDVAQKIRLEVACETFDHLTAGHETSAITLT YLFWELSKNPEIQEKLRAELKTLVPNVIPLNHGGSSRLPSHKSIDALPLLDAIVMETL RLHPPIPGIQPRLTPADASLVGYHNLPPNTRVNAQAYSLHKNEDVFPNADRWLPDRWL APAGSPQLDEMKRWFWAFGSGGRMCIGSHFALQGIVILHVITMSDEALLT TRV_01545 MLLRLPPELIYSIAAFLPTASCLLRLAQTCRRLYTIVAADNYRI FQAFVQGQYPTAVAPPLWRDAAHALTLRSHAFRCRAVTARYVEPPSNAARIGKPKTTR RDKPTLGYRPVIDSYESWYGNHWFARKEVLAWGAGADVVLRIKDLNGHDGAGEASGCQ QDTYDGAKWAVFNDLPSVNSWDDVAGLHLLGDDSSSVDSLDSEDLIVGRRNGELTRLS ISPSQGSATHRAFFDTQGHKLDYTDLSVGTERVLAASLDRGEIAFYKLDSDTYSVQPF VSLKAHLHGASRNRCSKLLSNRRIVVGADGESSKIEVFSFDETDMKTECTIRIEDDEA ERKPRVTAMAPLPALSSMKETSSQNLFLSGWEDSKIRLHDLRSPRPCVAVYGDTVDDS PVYSLLPIGHERLMVGSGAHGLLKLFDLRVTGRHHVDSNNTSPSIPKSNRRRRCSNSI VRGLQLNLPPGPPKDISIFLSDRQSENRNNTRLQRTLKPRYRGPVYTMSLPSPTSSTL YVGLVNTVMRLDMVGTDDLCAAGDNKSGLNWSSLNLSLPLDAKASPGHQPADLSCYER PFPEDNGRGIRLFVQKPFWSSSIVTHHDDNIPGWDQRWFQPSVNRNIKPEERWR TRV_01546 MAPEKKSKAGKGQKSSAEALKQETKVASASPALLASITQFLEDH GYVKTKAAFLEEQKGNAQAAKAMRQSHTSTEGIPSLNVIYELWEAQNAGKDADYNGDE DSDSDSSDASSDVSMEDAGSSSSESEDSSDDDSSDDDGKGEASKPAPTVRENQSLKRK LESDSSSSDSDSDSDSESDSDSDGAPSAKKAKLELVVKKSAEPDSDSSKDSSSDSDSD SDSDSEETSDKKADSDSDSSSDADSDSDSSDSSDTDSDTDSDSISNAATKKEASTLKK AIKTPLPESDSDSSSSDSDSDSSDSDDDDNIAKSSAADEGKNSSDSSATLAATSGQSD SDFKPKPAPKTVRKHVGARPTPLAAASALPHNHPSNAYVPYAYAERAHRDLSVTRGKG FTKEKNKKKRGSYRGGPIDIGPGKSFKFED TRV_01547 MKKAADDVPYGDATSPEATAKTKQQDETNSTIAIQKPHGRELDG LVADANTFSFSGDMSSRDRSRGRPAGASPRQERRGGEMRNGSGPMHPSSGSSRAERFE DEKRRIINSCFSKKDADGSTLESYITHIRITEDAAYPSAPPPSTSPPENKKPRAIIVS VRKSGRVRMHKSRENSDGSFSIGKTWTLDDLSRIQIYDHLTPSSQIEQQQKSWAADLG FIVTITKPYYWTAATSKERDFFIGSLVKIYKKYTGGRVPELVGLDPNEKEALVGSLPL ASKPPPQSQSQSQPSPQSQPPSRGQDPRLMHGMNPRSQSPSTGPNRSQSPYSNLPPSR DGPPDMRKQPSREQFLQNKPSQDQMPRAPPPTTLLPRIPGDSSNNNAASNLPPKPPLQ TPPVEPLSRQLGDAPSTENLVPSPVTSEHQILPNRTYKPMPLSPVSDGGLLPNKVYTP MPAPAPSPKSEDRPQPYGQGKQHQSSLDSGDISPFSKPVQTRPSTSESSLPASLRPAF DRSPSYDQKSIRSVKSNDGEEDRYKAVPPPRESPKVELPPAVKEVPQPEPPKIRPPAI NGDFAADMEKALAGRATPEPPSEPLMKTESSPEQPKPPVINIPPAAASSASLPEPGPE PEPEVETHRRGLGPMMKSKGGAKDVANVLRKAANAYNAFKPRAGGAAERLRAAREKEK SEGPDGITGVVPAPLQRGISAETTTTTAATKPPTTEPPKVQVTDSSTGKEVSFDRSTT SADSSSLKRKETKPVKAPREDNTKKCCSALGIDPAVLGGRGVEFDWLLTDLGWDGRLG KDKGIEALEAEIRREIGRVQASSWLSQLQSSHDGRVDQLAKLFDRAMEECDELDGLLT LYSHELDTLSEDVNYIESQGQGLQTRLANQRLLQSEIQSRLKSNGSSH TRV_01548 MPWKPLPRIAFAVAVYPFQPSSPADLPLELGDELYIIEQGGASG EWYRGYLVAPPSLLAGLTSVRGQTLEARVFSGIFPKNCVEVREVLGDADEANDRPRDS PGVVVNGDSRSIAAASPENGQPGDIKPTSRGLAPEVKTARKLSPLTILKLEEDATKRR SGMSMQAPAVASLTPTPTPPVPPPHAPPPRRDSADAKPAAPVPMLKIGDETPTSMSEP LVDEIASCLREWHSTNIHGLLLGRQYATLESMSNIVLELDLARRQLLHNVLTAQERKT LREETVWNLVRGNKMLSGDVIVRDPAQRGRLLTGDDSAIELTKLQSEMSMLDGKITHP SDTVSLHHLLFELDAVTGTISSPIVLAVSLCLQSPAGDVKPLSETYALDVPSAESFSS LAVSGKLKTLFTELSAADIGEISGADSKLFLVVKVLNWEAPRTVPFLSKSHSSSGSST SRAGAQFSNAGKGSLRGRRSMMWGPKGSKGGQPVDPAAKEATNNAPPRSSDGTDKEKQ TAAPPKKKDPVCRMIGVGAIEVGPLVKAGKSTEQVFTIWSPLNENEEEDANTDGFHEI IRSLIYSPTGRYTRSYQAARLHTHLYPFTSTDADALVKKNPTLLHSVTQTRRIGFPGA PTKPRSDIYVTLSRANISRDALLSHPVHGQVPVPQSTGLRNIQLTLEVRNSSGARVEK CICPSSNATPVIAWRTTVTERLSSWNQTIRLSIPADQVPGSHLIMSIADAPEFPFALS WMPLWDQQAFIRDGPHSLLLHAYDKSTSSIENGKGAYLSLPWSALGKNECTKDEAVTG PMATLIVETDLCSTEYSQDQVMLGLLNWKEKPASELLELLRRLVFVPEIEIVKQLSNV FDALFGIIVEHSGHDEFEDLVFTDIVTVLGILHDRRFNLGPLVDQYAKEQFNFPFATP CLIRSYCRLLQATPDSQQSRNLRAAFKVGRHILKFIINAREQQKAKEEGIGITNIQLT FNRNLTFIFKSVEALMQNPAPILVGSKTLVVQHFHTWLPELSSALTKDEIIDIALSFM DSCKDVKGMLILYKLVLIQNYFQLSLFAEQKERKMLYARCAEWLDPYWGAVSEVTDQY RDQVRLCSSIIAEQLKHPEPELFEYMPKVVASYCAIAADGVEESNWLSLLFSKSFPFQ LKQSKTSQKFDEALVELSALTAALSAIPNPVELVMEPDEMAIFLSRAFTTHISILDCV PYPASWLSLRIYHHRSVVKSLEHWSAMLIKSFLPPVEEADTFDMELWRLFFATILKLV SSDALALETFPEQKRRAVWKIAGDVREHGAELLRTTWKAIGWETTPEERDRFGLDRLG GYQVQYVPSLVPPIIELCLSVHEGPRRVAVEILQTMLVSEWQLNEDLAMIEAEIISSL DETFKTRNFSESITQKLFMTELMELFDSKSETLDPELMVALKELVATVDELLDLLAAA HSGNISESLNTLKLMEFMKDMDKEDIFIRYVHELAKGQVAARNFTEAGLALQFHADLY NWDSSQLLPALAIPEFPEQSAFDRKEALYFQIIQHFEDGKAWAHALACYRELADQYEH TTLDFAKLSRTQTSMARIYDSIVRDDIQVARYFRVTFKGLGFPATVRDKQYIFEGHPT DRLASFTDRLQKEYPAAQLITSGEIEDLEGQFLRVSAVSIHRDMNHPVYQRTKVPQSV RDHLLTSVPAQFSVTSKKHLNGNNVKKQWVEKTIYTVAEPFPNILRRSEIVATDEVSL TPLQTAIERTWRKTQELIILERRATLNPDANLSAVAELIRQLVDVEAQPSTCAALYRQ FLQEDYDMDVPTENGDVDGPVHPNHPLRNALATALIEHAVAIKRCLMLFNLRPSYQSD QIELVRQFESIFSHELATFIPVAGQFQEDTLPTFNRFDPFANTQAPRVQDIQNDGPPP KPSLSRSLSNAVSEAPSRRLSLNPFRRSHHGPSNSVTTITQETIRSNSSLRNQSISEG MKLNGTTMVNEPLRAPTSASNKADMNERKGSLSAQSKTDGSQKRRSWFGNGSTTSSNN GNATEARPNCTTTPSTEDIKTTQQRIIERAKAARKQNGTTYSTIPQTRPSTNGSNRTG ISARSGGQHSPISPLTNNSGGSSNMSASAVRDSVMRRLSLLKSGRKASRANFREGGAM GGAVKEE TRV_01549 MRFAKALAITAVLLSGVVEATSDAVIEEALKARTINPLPGPVTW YLHADEGRKYLAPFVSYHGPRQSGIRDAWERCYSTIRRLKWYPQALEGPIPKFDPFPD QSSKPKEKRQNAPPGAMIRRVNVKVSDVNAKLAHKVDESYSLTVSARSEAIEIEAKTP WGARHAFTTLQQIVVYDETTRQFYIERPFTIKEGPLYPIRGILLDSGRNFISPSKIKE QLDAMALSKLNVLHWHITDTQSWPLEVRTYPQMTEDAYSKRMVYSHATIKEIIEYARQ RGIRVIPEIDTPSHSSSGWKRIDPDLVACGNSWWSNDFFPHHTALEPNPGQLDIAYNK TYEVLEKLYKEVSSLFEDEFYHLGGDELQPNCYKFSKHVTQWLTEHPDKTLDDLLQEY VDRTLPALDKIKHRRFIYWEDMLLSEQIHAERIPRSVVLQTWNGGLDNIKKLTSNGYD VIVSSADFFYLDCGNGGWVSNDPRYNVMKNPTPGTPNFNYGGDGGSWCAPYKTWQRIY DYDFASELTVPEKDHILGGIAPLWSEQIDDANITPKFWPRAAALAELLWSGNRDKEGK KRTYLMTARINNFREYLVANGIGAAPLQPRYCLKHPHHCDLYSDPNAVQG TRV_01550 MVKVAIAGGSSPTLGHSVVSALLATNGRHTPVILSRKREGGSPA SSTAAWAVPGSSSTAEVETRYVDYESKDSLVAALHDIDTVISVLLIHDTDTFVNTQIR LLHAAEAAGCRRFAPSEFSGGHKLHFLVDFEREAKLPVWEAVLQSNIDAALFANGMFM NYLGIGSPEKDGNRAEALAGFAEGPLLFNLVEGWVEVPVVVREGGSVPPPAAITMTNI RDIGRFIAAAIDLEEPWGKRELGMAGSTLQFDEIVSLIEKYTGRTMEVRPFTKKQVEE RLASPAEGVLGIIEKLECQLKKVCCDGGITVQPTLNRLCPDVKPMTFEEFLKRYWAEP SGPTVDA TRV_01551 MASPLIMKSAAPTANLSPEIAREPAKKKQKVVPGLEPGYFASQK SFTSEYFPLESPIGYGGKIPTTIPLAAVIWRDYCNGTKETLDPIKIDMYSGEPGIQFD YKRLNEMIFNFPKDLPEKFTDAFGELAAARVRYFATHEVYRKETTALTESIAIFEQLN TKRRDIHSNPGHYEPHAAENTILALKRCIAERNHQEEQCQKRGGSLQFFAEKFVEAKE KAAPFRDQLQKFYDDIEEAHSKAKEAEAKRLEQEKVEKEKSQKAKAEKEKLAKQKRSG DKVKKERRQRTDAATKARLDHDARAWAEYLARSERMRNGVNDAAKQHGGKQLPPSTHI KKKKKERKKERKKKKDLTPKSQHGVRYAGKIFSFCGKHWISFGVGFALIQLGIWKWRK IDERKRKKEKKIIKKVTAGQGEGN TRV_01552 MRQNRSDEAEDAKVGEQEEKEQEEEEEEEEEEEEEEEEEEEEEV GSLVSGTQRWRVWDSGCDSSDVLLYQPPEFHGHPSLLSPYFTARFFHDEAVTRIESNN HDFWLPLSSL TRV_01502 MSTQVTAPGAEEWYRVYKPELDTAAFDPLDPEKRYHEGVLVETN PGYGKGTLFHVTGDIIAASGMRYEEREFDREMESEYLHSFPQTGRVLRTDFHSGKISA ILKELPRPTKQQGINFWEVDPTTGRHEIIWTRQDGERYGPDEQRRPIFKCNEWTRQYA IPALRGAGVLLDSL TRV_01503 MEESNQVREGVEMQGVDGAADTPSSTSTTTTTTASASASASVST TSTSAPSAAAPIMTAPAEGRPRLPVMPATTASIRTTSGMMTPSSTPLGHVNAARRPGP LPARGSQSSGLSQDIQEKMKAFSLSRQGAPGNGSNGNERLPGIPIAAPQATSPWSPPS VQGGAAAAPMGRTPTPKMGGGGLAAKRGMAGGMKLSNVTGQPPGAAPGIPNASSGANG SPASLSKASGTPQSSNTPVSAFSKYSELIDTKAGTINFKNKAIIHGGGIDFSSGQSFS ISLDDVESLNELGKGNYGTVYRVRHCRPKIRRPGLGLKGSMVGNLPDSNTNNNINNNN QNNNDSNSLDTTSNTKKNKGDLTNVIMAMKEIRLELDEAKFTAIIMELDILHRCISPF IIDFYGAFFQEGAVYICVEFMDGGSMEKVYSGGVPENILRKITLSTVMGLKCLKDEHN IIHRDVKPTNILVNTRGQIKICDFGVSGNLVASIAKTNIGCQSYMAPERIAGGGHPGS SGGGTYSVQSDIWSLGLTIVECAMGRYPYPPETYNNIFSQLNAIVQGDPPTLPDPGFS PEAKDFVASCLQKNPAARPTYANLIRHAWVEKLMQPPTATPEATEAAEIIGSEEPTDA RSPDGPDYNTDTYDKEVAAWVIEALKRRAGEANGRERPALHAVALDAVPKSPLLEGQS TRV_01504 MLSPQSFERANAGWVLVDSSSGGGPHPSIPHLALLVFEAVLEVV CVSLPGYIIARMGMFDAEAQKFVANLNVMLFTPCLIFTKLASQLTAGKLADLAVIPVL FIIQTLVSYTSAVIVSRCFGFRKRQSNFVKAMGVFGNSNSLPISLVISLSKTLSGLHW DKIPNDNDNEVAARGILYLLIFQQLGQAVRWSWGYHVLLAPREAYLRDEEEAPINAAD RYRDDPEEDDDGDNDNNEGRYLDEPEDLVRTAVNSGHTTPRSTHSEDSSHFESGSQTP VIERHRPYAKTNSADGSDDNDEADLPALVLPTGQFLVRPDQADSSSRQQSFLCRILRP ITTSIQSTSQRFFTSLPIPLQKSLGWVSSRLTSFFSGLWSFMNPPLWAMLIAVLVASI PSVQRVFFTPGNFLSNSVTRAVKQSGGVAVPLILVVLGANLERNTLPKDALSDDDDPA EERKLIVASLVARMVLPTVIMGPILALVAKFVPVSILEDPIFIVVCFLLVGAPSALQM AQICQINNVYMGAMSRILFHSYVIW TRV_01505 MLMLLVGKELLLLTLIRLALNTKDIEPISTSVASSNAPTSAPST APVGRSGEEQQQQPYTTTTPDTTGARKTFRSGKKGVSTRPCIPKSSSASLKSVSSQIS HLSLDRSNSDLSISSNANTHNNTSGLPTTSAPSDEDRLSSSISSFPALPPLTSEPQSF LFRSSTADDVSIQAQYHALLGQVHQWLHQEKSKLGLPSTSTSTSTSTAKSPRLIPRST SAPLKGDLSGENATSGDAQVPESTLALEKLEKILSQYSTDGLSGPAGAPWRRRRGGSW GQGKRGYGFKGLRRGSASDSDYTDNEMSVPSADVVLDNSKTLLYSGGEADSDTVTQPE GSKPATSKDREYWLYFKSEIVRLTHTLGFKGWRRVPLDAGGEVEVVRLSGALTNAVYQ VSPPKDMSKYSQSTSSQGLPRKPPPKLLLRIYGPQVEHLIDREHELQVLRRLGKRNIG PRVLGTFKNGRFEQYLHARTLTTRDLRIPETSIQIAKRMRELHEGIDLLPEEREGGPG LWKNWDKWVNRCEKVTTWLDSEILADHNEGKSAKEPWRKRGFVCGVPWETFRGMVDRY RQWLAASFGGIEEITRRLIFAHNDTQYGNLLRLEPSGESPLLLPANEHKQLIVIDFEY AAANMRGAEFANHFTEWCYNYHDEDRPWRCNTAWYPTLEEQKRFIRAYLTHRPRLISE TNSSTYPLGQNFSTSSVSSTMTTPGLRPTYTSSPRVAPLSLDTYTPSVPFSISEDDQI DEELEVEVQKLLHEARVWRIANSAMWVAWGIVQAKVPGLEAAVAGTSTPVAHTSTSDA ASSKNDKETALTSDESTDDASTTPTQETVDVQQMLAATDQALQEAEVDEAADEFDYLA YAQDRALFFWADILAIGLIKEEELPMEMLEHIKRRIVEY TRV_01506 MAFPALHIVEPKGAHTHSAILLHGRASNGAEFAEEFFDSNTSEK KNLPAHFPGCRWVFPTSRERWSVVFKENMTAWFDIYSLVNISEKQDLQVEGLKESMAY LLDILESEIALLGGRSDRLVLIGMSQGMATALWTLLCSPGRIKGRIGGFIGMSGWLPF AGDILDLQSQSPSQSLQDVISTRYGEHIQATDEEVKTMLSTPVMLLHGTDDAWVDAEL GRQAHAGLTKLGMYTIFKEYTGADNDGHWVKEPEGVDDIAGFLGDIYPGETQ TRV_01507 MLATYIQCVLMGIIDERLHVIIEDSKEDVYQVPESVFPRPESEE NDSASTKSALKFSMTQKPFSFKITRRATDEVIFDTSNSPLIFESQYLRLRTSLPDEPN LYGLGEHSDPLRLQTEDLVTTLWNRDAFGIPPGTNLYGSHPVYYDHRGRSGTHGVFLL NSNGMDVKVGSENGDNGKKYLEYNTLGGVLDFYFMAGPTPKEVASQYAEVVGLPAMMP YWGFGLHQCRYGYRDAFNVAEVVYNYSQAGIPLETMWTDIDYMDGRKVFTLDSKRFPI DEMRALVKYLHDHNQHYIVMVDPAVSYGDNDAFERGKTQDVFMKSRDGAIYKGELEAL PLYLLYTKSSIGAVWPGVTAFPDWFHPGTQDYWNNEFKLFFDPEKGIDIDALWIDMNE ASNFCDWPCSDPEGWERDHDLPPAPPPVRPIPRPLPGFPDKLQPGSVKLVKRDGTRLR SQAGLPGRDLIDPPYRIQNEAGSISNKTLNTDLVHANGLVEYDTHNLYGTMLTIYRLG DNLSEWSQYRFSISQILQFAAIYQVPMVGADVCGFGGNVTEELCARWAMLGAFYPFYR NHNDIAGRDQEFYRWESVTEAARTAIGIRYKLLDYIYTAFHRQTQSGDPVLNPLFYIY PEDKDTFAIDLQFFYGDALLVSPVTDEGATSVEIYLPDDIFYDYYTGEPVEGKGGLIT MENVPITHIPLHFRGGQIVPMRADSANTTTELRKQPFELVICLDREGNAEGSLYLDDG DSLEQPHTSEINFEYHNGVLKVSGKFDFQNEEALEIKNIFVLGYKQDMTVQDKGNKNK DSQYDERLKKLAIKAKILLTGPSEMTLH TRV_01508 MDLSKRCGSPESPKRKKRKTKHTLRHRSASVPARSRAPRPETAP EPKAGDPLTKEALDALNKFVMANPSAEALNERESGVKMKLRYAPDYFTACDFVAQCEM QEIAENEGFDMSGLRITHDYACIEVPKGEEDYAAEQFADNEDDGAVCGDDKDGKARDI GDTQVEGAVDIEGNMTGNRTIELASKEAWLGARGMVLDTAADGRLELRPTRSSSSESD EEDEEDEEDEEDEDPMVGLPTHFSDPANLNMNDFIQVRAAIEETAIIMRTLFGRLQML ENENED TRV_01509 MGCSLLLTCAYLLVSFSGLVRSACDAPPLSLPIGNGTISDREVV RWGLAVEFGTPAQTIVAALDAPACSWVHGGSFNDKGSTSWRGPENPEQTDKNPKGETI NIRGTDTLKVGSDISLDQFPVYFPKPGVIPQNGIGFGPNSAFLNRLYDQGKIASRSWS LFWGWQGAEQENQMNGSLVLGGYDKAKMAGGAPLTAPFSDGIGCPSSLLVYLSNIVVN HIDGKKTSLLNTPGSALRACIKPDNSQVILPEDVFGNLKKAFPGKAVEHSTGIYPSSL AYEPEDVFMGNITFILSSGLQITIPNHQLVLPNVEIDDNGQQKIVDGNKTINFGQTRH EAMPYLGQPFLTSAYIHVNNDMKEFSVWQANPTTDTDLVTVRGGSNCDDDSSLSGGAI AGIVIGVVAFLAIAALGLFFFLKRRNKNRDRDSKAGLPLVNTSQRAEEDKKHNHDTPL EMDAGVSQQAPSELPDRDYSPQELPADVPTSNK TRV_01510 MAASSSRDDPKQPGDYDDTLTDTSSNEELLATIPKGTMDPVYEA KALLLNKAILDIGMGWYQWQLFIVIGFGWASDNMWPIITSLIFTPVKNEFMPSKAPLL TLAQNLGLLVGAVFWGFGCDIYGRKWAFNLTIGITAVFGLAAAGSPSFSAIGAFAALW SIGVGGNLPVDSAIFLEFLPSTHQYLLTILSIDWALAQVLANLVAWPLLGNYSCQENS ECTRSANMGWRYFLIAMGGLSMVMFLLRFTCFTIFESPKYLMGKGQDEEAVRVVHEVA RRNGKVSTLTVEDLARIGPPIRSNAKVAVQRKLSKFDFKHVNALFGSPTLAFSTSVII GVWAFIGLGFPLYNAFLPFIQASRGAHFGDSSTYITYRNSLIIAVLGIPGSLVGGALV EIPYIGRKGALSLSTAMTGVFLFCSTTARTSDALLGWNCAYNFMSNIMYAVLYAYTPE IFPTKDRGTGNALTAAANRIFGVMAPIIAMFANLQTAAPVYVSGALFIAAGILVLFQP FESRGKASL TRV_01511 MFVTSYKYIAILASLFILSLTSNAKDNDIDLTQYVDLFIGTEGS VAGTSYNGGNVFPGAAMIVPWLTSLLNSHDVDEKRKVDVNAGYSPDGNVSAISFLHES GTGGAPKYGVVSQMPLSILDGVEVADNRTYMQPRVGKDSASVGYYKTRFRNGITAEMS ATDHAGILQYTYPSSTGKFVLVDLSHYLPTHGEPSANQMYSNGKIDIQDGGRMYTGYG IYRGGWNEGPNFQVFMCAEFDIAPSSTRTWRAPYTDPYDEVRGEAKFSNQQTITGGRE GYQYADRVGAVFEFPSDTRTSKSRIGVSFISTEKACQFINEMRSWNINDTVNDAKGRW NSEVLSTVTTSDTNTTRRTMLYSALYRSHLLPSNRTGENPYWESAEPYYDDYYAIWDT FRCLNSFYLLTKPQFAAETIRSLIDIWRFERFMPDGRSGNANGRVQGGSNADNILADA YVKGLQEGVNWTDGYLAMRTDAEVVPYNNFGPADLTGSTKEGRGALPDWLRYGYVTPS FGRSISRTVEYSLNDFALSQVAKDLAPEDYDKYLTRSAGWQRIWHKNISSLNFTGFLA PTWPNGSVTPGYDPLDCGECEWSSHSYEALPIEYGWTVPFDMKSLIQFMGGAKTMEQR LDQMFVPGLRKGDVGSGGTNTLGTTLFNPGNEPSFFTPFLYNYLPGRQWKSVLRLRDT VNSYYSTQPSGLPGNSDAGAIDSWLIWNFLGLYPVVTQPVYLLSSPWFNNVSIAVGDN SRLTITAQNLNEDSYFVQSVKVNVVVGKSNNIDIVEEAIIQLIKQVVFAGFAVFV TRV_01512 MHHPASRKQTGSATFPTILEMDASTPSTDQDDLEGTGPTGIHMV NDSFDTSFCRDSWADLRLLSEGIWLKCALHPNNPLEGNGDSGWRLIYSPVLESSPTFE HKSDKNHYYSQPATLRTSLDSSISPSASTSTLQSSDTSISTTSVRKKSCIANLRSQYS FTMTSSPNIRLSPAPPHSPVCSIISRSASPRAVELVQLLDHLSSFCVLDTKSPGNAVV AASKDIYSAGGRGEKFPLNINVLEEEPCPVVSGYDENGNDVSYLIIATRLITATSGEC HLLLTTLLDITDFLYAATLEDLELRQNPKVCETERGILPSINSRVNLSAAEVINASPN LPDESKGNGVHRSQTPMSIGPSQTTEQLLSEFSQTTEQLLSEFSAELLYIYKDIFILA RSLQDSTVYKISHVSRSLHAEGEYIRSHLKHTPTEKMKLLSESLGKNTRFDMVVNWGD YGVEKRLYCVPIYCGESRDWVCTMTDVDVPYLWRRSRI TRV_01513 MSATMSRMLIRQSRTVIRRPAIRHSSTTQETAAKAKDAASSATS KASEGLTKVTAAAGPALAGAMSGVGGALKKVGGRTAKLVAFVESLIPPTIYYSRVGLE LSKIVFRGQKMAPPDMATFQSYLYSALNSLRQPSTIFASLRNPNGSISRLRNFDAKQW AIVGITTAEVIGFFSVGEMLGRFKVVGYRGEVAHEH TRV_01514 MKLLFALSAGNPIDCCDKFIDNFLGWLIASVQLKVDIKNGAGAA ADLFINDSVPKPIPSPTQCLVRVKAFGINRADTIQRSGFYPPPPGASKILGLEFSGVI EAVGSDQASTGTQWKVGDEVFGLVYGGAYAEYVEVEMKLLIAKPADWSWEYAAGLCEI WFTALQALYVVGGYNADTTRSILWHAGASSVSIAGIQLSRLANSLRSDIPAPKVFATA RSDEKSNFCVQELSCVGAVNTNTHNKDWDEEIKKMNGGQGVDLIIDYVGPTYFQQNLN VAARDGRIVLLGLLAGSILPDGVDIRPVLMKRLKFEGSTLRSRDVNYQARVRELFEEK VFRGLKTGLFKHVVDSVFKWEDIQKAHELVESNKTKGKVICTIDW TRV_01515 MVLWLDEIEKKKKMLMGASVAISANFWLVGEDKELSPKKYFIII PAMFGNGQSSSPSNTDLSPFPAVAVYDNVRAQYKLVTEHLGVKHLRAVLGWSMGAGQT FQWAAQYPDFMDIIVPFCGSAKTSLHNQVFLEGVKCALLSAKRFRSAGSGKDGICSEG IVGRKWAEEERDIGLRAVGRVYAGWGMSQPFYREKLYETVLGYKDLEDFLVNFWEKYF LSKDPENLLIMLQTWQNADISQQEPYNGNFEAALKGIKAKALVLPCKHDLYFPPEDSE YEVANMAPGVGELDVFPSIWGHWAGGPGENKEDVKWLNDKLVDFFKRTPISDSLTAAL KDLKV TRV_01516 MAASQSRQWGITPPLSSALPTPSELAENDALIAELKLQNNFEPP SETEKRFVWLIKMLLTCLGNTNSSGLVFRKQTLHLLQRVTIEFIKVVSKEKGLSQAAI DASGGSDIDTLVVAPKHVMREDFFAHFPAILEKHAPKDAIEKMTPVPDAFVPIIKIEI FGISIDLIFARLIVSSVPPNLDLKNKDLLRGLDEREIRCVNGTRVTDEILELVPQQKT FRLALRAIKLWAQRRAIYSNIVGFPGGVAWAMLVARVCQLYPQATGSVIVGKFFRIMN QWSWPQPVLLKHIEDGPLHMKVWNPKVGSQLCFFFIPRALADFSFQIYHGDRFHLMPI ITPAYPSMCATHNVSMSTKAVILRELRRGGDMVDKIFVGQRRWSDLFARHSFFSADYK YYLSINSTSTSKEAQAIWSGLVESKLRHLVGALDRKSSIEIAHPFPKGFERVHICKTD EEVDAVKAGSMKYQADDTKTATTDETNDPTHIAAAENANENVGIAEAEKPTGENKYTL YTTTYYIGLELKPLAPDISSDTHMFKNTCTSWAQYQPGVNDLNISHVRNYDLPDDVFQ PGEVRPTRPKKKVVKKVEQTVSQKRNIDAVDVS TRV_01517 MRAGENSVFYSYVKQLNSYSKMTESKTQGGRKPQLLVVSNRLPL SLKRTDDGKYESSKSSGGLVTSLSGISESIGFQWFGWTGLEISEDEQQEVQKLLAKQD AVPIFLNKELADNHYNGFSSECPVADVIYVAVAPTSPAIVAGTDDHSNLDSILWPVLH YQPGTQHFDEKWWHAYQEVNQVFAKVVAEATSDGDLVWVHDYHLMLLPGILRKEFAKQ GKHSVKIGFSLHTPFPAAEVYRALPTNQELLEGVLNSDLIGFHTNDYAGHFAEACSQI LGASKDGLTLRYKDRTIQVGKFIVGIDPTRFLEAVESEAVKKRIAELEDKYKGIKRIV GVDRLDYIKGLPEKLRGFQEFLRTHPEWVGKIVLIQIAVPSREDVQEYQELEAELYRL VGMVNGEFGKPDYAPVIFIHQSIPFEELAALYAASDICLLSSTRDGMNLVALEYIACQ KERNGVLAVSEFAGVSSYLEGGVKFNPFNSSEIARVIHDAVEMDTEQRKKEHARLLDF IKTHTSTHWGQGFVEKLSAA TRV_01518 MAAEAQVKLKDFGNIFSLEGKVAVVTGGSRGLGLHAASGLLQAG CSKVYITSRKAKACDEAVAALNALPNKRPGARAYSVPADSSKMSEIERLVAEVSKTTD HVDILFANAGATWGEKFDTHPEHAFQKVMDLNVKSVFYTVQKFAPLLEKSASIESTSR VIITASVAGIGVGTMGDNATFSYSTSKAAAIHLAKNLAVALGPRHILTNAIAPGFYPS KMANGLIEMQGGAKAMAAQTPNGKLGEPEDIAALVVFLAGRGASHINGAVITTDGGSV LKGKI TRV_01519 MSEPAYKEIARRKKAQLESKIPQSWRLSAQWIPAGTLSAEESIT NTRSYDQSDVTDIPRRCGLLTARELEITEAWDVKGLLAEMANGKLSCKDRAAIAHQLT RCLTEPLFDDAMKRAEKLDDHFKRTGTTYGPLHGLPISVKDTFDIEGVDSTTGIASLA FKPAKQNAPLVDLLYSLGAVIVGKTNVPQTLGALDSVNNLFGRTLNPLNRKLTAGGSS GGEAVMVLMRGSMVGIGTDVGGSIRVPAMCEGLYGFKPSVGRVPYGGQESCAFPGRSR TSIQAVAGPLAKSMDDIDAVMKEIVPRSALWGEDCIPGQWAPRSPGKSSKFTVGILRS DGRIPPLPPIAKVLEEVAQTLRNTNGVEVVDIPVPPELGECQAVANALMGADGGQHMM NLLESTSEELIPWLKGRVKRGTPKTIDELAKIQAKRSHIERQLMKMWTVPGTDKTRRV DAIIHPVAPHPVPEIDRYNAVGYTSSFVLVDYPAGNLPVRSFTERDLEAGREMDSKVL SSWDKRNRELWNSSTVDRRVYLNSPLSIQVLTPRLHDYELYYAMSIIDKALKDGKRVS SQL TRV_01520 MADGTRSPPHPLTPSLYALHGRYETYQHHLPRTPPSGMSTGVEA IEIAEQPLPAPHIPYAMEGSDEEGQRPPRYTRENDPFQLSTKIKSNAEIDQIQANTTR RRKCLSSEQKGRTNADRRRIQSFYRSQNDKIERLLKPVDEHIRHAKELNEQNQLKYKI AVYGSFAANVILSILQVYAAISSGSLSLFTTMADAIFDPLSNLTLLLCHKAVKRVDAR QFPAGKARIETAGNIFFCFLMTAVSFILIAFSIKDLVGGSISDTNQFHLTATISVCIA FATKLTLFFYCWAIRNQYSQVRILWEDHRNDLFINGLGILTSVGGSKLRWWIDPAGAL LLSVLISGLWTKTAYSEFQLLIGVTADTEMQQLITYISMTHSPLITAIDTVRAYTSGP RLLVEVDIVMDRNESLEATHDVAEELQTKLESLPDVERAYVHVDYETTHKPEHFLKKE L TRV_01521 MNQSADLKPQRLEMKVLALGLPRSGTLSMANALSILGYQNVHHT LTDNDGGEAAWRVFNRAADATFPDLPTYHGRAFSREQWDEVYGGREAVTEAAALFGPQ LIKVYPGAKVILVKRDFDRWYTSLDRVVLQGLWSPMAAVFCAVIQPILGNSGVSAMRK ALLGFFQARDVEGIRKNVRVVYDRYYREIEELVPPGQLLHYRMGQGWEPLCEFLGKPV PNVEFPWANEEAELMKKREIMLRGHLMAVGGILGRWALAVGTVGFALWIWARKTGILT L TRV_01522 MAIETTSFYSSPYLAELYELQWAGPNMTDVDLYAKAFMDAVTKS RTVTSNHPVTFLELGTGSGRVILGVLKRMAEASFDTSNIKMIGLDNSQNMLDMAAKME SKTEGISPPVTWTLGDALELDQLPIFFPRAGEHTTVDLLTFPLSSIVHMVEDGQLERL LQQIGKVLTPGTGRAYISLFNWFLIRPDDDLQARMDNEFIPPPTGFPSAEFPSIQYYS EMKKSEYKGDLVIYRQEVQVFEQRENCEKKEIERYHVMQTLRWFSENALLAAVEAAGL QVVERRMENTGIDEESPDFAENIFILQRV TRV_01523 MKISAIALLASVATLLLPAVEGYGEWASFHGLDEQTFHNKFDGY IKLEWVPTYLSGFTNSHGNVSYNIVVENPKKYPSWHTYYEQTTYDYMNVAKEKKQLGF RLVQVDGHTGVSVNSFFTTWNHNRNDIPWADHINQSGDEFTRALKDYTKNGYRLKSLS GYGFSERQQQFASVWEKVPGPPQRVYIGLTAAEYKIKFNQARKDGYYPVKISPYNADT QVRFAGIFEHMDGNAVKPECQWGLTSDEYVKVFNNWRKKGYKPTVVNGYRDNGDKYAA IFNKFR TRV_01524 MAEQEEPPTPRSLSLLSFQTALCIVPPRHLWKDIDRLRSLYDQA YGRWPPHVNLIYPFVPTDRLPDVSRLIQEKLNSLSQSSEYQNISIQSGPAGYFRNRGG NTIHLTLNDRAADQLRHLRASILDALGHHGEEERDYCPHLTIGQTKVDVDLRDFLLDK TNMLPAAQWDLDHLVILHREKGFGGSSVSKMVIWDAIDLSGNNMSTSDELTRLYSGMS LHGLDAEVDESRSPTEQMTTYEYSPGGRSWCPITEPPLEPVNMRSNNFTVSTYNVLAE FTSSPPRDRYEALVHNILSSNALADVLVLQEVCDNFLLFLLSHQDIQRRYPFVTHGPP GQGGSPPLPSLRNIVVFSQWRFTWAWLPFDERHKGAAVVKIDGIGDLRSSLPLVIVAV HLTSGLNDAAVDSKLSQVTSIIHLLDSDYHSNPSVVAGDFNFVTSQEVIDEALRLKMI SPAGLEKILGFDCLLSKAHFMDGWAVAGEGPEDAGIHGGTGEGEQGATFDPILNPLAA QYSVNGRPQRYDRIFVRQTGKTSVTDFNFVGLRHALKGENLQISEFASDHWGIRAGVQ IGDSLVESKANESASQETLPQLASLPDTLSSLDEIVSSLEDSSMLPGEEDFKTRKTAV DTLSQILTEPIHDSSGRDIKTPLVLIPVGSYGLGTWGPRSDVDCLCIGAISSTTFFAL AIQRLRKASALGIRIVRKVKAATGIMLELQSGHIKLDLQYCPAANVAERWQEISRIPF NDPIFDLPPHSLSELQPYRDQEYIKRMVPDMYVFRLAHRCISQWAKSSGVYSSKFGLL GGIHITMMLSRLHQLIHEKCGRVTAPELVAMFFHHYAHFDWKNNMVVSPGVQTRYRRS LREPMVILTVHVPTINVARAVTAPSLRTIVSAFKRAEELMGEDGARWSNILNDISISH NNQTGAEKFLAAYNSYIKVNVQYWGPSPTQASSLIGWLESRFFRLLNDLDRQVLGIHG RIWPSRFTSIDATDSENDGETEYQGCYLVGLERMSDAVNTVANKEEALRILHTAITKF TDSLHEEDKYFDSTVCWIDATLVKQAELGELKLDLRTWGGQAGVDQTVSDFSEDDDEA DDPDDEHEVKSTLLEEGHLSGRSKLHSKHAPLSSKPVSANKLRPAGDVISRLRWDPKI DFGDYLVGYDDRFLGVKEMPLSRWKSEQTDEEFIPQHRIVYFKRKSDGRRFWDRETRK DEIFGSGAGGCD TRV_01526 MHCPSLRQYYVYKGAPISFGNGFPDIQRAVFLLLDKREKKKRAH NTNMKISVSSLLAFSTLLYHSTLATTLKSSRKDTLESWNSVQARHLSPAYPHGGIRKR QPGKILRNEARLDFVDHFTHVTARTNDPVLYATLNMRSNHPVLSIEDLEDGLVDVTCS KEGIKLTFSTSQYMEQVSKELGNTEEFVAISSHWGCNEEEQRAPHMITDITVHKDENS VLLSRKDVQWKEAFHSIDVSFSHKGSSSVVRRSQKPMNPSRRRSGTRTPEESPTSTVR DVVFPGVPSNIPYLKDGGGRISVNHKGRKLVPLDSDITNILGFTDDSGLVSTLAFISE GRVDIELSRVFARMELELALEAGKELLNFTVPMPSVPLSPFTIPQVVDFGAILVPQVS VEVALSKPLNFTYGVDISVPEDSFISLNFGSPANSTIKGLQHTTFTEIPFQSPQAITD LTFSVSFSPEILFGLRSPTRIISGGAGVAFNMPQASVKISQLDDVNEVCEKIPHAPGI EDDMAKKGSFFGNFTHIEPSLEFNVIPFIEMQLDLPRGEVLQQLEATVTSTQLSLPTA CLAFDQKMSTYGAPTQVLATSTAGPTATASEAKKGAAGRTGVANTWSVLCISVLVSAV FVAI TRV_01527 MLATPSNNPVRRLSSFFSLGSPKPDDKRSPTKSPHLQSSRSSSL QTPPLRDQQLAEETQALSLNPRNVSAPVLGENAHQSYTPPPRLSSLNPDLAGPGSPDT HSRSQSWSDAVPRFSKSGSRPGSGLGVSGVNVNPSPATISKSKKGAKSKLSGSDASNG KRAWIAGTENDIPYDISTLLAGERVADLWDDAGDTYVYLFPQNSGRPPSFKVDSAIFS ASASLTLLARGNPSPPQSTRGGQGRSRDPNSSSPPLSAQNGSSYDEGSDGQSQDGFLD DESPELHLYMPVPLTGDLPCGREQPVLSADDVDMLVLFRNLFAFLIGQALIATPRTPS IFNIFMEVSGLLDRFGFTNLDQSTFGETATSSFGCYCDELDLIDVRASRERALDAIIL GERMKYLPLYQEGFTHGVAVLDDLKKFETKYNLVSAVTRKRLERGYLDLDNRIRTCAV KIDEFEFPSLFAGIANSNVANEAKVIRFKNWKNAYLSYRKNVMNYYRARFGSWPPKAS KKTQFQESGLNRLVLRELYQDFCDLYDMLADRTDLTTRSADMTTSVFKAEDSNSTSQA LRQIMSEYDRSTPPVQPPIPFDIPRLPSIHSIRRKLDPKREAKERSKRLTTGEVNEIL VSSYNHASMKPTPFLENFMNYERRVGHGKCADELADMRCAQWLFMYAVIQSLPMLVVD APDLRCTHGVEYFLCIPPWGGSPWCASDPKNGKKWFGVAGGSGVVNLPSDVVANGVDA VYRRSHCWQVALKWADDGQLNSSVMLDPASQQPEEPQQPPLPPPVPQSQPQSPQQQYQ AYPQYPPPPQQYPQQPPYPAPQYPPPPPQQQQYQPYAQPYQQPQYPPQHYQHYQHPSL SISTPDQSTAGSLPPSGTPTAYPPPNQAQNFYPFPYPPPLSSTGSSSDRQPTPLLTPG DTTPPNLPMLNLQPPSASFGRGAGTPGNRSSIYMGLEALPLPAGVSPVDTSGRSSTHN PDASFDHILQGVSQPKKKKK TRV_01529 MTVRFTQRPINLSRGWPHPSLHPTAALSEASKAVLHSPALSEPA LGYGPDEGDPSLRAEIARWLTAFYQPREAVDAGRIVISGGASQNLACVLQVFSDPVYT RNVWLVSPTYYLACGMFDDAGFGDKLRAVREDAEGIDVDFLERELKKSEERAVADGNL EPKLKPPRPWRKIYKHIIYAVPTFANPSSRIMSVRRRTQLVRLARQYDALVVTDDVYD FLQWHIDSSCTQPQLTTAVAPRLVDIDRFLDGGPRDEFGHCMSNGSFSKLLGPGCRVG WVEGTEKFVYGVGQTGSTRSGGAPSQLTSTFICQLLSSGFIQSYIPEVLCPAYSKRYH ILRAAIEKHLHPVGMTFTKPLAPSPPSGSSDKIKPSEISGGYFFWLRLPPGISANRVT RIAAEELNLRIAAGEGSKVTGKGAKPDYDHADADGPLDDFVRICLAYVDEDMLEEGVQ RLAQAVEKAKQDTRC TRV_01530 MARGLVSSLLLGQLLLVLVGLFSPAGAVPTPQYQTPNTQASSYW LSTIKRQGVAPFNGGGAGYKVFRNVKDFGAKGDGSSDDTAAINMAISSGSRCGKGCDS STTTPALVYFPPGTYVVSKPIIQYYYTQIVGDALNMPVIKAAPSFEGIAVIDSDPYEN DGSNWYTNQNNFFRGIRNLVIDLTGLDKSKGACIHWQVAQASSLQNIRFEMVKGGGDA NKQIGIFMDNGSGGFMTDLVFNGGNYGAFFGNQQFTTRNLTFNNCNTAIFMNWNWAWT FKSLSVNDCGVALNMSNGGFNQTVGSVMILDSKIKNTPKGVVTSFNAESVPESGGTLI LDNVDFTGSTDAVTSLQGSSIVGGGSVIKHWVQGNAWTAGSGSKAKRLPPQVQAKPDV ARRDDCPAPAPQPPAQSTAPPYPIPETGEPTRVPTTEPSNVPTRVPTGGVPSGTTGTA PSTPSPSPTGGPTACPSAPVTKARIQTALPQPSKPAILLDKSGKVFERAKPQYENVSA DKFLSVKSAGAKGDGKTDDTKAIQAVLDKATADQIVYFDHGAYLITSTIKVPKNIKIT GEIWPMLMATGKAFSDMKNPIPMLQVGQPGDKGNVELSELIITTQGPAPGCILVEWNV AEETQGSVGMWDVHFRVGGFAGTQLQSNTCAKTPNTTTTPDPKCFGVFMLLHITKTAS AYLENTWLWVSDHELDLADHGQINIYNGRGALIESSGAVWMYGTASEHNTLYNYQIQN AKNVYMALIQTETPYYQSNPDALVPFAPDTKYNDPTFGDCTTAACKKAWGLRILNSTD VFLFGGGLYSFFENYKQECLKTESCQLNMIEVLCSETYLYGVSTKASTNMITSGGKGL VPQKENRSNFCSTIALFHQGNL TRV_01531 MEQLEFIYRNSWEHSAYTSFFMIEYILEVLHRSWADFLVNPHID YMQAKAELEKRPPSDLTQLWQHGDGLCTSFAVFVASNIDVNFSFQNLQGYHRAALSPD GLIIDSMARKLLSGTEGEALSGYKGKWKFLKSPALTLSFKSNNQATFDDFSPLQNREE AIVRCLLQLTSKKDFICMFRTISSSKLRFNGRICFNVSTRVISWSRLVSNEWVESKAT FNGMGTAASNLDCRESLLHFGVTDGRREQYERVSGVIERLWDALLQTFGFPELK TRV_01532 MSKTTPLLPAESAGDEEVAWASTSPNSHTKTASESSETKTASDS SETNATSKSSETNADSESSGKADSKLRPKIDRNYLKTLDSQALGAYFRAMSDEELEEL FKTSNAPLHKGPSIPYDDSADIAYEQGKPLPTPDLSSDTTESRPPRLPQ TRV_01533 MGSAIPVHPKDVLPAANISSSSLASSASASASASSSALLAQGGQ AAAAGKQKSPPRGSPTANIGSLSECLGVLNFSAPPTQTPTRTKAKAKTKSVSNASLSP EIRPGKTPTPTVSTAGAGAGAGAAGGISSSPVESSPSVATTSNSLVTALPLSPFDEEI FEEFKEWGSQYLAEAGQSPLGDILAFCCESRSLVANCLMFQLTLHPEHEDKFEEYYAK CLRLFREDVCDTKAAMKDATLIAGILLCSTGMNRCMKWTVHLNGLYSIIQCRNAVSAP SPISSEILDSIGFFDLPTHVLGRATKPLHIWRDYCRGKSGIEPNSNIPFSLIDLLSMV GQPGVEMQLWTWQWDNNNSSSSSSSSPGRNAHPPSLLTRLAWDATRLAGIISSREYKL LNYAQTTDLSPSNPYQPTQSDDGCPATPFLVEGILSNLTTILTTESQHQHHQHQPPQE VCEVLNLLLYPAFVAGSQHTLLTPLHKQRIEAFWQELFPDMGADGPGMPHLQVPLDIL RDLWRDARGRTVDMIAKERGVEIGLF TRV_01534 MRSLIRLVAAVLLLSVISCEALPLHPSHDIGLQKDSQPGHSLVG DEAASAWKKVLCQLMHPGDSTHQTEATVREEIAAETNQNDDQMVCYTPPPLLSLYLQP HQEDSFGCGKVILKTESEVQGAEGKPVSWNTRPSHTPSVSPSQTSGYSPDASGVIANS RVYDVLPDELNGYAGSSPDCTHIAKDFSGINQGRGRIEFLTPGIIVTGVVILLLVTIA FFDFMQWIHLYRRRSKSRRRSSLAILIIGDKPGHDLTFCDEDEYHSRKDMNV TRV_01535 MTSLYFQEPSSRVWDYLEQMETDWIHESSIASDSNANSGELTWG AQFSHFPKQKPTATRARSLRREPSDASSGYRREFLSRRDRSVSGSSFSSMSTNSRLSS SLASHDRPADLGSVIQNLSSQLGFDLNETFNCANKYSKPVKSSKTRPSAPVAAPLKAK STKAPNVRHEFVLQVPYAQKPATTVEYDSMMSALNIPVKAATAPATPKADHKNAETKD SGVKKTKSTRKVSFAEVVQELPIALETEAEPEPEPEMITDGGSDSDSDSDSVPEAVFL NVDTVMQNAPRVRHVEIRDAEEEENDYITIYRS TRV_01536 MQHLLLSARKRGYQMGFVRLNFRYASRPPTQVRPTQQVRRFATL TGDSTGGSPARPTGNPSTTAERVVILGSGWGGYTLSRKLSAIKFSPTVISPRSYFVFT PLLTDAAIGSLDFSEIVEPVRDRYTKVHFIQAAARAVDFNKKTVTCEASVVRSGVTET TRVKQHWHEKQHWQRSKGGADRQWESGETIIVPYDKLVVAVGCVSKTFNTPGVRENAL FLKDVGDARRVKRRIRECFELAVLPNTDPRMQRYLLHFAIVGAGPTGIELAASLCDFI HEDLVKVYPQLKDVVRITLFDVAPTVLSTFDESLSKYAMDTMEREGVDVKTNHHIESL RWGEPNSPGPHEMDPKRCLTIKTKEDGEEGIAMCVWATGNEMNEFINDALGKVEAFPT SSVLERMDHTPAQKTPQPAATWSVRKAEKTGALLVDDHLRVRLQSNDGQTVTLKDIFA IGDNCMLETNSPPATAQSANQEAIWLAKCLNANYSDTGLSRYPAFSFRNLGMIAYVGR SRALMQFPQSSQDKGKAYHLPQGLTGYAAWLVWKGAYLSMSISWRNRLRILYSWISNW VFGRDISRY TRV_01537 MKRFIGTITTFGGLDASQPNAHDAPEVAVLKAVENDFLPLPTIV EAAESSPAAAKVAANQIHKYLSKPTTTQPKRQYNAIMLIRILADNPGPSFTCNLDARF TSSVKLLLRDGRDMNAAQILRETLETLSTTKASDGNLSGLIQMWTKEKEKFERTYGYP PFGTPPVQSPPHGAGPHAGQRQDYFSRQHKLRGLPPPGELAARVNEANESAKLLQQML MSTPVSEFYQNEMIKEFATRCQSASRSVQGYINSSNPAPDEDTMLTLIETNDKISVAL SRYNRAALDARKAGFKSPAERAEQAQYLIDRIPDHNQSNNAGNQDYRNGQPYPQATGA LPAGDAAPERAQSKRQRYIPRLSMPRIPKKLSKQAPEQAPGRNEETKPALYTPPVHTA NVERTPASPPVSPPGTYSNNLPSIPNREAHESHASGISQLNNGHSEIRNNDRAAPSTS APPISWEYNPNEFQVENPFADKFATDANSGLDSNRVSRITEPDGTGAATATGFPDELD QSTKRGRYYSQSDFSLFDNTKPRPSEALAIAPESSSEKKPTSPSAHI TRV_01538 MDLHIFAREMPAPHSQNTTYVSPYTRGLLGVRVNLDHLVTILLA GSIGVACVIILLVRFTQMSHAYLRHVTSATGSSNQQRFWGAEQSTLWSDIKKHVLYAP LGSKRHNREFKLSEAVNVGTLPSRFHTVLLTCYLLSQVAYCSVLDYRANNKAALVAEL RGRSGNLALLNMIPLFILAGRNNPLIPLLRVSFDTYNLMHRWIGRIVIIESIVHTIAW MVNAVAAHGHSKMWNDIWHEPFYTWGFVATAVMVFILIQSPSPVRHAFYETFLHLHQL GAIVIVLGIYFHLDIDGLPQLPWFKGIISLWAFERGARFFRILRLNVSRRNGLTRVVV KALPGEASRVTFYLPRHTKINPGSHVYAYLPRISFWMSHPFSVAWAEQGSASLPKSPK TDKFSDSSKSSLSRKPSTMTTSSTSSPDLEKGHSRNAALATDDSQPTCVSLIMAARTG MTRKLYNAALASPNLTLETTGFIEGPYSSHPSSFGSYGTVVLFSGGAGITHHLMHVRD LLAAADAGTVATRRIYLIWSVRTTEHLAWVRGFMDSILHMPNRRDVLVTKLFVSKPRS QREIQSPSTTLQMFPGRCRPDVVLEEVLSDPVGATGVSVCGPGAFADEVRSSVRKRIG QGQVIDFVEEAFTW TRV_01476 GSNYPQAGGSSQFDDSVYPGRRTAVVEELNTSDEEDEGVEQIEP TEPKAEQDSSPSIGIIDILRFIFLVFIISSGLSYYITSDSIIWGYQRPWFTRPQVLLR YFKGPLILSRSELSLYNGTDPNLPVYVSVNYTIYDVSANRRMYGPGGGYGFFSGRDAT RAFVSGCFKEDLTSDLRGLEEMYMPIEDVPDEELTNAQKKIRREKELRDARANVRRQV LHWQNFFADSHKYFEVGKLIRDGEDEKGEKRELCASAQGARPKRSEMKKGTAAKSQPT YPPGVKKGGKH TRV_01477 MLWTVKDKAVHAVVLDKTTSEKLYKDVQSYRLITVATLVDRLKI NGSLARKALADLEEKGQIKKVVGHSKMNIYNAVDADTLKAVRGGIN TRV_01478 MPFTLSDDGRFAAIAGEKELKIHSLKGDGDIRPISLQDLTGPVK HLQWATGESREQSLPGQRDENTTIQRVLCAGGNKIFVYDANEETWSAKIDAGEITGLA HVSFSQSGHEIIVIPEFNTHLTIFSLTTGHQRVIKCPKFAGHSTYAFRPSSGHLAVLT KGNTGDILSLHEVGSHEVITAVNLPTTDARGLKWSPDGNWIAVWDTASQGTMVVIYTA DGQRSRSYEGSSTGDDDHDFGVRTVEWSPDSQLLAIGKHDGTVELISGTAVCLSTLWC NKINNNAYFGENQQFVLIAVLGDPTTEPIGRDVYVEQESTIPDITDYMLAPESPVFPY TYSLSAEDRIVSNISFNPTSSAAVTIDESMPNIAWMWSVEGSKPTLSGALVHSANIKQ LCWNSEIPDLLMTMSGDDTTIAVHQWLCGRSPRGAIIRSLRGRAEVGWIKTDKEMGGL FWVGGQRGYGMGYLTGTGSSTQLHKVAAVDDVAELLPPLSDVDFPLTKTEK TRV_01479 MSDDGWPRGQDCDDDSSGEDTPEVTSIKKRIHQALRSIDSKGDF ACFRGLNGILDPNLHINGLDRVVKLPLSPDDAKAIIDVCHRSPFGKGEETLVDTSVRK CWELNTTEFDIKAPGWANYMKKIVADVGKGLGVADKVGSIRADPYKLLIYEKDAFFLS HQDSPKADGMFATLVVCLPTKHEGGEIVLNHRGKSMQFKTSTTSMAGFSYAAWYSDVF HEIKPITSGHRLVLTYNLILEGTDNVPSAPSSESSALRNVLKFWEPRAEDEGPYLLLY KLSHMYTDSSLSFQSMKGTDRPRMAELQTACEELGFNLYLGNVEREVRGSCDDYTNSQ GYYEIQDTIDDSTIMKQVIDSQGNSVCGEIPVKNWEYIQMNVLRGIPTDEDYSGYTGN EGTSAVVIVPKRLDVYFRFRGINGSNHMQFRDLAGAIIAQGLYTIGNNELFEKTIKLH AAFVSPEVYPCIAENLVGQEYEIVKKALNYIFLQARDHPAKQVVVATDLVSACIDIPD ERRPAEWVDLLCWREQKLAGLLPRLQNAKLGTSYINGHLRMEEFRAFYRRTFEDLVKD LNLDQGLEAKPLNSFGPLRTSIQYKSSALKGEDLISIYEQCLDFDIPLSILHAGIIRS IKQSQSLKMAFPHIIVPFLRGIVSGLPGRTSHKQGSAESTFVLRAILEYVLRYVRPRS NAAASWRRPPASSCRCNDCTELNAFLESHTQEVLELRINSSRRFHIHQALNKDRTIRH ETRRSGNPNTLVITKLENPATMWKSRAVDAVKNINSIVSPTELEEFLGSAPYKSLMAL DIVIKDRPIDDYIGHSRSEVSGRKRSATSDLNPEGASSMVHDTEVIDLT TRV_01480 MTSGKQEPVIVASCKQTRFHLAEGKGSGEIDIQGLDILIASRLS QSQDAESSGAGKGKARKKEKAGLGMEILTGANLRLKAGVHYGLVGRNGTGKSTLLRAM ADRLIPGLPERVKIAILQQTDVEVGADSDPLSLAGKGTAALQANDESSKKVPKTLLQQ VVQSDTARNEITRKVKTEVSVKVLSQALEDHEDPLAPVKAIRQLRHEACQHQLFLAQK NASLRSGARGLQARKDLKTAENRLEESVERLNDDLTTLDASKIEQETQAAVELLADVE AQLESMNVVDLDQEARKVLLGLGFKDTDFDRPFLSLSGGWRMRCMLAGALIQSADIMI LDEPTNFLDMLGVIWLETYLSRMQENSDKTVVVVSHDRAFLNNICEELIILRDKTLTY FKGNVSAYEQDRESQKLYWGRMKEAQERQTTHIENTIKNNMKIGKKTGDDNKLRMAKS RQKKLDDRMGVQVSATGGRFKLSRDRVGWHDSMRAEIEVPADEKGVSIDLPEAPDLRF PGPLLSLEGVTFQYKHSGPVVLKSIDLVMHMGDRVGILGLNGCGKSTLLKILVGTNEP TQGKLSRHPRLKLGYYSQHSVEELQELGRSSPTETGLSLLCRETEGVLDEGEVRGMLS SLGLPGRTASDVPVCQLSGGQLVRLALARILWPMPHILVLDEITTHLDFHTVLALAAA LSSYNGALLLVSHDRYLVRKVIEGKENLEGDDDGSGGMAEHEAKGRGATAVDESQRRD VVVLKAGKLQLQEDGVDQFEANLQKRVRKMIA TRV_01481 MAGDQYELNGADDGKFGISEHANSISLEDEPRKNSVASFRTRFI DSFRRDPNAYFSRPANADSHFDAEQAAAATAASPLRRALKSRHLQMIAIGGSIGELRP CFPRFCDVCRYLIWLAVGTGLFVGSGKALATGGPASVVISFCLVGIMLYATIHALGEL AVCFPVAGSFSHYSTRFIDPAWGFAMGWNYAIMWLTLLPLEIVSAAITVGYWKSNVSP AAWVAIFYTLIVCINMFGVRGYGEAEFIFSIIKVVAIIGFIILGITINCGGGPNGEYI GGKFWRDPGAFNNGFKGLCSVFVTAAFTFGGGELVGLAAAETSNPRKSIPTAVKQVFW RIVLFYMVSMTVVGLLVPYTDQRLLNGSGSADIKASPFVIAIQDAGIIGLDSVMNVVI MISVLSVGNAAIYGSSRTLAALAEQRQAPRFLSYIDRKGRPLIAIAAASTCGLFGFLA ASDKQQDAFTWMLAISGLSSVFTWGSICLAHIRFRQGLKAQGQSVDDLPFQAQGGVIG SCIGFAINCIVLIAQFWTGFAPIGYAELSAAGRVKNWFSTYLAAPVVIAFYITYKLWH RTSFVRAKDMDLVTGRRDLNLAHLIAEERAERLSWPTWKRVYKFFC TRV_01482 MAAHCSRIAGRARYPGISLKSQCLRRYSSGCGQAVPKEPSFRPP SLDVPPRPSPELQSPSSRLDSLKDARPFSSFLTDTFSRQHDYLRISITERCNLRCLYC MPEEGVPLSPPEHLLTTPEIVYLSTLFVSQGVTKIRLTGGEPTVRKDILPMMQEIGKL RRDGLKELCLTTNGISLHRKLDSMAEAGLTGVNLSLDTLDPFQFQIMTRRKGFEAVMK SIEKILELNKRHGAGIKLKINCVVMRGMNDREILPFVELGRDKALEVRFIEYMPFDGN KWSKGKMFSYQEMLDVIKAKYPGFEKVPDHKNDTSKTYRVPGFKGKVGFITSMTHNFC GTCNRLRITSDGNLKVCLFGNTEVSLRDMIRQENNNKPIDEDALQSLNLLESARQAAR AEDQGYPVNERERELLHIIGAAVKRKKAKHAGIGILENMKNRPMILIGGHWVPQISTT ITKISFAIDETTPRNIHHYNHHPAVKRRARTLVSSLRSWQSIPTHLLGIDRSMGQSPR LYSTRKSSTGVELPPSASSQSKPTKDNTIRDLPTASHAKLPHLTPNETVHMTSIGHKP ETNRLATAVCRVTFSNPTPISLLTTGDGLMKKGDVLSVARIAGIMAAKKAADIIPLAH PGLGITGIEVDVTVCPPHPEGSMPNEKNRVDNGVHGSILVTSSVSCLGRTGVEMEAVT SVLGAALTVYDMCKAVDKGMVIGEARVVRKRGGKSGDWEEGIRVRDSKENSNEKEK TRV_01483 MEALTIIIHSLGVGTEAAVDLVGEESIEEEGDHFPLEADTVLKS LEDSVVAKRDSTLETKLMNLSLRDSPSKPIVRPGYNNANERKVKLWANYFEIQGLEKV TLYQYYALLDPGVELGRHRTRRLLSLLVNHRSLTSHPVATNYKDKIISTKELNVKQVM VQYYEKEEQLSLNSPSYQVTIQLQRVFRMGEVLDDLKSSIETYNPDERNEAIQALNVV LAHFPNESANTQFLGQSKHFPLHDQRNKHEIGAGLDAIRGFFHSVRPSTGRLLLNLNV STGAFYHAGTLHTLVCMFDQGRRLSGSVRLQELNKFLHKLRVVLSCPNNAISQATGIK TIQSIVKRNNLPGTIDNIQIKWPSGGREREVSVRELYRQVYSIDLIENDQIVVDVGGK GKPIYLPAELCHVVPGQPARQRLSARQTSDMIKVACCRPGANANSIVRRGLPLMGVMG DTGNIKSPKDFGIEVARNMLAVTGSVLSPPQLLYKYPVTVNRPGSWNLSKNIAFNKPG AFGPNNRVGCLIIIPYRGQGAPETTQFMTELGKHMKNYGINWPTDRISRDTIRLSPDE KDIENSLDEYFLRVKKLKQEFTLIVLPRYDAEIYSKVKYSADVKHGCHTLCVVPKPPK NGRPGEPLQVNIQPSYLANLALKINLKLGGVNHQLEPLKKYQSCPIMYLGIDVTHPTG TDSIPNAPSIAGVVANCDPMLGQWPASIRTQAHCVEMVENLGEMVIERLKSWKDQSHL PEKIIIYRDGVSESQYKQVLDLELPQINDAVYRYYRNGKYPKVTLIIVGKRHHTRQAY TYTFVLKW TRV_01484 MGIKKSTKKFEKNHLRDTIDRRREFSKVKQRHQVKDKKKAKNAA KLEEAARQENEKKTTSDAQQDAAFADMAVDDFFAGGFEIADEPAATKSSKKQKKDVAP KTGKRKRAEEKEEDVEIEDGSDNEESEMESGEESDGSDSDNLEAHKDQLEALKEKDPE FYKYLKENDAELLEFGDHGDLAEVDELSEAEEEEAPAAKKSKKSKKREETDLTLDKTL RMPMVKQWQKSMLLQHSLRATRQAVLAFRIAAYVDDEDNEDRKYTISDPDVYHQVLIT ALEEVPKVLNHHLPVKETAGGKIRVALDSKKFKTLTPLIKSHVSSIHQLLTTLSDAAA LKLTISAIEPMLPYILQFRKLLKVLIKTVVGHWSEASNSEVTRITAFLILRKLLVIGD AGIREGVLKASYEGVVKGSRNTSVHTLPGINLMKNSAAELWGIDQNIAYTTGFTFIRQ LAIHLRGSITNPTKDSYKAVYNWQYVHSLDFWSRVLAAHCDPLVEAKAGKQCALRPLI YPVVQIILGAMRLIPTAQYFPLRFQLTRSLLRLSLSTGTYIPLSSALLEVLNSAEMKK PPKASTLRPLDFSTTIRTPKSYLRTRVYQDGVAEEVSELLSEYFTLWTKNIAFPELAL PVVVMLKRWLKEVSSRSSGNKNPKVSQIFLLLVQKIEANCRWIEERRAKITFAPRNRA EVEGFLKETEWDTTPLGAFVKTQRIQRAERAKLLESSRAQERENKKKNITDDNEDDSM AEDDSSDGE TRV_01485 MFSQRPLSYAPTPYSYTPNTALSATISLDEQEVKPFSSPAERDL YESLAEIYSIIITLDGLEKAYIKDAVTESEYTETCARLLKQYRSILSDDTVATEFVDL DTFKRAWEMECPRATERLRIGLPATVEQPSHAISQNTATGPSASGSLILTATENFITF LDALKLNMLSKDALHPLLSEVIQSVNKVTEQDFENRGKIIQWLITLNQMRATEELSEE QARELAFEMEQAYQGFKATLN TRV_01486 MFRDETRKTAHKASTKTSKQRNSKNASPSTSTNLTNSIASTKLI TLASSNTPEEVSRSLSISLNQQAICFFLHNYVVPCIPTSGRVGYLHSLQSTTSDAVLA CMASVGMATLANINYSADLRIAAREQYGHALSLTNAMLRDPAKARQPAALDTVMLLGM FEVSPSPKHITVYESRANHYWRSHHEGALALLKINSKPGPEDIINFRMFVQARGQILS SCLLTSSRAPPFLQGVPREQQGCLPKSEGLLEDVTTLLVKLCNLRAEIEDRSLVLPED IIPQAFAQEKDFTALYNELWEFYPYKTLAVIDREMPPSPADEVYGKYYHTYTDYFVPN IYNAIRGARILVTEIIEEAAAKLSPSSLLYAQYDNLSLLIEQSRAGALQAAIDICASV PYDLGSIEMKRQDGADIGRSGRALGGYVLLWPLCLAGDLPYAPTSLRDWAINRFEYIG RALGIKQAIWMAALLRMGGMRALLTTLRISDED TRV_01487 MAQVDTTVDTTKEDPTPCPTCGWSDLRRSRCSHISQVKLVNAIS NRAWWHIGSDMVLKEDPYDKWKTSEVANLKFIQEKTTIPVPTIVKDWVQSDNRHFLLM ERMPGETLDTLYQKLSTAELEAIADQVAELIQQLRPLQSPQIGGIGGTPLHNGWIFVN NMEPAGPFSSDDELWDCMKNGLAKVPAKAVENLRKRMPACKPYTWTHDDLSSSNIVVK DGKVTGILDWEFCGYYPVWWQYVSAGSGDPEEERWLKIMHGKVKDQYPEATDFFRDLR SLTYYPDLTEHGKKTLEKLMADGE TRV_01488 MSREFTIPMNADSAFQVTLHEPSLTSDNLGNKTWVSSYMLSKRL HTFHSSGSVPISHDQNPTLRTLELGAGTGLVGISFAAVWGAAASVHLTDLPPIVPNLT HNVSLNSDLISKVESSITTGVLDWSLQFGVSLQSNEKYDVILVADPLYSSDHPRWLAQ AIGVHISSNSSSRLVLELPLREVYLPQVHELKKRLDHIGLYILEEGEEIGYDDWAGRD GSPVEVRCWWSIWAWKSPA TRV_01489 MDADSLGTPFLDAWKKLKKNQRGYAAIRALSISLKWPIIMVVLP RSILIGLTVCQPILLNRLLDYLTESSEPKEKDIGYGLIGAYALVYFGIAISTGFYWYY HYRVLTMIRGCLVSAIGVKTLQLNTHSVEDPKAAVTLMSTDVERIIFGLRSFHEFWAN AIQAGFLAFLLKRQLGIAFMVPLVIAILSSVLSIWASRSSDTYQTAWTSCSQLRIGTI SSMLSSIKPLKMRGRTEILSSIIQNIRLQEIRLANRFRMLLVWTTGLGYIPQFISPPL TFLLFILRAKGNGQPFDSSRAFTSLSLLLILAQSLSQTLLDLPPLLASFGSSSRIDKF LSTESRVDIRHFPALLDEPRKTTFEKKYLPEQTIIEVTNGVFGWEYDRDVLHDINITI PRGQSTFIVGPVASGKSTLCYALLGEIPVSRGKVEIFANAKDIGFCRQTPHLTNETVQ QNIIGFSLFQPSWYNTVVKACALATDIDSLPHGNETKVGSDGINLSGGQKQKIAIARA LYALKPILLFDDVLSGLDYTGASHIFREVIGPKGLAQQHGITVIIATHATEYLPFADH IIALDKSGHVIQQGSFQSLRSQSGYIGSLAIAENFEKETVAHADQAAAKSVGASVNNE KSDENLPIRPSGDFRIYSYYFKAAGIWTSCLLLILVISYATLYNFPTYWLRIWVDTST PSRPARLDDLGYWAVYTVLQTSALFLLLGVAYHTLIRFVSRAGSSLHKDILDVVINAP LSFFGSTDIGVTINRFSQDIQLVDNELPMALLNWLLTVFLALGQIILIIIASPWVGFA FIVIVPILYTMQNFYLRTSRQLRQLELEAKSPLYSNFLESLNGLSTLRAFGWTAQALE TSYRLLDESQKPLYLLYMIQRWLTFVLDVTIAFLAVIIVALAVTLKASGGLTGVALTQ VLSLNLILTSIIIAWTALETSIGSVSRIKHLTKYTPSEHKHGEVIQPPLDWPHRGKID IYNVTAYYKSRPEFPVLRGLNITIEAGQKVVDGLDLANLPRNVIRSRFNMIPQEPVFI PGTVRFNLDPCSQHSDYEIIEALKKALLFDVISAQGGLDAEFQPSSLSHGQRQLFSLA GATLQKSKIVLLDEITSNVDQATDELMQKIVREEFKFCTIIAIAHRLNTIMDFDKVIV LDGGHIVESGKPQDLLWKDSVFKQMWKGPD TRV_01490 MVELTDVSLLQSGDISSRASICQGFFTAILTTYLGIKYEDLAYG VKNGFAVVGSNNGHNGCSLGGRQGFQSAVKFPEDFDGIVAGAPGLDFNNLVSWRASFF PLTGSVESPDFITARAWKTFIHREVLRQCDGIDGVMDGIIEDPSLCHFDADALLCKPG EETDCLSQVQVDIVRKIFSPLMGEDGELIYPAMQPGSEELATTKLYAGKPFSYSDEWF KYVIYNPSWNASTFTVHDAAVAEARNPGGIKTWPNFGDLAEYRAQNGKIIVYHGRQDN QITSFNTERYYNYLLQSDGAGSAGQLDRFLRFFRISGMFHCSGGPGAWVLGQGGGAAS EGIEFKGETNVLAALVDWVEKDVAPDTIEGVKFVDDEITSGVSLRRKHCRLVFSHLLP LRVYTDRW TRV_01491 MPLFGSSSSSKSPLKFLSRNKQNSPAQKSTYSSNKSTGSSHLDA PPAYTPVSTTSTTAPSFPAASSEGGSLAASPDRPDSFLAQFDTVFLIDDSGSMRGASW KATENALAKVAPICTAQDKDGVEIYFLNHRSDSSNGAYSNIRDTGHVREVFTAVSPCG GTPTGTRLNHILKPYLKGFEEASKSMSPDERDNAVRPLNIIVITDGVPTDDVESVIIQ AAKKLDKLNAVPWQIGIQFFQVGNDPEAAADLKELDDALAGHNNIRDMVDTVPWDGTQ AGGGLDADGVLKVVLGAVHKKYDRKNATGGRQ TRV_01492 MRQSTCMKTNRLLPCSERQKGPGGVPDIQSSRGRHQRLLVRQRA ERKNERRREERLSYPRIDDRSLLADPDPQAIIIVSGYLAKSRTASPVKILVFSPMAGR LSKPSCVLLLGQKKLRSDSQRISTANFAADRLGIPMKLAQWLGLSLILARGIFLASRP CLFLAIPCYPLLGPHAQHPKIRKAEPAPVPESPDSVRKSRHLPELLLLLLLVHLETRV LPKGTGFRSFEVK TRV_01493 MATSATTTLMTFLVRTPPSTRSVRLFGSWDNFAKGYPMEKDSRT GRGHWRGCHTFTNIICDGHGNPIYPGRDGGLKMGGTYWYYYVLDGDLDFYNESEAWTT SCPLLPGQPLNVLNVPIYLPPSVISHGRNGSASSQLSVPQTMNPDDKYVNPRPPPRPQ LPRLVTSPAALAPQEVRGSLASPGPQTHGRSFSQPRAASRKFKVGGKLSLDLKLPMSS LAPKSSGLRTAFFNRVSPRSATYETSEKNTKVPEISLTRDTPVLEQSHQTPTKNYHLR SEYPGTSSSLASPCGSPADLVIDDRFPEQENTNYHPNIKTNCHTLDPLYQTGQSQARS RSRSPAQTPLRNSVNYDVSPSQNTTATNTATTHPSTVEVPCKLDVHEPLSPIDLYSKR LPTLPNSPSSVLDETFNSTWTSTPSYQPLDLNHLQSHFSKSTVDSSSPSLTPSPHESP LLPCGSRFSDCSTDTEIISPCSMTSSSTLNNDGNSPANYSFSASTSLESNLSDICQDS THNNYLDAFGLSNLHIGQDETKDAEPAFSWMTSDPFSDEKISLCGKTDASSATISAKT QGYSSNSDQAEATINSMMQELIDDMGYLGDMIDAYPEQI TRV_01494 MASPASPSAIAAEEEEEPVTLNSVAEKDLTARCKPRTIAYCPNM DLVAVVTEDEQVNVYRLNGQRVFGGAYGLGEEDGEKGVVRAVVLRWKENGRVLAVACS DNRIRLLSSYSGKMVHILTAEPAQAPFSSPQRPSGSISCIGWGVSFAETDSLLKNLKD PEGKLSLDDLLMSDTKLSTHLGFLKADLPRELALLDIEGSLPKLSTLPSTGDEYVGRL PLVDSIHANGISSDDVFSSRVSLDSIFHSPVKNPGDSVDVLLAGLDDGSIHMRIFESF EIGSIDVSSSLDGSDSDTGEGGGYKTLLHASHPMSTTHALLFQSEAGMKLLNLDLRFI TKAGRYLPLLASKVTQLQNLLRYIKQVQAQMHLEWKNARELPIRYLRNINEELKEQCH CDFVTATYHLIVTGDCYAPLKEFLATTISDRGQKRWEKTVAGGYEALRRLAYECLMPA LERCGVLLSRLIGLSKYHRISPILGLETTYLKACVATLDCLTLLAHKVVLHSSTELKE FHAFSHWMQHEIKLQSTDPTSSTIDELVEAADEIDYGTTLGYVKGALTNSALQGFLQV PPPPGAPGAPGADPEDRKRWDVDIQDDGTFYEEYKKVVSQHESQRSAEGLSIPMLGDL TARLSAECDQVFKQIAETQRRGTLFRSALKLNEDCDATFLDMAMNFEVSVRRLVFRVK LADGVSQEYEGESLPSIYAASRSRTTAHKFYIYRHILSVVNGVSSTKASLQATVELGS GSIQDLKFVEDGTLMLLWKSKGMFLLVVFLLFTCSGLTTP TRV_01495 MDVNQVLASTLSADAATRQNAEQQLLHAAEVDFAGYLTTLAGEL ANENAASSIRTAAGLALKNAFSFRDIARLREVQGRWVHGVDQQVKKNVKELALKTLGA SDTRAGQSAGQFIASIAAIELPRNEWQDLMNLLVQNISTGSDHLKQASLTTIGFICES EEPDLRESLSAHSNAILTAVVQGARREEQNPDVRNAAISALSDAIEFVRSNFENEGER NYIMQVVCEATQAEDTRIQAGAFGCLNRIMGIYYDKMRFYMEKALFGLTIMGMKSEEE DVAKLAIEFWCTVCEEELSIDDDNNQAQAEGSTEIRPFFSFARIACREVVPVLLQLMT TQDEDASDDDYDVSRAAYQALQLYAQTVQAELVGPVLEFVEQNLRSEDWHHRDAAVSA FGAIMDGPEHETLVPLVRQALPVMITMMEDKVVHVRDSTAYALGRICDYCSGAIEVNV HLHPLISCLFNGLASSPKIAGSCCWALMNLADRFAGDAGAQTNPLSKHFQDSVTSLLS VTERTDADNQLRTAAYEVLNSFVTNAANDSLPIIANLSDVILQRLEQTVPMQQQVVSV EDRITLEELQTSLTSVLLAIVQRLEAEIKPQADRIMTVLLQVLTTIPPKSSVPDTVFA TVGSLASSLEADFLKYMEPFSPFLYNALANQEEPGLCAMAIGLVSDITRSLGEKVQPF CDAFMNHLLNNLRSNNLSNQLKPAILETFGDIAQAIGSHFETYLSVVAQVLQQASAVT ASNDVSYDMIDYIVSLREGIMDAWGGILLAYKGAPNVNILQPYVESIFQLLHLVAQEP SRSEGLLRASMGVIGDLAEAFPNGEYASFFRNDFVSALIREARTSREYGPQTIETARW ARGQVKRQIGLATAASMS TRV_01496 MSPRIQVISDLHLEVNNEYRSFIIPPAAPFLVLAGDIGQLIDYN EYLHFLNTQCAQFKHVYLVLGNHEFYGLSRQEGLKLAARLEQETQPHGKLSILNRHRA ELPGFPNIVLLGCTLHSRIPSESVDAVLSRVRDFQRMLDWSIADHNAEHTQDTEWLAD QIRTVKAETENATIIIVTHHAPCVQESSRPEQVDNPWTCAFATELLDGTTVFSQVKYW IFGHTHYTTSFIKERVRLVSNQRGYHHAGNPIEKGASISSHEFDINKTIPLD TRV_01497 MVKTSVLNDALNAINNAEKAGKRQVLIRPSSKVIVKFLSVMQKH GGCFDFKPTLQRYIGEFEEVDDHRSGKIVIQLNGRLNKTGVISPRYNVQLRELEKWVV RLLPSRQFGYIVLTTSAGIMDHEEARRKHVAGKIIGFFY TRV_01498 MHLVLPPPAAPAISPPSEASVAAARELYRTTQAMRERLLSEQYS QQRNDSASTNVATTQQDGVSEGVGDRGTLDVYPPPDGGLILQEDFPPPYMRPSISYQF SQQQDSSSNGAPGSRLHVHGTANGQPSGGPSDPNRMNSMPYQVMHIATEIISMEARLP RGPIPREDEISVVRDQLMRLFDAPNHNVPVLRGWLVRLSMLSSRSEQLRQYYARNPHL HSGQTGFASPSTAFSRRHPAYLLASPTRYEAVLIPAVHGRMASANLTGPMSSSSHPAF QPTIQPTLQQNALAMAYNNLPQATTANQRPLNIPGMLVRRRRLIVRGSTLVRAIRATW LFVRLYFFCYILSDSGTWLRLFLVVLSIAWACLSEVEIPHHIRRAIFIPLEDHIHDLL PADPGPAQPHRARHNAGPNYITDLLQRHRTLARGIVLFFSSFIPGVSERQIVDLQGIN AFRENERRAEQENREQAAQQQNPDDSAPAEPNATGEQANGGEVEAIQI TRV_01499 MFRRIPTAGASLRQGPRNVFTYGFTGTRGSGRCQSISRRNFSSS KHWRSQESGKEEKESFRSRLGAALRKTKIEWYPIPVGLGVAFLGLAQFYKSSQAEKQK MLMETERGERPQEVVPRQRVRPTGPWQVQVMSTLPLKLVSRIWGRFNELVLPYPLRVP GFKLYSWIFGVNLDEVEESDLHVYPNLASFFYRRLKPGVRPLDPNPSALLSPSDGRIL QFGMIERGEVEQVKGMTYSLEALLGLGTVSPDSEPVHPTTRPMHKVDDDTDPENVAAD QEFAKMNGLSYTLPTLLSGSTKHDVSKDGSMDASMESSSTSEEKVKADLAKGEPAWYS PRPTSNRALYYVVIYLAPGDYHRFHSPASWVVESRRHFAGELYSVSPYLQRTLAGLFT LNERVVLLGRWRWGFFSFTPVGATNVGSIKINFDAELRTNSLTTDTAADRQAALAAQR GEPYSGYTEATYGHASKTLRGHALQRGEEIGGFQLGSSIVLVFEAPMGSRQSFDVSWT GEREGGWQWHIKKGQRIKYGEALGEVV TRV_01500 MGEKSPASGPVSLSHPAHCLTAEAATRELATNADDGLTAQEAKA RLEKYGQNKLDDGEGVSVIKILIRQIANAMMLVKRPIPF TRV_01501 MAMAVSFGIQSWIEGGVIAAVIILNIVVGFFQEYAAEKTMDSLR SLSSPTGTVSRDGQTIVIPSIDIVPGDMIELKTGDTVPADLRLVEAVNFETDEALLTG ESLPVQKDCDMVFKEDVGPGDRLNIAYSSSTVTRGRARGVVIATGMNTEIGSIAAALR ASDSKRRPVKRGPSGETKKRWYVQAWALTSTDAVGRFLGVNVGTPLQRKLSKLALILF GVAVLFAIIVMSANKWSSNNEVIIYAVATGLSMIPACLVVVLTITMAVGTKRMVERHV IVRKLDSLEALGAVTNICSDKTGTLTQGKMVAKKAWIPSIGTYSVGTSNEPFNPEIGE ISLDELPPVKMDEEKLAPPSHPDELLKGSPQLEEFLNVASMANLSHVYRSESEGNEWH ARGEPTEIAIQVFASRFNWNRDRWVKGEGAIWHQKAEFPFDSDVKKMSVIFRKLSESE DKEMVFTKGAVERIIDSCTSVILDAAQGPVPMTDEIRNDILENMEALAKLGLRVLALA SRPYSPADHALEGSDISREDVERDLQFCGLIGLYDPPRPETAGAIAECYKAGISVHMV TGDHPGTARAIAAQVGIIPSDLSMVAKDVADAMVMTASQFDKLSEEEIDRLPTLPLVI ARCAPHTKVRMIKALHRRGKFAAMTGDGVNDSPSLKHADVGIAMGQSGSDVAKDASDI VLTDDNFASILNAVEEGRRIFDNIQKFVLHLLAENIAQACTLLIGLAFKDNDNQSVFP LAPVEILWIIMITSGMPDMGLGMEIAAPDIMDRPPQSKQGIFTWEIIVDIVAYGLWMS ALCLSAFSLVTYGFGDGNLGTHCNRDYTPECDTVFRARATTFVCLTWFALFLAWEMVN LRRSFFRMQPGSKLYFTQWMHDVWRNQFLFWAIMAGFITIFPLLYVPVINHSVFRHTG ITWEWGIVFIESLLFFLGVEAWKWGKRIFFRRQARLNAASDTKEVSRVRGANSAEE TRV_01431 MASSTVSQVNGHGSGLLSSPELANGHSTSTPGGTLDHSNGDGDS DIYNASSVAAIKATLSQLQDREALVTARLDALVASQKDLSRELGRLDLLRAHLGTQAS TTRSISHEMLSGAASSAHSLSSAVRKLDLEQSRVRSILDMVGQVAELKACVLGVAGSM GASQNWEMAASYMHRASKIPEEVVNSPFAAEIVPTTEVPDTPNVTLSNAAESLCALFL REFEQAVKENDGAKITRFFKLFPLIGKSDVGLDVYGRYVCQGVAARARSNLNAGTGGA QAKDDFFYANALTRLFEHIAQIVDAHGALVERHYGPGKMGRVVERLQVEADVQGGIIL ESWAEERHVDRKLTDIKSYAFTFLVQSFLPAARGAGTPRSNSPASWDTPQRNSEDEGV DMKEIDSILNEISIMLGRWSLYGRFLASKCK TRV_01432 MGAEASKMVDDSVPPVTLEKRSIDGIVKYIKEKKNCKIVVMTLT RKQTGAGISTSAGIPDFRSPDTGIYANLARLELPYPEAVFDISYFRQNPQPFYALARE MFPGKYRPTVTHSFIRLLHDKGLLLKLFTQNIDCLERRAGVPGDMIVEAHGSFATHSC IDCKAAYLDELMAKAIADSEIPSCSECQGLVKPDIVFFGEALPANFFANRELPAEADL CIVMGTSLSVHPFASLPGLCREEIPRVLINLEQAGSLGSRPDDVLILGDCDSGVRRLA EALGWLDELEKLWAETCPELGSGSKPVSEQQDKEPASKDERLHDEVDRLSAEVESALK ASREHETRTKAQLEALLAEEKATQPNAEQEKPPEDQLAESMAALDVSSKEEDKMRPVD AD TRV_01433 MYTSLSVVYDPLSSAPFLMSAVRLPVVFFASSSSSSSFAPPLSL LSNKSPTKAKTSPPLPLPTTTTTTTTTATTANVIPISSYNIRPRDRSMVDMPKALLDE IKELEETFTVDTARLKKIVDHFVNELTKGLSVEGGNIPMNVTWVLGFPTGNEQGTFLA LDMGGTNLRVCEIVLSEEKGEFDITQSKYRIPEELKTGTSEELWEYIADCVQQFIEYH HDGEELPDLPLGFTFSYPATQDYIDHGVLQRWTKGFDIDGVEGEDVVPMLESALEKKG LSIKVAALINDTTGTLIASSYTDPEMKIGCIFGTGVNAAYMDNAGSIPKIAHYNLPPD MPVAINCEYGAFDNERVVLPLTKFDKIIDRDSPRPGQQAFEKMTAGLYLGEIFRLVLI DIIDNKGGIIFQGQKIDNLRKPYFLDSSFLSAIEEDPFENLSETRDLFERVLGIQATK PELELCRRLAELIGTRAARISACGVAAICKKKNIQSCHVGADGSVFNKYPHFKQRGAK ALREILDWADNEDDKVIMSSAEDGSGVGAALIAALTLERVKAGNLAGVRNKENISQML KG TRV_01434 MAPERKPRQRKRSKVAFVDAGEEENTNGIAQDEVMEKDETEDEL ERILFGDSEGFHSALKEREQADSKELVLADGSDSAEGLGEGGDSEDDLANVPDEDLFY LDAGDSAPVAPISKDDQPAEELQVLEKPDVPAAWEDSDDDRIRVSLADNERLKKLRLH EGEDVIGGREYIARLRRQFERLQPAPEWATPAAKRRKTEEDTSDLSMDEDEVEEDLSA QPLAKLLQNIGDLTKSGANATSAGKKRKLRQGVLDIQRLKDVGGNQPSSIDSLSFHPH YPLLLSSGPASTLFLHHISPDSASPNPLLTSLHIRHTPIRTSTFDRPTGNRILCSGRR RFFHVWNLDTGKIEKIVGPADRKHELKSMEYFKVSPCGRWIGFEGTTKKGGGVIIVFD ANTMQWVAQVRIDGQGGVADFAWWSDGEGMCVVGKNGEVSEWDIREKRIIARWIDEGA VGTTVLSLGGQTGRQELGGDRWVAIGSSSGIVNIYDRKPWADAAAAARRKPNQPLDEA ADVQSGVPQRPKPVRVLDQLTTPISHLVFAQDGQFMVMASRWKRDALRLVHLPSCMVY SNWPTSNTPFGRISSVAVSPTSDSIAVANEQGKIRLWEIHG TRV_01435 MAAGHDTLTLRHTTSTIMLLAATMTSVSSATRTSTVTATATATA TATATATATVTGSPNAPGDAGNGKCELLGPFALVIQVSLGALALLVLVYKRWKERPQR PVKVWAFDVSKQVFGSSMLHLVNLLASMLSAGQISPDAKQTNPCSYYLLNLGIDYTFT NMKQTTLGIPILILILRVLNHAALYTPLANPPESIESGHYGRPPKVLWWFKQSMIYFF GLVLMKICVLCIIYWLPFIVLVGDWALRWTEGNTAVQIFFVMLLFPVIMNAVQYYIID IFIKKRASEHDPLHPHEEDHDFDDTASINSDRHRRSALLAGLDDEDDYPASDDEVLNS APVIFSDDESSSKPATSKATSHKPPPLPHA TRV_01436 MTNKVPFCRFDRLTKHDCCLKRLYFNPTKRQSKPGKCARPKEEE EERKRQEEEEEKHLERRTRKEKDEKLDETKNDEKKNDERRRLKQ TRV_01437 MPAPFAPDYASYNWTGSPSNFSLSTDPVLGGDSRVENVNKWFQP GDQAYILVASAMVMVMVPGLGFLYSGLARRKSALSMIWACMASMSVISFQWYFWGYSL AFSPTATNGFIGNLHDFGLMATLATPSPGSPLLSDLLYAFYQLQFCAVTAAIVMGAVA ERGRLLPALVFIFFWATLVYCPITCWIWNSNGWAFKYGVMDYAGGGPVEIVSGLSALA YSLVLGRRQERMMINFRPHNLSLILLGTVFLWFGWLGFNGGSSFGANLRAVMACWNSN LTASFAAMTWVLLDWRLSRKWSMVGWCSGTISGLVAATPASGFIPPWASVILGVVTGF VSNYSTKIKYWMGIDDSMDVFAEHGVAGIVGLLFNALFGSDAIVGLDGVNVGAKNPTT GTAVGGWIIHNYRQLYIQLAYIVATAAYTFVVSALIAYAINIIPGLKLRASEQAELLG MDDDQLGEFAYDYVEVRRDYLAWTPQKNDQAQDANVSRANLYGCGEHSGMFSNPGQET KSQPISSPTPSKSSSQTNLRDVTAVPSVVGAPDHPSAEHGETVETVDAELSEKPR TRV_01438 MSGLSTPSLPFYSSTPKNGRRSPSPTRRSTTVSSVTTSTYSKLS PEEVANRLATSLSHGLSPADADARLLQHGPNELPHDDPEPLWLRFLKQFKEPLILLLL ASAAISFLMQNYDDAVSITLAVTIVVSVGFVQEYRSEKSLEALSRLVPHFAHLIRNVP TTGFGALPTTAKEEEEEYEMVNLTNAPAHPAGPSAKASTTVTATTLVPGDLIIFSTGD RIPADVRITSAADLTIDESNLTGENEPVHKVSNSLGQPPKQLQDGKISPFYDSPAAGT VGTDLRLNEQHNIAFMGTLVRSGHGQGIVIATGPNTEFGSISASLQDIESPRTPLQLS MDRLGQELSYMSFIVIGIIVVIGLIQGRKLLDMFTIGVSLAVAAIPEGLPIIVTVTLA LGVLRMANRGAIVRRLPSVETLGSVNVVCSDKTGTLTLNHMTVTKMWHFDNDEPFEVN KEHTALNLTPAARTIMRVGNIANNSRLSRAHANSPATAASAAVLSSTMDSSSGAVKSR WVGQPTDVAILDMLDMLGEDDVRDVISGRISETPFSSERKWMGVIVASANSTDNAISH SGSDMAYIKGAVEEVLKRCDTYLTKDGREVILDEQRRKDAKAAAESMAQEGLRVLGFA SGPIRSQNATSKHTASNDEKRYTGLIFSGLVGMNDPPRKDVHKSIRRLMAGGVKVIMI TGDAETTAVAIAKKLGMPINSSSAARPVLRGDEIDHMNTEELAQAISGTSIFARTSPE HKMKIVKALQSRGDVVAMTGDGVNDAPALKKADIGISMGKLGTDVAKEAADMILTDDD FSTILRAIEQGKGIFYNIQNFITFQLSTSVAALSLVLLSTGLGFKNPLNAMQILWINI LMDGPPAQSLGVEPVDPSIMVRPPRSKHARVLTKPLIRRVLTSACFIMVGTLAVYVHQ MADHTDEISGVHSRVVTRRDTTMTFTCFVLFDMFNALTCRSESKSLLRGEISLTGNKM FNYAVLGSLFGQACVIYLPILQGIFQTEGLGFWDLFCLVCISSSVFWAHEVRKYLLWK RKVSGMGVPASSSTGTLSSIIGYSGNV TRV_01439 MFYSETLLSKTGPLARVWLSANLERKLSKSHILQSDIESSVNAI VDQGQAPMALRLSGQLLLGVVRIYSRKTRYLLDDCNEALMKIKMAFRLTNNNDLPTTA PLPAGGITLPDVLTESDLFMNLDPSILFTQPAQVEHDPKRPASSLGWSSQLLPDSTPQ TVRPVEKPHLEDDTGLILDLGEDEDIPLGHDTSIEVGREAPAPRPVGEDLFSDDNRLY DGDLNLDLGEDGAPLGKGPDADVTHDIDNAIHMDDDIPMGGIDGELGMPIEEDSTVIP PLTSDFTRDRSGSPLSSVRSSVVRDLDETFANEGAIRHHQRVKRRRVIMPDADTVLSS AQIKEQQEDRSKILIGESILPRDPVLLSLMMMQKNGSFVSNVMGGANTGNWAPELRGM LSIESVRKAGDLKRKRDSGIADMDIDAGAKVPRLDIEGEETLLQPDEGPILGEDTTLN LQPEIQVPEDEEHAHPRSGDDHFSEDEGLGQHPEEYDELSSLVETGPISLGTKHAVHV LREQFGESPSTESPTKGKAVVFQDLLPELQTSKADATKMFFEVLVLATKDAVRVEQSS KDLGGRLRIKAKQGLWGDWAETEAGGEIAPQEVAAA TRV_01440 MSGPTKPGDSGIRSQEGVVSRPEGTDSEPKPITETISSRMHHGH SEKSQFEPFTSLADQKKAGEREYGYDQGHPVPENRNNEDYSFMERKPGKKSYFSELLE KYGKHLRISVSFLLTILFRTVSARLAPCLCTNKPHTRREKWGNKIIHIMLMSMTEFAG KLSVYN TRV_01441 MKPDVGIAQSGNSALHLHNLPILYTKMPPSKIPNTSLAIASVHG GLSVHTNYLFGYVLRRLCDTIPLKKMFGRSYPVLAAVYSRIRGLKSRMHMSVDIPGQS EKPKDLLGPPGVQEMHLQSIVISQFAGETLLLLHPTIVLGGGCQRPSPAGTSESNINY ISSGTKNEGGSIFLCDRHCCSAGSLAENYQNVFVLTNKSERRVSSEGG TRV_01442 MSPHQTTGQESDNMTVNGENAQASSQYIQSNEEMTDTIATEKKA SAAKAAKDPSRPKRKKAKRACYACQRGHLTCGDERPCQRCIKRGFQDACHDGVRKKAK YLHDAPNEALMAGVGATLYNQRNTAQNNVNASNTSPGAPQQITSPNFYNTQQSPDYNG FPQNKTELQDSTVGPDNYASQSPVSPTYQISQGLSTQGLSPSLPQSTSETPSAANPAP GQFNSTFFDPSDPALFNFDLASMNFGNHYGALEFGMLGHMATGVGDTPPSDSGAQRGS IGQNGSGTFGLTGSSFSESPSNQAPYLFSESGMNDWTQTAPVNRRSMYGSNANLVAGN MSDKPHAFAIESAPANFASPASNESPMMTTSSATFEDTTNSGAFNSRQNVPVSQQRQQ PVVSTPQLKQQNLNLGSRRRHKNASSIYDSVKDPYSYTSGFHSLTAFIQRRFSPQKTL RIAKALASIRPSFIATTKTLNRDDLIFMEKCFQRTLWEYEDFINACGTPTIVCRRTGE IAAVGKEFSILTGWKKEVLLGKEPNHNVNTGGSSGLMTGSTSRGSYTPRPYSSEVYNS SATATPRTQPVFLAELLDDDSVIEFYEDFAKLAFGDSRGSVMTTCKLLKYKTKAESDI LAGSNGEADAGLNGEATSNETNELNGSHTNGATTNGRGQRRWGKGEIAGEAGMNQLGF RDGKVECSYCWTVKRDVFDIPMLIVMNVSCLFLEPLRSRAMTDIN TRV_01443 MRPDGPRDDFAGPDTGPESPFPIRMSGPVIKGFGRGSKEVRRRP AHLCFCPLGIPTANIPAETLSEYPDLQLGVYYGVAALDPAQFKYTRTESDAADEPVHT GTDIFPCVLSIGYNPFYKNTVRSVEIHILPHLSMESSPIAADTSGQRPLFHHFPDFYG TALNLLILGYIRPEYDYVSREALIDDIRIDCDVARRSLKRKAYAVFLNDVDASDEKAN EARQWLRKF TRV_01444 MAGVGDAIDQQSGTGQRSSGISISTFLASLATAIIVFAVEFVIF LILKSKLTRIYQPRTYLVPERERTAPSPAGLFRWIIPVFRTSNSEFIKKCGLDAYFFL RYLRMLLKIFIPLSIVILPILIPINKVGGRDTSPIDPLDHGFMTRYNVSGLDQLAWGN VRPEHSQRYWAHLILAVIVVVYVCGIFFDEFRGYIRLRQSYLTSPQHRLRASATTVLV TSIPSRWLSVEALDSLFDVFPGGVRNIWLNRDLDQLNAKIKLRNQLAFTLESAQTELI KKCKRAHLKQIKAEARKEGRQSKETKQQIQAENDRRTAQLVEGAGVSSGDPHQTAHTV QDLLHPKRHKVQQVGAKVGHTVVGGLKKIGGSVHRPGGHHPADTQGNTEPVADDADVR LSSSSQPNPNHHPMGMDGTVFDRHDDGRRLTSPSPDVGGSPALPGPEQTGNGKKKDEY DVDKEYPVAYNEEYQEDDYGEPVWKKYIREKDRETMRLPIFGWDWMPSLPLLGKKVDT IYHCRKELARLNLEIEIDQQHPEKFPLMNSAFVQFNHQVAAHMACQSVSHHIPKQMTP RMVEISPDDVIWDNMSIRWWERYIRTFGVMVIVGAMVIGWAFPVAFTGLLSQLSYLEN HFSWLRWLGKLPQWLISAIQGILPPLFLSILMALLPLVLRFLSRNQGVHTGMAIELTV QNYYFAFLFVQIFLVVSISSGFSTIIDSLKNVLSVPDLLAQNIPKASNYFFSYMVLQA MSVSAGALVQIFALISWFILAPLLDNTARKKWARATNLNQMQWGTFFPVYTTLASIGL IYCIISPLIMVFNVLTFSLFWVVYRYNTLYVTKFRFDTGGLLFPRAINQLFTGLYVME ICLIGMFFLVRDEKKEVACEGQAICMIIVLILTILFQYFLNEAFNPLSLYLPITLEDE ATQRDEEFARAQRRRRGIEDDDDELDKDGQREDGEKDGSIELSAMGNNQQQEPSSPFF LGSRLNRVSSSPKEQRRKSWADRSSNTRSPFFGGRSDPSMPTIRAIRKKLAMDTESQA PTTHAIGQSLFSGIHDELEDLTPEERDQLVQRAFQHEALRMRRPVIWIPRDDMGVSDD EIFRTQRLTKHIWISNEYQALDGKCRAIFSRSPPDFSEVDLIQL TRV_01445 MHLFPQPLSPRQPNNLTTSYLPTMKSLAAKALHPRRHSLQEKHS TRYSEPPGNHSEVRFSWDETNPKIVIAADSVGFDPLIFDQFKEEGFDTAFLPHTDSPK KFDSQLQGLQDSMEFGNRYAIVAYGEAASLVLQACVKPMPRLCAVVAYYPTYIPAFES RSISSLKLKIHLAGPQAQLGKERCYVYANSEVGFAQHDLPQYDPVTARLAWSRTVSCL REGFEMTPDLEPTWERHLLMKYASKDLEGTLDSYADGARINYVPTRTGGIGHDELRRF FEEEFIPRNPPSLQVRLISRTKGSDHLVDEMYLSFQHTQEIPWILPGIPPTNLTVEVA VVSVVSFRGGKICHENVYWDQASVLLQVGLLDGTYIPSRFDPVTNSINGLHGVRQLPV SDGKSARSILQE TRV_01446 MNGGTTIAGTMILAHLAGIKVFATGGLVAVVSSGCKSFLDIQRT LEYLETEGVVVAAFADGRKGDIDFPAFFTRDSGIKAPRVIENAQDAAAIIYAQSQLQL KSGMLFTNPVPEEHSFPKPEMDAIITRAIELAHIEGIQGSDNTPYVLAKIEELSGGRS VATNRALVEYNVEVGTKVAIELAKLESENGKDVDRSVAGNIPINQAHTEESQDSLRSS VESADTPSTLEVEQEEPELLVAGSLAVDLACDYTPLSNGTSDGSPKLQISNPSVITQT LGGVGHNVALAASYMGSSVMFCSVVADDISGRSALDTIQDTYNPFFQSEGIQLLPSTP DARTAQYIAVNDAKKDLMIAMADMSILELPESKLNFRAFWEPLVKDQVVPPSWAVVDA NWGSEAATEWIQLCRRQGIKIALEPVSAPKAARLFYRQNDTPSHVPVIRATDMAPDSH VIDLAAPNRYELAAMHAAARDTGHFDSPEWWRIIDALQLPSSGSRARLISLTNAEIVN EGIPQQTIQLLPLIPCILTKLGPQGVLLTQNLWPGDERLTMKEYAPYILGRGQIDDSP VGGVYMRLFPPEEALSDGDIVSVNGAGDTLLGVVMAALGRGGRDRDVRVEDVIPIAQK ASVMTMKSKHSVSPEISQLAPLLESL TRV_01447 MKLLAALLLSCGLVSATAANTTATEPAIQVLPKNFRPPQVFRNN NLVRIINLEKSYVRESINVVVENVDKRPQSEYYVAFPTDTINKVGGLEGWPKDSEEKK KFTVTSTRQVPSSSHQYFIIHLHQPLEPAAKLTLTLSYHLQSALTPILAAIEQDGKQY LSYTFPQFVPSAYTTNKQKTTVKFRGTDVPDYTRPTTLKSEEDPKREGSTFTYGPYDM EVKPGTSAPITVRYEYTRPVVTCTRLERDIEISHWGGNMATEDRFWFRNDAAKLTKQF SRVEWTKKQFHNAQSVALNLLRVPLAPGSVNPYFIDDIGNVSTSRFLPATETRLGLLD LRPRYPIFGDWKYSFKIGWNNPLSSVLRKQKTGSGESYVLKVPFIDGPKIPEGVQYQD LEIRIILPEGAENVKYEIFDGLGIPNHIRSEISYYKTFLDTKGRTVLNLSTTKVGDEA KDGFIIVTYDYPFLAAFRKPLSIFGAMLAVFTAAWVLGNIDVSIKRR TRV_01448 MIVSDFLDDRFGQQLRSSLIKEYAWHYIAYEDLKDALKTPFETE PTPENPSPKRKPWTEEDERRFVALLESELDKVFTFQKVKSDEIVRRIKASDKEVSEVV GRLDQATAAGGSVRNRQPPPSDDDFLLLEEDLSDIIADVHDLAKYTQLNYTGFQKIIK KHDKQTSWCLRPVFATRLRAKPFFKDNYDAFVVKLSKLYDLVRTKGHPVEGDSAAGGS QQNFVRQTTKYWVHPDNITELKLIILKHLPVLVFNASKEFEESDAAISSIYYDNTDTW ELYTGRLKKTEGAEAIRLRWYGGMENDQIFVERKTHREDWTGEKSVKARFPIKEKYVN AYLEGRMTVESIFEKARKEGKKSEKQIADWEQLAREIQYRVITRQLVPVNRSFYHRTA FQLPGDARVRVSLDTELTMIREDNLDGRQRCGQNWRRMDIGIDYPFKQLPAADIERFP YAVLEVKLQTQAGQEPPQWIKELTSSHLVEAMPKFSKFIHGTATLMPDRINLLPFWMP QMDVDIRKPITPGFGIERPVQSNQSTSDDMLENDDSDDEGGMRVDGADGTAQTNGAAG NGMQYHDSQANEANGYTANETNNMVAPGNLLDIEERIAADGLLGGNDFPLYDSEDESN AQDELEEARQVGGLQYYYKLTKYNLKSAGRNAIQFLSYLRPFPQPTELPEGAGGGRNR RILGDDIQVKRFKAPKGKSKLLRTIRFSWISTNIIPGIHVPVRVEPKVFFAVERTFLS WLEFSIWVSGIALTLLNFGHDKISIITAWAFTVMACLLLVYSFLLYMWRVDKIRKQRD VKNVYHEKWGPTILCLGVIVSVIINFSLRAKAGGLFGPGGSANNTGTLVIASSK TRV_01449 MSTPSSSRRRGRPSRSSANSTPSRGTAQPQVVISSPAPSRQTQD NSDQTTTPRNRRVADNGIPSSSPIFFQSSPAGAGPAANAAPDRSSPMPSSPLGDRDTT PRPTRPLVEGRPGNSQARRNDIPTSSSGLFIRSSNPNGITTRRGDIHSDTFSTTGSGR RTLFVDESGLPVRNREPLSDATFSNLNPDTSEAEILGGNSTRVIWGTNIAVSDTMSSF RNFLYNFARKHRMIYDGATESEIRALGSSADEKEYVRMLNEMRQLGITGLNLDLRNLK AFPPTTKLWHQVQSYPQEIIPMMDQCIKDVMVGLAGEEIERARQRNQRRPAAAARDAS SIPAFPSSDADGNGNAPAQQDLSSILADIESRTYKVFPFGLDKSINMRDLDPGDLDRL ISVKGLVIRATPVIPDMKEAFFRCDVCFHCVRVNIDRGKIAEPTRCPRQLCDAQNSMQ LIHNRCIFADKQIIRLQETPDSIPDGQTPHSVSLCAYDELVDMCRAGDRIEVTGIFRS NPVRVNPRQRSTKALFKTYVDVLHVQKMDKKKLGIDASTVEQELSENLSREVDQVRKI SQEEEEKIKQTAARPDVYELLARSLAPSIYEMEDVKKGILLQLFGGTNKTFEKGGNPR YRGDINVLLCGDPSTSKSQLLKYVHKIAPRGIYTSGKGSSAVGLTAYVTRDPESKQLV LESGALVLSDGGVCCIDEFDKMNDATRSVLHEVMEQQTVSIAKAGIITTLNARTSILA SANPIGSKYNPNLSVPQNIDLPPTLLSRFDLVYLVLDRVDEQNDRRLAKHMVGMYLED APETGSSEEILPIEFLTSYITYAKTRISPKLTPAAGAALTDAYVAMRKLGDDIRAAER RITATTRQLESMIRLSEAHARMRLSEEVTADDVEEAVRLIRSALKQAATDARTGLIDM SLLTEGTTARERRLRDDMKKAILAIVDELGGRGTGARWADVLRKLNEGTVPVEGAEFM EAVKSLETEGLVNVVGEGARRTIRRVLGTI TRV_01451 MEDPSDAAIGSIDVDLDTQEILLAASQHDVAKLRELIRSNEKDE NPANAKDPETGYTPLHAAIAACEPEKTNVTNGDTGEHTTSEQETIEGGVKTVKFLLLE GAIWNDLDANDETPGCMARRLGLTELYQLMVDAGVRAELLLNRLEGYEQLEDEDEETE TTEEKEAEDDAAEGEKPAEAADATAGEAVVQDPSVSNEHYLNSGLTFQENRLLDGDKN GVMMAWETGIMEKSAKALLPTPGLRVLNVGHGMGIVDGILQTLQPSAHHIIEAHPAVV ADMKSKGWHEKEGVTVHEGRWQDILPKLAAEGVMFDAIYYDTFAESYSDFRDFASEHV IALLEPEGRWSFFNGMGADRQISYDVYQKVVEMDLMEAGFDIDWTDIAVPSLEGEWEG VRRKYWVVDNYRLPLCRYSD TRV_01452 MADPRETWQKLQTAIQQQGRRGFGAGGPPGGKAIGGIGALIALG LGGYVLSNSLFNVDGGHRAIKYTRIGGVKKEIYNEGTKDLQMVNITCRVLSRPRVEAL PQIYRTLGTDFDERVLPSIVNEVLKSVVAQFNASQLITQRESVARLVRENLARRAARF NIMLDDVSLTHLAFSPEFTAAVEAKQVAQQEAQRAAFIVDKARQEKQATVVRAQGEAR SAQLIGDAIKKSKSYVELRKIENARNIAHILQEAGGKNKMYLDSEGLGLNVTAHGDSS D TRV_01453 MAPERSGKSVFLGNIPYTSRADGFDILDLTEEQVKDILSSAGTV TKFRLMINPETGKPKGYGFADFADADAAASAIRNLNDYEVMGRKIRVDWPHNNEKDSV PPDYSQQAQPGVSSSAGQDGQSSQAPLLPALPPGADLPPNLTCPNAISQTLSSLPAPQ LLDVLSQMKSLVMADPARATELLRQAPQLAYAIFQALLLMNLVDYNTLGSVVDQASQA QAAQQAPPQMQQKYQPYPPAPGQVATPPLHATPFAPPPQAAPQQQAPPPVAQVPGQEE LLQQVLNMPQAAIDALPPTERNQIMVLRQQLLQGGMR TRV_01454 MKLSRKRGKYTILPGERPKPGLDRSLPPICKIEDIFEDLTAQAL KNGFDSFLKHIGSRELRVATMCSGTESPLLALEMIQSSLRQITGKTFRLHHLFSAEID AFKQSYIQRNFSPEIIFRDVNELVAEEATTAFGSVKKVPTDPDLLVVGFSCVDFSALN FYRKTLEEMGESGHTFYGVIRYMQRCRPALVVLENVCSAPWEQIKTILQEINYNGYHM KIDSKNYYLPQTRERGYMICIDQRRLVTEPVGEAKSKKLSAFAQLMKKLERPASSPVT QFLLSQDDIRLQDAVNDISANSNKDRQAVDWTRYKARHLGYRMREGLGDKRPLTKWQE NGTCQMPDFYWHGWSRTQTERVWDTLDVNFLRTIARGYDINSKSRVIDLSQGLDRELD QRASGVAGCLTPRGQHFITSRGGPLLRIEALALQGIPIDRLLIGNDGQRDLHDLAGNA MTSTVVGAAIITALTLGYQALPLPTTPCDHDDSELIFQQRSIAPNHTMVPLLANIADD NGILTGELYQLSLRTARLCYCDGHTGTRSDIILTCVACGHTACSKCGKNPPHCYIPVE TDELKLRLPPIEFETQVKTRLPMCLQISGLSVDMFQDLRESDMPPDVNDAWDTFINSI KHSLSDVLKFQGVMRQSGWTITYEGTNSFLKLTCTSIGLQWLLYVIPSKSEPSNSPLR QMLSRPIAKMTPRGSSLFDGTWLIGSPISSQFDLLVAGGGDLVDSLPARSGLQHPSFR NLKTWSILHIDAKTEEMRNLEIDIRGAYELLPDCGAASGSLRKRLNSPGSPVYLFLDP TEIGPHQLDSWVFSLEHERLGFGQARNTIAEVRPNWSPLYLSHGQDIVPCWYRKWRES ASVALSVYRISPPPQFSIPGPSEVLASCSCTCDGSYSTIIQCSVPAGLSDLEIVPGMV KKENLLESASMLKKFGWVLQRAIGITQFEDWETVQISFPDFKLDCSTCAPEKPRLAWA LDEKDRVYAYENPEDAASFERSIKSRPAPFLGFTTLDESSILHLQICLNVLSLLHRAA GNLGVKDNVTLQWRLCIDTTGFIPRRLLKLLEKNNKLDAPHTQPPNFRRHYLRPEQLR SLQWMCNQESDHAPVFVEEEVVEALLPIINWRAEGKASTEKMVLGGILGDEVGYGKTA ISLGLIDVQYEKDGATVPASVNGRIPIKATMILVPSHLFDQWKREIHKFLGNTYRILE VKTNFSHGSTTIRDFEEADIVLVSTSVLKGTAYYTMMECFAAAPELPKGEGRIFVEWL HDALGSLSEQVDRLLTSGALSVLKYIEDRKEGLRQGNGLSKYKPSRRLKGQKFQEHLQ KMRQAAGITDHETTVKDTADGNVDSIDSAVELGKRKREASPVGQVMEIQQEPKKPKKA AQTRKDGGNISVEIFHLCQSSTDWRKVRSPLLHMFEFNRVIIDEFTYSKDRNYAAALA ISSRKKWILSGTPPLNNFADVKSFSPFLGINLGIDEDDGRKVENERLRTIQRERTEVE QFQPLVTRRSTVWHERRHQIAQGFLDQFMRKNIPDIDDIPWTEHIIGVSLSAAERAVY LELFMQLMSQNLRLRKHGRGLYDSEEIARLDEIIGNSSSPEEALCKRCSLFVYNDPLS SSDSASPEETNEMKLVDDNPLIHTRRKQISSLAADIMSRVRRGLWLRGQLDEGTTHFD RLLESIEQDRFGDLLVTAGIKMLLKRAKAISDSNDGSMFYLATLEKKKNPDDPRPEFP KNQTELISDLNYCTDSLRRLVYETIAHTRALRLYHAVQCFQDPPPSSKFICYSCSSER EDPRSLSILGECGHSACESCIERCQLHERCLLEGCSGTVHEYRIIKWTDLCHPEWHTQ TDKLAKYGSSKFTAVIELLEDTEKVPADDQVLLFIQFPELMDAASKALEAASIPHTVI QPGDRTPTSKISEFQNGKESVKSKVLILNLGDVTASGLNLQNANHIIFFGPLVARSQY DYDSGMAQAIGRSRRYGQLKHVHIYHVLALRRVEVNIFEQRRKQYLAKRGDRFITVQK SEIEETDKIDWKGFPLEGSNAESYQDDFDDK TRV_01455 MVAEYGGFLMFFLILRNSKKKKKKWRCCWISILRTLIGWDKKRR PCFFDIVHHQLQRRPQASSSSTEQQRGEPLSASAPSSGLLRVVLIFVCWDGFQNTPLH PPAGRPPVSPTVLFAAMSNIHNRIAPHERCSPRRKCLICDPNFFSPLDWESHRNTPTN NPTIPFKFSQENLTVIDEILKRYPPQYKKAAVMPLLDLGQRQHGYTSISVMNEVARML EMPPMRVYEVATFYTMYNREPVGKYFVQICTTTPCQLGGCGSDKIVKAITEHLGVSSH GATTPDGIFTVLEVECLGACVNAPMVQINDDYYEDLTPESTIQLLDALKASAVAAENG TQPSVKVPPPGPLSGRHTCENSAGLTSLTEPLWGNETLRKDGEL TRV_01456 MSSYEVNTVELSLQGTPTKTVTLAPERATIVRELADVPIKAGPN KIIISGFDNRVDFDSLRVTGHGPATITDIQTSVVVRDEDLDDLYPDDDSTGEEIDSED PDDTFGVDRSELDSVIAEIKEISAKLAYLDNDKVSSTQSLAFLDSYGRSMHAKDLEAA QVAEYIDVYQKKRADLRETYEKAEVQIAEFNEKKEKLERKKERLEERFEAEKDRAAKP SRKERKAKLKEKELKEKKKREARADISTFWNIYTGRVTVHIEGHGDGTLPEDRRHSAS SVKKPDINDDITLSLTYVTSVARWTPRYDLMLSTPTSSGSLVYRAEYYNRSCETWKDT NVILSTSQTTFSGVDEHIPTLLPWNVALRKHEVMELEVATDTMPSKKMSNWTGALRAS AEGVEAKQHRKLKSMNDNAPVGYPPPWPTNSSTQPLPAAPPPVARGLFGSAVPFGANE TERQSLTVHQAQGQQMMQQVHAHSAPRYQLFGGSANNDQRTSAFGFGNAAASHPGGAN NDEDEDFDDDDDQVDDDASTIQGSSNVLAFRQSSRHDYGLTTTYNVPGSRTLQPSSHV RRHIIAEIELSSMTFSHVIIPKLKPAAFLKARVTNTSTTPLLRGKAGLTVDDAFLGKA TIPNCNPGVPFNLNLGVDPAIQVIYSKPKVRRATTGYFNKEDCAIFTRVCRINNTKSS PVSLVVLDQIPVSEDERIRVRLIEPKGLDKGDSAKIGADVSIDPKRAKDWGKGTVHIN KDGEVKWVITLQKTVDIRLVLEYEAKIPSGLEVVGL TRV_01457 MPEKIDHQIDRKVTRIFPVHSFSECSPCQDILEKWAAHVDKGGP EVTALLEAAKAIRTSDIPVAFPTETVYGLGADATRSGAVQGIYKAKQRPSDNPLIVHV SSRDQLERLLVDPKQSNGAIKCHSTSPIPKIYEPLIERFWPGPLSIILPNPSHLPLAP EVTANLPTFAARMPSSPLARLLIALADRPVAAPSANASTKPSPTTAQHVFTDLQGRIE MILDGGPCGVGVESTVVDGLSSPPAILRPGGVGIDELRTCPGWEDVQIGYKDGALQGK TAPRAPGMKYKHYSPKARVVLFEPGQNPASVMNRIIADINKQPEWANESAAIGVVRTK TWKKGLGISHVSLSNGESCEATTPAIGSILDIPIECDLINASSHRINMLDINLGQDTS SIARGLFSALRSLDERNVPVIYVEGISDTEGDLAAAVMNRLRKAAEEEVKVSH TRV_01458 MKQRYSSLDVKRTFLFKLALPDIKKQLIINAGFHCHLTESSRTT ADAPSHLVSRLRKLLKTRRITGVRQIGTDRIIEFEISDGLFRLYLEFFAAGNLILTDA KYGIVALLRQVAPGSDIEEVKIGMTYRLESKLNYNGIPPLTIERLKSALEQDNVSKAL KRSLYFGFPEYPPTLLDHAFNVVGFDSKLQPAQILTDNNLVQKLMEVLQEADRVNTAL SSDTQQAGYIIAKNVAPAASDVGGGTQTAPVTEFRDFHPFEPSQSKEAPNTTILRFEN FNSAVDRYFSSIEARKLESRLTEKEDAARKKLESTKREHEKRVNALKEKQEFHVRKAR AIETNLPQVEEAMNAVNGLVAQGMDWVEIARLIEMEQGKGNPVAQSIKLPLKLYENTI TVLLNEEGTEDDEEEEEDESEEEEEDDDDDGYGDDEYERPSQKKHSAKPLKEKKGKKD TRLSIDIDLGISPWANARQYYDEKKIAAVKEEKTLKASTKAIKSTERKVKADLKMALK QEKPVLRRTRNPTWFEKFFFFISSDGYLVIGGRDHQQDEILFQRYMKKGDIYVHTDLD GGVPLIVKNKPDAPDDPIPPNTISQASAYTVASSKAWDTKAAMGGWWVHASQVSKMTS TGDILKAGHFMIKGEKNHIPPGQIVLGFAVLFQISNRSVQNHTKSQLSAPEGGVTNEE PISSTADMDQPEANQSDQEEDVPLEQEDEHQVESEDAKKDISDERVAPLGEQMQSIHV DDSLDSSAAQVTEADKDEASQAENQPVEGPSKNAEETEDSGESDDESRLATPSATQES RASTPLVISSSGTQKSKPPVRGKRGKAKKLATKYKDQDEEDRKLALRLPGSAAGPSTP TTKPKTKADIEAEREAQKERRRAQHERALQAVKRQQEAFTRNSVEDASGEEHKLDFSI LPALVGTPVDGDEIEAAIPVCAPWAALGQYKYRAKLQPGKIKKGKAVKDILGKWIHDA TVLQSRSSGKKPAARTGDPDETKETSEKTDEKAEDANELLSMELDCIKAWRDVEVMNT LPVGGFTIVSVAGAAGSSASVGPKNTENKGKGKGKKPGKGGKKK TRV_01459 MLAPLSLFSVNAVLIMATDDCSRIFAKYYSAPHPPAGAAADSTD YPGANPYPAVKDQKSFEKGLMEKTNKQSSDIILYDNRVVVFKFEGDVMLYVVGSAEEN EVMLYNVFVTLRDSLSILLGGSTDKRTIIENYDLVSLAIDEIIDDGIILETDPVQVAS RVSKAPAQDAPNMKNIDLSEQGLLNAWEFGKRRLAEQLRQGL TRV_01460 MEARQTMWDGLPGLEDYISARHPSSFYKRRHHHGGRRPIATSWI QPTNTPTLVDICHVLDPGPPPPPPLDTPSWRRSYTTEPFVFVDLNEGGRRTVPLSFQQ PLAPQFNSTPRYMNGHVPGWGETSASEHMSVDEDSEEYVDEVDAEDEVSSTEEMEGTE TVMGGHQESGLGGLFENVGESAGEKEDDADQSLHETVKRLKEEVKELKGMMRCEKLEF ERLRGAVAEMMEYLAKEMHVHWENKWEQGPRTHNFSGRVHTGQTRQPSPDNPTPSSSR VAIEEYNTAWKAVFDTKDTSNGQHSTIPWPTSSLKSSPLSRNSQPSRGLMKHRRLPKE ISEDIFQLRKWNAFCFFVQAFGLYPTYVHADSIRRETLAPEEPREGIVFDIRIRGASR AKLNALKAQMVQEKLRWHPDRLRRYAGGFRGDEEEAAKAVLSAVLDSSRACNRCLELA GRGH TRV_01461 MASKKPSSPTPTGRRTPSTDAQTSPGGPRRVSSQSPGATTNGVG RSPSTKGSPGPVSARAAAARRAGLGRSNLSMSSVPRNYPHNNEDEEARAANTALIEDL KEQVQKAETVADQYRKQLGVLQMRLDEAVSEQTRLEEQAHEKDGQINPLRDEIKELHR QIRDLEQKHETERESMMADKENQAKREEELEASIQRLKETIAQKDLRMGVDGERNLSR SHIENGQFAPSSSQLQRSPSRNNSKLILQKDKLIESLRLELAEAQIKIVEMENLGGGR QQELERELLEARMANARLMEDNESYQLLLSERTLNGDFTKGDFMHDAHPPANANGTGG SSLADELDSVGEGGEDNEKRKLESELKSYKDQNKALSLYIERIIGRLLQHEGFEHILD KSDSDNTGPKGGNKDKELPPPPPAEKNEEPGQQQSFLQRAKSVVSGQPARSNNRQRPP SQLTQSTSTHSPPQSSPREPPTPSAHENPDTAPRIPLGRSRTVQHRRTRSDQLDNSGA AAGTASVISQMYRGPPSGRSPSTGPISPGISPTLSNNGPFFAPGAASKRSSVATAPGG TGTSISTRSADRRFSGAASLTSDRSGDVGSMEGGAASASPPRSGPGMNNYTGAVMQQN KLRPLRLVQENQEMDADPLTDEAARKKANRGSWAGWFNRGSFTMSDSSNSRPSS TRV_01462 MSAPPTKLNPHDVISSYFIGPKAENLDSFRINIKTILDELRDSR HQYFENDEVFITEDVTKSEQYQQIVLNFNKAVQKASQILGKRSVPFWSPRYEGHMCTD LTTPGLLGYFMTMIYNPNNVAVEASPLTTLIELEVGKQLAEMFGYNIDEKKRASPFPR NLKFYPLTLSWAMKEGSLWFIADKFKVTPCVGNEKLFKDLTPWELLNLSSHTILGLAD RLHEQFGITSKYLEGALNPFSIQTVGKDRLEAYFGINKPMKYFHAKTRHYSWPKGGAI AGLGSGNMHGIKLDLDGHISLEDLEIELNRCLREQQAVFAVVAIMGSTEEGAADPLRA ILDMRADFQRRGLSFLVHADAAWGGYFSTMIPKDAKAPQMPGSGSGAGGKEVIEIVPS LPLKESTLTNMIALKDADSITVDPHKAGYIPYPAGSLVYRDGRMRFLVTWTSPYLSQG SSENIGVYGVEGSKPGAAAMATWFSNTTIGLDRNGYGRLLGEAAFTSARLSAHYAAMH YEEETDPVKKKKHYICIPFNRLPMEHAGYGSLSPEVNARRKHIQENILEKTNEELMKS KDDMKYLRELGSDLNINAFALNWYREDGTLNDDLEEANYFMKRIVHRLSITSSAGNPR EIPLYLTSTQFSEELYGECAQNFMKRLHLAPAAEDLFVLRNVVMSPFPTHKKFICSLM EVFEKVIEEEVKNVWERNKRGKYQGVFLMRGTDEIFLDYHTSFHQATRRQQIILAAKL DGNEMKEYVELKKNQSGEIAFQSEDPFDLEGFVEEVKNGKNPTLKGILGVRQKDKIMK SIDCTIKATRIIKSRPLNSANRDHSYPQHNMPFYLYGTKEQHHISHVLLKAPNICLSA SNVKLDTELAKVVHDNICQGLVLTLCDYREVTMQPCPDKNEVIKKDAHFFFRPGKEFE VKVFRDPNAPIAFGPGLLANLDTPIARGKMTLDQDCHVDVESLNNDPLDKQTLPYNPL NDLKELEKVLTIATAEENPQPDAPRIAQLSATAVTQFTAAETSADSTNTANVSGTPGT PGTPESDESSTFSIPRPMA TRV_01463 MLERGNADHAIQRRLAVVTSHERVIKEEEDDDDNHDDEESGRSR QRRVTLEVGGWIMPVWATKLGFRACLEINPLINYFGVCVRLSPRNGKEKLLSLRFSAA SRILKPPPEPLPVLSFAGSARYTRSEPIRWRAVQQDVIATARTRWVEPFEMLPLSCAP ADCTWKKEKDIRISRGYAPEFAVTRDTEWWKSDPKIRIFDLGRKKANVDDFPLCVHMV SNEYEQLSAEALEAARICANKYLVKIAGKEGFHLRVRAHPFHVVRINKMLSCAGADRL QTGMRGAFGKPNGSVARVNIGQILLSVRTRDAHRATAVEALRRSMYKFPGRQKIIVSK NWGFTPLRREEYVRLRQEGRVKIDGAYVQFLRNKGPVETNMRRFPDAYENVSQEA TRV_01464 MAFRLPDQTPQRQHPYRGSGRASTTPPNVPQPHARADEEDSQEW VLFSPVEAQSTATHSHTASTERTPRTAGLSRLSDFGSLETAAQSSGPLYSEGNDGETE DQERQLQDTGLQEDDVTELDSLDDGLQAFRDPQLDQSGGPAMLPTHDGLGTFSPSGVN VQEQLWQHEQFNPRRIGETRQRSSSSVRRHLDNANESQPMGIGMERERWQRIEQWRME QSKVLLQEIEKETRRRRQRKQRAQSKGSDTMHPLRSIVSEDTTSKTDASLTASQAALD ATVDATPSDETDSDESFWQRITRRVINGLIGIDDSLLAVIFGESLVSDTTDSDTNSTS PQDQPGSSFAGNRYLRATTLATDSSVNMGETIPNIMSSSTRDGWWQERLLERIARELG ILVHQIYEHPGAFTAYMRTNIDPSTEYAGMPISQPTATPTVSAMTSRRESHSSHENTT SVPYPTFHPTMQDAATSRHAALWGIEEDVPAPRDAEPSHILSESERRERDREYWEREL NIKMVFRYLRNRFAATATGDASSTQDNSDTIELTTPQHRAQRQRQHDPIRAAIIRQHH PLVARAEANSRFRQQSSRIYRHQHHHHHHMRGTISPSCASQSQSTRKSVSTKRTGSSR HYWDIGGSAGSSSAPLAVGGGMGSWGDI TRV_01465 MTYTSTTTLADIWAGLDISQKRSIQDQLNQILTDLKSLSHPTGT PSGGVCGEGRKDIRRHLRRSTNPILTIEDFKSFLFLSLRTSIFIEFINRLRQRYTAPQ QLEIRSAFTHGDLRPDNITIEPSNDYQFQVTGLLDWEYSGFYPEYYELIRSTTNGLSF STDDDWYLFLSDSISPERYGMWWLLDYA TRV_01466 MISRYTYRTYRGLSGVGRPGCCLSRHLVLRGRRTATTTSVPATF DEETDVLVIGSGAGGLTAALRAQSHGLRALVVEKDEAIGGTSAYSGGAMWIPNTHLSQ AAGVKDSKEDALKYLEATIGDVGPVSSRERKVAFLDNGPRLVEFLEKAGFQWRLGKGG CPDYFPDIPGGSMFGRTLEPKIFNMKKLGSWRSLVRKRPGPPIAAYVTESNCALRSGA SWSDFNALLKILLRQALLTLLGQAPSAMGQALVSQLLYLHKQAGTSIQRKTALVDLIM DGSTVVGATVKLEGGQKTIRASRGVLLAAGGFAHNKKLREKWGPSPASVEWTSAPPGD TGDAITAAMKVGAGTALLDDAWWGPTVVCPVNGMNVFALTERARPFSIIVDSSGSRFM NEAEPYTDAGHHQYERHQSVNAIPAWLIVDHSFRKRYNIGGLLPLQPDPKNGLESGHL FKADTIQDLARQIDVDAAGLEKTLSRFNKMARAGVDEDFHRGVSAFDRYFGDPKIGPN PSLGPIEKPPFYAARIYPGDLGTKGGLLTDEFARVLRDSDGKPIERLYAAGNTSASVM GRTYSGAGATIAPAMTFSFIAVDHMASRT TRV_01467 MGLNVSEAIWRWRCRTFGTGDKIKPRSQTRNTANAPEENVQGAD ALIKILYEAKDSREDNRRWVDYPPKQLSKSVSKAQDRAAIKVYKIVDPDKPVISGRPT LKYFKITVQSPLLVAALKDIVEKDEIYLEKTEAAEFTTPFAPLYFNADEIAALLKDAG KDTPFREHLELLLTLMDDMFAETRSRIQPLQASGLASFNLLWAYFPTGSPVYIWEGDC EFLGKVINTEIIKCNSRQIMRLRCKIMKFDGQDYVWDEYKGVICAFEGNIPITDLPFY PLNFHDDPEAVKSRLIKRARKSLDYQRLSYGSYTGVALYKSKDGMIKHNVDGRILIDV LGYNKHHEAQRNPENGVENPIKRKTRILPTDKDPETEKFMLHITGPNNASLTGGVKPR TTKHISIEDQERNKKGILGLGDEMMYMCPFLEGFALKNKEWLNFYVEDIEQVKWNDEA YDHLVYDEQQKDLILSFVEHHYSSMKMSDDVITGKGQGLNILLSGPPGTGKTLTAEAV ADRCRKPLFYLQAEDLGIQAAELGNNIKKVFELALEWNAVILLDEADVFMAERNPNDI HRNELVSIFLRELEYYRGVIFLTTNLYDTIDTAFRSRINLHLLFKSLSPSARLQVWTK FLSRLPPLPATAGRKEEKSALGDLNEEDLKELSMWQLNGREIKNAVKMVKSWCDQKGY DMTLSRMESGIKVSAPQATKRDFTKPMDLYD TRV_01468 MDTVYPKIEAGWASLVSSYSPTTIEFVGTSLVQLVAFWIPSAIY LSLDKWAPAFSQKHKIQPAPKQPTAKEIWHCFFYVLKNQLLSTSLHILLLTLVHKNII PPSYQVLPTLPPFAIVARDFVLSILMREALFYYAHRLLHYPYFYVRIHKRHHKFTAPV ALAAQYAHPLEQIGANVLPITLPPQILNSHIITFWLFMGYELFNTATVHSGYDFLSGK AKMHDLHHEKFNLNYGSIGFLDWFHGTDRLRSKEKKNN TRV_01469 MDSLDSNTGNGEDKAVSASKRARKRKRGNRNNDDENGIKKLRIS ANGVESEQTSRKMIQNSTVTHERNISNSTGHSKNGTANLKEKARVLLETRQKLPIFAH ADEIRAHLRRTDVMLLVGETGSGKSTQVPQFLIDEHWCRPKSVKIPSSGNKQTATVGG CIAITQPRRVAAVSLARRVAEEVGCPLGSSSPASKVGYAVRFDTSVSPSTRIKFMTDG MLLQEMLSDPWLTKYSAIVVDEVHERGINVDLLLGFLRDIATGCKEGRGGVPLKIVVM SATADMESLLSFFTDGYTQTSNAPLESMKEDDAGSNAQKANASRLSAKGKEIQRKPDQ ISVCHIKGRQFPVTTIYSPVPVPDFVDAALKTVFQIHYKEPLPGDILVFLTGQETVEN LEYLVNDYASTMDKELPKVLAVPLFAALPQVAQQRVFLPTPPRTRKVILATNIAETSV TVPGVRYVIDCGKAKTKQFRTRLGLDSLLVKPISKSAAIQRKGRAGREAPGQCYRLYP EKEYLALPETNTPEILRCDVSQAILTMKARGVDDIIGFPFLTPPPRDAIEKALLQLFN INALDETGKISPIGSRIAKLPLTAPLGRVLLAGAEKGPRCLRDVIDIISCLSVENIFL NTSSEEKKEQADLARRDLYRREGDHLTMLATVRAYAAENADRRAWADRHLVSHRAMQA VMSKLFGDTSFKDDDSTPLGNEDSVPILTSFLTGFATNTARLFPDGSYRTLVGNQTVA IHPSSVLFGRKVEAIMYNEFVFTTRSYARGVSAVQMNWIGEVLAGPS TRV_01470 MNGNKQTNNRLANAERTRYKFKQENVFRSSQQSQQSQSKSLATR KKPAVGQLPNFETLVFVEEIPRVPVNGITALRNSFIETMKPTTDLRYNLAWSFGGFLV DIPRRLGVNEALDSAVQVLVDSHQDFCAGLGVTSRVLVGYSRALRMLRSYLNDPVKAR TSETLCSVMVLLMCQRFIGITEGDFTGHCEGAAQLLRARRYYDPNDEFESKLILSLRG PVVFEGLLNQKIQFTPEEWKTLVENHLDGVTPEGRMMRGVAKVPGLLNRARKARKDGV TDENLLIDALAAYHMAKGAADELREKYKKAGLPPKGEFSFKDPIVMAHSFYQRSFGLS LPVVIILSCVVTGIDPGNAVVVDPSIYIDEILEIAGSAMIYRPLAASFVPMCLMMAWI STGDLARRKTIEAALKAYENDYAEGQAVVMTPKVEQISRMLRLVDLDV TRV_01471 MMASIYDLAKSGQLTKEHMANKEIIRDLNTIHPRTKLTPLGIAV WFSHVKVVKLLLNNGANPDGKDPTKVQNKDPSKDPSKDYDPVRPPLWVAAARSKKRVG TMTQLLLHAGADPNIVSTVDDNSSPLLAAVKTYKPPYLLNALVDRGANPDQENKHKET PREIAEARGDPDTIKALRKRKERSLGWLHWIGVIVSIVVGVVAWVNAFVVVAVVGAAG AATAAGPYVTHVIRRRFHMSGIFDEKVWPEKLKQPEPKEEFQKDAKDFIEENDLNKFF PSNDPFLQTVVDKATKLEANPDNTLDTKALTRLALFQPIMYCDDSGSMNEGNRVEHQI DIVERIASIATRIIPDDEGVKVRFINRNTEIMIYQRTLGELRQLMGQQAPYGPTELGT YLRSRILEPLVYQPLATNSLRRPVLVFIITDGCPQGEYPETLKQAILECGRRLEAAGY ERTGKEEELINS TRV_01472 MSALSNRGEITIGNPEAAQDLYGLGVRVGFYLQGLGMILYNYGP DEVEDTNTSATGDGQEPKNEYGKGLTIAATSIALAILASWFVFAARAKFSAAESVITL FMVFSVSLMAKSTLDNARAIVGELTGVVAILLTELGLCAALLWTFAVLVKRLPLLGTQ NLVFFFAPVRLDGWFRYLALVYFVIDAATSLSFAWKVLRIMVIVCECWINGRTEERTK RDVLKIENTLGWKKNEMTPYIHAMRWITWILMVLAVELTLHWNQLSPTTDLLVPGQLI PGIRSFKRHMTDLANKIKAAGQTQV TRV_01473 MSTTPSSLRLDPDLFDPSWVALPNDKGATGGLSFASTQIRNSWI VRLDFRQNSAPKGEKSHGTGFYVNIPGTKAHVILTAAHNLVDKQNKPSQDLQFYDPLQ CAIIKPGDPDIFVSPSYLSRPRTETDFGAIRVPTSTSVSRGFGFALKLAEESLRDKSL QICGFPSDCTRPEPSTSSGDCKRWNRSRLEYQIATAKGLSGAPVFMPFKGHDTVVGIH ETVLEQIFRWLGVSHEEKALRVFPSKDAPLEGLYLRFPPFEQHGWVRLGEQGLETTFD IFPAYAPTSNLTGKPTYVFRFRHPRGWPQSREKQRWVLWDYVRQVVTLTDKLEDCCFP YIVRIEPKKNSKKKPTNNSEMFRIVLERKDPENPADIGQLVEFRMANFLLKEEDIEME EMDTPEVGFMKHYINKPAKVCFKAAVQSLWISTNEGC TRV_01474 MDFKPQDFVHYLLAAAGAFPHDQPGISLISEEDGDLIEKLWTGT EIANQVFVASDVRKNTPGLYLLGEEERSILFVDKSDILQWYRYDPDEEEWSEVEYDNK GKLTVHQSSRLSGCLSPGGEIVIFEGPENGLQAYRVTDGEKFETLPPLPTDLEPGTPH QAVLLGDDTLHLLFPYPSSNHDEKIVSFIVIPQGDGSLELCALMSGGALVQVKANGQI TELGTVKQGRFTAHTSEECGIELMLGIKKGIKAVAHKMKK TRV_01475 MFFMCWGGLVFTSGWVTRTVSSFYPENRNFYISESILILCGPPI YSAAEYNILGRLMHYLPMHAPLNPGRLIYFFIYLGALVEGLTAAGAARLSAAGDDQRL QRSGGILVAVGSVLQAAVECIFIGMIAHLHNRCVRANMLTSKVRTVFIMLYGTSGLVL FRSIFRAIEKFSTLNVISTGQCDGVCDAVLRHEWYLYAFEAAPMVLYTYWLNIVHPGK YLPNKTTVYLGFDKEEYEGPGWTDKRSKWETFADPFDLKGAINGQKEHEKFWLLSQDG AHPKYHNELQA TRV_01372 RFSKLRVDKTEEIRREEEEKEIRRRREEEEEVLLLHGWIHAKSL PENPLGQAATRRSSRVASASKGRDETTREEPERSMSMPKRQANIMVAIPVKKGSLGAS ASTSTTSTSASSSTTGRSPAGYSTPATTATTTPNNETELSTRASRRVNATARALELRN SSLGLNSRKRPANAGSSRPNTIDDEKLAAALQAEEYNARPTAKKQKTARGSKAKPVIP DSESDAEPESRPESDSDSEEWFSTNEEAIKNTPKKWSRRTPKDYRAVSPSDSDLNSVV GMVTDSMDSSQLSDISSIADSEESDDLVPNGRYRRLERFIAASKPTRAQKERIKLERQ HPQITDMWEKLEQKPILSPPPAAQPEGINRKLKPFQLEGLSWMLAQEKSEWKGGLLGD EMGMGKTIQAVSLLMSDYPVGKPSLVVVPPVALMQWQAEIESYTDGKLKVFVYHNANS KVKDVKAKELKTYDVIMVSYSGLESMYRKETKGWKRDGGLVKGTSMLHSLDFHRLILD EAHNIKQRTTSVAKACFALKSTYKWCLSGTPVQNRIGEFFSLLRFLEIKPFACYFCKT CPCEALHWTQDAQKKCTLCNHNGFNHVSVFNQEILNPITEHRGDDEKRKDALKKLRLL TDRIMLRRVKRDHTSSMELPPKRIEIHREFFGEIEQDFSRSIMTNTTRQFDRYVSRGV MLNNYANIFGLIMQMRQVANHPDLILKKHAEGGQNILVCCICDEPAEEPIRSRCKHEF CRQCAKEYMASVQYGSEPDCPRCHLPLSIDFEQPDIEQDEGGVKKNSIINRIKMENWT SSTKIEMLVFDLCQLRNKKRTNKSIVFSQFTSMLQLVEWRLHRAGISTVMLDGSMSPV QRQRSIDYFMKNVDTEVFLVSLKAGGVALNLTEASRVFIVDPWWNPAAEWQSADRCHR IGQRRPCVITRLCIEDSVESRMVLLQEKKANMINGTINKDQSEALERLTPEDMQFLFR GN TRV_01373 MAAPGTEQTNGNTTNGTNANSRQRRASESHLPSANHTGPAGGYD VTPMPPAPSGWTLKFTFHRAENLPIGDLSTMASDPYINAILETGLPTRHPKQDPIFSF RTPTVRRTINPEWNCEWIVANVPSSGFELKCRIYDEDLADSDDRLGSAYVEVRDTISE SWPGIKQQGFKIRKRMGSQRAYLLRTIASTFSKHVDNEGMLYMSIECLGRTPGNEGAH LYTVGPNHWTKHFSPLIGRLAGTKDKVKSKDGKPSVSRYNFQAVQIQLTGPVPTPLYH RYVEFRPFVAGMFTAKSLRGRLLNHALHHQHERIYTYDHSTVYGVFPEPCIDLTKLFL EFVQYGQGGRIFTYVITLDGQWRFTETGKEFGIDLLSKHTMHSDVSIYIAYSGEFFVR KISGDKSSSHDHHRHRRSTSAETEASESEHEPSTDPAHYELIIDNDSGTYRPNGRLLH LLQEFLTHNLPGLKISTLDSQADATRMAKLKGKRREIKNKSSHRMTYLQKSSSSLSLS SLSSSDEEDLNERENGINLNNNATKTKGFMNGLKNPKGRYKRWLEADYEHTTGRKEER PSTAHN TRV_01374 MSSDSLFDSSMADAALSKTPKPFYFFPWATSPDIIRAHEKDSYI TSTLSTQAQSIIRTLRGQRYAHAHSDAIKHAIEVLYLSLTTLLGNRTLGEEYCDVVQL EDDTLQLPSLGRRAGYIVSSILVPSILQRLLPSFRRKLRTKLERSIARKQARLELEKG NTKKRKEVGFSLRAQRYILEHLNSITSLSPFFAVNLAAFYFSGAYYHLSKRVWGLRYV FTKRIGDSEERIGYEVLGVLLVLQIAVQAIVHAKEVIESIQLEEAGQASATDSAAKVA GTPGLKSISMPDGMALLAPEEARYDMSVEKDALAMSWIPAGPQRKCTLCLDPFKDPSV STCGHVFCWTCIRDWVQEKPECPLCRQEAIASKILPLRG TRV_01375 MESGTLESPKLDGFSLVLPLPYRVAVILVAGVWGWGLNVQYLTA VKIDVPALIRYPSRPNTAGTVTVHRSTYHLATLLSIPLAISLLAFWFITYGDPARVIA WEVLPQSYLFLFIVLLAFPLHRLSRGGRYRFLTTLKRVSLGGLAESQDGKFGDIILAD VLTSYSKVIGDLFVSSCMFISSDASSTSIPDRACGGHIAVPLLIAVPSIIRLRQCLIE FSRVYRRGNRRVDGWGGQHLANAAKYATALPVIALTMLQRNYDASVIGVSEETLHKLW YVHFYSTLPLTQLLTSSRILSAVINSSYTFYWDIDKDWDLCLFSDIVGQFRPTSTLRD ENPHPFGLRANRFFHANAIYYSAIVIDLVLRFTWLSRLTTRLNWVNDLESGVFALMFL EVIRRWLWIFLRVETEWVRNIRGPAPDDILLGEFTKMDED TRV_01376 MYPIHSAQMTFSSFMAKRWTRRRLHLLIALILTTIVYLSLCSFD PIIPLKAALPYSRYGHRFRHGSHGGRPTNPYVLQAARKDDNTVEMVIASKKSENVTWL HDYLPDWKKNIYVVDNSSADFTVPQDKGREAMVFLTWATLFPEKLLYIHDSNQASRYI IDRYDSLPGNIFFHHAQRFQWHNDDPNYDALNLLQQFRLDYLKEEGYTNLRCDWALGC PSAIRPWVDAIVFLPNEHISSKHVYKKSFEQLFPDQSVPETVGVACCSQFAVRREIIQ QRPKSEYIRYRKWLLDTELSDQLSGRVFEYSWHSMSYSQHVLRSNLKLCANMESLSYV WQESYTLSQCQYLLLQNVRTMPYDLPV TRV_01377 MAGITTAAEAVARVAYLSSDVVLSVQPTKETDSVFSGTLSRLAQ QQVKNVLSDAVPEQGQTVSVTTTSTVLLAAIPHLYRLANYSIVIHVHLEPSQFADYSV ISSIRQCGFSFLHSETLQEAHDIGLTAHALALTSGKGVIHFFDLRISEKDQPVVAEDA AFVKDILEISPVRATHTPVDVPQTIYADSGRVAIVTDQGFGGPVINPADASASADTSL PIPSPKSAAPSVDPSSVGSSRRGSILDSHVPSSSATTAETITTRPVDAADIFQIVSII WDAFSARVGRNYHAIEYSGPQNAKLALFIFGSTGVFLDTLNNPAHKDEFKDVGIITAR LYRPWIGSQILRAIPDSVERIAVLEQVRKTTRWGPSFLDLLSSVSQPPSSTRPTPTLV GYRLGYIESATASQAVRGVIQNLTLEAPVQNLDIGTETIPQPDTQLQQPSHENAYTKI LKQLFSDRLYIANQLGSQNAGISATIAASPEYGFGSLLARKERRVNLKKDVEEAVKAN GFANETSKQLLSKWALNESNSAKVNQIAPDVIDALTADGSGPAEDLLQSKELFFKESQ WLIGSDAWAYDLGNSGVHHVLASNENVNMLIIDSQPYSQRTAADPTRRKKDIGLYAMN FGHAYVASVAVYSSYTQVLQAIAEAEQFNGPSVVVAYLPYHKESDSPLTVLQETKKAV EAGYWPLYRWNPENAEKGLPNFSLDSERIRRELEEFLRRDNQLTQLMSREPKFAASLS ESYGSEVRALKKRAAKKAYDQLMEGLLGAPLTILFASDNGNGENLAKRLGNRGKARGL KTMVMAMDDYPIEDLSTEENIVLITSTAGQGELPQNGRGFWETVKNAGDLDLSAIKYS VFGLGDSHYWPRKEDKLYYNKPAKDLDARLAFLGGKKLADIGLGDDQDPDGYQTGYSE WEPKIWKALGVDNVEGLPDEPAPLTNEDIKIQSNYLRGTIAEGLRDESTGALAESDLQ LTKFHGTYMQDDRDLREERKAQGLEPKYSFMIRCRLAGGVATPSQWLQMDEISSAQGN ETMKLTTRQTFQFHGVVKGKLRAAMQAINRALMSTIAACGDVNRNVMCSSLPELSTYH SEVHAVSKLISDHLLPATTAYHEIWLKDENDNKVQVAGDAVVDHEPLYGPTYLPRKFK ITIAIPPHNDTDVYAHDIGLIAIKGADGHLEGFNVLVGGGMGVTHNNKKTYPRTGSML GYVPAKQAHIVCEKIMLVQRDHGDRKNRKHARMKYTVDDMGVDVFKGKVEALLPEDLR FAEPRPFKFDSNVDTFGWIKDEKGLNHFTCFIENGRVEDTSDFLMRTGLREIAKLNKG EFRLTGNQHVIISNIKDEDLPQIKALMAKYKLDNTSFSGLRLSSSACVAFPTCGLAMA ESERYLPILITKVESYLEENGLSRESIVMRMTGCPNGCARPWLAEVAFVGKAYGAYNM YLGGGYHGQRLNKLYRSSIKEAEILDIMKGLLKRYALERQTDGDQPERFGDWCIRAGI IKETTEGKNFHEGVAEDEE TRV_01378 MLLNKLIGIYGLLSILTGFELSPVQLSMYIYSMLALGLTIFLTP YIRKQAPLQCLALAWFYLIDSVVNAAYTAGFAVTWFQMISGGGGPGGSTMKDSAGFTA GGPPHGRGRRYSVSFDTEDMPLATTRGKSGGSALEEGMLQPESLPSLAIICVLWVVRL YFVFVMMAFARQCLKLHAFYRRSEQQQHQHQRNGSTATTSTTDYPETNPNPFMPDCPD GQGWKGKLGRAMVSVGKGYWLDRNEDDDWMFGVNRRFNSSYRMVPTESSGPVERERRR RSGTGPPIPAPGVLQAVVVPLTPSTQPQNHPHSLPQSPATANFRPGTVPPLKMYGQSQ DEREF TRV_01379 MHYKLQGRPQADGETVGKTSLITRFMYDSFDNTYQATIGIDFLS KLWDTAGQERFRSLIPSYIQNSNVAVVVYDISNAKSFQNTRKWVDDVRDERGNDVIIA LVGNKTDLNDKREVTTEQGEEEAKKNGLMFIETSAKLGHNVKNLFKKIAQALPGMEGE TPNSDNQSTLSSCLMQ TRV_01380 MLKRTRREEEEEEEEEEEEEEEAEIYYFSFCCYGEVSFCCLVSL TRV_01381 MLFNGEGEAFNVGLFLETVSPYAFANIGIGLGIFVTGSSIIGGG VRAPRIRTKNLISIIFCEVVAIYGVIMSIVFSSKLSYVSEESLYSGSNLYTGYALFWG GLIVGSCNLICGIAVGINGSSAALADAADSSLFVKILVIEIFSSILGLFGLILGLLVA GKANEFK TRV_01382 MSDQRFRAAILIVSDTAARDPSTDAVGGILTDVFSQHDRWEKPT IAIVPDSILEIQRHISRWSDGNDHFNLIITSGGTGFAVRDNTPEVGCSPDFYRTSNMK KIDAV TRV_01383 MSRPVAGVRHKSIIITVPGSPKGAKECVEAVIKILPHACAQAAG ADSRTLHAGGIQKLEKEAGIASHTAVSSKPDGGHHHCPHHGHGHGHAIPKAHTTAAER PSSNDPATGPTRRYRTSPYPMISVDEALKLISEHTPDPTVIEVPVDISLVGSVIAEDV FAAEAVPAYRASIVDGYAVIAPTSPGEGVSTKGQFPVASVSHAQAASMPPPLTPGNIA RITTGAPLPENANAVAMVEDTVLVSTTPDGKEEAVVEILTADIKVGENIREPGSDVKL GSKILQKGDLITDVGGEIGLLASTGTKTVKVYKKPCIGVLSTGDELVEHSDPIKLTGG QIRDSNRPSLISCLTSWGFPTVDLGIAKDTSGHLEELLRDALRGASPSNPSGVDVIIT TGGVSMGELDLLKPTIERQLGGTIHFGRVSMKPGKPTTFATLPFKPSSPDSNQREKRV IFSLPGNPASALVTLNLFVLPSLHKTMGLAQRGLPVVKASLTHSIPKDPKRTEYHRAV VGSSEEDGRLLATSTGITGVGQRSSRVGSMAKANALLVLEPGSGSIEKGQLVEALMMG PLI TRV_01384 MAAPTHTYGPTCLLYEEEGNAVIKGGPDEVIPPPPTVRAQFFYL SSLPIDDPLTPITAPTTNSNTQLPTRPFSSRDSIALEEAWQALRADFERENTSDSRAS GSRESTLRLRGIPPAVERSRRGSDGKLIKNSKTLPASRLRGEGRENRRSSLGVREIFV DGSVAAGKESISNVPKPRNAELPDFEKSKQRRRTLGSYETCEKLQKCQNSPVQPIPGT PTRSGTPLQNGDDGRMRTMADTNVTGSPFIRAPVRDRSDSAASSAWGNEDILEQMRRP FNGDNNSTTQLKAALELDHEVKPKLRETPSPKPDSRPSTTGSEVVDAGVTVTVGATRL HLVELPKLQMKPIYWSPVNDISNVIRATWFYKNTMLPVETDLANHLEDGYMELKPWTQ TWQDELDSCIENGAEAEEKIIYKLWPDEVPKKADKAGRESPGIMSVGSAHLSQHATHL DFSVNRAAGGVEGQVDTVRQFKSSSVIYVNAKEAQILRPSLLPSVARGRRPLASVRKG RQIGIPVVRGFSPQLWDKLHPSKFVSTNARNFMKMREMAAGPSASRRQICYACQMEDK RQDVSDLVLVIHGIGQKLSERVESFHFTHAINAFRKDVNAELSSDAVWPHMRQGQESI MVLPVNWRTTLSLEGAEESISAAEDPHANNFSLKDITPDTIPGVRNLISDVMLDIPYY LSHHKQKMVHAVVREANRIYRLWCRNNPGFQSRGRVHIIAHSLGTVMAVDILSHQPTV LPSIDFSSTEISEAIFEFDTKNLFLCGSPVGFFLLLNKSVLLPRKGRNKPGMDHGEDA GRGIAGQAGKYGCLAVDNIYNILNDTDPIAYRLNAAVDADLANSLKPKIIPSSSTSLL QSITSVFRWGTTYTTGATVGSTAHGAAATISKLPSNIELETHDFTREEIAETRMALLN DNGQIDFYLNVGGGPLNIQYLNMLSAHSSYWTLQDFVRFIVVEIGRVPGRDGALLALR AEKKKGWKMAK TRV_01385 MMLGSSSGSHPQHKQRPNMEHSQHQRDGTRDFLPGLGHQQRSNP SSHTHSSSLPNLESNGHQNHTLDTQGCPPCCSSRDAFRQLTRDILHLTVQSTKEAGIP VNSLDPKKYNPSEAMEVPLAYDSGASEYHESSGRQSVWPGSPENDSRIQREPSPPKAY SYPADASQYGRRLPQSKSDGNVKSFDYGYRDNSDMASYFQGWESPAKVPPVEKWENGG RNHDQSRDQKHGQRQDQRYYEANRALRGRGRSRSPTKLEDLDEESALDLYPQQKRSKS PHKKLFGENGWLGRSPDINDVPAEKRKPGLRALGEKIKQRVEDITGSGSAPFQPKMLT RSTCPISLDPPTQAKLYSEMELMICVTANQFLVSQYQAGYMSAESVNKVTNFWVSKGR PPVVQFQFDQATQRDLIIYNLRTFKFHGECAYNTVVLNATLYNWKAMAKEMSIRTFCY PDSVIRKHMHDTHKILEMLGGPLVTFLAFQELQMGALAAMKKAQEKQLKEADDKHVTQ GAFHQLSFGKRTA TRV_01386 MREEAYDIVIVGAGPFGLFLSLCLSRWGYNVKHIDNREVPTKTG RADGIQSRSIEILRNLGLKRQLMAYTPARLYNVAFWDPDPNSEGIRRTSNWPSCPPTI GARYPFSLIIHQGIIEKAFLGEISKCGTTVERPSTIAGFVHDREDPVYPISVTIKSLD TNVERTVRTKYLIGAEGARSFVRNQLGIKVQRKGPISAVWAVVDGVVRTDFPDIRNKC TIHSHSGSVMVIPREDNLVRLYTQISSPEDADWDPKQTATIQQAQDSIKKALKPYNIS WDSVDWLPPSQSDLNAIDITSNQSPHQPKAGQGMNAGFHDALNLAWKIHAVEAGFADR SILESYEAERRYIALQLLDFDAKYAKLFSQRLSSKDPNLPESKEFTKLHKAAAEFTSG YGIIYPPNAFNWHPDHRAKSPLFISEGKGLKPGRCFPPATVTRLVDSNPVHLEQEIPL NGSFRILIFAGSLDKSRTALADFCQHMEKPSSFYSFFATPEHSPDPYFEKHNPHSRFF TLAAVFMEEKDNVDISTLPRLLKAYNHHIHADDLVHKRDTRPMGSVHRKLGFDTGRPG VVVIRPDGHVSCIVMLEEGSGTVDALNKYFGTFTSKVASGLTISRL TRV_01387 MKFLEVEERQISCGNAGSAGITAGPMAFDESKGFDGKGQKIIEF DCRGLEFTEFKADGKWEATGTESGTKFSDISLEENEWYDFDEKQGEEVNITENHPKRK LKVAKVEARKEKKANSKTTTSSSSSSSSSRKKRKIERWLTGYTLLAVAGCDYGLVKGR SA TRV_01388 MFTLLEDEDEEEDEEREDEDVDARELLRRSHSASISSSRTSSSD TWWLSASSSSTNRDVNGIAGSASGCL TRV_01389 MDGQRNARQPAQADFNNCLLTGLVDLSTSFRGCWFNSVVFLIHL PELTALIRILVFAHLFSSVSSSSSSSSCLFVFFVSLFFLSRAEKKPRAT TRV_01390 MSVHTFEERYGHSHIRRHSSVLSEKTPPLSPRRKPLRSQSTRVS NGTINTDLSVSSGQASQLSQGTSVTVPPSYSKKFVVVGDGGCGKTCLLISYSQGYFPE KYVPTVFENYISHTIHKPSGKGVELALWDTAGQEEYDRLRPLSYPETDLLFVCFAIDC PVSLENVMDKWYPEVLHFCPTTPLILVGLKSDLRSNRTCIDFLKAQGLTPVTPQQGQT VAERMGATYVECSSKQMIGVDEVFELAVNTVIDIEESAWTSKDSSRGKGGKKVKKRSC KIL TRV_01391 MVVMVVVRLVRDKQRTSYTSRRKRGQEEEEGKRREGVEEDGRRR IFLSQVASPQLSLMLSLLWFFSPPPGAGWPVVYPASADCCHGNALPRPSPTSAAVPLN HRLQVPGRLGQGSSFTLIGHGALLRRLSSWWWWWWWSWLALLSWLLLYEYHQGDLDGR GAQQAIGGRCRQTVLSISQSAMAFLFLTRLTRLSLSSSSSLSSLVRACGVQVLPVFLG SKESALPCQARTKPQRGCDQLRLSNEQHLLTTYCLYLLAVSDRQPDRQLQLVADARWL LLQSLAAS TRV_01392 MAADTEKEKEKTKIDAGLASLNHYQRKLPAWRYKLRQRTLPLVR WETPYLAWLQERIRTPSLDSWFAITANLGTHTFYMVMLPVLFWTGHTGIGRAVVHLLA AGVFFSGFLKDLLCLPRPLSPPLQRITMSGSAALEYGFPSTHSTNAVSIVVYALHALR SQSDLAPLASTLLQTGLYVYVTSIVIGRLYCGMHGFLDVVVGSMLGALLGFVQCVYGP KFDEYLFTGSIKEVLVIIAVIIALVRVHPEPADSCPCFDDSVAFAGVFAGVELGNWHF ASTKYSLSVPTPATVPFDLQRMGWLKTVLRIIIGVACVVVWREVMKPTLLRILPPLFR VIERLGLSLPRRFFKRATEYTRIPGQLKYDDVMPNVSDIPSMLTSIRHPRRRAVSIGP QSEADAYEAIAYRQERRRASAASENGRPPTPLRENVKSPTLRPTTRSKRTASNVSDTQ SPSRSLHDYENMMGSGAQIYEEGNGQSVDALANGNGKVTNNDEDDEKDMFLRITPPRV RYDVEVVTKLIVYSGIGLVAVDGSPILFHLLGLD TRV_01393 MIAAAAHFISSSPFSRALLSPFDSPPPLQPLFFLCFCCCFVFCA GVLVVLLMRLLDELSFFHRVQQICQQVYHSVVSFVFLPSIPRIPTIPGRQSLSFSNSR LLAWRLPRGGIRIFQASSRSPPASISSIPPIPPSTSTSTPVSSSASGSPSGGRGDSCL HLSKHPNIFFPLFFFVLPTTTTSPRPLRSLQLQRLLTILAFITFKSALPSAPFVYLCS AKSIRSVLSTSPASKLKVLTSCLLSVYHLAKLSTPFFTLLSSSKTSVTVFFTLSTACT RSIRPSSLSRCPSITPRDSCLPLTKTLFYHAYQDYQVYSTSKSSLFYASHVRLLPKLP YNPLSNLYQIHHSHSTTITMASQSEQMEWEPTTPIRTLLPGQVLTLQRELLVARAGRR RTMGLEYPATSADHRPALTRRPLERSSPKRQWARILAGVDVRFGEAPPAAPENGPVTQ PCLPLNKDSPPITPRKRVIEEVDEPEQYEDRFSDQTGQEAPSSVPNVIDSPQDVSMVD VFTPPHQSEETAPSQPRPNWFELQNRNRDGMAGSSLEASLQFGSSSHGAVDVNSLLSQ IPGSWPVSPPRPLAAPVDLPVQPSPEVKDALPSPNSRAREFLMVGGIESAGPERSTVV PRPADVPMTFLQPESRLLKFAKMLNGLLWLDSTNQQEYYFVSEENSHRFMDIPDLSGY PLTLCY TRV_01394 MEQRRARQLQEKRMKRKKTASRAVHELQSSITAELYVPEAKPVP VPSAAPAPARLDQRGVSRRHRSKTAKSSIEKIMPHKPGSAIAPGRVTKQRLVRPAKDT FRGSIIEYRGYRPDASFEERRRRVEKLNLDFVLKSRPAQVLLPEPQQQPESPVAPVTS ELSETSEPPPPASASVPSTTTGPCITTEPSIPEGVTPTDLSRKDESYDGYTPRPAGPR TRRRVHWHEASGAPLGQPVVDIRVYDPSAPVRPAAGVEDSQQPAHTTIGDKSPEGPFI KPISSKWDSRLTADMSLPDYRQVGTTISGDPLTRKDFATCYTPLAWLNDEVINAYLAI ILDYARRASGSSGRHREPKYHAFNSFFYSSLRDRGYESVRRWASRAKIGGPALLGVEV VLIPIHNQAHWTLMVVKPKARTIEYFDSLGGASRAHISRVKEWLQGELCDLFVEEEWR VLPTNSPQQDNGSDCGVFLLTTAKLVVLGLPLSYGARDIPTIRKRIVAEILNGGFEGD FDPKVEFPVRPRL TRV_01395 MRGLCTVPLTGFFCSTLLLGLATASTPASSWDKLQQRDLNHNNP SDPCDCYVVSGEDPGYFKHHRFYDFRNRGLELAADKEKHQQQQRRNITSRRLPGTGRG GIPGHIPGSVVDFDSKGRDNEAGGAPDPNADSNEFHPTLAGSRFIEDWDVQNWNREGS ALFPIPIRNSHHNVFIVRNSTERGDETTHMVLRTVRMENHTSTAEVQTHDTDIFHVSL RVRLRLYANSGWFYFPKEKGGNISTVEDDAHYFLPTSYWIKGPPVGACAGVFTYYGKN DESDIEILTGDPSNLVRYANQPDWDPKTDESIPGASDEVEIAIPWTHWGDHRIDWFQD ISRWYFNGEQLVSKTYGVPKHPSMLILNLWSDGGEWTGNMTVGSSVYMGVEWVEMVYN KTSDVEGAHKKHIRAGLESETEGDEHDKHEDSEGAQPRANKVPSLQPARHGRPRPKKK QVGKGKIGGRPKNKGTGKGKGKHKGKKPKTKPKPNDEDSQEEKCKVVCRVDGVRTQGV PEVI TRV_01396 MTTITTERSPVLLRATSMTGGSPRLSLKREISPPSASDPQLLHI HERLNQLDAKVFDLRSSALTKESYVDRRNKEDEFLRREFDHQRAITASIEAVVGKTRS DVSHLKSLKTDLSCLGAEIFQLRTSVNHISSKDGFLHSDITQIRSHLDQLQHDVQRMH SDVCSTRIDVSGVQASVSQLKIDLMTMQRDTRQQFKHMDRIRFNSLAITAHAPITPVP VIGDDGSIKFPSYFPRTVWNFWCLKKMNRAHRLVELAEFYQLEGYQYWSRMASPHEST FAADGYMSDDSDSSELPSDITRSEAARLYPEACHQALAATLGLVYYKIRNEMGEGRHS HLMPAHAAAPKRTQEEIVSPQSSPRRRKVARRSQDIASPTGSALPHKIITNFMTAPAG DAKSIVSEGLDKLVWNVEQTQISEETMSKFSDFVRDEPNALLLLQALERGRIKLQPSH MERARVSPTETSSKRSFRSAKRGPHESGLGEAKSDDFPSEMNTVPTEIMSPHSASRSE AEQEDEDEAPPSSSPPEAKE TRV_01397 MKAHNPRRFYLITYPRTGSNLLVRMLGLDNQPDFVSGDDRGGYI FLPTIKLMTDLGLRSKSMADWTPNEIQQVQQSYQECFDEFQEYLEAASLQRRSVIIKE HVHFLVKPTALSELVFGANNTPHDIPWTLQIPESYGLEPKQSFLNQTVLPDEFLKTWS PAFLIRHPALAFPSLYRALWELETPANDEEADSLGEHCMTLRWTRALYDWYSRNLTAT ESYIDGRITWPIILDADDIMTDPDVVVRFAEIMVQGKTAGSIDIETEAKKWCLEFGYR AGKRIERLVREAMPDYKFLKSRRLTPRPQAQETLPVVELF TRV_01398 MAKDRSPSRFYLLTCPRTASNLLMKILALEGQSNVAYREDGGYF FSPLHLLRYDLNCVGTHVEELTLEQKEKQIACIKSCYNTLVQHVEDAESNGKLVIVKE HTHYLTDPVAETEFLHGEGCVTNELPWVMHGMDDLVQSTDGRSTHNKTVFSDDFLKTW RPIFLIRHPALAFPSWYRAAVRADGEEKARSTQGKLACKKVMTLRWTRRLYDFYMQES NNTSATGRNLGEGRDKGMWPLVLDADDIMTQPEVIIRFCNIVGLDSDKLKFNWEKDEQ QRRPGIMAFRSTIDSSTKIDPSKAAGDVDIDQEAAKWREEFGEEIGRLVEEYVRAAMP DYTYLKSKKLVA TRV_01399 MTAVAKKRLQALSQQLVEGIPDAGEFENIPRIREVAQDSLGPRI KDKVVIITGTNSPIGIGRATAHQYARHGAKAIYICDFADSHLDVHKREIESLYPGVNV HTRQFDAGDEEEVKKVVDDVIKTYGRLDIMFANAGIVGAPKLFTEVSGEEFMQTMDTN AKGPFLATKYASIAMRKTSPAKPYPAGSIILTASVAGMRSNAGSTDYSASKAAVISLA QTCSFQLSGTGIRVNAICPGVVETGMTKAMYDHARSRGTEKKIGQLNPLMRGAVSDEI ARVALFLGSDESSYVNGQAWAVCGGLSAGHPFVPGKLA TRV_01400 MDKEIQLAELPLTQLCAICRTCSLACSKRHKLWSQCSGIRDPAE YLKRNELATPAAFDKDFNFLTGIERSLERAEVDAEARGIDLVPNSDPDGGKAKQRSDM ARGELPLQRGMENSGVRIVKAPKGMTRNKLNTSHWHRKRRCLSWAVEWIFPDGQKTIT KCLENTSVGTALTRVPLVKELGFASRLNDEKITEPPAKKRKVSIEKIVRDGAIDPVEA STVTSCTLEGSVSVEENGDPAPDDVSSSKTLSPGPALPSANESEPPLTPLPVALTSTA PPAPEDNPLTNNDGSSTQLHIYLHRPQTCAKVPVLIPVTDQSLALGAVLRDRTVLEFP TFYVLEHSPDQISGEKYLLEEQYVTQYKDDIDPDGSGEEGEIDEGEESEEAKRLAELN ALKVMEVLRRDLEENVASYFE TRV_01401 MKPVQKTPKTLTHAYMVCGVGREPSQWVKAPPPSQGKIGHMKGA VGQFWLPEILGSSPRLEQDNEIAKSLHAAMRACFPHDVEICTGKNQPHCVHHSFVLQQ DSSHTLYGIALKVWSRADEKRAETIRELRKKTEVNFYDNAEEIYWIPYCLSFLSRYPL YNLLGDYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSS PTGYQNFAMWPLFSCLSIPNIVGVIEAAVSPTRRIIFVSHYPAILTVATETIRYCVRV YEWSGLYNPVVHARHVKELVEEPGPYILGVTTECRDLFTAPTDALVIDLDRNFVLTSS PPNILTQGQRNKMITRLTQALNGEVTPTGPPPHLRSAYGGGKLVPAGQIIVMRGEVES VQDPQWWNQDAVMAVMDHVCEKLGRNTGLKAVFGGSVKKPLMTKVSMRHLNEIVRERN QYSRDALEAWQDFINLKGRMDTELTKVTKRNNFLVEELETWKQQFLKFQKFAEQLTKE TSELKVKIETHKRESRRLTSLIDQQKDDIIRLTLRLSGTEKQRDDALEALVLQQEIAE ELERERKRNEKEIAALQHTNSNLLRQRDDAQRVVIHLRSLINGQAHHMEHIVRSIDSS PELSRYIEEGHDMSAEDTAKGDEEPSKPQDSANKTASSRKSSSRLSNRSATPALSDDA PTNADNRMLSVDKRRRSIRLSMSDVADRHLRDKTDAIADIIRTISDQCAAAVEGLQLA QADFDNSELSKHNSSQGGIDLDRPNGSEADDNERANLSPDGRQSTIPPTPDLVPNRSS TSMSMASSSTFQERSSQQYGPNEIPTKIVEHDDEHAHETAQEEENVNSMGKTPSGPNY IRPGTSTLLS TRV_01402 MNWILDLFTMAREEHTTLLANRGLDGLSPDQTAALERFDFPLLR IHYRYFPPVITIAFMSLIATVCSFAIITYRLVFWRRFSATYLGYNQYVILIYNLIIAD MQTAGGAIMTLHWYRVGHIAGSSNTCIIQGWLFQEGVPTSGLFVLAIAIHTFITVVLG RKLKYRTFVCCVVGIWMFALFLTVLPIIMAGKHVYVNSGAWCWITANREDLRLGTHYI WVFISQFGSVTIYIILFFYLRHKVAISASLTQRSQDKLSRLRRVVRLMILYPIAYVFL SLPIAASRMATAAGAKPSFTYYIIAAMIMSASGLVHTIIYAVTRRALILNSEVTQHKG GYGPSSANQESNSPRDLENTACTTLVSSDGPVSPSSPAGMYKRMYSRINGTSSPASNQ QYEMNGVYQQTTISVTTTTVSPPTRGGSPPTRGGKGIHHL TRV_01403 MRQAPPGQGQSLALSSSSSTPDELTAAVAAPGAAAATATAGSGP AAHTPAIHSPSDRAASASAPPAAGASGISAATRNPPSSTPHSRDVSSARGRAGDATSA TGFGLASSLQPHPQLRNQSHSKSPEPSSERERSVGVASDSTEGLERRPSNSYGHHRQA SIVHGNIQHSRGPSFANSQVTSSPLSPEMISAAGLTPSLNESKLNGGSRENGDYSSSN FVPSAGGVGNILQASPLSLIQDTVRQDGLERQHSHRRAVTTGKLRRENSHSKTRSGHT NQEAKTVGEYALHHLFNSFVGQAEEKINKCIMSLGEFEAPVEQVCGPGADPTFDQLIA ALGHIAKQKPKPLIDTIMVWRKSKGDAAATAKQGWVQVCAIPKSRHDMAAANVAIKPK PPPQISATIIRRNTEPPQPGVDAPDAGSPPPSIPIINRQEDILMTERRATVSVYLVCR VLIEIFNQSSINAITQEMASRLEDIVFGQLKAVDPEQIAASPLRMANWRIYGQLLGIM SENNFSSVSNQYLTELAQYQREEGRIPATKEAETRAELLIYGMRHLRLRVYPDERWAK TCDFLQSLARLFSDAHGQRLKQAYCQILETFLLPIAANPGCDLSSPKWKEFLEILVPR LSQVLIKPRHWNSAFPLHILLLCVSPRDTFLSQWMPTVNALSPKLKERPTRAAALQAI CRLLWTYLYRYADPLSNPLRKTEEVIRIVLPGGRRTYLTTEPTVAEPITQLVRMIGFK HPEMCFRSIIFPLVNSDLFASGKDLKIEHMEPEKMVIGIRSFLAIMADIENGGGTPPP FPQEFAITEQSPISPFAPQASIPEPQYTTPSTPKSQPSPIPFNPSKLNDSTRKYYLRF CEILGKITILCDNTFGGQAALDEKFGGVTPKTPITEHFTLGRRDDHASTPDQKQGFYD LLHVAVQALPRCPSDHIPFNSLINLLCTGTAHVQSNIAASSAESLKSIARQSYAQHVA IGFARFIFNFDARYSTMSDEGMLGPGHIESTLQLYVQLIQIWIEEIRQKTKDATFDLA EKAGTGARGLQLDLSGVLAHVEEIESHGLFFLCSQSRIVRAFAITVLRLVTEFDTALG KSNTRIIRIMEGDSRRVLDLKDESLTVAERSRLQKGRQENTAHNTLIELCSSEVSYDS TLWAKVFPNLIRISFDTCPFAVTLGREIVCARLVHMHKQITHIAEGPPPIQISSPDHI PTRPIILRNGTSPEVMIEQWKLYLIMACTTLNSAGAQSQSQLANAQHARKASKSGQQS QDKINSARSLFAFVIPLLSAPHDSIRSAIVVALGSINIALYRTLLESLQYAVTTCKEE AKVRIGTHLRTPSTPRRNRRTDLLRTEVTNVYKATSHFLKEPEVSNDDWILNNMVTYT RDLRIFLSDVEVQNDLEFQNLRFHFCGLLEQLFDGIKRSKEPTRWMPFESRKSAFSLM EDWCGYSPNQSQIAAREENMRKLTIARQRENGEVRSTAAMEIEKRNLRTAALSAMASL CGGPIRISTESGAILQFDIRRMLSWIDIILNTESDKLHTIGRRALKNLIVHNKTLPYL LEQCIEMCYLSERPKALESYFEVFSQVVVEESDYPVAFWRILGAVLFTLGNSKREIRM KSARLLRTIEEREQKNSRLQDFDISISDRTTAVYKLAQFETSKRLAQQHADLAFIIFS EFSLHFKNIQPDTQRNMVAAILPWIQAIELQLDPNGGPTSRSYMLLSNLFEITIRSGT VLPNEVQALWQALATGPHAGNVQLVLDFIINLCLERREQNFVDYAKQIVVFLASTPAG SKVIEFFLLQVVPKNMVHERREPLPAPSDLSSLPYVADLGTILPVGNKQVGLSLGQVS MIFLVDLMVAPVSIGFESVIKLIHVALIFWDHYTLTVREQAREMLVHLIHELVASKLE NEVEGAQRQSIEDFVECIRQSDSTVVWEYEDNNGKDEKAAGTRVLSSMHHVAHSVARI FTAVYEGVNDHWSREALNWATSCPVRHLACRSFQVFRCISTSLDSRMLADMLARLSNT IAEEETDYQTFSMEILTTLKVIISSLAPQDLLRYPQLFWTTCACLNTIHEREFMESLA MLEKYLDKIDLSDEGVAAKLLESKPPKWEGEFCGIQDLVYKGLKSSESLHETLRMLRR LAVLPNTGLIGDGNRLLFAVWANLPELLNEYDPSKPSTNIEIHATLLASIADKQGYSQ LSNSLLALSRTQYRTSDEFLAVIVNSISMYFFPGQDVQSLVFLMGLLTNNTSWFRVSL MRILSSVISEIDMRRPDISCHVPDLISPLLRLLHTNLCPQALGVLDHIMTVAGNPMER HHLRMSMASSASSRAIRKEFEGIQSLYGIPEPNGWSIPIPAVQSNLTRNNVHAVFYTC AEEDGLGGQSTTSPDLEFHVDDYGDSYFPAFRADTMKSVDTGNETNMGDLLQKLDSLD DFFEETEVNPSVPSITGASLHSFTTNDFPDASTHLYDQHTAPLLRKSLARTASSSSFH NGFADSRLPLTRTDSNSVGTPSIHPSPLSTTSSSSNQPRRGSLHTRSITSPSNYYPPQ PGNNNGNPGTPEPGYIGRSFLSDDDIEDALSDSEDRLTLQYPSSSTSRAAIIGNSNPE GGSFSLDTMIRSGVRRLTGGNNAGRERDRQRELLRAQQRAIVQSATSPRVPKVPPEYL TGGANNPTSPNQ TRV_01404 MAQVDTLDMLVLAVILVGTIAYFTKGKYWAVVKDPYASSYAATN SAKQGQTRNIVEKLEETGKNCVIFYGSQTGTAEDYASRLAKEGSQRFGLKTMVADLED YDYACLDKFPEDKVAFFVLATYGEGEPTDNAVEFFQFITGDDASFEDGGSPEDQPLSK MRYVAFGLGNNTYEHCNAMVRIVDKALTKCGAKRIGDAGEGDDGAGTMEEDYLAWKEP MWKALAEEMGLEEREAIYEPTFSVIEEADLNTESENVYLGEPNASHLKSRGQGPYNAH NPFLSPIVESRELFSVKDRNCLHMEFDIKGSQLNYQTGDHIAIWPTNAGQEVDRFLKV FGLEEKRHTVIRVKPIDVTAKVPFPQPTTYDSVVRYYIETCGPVSRQFISQLAAFAPD EETKKKMIRIGEDKDVFSDKVSAFYFNIAQALQSFTDKPFTAVPFSLLIEGIPKIQPR YYSISSSSIVQKEKISITAVVESLRVPGAGHVVKGVTTNYLLALKQKQHGEPNPDPFG LTYAISGPRNKYDGFHVPVHVRHSNFKLPSDPSKPIIMVGPGTGVAPFRGFIQERVHQ AEKGETVGPTILFYGCRKSTEDFLYKEEFEEVSKKLGDSFKLITAFSRETSQKVYVQH RLKEHAELVSDLLSKKANFYVCGDAANMAREVNVVLGQILAEQRGLKPEKGEELVKHM RNTGSYQEDVWS TRV_01405 MELQQSLRSLYPGLFCPLFRHFLAVLPAEMHLLCGVHFDDTVTL RLLPSDLTALQPPASFLLLFFSSPSQSSSSSSPSSASASSSSTASPSTSTSQAFPLSA IPGLPAFLRLALPLLSTDVRIAFFSPFAPFFFLPVVSFIFFSSSLHLLSSSSASRVIT SIKFSVASLRRSYLRGFSCYSPALVPQTTAVFTVGTVLFASLIPLCVPRSVFLPPPES IIFAVKQLLSHMYPHRNFNADMKAVGGFSGYPAVYKPGRRQAYPQARTYWRPAMQVKS QAEATAPQCQGTGYMQENQPHHPYVGQQTYGPPAGVANNPSNVNGSVLAHPFNKMSLQ SQPNGSKPFPVKGGMPMLNVDMPGMQHYGQYMMAPNGPMFGGYPPAPQFGQFPMRATD QGSSLQCIPPNFYPGFPTNPPFTPDCVSGYPWPYYPNGDFPNHGNIPFDPRTSVDEVN TGSPNMGLSGPPQDYYSGAAPVDRSSAPGYTYNNPTPQQLLPYQMMKTGSGYVLQDLD ALLKQEPPIPRAVPAMWTNPSDLTLAKCLENREGITNVYIRGFLPETTDEMLHNYASR FGKIDRCKAIIDLETGLCKGYSLISSVSVTHLLIVL TRV_01406 MKRLGFETREIAEKVVQQFHNVVGKDGVKLLLRFADTKAQKQLK HQSNERRAYRAGEYNYSVELVNSATPSPSLHRLQQAASHFSPTSQNSYPSPIGSVGQV WTPATSISPPYEFSTPLLQLDIY TRV_01407 MTDPNGTSQANGADKSLTETALHGAKQLSKETTDILEPPTLPPL STVTRNLHNQITAFLAEDHDPSSLLYRVQQQTRISLDVIRESLTRYPIHELSISYNGG KDCLVLLLLFLASLHRHCASTSPSCSSSIASNQSHSSNSPPSHPTYIPAIYAQPKHPF PSVEQFVTQSSQAYHLKLTRHSTDPPHSTIRSTFAEYLSSNPQVRAIFVGTRRTDPHG SKLTHFDRTDHGWPDFMRIHPIIDWHYVEIWAFIKHLGIDYCPLYDQGYTSLGGTNDT HPNPKLKVEGTVENGGLNNHVVTYKPAYELIEDEEERLGRD TRV_01408 MGEFHSIRRLFRELTNAYRLRTYSGESRSVRPVTIKQLNDATQA FPEAPFKIDNADINQVCFVGQVRNVNKLATHITYKLDDGTGETDVKYFIPPEEKEAFD ELEAMDVMAMDGAGSNAGPAAVTNKSGRPRAHQITTNGYAKVFANVKTFNDRRQVNAV LIRPITNINEYHVHFLEATAVHLYFTKGPPPKAGGASGTGAGTGGGAMDMGGSNLSLP RISPMARKLYNALSNSRQTNEGMHVNVLAPIMQVNVNEVYKAAEELLGLSVIYHTVDE DTWKILDC TRV_01409 MPQRAASPAQSEAEFDLTKSLFAADDDSDTELPSKKQKQKKKPE THAQSLDMMAELEGEEDDDGDATFIATEQSLMNRKASALKGRTVKKGGGFQAMGLNPT LLKAITRKGFSVPTPIQRKTIPLLLDDQDVVGMARTGSGKTAAFVIPMIEKLRNHSTK VGARGLILSPSRELALQTLKVVKELGRGTDLKCVLLVGGDSLEEQFGYMASNPDIIIA TPGRFLHLKVEMNLDLSSIRYAVFDEADRLFEMGFAAQLTEILHALPTSRQTLLFSAT LPKSLVEFARAGLQEPTLIRLDADSKISPDLQSAFFTVKSADKEGALLHILHDLIKIP TGETEAGKLAKKEAQEGKQGTSKKRKRADMKGPGAHGTPTEHSTIVFVATKHHVEYIA NLLRHSGFAVSYAYGSLNQTARKIQVQNLRAGISNILVVTDVAARGIDIPVLANVINY DFPSQPKIYIHRVGRTARAGQKGWSYSLVRDSDAPYLIDLQLFLGRRLLMGRTATENA NYAEDIVVGSLPRKSLESHCEYVDKLLSDDVDIEGLRSVSIRGEKLYMRTRNSASAES AKRAKDIVAADAWVTIHPLFNDESSRLEVEREQMLARVGGYKPQETVFEVMGKRGGKS GNEEAVEVMRKIRSVMERKKIRRELASQNLPLQNSKEEEIADDAGENLGDMSDEDIDA SIQDDPDMSLAEKSDLEVTFSYPKQSKSRSNVENGNQSNTFQNPEYFMSYTPTSISLT EDRGYGVHSGSNSNTNFVEASRSATMDLNGDEGARGLGGAGSIKRWDKRHKKYVLRQN DEDGSKGEKLVRGESGAKIAASFRSGRFDSWKKGKRLGRMPRVGEMENSNLGSTGGIP GRKYRHNKEQAPKAADKYRGDYEKMKKKGEAMQKRREDAGIPTYGGKKNVKSELKNSE DVRKARKQLEKRREKNARPSKKGKGR TRV_01410 MAYKPRSPTDSSSFSSPGPSGSWPASYQPSDQGLASFKLPPILG RSSDIPPPKEVAQPRRFPSLPWLETEQRHHPTASDRIVSTSDSSNPLSPYRRGSVLSN STHVSPTSPVSLPPLRTTGLQKAPADQLQTPIEDSQKEEDNRPAKRLRMELGEMVND TRV_01411 MASLNVQDEIKPPATIESRDVEKSMPPEAEKPDGAPIVVSDKLA RQLSARQVQMIAIAGTIGTGLFLGTGKSLATGGPASMLISYSIVGAIVFITMLSLGEM ATFIPIAGSFCTYAGRFVDDAFGFALTWNYWFNDAVSTAAYLVALQLLLKYWTDSFPG WAFSLIFLFVLVGLNIVSVRAYGEMEYILSLLKVVTIVVSGASLYTNWPILAKNTDLV QLQIFIILGIAVNCGGNVDHQYIGGSFFLKGDAPFVGGIGGFASVFVTASFAYGGTES IAITAGETKDPTRNMPRVIRNVFWRILIFYILAILMIGLNVPYDYPELTTKDTRTSPF TIVFQQAGSRIAGSFINAVIVTSVLSAGNHALFAGTRLLYTLAVNGHGPAIFGKLNRW KTPWIAVIGTSAISGLCFGASYIGAGQLWTWLQNIVGVSNQISWMAIGYSSLRFRQAV RMQNLEHLLPFKNWTYPWGPILSIGLNGLLILVQGWSSFSPKFKPVDFVSFYIQLPVI LCMFLTWKFVVKKTKFVKLEEMDLLTDRYEEARVAGAADLGASSAVEVADGPNNKWQN KLKRAGQWVFL TRV_01412 MAKDHSSRNGKPSTKPTRQNPRILKRKRNEDDIASLKQRVAELD TKAVIESFTDLPLSEPTRLGLSASHFKELTDIQSRAIPHALQGRDILGAAKTGSGKTL AFLVPVLENLYRKQWTEYDGLGALIISPTRELAIQIFEVLRKIGRYHTFSAGLVIGGK SLQEEQERLGRMNILVCTPGRMLQHMDQTAAFDTGNIQMLVLDEADRIMDMGFQSTVD AIVEHLPKERQTMLFSATQTKKVSDLARLSLQDPEYISVHQTASSATPSTLQQHYVVT PLPDKLDTLWSFIRSSLKSKIIVFFSSSKEVRFVYEAFRQMQPGIPLLHLHGRQKQGA RLDITHKFSASKNSCLFATDVVARGLDFPAVDWVIQLDCPEDSDTYIHRVGRTARYER NGRAVLFLDPSEEIGMLSRLEQKKIPIEKINIRANKQQSIKHQLQNMCFKDPQLKYLG QKAFTSYVKSIHIQKDKEVFDVKALPLEEYAASLGLPGAPRIKFIKGEDTKSLKNAPR RLQVVSSDEDDDEDEIAEGKKKKVKEPEVRTKYDRMFERKNQDVFTEHYTKLIYDEEA GDKDGGKANDATNGDDDFLAVKRRYEVEDNEELDGQSHNDSGIQVKEAAGGVRAVHID GRAPLLIDSNRREKLLQSKKKLLKYKNPGKKLVYDDDGNAHEIYELENEEDFKKRGDA AEQRAKFLELETERARLADIRDKEIAKQKKREKKEQRRAKARAEREAAAEDGDGPVAY LAPYEGAEGEGGDVMEDEREENETRPPSKKQKKARDDHHDTSDRKEKKKGTKTTFDEP AEMQTLEDLEAFASGLLG TRV_01413 MAADDDLDALDSLNSLEKEASEFTKDAEIDRIRKAFQLDAYAVL DLQPGVPDSDIKVQYRKKSLLIHPDKTSNPAAPDAFDRLKKAQTALLDEKQRAHLDEC IADARRLLIREHKYTLDSPELKTEAFKEEWRKKTVFVLLEEEARRRRQLKGRMQEEGR EKKREEEELEARKRKRDEEKAWEESRDTRIGSWRDWQKGRKGGDKEGKKKKKMKVLG TRV_01414 MPSPAQNIPPRATDIEGQLSGTSPLARTVSGSHSLAGSYRRTGF FTMGGARGTVVPHAHEADSLTDAERERAREEERTLLEDNAISCAASKTKRGSGQGPST EPTETTSLLGNGQDASANAGDASADAIIDQKWDDAVMAGLIKTTWSREAKVLVQYSAP LIFSFLLQYSLTIASIFTVGHLGKVELAAVSLASMTANISGYAVYQGLATSLDTLCAQ AYGSGNKKLVGLQTQRMVFFLWTMTIPIGIFWFFAGHVLKAIVPNQEVAELAALYLKV AILGAPGYALFEASKRYVQAQGLFSASLYVLLIGAPANAFMNWFFVWKLEMGFVGAPV AVIVSDNLLPILLFIYVYFFAGMECWNGFTYRAFQNWGPMVRLALPGFLMMEAEVLAF EILTLAAAYLGTTTLAAQSVLATIAGIMFQIPFPLSIAGSTRIANFIGASLPDAARVA AKVNMSAAIIVGIINVTLLSTLRFYIPALFTSDEDVIELIAAVLPLCASFQLFDAFAT NCNGVLRGLGRQSIGGYTQLFCYYVIALPIGMATAFGLHWNLWGLWGGVAIGLFLVGL IEGIFLTQTSWEHAVTGAQKRNEEV TRV_01415 MAERKDLEVKKGTEKKQLSELLQETQSRDPGRTQHLLSPTCVHE EPKLFPAAAAAAALPVVVFFLLVDIKIKIKKKYSMVLRSRDVLFFEAKIKNCEVKDDG DDDDDIKSRRGGKQEERTESEQKEIMIKTMSHAPEVDVEDEPSGNPFGRLSNPFRIKP VNVTKDRDDCMLIYITYVAGRQVASKKRVVFTQPIFGALLLENSASDARDHCANERTF LAWLRLSMYLSIVSSAIVLSFHLRAQPTALERNYALPLGILFWVLSIGTIISGVANYI HTLAKYSRRAALVQSGWKTQVIFCIVAIVIIGTCVLFLSTNRR TRV_01416 MSTTAGVTEAITTANVATLFPDVDTQFGGASSARVGGELDGYNE DQVKLMDEMCIVVDTDDKPIASGSKKTCHLMTNIGRGLLHRAFSVFLFDSQNRLLLQQ RATEKITFPDLWTNTCCSHPLAIPSEMEASGAGLSQAVTGARTAARRKLDQELGIKEA QVPAEKFEFLTRIHYLAPSGDDGKWGEHEVDYILFIKADVDLNPNPNEVRDVKYVTPD ELKAMFKDPSAKFTPWFKLICDDKLFEWWENFGSDSFKKYTNDEKICRM TRV_01417 MAPLVQLQRLAGGDDGAAVAVLVVFAFPEVLDGAVVAFDNHPPD VYSY TRV_01418 MHQQSSSYPTTPQTCTQTDSISLQSSSATGLGLLNCSLPPAGTS ASICSPSQSMLQVAQGWHGEPSAGHCFPNTSSSMVTYPIISIYDGLQVVPNASISSFG VPSTHVDTSSAGFLSPVPLMKVESSSTIQSNIYAPDMSSNYGVSPSPTQSPQILSEGM SDDWEIIANDNAAKQAFFSMEDLPLFHQQISSPVPARQPREANTPVLTSTPIHNQRKP RRSPLRTSDCNKVVGKRTSELDLSSPDMKMPPKKKRSLDNGNGKYECEQCGRQFTRNS NCRSHMKIHDPNRRYPHKCTIGQCTNKFSRKTDLIRHIDSVSP TRV_01419 MGQGYSLTSLSAGSAGIDVPELADLTYERSLGTARFMKSIRARH RDGLAFVKVIMKPYPSLKLDPYVRAIMRERRLLEGVPNALGYQRILETSTAGYLVRQY IYSSLYDRMRSVMLFYTKESYTLFFDSSLTLRSTRPFLEDIEKKWIAFQLLCALRDCH AQNVFHGDIKTENVLVTSWNWLYLSDFSSSFKPTFLPEDNPADFSFYFDTSGRRTCYL APERFLEAGEDPGSRSVNWAMDVFSAGCVIAELFLEAPIFTLSQLYKYRKGEYSPQTG RLMEIEDSDIRELILHMIQLNPESRYSTEECINFWRRKAFPEYFYGFLHQYMGLITEP SPGRTRDSSTPLDSKEADERIGRIFVDFDKISYFLGTSPQVLLDGSQTASPSLTQQPF PLQLDLPKVGSQQISKPLSATDDGTLIFLTLVVSSLRNTSKSSARVKACDILLAFAEK LPDEAKLDRILPFVILLLNDRADHVKVSAIRTLTQLLAMVKVVSPVNAYVVPEYIFPR LQHLISTLPSNPTPIVRAAYASCIASLAQSSLKILDMVQALRSDTRLTSLVPSGAERG WEEEISYHNLYDVARVDLLEFFEAHAKALLTDPDVVVRRAFLGSVPGLCVFFGNPKAN EVILSHLNTYLNDKDWILKCAFFETVVGVAAYVGSTSLEEFILPLIVQSMADPEEFVV ERVLRSLAKMAKLGLFQRSTTWDLLNIAVRFFIHPSIWIREAAVSFVVDSTTFLSATD KYCIVSPLVRPFLKTNTTDISTVQIFDALKKPLPKNLFEMLLVWATNVDKGLFWKSVS QDATFSLSGSNIPKIRQRGLVSSLSNIPRNEEDDQWLSRLRNIGLNQENEFKFLALKE YIWRVAIRRKKNTDNEKVTPFAEIISLSEHDITPQTVLFDRKQGASRNRHPPRKVQPV HGSRRPHTIADALLDASTAIDSPSDDNQRQVRRQVPEGRGSSVPPSLIRPTATRGTRE SQSPTVSHLSSSLNGQPGSPSSSISPLSEGPTQNATDSIAGTDGNGSIKSGPPSLRIP GGNIKRRSSAINLLNRQENSKANAATATSSTNVFGKVDVPHQRDLAQLSRTSTPDPQN HGTQMLRPRYRANHTYHGNDPTILRILDNIFAENYPTDLFDFGPVVQLPSQCLPLHGP GGQASDKPWRPQGGLVAMFGEHTGPVNRVVIAPDHAFFVTASDDGTLKVWDTTRLERN LTPRSRQTHRHAPGTKVKCVTFVDNTYTFVSAATDGSVHAVRVNYQNNRDVIRYGKSQ LVREYHISPTDGGSGEYAVWIEHFRTDVHSILLMATNRSRIIALDLKSMTEVYTLQNP IRHGTLTTFCLDRKHNWLLVGTSHGILDLWDLRFCVRVKAWGLPGGTSIRRLAIHPTK GRGRWVCVVGGGSNGSEVLVWDIDRVQCREVYRTSGASKEQTAAPSGQKANINPDISW KAYEPWKVDDDVPEGMLGRFATNAHPSSAGIEPAVGSENSSRGDRNAISALAVGIDYL DGTTDGSKCGFLLTAGFDQSIRFWDVVHPDASLVVSSPDLITDGIQMRPRYDTTHPTT ALTITSEWIPSAGSAPTRQGTTSNKKSNSTSAGNSMRPPRSTVISLQQQQLLRNHLDA ILDIAILQSPYAMTVSVDRAGMVYVFQ TRV_01420 MGEGIEPHERARAQAQAQAEAQKRSSSQASTSSIRSQRGKTVRS KPIKRLSTCSSTHTATDLTSFPSLSPDRSPEGFFGEPALNHALSRALLVGNNDREPDV ERGREATLEGLTTTLPQLSGRAALFSDSAPTQDVPGALHLASDDHIERLIARTGAVRL IRQFARDLALRDAEVSALRLRADDRERELKKMLREVEVSNHDIERRLYLLENAPDGSI DTSKTNSTETSSGQRSLTSSIDNMMNQAMLEGVGSHRDDLEATIRPHRTDSEPKSGNS SAGSSKKPTNTTGRGWQDYIWGTSTASRRQSRANSVGSEAGDGLRIPASTANRRKALD GQLFQPPGTAADTTSLATDGTPAYKNGDGDDRSIISQRSNYSVASWTVKLFAGKGKDN QDTARSRASSRNPESRQSNPSTAPRASTPAGSALAALARINGATGVQQLSARSSASST MRSSTMNNATKPRRLRVQSTSGAGTPGSAHPDSEATNLGPVEMDAILPMESRPPTLAY IHGEYNPGDLLTDRFGFIYDQRRNRRQREANENRPSEELPSSGCSAEIDNSGEDGSGS GVGRWQDYLKTATRPTELLSHTPAAGPVVSVEQITQSKPRVSSVAVGKSGPVPVSNSS AQPSASTSAVVSNRAEFAGAEARPRETSISEQQPVKLLLQRLTELHDASQQERTVKWN EFMRKLRAERRKEGEATAALTLPDRSKTSRDMPEVALADGEVIGIAGLGNKGKIGRAK WREFRNLVLGGIPVAYRAKIWSECSGASTLRVPGYYDDLVNGHITTQTDPGATAQIAM DIHRTLTDNIFFRKGPGVARLNEVLLAYSRRNPEVGYCQGMNLIAGSLLLILPTAEDA FWVLASIIENILPPHYYDHGLVASRADQQVLCQYVSELLPNLWAHFENLGIELEALTF QWFLSIFTDCLSAEALYRVWDVVFCLNAPTTFSSMASTSAQEAVETGTGGSTTSKATP SISTVAGDVTSTNSDGGGSTFFFQVALALLKLNEQQLLTTCSTPASVYSYLNHQMTNH AISIDSLIQASEALRNVVKREDVLTRRSAAIEAMAASLGPSHIPQPTPA TRV_01421 MLFTSTAVAALSSALLIQPALAAPNGLPSHGGSHHGPKDPFEVL DPQNWVNPDNMTWADFKSPPGTKWNDPSRKGSIRNFNIALVNVDYPDKPFTITMAPGS DVFKNPQPGSPNVTRSQVPAFYRDFLNKPGKLNRGHTLHEYWMEDSNGRFGVDLTTFG VYKMPLKSYQYGIGESMNACACPIGETCYYEIRDDALGAWRKDIGEEKAKSFELVFIL SAGQDESSTWQEFGEIMFQNKEDVTDAFGPPPGNGTGNMTLPNYAKTRYVEWTSWASA SAIWPNAGDGSSTQAESSGMGTFAHELSHLLNVGDNYNNPYGKPLRRSYTGPWSMMSR GSFNGPGGPHTRWQVPPLQGGSMGSQHTFHDKIRLGLTTKDSALNISREALADSGLIV ARVTARVIAPKPGDLIGIHVAMDKDKSPKCDVNTDPYCDGNGYQNYNVEVIDRMGADS FCPDSGVMLSKTRDKAFSNYQWTIDANPQDIKQVDFHRPDGTPAMISLGDYRQLADAL FHAGTRSGSQYEYTDKANNLQFYIIEPHRDEAGVLSYTTAVRYVGGKDPHKRGVKLDK NAKVISSNTKPTDKGVTCSFTLHNTGTYNPAAGKAKHPQDVTAYLKSDVYRLKATVEG RGWRVEVPNALATAEFGKTVTVSVAVGAENSAQDKAKVTLTATSEADPSKFATAECKV NKFRN TRV_01422 MASRTISLVTFRNSAYQRAHFGIFIPSVTDQDVGTLIHVVGAPM AGYQLEFKRNYSLKDTQQQYTRYDIGQLDSQYIEDSAGGALIRDSVPKGAVEVAASQI PPPGISQNFLAPVNDVGKSGRFAGSINTRLANQAYVQTTNKRCQEWTMEYTRHLVHLG YLDAEAIQLVQSKRDPATHGIGLQPLGRG TRV_01423 MSLLSTKMALPVSSEPAPGSPGSSIYSPSPTPSTNLVPLSKLPV DKSEPTQEQPPRKSRKKLIIIIVGVMTVLLTTLIVSLALVLHHRGSKAQPMNNGPLVE LDYAKYLGTPGGYNVTSWLGIRYAAPPVGDLRFAAPQDPKKEGGIQRANSRGPHCIST NSKSVTRISEDCLRLNVFAPSDAHPNSKLPVFFFILGGGFNINSDPFLNGTGLIAASG HNIIVVTFTYRVSLYGFLPGKEIEEGASLNNGLKDQIKALKWVKKYISKFGGNPDHVV IGGHSAGAASVAHLLTAYGGRNDNLFHGAIAGSPSFGTTLANNQSQYLYDTLVKRTGC DTHQDSLACLRKLKVNKLQEHNLGVPLPDSSGPPLFAYSPVIDGDLIQDTFYNLYNQG KFIDVPTVMGGDSNEGTIFAPHNTSTIEESDHWLSRQFPALQHRHFEKLHELYPEPEV RYQGAGAYWTQLSELYGDMRYTCPAMAICRTMSKSFKSDKWNYEYHAQDHRFTKNGYG ATHTVELNAIFGPAFLGRKAAPSYNSPENGPIVPIVQGYWTSFIRTLDPNKHRAEGSP EWKPSVQDADSSKPLHRLYFETNDTKMIVEDEHLKRRCDYLTSIGPDLMQ TRV_01424 MAPSQLKQLKASLREKGVVGPQLSKKQRQKNSKSGAAAENRVKR NAALQAIREQFNPFEVRAPARPSNLYIYLATSEANHQILQRKQTLLKELQSRNKVGGL LDRRFGENDPSMTPEQRAAERYARESQKRSKKEGLFNLEDEEEEEMQLTHMGQSLFDV DAGKDDFNEDLSGLEEESDGEIGKKRKRILEEVDDMEGMADNDEDEEEQPERKKSKNE VMKEIIAKSKQYKYERQKSKEDDNELRAILDQGLPDVFAMMRGTKAADPKPKPAPVPE PPQMDPDRAALLGGKDRDVADREYDQRLKQMAFDKRSKPSDRTKTEEEKIEEEAKRLQ ELENERLRRMRGEEESDEEPVENAAEEEPQDDSEPDDAKAFGLAQPETSNGLFGVEDE DDFIIDDDLVDNESGADVSFTESDVESEEEEDDADDTEFVGDLELPKDRPSASGGTTS KAPSDSSLAFTYPCPESHDQFLQILKGVDIENTPVVVQRIRALHHASLHPDNKVKLGE FSKILVDHAVYLANKTPRPSFAIVESVLRHVHSMAKTYPEEVATRFRAQLRDISENRP LGLLPGDLVLLTGVATIFPTSDHFHAVVTPSILTMGRYLGQGPVNSLNDIATGAYIAS LCLQYQTYSKRYIPEFMNFILNSLTILAPKESKSNPGFFPARLPEVQLRLQLDKVSSK DLRKLQFWDIFSTSLNGSDAENLKLSLLNILVTLLDKAAELWGSKLSSLEIFEPASSV IQHVIESCPKAALPSALSTHLRRSLKTIKALLASCKRDRRPLLLHNHRPLAIKSSIPK FEESFNPDRHYDPDRERAELSKLKAEHKREKKGAMRELRKDANFIARESLREKKERDA EYERKYRRLVAEIQGEEGREAKLYEKEKKARKQSRK TRV_01425 MRLKDVATFSALVDCLCNFLHEHTEKTNDIISPICPQTRPPGEN KALKTLSVMLPGNVISALEAGVVSRWLTYYPFPCVLRDKSKKRSIVFMMKTWWADDPA MGSIVNTLSSEPEGLKHLRKFGLMGSRMEEHTTSLFGDEIHDDGDGALIYREDHQAYD TTDSGSDADSDVWMVDGEATAGMSPWLNSRPQETSAEEQALRRRRREAMVFSEGSRPL VADNIFHPVRSPDSDLPEEDWELGDDNPSWDTENSTELDMQEDPEPETTRSQWLLWPF TRV_01426 MRRLTLQGTRWHPHALSTCLPRRAPSSLTLPRPFSTTRVSCLRD GKPKVSVRNFEKSITNSKEYFEVDPDTPDVVEHRRAREKLKKLETELDALKEGPFALD GEFMRSLSEEDRKRALQAITKYAAEHNWEEEDRRDEQLKNRMTAEFDQAINKELERLE AEEEELWDPTKELSGSAKTTEPVEQEREPFEVELKVPESHHAYVTRFNKALKSLKGTP SVAEKQFAWKSYRRCKEALPFFLDIAPEESIDMLWKSQRPSLNTSEKIPPRHLITLAE DLLSSGRILEAEQWVDYMDALLIDGQQEKALALWREREPDLNEYMLCEKKEYWNMGVR LFVANMNPKNAQDAALIYMSLDPSHSPRILIPVINCWAQSEDPENDVRAWTLYLHFKT SLGTDITMSDYDAISVGFLNSGKVNMALAVFKDMMLTGQNSSTESDALYKASLGLVGK LHSDSINESDVNKVSLASLTILPRKFQNKFFYASWIKKLIGMKELGAAAKVVELMYER GVKPDAKHLNGLIGAWLREGSGSSRQKAEELGWAMIRKRVDRICGDKSETLSVDGSLP GAAIPEYEQRTLPEATIETFSILLLHYTRRDKGALINHLVECLDKAQIRPNTFFANHL LYRELRKQNILGVWSGYKSTMANIRPDLETFACLWDCGKIQYDRTRSCFNAQFPNARA LYSEMNQWYSNLPSQLQQHTQDAFSKDLYDHIIRCFCLSLDPEGTIVALRAMKQTFGF FPDADTVRILVFLVVRLIPTGDEATLPKRRHRRISSTPRAKENLQYVGGLLNKLREQK TVQAAKEGIYLDDMDQEFHMEFEVDLMCDLLRLVLRSMPRDPAEIEERIQAAARHMGV NDLYLGEPPSYDA TRV_01427 MQPGHEAAGGLAGFEYQGSYIYKPAKKSNDTNGTSNKRRKVNHA SSSQSSGSRISPFVPLLNGYETKESVKLRYGLFRDLWAQREHTIQSILREVDSEVLKN IAAFIEESSTVTYNGRIPTGMISVGSNMSSTGGLLERLRKELQSSGNACLVTLDSGDT SNIKNALKTIIKLAITSMEDIDSYRDSLTSKTGLKLLPYDLDLMLACVRRNGVKKVVI AFRDSEAFDSSVLSDLITLLA TRV_01428 MMKDDTILAKQAYLGISVSRQKMRDIFSCVDWLVAFTRNMKSQK SANHSECIILALGGELLESKILLETLEAARKLNSEELDAAFGLISNLSAESAAILDEI RELMHTKKSKGVLRSQHDAQLTRHNTTVVGQRVKLTKGKAKLSNEELKYSELVDRLCD SIQKHLSEKLINPKDLFLHECLIFDFKSPIRNTFTPKCRHTVERALSHPFDYLDSKED GEIEALSAGQPPISILYQLYLESGAVVNVYDLWRAFYAIVGGEDADRCEERVAFSIFY QSLAELKMMGMARISRKKTDHLAKSAWTGL TRV_01429 MLDFLKIDWLSLTIPLAYLAVLVGSLATFSSLYRKRKIQKASSL KPWFPAHLQRDIYFSILHMEKKAPETILKAALLHRAAEDIKRLLELRTKKAALGSLLQ KGNVGDDLWQQFTRAEKEMEEEFRDVASEANAYTPGWGQVIFQSANEMFLNGMARADI NALQATVTEEKEWWDRKRASIQEGFMKELEQEKSGTTESSKTKGGSTGDNTQPGSDED TVLVDVASSTGSAAGSVSGGGKKKKKGKK TRV_01430 MPRKYEPTLEELVNRSDPEDDDYDDREQRSRRPARKSKSKSKKQ TSRKRKRDSDDDIDEDTELSEEEDDLSDDFEDEIEDPNAERNARGSVRRRVATKKVSY AESSPDEDDIDELLEDKEEEKDPEEDLQEKKGKKRKLIVKFPLPTQSTAAEPAPSGRA TRSRGRSASVQRQDPMSVGTRQSTRRSSRLAHDDREDIVALSGSGRHVETIRPGTRGP EPVKSLPTRQGQQLSHVEEEDGDGDGSKIPKDEEDESHLDRVGASQVEIMESDPQGGF DEDAPAVAASDNAHPPADEDADGGEPDVEMGEDGIVPESQHDRVEQDEEDDEDDEGPV SRTRSRRNRIQQEPAEEEEAQAEKEGSQPVRRSTRRTAGKRSQPPGDDGSDFEPNEES HEEEELSDSAASLASPQKDDSGNEPRTRRSNRQRYSHSRAESEAREIEEELAELKSTR PRRKKPDIVYEDKPRRTRKSVDYRLIRPELLLPLEESEVEPTGSPSRRGGRAGGSTWQ RTLFSTYGPFGGAGPTPLLGGPAGMGANGGVDSDSSDDELAARSKGPGGAPGGMTAAS LAPSLGLGMTGQLHPDPAQGAPGVPANYGKIKDKQALADADPLGVNPNVNFDSVGGLQ GHIDQLKEMVSLPLLYPEVFQSLNIIPPRGVLFHGPPGTGKTLLARALATSVSTDGRK VTFYMRKGADALSKWVGETEKQLRLLFEEARRTQPSIIFFDEIDGLAPVRSSKQEQIH SSIVSTLLALMDGMDGRGQVIVIGATNRPDSVDPALRRPGRFDREFYFPLPNVEARRA IIDINTRGWEPALSDEFKDKLAASTKGYGGADLRALCTEAALNAVQRVYPQIYQSKDK LLIDPKKINVSFKDFMISLNKIVPSSERSASSGASPLHSTVEPLLREPLREIQERISK LVPRRKALTALEEAQFEQPNDDIGFKREKLQEEFDRSRVFRPRLLIRGEYGMGQQYIT SALLNHFEGIHVQSFDLPTLLSDSTRSPEAVVVQLFAEVKRNKPSVICIPNIRSWYET LGNAVISTFTGLLRSIPPTDPVLLLGILEGEAEYEANSDIVRSLFGFSKKNQYFLSYP ELSQRREFFQPIIDFIRTSPADFPDPDNRKKRVIEQLELAPPAPPKPPPAPTKEELKA QKRKDRQTLNLMKIRIQPIMDQIRKYKRFRTGVVDESQIRYLYDDDDPNVVTSDLPPE QRSTFRPYEKDTDKAGVPGLREVASGKFYYNLDIVTIEKRLSNGYYKRPKDFLADIKR LAKDAKQLGDPDRQLKANELLANVEVDIGAIDNTDPALVAECEVVYTRELEREKAALE KIKQPVVDADGTMKPPAGPPPQMNIAHGSIELSNVSSAGPVVLGELFNSPCPAPGSKS GPGYPSLTNGHTPGFHPHGGVDGVRPPTSNGPLHKPEGDGDVQMSNSDNTSGTHQNNT QNSSFGPSAQPRPLHSYTAPSQFMRHQSGLSTLSQKGNITPMAPGSQPGDYVNDASTT QTTSDKKNSGPTDLMHTNPHASLIGSAMHDAPDLTLYPDRASGDEHLPETQQGDSSWV SSQMTPLRGVAEFLHSQSTTNANGSQSQPRHSQASQPHPAGPPLLFDATSSRSSGANT HGNPVTPPSRNGEPTRISHLINSEPKQPEPSPPNLKLNLMVDEDFNRELHDELSTKTG GFSVEQLEQINTELMDCLWINRNEWNRREVAIILRDTFLETLDDIKSSQNYVDTTQKL GRQ TRV_01369 HCSCGNTLEPYTHIERCSTCLDIVNGFSLATLVDAIEDIGEAGS LLASVDNRGLWVTHDTGDRSIICPHCRAIFWDEKLPKQKRDRFFLCCKNGGLDMHEFA GHSPIPEPPVDSPIGSLFCDNNPQNEAGRLGRLFRRHIRHVNNSLAFTAITARKSDCL RAHEHTYIYQIQGTTYHKQGPLEARPGQEPAFAQLYFLSPQKAMSRRLANIGHVRYLP EVVSIANDYIRAHNPYYRLFRCVREVLQENIELGHVRLTPSLRLMKDPDSGQQYDLPV SQANTEIAGFIPDIPHEYGDTGYRDVLLYLKEAPDLTRGEADPFISHIRNSLTDDTDA AAPAQDTQGRWFSYIHFEHPLYLPLHYVLFYATGGRGHNRSSILRSLTTAGAPRRNKQ VTIRSFSRWHLWDREGSFNILHRGAALFQQFVVDIFLSVDMQRLQYFYYNQKTIRAEL YQNTRDMMAAEATPDSIGRRILPATFTGSVRNMQQHYQDSMAIVQHYGKPAFFVTFTA NPHWPEIANNLLKGSDGTPQQVWNDRPDLVSRVFNLKNNAMIDIFRKGHFGKYRAHVQ VIEWQKRGLPHSHTLFWIDGAWDNPEYIDKFVLDDNGKPQPCSKVFPKKLRPDTILTS ARYAEYRRRDQVRTDIPHPNLDLRRTIPTVQIHDSWVAPYSPYLCSIFNAHINVESCA SLGSVRYIHKYIHKGSDRATVEFDSGGTDEIKQYVSGRYMGAMEAAWRLFGFSIHSHY PPVLPLVVHLPSQQTVVWDQSQDTQDMLEQIDRQVSQLDAFFSHNRGHSTEKLLYQEM ASRYVWDRKCWKPRKQESRQIGRMHPLSPVQNAYYIRCLLTVEACYALGLLAGDDEWT FCFKEACQLRTGTALRMMFVSALSFGSLDKDAALRIWSQFGNQFCDDLSLRISQGDLN YPSNWTMEKASRDLGLYFIYRLLQQEEHSLEEFGLPMFENDWEGNGIPTNPLIAAELA YNRAEQEALAEQLEAQLNTDQQRSYGTILEALEQIPEKTLFFLNGPGGTGKTFLYRTI CARIRSQGLIVLCVASTGIASTLLPGGRTAHKRFKIPIPIEDHSTCNISRSSQLAELL QQTSLLIWDDTSMTSAQVFNAVDRSLRDIRQVDSPFGGLPTILGGDFQQILPVIPMGT QQDIIDATMHNANVWRDLRILSLRENMRLRSATSENRAFAEWLARLTIDESLQGWIRL HPVIKSTTNLTAFTDWAYPNLAENSTNPEFFKDRAIVTSLNENVRLLNQYFLAKFPRE VLTRYAINTTDINDAAHKEVSREFLQSPNSGSPPPSKLRLKIGVPIMLLRNLNPSKGL CNRTRFILRRALHQYLEVQINSGDFDRNIHPIYRVRHESNALDFPFKLYRH TRV_01370 MATILDPKSKLQTFNKASWREEAEDLRDGSPEADIDWAQEYRQC FEDIFSYYRVQYPDIIIPEHTRHRTLFEYLRDKGFASKKQKDLQGKSREAIVFSEVAE YLGEGTVQDISILEYWKVNSKRFLIVSMMARDILVVPPSTVGVERLFNIARDVKYFRR AGLNPQTVRAIMVELASNRLDRDRLVANDPSEAEDFTPMASLRHIQRLDQVMEPTIME FSNREYISDTEDPLPRRQSTAPINEEEENGGDASFVVDCSLCIS TRV_01371 LADHSILTNIDNISESTKYYCLDTIASGFMKIRTKDSGLLSTLP DRKKSTIPPKFYLRQLRKSIKNNTESDDKESNTNSDESSDTKSNIENSDAESENNNTK AEDEDNNTKAKDEDNDTKAEDENNNTEAKDEDNNAKSEDESKDAESEDKNKDIETEKK NDNIEPGYQNSSTKSDTKYMAAKKNQ TRV_01365 FFSLPLPLYIYIHIFGVIVVIVSMDNTLTALKPPPKNRTQTSQE LASSLLEECNKLLSEISAFQSYLVTLGKPNLVELRQFKSIVQSELKSLQRLAARADEA AAEDAEDAPGTPQYTANIRAPEQNRGHEKDVEDSDEEYLGQAELKVVHSLRSSNFPFY ANVWRTAKTTCTGLISLNKKFYFYKPVKGQCMQGDYKDLEVDIDDLKIKSNSKPGVEE TVPKMRKRGVLIDIVADNGQEWVKVSTVTPNRLLCDLAKQGWEIGLNSDSEDNEGSNT ASTSGKNDYDSEDEDDMIELLKLAVDMKKAAAEVRVRYKHPRVRLVLPKIVEGQIAEI DKVIRKVRMVGVTVECATAETSGLDFMVNKSGPVNNTDDAGSMFSSLLPSPFPPMTST LNVDCTLLLAFVSDLSHARDLRCMPSYHPAINRQIELEAEKPLLLSELWPAAGDRNLV CTTRAAKRMREIVDTIGTPREKERTRLLMGEADDASDREALVSQFQKLSDYTIPLEWK LPIKVVDAQDDIDRGWKDDSLPSIACKIAQKLSDINRSVFLYGWAAGLTTISSNRTVV KQIETWVEEERGDDDEVCGPTVWVCDTARSLIGKDSSRAI TRV_01366 MAETTATPAQPVEKVSDVIKNYRPAKLTIDGFSFQAFRGNPKAD KQVNVTSLDFDDQGDYLVASGEDEMIQVFDVKEGKSTKTVPSKKYGVHLARFTHHSRQ ILHASTKLDDSLRLLDLHNESYLRYFTGHTDKVVSLAVSPGTDAFLSCSKDDTVAFWD LNSRNAQGKLKLATPYLAAFDPSGSVIAIASQSTSFVLLYDFRNYDKAPFASFDLSPL EDRYTPTTKGRAWTRLEFSNDGKSLLIGTDYHGHFALDAFEGNLKAFLVGKHGPTGRA APVSTTGKPPGQGDVCLTPDGRYAIGGAGEQPDTLVWDLHQSPDAKLLLEPMTRLPYY RARTTLVQANPRYNMFATADKEIVFWLPEDPTKMAEK TRV_01367 MVADPNLYMQYTYQLLLNGCRLSFYEPIRKSLTTSFYDDPKTQS MAINVFSGATSGVIGAAVASPFFLVKTRLQSYSPILPVGTQHNYKGSTDGLRKIFASE GVPGLYRGAMASMIRTGFGSSVQLPTYFFAKRNLIRHLGMEEGLPLHLTSSAVSGVVV CIAMHPPDTIMSRMYNQSGNLYNGVFDCVKKTIKAEGLFAIYKGVSAHLARVVPHTVL TLTFMEQCTKIMRKAEDTFLPESVKAWI TRV_01368 MEMEPKLPTAQHKKQAMADKAEPPGYDMIAHAESQLIVSKASRV QRRILRKQGLISHSHVEIVWWKREEGAVNNRSSAVTGPALFLAPMLAHLGASLGKKVF EGEGESFHSLSSTPPTPINNTTITTPRSLTASSLEKLKMNDSPIKPLKLDHADKENVP VSSHVAEPELKKVEIEASKPAETQVVKPKTPKELEAEEPLLQENPQRFVLFPIKYREI WQMYKKAEASFWTAEEIDLSKDIHDWNNRLNDDERFFISHVLAFFAASDGIVNENLLE RFSNEVQVPEARCFYGFQIMMENIHSETYSLLIDTYIKEQKQRTYLFEAIDTIPCIRK KADWAIRWIQDKDSTFAQRLVAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELIS RDEGLHTDFACLLFSHLNYRPDPSAVEAIITEAVTIEQEFLTDALPCALLGMNAKLMC QYIEFVADRLLVALGNKKFYNTTNPFDFMDNISLAGKTNFFEKRVGDYQKAGVMASTK KEEDSSEEPENGGAFRFDEDF TRV_01363 MAEQSWRGGFSRLEQPAGSAGKKAIELPHREQQQQQQQQQDERR AEVPWTVLEESSLSERASEREGRTEMELAGRTRAADEGRRDLGRRFRRRRRRRAEQAG TRV_01364 MELKDDTVIVVLGASGDLAKKKTYRNKFLPKDIKIVGYARTKMD HDEYVRRIRSYIKVPTKELEEQLNGFCELCSYISGQYDQDESFITLRNHLEELEKGRK EQNRVFYMALPPSVFITVSQHLKKNCYPENGITRIIVEKPFGKDLGSSRELQRALEPN WREDEIFRIDHYLGKEMVKNILILRFGNEFFGATWNRHHIDNVQITFKEPFGTEGRGG YFDEFGIVRDVMQNHLLQVLTLLAMERPISFSAEDIRDEKVRVLRGIDAIEPKNVIIG QYGKSLDGTKPAYKEDETVPKDSRCATFCAMVAYIKNERWDGVPFILKAGKALNEQKT EIRIQFRDVTSGIFKDIPRNELVIRVQPNESVYIKMNSKLPGLSMQTVVTELDLTYRR RFSDLKIPEAYESLILDALKGDHSNFVRDDELDASWRIFTPLLHYLDDNKEIVPMEYP YGSRGPAVLDDFTSSFGYKFSDAAGYQWPLTATPNRL TRV_01307 MFEPIRWFHPLFDALFGFDIPFTYRWRLLLLQPISVLTCAMKWV PWIFSRRYSSIHIPLRRRPGQSVRAIVFLPPEGSKSTLETSRGLRPLHLDFHGGGFIG GNPEHDAEFCSALSDELGAVVVSATYHFAPRYTFPVANEDAQDVAAFLTENAERLWKA DPRVLTVSGFSAGGNLALGVAQGLAGTDYNVKGSVTFYAPVNIFLYSNQVDLRKPPTE KPAPIDKPDPLEVLKPLFDAYSGPVRTTNMENPLMNPILADITTLPPKMAFVVPKIDI LYEEQMLMIERLKRETEQLNTPARTVTTGADSESISAEQTHHTPTNKDVHQIRHLEFP DGFHGWLEIPEAAIGNTDKKKAFAFAFDFLKDVYQSHA TRV_01308 MGKKRNSKKGSKGGSRGTRPANYSSTLVRENENYEKYYNSLQIV PEEEKQAFWDALRRDLPNSFRFTGSRSHALALQQRLKDFYIPNITSIRYEGELVEPPK PVPWYPEQLAWSMTTPKSVVRRFAPFSSFQKFLVSETEVGNISRQEVVSMIPPLLLDV RPGMVVLDMCAAPGSKSAQLMEMIHAGEEERMAKISQKLETTDETTRQNGVKVTDLLD GEPEAAELETAEDDGRSTGLLIANDSDYKRAHLLIHQMKRLNSPNLLVTNHDATVYPS IKLPAVSADGQPAKNRYLKFDRILADVPCSGDGTTRKNFNLWNDWNPANGIGLFATQA RILFRALQMLKVGGRVVYSTCSMNPIENEAIISHVIDRCGGSSKVEIVDCENQLPELK RRPGLKTWKIMDKTGRIYENWAEAEEEVRKLNPAASRLVEGMFPPSGDADVGDLTRCM RVYPHMQDTGGFFITVLEKKREIKVKPDAAKAATSTSTGTPQAGTPAAAASDSAAVEK DVEDELLVPENSSAAEAKQDTTETTLKRSFDGLEEPQAKRPKVTESTQEEADKVSDVK PVQSEITEMPPSSTVAPAKPKRKQQTSFEEPFKYLDPNREDLDEIFKYFRLSPQFPRD RFMVRNAEGRPAKTIYYTTVLARDILTENESSGMKFVHCGVKMFVKQDVQKPDVCPWR IQMEGLPVIESWVGMDRVVKMYTKPTLRKLLVEMFSKVNDGGWKELGEIGERVRDMEM GCYILRLEASDREDGFRERMVFPLWRSAFSVNLMLPKEERRAVLLRLFDDDTPLVNST QKQEAAKAKAKEEINIAEYESEPEVNGKEHGEVEAEEMDADPEAEAEAPVAVQQVV TRV_01309 MAPRLQDLYPRGAGNSNNNGQLLSSASSSFTRVARDAALAPRQL HDITKRTQMINIPAIYSGPHTSPPIVVAIVLGTVVGFVLVAYLVYILFNKPKDIMSAS SDIVSEDFPRPRRKAAARRKPTKAAGRKKPIIVDEDEPEPYFVDVQDPNDHRHGRAHS GYGETESLGYTEETSESHYQAPRSKYSQEMAESYYDPRPSGRGGRGRGRR TRV_01310 MADKYNQPPSYPQPTQGHANDYYQQGPPPQQYGQPYGQPQQQYY PPQGGPPQQPMYYQQQQPPADNRGSGGGGLCAGLMAGMACCCCLDILF TRV_01311 MATTAKQAAPAQPGEHKVKPEKPDENAYKISLANAEKDLATAQK KLEAIKAKIESATPNNQNSPVAKRQQELRSQLAAIRQQQQGFKASRTALQEKINVLDA SIKARFAEQKSQRDRLPFKSVEDIDREIMRLEKEVDSGTMRLVDEKKNLADISNLRKQ RKNFSSMDDSKKTIDEMKAQLATLKKGLDNPEAKALSEQYTALQKELDDIKSEQDSVF KNIKSLRDERTKIHNEQQRAWNNVKEIKDNYHKARRANREYEQEAYRIRMERQKAERE IYEREKKKKIADKKLEEASLPAYTDELLTAEGLIRHFDPTFDLASIGLGKSQLPSSAA YRAQVGRTVDDSDIKGVKVIKKDDRDDNYFMGGTGGKKGKKGKKGAAAAQPEPSKFNL SFGVLEDLSRVKVEPPMNQSDVPALIETLVQKVKEWKSNQASKTAENIKKAQEEIDRL EEESKAAETKASGTSTPQVAAETNTEAKTVDAAETPAKTQ TRV_01312 MADEDYSRASDEADREMTRLWRTWRTVFELLLDRGYEVTEEEVK ISLSDFKQRYSDALGFPDRNKMRISARPSQAMMERYTPLPTPSKPNTVPECGTIHVEF CSETQSVGTKHIRAFNQFIDQQNYHAGIFITQSPISPSAIRLLTAIPGRFCEHFLEQE LLVNITHHELVPKHILLSAEEKKKLLQRYRLKESQLPRIQSGDPVAKYLGLRRGQVVK IIRKSETAGRYASYRWVT TRV_01313 MSTSDAERKYRVFIPDFFDGSPADIAWYPPDTDEKKEKWGAFFK DRAPPPNTLPRIPQVVEEINKNHCPGGAGFKSWGIVGYCWGGKITSLLSAKDTLFKAA VQVHPAMIDPKEALEVTIPMCILASMDEDPNEIEKYKDNLKVEKLVETYGDQIHGWMS ARGDLKNPTVKKEYENGYKSVIAFFRAHL TRV_01314 MTKAECQASDALQDTTSTPSPSRCSNIGCTVHPENSPLSGEELR VIFSGAPHFLLEKGSRCHWFPHVVFPWDDSTRIQNLQDRKPLHHASFTLSTLHAHLPA FLERRKTPGGSPDLTELETNKHPAFDIGVFEVPNMLSSRAKERGCVGFRHYMELPISP KLKDKSDTPPGITLIESNTNVLNTTPTGNNEPYICCRPGIRFSRAQLISGGPPAWRRL GVRDCSPKQVAQRLETLCDLRDQMVFKAKPINLFDVESITKLHQGLFSTFLFPLPKQV HADAFKLTSIKTQIDTLVRVLAVKDAWIDFSQIEWRIRAGQILWECPPHRDGVIEDQY PGGSDISINAERHWLLIQILLAAELVLRLDAAVKVGIMGRPKGIITQKDIYHINDMRN DQVDWAIICCRRAFENLTIKYSPTSGRLEPALPPDRPLERPTSRIRQILTRGKVNEKR TQQARGQQPVDTQITWDCVTRPRFFKKQLDGLFAFMSVIQWPDAEEIKCQLEKKYDTV VADPAAMIRTFSTPLVTKELTGEDLPRRRLSTYENSNTSHLILLLTPSQHHAASTSTT CFGGWISRSWLSGFVLPGEAINDMLMSTLLENDAHALRALGPVANLYGGFIYRGRSWW SKKCVVSRIVSCLDGSTTCMGWVSSRVVPHDEEGKNHTDKWVEVELTDDTRGLTVPRI YKTTQILLDSSPLGPGGELTPEQFCMPMDDDSDADSDHIHQTSVVFDNITLSRSERDG PSKRLPFKATAHAFFTIKATPQSKPRKVLFPLSKNSLFISSFPCLPPRGWAAHSTKHN TDPDSSSEYHRHFQPPIPDDEDTYDWSLGPEPPSTGPLNPRIQRTNSFRVPGHPLHTS FYPYKYIPIASLPSVTEFPPKPPRTYERRSSGMGRHRHSLSEEKRRHCRRRPITYIID ARGSKDKEAFARAWCTAVCTDAVISRVGRTCLACSIREARAVDVNVVIRVASVASVAS VAK TRV_01315 MDCIAAPVTRLPVFTASPQLGNLRLLLFLFLLFLFLSFFFSSLW LARFHTINHEKMEKTARTKREKKRTKKKISADRGTDGDTGIRSGCVVQEEAPEAANLK VDCGEEKFYLRPWQWHPITS TRV_01316 MQLNLVEAKRFLPRLRCKKNQPGELNQVSAISEQAWLSAAYYTT VPPLLPSPSTQAMAPSSEYAVSGGGRLKIKGSKVQDGRVDKKKKKKKRDKEGDVAMRK EEGEEEEKADAAVGEASNAKEEKDRRSRSVSELLEGDDGKTEAERRHAEAKRKRLNER LKREGVKTHKERVEELNKYLSNLSEHHDM TRV_01317 MASSTQEPPLRASASIPKIDLSKKLTRTGGPRRQPAAGDSAPPT PSMPPRPATGDLKYTRPVPRILSAQDHQSFLSSPSYNLVTAFVFNLSDSVHQKSIPDV LDQPSSTSPPSPIISKILEILSSIDSLLDAHPSLDQGGSRFGNPALRSLFDDVESHSV SWHKELLGITDEAVIAEISTYLVNSLGSRSRLDYGSGHELNFMMWLLCLYQLSMLDTP DFPFLVCRVFTRYIELMRRIQLTYYLEPAGSHGVWGLDDYQFLPFLFGASQLTGHRYI TPLSIHNNVVLDEECGKWIYLDQVKWVDSVKTVKGLRWHSPMLDDISGAKNWYKVEAG MKKMFTKEVLGKLPIMQHFLFGSLIPATEQMGVREPQEGIHDHSHGNGPCHDHSHNKS SNGVDFWGDCCGIKVPSAAAAGEEMRKRQGGSELRPIPFD TRV_01318 MDNSVDGQGAPGAGGATPGASDNTHNNNANGNNIANGGTIPRQR GASYSSQRSRKGSIHQDQPSWYRRILEKYGSLELENKGSVARDHLALERTFLSWLRTS LAFASIGIAVTQLFRLNTAIQQLDPSQNSPSNPSALLSEHYRETSKGLYFTITSNSSQ LRGLGKPLGATFIGVAILVLFIGFHRYFESQYWVVRGKFPASRGSIALTAFITASLMV SSLVIILTISPVTCIYAEFIEFIFLSSSCWITGNNNEGPRHITSCYPSTYLDPRKAKT KIASFAYLVIRFGLLLLIFLLLLPCIVHLLLVLAFPSVMLFFEYPAYRRLWFTLFVSF FSPSVDLFIFHP TRV_01319 MMMKTIDRDREERERDRQRRTSPCKDVEGEEGEKKRREEEEEEE EEKLLKIERLEIEVEDQKKKKKKKRRKRKKKKRQKKAKRAKKRQKKDRKSDEPVFDGD FLGDFWVVRYAQAQLASPQEQAWQVTLEAAIHSQYQIKYDIRWNRRGDRREDRREDRR EDERKRRKEEEEKKGYWVKGSMLAEVKRALAAAVMRPSTLSRLVHGPLSPSPAPLRDG RILAFSFALLLSCLAIFQGHPAPFTEYVLTYTYIQIQRQKRKDKKKKRACLVS TRV_01320 MTSISLGAHQPSSHWNTASHIHLHSPESPSYDTRMNPPSSPLPN PPFSFPAQAPSSTDTSRVPSGIPSNNMSSSSRRGRRQPPASLPSFSFPQAPPESVSST SPTTAAAVAAAGSAPTTKTIPTAPASPVLVEPAHLNAKGHRRQPSEFLGDASSQVAIS SSKATASTLPHPGPGLTTGPGRGARRHAHRRSQAISSVDLTVVAKAFPPVPVSGSVPT SPILPFANDKNSKLSSRSFPEMHTAQTSPQRVEQQHQQKLQVSPTRRPYKEESITTAT TATTTTASEVAPVSCRPLSTISSESSVSTVRPNQIFSDRSGSGQRSGASSPLHNSNES TARPKTAGAQLAMKWKPFGPSSDNNEHTKRPLSASASMALNFSLGNVCRSQDAERTAS NSSSSTTNAQAATPSDQDMEDAETSTLTQRTAHERKPSKKQKKKRSWAGILIRKGKKR SSKKSRKSPTPPPMLTRTNSELGSMYSVDFDHDNTIVIRTPTAQNAPRSHPQSGEPAS STDNSLEKAWTPKSFYEQQSNPDIFSPVIDLDAALGPFNTPEMGPERNITSGFMIASK RMYSGGRRGEFVGPEMRYHRRAESAPEMPPFDRSFLGASRFGLSTAMENPDVFDEEEE DAFLAQNDDQANQKNAESFEADDDAAENDSSSSGEEEEEDEEDSDVEEAGLGIQVVDS SNLPDLYPASVESRPSTAQVITPRTPYAHAIDFESQSQRSNSNSTTYHHPGSIGIVGS DSGQASPRNFDEKRPSTSPEFIYNISNATNLTPIPAIIPPVPMIPQAFPSPAPSSTSF DVPRLNTASSSMTDRHHSSGSVYSGEPGSDYLQNSSEDVPSLTSSASTMTGNIPRLPS AIYGRIPGDRAASFSHVLQLNSAANSAGSNGHSNNNRPSSSKRSSLVSFSRLVGANSE RSKLSHEEKAPTDESEKRKKKGLRITRLMQFWKVKDKR TRV_01321 MLDMLVSSQQQAAEQASEGSSCPHQQHPDSEYPAIRAKHSIFKA RTLSKQCSSPTATMLIQGTFICALVANTIASSIPSSFLLPEPSGPFKVQREILELTDW SRKDINSTLPRRLMVSRFNPIPEKHCIRTEDVPTFPPASAKLEDAILQAASGGHWVDG LLAASRIRVCADVKKGYQTDSHGDNHGFPILLFSPGGNTTRLVYSSIAQTISSAGYTV ITMDHPHDTDIVEFLNGDIITGGEVTFSNPSVLPFWNDVRVQDTLFVLNQALKTSPHA RIGMLGHSFGGSAVLSSMVKDGRISAGINFDGGLWGDAVNTGLGGRKKPQPYLQWGAY THNRHNDTSWETLWKAMERLHPHAWKKELGIPEGRHNTFSDFPAIIDAGGVRDVIDKA SIDVLVGDIPAARSLEFIKAYVHDFFQFSLFGKDEGLLRGPSSKYPEVVFLD TRV_01322 MPKPRPQARKASKVKSTTDTQHRTQSASISLVDSAPISLQQLIL NIFADRLAVRPAAAEQESGSGAGTTLREQVQAIKSHLFNRDFDSAFADADPSMLRAYA LRWSAGRALAYTGIFEYALRLALNGDQGGRPAGPSSSRAIDIEDLRNRQVVCIGGGAG AEVVALAAAVRWATGLDNPTESNLNLSVTALDVADWQPVIDELSQGCISESVHNSNKK SGVSLPLLKAGDLAISFQKQDVLELSEGELSLLLSPSTRDVTSANERKGKKCTEDPTT VLITLMFTLNELFSTSMPATVAFLLRLTDVTKPGTVLLVVDSPGSYSTLSLGSKGAGS QGESGGRASEEKKPARQYPMRFLLDHTLLTTASGSWECILSEESQWFRRDRTGLEYNV GEGIGLEDMRYQVHAYRRTTAL TRV_01323 MEPDPLLDNRFERLRVKDEVYGGPKLSTNQGMCKLYQHTLGWKH NIKSLGPAKISEVKCVASYNWVRKNRVTMLIPGAPPVWHPSHSICDKAETEGISQNDV GTTYKWLPGKSPEDAYRVVYQNDGKGGWHGHPLEPMIYAAKLMDPAFELNKFDFVIDA AAIEFMLAFIQFEPNDHNLKVELVNRTVFIASEESERYPCSYQPLVDDALTWEDCVKD SISHQRVLSYVFGGHRILIRCSTHGYLPGSTGEAAESKEGEDPVVEKENGSDAPRFGA SAKGFPVAYRCGKIIPQEAIFDFKGNEKGAPRDSPSEGDIGWMWIRQVSKIMTVETGG AYNNAKVQDTTSLLRQWEDKNRPDLVQLADLLSKMRHYANESRSRGLLISRVICPKPE FMSVIESKTPRTISLAVLNYDPTSRKEPSSTNKERKECNTGTGSLPKCTIKKGKAEPE FYTAAGISKSSSLESIALTDWEFLDETECDTEWVEVESRRSKPKKLPN TRV_01324 MANSLSALYKYAIPAAVGVSFVQASMYDVKGGYRAVIFDRLTGV KEKVVNEGTHFLIPWLQKSIIYDVRTKPRNISTTTGSKDLQMVSLTLRVLHRPEVQKL PAIYQQLGQDYDERVLPSIGNEVLKSIVAQFDAAELITQREAVSNRIRTDLLRRAKEF NIALEDVSITHMTFGREFTKAVEQKQIAQQDAERARFIVERAEQERQANVIRAEGEAE SADIISKAVAKAGDGLIQIRRIEASRDIAQTLASNPNVTYIPGGEGGKEGGKGTGLLL GLRS TRV_01325 MKGITTYSLSQNRQRPAAGMLYNAFFNTYRRAKAQVLYVLPPFI AAYALMDWATKKLWVFVYRVSDADDEYRNEYLMSKPGRLAHGGDDE TRV_01327 MAKDRSDKEKKEKKEKKRSETDGVHKKSKKEKKNVDLLEKAVEQ ELASRTPDADAVMVNGDGSEERPLGALVPFANPLAEDKVAKKVLKSVKKAAGSKALKR GVKEVVKAVRKSPTPSANAAITTPSAIVVLAADISPMDVISHIPVLCEDHGIPYIYVS SRAELGNAGATKRPTSVVMVLPKGGKNKKKDEKESDDKKEDYSAVYDELVKVVQKETK KVKI TRV_01328 MSNPFRNGGVKNESAGPVASDSLAAESVREQGKFGENKNSQPLG VKGSHSTLANTDTSSASKLQAAPDAEARLAEAEWGEGRPQTRSQTQAQSQGQTKSLGD EQQQRERQNQGQGSNETSGASSGATGSGQGDGPGSSLNTAPHYVDPVLGSGQASKPKG KNLKEGGFGDDPARNASFNADIGSKKDPGLQAEKEIGTMSAQNAPGPISKGGPDLMGG SERKTGASYNVLGSEEDA TRV_01329 MLLALPLELRLKIYMFYFMSVTIYLGDPRPAGIFFTERYGPKYD PLAILRTCRQIFREAGTLFLGSVKFSTDHPDYSVCHFNRLHLQLRSRIRHVELRLASF TWLLSEIPESSIAYRFNLIPGLRLDTLTVYGTKSCYDLVDGFVKFGNGWKELRIIVPS LNADAFKKVVAMAAPDAMETQRPTITWQSLIEERDGTDSNASVSLYCTTQPWIIKSVL DPSTRELVSSTNLQDLASKKEIGELLFILKRGEGATYAVHHNTARAAVQELASQREKS EDPSLKEAIECMREFDPILNRIRAEAEYASRWASWQGATL TRV_01330 MKRQYLPVDALQAWARLNSVTFHGVEIKQPPYDGEDIDKGSAVL AIGSPDSQEPILDEPGLEPEILMKVPPDLILSQERVETHAKSDKHLKDVLDAVGDFGK GSRGAMMIFLLLQITHSSVGKGEQVGISSPWTEYIKFFPAVYELPTFYTLEERELLRG TSLEPALDTKIQSLAREFDHLRDSTFNIPWCQREWWHPETGQLEFDDWKVVDAMYRSR AMELPGTGNAMVPCIDMANHVAGNKTVALYETDIDGNAVLQLRWGQRLKEGEEVTITY GDRKGASEMIFSYGFLDQGLKSAQQLLLDLDIPDDDPLKPPKRAVCEDGPGVRIFDAG DDHDQETAWHSDFVWWICVNEEDGLEFRVLQTNDGGRDLRVFWKKEEITGARKLGQFL SEDPRWDIFQLRAVVIIQERVANQLTLLQAATEYVRGLADKVDDVLIRRSVWDTAMKF RSLEENLLTKSNRDLDEKVGSSPHFTYSFLKLELLESRTVQAYLNSQCSSADIDEDFS TRV_01331 MPGILPMKVIKVGSNSQSRVAQACDRCRSKKIRCDGIRPSCSQC TNVGFECKTSDKLSRRAFPRGYTESLEDRVRSLEAEVRELKSLLDEKDERIDVLTRLQ SFSLSSQKATSSPVSNISTSPAGYYPRPPQASRNEGEDLIYVQQSARSISKPADDTSF TGHSSTRSFIDSFSVRLERSGKSPTTALADALLCAPSLNARRHEDITPSNILPRLVSD RLLNIFFQEWAPLYPIVHRPEILKLYSRYTTNPDSIEADHHAFAQLNLIFGIAAISST SRVPQDPLFFERHWIPKLKMLANDISLTTLQCYVLAQVYYSIKADYRSLLHYRGLGVS ICLQLGLHHSQERFSLNPLVSETRKRVFWCQYTLDRFGAAFTGLPVLLAESDISTEYP ADVDDENVTETGFVPTIPEESTRMSSALALFSASRILHRVLEDLYPSPAGYEISLSTV HSLAENLDEWLKNLPAHLQLTFLLDKPNASVASSRSILLSTVYYFIRTLIRRPAVSFG SSDSSSPSMLSLVDSAKHIIQLLRLLEDRRMSLSLCMNKRELILISGLGLLWQNLQLG RDSKLVKDAQKSLTATVSLLENESIEAALEFTSLINLVSDSDRPMPDRAASGGVQEFV SKLKRSRLSFSSEPGVSTPMRDDLRKANMRPSSPRMSRSVRSSSSHSSSSYDQRDQMQ HHQVHPGMHSGQSNLGYVPLQVKKDNKKQMQPGYNPQVGMTEWDHALNDIDGGHGNIF PGIYSGGECGQAPGAFNTLPSNYPPSQQSTPALGMPVLHSSPDSMQGWPQESWTTAPR TAIPRSHPHTSHNGANPANGGTPAESPSAYNDGRGMDMRDQHMAQGHSNTHEGSNGHI HTCAPPLNPFEAMVMPHNLDSMQGTTNFNVSVTSGWGQHSVM TRV_01332 MGYSEVDQKAINTIRILAVSTPYSRYNRFLSGDVDATAVPNSGH PGAPMGLAPASHVLFNKFMHFNPKNPHWPNRDRFVLSNGHACVLQYTLLHLFGYALSM DDMKAFRKLGSITPGHPEAHDTPGVEVTTGPLGQGIANAVGLAVAQAHSAAVFNRPGY NLFDNYTYCIFGDGCAMEGVASEAASAAGHLKLGNLICLYDDNHVSIDGDIKCAFTED VCARFESYGWHVQHVKDGNHDLEGIEAAIQKAKEVTDKPSMIKVTTTIGFGSLLQGTG GVHGNPLKADDTKQLKQAFGFNPEETFVVPREVYDLYHRRSAEGAAKEKEWNDLFAKY AQEYPKEHADLKRRLSGELPEGWEKCLPVYSPSDPAIASRKLSEAVIEKIYDVIPEFV CGSADLTGSNNTRWKKAVDFQPPCLGIGEWSGRYFRYGVREHGMAAMMNGMAAYGTML PAAGTFLNFVSYAAGAVRLSALSGVRVIYIATHDSIGLGEDGPTHQPIETLAHFRALP NLMVWRPADGNETSAAYYSALTAKSTPSILALTRQNLPQLEGSTIQKALKGGYVAVEA ENANITIVSTGSEVGICIDAAKFLKEKHNIVARIVSMPCFEVFDTQDKEYRLSVIPDG IPSLSVEVMSTLGWERYSHEQFGLNRFGASGPYKEVYAKFEFTPEGISKRAIATIDFY KGVTVRSPINRAFQQLI TRV_01333 MITELKKLMREVFPVVEYAYTTIPTYPSGQIGFLVACKDAERNV REPLRKWSREEEDKLCRYYNQEIHRASFILPNFARKALE TRV_01334 MSEITHPTIKDGWFSEVSDMWPGQAMNLRVNQILHHEKSDYQDV LVFESSDHGTVLVLDNVIQCTERDEFSYQEMITHLAMNSHPNPKKVLVIGGGDGGVLR EIVKHDTVEEAVLCDIDEAVIRVSKKYLPGMSVGFQHPNAKVHVCDGFKFLEEQKNQF DVIITDSSDPEGPAEVLFQKPYFELLHGALREGGVISTQGCSSPLRPL TRV_01335 MAFYEALPSLPNINTYAYPIAPQPYESPSESYSESSSPDTYHMR QSVSPSEPSPYVISYGSPQIPFYPAQEKIPLEYIDSTSLDNGDQRERRSTQKGPVTSM HLRRRAQNRASQRAFRERKEKHVKGLESQLQALHEQHQSLLQSYNSQANEVESLRKKV QELMKLPNNVHNVQFTDPSTSPVCTSSASASQGFTTPNKYEMATFPASSYPTPTPEPY YDKSIHTTVA TRV_01336 MDLDNVQAPAFKDAYHVNSTLLRQRHDRRTGEKGEEEKRKKATR KKKVAENRR TRV_01337 MGDGAGRTSPRDLQQQQQKNKNKKSKRSSGKRKEPEEAEEAKKR ERERERGRERGKSKERADGESTLEGLGPFKISALLRTEGVEAVQASTGSYVSTGIQSV LASYFYFTTEQTPPAISLSLPLSLYLSHLDILTSPPASQQQWRSTSSDDMYYDPLYRI IAAPALPSKKRGRPPHALHGGPPLRFSPFLRHPAQGEREGEGGRGREREGE TRV_01338 MNQVCLSLALSFCSSGSLSSSSCPLFFNNLLRWFRGGSRSFGGR EGCIRDKRSSELTIGRDSLVFFSRAEKKKKKKEEEEADADAGYRRRAPGEGSPVHFIS IMNK TRV_01339 MGCMNSKDISPEMKEQGKHSAAIDKVIRADKKKYDRTVKILLLG AGESGKSTIIKQMRIIHSGGFPIDERRQTRAVIYSNMIVAFKLLIEIMEAENISFEHE ATEPLAHFIQTSEADVECDEAFTDIKVREAVKTMWEDAGVQKAVARGHDKFGQESLSL SLSLTIAVLSAFFFLKLAILTDCGSYFNSLDRIFTPGWLPNNQDMLHSRLRTTGITET LFELGQINFRMMDVGGQRSERKKWIHCFEGVQCLLFMVALSGYDQCLVEDQTANQMHE AMMLFESLVNGEWFKRKPVILFLNKIDLFKEKLAISPVSKHFPDYSGRDGDFEASAKY FADRFRGITRVPEREIYTHYTNATDTTLLKATMDSVQDMIIQKNLNNLIL TRV_01340 MIPDMLIVVSSSPGCCDEAGIPRKRSLPSLSQIHSSLYPKIPKK KKKKKERKKKEKGTLFPFIPLCFVLFYLPRFMYDGWSVYVASLVFVFFTLAYSTDLTD ASNVLQDHTSALFLLTFDRLENLFLICVAIYPLLVHIAQFHLILLMCLFYSFCSPPFI STCPGQSSSSPRPEFPTPCPVSFFYYRTFLRDI TRV_01341 MKELQVDLFRCEPKAEQSKAKQKAQLNDPPNLALVSSSPRIRVL VLHEPGSFHSLPPLLPALALRLVLNGIMITSSVRRAALPSTASLPSRAVLLAVSSSSP APGPTSGNPPYRHQRRYSSSKPPVPPSDGSRGIDPPTQTPAKSVSSGPSSKKDVENRE GRSSKKRAGKDGETAKSKQASSLKLPSVPSTQHLHPHACSVTDHDIVLDVHVASFFSI HRPMSITTSVPPNNNDSKTFSAIFSPKKQAAARQKDVIYTLSSAVNAIEQNLPGQNQA SAEDIDFRNAISQASSIHAESDVTHLDGVPTQELRASIQEFAKRLRPFNPPPAPTPMD GPLESIAGTESGADKASSTQSASEAEPSEQTFSTVLTIRESRNAHGRKSYEAYASPFV RIDDMEAPSAQGESSIYQDQETSDRSVSEPKQSFLQRMVIRQLQWERSQGQRQRERMY TISVKRQRKLKMKKHKHKKLLKKTRTLRRKLDKN TRV_01342 MAGIIGIYGLVVSVLIANDLKQNLPLYTGFVQLGAGLAVGLAGL AAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGEYLPLIPFFLLFLKAVDG TRV_01343 MERLYFSRLYVGLYLPRGIVFMSAFLRCCWGPTTWRPISEFPRQ GRHIARFSQTAAPATTRQTLRIGNIQWNILGSATLLAPNPSAVVCTTCVPFVFFVSND QQTPDSILSKLPSFSSQLHPDSHVPLLLVTPAFAQWLEKDHTFIPKLLHHCFREAAAA ENLEICSVEAVVDKLPVPTNIKHNGMEGLSLAIVNWGAISGKLVPQRGIENISPSHIE PKLSISIRDPSTSTELEVGMPMANTLFTTGRPYTMHASRWKSTESIEDVPRITDRRDI RTCRVELRTKSTLKSLDAPLHPVTEPRIVAECMGNILKTVSNESSLKNDVPASAELEK AIPQYIQDHKLESQRLAVWAMVTPKSIARTHIGSPSGSSIDISASLKRGSRLYRVMSG GGGWGKKKGLLSLDPEYSYDDEEPISNLVSIHEIFDEDVSEKHSDDAILFNVLENTPK FTDVDGLMMSPLREVVTTGDIVQFFVASLDNKLAKAAMQSKSSVMHKDTAKDTTVLDF SVLPAPTDAPTSLPSEMLQDGSKKLDDIIVEANKFGASSEKSMVYARTAGDLTGDRVQ ASGTKIDTPGARLVVEIPSEEPFFRM TRV_01344 MTTSVLANTLKLATEYPVTALGTVFLLYLTSLAVYRLFLHPLAG YPGPKIAALSVWYEFFHDGIRKGRYTFEIQRMHEKYGPIVRISPDELHVNDPGFISEL YSGPGKRRDKYPFYSAQFGLRDSVGGTPGHDLHRLRRNALSHFFSKAAVTKLEPIIQQ KVEKLASQLESHAEAIGPVPLTTAFSCMTTDVVTEYAFAKSYNFLDSPTFEPNIHDAI SAGSLIAVWQKQFPWILPTINALPQCLLRYISSQAAEYAKFQQDMRVELANEKAKIAR GEKPESASRTIFYELLTGPLPEEEKQLNRIWQEGQLVVGAGTETTAWALTATLFYILD NPEILSRLRQELSVAIPDPNQRVSWTELENIPYLNAVISEGFRLSYGLSTRLQRINPV GPMHFKAPKHGSRYTNSAKTTEWVEYDIPKGTPVGMTAVLVHLNPDIFPDPYTFKPER WLDEHGKRHRRLDEFLLNFSKGSRQCLGIKYVQRKYSHKLFVLSFAC TRV_01345 MDDTRDVSEDGQTDIDQEISTAASLEEDTDWREDDGKDDLADVA VEAEDGLRSVVVSSLKKQNRGKTATYEAVKAILDEREYLGSKRRRT TRV_01346 MPAYPAVPVANSLLTFLSAASAAAATITSIITTTRSSSLSSSSP SAFSNLRQDLELDLGRKYKQVQARNQTPKLLSKNVPACALPCLEAFISSEKPWEGCPE PLDVNCLCKSRSTTGYTVAEAALRCVAENCPNSEVEQHAVYAICSGVEGALPNTHTVI GISSTAAPKPSSMTSQPPTMTSAAPKEPAPAPPNPTPPTPAPAPPPPVSDTTMSMMAT STQMSLPQITTLPMTSVTRSGIPGVGIPTDTIDFTFVYSSETGSASATGEPGAGGHSN QLVGLYVGGGIAMASLITLVLFFIFLSRRRRRAKQGQESEKGQQGRYHNIDRAMSDSR TTPALSLSKSSSNNRSISSRPRTLLPIDMMPCSVAYLHRSTRDLENEYASSRGHSAAS QRTQSDLLPDNPHDGSSAGSRGTERERVHSPFGDACSNRGPSPKSISQSVVDPLRSAS SLNYSPALVQANQRSTQSPHNFNSGFGYATNSPSRNQSLSPDSRFLAVPSKVHAAQGR SPANSRDQFQPSMTSHLAPSYTPEPFSSRSIHSYTSHIPRQLSPVREVPSTGPPSSAG TPDIPQPAYHRSGHLSAYAGSDDASEMSVQTYSKLVPPPLSTHNTVSGNYLTEALPDH SPTLPKAMLAGSVSSCCSTPRSSIEHNPNNLRHQTSTASSKKPSSLLVKRRGEKVADK MGNEITLPAKVQRPIEIEHDQIHEDDDNNSIRSSSSLSRSSSNALSRSNTLPCRMHDN TAASTASSSKGYNKITPSKRGGDMYLSIE TRV_01347 MSSATSFYNFEPVDKKGEPFPLASLKGKVVLVVNTASKCGFTPQ FAGLEELYKSITASHPDKFTILGFPCNQFGGQDPGSNDEIQSFCQVNYGVTFPVLGKI DVNGDNTAPVFNWLKKEMPGLMGLKRVKWNFEKFLVDADGKVVGRWASTTKPESLKAT ILEEIEKAGKKSQL TRV_01348 MNTALYTCLLTANSQLLIAILKTSTGKIDYKGIADYMGPVPEYN VKSIQNQIWFIKTKTLGDKASGSNPSTPSKGGKKDAKTPTKSPASSKRERAEVDDAQS DSVPDSATPTKNGRSKKAKTGVKQEVKREIKSEDA TRV_01349 MATTESYHSGTPRVRRLSNVSSTREDLVNGPNVVVPPKHLMAST AAYETAKSIGVAKYHLEEENSSAEVTPRAVSPVSGVPVIATDRYAFAFDIDGVLIRGG KPIPAAVEALKVLNGENKYGVKVPYIFVTNGGGKTEEERCLDLSRQLEYDVSPGQFIC GHTPMREMAEKYETVLVVGGEGEKCRIVAEGYGFRDVVTPGDIIKTNKDTTPFRKLTE EEYKNSRVRDFDNLVIEAIFVFADSRDWAGDQQIILDLCMSKGGKIGTRSETFEEGPP VYFSHNDIVWSTSHEHTRIGMGALRASVEALFKAVTRKELKTIAFGKPQLGTFQFATR LLQQWRKETHGINSPPQTVYFVGDTPESDIRGTNEYNESDVSETLWYSILVETGVFEK GTTPRYAPKKIVPNVLDAVNFGMKREFEKALEETEPKKLEAE TRV_01350 MSVVGYSLGGLIARYAIGLLYAKGYFEDIEPVNFTTFASPHVGV RSPARTSHFWNVLGARCVSTSGRQLFMIDSFRDTGKPLLSILATPGSIFMLALAKFRH RTLYANAINDLSAVYYTTAISRIDPFTQVDDLSISYVDGYAPIVIDPDQPILMKPKSQ SLLGQSTSSAYSMITVFSFRLAVFTVIGFVSVLFLINAAYQTIRSEKRIRLHEQGKSG VIYNTYRVPLIMKGFRNAVEDMYETANAAQKPEYISGSTSATNERPHDMNGETPAPAQ PLPAVATAYTATKPLQSTDNNTAHKSREATFPTLALTAAQFQIIDNLDAVGFRKYPVH IHQVRHSHAAIIVRSPRESFKEGKIIVRHWLEKEFCI TRV_01351 MFSSLGLFSAVPCPQNNQCTVINCIFSHQEVKNAATFLSTADSK ERANPRPENIDEPIRKRQRLYDDGGTVSGSSGQEIDAAVVERTSTTVAERPKSSPPRS KGNLNSLGRRVSPPLVNRTATRANVAEPLAEDHDKRTSDTSSVMQRRQIKKETLNPRH LSKPPATHVIRTSILVKLHSVMVRLNEELKKSVDPSKKCMILSADELVSMALDEEEKI AKESPAVYSNVVKLRIVKLTKMKQQEWQETVTAFLRTEDSPKIIKPEGPPPKPLSTGL TAEEEKLVLSTLCASTRDLKELEYVMIPPSIDEISAAKRGIEASQGWEKCDRCNGRFQ VFPGRRSDGALTSSGPCVYHYARPIRPPKQKTEHVVGQKEFYYPCCKEPVGKSTGCTK VDSHVFKVTDMKRLAATMQFERTPRQPGKKILPPVCVDCEMGYTTLGLEMIRLTAITW PEGKLLVDVLVRPIGEILDLNTRYSGIRSEQFAKATPYKTEQASTATTKKHESKSTNN LEMVDSPATARELLFQHLQPETPLIGHALDNDLNVCRIIHPTIVDTVLLYPHPAGLPM RNGLRALTKKHLGRDIQACGGTEGHDSIEDTKATGDLVRYKVGEKWKVLKRSGWTIRD GELVSPAGNGVSQGSWASDAGIGVKRKAMDGT TRV_01352 MKNRRTKKIFKPPRQYNNSAGYLPLCGLSQSHTSTVVTMAPTTA RSAKGRQQKVTKKYIINASQPANDKIFDVSAFEKFLHDRIKVEGRVGNLGESVQISQV GDGKIEVITHIPFSGRYLKYLTKKFLKKQQLRDWLRVVSASKGVYELRFYNIVQDEAE EDDE TRV_01353 MVHYTPADMPEQVFSIEFCSWLGGMKGVVSDNTTFMTIEDVGKF LAVPVNLKDQDAFHLTIEEYLHALISLVEELSRLAVNSVTLGDCTRPLQIHTFISDLH AGFQLLNLKNDSLRKRSDGIKYNVKKVEDVVYDLSLRNLIPKKGDSE TRV_01354 MIDSSIFESLQSKIDEESKIRDVCILLEYLSSQLELTKTTRKFK ISSRSSLSEVTSSFTLCLHSQG TRV_01355 MNICSTISVPLPTHRLASSALRALQVDLELSPLVKRTFRLTNPE SSSIITRQNEIQVNRTAGEPKTGQAATDDNVDVSDESLTVLETEYRATTNRMLRVAVN AFMDSLGVVVGVMEELDVDVLGNELGKP TRV_01356 MPPSGTSGLYGAPIEYIQDRLYLASYHSPPSENTPFPFLAAPPK SPSKRRAGASTPSRKHQAKAPVYFNIDGLLLYNSFHADFGPFHIGHLYRFAVHFHEIL GDPENADRPVVLWTKPDSRSRANVACVVACYMVLIQSWPPHLALAPIAQADPPYMPFR DAGYSQADFVLTIQDVVYGVWKAKEEGLCGLKDFSLEEYEKYERVDMGDFNWITPSFL AFASPQHSPTAVVPQNTPEFAALPSTIEQVQDSELPVPFKNVLTHFSTRNVGLVVRLN SELYSPSYFTALGINHIDMIFEDGTCPPLPLVKRFIKMAHEMITIKEKGIAIHCKAGL GRTGCLIGAYLIYRYGFTANEIIAFMRFMRPGMVVGPQQHWLHLNQGSFREWWFEDQL KEKLSLPTPTTPGRVSSKQRLNGSQTATPPHGNQAKRAALGEIDTNESVNGYADENLP APTPGQPRKSHRKDSRHHPYARTPSASLGGAAASPRRGLSRTHSNNRADSDEEIQALV NRVTSPRTPVSSSQRSLSHSAALTPEIDIHEDVENWVGDSGSPKPKTPSNTKSGSGVL GVSKQRSNPRRTADSRAESRGVRKSSGRIGSAGNIAKAKNI TRV_01357 MRFFTTTIYLLLASYASANPVPRDDCNDIPAAPSTLPAPPPPLP SQATSHPIGTPGPSSTISKDKMIEVLKDIAPASAKEPCVSAAKAEGQCRSASQAAEPI IRSFERYKITSKPEMAAILSLIALESGEFKYQKNVFPGRPGQGTRNMQMPNFNALYAK SIPELAAKIGPKTNNVEAVLDMLLSKDDYDFGSAAWFLTTQCTPAVRTALQSGSEEGW SKYLTECIGTTAADERKKYWTKAMESVKSL TRV_01358 MSLAWRIGRCIARANATNTISTVAEQMIDEVGGPESGKILFRGK IVAVERRLFKGHSYGEITIQQVLKKEVESSVADELSGDARRSLTPVATGGVLKIPFKN ENIYAKHISDEGVEKYVATVPDLICVLDTQSGKALGVPEFRYGVMVTVLGIACSPRWS DTERALEIGGPGAFGYKDIKYVPLGKYVEPKSVVTEYAERLDIYSTEKEEQAKITPRT YVDPDDIIKHFREDFDKEQEREASAIFTSNAVSSVTPYSTRYSSKEEIPKFKIPKLGA RADAVHHMLSNELDLDGIPNLNMARYMRPSATVDSLKKVTANNCLAYSFVGTYMDREA NQLVVENISKNLADADEYPALMAIHARCISIISNLWNPQPGEEATGSATTGSSEAIML GGLAMKKKWQQKRKDEGKDISNPNIIMGSNAQVALLKFARYFDVEARVLDVSEKSQFR LNPELVKKNVDENTIGIFVILGSTYTGHYEPVEEISNILDGIQSETGIDVPIHVDAAS GGFVAPFTDAGAGGPKWYSINASGHKYGLVYAGLGWIIWRDRSYLPKELIFELDYLGS REETYTLNFSRPGAQVIGQYYNFIRLGFNGYREIMENCLANARLLSKTLERTGWFVCL SDIHRKKGEFYHQHLNKITPYKEDETSADYNAGLPVVTFRFSDAFKENYPHVKQESIS LLLRSKQYIIPNYPLPPKEQDTEILRVVVRESMAADLIDKLVADIAAVTERLMKSDPV DLSALQTGPTNLERRRVRNREQPHKVSKRPSGKKEKTAGHPMRSGIHRSVC TRV_01359 MSSLAAPYRIGVDVGGTNTDSVILDVRATNEANRGVIAQHKTPT TSPNVTDGIETAVLNVREQSKVPRDQIACLTIGTTHFINAIVEHDARRLSKVAIIRLS KSFTREVPPFSDFPPVLKSIMNGYYTYVDGGLTIDGAAESPINETQVVKECAKIKELG LDAVVISGVFSPVDKHFFQEDNVRKIIQRELPGVDIVCSSEVSQIGFLERENASILNA SILRFARRTIRGFKSAMKRLSLNCPLYLTQNDGTLIDAPSASRLPIRTFSSGPTNSMR GAAYLGLSGPDDTGNDRTATIVVDIGGTTTDVGVLLPSGFPRQASAYVEVAGVKINFG MPHVESIGLGGGSIVRHKGANTTIGPDSVGHYLSTKGTVFGGDTLTATDIAVAAGAKI GNPDLVKDLDKELVSAAQARVKTMLETVIDQMKTSPAPLPVLLVGGGSVLAPAELDGV SKVIFPPFHSVANAVGAAMSKVGGTVDSIESTAEKTVAEVVDEAKARAIEKAVASGAI RETVYLAEVDSMPLQYVANQVRVIARAVGELSPEGVLTLDNIRNGGDDDEGDDTIYNE VPKQNIETEEFSANTVDVATYRPKVVKNPQTGIDEWIVSETDVAWLADGCYVLGCAGG GSPFSEHIRIRDQIRQGHIIRIIDPYSVKKDAVIYCKLSQLRSRFDFDSTDASERGRS HGFSSCLCRTTSKQRDRTIHPCPYGVSQTWQL TRV_01360 MNDSRQTGHEGDTAASTVATEPESGNNYSFLIHSNKTLTQDLPP KVDSKVYIRQRRRRTRYVSWLYSPCEGYGTNPETGSPEDHAILEAEYRLNPKPDKATR ASIVSRVSLGDKEVQIWFQNRRQNDRRKSKPLHPNELSPNTQEPENSQKTVDASPPNT QNGNLNSNADDSYGNTLGTPSQSFSNCQCEHNIASSQLESSQTSITSAKSQENQCRFC SAISEPRLRAQLSSGKRKRADSGISGLEVGELENGRRSFGSTNSPSLRLSLSFDGEAV VRGEDEKTPSPPKIRDSLRIAFSADGEAVVRTAGEPSPSPSRNRTSTPLSLQSRLRSL RRTSSAVSFGSKSPDAADIFGRSRDLRRWELYCDTDARSALSSPKLGTGPTPDRSGSA RFSCRRVSNANARILSPCANLPNSLLPASPPQKRKKLSRAVSSLGRLETEPSLETVST AKLNSSHGNRNQKMKPKTDFHNGDSDKENWLPGTQNVGPRRRRLHPTAHPQNRVLQTS GDARREGNRIIGRGMTSPRKDHDKNKDTGLTGKPSLPSTSSNQEEDLDCIQGLLSLSQ GAWK TRV_01361 MGMILGMVNAFISAGAFSGPAISGFMLEYFGYWKTWMIVFGILS LDIVLRLLLIEHRKIKDKRKQAKAKKDEESRNATSLSEGVNEYTSLISNVSKKPYNLT KGISDVKKGCASMLSFYWTLLSQPMILIGLVSYMTRSSLMASFNTTLPTHVRDLFGWG SFPAGMLFVGLQAPGMVLDPLFGWIRRKGGNKILTGLGFILLGPLLWLLGVVNQKWMP WSGSEDMVKLVYVIVIVCIGCIQNLPASVGAAEITGN TRV_01362 DKPKQSKSRNGCVTCKAKRLKCDETKPTCLQCQKRNVPCGGYKK SYKWLSFEESSYTGKSKPKTQRSDTEERESQCVRQYKCRFINVTAEDMPRAPPAVEAP PSASGSCASFDSRVTPLLEGLDIQGKASSGPETASGIQLQQSLVARQEAVPFPQSSGA FTPTFTSETQPFTSRSHTPLADSEDDNAEGVIRQDIPGDLITLKRKRPGSLSQIHSIP IPSPINFAADSAEMLLLRFDRRTCGILSIKDGVAENPWRTAVAPLIRDTPALYHAVCA MAAFHSTKENASFKYLGMDHMRQSVRTLAVDIESMNIDAALATTLALAFADTWDTHVS TGVHHLRGAKVLFDRAVARHTHMPLSPNDQSRLMFLYNGWMYIAVIAQLTSREDTGFD QIPFPPIFTPQVHEVDPLLGCAASLFPLIGRVAVLVQNVRKVPMNSVAIVSQAMELKT LIEQWAPPRYFKPPEDPTSNIQDSFQTAQAYRWAILLHLHLAVPEIPSESTSELANRI LVTLATIPLGSRTLVVQIFPLLVASCETDSEEDRNWARIRWAEMQQRMAIGNIDRCIE VVCEVWKRRDARKAAMMNHQSDIYSLPLDTPVSGACSRHDSVIEPLVFAGRQDSRVFT ADDINAAASTTLPETFNQTPSPSPTVSLASSRGDGSSGLCRIFPEYERTVRGNLHWLS VMGDWGWEGSYFMSYNGGYLNVFFFLTLEYSPTWLSLHCSNHHSPSTFDFKPKNIFAF TRISMKEHHGYPTLGQKTPSYPNYHTIERLPPP TRV_01300 MVSEDITMQSPQEEQKIQEQSSASAGGEVDNSEDHHGQTPDATQ EPVTTEGTPATDSHQSSLAITVQKRRRVTRACDECRRKKIKCDGKQPCTHCTVYSYGM TPSIYKLYIPVNPVRKSRWLIMFLIPECTYDKPSNRRKNPAPQYIEALESRLQKAERL LRALVPEIDLDDPRYDGCCVEEILALLKNNTPEPPRKPEPKLPAASEPCDESLLESMV DNTGSLDLDDEGHWDYHGHSSGLIFVRRVRKQIGNIAPEGQLPQKLPRMPLRLEKMKS TSESPIDTHLSPVHDLPSKKEARKFCTHALEDACCIMRFLHKPSFWAMFDRIYDTPLE QFSNEENSFLPLLYLALAVGCLFRGPGDCTLEKSGYESAVDQGFQYFKAGRQLLDITE CRDLTSLQAVCFMILFLQASANIRTCYSYIGIALRAAVRLGLHRSVSVKFNPIELETR KRIFWVVRKMDVHVSTILGLPSMLSEDDIDQTYPMAVDDEFITKDGILPMPKNYICLM QGANAHMRLGHIMLKVMKYIYPVKVTTHGDNHTYMVSHSKIRELERDLQKWMEALPDA YRPGGEAAIEVESVARNVVHITAGMKKKQLLNGSYWFTMYTTYFAILTLLFFILENPE SAAAKDGILKDALEGKNVLAGLAKKSMAADRCAQSLNSMFKYLPERLRNRQALSSPLI NRKRTQTPTPICSNSSSGSGNKTPPLQTKAPEEFNASLPQRASTFPLHVTPNREATVQ NSPAGDMSVENANSASNGPFKWTADMQSLFGPSPSTPRVSSLANTEQTHISSPSLATV GATGNQQSQSAPKQDISFSPPFNGSAPLPDLMPMMFPSDDPLAYPTQPMSTLEDDHFK QDNNSNGGQGQFMFSSNSSLQSVMDRDGLNKSNVSSSPSFATTPGLSMVSPASSHDPT SVSSLPPHIKAVSTAFPGNTQSPTSEPQQNTNAYQFMGNPDLVTIPGHSFIWQNLAAQ GAPNNSYQYPTADPSFQSASDDFVMGLGAGLDMALDTDMKFDNLLDQFGGVSTNIGGD INGDGNLGVPSNDWTQWGNWNSNGDGSNNDNNAGNKD TRV_01301 MIQEYPIGDVDAGQPRWVQEYCDAELCEGIREYSYGQAGLCPVL IGDILGGKNMQDGKNCSFEVIGKLGHGSYSTVWLVKNKETGVNHALKILRSEHSSANN IELKILRDIGALQFSFFYTHVPTNRRHLCLVMQPSGCTLAQRSWASDEYEVLGCPWDV ASISMFTEALLVKVFSFHELGIYHGGTNSLPALNNREQLQFLTNILMLPLDLSPGNVT LGVSEDAFTPEAMQKTFGRDLRGTVFLALPPRSKPQPPIPPCLPAYITRHEHPLASDG WDFSLLEIIDFGQGGQFDLFVSTINWYRTLTILTGYESRKTKLMGTPYYLPPEHNNPK ALASIQSDLWSLGCVLFYGLMHEHLFWVDGSLEKYLAANDEGQVSIIDGRLKGHPVFQ EHIQYRKITSKLLQSLIRADPRKRDKRRANEILDKLIDYANEHLD TRV_01302 MIGLNSHGLQCDQQQRKQQASRKEKKGGKPSEAALSFFDLSLLF KCLGRPPYLTPSRRSCHCLVHVCDDPGTRNHIRQKTKWGHPTLDISKMRAVYDGRTEW PPEIDSQKVKN TRV_01303 MTNHRLTSASQAGMHLAWHPWPNLGNFACVFLAKKRRDEGERQE EAKKKAKRGKSKERPARQKRERERETTGSTSSSKKASRQAGKQASKQAAEEKKESCLA SPYLFKCLGRPPSLPPSRRSCRCLVLPFLPNRRPQRLL TRV_01304 MFLQILGPLEGLAAEVTFVRLQGHMDADVGGDVVALDGGGAAVG PSTGQVEVVGALASDVALAHMFLRPHAGDSSGQGRSALSARPAAAAAVLAAAAGPAAE VAAVAEVVAAGEMTRTASGSGAAVPAPASALAASPRPPAAAVTAAAATADAAAAGAGR GRNGLGPGWKTWPPSKSLVDAGRARHQERKKKKKKKKKKKNAGAAEQR TRV_01305 MFGVGLGPDGKPAHFFEGSLGPVPQAYGHPPPQYYQPQHPQQQP NPSYGNVYYAVGHDAAHQASYESKKRGYDALNEFFGDLKRRQFDPTSYAAVGQRLLNL HGLPLPLTHGGAVPEYQPMPAMVGVGGGHSGYQSAGPIPTQSYHLPPMGNLRTKADLM NIDQFLEQMQSTVYESDENVAAAGVAQPGAHYVQGPLSYRTTNSPPTHHQSHHHPHAT ATAATTTPAATTASMMSTSAAVTPASSVSAASRSPLASTPALTPPSSAQSYTSGRSPI SLASSHGMSPSHHPSTAGMYPTLPATTGQDSLSSSGYPTTVSSAAPPSTLSSIFDDDR RRYTGGMLQRARPDIDLSTPSIKRDADAAAAAKDEAKLSSSVIDPALSRASADMDEDA APRRSPSSTPTPTATTAAAAGPDDRQPAGEQQWVENVRLLQRLRDYVLERLNNGDYVD EDKQDDSDKEEDAKTDKASPGSASTTSASDAATGAGEYPSIKMHGMEAIAAAAVAHEE GRDSQMPRDDEDQENPSTPTARSTTTMLDAEEEAKQAGENLYPVLKMAVDDDGADTDG DEKMGQ TRV_01306 VMYRSYRVLGGGGVSYGILRYQYYDGLGGEQRREKEKRKKIVFG NIPQQTLGQMDKDKNDEQTKR TRV_01251 MEDEEKKKKKRGRREVEEAKLKSRRTKKKVAVLAQKGKKAKRDA R TRV_01252 MASSAGNEGHIYSATYSNVGFFSSSSSSPPPPPLPVPVYEYKLG TENVMRRRVDDWVNATHILKAAGLDKPSRTRILERDVQRGVHEKIQGGYGKYQGTWIP LAEARALADKNNVLDKLRPLFDFMTGDTSPPPAPKHSTAASKPRTRGGGAGSRRGAAG STRGSFSAVGHHMPPVPPAAPPANSAPASFNQEPQQHQHQHQQYGVSQSFNETSSIMQ GSPEASSLIADEDLAQMSPESTQSRKRKRGDNDVAMSIIEQNHILYGDQLLDYFMTVG DDPSASRVLPPVPPTHFQVDRPIDDQGNTALHWACAMGDIDIVKDLISRGADVRVRSK HDETPLVRAVLFTNNYEKRTMGELADLLHSTITFRDWFGATVFNHLAATTRSKGKWKS SRYYCQTLIDKLSQVFPRHEISLLLSSQDANGDTAALTAAKNGCYRLATTLLAQCPEA GDLQNRHHETANEVLMALYKRRKENPPPPSSVTYAQDMDGDVDFAATTPTAGNYTGSA VATEATNALLVRIGSIMAEANRRLARAYGEAKTPPHSSGTGPGGGEDMTNPKGLYEQL EADRENIRSQIEALQAKEEESEDLDAQLARFNEIKARYESLLNQTHDLELTSLYESNG ITEDAGDSDANHELAPEEMLELYTLANELAQAQADRDEAVAQLIRQRADAGVSTKLDV HRKLVSLATGLAEEELDPMSSELADALEFDRANEKRSGPAPNTARQLMATGEPDPESP GTRSRSVSQNGHDGIGGGGNSNANETTNGLDNDHAVDASSVAS TRV_01253 MGLLYGPLHLSSSFFRRNDLLIDNRTGSALGTPLAWPEAKERAG QQLLAIWKKARGKERDALLWGDEVEYLVVAVDDKEEKVRLSLCQAEILKALAQDAELS KRAAVNGDASEYDLPDNVKSILIKEPLPTFHPEFGRFMLEATPGKPWGIGFKELLKVE SNMKWRYGSPSRLCCYCEVESNITRRVTAKNHMAANEYPITLTTFPRLGCSDDFTLPS YPLSGPALRSQFVPDEIANPHIRFPTLAANIRSRRGRKVELNVPVFRDTNTPWPFKDP TVNYDLHKWPEDDDVRNGAAKEGNVYMDAMAFGMGSCCLQITFQCKNITEGRKLYDQL SPLGPIMLALTGATPIYKGFLVDTDVRWNQIGNAVDCRTREELGEVPLKNDRWRIPKS RYASNSTYISQDPHLRPEYMDPDLVIDEDIKKKLMDGGMDELLATHFAHLFIRDPIVI FNEDLQELDLTKTDHFENLQSTNWQHIRFKPPPADNDIGWRVEFRPMEIQITDFENAA FSIFMVLITRAILSFDLNFYIPIPRTTENMETAHARNAVLDEKFYFRKDPFPHRYPRS QRHQQSRPSSPPGGSTPSPPPSTTPSSPLLQPIESEYTLMSVNDIINGSPDGFPGLIP LVESYLDSLNVDVETRCALAKYLDLIRRRADGSLWTNAKWIREFVAKHPDYKQDSVVS AKISYDLVKAVEEITEKEGKDGSIGWEMFTAKKH TRV_01254 MSGKNTQQAAGEAGQEAVISPRLSSDSRSSSSRSSSLRREPAKL SHRQSLGDSLRGVPSSPRARRQPSFTQAAVQSLIDNPPSHAAADPAFSGRDWTQISIG ELVQPVDLKFVDADTSIEDATNLLIESSAHSLLIRESPGSSTAVGTFGYADLNAYLLL VVGLIQPSHEEQVASLRELARRAREGEKIPLKDVKGLGMQEPLTTMPQSTNLMTAVET FGGGVHRIIVVKEGTTEVIGVFTQWKLVKFLWENGRSFPVIEQLYPQHLRELRLGSHC VVSINGDRPLCHALELMNNEGVSSLAVVDNQWNVVGNISVVDVKTTKVLINGNIQLLT KSTSLPLLHNTCIHFISVILSTRGITEGQDSFPVFHINPQSTLAHTVAKLVATRSHRM WVTDPHSPASSTPSTPSHSSTNIPLGSAPNHTSSIAGPAVGSTGASLPSPSSTNFASG NNGNNHPQNGNGHARPTHNPISPFQYPQTASSAHYPSFGTSPPSFTASISTSIPASAL PGASLSGRLVGVVSLTDILNLYARASGLYPTDPNANRTRRRRSSSSSLNFRKSGELAR ELWNRP TRV_01255 MSKVCHGTLWKRVLPGYSINARSSKRTSLYLWKILATAYPAEFN QISHRYLLKPTSKKMAVANYLKLLTSVSVDWTAVVSLSVELFEPHEFLAVAKVRNLIG LEIRTQPKRTAFGYEATVSISDRVIKGWSEQALTGQAFEHLRILVLRLQTSLTEHMFA YLNAFSTLKAFIIQGCPRLCSKEARELAEQHGWEPVVVRYSDHSLYRTIVDMGSQLDS QTQDSRLTCIDSLPVVDFSLHCRNPKSLYPEEQILFRRKYQAPDHLSLQDQKAQKTHN DSDMAPSKPDLKQNKRVVRESCTKDMKDLLDQFKYDSP TRV_01256 MASDIPMSATELEAGGLYIMLSLRSHPPIPNDFHWAFYFHRGSD DGIKYHVRQQGNSGWMADHGSTAGVMKTFLLVGLFRISDVPPALRESIDGIMRTYDNR LNVPGVICTCRIWLLWILALLQRQVAGARILNCDDLQVLESEVKAWGNENALSASNNE QPRPIAASSLCGL TRV_01257 MSSFFSSRSKSQVRISSQSNKSSNEGFGNFENRSRLSFSKMRKK RPPPINIAVAGNVIITNATPASQVIYADMPWSASNPPTPRANVCSNPGCMARDTMPMS SPVDAQFRLNSPYTTCTCQQYIQSTPSNPSYISPHHACFSAAELPGSFASPKSSVELD APTTPTRLTFDHLDEHILDSPPNLTLSPKTEPAQSTRQGRYQFGQLLETEPTRNGRSL RQMDYDELMDILPDLTPGQVKMYWNPAIRKELEEEKQTSPAPAARSSRWSERNEVYDG GEDPEQVRAECEIRLNTKENEITGLLQIHDSRINALCKFISEHLENQLEGPENDNNQE VREIISAQQRRGRDPFDADLQHMYQSRQIQGLQDHISKLEPVAIRNEKRARAYKARAK SLQADLMASKETEQLLKQELIEKDAYIDTLNNKVTQLLTMRPDPFSPSDARGSRKHLP SPAPCDWKRAEELKHQVAT TRV_01258 MIKTTLPESAETPAERQDRENGGFPQVENKLALDPTSVLRVASW VAYFTSSVSSKLTTGSQLVDPKKHIVWLFDNTAYQPDHGPVYGPPGWKTHVVGCMFEK HGRRDIGRWVAIIADLVGLDGNAGLNDNKAVRNRIATRIQPFLNAVVPNRVIDLEVPV SKEEVHEFKLNSSKKDGIAEDDILIIPALGIHDGSVVESHAKHWGPKPPTMKTIFAAQ DGWAVISDVDDTIKYTQTPDAIGILKTTFVDDPKPIDGMPESYKRIHERLNPTWFYLS ASPYNLYSFLHGFLSSLYPQGTLLLRENSWMDLAGLLKSFTQGTQEYKVNQLAKVFGW FPRRKILCIGDSTQSDPEAYAEAYKKHNNWIKAIYIRKVTDVANMEGKNDPERFEKAF EGVPRSVWKVFETADELDQLVEDLQR TRV_01259 MADQEHIPPFMPAVHLPPGPYDPSSPPPASSLIYDPKFPTPQPN PSQYLIKVLSTALCRDELTWPEVISNSRAYQPPIPGYDVCGTILSTPSDDEHTYDGPK FKVGDEVFGWLSVHRGGGAADCALAEENELAFKPKNVTSVEAASIPLSAMTAWQAFFA HGNLKHIADKCGRLDSEVVRDDCMDENGKEWVKQLQPRSEPVRVLITNASGGVGVQAL QLLRSKTLFGDQKFWICGTCSSRNATFLKDTLHIDEVIDYTVNPDLSEAFKSKGWQPV DFVFDCIGGQSLKQAHSPAVICDNGSIVSVAHPLKEEWGDLGIEKRGLSSRYFIIELN GKQLEKIGTLVEKGEVRGFVDRVFELHRAREAMELVESKRVRGKVILKVN TRV_01260 MASTSGLTRRRGAGRGDGGAESGRVASPASLNGASQESRGESSF TGENGHKIAFDPRDISENEERSKQPKLTLMEEVLLLGLKDKQGYLSFWNENISYALRG CIVIELAFRGRISMQKDSSRRRFPLADRIIEVIDDTLTGEVLLDEALKMMKASEKMSV SSWIDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGVLRTEKRNFLLFDMATHPVADG GAKEELHKRVRNICSSRTVMLPPNQFLPEDIEFRYLRTIALVCAAYAANVLENALVTM GHEARERAFAQVDELLAEYSQWPFVSNKGAGAHVIGANLPQAVAEEVERAKDKELQLE VVAACLGVFTRLDSLL TRV_01261 MFRSAVIRSLRVSVPRVARVASPVSRQAPVAASIARQAQFAPRF AYQAIRQYSAPAGLSEQEVQGRIIDLLKNFDNINVESHFQNDLGLDSLDAVEVVMAIE EEFSIEIPDLEAEKIHSGMLFLILGRTMALLASNSAAHTFTD TRV_01262 MVSSRAATRLLAYRAPSPLTPAYASSVVRASTSSFSTSAIRAAT PAGPPPSGFRLAPPKRWDQQGETSLDSATKYFLMAELFRGIYTIFYPFEKGPISPRFR GEHALRRYPSGEERCIACKLCEAICPAQAITIEAEERVDGSRRTTRYDIDMTKCIYCG FCQESCPVDAIVESPNAEYATETREELLYNKEKLLANGDKWEPELAAAARADAPYR TRV_01263 MEKITEQWQRKKQTKEQARDAKRAKLDPESSKSAKDVMDENARK RKREETDKDETPDANSSHSEEEPLGSEKPREGLKRGDSKPKKQKKATATSSPEDHKAK DGDIKQQAKSEAKAAKQEMLKKKQAEKREKRKEKIAALKAAKREQQTSVEASSKPSKS KVDDTTEQPSPSVQKPAKSTAKNIKNSAESDGEMEDISLEGFSSEALQGQAGHESTAP TSPNNASDPSNPPSGSSSVSSIVPPTIPAPTIDNKSSPQLGKPQRTPEQIRERLQKRI DELRAARHADGVNGKPAKNRQELIEGRRQREEARRAQKKEQRQKAKEEEQRLRDEAIS KRFSAQNPGSLLSSPASPADSIASIPNNFSFGRVVFADGQQLDSSMTGLRDAAKKRGP QDANTALKAAEAKKARLASLDEEKRADIEEKDMWLNAKKRAHGERVKDDVSLLKKALH RKEGLKKRSEKQWKERIDGVAKGKEIRQSKRDENLRKRKENKGVKSGKKVTKSKGKCR PGFEGGFKSKKK TRV_01264 MSGYDYNQQGGYGQQSYGGQQGGYGQQQQGYGQQQGYGQDQYNN QGQQYQQGGYGQQQGGYDQSGYNHQQQSYGQHGQDYNNQGQQQHGYGGQPQYGQEQGA PGGAQEGERGIGGALAGAASGGFLGSKANHGILGAIGGAIAGHFAEEKLKKHGDGHNG SGHGGSHGGGNPLGSMFGGGKH TRV_01265 MLVRGLKRLFIPVALFLLLAFVAATYFGSARSGWSLRDSFKGSL PSFDDNNDKNNDNKKNKPSPAASPKTASDVAKTHHEVFSLSTPDRKFFRIKFGELPAT NPSIIPHPQLNDTWVISAQHMNRRDTIKNTVWFAELVCNAMFKTSGRLECVSPPMNMP IAATVGDRNKCTGDLAFFALNIGPHDARVFYGPRFPLAIYGSNSVFTCFGQWVQDFRM LIDWGYEHFFQNEFRVPTEIQRPTAYGAIEKNWFVFWDRDGQMYAHYDVAPRRVFAKL EYTGAVGPDLAPAVAPQDNRCLRRYMPRLPPKLESIHQATNSLAITLCNRTDPKCRPN EENTFVLTIFQHKSFYSFHSVYEPYAMLFRQSAPFELYGISSKPIWIHGRGTAGEGKK PPGLTPEEARVWSQTEMFYITSISWKKHGQKYHGYSDDVLFIAFGIEDEDTGGIDVRA SDLLEGLGRCSVA TRV_01266 MSALPGNILEKQYWQEPTGAESKPSRLSGALRWFLDIVRPSILT TKGRRKQMGRTSYLDGLRGFAALLVYFGHHELWAHDAITQNPIFENAYGYKGKHHFIA LPGIRTFFSGGHFAVSVFFVLSGYVLSVKALSLIQAGDYLKLGDTLASALFRRWLRLY LPVMATTFIHMTIWHMFGITAVPDPQGSYKDEVWKWYCEMKNFSFIYRTGGEPWFHYN FHAWSIPVEFKGSIVIYTALLAFSKASRNARLLCEIGLFIYFMYIADGALCAMFVAGM HLSDLDLLAESNNLPRFFYRLQPYKETFFYSLFVISMYLGGCPSHSFDVALLKESPGW NWLSYLKPQAVFDFKWFYLFWAAVFLVSSISRIRPLQSFFELRFNQYLGRVSFALYLV HGPVLWILGDRLYSAVGWVKDSHHKTIPGWINRFPLPTNGPLGLEVGFLAPQLILLPL TLWLAEIVTKLFDGPSVKFAQWAYSKTLPPAAKAM TRV_01267 MMKPLSPFLNRTPVSIRSAIDQAMGAVKTIAPKFGGFLPRQMRR ALVGCISCLVIVIYLSVAGAFSESKSEYSHSLAAPTMEKFPRKIWQTWKVDPLDFDKR DLDTAKSWVSKNPEYRYEVLTDHNDMYYVETHFGPEGFNRPDIVDTYRQLTAKIIKAD LLRYLVMYVDGGVYTDIDVEAIRPIKRFIPERYNEKDINMVISVEIDEPSFSDHAILG QKSKSFCQWTFMCKPKLPVMMRLVDNILKWLNGVAKKQGKPISEIVLDFDEVISGTGP SAFTNAILQEMSENVGEPVKWDTFHDMHESKLVGGFLVLTVEAFAAGQGHSDSGNHNA RAALVKHHYHASEWPKNHPRYNHPVYGEVEKCNWDRGCVEEWDKNTAAFKELSPEEQA KKIALAKLEVDAPPPKVPEVPTWNQPLPGAVQQPNGQPQPPQVPQQVPVEGQPIVQNP PQQQPQQPGQQFQQPPMQPQQPGQQVQQAPPTQQQQPGQQIPQSPPQQQQPGQQLQPQ PGQQLQQPPTQQPPTQQQPGQQQPGQQFQQPPPPQQQQPGQALQQPPPPTQQQQPGQA LQQPPPPTQQQQPGQALQQPPPPQQQQPGQQLQQPPPQQQQPGQPLQQPPPRQQQPGQ GPPEQPKAPSDNEQQPKTPQPDAPASPDGPEKLDDKDLKEIADLNDELNNLEGSEKKK RDPKAEP TRV_01268 MLSSLSKEPFYPSARSTQPTNDRDDIKTVRHKASQIPTDTIPVA ELEATESVQAKSVNPEETVSSALAQHRASVRSPEGRRNLEPSKRFTDVPPILTENKFN RLGSDIRNNYRPEDLISNPPRASDVTLELLLASQAHIGHSTSLWNPGNSGYIHGIREG VHIISLDVTAAHLRRAAKIVEEVARVGGLILFVGTRKGQKRAVVRAAELAQGCHVFDR WVPGSLTNAMQLLGKCEVKLVDALDRTVDYPGFQSVDIERCPLRPDLVVCFNPLENKP LLQECATMNIPTIGVIDTDADPTRVTYPIPANDDSPRCISVIAGVLGRAGERGQQVRL KQSATGDLPYTPIRLGAPENKES TRV_01269 MELGFGDQDLIYEEEAKRLAKSAPVPRSMCLSCSKLVAESPMLE CSQAHPSTKCFQCVKANKPCHTIPPQFRGDLERIQAFAREIHVRPSQKATEQLQEFAR KYVARIEAYELKEPTLEVYAPYPVPRSIPLPVPIDSPVKAANPMNSSVSTEDKLASKA RDLQQVNHMLDKIMELVSRNMDLPLENGNIEKNSEATWLHVNQGTIHGNNVNEAPKQR R TRV_01270 MASEHKGEMEDPMQTIKDLTSGAVGGIAQVLLDIVKVRLQTTTH YSNALDCATKIFAKEGPLAFYKGTLTPLIGIGACVSVQFGAFHEARRYFERMNTQKGS KDPHLSYSQYYLSGAFAGIVNSVISGPIEHVRIRLQTQPHGEGRLYSGPLDCIKKLSS QGGLFNGLYRGEAVTILREAQAYGTWFLSFEYMMDWEARRTNTKREDIPAYKIAGYGG LAGEMLWLSSYPFDVVKSKMQSDGFGEQQRYKNMRDCFRQTLAQEGMRGFVKGIAPTL LRAAPVSAGTFAAYVSFLPSDSNTSDLEANLHLIVSNSPREPWDNECILLLFYLFSLL SWAGRIPFTFSTSVQLQLLDIIPYTLLDLFMYLYVW TRV_01271 MDPIIIIAAIRLNLPIQPAELKQREALLASQVSQKQSHSTAESE AKNGNTAREQHATWICAQCQKANPVKLVSCPDCNWKPSRAYLTSSRSRPTQPKPSSPK TSPGNGQTSSDTRSEKQSKFTITRSWAPEQSLYRSARGRQQPQSTGQPSRDSRLGASG ALSRTSGNTDTDHQEPWQETGFRSISRKPRSSLDSQKQDSTVSGSWQLSGFRSLPPQS EQVRPSDLASESEAPPVRSKPRRDELDSGNYTNEDYVDRQSSRAVRGRARDFTPSYED ADKEFSSKPRKQKGRRATTDDDLDEPPTRSNRRGASRGRDLDIMDRELEYGVGRPKRK DKKDKKKAAQRLQEQSGPTPIVLPDFISVGNLADAINVRRTQFIKSLESLGFDDVTND HVLDSETAGLIVTEFNFEPVAESNDIDLVAAPRPDDTSNLPARPPVVTIMGHVDHGKT TLLDYLRKSSVVATEHGGITQHIGAFSVTMPSGKQITFLDTPGHAAFLEMRKRGADVT DIVILVVAADDSVKPQTIEAIKHAKGADVPIIVAINKIDKEDINIDRVKQDLARHNVS VEDYGGDVQAIGVSGKTGQGMLKLEEAVITLSEMLDLRADKECNFEGWIIEASTKRAG RAATVLVRQGTLRPGDVIVAGTSWAKVRTLRNEAGIQVAEALPGTPVEVDGWRDQPVA GFEVLQAPDEQRAKDVVAFRTEKEEVQRLGGDVEAINQTRRETRERRQLQAALEENGD TSTDAADKGGPQPIPFIVKADVSGSAEAIVNAMSAVGNNEVFAKILRFSVGKIGESDI RHASAANAAVISFNQSVDPDIMKLAVAEEVDVLNHNIIYELIDDVKMRLSEHLPPTVT QRVSGEAEIGEIFEIKLKGKKTTFVAGCKVSNGVINRSHNVRVLRGKNIIYDGTLSSL KNVKKDVTEMRKGTECGMAFEGWADFAVGDEIQTYEVVREKRHLD TRV_01272 MVVSKVDMFTEIYLNHANETIKRMVAKNEIHRISRKKIELQILE GIDNSEFPTTFRIIFYSMVLHTNTFDIYWFGQQFLHSLPNSSITQLKPKMSLLHDLEG TTTIDSLTPISSEESEASDAEESEEDLQNDHPGCATSDPTTAPSYLSINKEFVNVEPT DVESPHHEAPSFHPSSPPSCSTYPTPNTTPVQKKSLTVDHMDEKEDEDDCDYAAQATD LVVNDPLDDEDYFDPSSSDDSVVTVFGVQISGPRQVRTPTISTVPKPGILKSKGPANN SRGSGPKKQAPTRPIGASKAKTGENKGTNDKTGAGISKAKKGKGVGPKEKGNKKAKEV DLA TRV_01273 MTSLIRDEAKENYGIFRECVSKSILSRSMERSGMARRKRNRKRH ARTSFNNTSFPDDNDPAELAEFIDFIAQEIFRSFPEEVQTLSYNAIQSSPVLADTYAE CISGNTIDFLASLVDQSVSESLVAYSLMSEASDFTAMLSSVFMEYSSTVTAKPPPFSA TRTSACEICERDWIPLTYHHLIPKAVHSKALKRGWHEERDLNQVAWLCRACHSYVHRM ASNEELAREWYTVEAILEREDTQEWAKWAGRLRWKSR TRV_01274 MFNLRFILGIISLALSSHVNSSPIHRPRRAELFNRGLYSTHTQN TAVMTLTVTPTVAGSTVPVTMCVDLQKAGSTGTPSIVPCPMITHSNKAADTTTSAPAT SSTSTLKNGEVPAIEVGTGSPPISYLYSSSWYYFPTMAPATSSHSPSSSGTSSTISPS TTQPTMSTASPSSSRTSPISTVVPSDTTTTSLPIKVTSLPVSSSTSVRGTTSLLSQST SDSQQSRPTTVFNTTTKTVYLPPTSTGFASSYTTVPTTTSAAYPGWNSTLPSLSTITQ SITTSATVTSGFSSSSFTPPATTSLPSSTSTIQLTTSSATTALSTSSPSTTEPSTESS TMIYTSFSNTLPSFTTTSSELSTSQTVTSTYAPAPPPTETPTTSSNPLVVIPVTDEAP APTGIELIPIEP TRV_01275 MPPRTGTVVGIFGVLLGVILAVQLAFNFHGTSRANQFSRSLPLQ STRADKSATEDDIFNVGIGKADVTGPVVEIVFMGFADSEQKGTGLRQRLYSRAFIIEN PNKPDDTFIYLVTDLAAGDTAVRDGVLKGLAAMGPEYSRYASHNLALTGTHSHAGPGA WLNYLLPQIPSAGFDKATYQAIVDGILLSIKRAHEARTPTRLSFDTKDLVDGNINRSP FSYLANPEEERKRYQYDTDKTLSLIRFDRVSDDKTTGILTFYSVHGTSLFANNTLVSG DNKGVAAYLFERAAKGDDRFADGFIAGFSQSSVGDTSPNTLGPFCEDTGLDCKFEDST CGGSTAKCHGRGPFFRELDQGTKSCFEIGRRQYNTAKEIYENMDTSAKRIRDNSAVKS FHVYQNFDGYTFPSPFNPRKTLTTCSAALGYSFAGGTTDGPGRFDFTQNGTDSPSTKN PIWRIARDFVHAPSKEQIACQKPKKILLDIGDLTFPYAWAANIIDIQVLRVGPLFIIV ATPEVSTMSGRRWKQALSNKAKEILGVSNPLVVLGAPSNTYAHYVTTEEEYGIQRYEG GSTLHGPNTLAAHVNLTLTYLPFLSASSNNHPDPGPYPPINTEKSLSFIAGVVHDNPP IGKKFGDVLKGPEMGKTFRPGDTVKTTFVGANPRNNFRLEKTYTTVERQVPNSNRWEV VRDDFDWNLVYRWERKRPIIGTSEVTLEWTIEDDYYSIDNKKKLESGTYRLHYYGDSK APVTGQITAFEGIGPAFKVEA TRV_01276 MSLINETHDSLPYIDEDVTPQVRAELSRLIDAELPADYRSTLHP SLPELPPTKFSPLIEQELERKARNEPISGGIDLSRYEAPEIPPSPQTRTPTLPPKENL ALLEAHGKNAWLIGNMQLEEILRRTEKELHDTTEATEAVNRERKLKQESVRGELAALE DTWRRGVSGTLDVEIAAEKLRRDILDMRRQQAQP TRV_01277 MKETRDAQREIRKIQWGEKRERSTEEAVSVSQAKEKAELFFSPS SWMEAEGGAMSQIDFAGLAVYVAAAAYLFVIARSSLSISLEWERIFGLEYHDGLTREQ SPLLLRRRHQLNREESMRDDQAKRSPIVTYQHPLLTARRRHPAVMQGVVFLFFGWLVG WVDLCRSTLEFMSRQQQEGCIKHLFAAGKGSIILLITHLATFGAPFWSYRLYSVHNTL GNPAAIKRQILIPHTDSKSSIR TRV_01278 MAGRFASKSASMTYLLLVLLVGFILPQQGQHAHARTLARRDNSP TDICKRWSQQTAIVNGTLYIYGGRSTTDASQKDNTWNDNFLTLDLKSSWGISAPKLTG LPRGDNGPPPVSNGYLWNSFSSLFLYGGEFSDNPATDPVDFSLWEYNIPSSSWIEHKS PKTSSGENSAEANIPVQRSAEGAGINVPDLGRGWYFGGHLDGYTTKGWSQSIPRVYLK SMIEYTFPGHTNNGVKINTDDKRAGPEGVWRNITEGGLQDSAGFTERADGVLVYIPGF GKEGIILGLAGGTNATFTQMNVIDVFDIASSKWYKQATSGKTPKIRVNPCAVAASAAD GSSTQVYLFGGQNLIPYGEQIQYNDMWILSIPSFTWIEAKTDGQSVPPARAGHTCNIW NSQIVVTGGYVGQDLSCDSPGIYVFDASELTWKTQYTALEGGNDLNQQASQTRDSSGL GGSYGYRVPKVVQSVIGGDETGKATQTVPAVAPTDGPLATGQPLTYTVLPTAASGPHA GSPGGGRDGPNIAAIVAGVIAGCLGVLAIYLGFVTWLYRRRLAIYKSHLAATQRSSIG SYGDKISSFPPRYSDHMSSSGLGTTGSTGNLTVTTARMSWIGGDNQRYNHTRSSSGGN FDHLAQPGRPSTSSSVEDLLAGQEPTFLGVMLNPRQTLRVINQ TRV_01279 MWSFFGGASAQKKKDIPKNAILSLREQLEMLQKRERHLQNQMDE QDAIARKNVTTNKNAAKTALRRKKLHERSLEQTSAQILQLEQHIYSIESANINQETFN AMKNAKAAMEQIHKGLNIEVVDQTMGELQEQHALSDEIVNAITNAPIGEPIDETDLEN ELEGMEQEQIDNKMIGTGTVPVGDRIDRLPSVANGAIKEKPKAKEEDDEEAELEKLRA EMAM TRV_01280 MSSSQAVAASLMGPPPPDAVPERSSFDRLDDRHQQHPQHHREQS QRQTTPTPASTAAEEASAATLPSAANTSETKLSSEDGPDRQRSPQSESQKQAAASTLL AQLLSSQTTAAAAAPNTDTSVTATTTTTTTDNNSNNTSPDHPVAAANSDGNAWSNSSE QKQPEQDKKPEIAATQPNATDASAVNDFHGLPKMGDLSASDALPAIDMQADPSMLGSL DNVDLKVSDLNAHNFSDFSALATTPVNPRDAIDQNALLTSGAAYYDDSPTMNGGGPTP RIVGYPDFSNSTDVKGREGSESVAGSEPRIQAFAKLEFDDGHFYVNTYSFILGRDVRA ARAAFQREYQAKQNNKAHSSSGHKTRTPSRVKREGSAYMGSVISDKGGIMGFDPDIPQ NGPPQMSWKSSNSSADQVARPLLHISQGESQENSEPREMTDYNALAMQSLQRGHHEPK RVDTLSLLPSPEACPTIPIHPPMPAHGGSSHRAISRKHVKIAYNFHKNVFEMEVIGRN GAFMGADWLAPGQVRPLHSGDFIQIGGVRVRFLLPDVPIGDTGADAAPSSPPEMGQGL PDQPDNEEPEASVERPTVEKESERSEADEPVKAKGKTQQQQRPDPKATVAEPGQAKRR GPGRPPKDGIMSKRERAEIAREQKLAAKREANGAAAATAISPPPAGTTAGKKPAKAAK EPASASATAPPAAASAISPEDPSTAPVKVEKRKYTKRKKPDSTPGETVMQSIEGGGAG IAANMEIQQHTEPIRPPAPKKRKPSKSPSPDYPPESFYTPKELAKPPYNYAVLIFDAL SESPTPMTLKQIYRALKLKYPYFRFKCETEGWTSSVRHNLNGNTHLFMHAERDGKGWS WKLIPGASVDKEKKRRPSPPPVQDVSSNSQQRFLPPNTPGAPYNAPPGQFNNNRRQYP PYQQPPPNAQFPPHQQQQQQQQAPLQAPSATQPSQPVAAAKPPPLPPPPQPPAAVAVP PAHPPTPNLPPQLLKSLPPALSVVNLTPYRSPYILASPFPPPQQRPPHQQPIQPHPPP PTHPPIHHQQQQPRSLPPQMQQPHHSQPPPQQQQPPPNQYRFHNQILPPNHGQPHRPP QQPQPPPPPQHAQAPPPPPLHNTPVTSAPASQPSHPPPTTAPASTQPNASVPAPVPTP AQTPVQKPGGMDPAFLARANQAIDNFEAVLIEDYEDKDYIRNVLRSARDRVLHNAKES SFPGGETKDETVIIDALRGIIGSLGQDH TRV_01281 MPKKPAKETAKVTSKVAAKSREESPAQKTPNWPALRPLPPTSDL CLTPILPSQIYIIRNLFSSALCKTYISFLTSLPLITTPGRPKKDEALRVNDRFQVDDA SFAELLWSGTGLKELVCSSASQSDNEDDGEKVDWDGEVLGLNPNIRIYRYTKGQFFGQ HYDESVQVMHGTPPVKGRTSWTLLIYLSTCSGGETAFYPEPGPGSKKGKAQSQPEPVV VGMETGMALLHRHGDECLLHEGREVTGGEKWVIRSDLVVRR TRV_01282 MSQSHFVSNPFSANGGGSSVNRIDEEEENVTSPTDPVRPDAGSR EVPRSTYTTNAHTNTNTNTNTSSSGSSASNMFGASPFGSAAGQHQEASSGFPNNYSLG RRTSVSAESLNPTTSGTDSWTPPHHPKSPAQLSRLQAAVSSNFLFAHLDDDQFKTVLD ALVEKPVPAKDIKVITQGDAGDFFYIVESGHFDIHIHPSGTAQPGGLAGLGAKVTSIG PGGAFGELALMYNAPRAATVISTEPSTLWALDRITFRRILMDSAFQRRRMYEAFLEEV PLLSSLKPYERSKIADALDTVKHPSGATIIAEGEPGESFYLLESGEAVAYKAGIEGPV KEYKRGDYFGELALLDDKPRQATVVSKSEVKVAKLGRDGFKRLLGPVEEIMRREDYGT ASATDSEAGKEQKGT TRV_01283 MAGEEEEEASKQAGKQALSDAVYWMEVGSGQQLAAETDAEDAAA DSCNTRASNSNSNSNSNNNNSKETRAASSRPMEAKDSQGEEKRQRSERGRPWFLVSGL WSLCFAFARARLDVIAASSPTIYLTTWLQTLPSSASFCLFCVLCLVFVSAVLLAHCEI VSFR TRV_01284 MPERSKEGQPKRKKKTFVPLLLSIAQEVIVTGSFDDWARSIRLE RTDAGFEREVLLPETDETILYKFPLSIISLPYLSSLPLPLSSFSFFFPRFGPLSGPSW SLFSVAGSIIRTSSHPTVLFTLFFPLSLLHKQQHCTDALLCKFIVDGHWRTDPAALQE ETDEHNNINSVLLPRHISPTSSTNMANGNNNNNNNNNNNNNNNRSAPTTTTISGVTPQ STTAALAGTVPKEPNVHQATISSAAPCSSTAQLAGQVPFEKPPGTYPESPIKDEGYNV NPLPATGTLGNPVKLAPGEKVPDSAKNVSSSGIGSNVTLDKGSYERGASDPAMAAMAA AAAATGASDKNKKQGDCGKDSQQKVSGKGDQQRFSGRDEQQKYSGRDEQQRSSGRDEQ QRSSGRDEQQKYSGRDEQQRSSGRDEQQKFSGKDEQQKYSVSPMPATGTIGNPTMVSS GEKQSCSAKNGTPKGQSSNVTTDKQSSDRGASDPAMAAAAAATGGNGKKKQGENGNGQ QQFSVNPLPATGTVGNPVKVAAGEKLPEQSTISCNTIGSNVTTDKKGYDKDASDPAMA AMAAAAAGGNNKKTGGINKKQTNTNDDQQPYSVSPLPATGTVGNPVKTSPGEQLPKSG DVTCNTIGSKVTTDKESYDKGASDPAMAAMAAKQHDKKNTFSALPVDEPKSKSVGPNE NPSISSAAPQATTAGLAGGVPLEKPAGSSKTAPAVGGQQYDPEVPAKEVPERVKESMN KAHVDPEAACLPEMVQEKKDLEKELKEVSPATGSGQPAPTTSAAATSTAPAPTSTTTT TTSSTAPAAPAPATTTTSTTEPTSKQDSLQPGTGTDTGDVSPKSHTPEVPAGGAVCES SGNDGPVTKGTTASQVPEAPKETPEATTGPVTTEVPATSGAPEQKAQEQKPIEPRTTA TVTAGADADTSAQEDAEAAADDMDRKKKNRISGFLYRLKEKFV TRV_01285 MIKFPILLSSIRRSIGSSTCYGSRLTAKALQSASRLLTTKTSSA VSNYAHSSSIVTEGGILLSPSQAKDLFAGRFPTDCNHDTLVLNRLSQHDYKKIVHGFE CSLSGSASVLRAPPSNIHDALMWNIIDPVANALKQSTRNDEKYSFQVMTNISVVSREK NAAMKIPDIRIARRERAPRRGKIPRDKIVFIAEIGFTESSSELEKCIKQWFKAMPEVK VAFLVKLDERPRFSSKRAFIHLPEYVIKNPQVDANSNTAASLNSEGVAEIHGVSFVGN ISAFLEVWRRGLDGGAELSGERIKFYDSFQLLSPPKLELDTAMFDFSLDNRQHEQLVF DWVGWDEALRGQTGELARKRFFFTLDEYCIAGTEVSG TRV_01286 MGRFLYNEQRRLEERRISFNVAALKDAAEKYVGHGKITCLQKLA EGGFNRVFLLTSEDGFQAIAKIPYSITVPKKYTTASEVATTDLLRSKGVPVPRIFGWS ADANNPVGVEYIIMEKASGIPLETRWFDLSKHERHYLVTSLVDIEKKLFDIPFGNFGS IYYKSDLPPDLQLDLYMSDTDPVMVSQDERFCIGPTTDYMFWYGQRADLDTSRGPWKT PKDYLISIGKREYEWTERYGRSRPMKFPHVVHFEAINSPNEHIRYLNQYMEVAPYLLG SESHTDLSCPLLRHPDWQHATLLPLLLATGHPPMFQSPDQPPPKTLEKPSLPDNYDSL DPEQKSQADELYRRRTLFYIYMAFNGGLNKKHLSGMKDPRVLLSQHLVGRAEKQWSGD FFSLKGALIRIYENWHLFNAHLSEPLPCPVSFTQSEVDAYYEQEPTWFEMNGLVEYWK SELGGLGDDGWVRTEAYEDTLKKSTELKQVLLEGSDTPEEERCVEEQWPFQDHEE TRV_01287 MGALSRLLPVVLVILLVVALAAIGLVIYSIAMDVKDNTKKKMEK KNVAFSREGAKVGVKEMQAEEYQDRTQRYFPDRSHSSSL TRV_01288 MSSEPIFFWKPEQEHGYLGQWWKSPFTVPAEDGGEELKYENCEH YMMHQKGVLFAPDDPVTQQILAPSGPVPGPKEIKALGRKVPNFDEGVWKKERFRIVVQ GNYYKFTQNPDLKAQLLETGDRELVEASPRDRIWGVGFGAKNAPARRAKWGLNLLGKA LMEVRDRIRREEEEVEQDD TRV_01289 MQNVNLQGAAYTWDAADERLWERLQNRRNAASGGKKYVLKNMIP GEFEYQLDLQRRFSGHPNIRAVTDTIQPLEMFIYPYLTGDLQHLSPKLRSKRTKVEVL RSALQGLCDMHKENIVHNDIKADNVLIEYEEAEAGEEMVKIKSVQISDLEDTVLLPAG MWFSEGICGNAIWRSPESWCRARQNISSDIFSFGIVMIYVMTGKMVFHIGWDKLDAED AWRHVLSRHISYFADEEGLNGLLKHIQKENPFFERLVSLAENVPRQPFEAWGSVEPQL KNLVGKMTCLDPSRRITAAEALEHPWFKSAWMKG TRV_01290 MATDDSNKNLRQSPMECLRDLFNWKVRVEETDPVTGVTTVEYRD PEPLKNPFAMAAQLSASNWLFFLAGLFAWICDAFDFHALSIQTVKLSKYFHRSKTSIS TAITLTLLLRSVGAAVFGLAGDRWGRKWPMVANMLILGALQIATIYCATFSQFLAVRS LFGLFMGGVYGNAIAMALENCPVEARGLMSGILQQGYSMGYVFAACANLGVGGGTDSW KVVFWIGAGISCLSGVIRIFLPESKQFLAARKERKEGKKSGASPGKFWRDTKEMLAKD WKLVIYCIFLMTWFNFYSHTSQDSYTTFMLTQKALNNSAASRASILMKAGACVGGTII GYMSQWFGRRRSMVLSALISGILIPAWILPHGERSLSASGFMMQFFVQGAWGVIPIHL NELSPPAYRSSFPGLTYQLGNMISSPSAQIVNAVAESVSVRGDNGKPAPAYGATMGVA TAIIALGIMVTTAFGPEMRGRKFELAKVAGHLHDGEQEHAGKEDLESGMVGRSHDEKR SEEAEVSESEKAVDKS TRV_01291 MNIAGVIIQFLFPCSPPWYENLYGLAPAHYGMPGDPGGLARIDA LFGIDLYTSGFSAAPVPFGAFPSLHAGHATFEALFMSHAFPKLKPVFITYVVWLWWST MYLSHHYAVDLIGGGLLAAVTFYYVKSRFLPRVQADKFTRWDYDYVEIGSADMSMPGS YGYDIADGLQMDSDEWTVGSSSSISSGSLSPVDEQSAWGGEADGIPKRSSDLETGLGI SR TRV_01292 MAELRRRVGADLVSTSTNDENTPSNANSSSKVIASEKVTEAPKA EDNCYNKVEKEVVYVQASSKPEDAVPPKRRSKRRNGLIFGLGGIFGIFVALFFANQNE VISLDALLDLNIDSLIDVIPAGIVRDAKEFSAQGIKATHPVIMIPGVISTGLESWGTD EKSRPYFRKRLWGSWSMMRALVLDTAGWKSNIMLDKETGLDPPGVKLRAAQGFDATDF FITGSWIWNKILENLATIGYDPTNAYSAAYDWRLSYLNLEHRDHYFSRLKDHIETAVK LNGKKVVLVSHSMGSQVALFFFKWAEHQGYGNGGPDWVDRHIASWINVSGCMLGASKG LTAVLSGEMRDTAQLNAFAVYGLEKFLSKEERAEIFRAMPGISSMLPKGGNEVWGNHT WAPDDFPNQPVTNGNLLNFRSNSTLTAASRHNFTVEDGLAYLYNISEPWYRNQLDENY SHGVAHTAAEVEANENDPRKWLNPLEVRLPLAPNMKIYSFYGVGKPTERSYFYREEVD PLSKLNLTMDTSVMEGEGEGHVDRGVVMNEGDGTVNLLSLGYMGTRGWRIKRYNPAGI PIKVYEMPHEPERFSPRGGPNTADHVDILGRASLNDLILRVAGGKGDSIEENYVSRIK EIASQVKIYDDE TRV_01293 MADAPSQFRYVQYEAAKENEYVPAMRQLISQDLSEPYSIYVYRY FLYEWGDLCFMAMDEKDKLVGVVVSKLEPHRGGPLRGYIAMLAVQEEHRGKGIATKLV RLAMDAMIERDADEIVLETEITNSPAMKLYERLGFLRSKQLHRYYLNGNSAFRFVLYL KEDVGMIPTSDPYHGHPDGLPLHENGEHPGHHVC TRV_01294 MFSGSRYCLSRKASIPVAENISAAIKNEPLLFLYPPAFAAAIDN SIGLTKTRTASDNRKPGKDRINRVPEDAQNRQSRSSQRSGPEVPGRQKPQPKSQRQEI GGSDIIIPTNNTENTLLDTVLAPPIVEYDRDDKTSKNKSTTSYSQPNGSKHALPELLS ELDSLATKQGSQPSSYEYNNAGDTRPVRNKSHLGGWIRKSAHGVPLRYTKSMADFDRQ NAARNPYTAPPRTPLRYVRHGYNNPISHRVYQPKSLEEQRSDAQRQASICLQLVEGFK SLVNNKPDRPADEFQKTVTLSEADVVALVGDERENMWVIPFLSGCRAHVLPQTDDSLG LRHLVLSGTQAAVQAGEKHIEELIQNLPKNDLPPFIPFLKDSSQRPLIRSVWASLPPE PLVPGKNEIKAPKKFTIKTFADYIEDLVLVPVTRLVHGRLHSALGVSHKDAIGDMIRR LMLDPENRQYFSSRSICLAISYFASHHARSSLHSLLPAFEGRMTSRTVNALLRASVLR RDFVSLKWCINAMKRQGIYPRNWAWVSVLSSITPHAHRFDLLVQARKSGVFDDTHVFQ VAASISIQPRFGKWLKDGGTVSGFMKHMDETLGHGWLSVRTINRMILEGSLCSKPNVI SDILEYCERVSFGLDTTSLNNALFYFLHQSDKMNKTFVALYLKVTQTFNIKGDDQTMD ILWHLAWRARAYNLCRVLWRHGCLNGWTTQKMQVSIYSSLRREVHGSSLPERECWLQK IGKVVAGVIPFENLETDASQNFNKLVLGVCGSPSDVYPEWRKELAKKLVDSDLSASDD GYEYREPLNQLLERAYALDEEWGACHDKPVDWLRKNSVTVDIVKADVRPPNNPRGLKS WVNREPLALAA TRV_01295 MASGGGILLVDNTCPAPEPDESEIGLRASRTSTHRSLAKKLTGL SVRENLARRKYAKYQQDRYDGGGAHGTHGVNGDDRRLSREITATTPREGSLSRNTSIA APSSSAVRRPGSDVAFDTEASLQEQPTTEEPHPQSQSEIDVLYENQRGWFFFGKPIFS KNSLLNLDPPAWMTATFEQSPVTIANAQVPDPSWEWDWKTWYVDMSHDVDEEGWQYSF SFASKFAWHGTHPWCHSFVRRRRWLRRRVRRSKHRPARGMIGTSYLGSGEPSIITAGE AGTTYTGQLSRISQDEWEEQVAPEDITNVAILSKAMRISTLDRERIDAVREFVHRGGD GLVLLEDKMPEILSMLLFHSSRRQLIKMLKQSMDEIPHDSPDEAERARRENLHKAYKA GDRLVRESWTWNSA TRV_01296 MQEPHHRKIELQSTADLTYLYTNTLTVAREKLDLHFPPSANDDS DPMKERVRSLVDGFINKTFTSAIPSISINGIDTTNSPSKQKGPSRDEQPINLESLLTT RESIEYEPFDTELAARLTSLYAQLESLTTTVAQMRRDAPLKAAKAYEEALNNALLHDD EDDDEEDIDEDYEADSVPVDADMDEQQRQEEERKRDIERIQRILQKHPEWVLQVPLGT EQVQERWRDGEMSDVYARSLETLFKLQGEGSGIGYGNEDEEDGGGSSSMGGTSAGAPL ATTVGKAERARLATGVVEKMIKVMEKEKGREE TRV_01297 MASKQATPQPKDLPVDEKYDHYDFPTSAPTPQPGHPGYTTPEQD AQVFQLRKQLEDAGCKDRLDTLTLLRFLRARKFNVEASKTMFLASEKWRAEFKTDTLV SDFDYHEKEKMFEYYPQFYHKTDKDGRPVYIEQFGKIDLTAMYKVTTSDRMLKHLVCE YEKLADNRLPACARKSGHLLETCCTIMDMKGVGLGNASSVIGYVRQASAISQNYYPER LGKLYIINAPWGFSTVFAMVKGFLDPVTVKKIHVFGGGYESELLSQIPAENLPVQFGG KCECEGGCMFSDMGPWQEPEWAGSKEKKTEAKKEGGEEGEEKKKEEEEEKKEEEEKEK ATDAEPATATATAAAPAPAAA TRV_01298 MDGTDGKRQVDQWGKEGRKEKKRNKMKQYRNTARQAGREKKKPG PGEEEEEKEETSRRSIHWKGADGEEKGGDIKRCITSSQCIKISNQSKDQSKPDQTIPI AKVKRRKKTKKEKGY TRV_01299 IIILTATAQKSLRELKSKISTQSKKNFVLEKDVRYLDSRIALLI QNRMALEEQNEVASHLDDPADIQEGAFPNDDKTQKYGNLLFLLQSEPRHIAHLCRLVS MAEIDSLLQTVMFTIYGNQYESREEHLLLTMFQSVLTYQFDNTPEYSSLLRQNTPVSR MMTTYTRRGPGQAYLKHILAGQINSLIELHDIDLEINPLKVYESMVQQIEASTGSLPP YLERAVTAEVAAENEQVQAIIAPRLKMLTDLANSFLKTIIDGLEETPYGIRWICKQIR SLSKRKYPDAQDHVICTLIGGFFFLRFINPAIVTPRSYMLIDGTPAEKPRRTLTLIAK MLQNLANKPSYAKEPYMAKLQPFIQQNKERVNRFLLDLCEVQDFYESLEMDNYVALSK RDLELQITLNEIYATHALIEKHASTLAADQNSHLNVLLQELGPAPAQLPRKENRAIHL PLFSKWEAPIDDLTSALDITQEEIFFMEAKSTFVQIMRSLPHNSSVTRRPLRLDRIAE AAATLKNDAVMVRKGIRTMELLSQLQELGVIDRSDDFSLLRDEVEQELVHLGSLREKV LEEQRKLEEVYRTIRDHNAYLVNQLETYKSYLHNVRSQSEGKQRKTQKHQELGPYKFT HQQLEKEGVIRRSNVPENRRANIYFMFKSPLPGTFVISLHYKGRARGLLELDLKLDDL LEMQKDNQEDLDLEYVQFNVSRVLALLNKRFARKKGW TRV_01250 MDEPPASTSNPAAPATSSSPHQQLPQDCDPNRAPVPSIESSADS GDIDPFSPTPPFRDRPRPSRTPGRSQCSDSSPRVHLSTPSTPLGVPTPLSVIGASDLR LPSGSPRILEPEPLWYRRGRTSQVDELRHVLDPPNTPTKLALSPPALRHALSTSTSVT LPAIRAVEAIHSLPSLSTITSAVPAMQNTSDLASTPHQRESATSNAMEDNTSAAAPIA AQSLGPSTVENFCPDARHILSSSPESIKYWEAILDRCNTSTRITKPHIGQRDMFVVGN VTIKSDHLDPSGGSGYHLLDANEVAAVKLVKDAIPGIQVPQTYFNSKIRGHDTLIQSR IPGRPLSDIWPSLTTPQKESFKSQARDIILKLRRITPVTLKYPRYFVAGSEKESQRKL TAEEVHSLSPTDTCEDLGVAHNDMIMSNIIVDNDRIVGLIGWSNAGYFNWRTVSRIHA KVRCVDPKTNASIPEYHESEVPWHDLYEPTQESKRIEIDETATPKVSTPTTELNPLLP SEEGSSQTPALTPRKVADMKRSSVSRASSVDRSSPAPSLNSQPVTKKKGPSAASIKKG TAKRTPAASKKRKINEIGDVEDVKSSPLAKPSTQRYKKQDSQSAAGSPAPENKAPKKA RLRRGKLNNDDDDEDVDESALFCICRKPDNHTWMIACDGGCEDWFHGRCMNIDPKDAD LIDKYICPTCETKSPLRTTWKPMCRLRECRQPARVDAKPPSKYCSDQHGIDYMKQAMS RGSRQPSSSADEQSNRRHKNEASRGGILNLGELKSVVSKVDSADQFRKLGASPLSARQ EEVQARLSDAMASPGPNQSDSETKDVNFDSEADKVAFSSSERQRLNQLRKEKLRLDNR KEMLQARDNFIVISKQRVKHAFDRLKAHGSIGNLQPKDICGFDNRFSLSDEEFDEWRK SEKGALLLADFSAQDNAGEASPQLKSAVDAESVEELLQTLCLKKRCERHKQWTKVMQQ EVLFEQSILREQSDKCHKDAEELVKKAVLRMFSNEN TRV_01244 MELTEADIQPQMTRRRPGQSALTTPRNEKDRVEIQSGTEFGITL GTPIGMVVRNEDQRPKDYGNSTMDMYPRPSHADFTYLEKYGVKASSGGGRSSARETIG RVAAGAIAEKYLKLSHNVEIVSFVSSVGHEHLFPPTPEHPSPVTNPDFLKLIETIDRK TVDSFAPIRCPHAEAAQRMTKLIEHHRDLSDSIGGTVTCVIRNVPVGLGEPCFDKLEA KLAHAMLSIPATKGFEIGSGFLGCEVPGSTHNDPFVVETNGSGKKLTTKTNNSGGIQG GISNGASIYFRVAFKPPATIGQAQTTASYNFEEGVLEAKGRHDPCVVPRAVPIVEAMA ALVVIDALMAQNAREAAKNLLPPLPQTIPTRPTIAPTQNKDEQAK TRV_01245 MAPFFQKRLRCFYCGGNSRQIYKNAVRRWKCESCQAVNYLDENG EITDPPVAEVSTEKRFHRYAAPVSPSISSLSQSFEEQPEQPSAADSTIFCSTCQKNQY LFTQTLASYLPDPSHPEYAAYEKSYPSYKRSLEERYPQVCEKCKPRVIDRIRQAGYAA KTDHLRRMMERSKGKSTRKRRQMWKWKSLLVSTGAFSFWSSVAGQLVWDVVAFASSAY ELQEVEVASMSMSSLGSHCVSSSVQNFRIPGECATFLAPYAGLSLILGAFALWWNPKL RLKVEGHHGRLIGLREYYRIQIVALMVRFMAWAGLQDPAITGLHPHLNPSIHAFVAIF TLITTFASTSTIKFEKRPLVDWHDHTEELLSSTNSSDGTQLKSPPEPQASEIGSQSVP KRFPIASLAPRRLATPEPYIPPTPPLDPRQEDVDAMDWTPSRPSLQVPVKPTSQQASA LSSSMLFQGQLPQVPKPPSWNLRNPVVGKAEQIQKKPNPFHQAPVLQPTRLSLEEDEI ETEDEDDQKHKPVRTDAVFAAPKFFPPGLTAETGLENLFDQAFSIADGPSAQQNQPGQ ASINQKSTASGNKPTHVLRTTLLLSCLVLWIITKVYTEPAKSIESVILGISFLVAAFS FLESLMKPMAVWNVTEILLSMFELVSCLYLAVVQARQLDSPVGLDNAGIYLVSFMMGH EMFGLGPLLAPHTSLTEPKNTPKAAEAETRTDKKPFSPTSSAAGSFTTLSMSQNSLSP GSRYAGSPQARRPQTANNRMSPNTNSPPSVLPQRHHNSFPDFATRLKATPQPSFTPTT AFAGYTPAPAARRPKPTLSFSPSFDSALQDSPFSPTSTASDSASSVASEVQTPVSRLR FSNIGPSNPSPSIIKSLNLNDGPAPRATPNARYSLRQRRN TRV_01246 MDIQAQVRQPCRPVHLLVVLGSGGHTEEMLSMLRYAELDPAVYI RRTYIVSSGDAFSARKAVEYEESIGTSEQMGQDESKSSNYTIITVPRARRVHQSFLTA PLTTLQCFGFCMLVLMGRHPDQLTLTAHSSSSSSPCPGYPDIILTNGPGTGVCVVVAA RLLRLFDPLVCRILPQTRSDISFKSKTSTYSGPESRSHSGPRDQRRGTRRYLRTIFIE SWARVTTVSLSGKILFPLVDRFLVQWEGLAGYSGWFGFGRKAEYVGTLLS TRV_01247 MTTSGIDIGPFASYPATDKGGLSFILDAGTAVEKSISFSFSSSS SRMDEQRKSSVLRKEEKKQRRKSRRVVLVLIRSGGRAKVNNPTVSFAFFTLFHILSSL LFFVFLSSLSLSLSLLSSLSLSFFRFVLLFMLPFFFMPDPPSPGCE TRV_01248 MARRISRAGREAVWSWRLAVAGSERRRRRGGFLAGDEVEAAVEG QADVGEGEVCSRILDGGLGSARRLQATNNNHNHGRGGFSQQFSKAGEGKKKEGGQDRA RARTRVREAAESLSTGASAIGQTADSREEAESRGTKARDRGGTLLLLLLLLLLLLFIR SPRATHGGKTGSSASPGTNCRATRGPDTGWEPLTDGQRASRGGSPGQKTAREARHRQS HRQRDGAGAGAGAGDNASAGGHRSDMAGDAHSKAVIANRKRERDARQKEKDEDEDEEK TALTKKLTVILKEADAIKQAMVVQRQAGCSPAVSPLVSCGFDLLPGPAGLLVDSIDTD GRLDVKGDQTACLPASLACSHAISKYSCPTQQNPSVCQGHDEQLQDPRFTSTSALSLS ACCLLLAAAGFFSFSFFAFLFPKTCWFHDGRTKVEAKQKGKKKVKKFLYTNCFLSEKW PETWLHPLRMDIRGQKSANTTVRK TRV_01249 MLRLSQLFEASNRRDGDAADIAAPSSHPSSCLARPPPPPESPES PESMISSNPGSPVTSLFSTRTHTRFPSSVSSLASSPVTSSPDGFGGTKTQLTEVKEEP GERETGCVERDGYFLKSKQDANVRGLAHFNDFHAAAAAAAYDDSHLSLDSHDFDQIDT LDAVGAFKKRRSDSRSLRQISRISTRFSSLSSKWKQTKPSSIADTVATRDRYEDSLRS RANSATSALASPAASLISARQSLCAPSPARTTFEERLNEAGVAGLDIERANQQFDGEP ERHVRTPLLPPVMMDLPHIDKDQHIDSPLESPSIAPVADSRDTDSPPITSISDVMPPT SPVSPITSAHPSMSSISRQLAMSRLYSTRMAMSDSVDEWSCKLGHANFTIYPEPYVPE ICDREALNNFRANWELARCNYAKHLARTDEHYGSTSHIYKLTEEKWVSVNSQWKNGYN HVIANLEDGNGNRLSLSPSEMLHCSQDAVKIPGLHDKNKFPELGDEDIVGPMSVGPGL QHCRSSDDRILSKKSILKFLNGLFSLRKSS TRV_01241 MEDAGDDDGGSVASDIDCGGSAWEKAGDKRLISWGGLRTLGDTA KLELSRDEMRRSSWSFHTVLRRASGRARQQSRAGSHRSLSLETLELNPALSLPPFFFF AFWLSKDQQLCYSGTTMVDSVRSSVDHRPSLESSPRSSSLQVTAREPCLVWLHLSPRS PRSLRNNTTTSPGGPWLPSQRLSLPAPSPPFLAEYRSTQWERGREREGGGWPTIVVCG NSRLQMAVWLPMEDPAAIEIAASTGYTLRADDEEEEEGIMVIFVFESPVSATVTMTGW RVQTLCCRLEDSVISHSSQGRLLRHDDEITNGVKKKRRYVDIPFLLGLSTEGSKPTGR RKKVISIDLLEYSTSAYVFIISYPGYAPLADGVIISPGQQRCVHRIPDGFICPLARSV KVGRGMLFPFERRAAAFYRTAGESTRHLLTLFTLIMIILLQPARQLKDKIRRTASFP TRV_01242 MFKTFAASTFIVLAAAADLPYNPSSLWVSTTAENHTVAYYISST SNEVRFQYINLTRSFDAVSPSFSNIAPPPFSPNDAKSIIPIVDLEGIPTIYAGDCRSS KAEVWRFESENAKDTGDMNGKWLKSAIQQKKGRSGIPWRGPNYLAAGIGFSTPESDSA PDIYVFAGMCPTDERRHRSWIADAEYSRNMTYLQTEMPSPSPDYNIEALSIRSPPVAE AGFSMTPLVPAYSNSLTGARLRHQSFVLAGGHTQSAFVNMSQIALFSLPEESWSYVTV NQALSDSSQARLPEGISGIEPRSGHTAVLTADGSKIVIFGGWVGDTSVPAQPQLAILD IGEDYGGSGDWTWKIPKSSPDGLPENTGVFGHGAAMLPGNVLLITGGYKIPPRSFKRS SDEFVANDQTFLFNTSSGTWVTSYTPPDIASVPNEHQRGPLSTTLQKVGLGVGIGIGV PIAALAAVLVFFVCRNRRAREHRKAREQTLRSLALGAERPHFEAPDQQMMQIDSAQQY EFGGYSDIQNSPFAAERTGLLVDNPSPTRGLRASVRSRGYQPGILQDDPRRNIKFSQI QPIDEGEEYDAIQPVPAGAAGCRDSKCSNNSDPFKDPPTPTKDCFPSLVPEDQSRSHA NDENIHEWVHTLSSEKLEKPPSITSEKQDRTLSNLSGTSGTSSSSHRTNPKLLDRSVS QRSNFGNPSNVGSSERGHTADSDRAVPGRVALSGRPSSQLSYAQLQAEGSSLLGTNPS WMPQQDPNQPADQRQGPITLELAGTIRRVLGSLRKSETSGGSNKAPNQAESRASNQRS SSTSPTKSFYSLPDEENPGYLEIVQGTSQRPHRAVSTSSSVLRRKQGAKDWNAKRKSG DSSILRQGKPRYESSSAEVGSAVGGASFRSSEDDYDFDDEDWDVEAAAEGRVVQVTFT VPKERLRVVNAGAGDTIDDDDERDEDKKMKQKQVDTNDNDHEKGKGKGLIPVSKSMRS IDDVFTDAPEESSETPTKQ TRV_01243 IYQSLSSDPFVNLSLENFLYEHSPPDSKILFLYINRPCVVIGRN QNPWLETNLRLLRSSTGLENNGEGGNTVNDGSALFVRRRSGGGSVFHDEGNLNFCVIC PKPIFHRDKHAELVVRALKNVGALRTRVNERHDIVLGQDAQEEPSPLVVKAGPSDQDA ESPPLEKPVRAVKISGSAYKLSRFRALHHGTCLIDSPNLGQIGLFLRSPARPYIKARG VESVRSPVGNISSALDESVRPFLMQQIIGEIMEEFALLYNLDRNALRKAQRAHANDPE LSSGDDWVTGILTDEDARNEPEVCKGIQELHSLEWKYKQCPQFTFSTHPTEEDPRPRP EISPALSPSTKAFFRVKSGLIIESHISTSPDPDTAQYEANCVHKILHNRPLHEIWDWA SVLSSASALASQESAHPGAFASKAPDNELSSLAKWLESQLGH TRV_01237 MHGLLLAGLAAALPLGVAGLPARQQSGLSPRGIDINPYRFASMA KYSEHKATSQMVHSFSYSKDDDYVATATKLVKSTFPNMTFRTVKDHYIGTNGIGHVHF KQTAHGIDIDNADFNVNIGRDGKVFTFGNSFYEGEMPKTNPLTKRDFSDPVKALHGAI KTLKLPVKPQSAKAMPMKEAETFKFEGTSGALSDPMAKLVYIQKDGKLHLTWRVETDV GDNWLLSYVDSKETETVHNVVDYVASADYKVFAWGLNDPTEGQPTMIKDPWNTTGTGS PFTWHGDGQMDYTVTRGNNIAAQDNPSGGEQWENNYRPDSPELSFVYEYNEQMEPEQY KDFAITQLFYTTNTFHDVLYSLGFTEEAGNFQMNNNGKGGEGNDFAICNAQDGSGTNN ANFATPPDGQNGRMRMYTWTTAQPSRDGDLEAGIVIHEYTHGLSNRLCGGPANSNCLT ELEAGGMGEGWGDFYATAIRLKQDDTHDTDYTMGEWAANMQGGIREYPYSTNMQTNPY TYADVQGMDEVHGIGTVWATILYEVLWNLIDEHGMSKNIMPKFVNGAPSDGRNLAMKL VLDGMTLMPCNPNFMQARDAIIDADQALTNGQNKCALMKAFSKRGLGANYKHGKTRVN NFDMPADC TRV_01238 MAAHGTKRGLGSLKSLKGSASAQVQSRQVGRGVRVIKMGCSLLV FESITWTEVLQASWLLSGLFSWCRQGSRIHCSIYPEFYPRHGKGRLRLWLPETESTKE DSMLNGTQLHDLNDKAFTVLRMSCQDLKIALDMGSSAAHQQAMAKDHL TRV_01239 MSVLEKIGSMASMAVAGPAAVAIFAYLNAKLAISHDLGLITRYV RALIRGALKDRSNKWNHFYLLEEFALDPKKAKEVFIVYQGKEWTYRQTYDIALRYGNW FRNVHNVKAGEVVAMDFMNSATFVFVWMGLWSIGALPAFINYNLTAAPLAHCVKVSTA RLLLVDSEVRHAVPPEMVEKLGAPDFREKGGAVEVVFHDESLQAKILQREPWRAPDTD RQGQARSDAGILIYTSGTTGMPKAAILPWAKLLLAGTFVSKWLGFSKSDRVYTCMPLY HSTAAVLGFFACLASGTTLCIGHKFSASHFWDDVRGSNATIVQYVGETMRYLLATPAQ KDPETGEDLDKKHNVRLAYGNGLRPDVWDKVKERFGIPTIGELYSATESTSGLWNLSS NSFTARSIGRSGLIADLILGTSAAIVKLDHDTELPWRDPKTGLCHRVPRGEPGELLYA LDAANIKDKFQGYFNNPNASNTKVLRDVLKKGDAWFRTGDVIRYDAEGRWYFSDRIGD TFRWRGENVSTNEVAEVLGSHPQVHETNVYGVLLPHHEGRAGCAALIMEGVDPDAEKL EPSAAFLSSLGEHVTANLPKYAAPLFLRITRALETTGNNKQQKTSLRAEGVDPNVLES KNSKDLLYWLRGKTYVPFEKKDWEKLNAGQVKL TRV_01240 MASAQKATKLWGGRFSGELDPLMVTYNESINFDKAFYAQDIKGS IAFARANVGTGILTQEEFAAIEKGLNQVLQEWKDDKFVIQHGVDEDIHTANERRLGEI IGKDIAGKLHTGRSRNDQVATDLRMWLRDELREAEKFLVELLTILTDRAALDIDYLMP GYTHLQRGQPVRWSHWMLSYGSAFLGDLERLREVIKHVNKCPLGCGALAGNAFGIDRV AMAEELGFEGLILNSMAGVGDRDFILEALQWASTLMLHLSRWSEDLIIHSTTEFGFVR LSDAYTTGSSLMPQKKNCDSLELLRGKSARLFGSMAGLTMTIKGIPSTYNKDLQESVQ PMIESMKTIKDSILIATRVLATLTVFPEKMLAALSPDMLATDLAEYLVRKGVPFRETH HISGRVVALAEKENVPMDKLTLAQFKSVDERLGDDVLEVFNYEKSVELKSAIGGTSRS AVLKQIETMRKSL TRV_01218 LTGWCLVGRDKVLRTLQYFSRFLAWYLLRTNRAQSTINPFEAMK KQFGLTRKLLRVGKNIEHFKAAAIALDSKPGVAGAPGSDPVLKYLAVGRQLGYGVYLS FDMLTYLDAAGIRKFAAVKKLQAHAQKAWLTGLLCSAIAGVYSMWRLSEMEKKINKKD GEGAVEGKKIQSLGYVNLDDGVLGIAGTVSSLIGLNTAWKKTA TRV_01219 MKGPITFLLQLGAVYTSIASACKLSDLPILSAHGSYGSNQCIAI GNEQAVIDRLIDPQACDIPKLIEATADQLQDGLTKGCFTSVDLVKVRITLQTPYQKGN RLIIVVVQTYVARIAEVNSTVRAVTEINPDALTIAKQMDNERKMGKLRGPLHGLPIVI KNNIFTDDKMSSTAGSYAIFGARTSADATVATKLREAGLVIMGKSGASQWANFRSLNS TNGWSAYGGQVTAAYIKNQDPSGSSSGSGVASDLGLAFATLGTETSGSIVSPADKSNI VGLKPTVGLTSRRFVVPISERQDTVGPMARSVKDAAYLLQVIAGKDSNDNYTSAIPFD TIPDYVKACDINALKGKRIGVPRNVIKIFGSPQTVVDQFNQALAVMKKAGAIIVENTD FTSFAEFAQSPIPDDILYADSLTNLPAFFKQLKVNPHNITDLETLRRFTQHHRLEEYP SRDTARWDIALQKGIKNTDPKFWPMYQKNVKFGNEGGILGALRRHKLDAAVLPTDLSP YIPALIGSPIITVPMGVYPNGTKVNHDRELVTSGPGIPIGIGFMGDLWSEEKLIGLAY AFEQKTHARPKLKRFIQPKKEVKDIL TRV_01220 MNKSHISLQDIMSLDLIGNFERSSQAMGFSTLHYHEVAAISSLP KPLETLFNLFLFLIKLPFPPLLFSRRRKKKKKDSPRKDGRSHQPNPSKLQSRERQMER KKTATELVCEDEQRFWASLRHFYGQGNESWEARPGTRWPAGSKKINVYTLFVQIVTRG GFDEASKDKKNWWEAGHIAGVPPGLVGTLSYQVKQLYAERLLDFEYYLLLIPPSEIPS ESQAYPLLSPFSSLSSSGRYIPSLFSPCFSLSASVVTPKMDVIEHDTPSNRRGVFATS SSRLPLPPDIDPLMTLALPDQGMPSFCLLAGPDSNAETVPNIYRFCWMGIRSDIDKEV DFALHHLIVISDERGDKLKFSDFNMLAESLAKQVLKVSLLVYGQEWVIELDHPNNISS KNLNVIFALTGTPDLLHRIQSKKVCLDDTNLEDESFRIKLQRVNQAALIIRNMCLLEE NARWFAEEPLLRDCATIVLNLPCQDRFIELKNYFLEIIEQTCPFWDIWDQDPLYQTLI NLISSEDRFQLLTALKCLSLFAIERIESKIIDRIPSQTIEQVIRLTLLKQDPELLSVA LDFLYQFSRYQLNVQQLVADFNLPVDLIPHLVHLLDYGSVVRNKEIIDQAERKAPPPN QIPIPHQDLYRDLVKHSEPERCSLWLKCCFVEDPECEITQLAIWQAYQACFSQNRIPG VQSADTLQATEFISTVSNTFSSAQAKVVEGPSARFIIRGIRPLETTYNLDGFLSLHCL WGKQGEIRCDHVFVDPAILRNHVFQDHLQLSPLASGGWNLQPQPSNPRACHWDYCSEY LAPTPNIALIAGHVSAHLPPLRDMTKPPPIPPRAIIQPKCARLFKLYPTPVDEHGEPY GIAYKALLVMRYILIGLPSTPSGSQYNNLPWRKVVFGSQRSHLIEAATENPSLTKEIF AFLNELDSSS TRV_01221 MVANDSKTLRIGVLLTHSVQLLDLAAVDLFGMMSKDYISEVDVL PPEIASLGLPVDINYIGIQPNCAGTFIRCTANIGIRLNASIHDTSVSTDSLDILVIPG PDPKYVPDESVLAFVKAHHDAGNDILSICTGSYVAGYAGILDHKNVTGPRGLISDLEV KFPNVKKWDTTRRVVKDGNVWTSGMILPLSDEPNMSPWFGLSVSNPHLNKDQLSNLAG TRV_01222 MGTHISRVKSVDLDSWTDEQLQSIMKWGNARANKKIENFIRTKY ESKRWVMDGPMPDPSTLEVEGDEDVPLAVIQEKAKLERSASQQQHSSNRPRPTQPQQP AVNFFDDEPANPPPRPRTTDIPGNRPPPQAAVAPKTHKPADSLLGLDFFGPSQPSGGS NRPASTPGGNTPTSGPSRPDLKQSILSLYASAPPKPQEQPQHERNSSFSSFSLPPQQA SKPDAFGGLSDAFSGLSFPSTTSPPVQRQQSTFSAFDSLTSSKSSPAAPKITSPAMSS GGSFFNDISAQPDPKPRQVASPPPSTFDLMGSTVGSTKAPAAPPSASNDMFGLFSSPV PQTTTAPTATDTINTSPPVASPSQTSVFNLSLPPQQPTVKSPPTSTVSPSNAFTASTL DPWGGNAWSMAEPSPPAAASKATSAMKVPDTLTANDIGSGWGAPSPATAKPAPAVAAD EDFGGWADPTPAPIRNGNSSFSKGKSSGFGGGDDLFANVWE TRV_01223 MSFTRAVYGSIASGLAPTRYTVTSHITRFRHRPALIRPALLGTS SMIGFLSSFLSSSSSSASSKNMANYPEKRTDAEWRAVLSPEQFRILRQKGTERAFTGE YDKHMPESGVYQCAGCSAPLYKASHKFKSGCGWPAYFDCIPGSVKRLEDRSFGISRTE IVCSNCGGHLGHVFKGEGYDTPTDERHCVNSISLKFNEDEGGAKASKENI TRV_01224 MFHRCWLPLPNNRPKEQEGEKNEARDAASRRMTASTRRQKIKER EEEDGTTRRKQHELAAFSVAKSYYKCHLGLSVLSILIQAQEMQLSTSHSIRSNQELEN EYCPPLDPALFVAIVSDYALDDQSAIQQLRETLDALKISAFEQEDAIFDPSGTSGFDF PEDASAIASAQSEPQTLGSHETNTTSLGSTVSSLGVESSNDPGQRTRGGNGDPKWSGD STTAVKGLNRSNSEDQAQYLNEMFPSIDRYTVLHTLDKYGGNVDKAMDVLLNSSFFEN GQTSGTDEDQILVPKGVEGFEHSVGNGKSRRRGKKNKNKQHLQHLSESDLSQTSLSYS SGTKPENRWDNGKKDMEFIASRTFLTMSTISSAYHSNGASLPATIRALTRDEAKKSSA EGVGDDVTRSQIAELQEEFAALSHQELVGLLRLTRNCISAANELARIMVIEPIPSLIQ PEYRATGPSGSLQATTTSNTSRTPTRSSSVPAATAVRPNYNPVISRAIADRHLIASQQ AFEKAHSAYRRGKSDRLMGGAAGYYSSLGREHFEKAKRESAIAADALVYSQSTSNVLD LHGVSVQDAVRIAKRSVESWWESLGDEKYSNRLRAQPGARSYRVVTGLGRHSKNGTAR LGPAVARCLVREGWKVEVERGALVVTGQVGY TRV_01227 MGTVSNYISITVRICVCGDEGTGKSSLITSLVKGVFVTNKIQAV LPQVTIPPTIGTPENVTTTTIVDTSALPQDRNILAKELRKSNVILLVYSDHYSYERVA LFWLPYFRSLGVNVPVVLCANKSDLLHEHGESQPIEEEMLPIMTEFKEIDSCIRSSAR THRNVNESFFLCQKAVTYPISPLYDSKESVLKPAAVHVLRRIFYLCDRDRDGYLNDSE IENFQRRCFGKHLSEEDLVNIKDTIRRALPDSVTPSGIDAKGFLHLNKLYVEKGRHET VWIILRAFQYTDNLSLQEEFLHPRFEVPPFSSAELSPAGYRFLVDLFLLCDKDNDGGL NEEELASIFAPTPGLPPSWTEDSFPSSTVRNEAGHVTLQGWLAQWSMTTFTSPKTTLE YLAYLGFESFDRNNPTTTAALQITKPRKRRRRPGRVGRNVVLCHVVGAPGSGKSALLD AFLSRGFYPSYRPTIQPRTAVNTVELPGGKQCYMILDELGELEPAILDNEAKLLDQCD VIAYTYDSSDPDSFAYIPKLRAKYPHLEELPSVFIALKADLDRTTQRAELQPDEYTSK LNMSGPPLHVSVTWSSIQELFVHIAEAAMEPSTAFPRVEEDAEGKWMAWGIAIGAVVC ASAAAVVIWRRVSWGGKY TRV_01228 MPLGFNNPLPSSLASECRKASKILVSFIDPSESFGPEKVIPPEI LGHAKGLAILTVVKAGFVGSARFGSGVVVARLGDGSWSAPSAITTAGAGFGGQLGFEF TDFVFILNDSAAVRTFSQAGSITLGGNVSVAAGPVGRNAEAAGAASMKGVAGVFSYSK TKGLFAGVSLEGSVLIERKDANARMYNSNISASQLLSGAVPPPPGAEPLMAVLRSRAF SGRSGTTYAERMYNDVPVYDDRHVAGMGYEGRNRAVSASDYQYSDRPQRHNTWQDNVH DRQYTGLNRANTVAGRANPNETFDSFGQSQRGVGGAFDGRPARPTAPKPVFGQKPQLG KDQALALFTFDADQEGDLGFKKGEIITIVKRTEKQDDWWTGRIGNRVGIFPSNYVEAG K TRV_01229 MTLYNSSSVPRRNIPQSASLALFLFSAAAAGVPCFFPLYGGWIY AVLTRLQASTDSYRQPDNRGASSRRLAHLSISDDNRHVTEAIGYMYNDAYDGSSAGSG SGSSHGNGSASPRDSKRLSYLSQEENLSTNPINRTMAASSSPGSASASGSSTGGSKDR THLPIRSSSINGVNGHGSPSRHTLSPNDSTGQLSPSRSHSSSSDGPVSFPLTDIDCQS DPATVAQELSNLAALRRMSMDVGSLDPDLPSFGGLVSSIAPSPSADEDDASRLFWVPA HLHPGLAPKEFKSFLDSKADQIQRRSGELSPTEGAALLQRQGSVSSLSRKKSLLSRQV DSSSIGREDSRVSKKPNLAALSEEPRNQFGTFADEDVPILPPAPPGSSLRRSRRTTYR KGSLKAGERVPHSRRAARQSDVSQSEDTADNSSIKRSSTPTKEPPLPGLTRVSTDPAG YDSNATNYSRPARSKPGSLSSALPPSATETAPEHNHTPARASAPSRTQQWASQPSEQP AASLHHHSLSQPQLQTQPIEPPRTDSLRSTGGNNSNTTTTSTTPINTSNQQQNQLYIP ERNSSHHPPPSLPPQAPLPPEPATGRTSKRAGLIIPTKEAPPISDPLPKIVTPASSHA QSVVQERRKSDEKEKDKKGKIKKDPEVKKSGWHWRRGAASEEKEREKEKKKEEEAKRK TSKSYGKTDKPHDNARLDLLQTSIDGQAPAKSKERESLVLDRPDVDEELRPARKEQLR KSSSPPPKKEKEPSLFSSIFGGSKKKSSSHDSHSRKHSRSRVRSPSPEIKYYNLKADV DYNWTRFSIMEERAIYRMAHLKLANPRRALYSQVLLSNFMYSYLAKVQQMHPHMALPT SPAKLKAKKKDTQQSQNHGQQKATDDPSHYQDVDTPASLA TRV_01230 MFIAITMTRAGVITSLSSGLQGVKSLLLAFLIGFAISTGVSIFI LPTTSRSTFFHSIRGYPTIVKEILDSQIAFVKCSEEEGPWQLTRRATIARRRTNSVHM LHGKRRKRDNKQKEPTESESKASQLKNAIRNLSSIHSSARAELYFAKQEIAWGRLTAE DLETLFSLLRSILLPLSGIAMLPEVFRKLTKTVEAADMDEVRVTDYGYRPVVGGRPAL MPSESQYEIPEITEHFVQPLCERLETASGLVEAGFQHAFITLKLIKPPKSRGTTSGSR DEEAPSEKCVPGNPHFVTEFEQKLNNYLALRKHLPEKWATLTAFAPFHERGSIAQEPR TIQKEFFVLLFIGHLQDILLQAVLDLVYFAERKVADGTIKSKRLQFPEREYVKQWFFA SDPEEKDDGNKEEDTTSRRRQETDNRYSKDQDPLKSRYPDPEHMPPTNTWQRIGCGIR AISHFLSSEQSSFGVRVAIAAFCAVILAYLPQTQAFFFRVRAIWVVIVILIAMNPTSG NSLFGLMGRFIATILSTILALAVWYVVYGKTAGVIVLTYVANCLQVGIFLLPIEDYGS PY TRV_01231 MDNQEQGDINDSQSPGQLLDRARTKLLAEEMALLKSLTMFSDFT RYEPPIGGRFPKETYDNIISAIQAILTSMDLMALATRNLERMSGYSPATDVVDDNSPG ARRRPSRTAASRHQIAEGERWIQHLAEAANSPEFRSGVITSVLYHLSAAVTNCLCLPP YLAPPHPFPLARRLRHINEDLLKFKNVENPSFAAIIAIEVLSSMVSSNLKTLMRFALP FSYP TRV_01232 MRPGTYELREDVHLATPPPHPSEPPLINPNPLATGITPPTVGVK LSLAILKPSGAQLYKTNTRTSITSDLRSVNESDSAGRSSSEPHVNASTQAPPFGEGSL ALANSGGKDTTGSKRRKPKNSIQKSGSSFISRVILQDAMLKKVAERTHDSPFVFANLN RSFQWLDYSVPNKQEFLTKILFTKAHILSHDVNQITKSMNHLDLAMGSSAGDIIWYEP FSQKYSRINKNGAIRNSPVNHIKWIPGSENLFLAAHSDGCLVVYDKEKDDSPFTPEES DNITLSSGEGSQSNTHSSLFKILKSVNSKNQRTNPVAVWKLSNQKINNFAFSPDHRHL AVVLEDGTLRIIDYLKEKYAIAFTLLTYAVANGLIQAT TRV_01233 MGGQVSKLMGKIFGSKEMRLLMLGLDAAGKTTILYKLKLNQDVT TIPTVGFNVETVTYKNVKFNVWDVGGQDKIRPLWRHYFSEEPRAGTQGLIFVIDSNDR ARIDEARQELHRIILDREMKEALLLVFANKQDIPGGTDHSPAHSLFLAPSSTFFFYLQ PANSVLQITAMSPQEVTEKLQLSQLKDRIWYVVPTCATTGEGLFEGLVRYSLSTH TRV_01234 MEEVDLVVVGAGPYGLSAVKTYLEVYPSAKVVVLEAESSIGGVW AKHRLYSTLCTNNVFGSLEFPDFAMDAETFGAEKGKPIPGQTVHAYLNAYAKKFGLTD RIRLRTRLISAEHRDNPSSWLLTVDANSDGMEAAVGSTSTLLTRKLILATGLTSRPHL PSFKGQETFDAPIFHSRNMPKYENELLHPDKTVVVYGGGKAAWDMAYTCAAAGASVHF VIRENGRGPAWMSRPRITPLKILLEYLLLTRCTTWMFPCIWATTGPTKFLHETWLGKQ LVNGFWALVKNDVVTANKYNKHPEVKKLTPWLDPYWVSTSLSILNYPSDFFDLVRSGQ IKVHIADIDSLSSRTAHLSNGTSLETDAVICGTGWEARSPITFLPEGIDELLGVPWAP EPLDKSLLEAADKHILRQFPQLKASARVNERFKHFSADAETLSSHPYRLIRFMAPPKL KDRSIVFLGMAANIDTAITSQVQALWATAYLSGQLEACPLVPRPAEPKPTAEEDKTDW EVALYSQFSIWRYREGMRMRNPDFVLESIPYIDLMLRDLGLQRRRKKGFFREHFTVYR PRDYQGMVDEWKARNKTKSSISTDE TRV_01235 MADIHSLPVEILYLILSFISASPPPTADERALRDLPSHAVTSSR TTDLKSVSRVCRQFREFSREHLFSFCRYELRDQDRFLAFISRHGLAEHVESVTVSVRS IFPGSEKQQWWKTLFAALNPTTVTVVAPPFMIADMAQCALQGDKWAFDMPLQTIQFRQ QQQQQQRDQQPDTQMVTPAAEGENRGGSGSGVDDDDSFLTAKPWTQLLFNEGSSLQAY SVEDYFRLRPPSVVNYWGSVDPLQAVNLPYPASAISRLTSFRYIAVFPFYSHTNLVLK LFRSMTNLRSLALQLSPPEWSDIFEKEQKNSTMDPENA TRV_01236 YLKSASRQIQKAFLHSLLSVTGADPSRAEKSLQETPNLQRAQDN LTESLREDPRSRAQAVNFVLLFTFTLLIIDADSRGPENLHGQNGISKTVLIDAAFPIA HHLARSYDQLDSCNIDDKDIDSDGNLARRNWTVLMILSRWHSLSVAGRDVFGVFEAAM PEDRGILSFASLQLARYSTSVSEIIEVLFDNTVHPSFGRMNSHSLRRLYYGQLKRLKD LESWHEPTGDDEMSTTYTESVCPLLFWTLTLLLKRQLYTSVPAEVLYPAQVILDLLMQ HSDSDKFITSPFHHHALALAIMTLLESTDLPELAADAWISLDKALQIISQRDKHTTAA EEFGNIFYTRSWGECFRAMIEVKVAAFRSTQQGSGAVGSSEQRSLEHLADLAVGAGGA AAAAAAAAAAAAGTNTENQTARSGTAGAEDAASGSQANTNADAATGQKDRADIRVYVD FTQLTKRGYLNVFAGL TRV_01187 MVLPKFFKSPKPVDKKKHKASPSASSIADQTADAPSPSTTTSLP RRKTDTAERPQSTSLDQPPAYSRETPELSDPFGPPPPPPPQSSSAQSSPTKGPHRRLS TRPHPSSTPSSPTSSPRAASASASRSRPAPRHSTTAPAAAGGPAAGAASARSRRELSP STTPNIAAATAATAAAAASPGLKSKLSKKVSSGGRFALDPNSHPLNLPPDELRRLSAN MAAAAAAAERDDTLRSSMDIDRDGPSSPSGPSPFSATGTTPLKPEVNGTGNHPQDSER SPTPPPHTSPPPPPVDPETHKLAGNKFFKAGEFYRAIQEYTKAVEASPSSSTYLSNRA AAYISANRYSEALDDAKRADELEPGNPKIMHRLARIYTALGRPAEALQVYSKIRPPAS AKDTAPAEAMLRNVSQAEETLRGEKGGSMVLYCLDQAVRGLGNGVQQPRSWLLMRVEA FLKMGNINALGEAQNIAMSLLRDNNQDPDAVFLRGRLFYLQGDNDQALKHLKRALSLD PDSSQIIKFLRMVQKLLRIKDEGNAAFKARKYREAIDLYTKGLEVDPNNKDINSKLLQ NRAQAHININEYDKAIKDCTSALEFDPSYIKARRVRAKANGGAGNWDEALKELKDIAE SSPNEKGIQEEIRNAEWELKKSQRKDYYKILGVSKNATETEIKKAYRKLAIQHHPDKN INGDSSDDTLFKEIGEAYETLSDPQKRQSYDNGEDLIDPSNPFAHGGFGMGGMGGMGG MGGMGGQNIHIDPSVLFNMMNNGAGFQSAGGNPFHSHGW TRV_01188 MSTETLYARSWGEARIKSQSLNIYTHDIRAASDIALSETKPTTL NSKQRMGFWSKFKRSKSQNINNYHTKPDALPQRAKSDANLVWCPEQKIWLFARQSANN SSLSPQTQRHNSQQKPTATTRLPPPAEYGEEELLFAQLPGHYCLGIYTETNNEPVSPC EPDYFDFSGQKALQTSRWMSVAQRVGPGNAMS TRV_01190 MLQGINSITYLPLPDSLQATIEQAINAISGKVISHSEPYASKFD LPSNDEVKLVVEEAKKAYQKEKLPRDFSVLSLHGAVFRGRWKSGGLNDTVIVPLIDHH AEVIARNESGDEKRLDWNWKNAIFVQRLTFFEIEGENKIGAIILQFRR TRV_01191 MRFSVASAALFAGAAVAMQGYGNNGYEPQGYEAEGYSTVYSTKE VTITSCGPEVTNCPGSQTPVPPVPTTSAGEPVPVPTSYSTEYVTVTDCPPEVTSCPAS STRVETSVVPAPTSEATAVPTEPSSSETALPTESVPMGTGNLPIPVPSGTGTGVPTVP SGGVPTEIPPYPTNVPPSQPSPSVSVTYITTCMPTVITSTVTMQPTPTVVPTGGVPGT GAPGIPSGTGVMPTGTGVFPTTALPTYTGAASTFSGSYAVAGLAGLAAIILA TRV_01192 MTVADRLIQHVDEQQLETCLSSRVNYLKAFLKFTDEDGAAIQSA KGLIAPALPAILDTIYTNLIGFDVTAKSFVPPQPEQDKSTVSATTSVADLSLTHPNIL HRKDFLKSYLVKLVSNSDWSDDSKFWEYLDKVGVMHTGKPGFKHREKRPELRVEVMHM SLLLGFVEDIVLQATMGAEELDIQTKTNVIRAFNKLIWIQNDLFQKHYVSKLE TRV_01193 MGHLACVATCSLRNWALDFEGNTKRIIESIHKAKAAGATLRVGP ELEICGYSCLDHFFEADLYLHCWEMLCIILKDRSCDGILLDIGMPVMHRNNRFNCRII CLDGKILLIRPKLWLANDGNYREMRHFIPWVGPRHVEEYYLPRMVREIQGTTKVPIGD AVISTADTCVGVETCEELFTPQSPHNDMSLNGVEIMANSSGSHHTLRKLDIRVSLIME AMRKNGGVYLYANHLGCDGDRLYFDGSAMIIVNGCLVAQGNQFSLDDVDVVTAVVDLE EVRSYRCTPSRGHQAMKAGVYTRVETEFSLSSDIGDRDTRLRPSLVIQPRYYSPEEEI ALSTGCWLWDYLRRSGTAGYLVPLSGGIDSCATAMTVFSMCQLVIDAIKAGNSQVIKD CKRLADYTMELPKTPQELCNQLFHTVYMGMSKQSSKETRGRAQDLSKAIGSYHVDLDI DEVYEAQKNLVVKYLDFDPKFKSQGGTNAENLMLQNIQARSRMVTAYEFAQMLPTTRK RPHGGALLVLGSANAGEALRGYYTKYDCSSADINPIGGLDKSDLKLFIAWAEKSYSIP CLRSFLEATPTAELEPITEQYVQSDEADMGMTYDELSTFGRLRKYNKLGPYGMFQRLV HDWNHLTPQQVAEKVKRFYHYYAINRHKMTTLTPALHSNDYSPDDNRFDLRPFLYFPF YQSWGFKKIDDELARIKKQAKQ TRV_01194 MSSPAPAPVLKTPVPGAHRNHKLPSLTLGIPPSPNAKPVNQTGV AAPAPAPVPALAINVPQKPASRGPPKLRLATPMGATGGGNKPAFQDANALHNGRPIPQ HYNSTGSSDSNSNGQINNVHSRSGSFTGGPDARGSGPPTSASSSTYSAPSFAYGLPQP YGSTPDPSSAISSVYSDLGDGSAMERENSVNGLTDLDKLSQELGRPLDVEDLDDERWL AASEQGKIIELGSLGEGAGGAVTRCLLKGGKTVFALKASPSFFSLYSSSSIITTDPNP DVKKQIVRELNFNKGCASDYICRYYGAFVDRSSSSISIIMELCEGGSLDSIYREVKKL GGRTGEKVLGKVAEGVLNGLTYLHGRKIIHRDIKPSNILLCRKGQVKLCDFGVSGEFG TKGDANTFIGTSYYMAPERITGQSYTITSDVWSLGVTLLEVAQHRFPFPADGTEIQPR SVLIDLLTYIIHQPIPKLKDEPHNGIHWSDNFKYFIECCITANISIQLGERPSPTSHA LANARTPMDARNKEQESEYGALPEASMGLERLEFPHN TRV_01195 MWPKGEAEAEVDEDEDSNRTEDGDEDEDDGDKETMKIRRRERQE ATAWLRLLSFLLLSGFRRVSASARKQKRQDRSKWPASGSEEVEEAKGTGSQEDRGSRI EDEDKTLLAVQTVQFIYLHRPGYIYNQAEPSQRDHLAAASPSSARRACSCMRAETFPP AGRLLYGVAIYCSPFWPAAECVLGLLSSYVVGYIIIHMEYTDGPELDAKRYECAGVVC YE TRV_01196 MPGSTEHIAPVDHDNIRKMTVLEGLSDSHAVLLAVQLCLNGDIS GLPLLKSQFPHTLHLELLFRIVLTFLPEITEPERYTQVIKHLVNGSPPPDCDLEADIA AIREISEPDARKQVRHLKLLPLRRPHINIDASEPPLIQFLIHRAHRIDTEVGLQLYIL ELVDPFISSSNTLRDWTISVVLPAIRFNYEYHPDNEGALSLELIESLDSRSAVNIFLS AVEPHAKGGDVGRDLKGLIGPWMYGHVKSKRRKLDNKKSTNSGADLAEIGWQDVNEWI LSTSIRDFHLAIEAVEQWSGPGDINLGDYDGAQDEELSGDTEKRQMSLYAQAGLASIY ALSDGGFGLISGAARILSRVADFTGFDDRLHINSAGLYPLSLHIPELERVSRQHLLHN MLLNSSNPLTYPTKQSISFTDAILVSIRILDQYGRWMSPRAAAELMLLGQADAQFFEL RKLLETLNHQHPPPRDWAQVRASLLWLHSWGGSTQPEVPQGLFSRIPLLKLEREIFIA MLTAREYKLAADMYLDSPGSMPLPRDEIESAVTETIFASYDNATNGNKTRGGMKRSAD LYENHIPVVFHPQISSLLSATHALSFYSLTLQHGVPFQPVSIRVHQDPISLIEKVLEQ NPKGYTQLDDLLAIGRHLVAAGLPTNKGIAASTASPNQAVVLAERRIMSLAIAAAVNA SDFDTAYSYVVTRVIPPSLVPVSERGETGHAQDEDEVDDDISWRAAYNTGRHPPTGKE AQETTLVSRIARLSQRMELLSLALVLAPSSEYLPEILAVWRRCDEEMSTLQEQEIQEA ESWDYQGDQQGRIGMGMGVGAAVPGGFGPSSSELDALDTELERERRARSTRQPQPQRP AKLSGYEEAPMGLFDVARGAASALRKNAFPLTSASPTNNSSRSMTNNSSGEEGQGVET PRTRKRDVVSNLVTEGLVSGIGWVIGAPAPAPASGQRSPE TRV_01197 MGSSRLDRLVTLLETGSTQVIRNTAAQQLADVQKQHPDELFNLL GRILPYLRSKSWDTRTAAAKAIGGIVSFAEKLDPNADDDDDNNNDITTTEAEVKDEAE KENEGASEELLDLETLDIVSVLKHGHKLLGSGGKEYEYAVAGLDPISRLQQQKKTLKG RLGLAGDYPQENLIHDTEMSNGSQQRKPSQIQMPPPPLDTSVGGPARSQHPFNRDSPL EEAPPSANGDDHGLSKRQLNQLKRKNKQTAKLGANKIRIVDLAVRKGSDAGSTPTEVS TPHPVKKENGDDDHDIKDDYFSIKREGPDDDSKLVKEFKGTVVPEKPFIQSSSSDGQD IEWPYERMCEILMVDLFDQNWDIRHGAAMGLREVIRVQGHGAGRQYGKTRLENDALNH RWLGDLACRLLCVFMLDRFGDYISDNVVAPIRETVGQTMGALLSHLPDASVTRVYHIL HRMVMQNDLDLDKPIWEVCHGGMIGLKYLVAVRTDILMKESNVLDGVIEAVMRGLDNY DDDVRAVSAATLIPIADDFVKLRGGSLGALIEVVWNCLSNLQDDLSASTGSVMDLLAK LCTFPQVLEAMKQNAVHDSNSSFGNLVPRLFPFLRHTISSVRSAVLRALLTFLELDTD GQNTWVDGKSLRLIFQNLLVERNEAVLKLSLQVWCEMLKVAENHRLMDGDQLVGSLQP LITATMNPFGVPRYPIPMDACLFIRPSGLPYTSAPAGPRRQSPNTQVDPQPPARGRRR KVEKQEPPPVNSHNVDGHMLQGDIDLVGLDTMIRSKIYAASALGRFLKIWQVVNGSDL WQGILPCLNWPGSSSQLAAAMVIEEYAKNRDPNSPCPPVLSQWLNPLLENERPLWYSD IACYLQISRSQCHSLLNTFRDHAHVSPSKLPTLAVVVQGEPEAGPNAFSIRDAEKVLG SDFERLKRELTPTQRLTALQVLNDSRQTAQATVEEAKEIKEQRDMRIRAVIASSLVSL QNIPKKPSYLIKAIMDSIKKEENAELQKRSATAISSLVEYYTSAAKRGPVDKIIGNLV KFCCVDTTETPEFHHNAHLEKAVLSLRKEEDKRDPVDTVKFEKESKEAKVMRRGAKEA LEQLAGKFGAQLFDKVPNIASLIQNPVREALSGDLPADIRDPSNTLGQETVDGLSTLR ALVPKFDPGLYPWIIQLMPIIAKSLQSELSVIRYAAAKCFATLCSVIPVDGMTMLVEK VLPTINNALDVHHRQGAIECIYHLIHVMEDQILPYVIFLIVPVLGRMSDSDNDVRLLA TTAFATLVKLVPLEAGIPDPPGLSEDLLKGRDRERQFMAQMLDVRKVEPFQIPVGIKA ELRSYQQEGVNWLAFLNRYNLHGILCDDMGLGKTLQTLCIVASDHHLRAEEFAKTGAP EVRRLPSLIICPPSVSGHWQQEIKQYAPFISCVAYMGPPAERAKVRPLLDTVDIVITS YDICRNDSDVLTSISWNYCVLDEGHLIKNPKAKITLAVKSIVSNHRLILSGTPIQNNV LELWSLFDFLMPGFLGTEKIFLERFAKPIAASRFSKSSSKEQEAGALAIEALHKQVLP FLLRRLKEEVLNDLPPKILQNYYCDLSDLQRKLFEDFTQKEQKDIANKVGSSDKEAKE HIFQALQYMRRLCNSPALVMKEGHKQYHQVQKLLASKNSNIRDIAHAPKLSALRDLLI DCGIGVDPSAEGELATGASYVSPHRALIFCQMKEMLDIVQNDVLRKLLPSVQFLRLDG SVEATKRQNIVNQFNTDPSYDVLLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDIQAM DRAHRIGQKKVVNVYRLITRGTLEEKILNLQRFKIDVASTVVNQQNAGLSTMDTDQLL DLFNLGETADSAEKPTQDGAGNEIDMVDIDGEVKEKGKKGWLDDLGELWDDRQYEGEY NLDSFLASMKR TRV_01198 MSTPVPPSTTKNKITPKTPENHSAIKPSVGEMHPSKVQQSTTKQ PDSGLQLGFRPIHDNSKGPNQSVPSIINTPSKGRISNVDELSKMSFDFKFSCGESQLS QEAKKLMESLREEAARIKSQMVMEKNAQKGKDVEAEAQPTSRKIAKPKGIAGRFSSAH MAQFRKMDSIEGHPSAFRARVEPNKTSPAKALKRTISKAQLGDPEKDVPTKTRITPAS RAAATRPSIPRRGGQASASQSTRTPLSGESSQVQNKPPSNPVPLEKSKFTTPQKPTIA PATSIKYPHTVKAQSISRSPARRSLFSAPKTPQTDIKYKSNMPQLKSILRRPQPLFSN DPEKIAAGTHQPLPRADFDTKLLGLSDSFKSSISIPSSKKTVDFSASTKLRDACNDSS PSAVASKSQGSGPRDVLYPTLPPTTPPHERRVPGFTFKLPSPTAQENGSPLRTGEFQP GLERPPIPHGITNKKRRRDDTDEEPHTPRDDRFIKRLKQNPTTPPAMQTPSPVKRRLP ATVTPKRGTPVSKVQKGKGLTLSRLNFLATPKIRH TRV_01199 MAASRLVDLIKSNAKALPPLSSDSFGSRFDHLGKYTVVLLGDGT HGTSEFYQARAEITKHLIQNHGFDAVTVEADWPDSEAVDRYVRQRSGVKAKIERPDMG RSIDAVIKYLDAIDPNMAKLARQRYGCLQPWVEDPTEYGLASYLDSGMKTCEEAVLDM LRDLLQKRLEYTAAHQGGDEFHSAEQNAYLVADAEAYYRAMYSGSVDSWSLRDSHMFE TLKRILNVKGPESKVIVWAHNSHIGDARYTSMGRRRGELNIGQLCREEFGRDNVALIG CGTHTGTVAAAHEWGGDVDIMDVVPSRSDSWEYLAHQSEKQVFYLELRKNIANAELLH AMKIASPRLERFIGVIYRPRTERTSHYSSTDLADQFDGFIWFERTEAVKSLVTHQPHD HIGADETYPFGL TRV_01200 MDLESTEIKTRVERFESAMEKVYGNHRDVSDPSAWTPPPAPGGH RGRYLWTDAFGVVNFLTLYKMHSDLNAENGYPERYLTFAERLVETVHDVLGRTRDGTS RLPGATDSEPLAGGLRIGKVHESGSDGDGQYHHYLTQWMFALNRLSMATGNPTYNRQA YSLGKAIHPHFFVGIGTHNPRMVWKVAMDLSHTLVSSEGNLDPLDGYITFSLVQASAK KFGDGEVFEEEISNYKKVSDRRGQHHPSPDFLDLGMTLVSVQWFYNEDWAVTLASRCC NILKSLFDEGYHLKFGSSRLAFREFGACLGVECFRATVGPDGELYDYFKEMSDAMIDQ YNDGDIQTPDDLKPITRVMFASALIPGAFQAGFFGPEPIKIGT TRV_01201 MASNDLASEVPKSKVPVTHTGSQPPAHLLAIYPLTLILGSIYSR ISPTANPSSPSSISSQSQEPVNYFARKGNAFNVYFVKIGWLWTSLAFLSLLLTQEAFT SKRISANCRLRRVGQALLRYLLVTFSWILTTQWCFGAPIIDRGFLATGGRCELIQQQM IDDPSQSDLPPAVVLTSVLCKASGGTWKGGHDVSGHAFMLVLASAFLIFEMMGTRSSV IISSGNVNGARGPAQRTTDKQVTACGPNDIGELLAELSRKFVWTIVGLSLWMLLMTGI WFHTWLEKVSPLPPFVYKLLLPVLLGFPPSFTNSSS TRV_01202 MSVIGLPIKVFAAAVTQYALDEEQLVSCKRADDYTVIIGYPVCW EGQYVGERVALKWTLLRPQWYIDRLAFTSISEERNEGFEKEKKAKVWGIIYTDNRYKY SVKYTLDLHGFHTYLHSTSFSQLSINLLLTKRSNKHLLGIFISLLPALSLFNHPFISY ADNISSTANRCLQKASPSASGVKEMDTSRPANRLMEAYPHNRFFGCPQELSRPLPVRA QIPVVGVMNQQTHFQSPWAGLFDYLRNIDWLSLTCSPTPMASNPFFTMFRSSICPVPD ISATPLGQRLMYLEAFAQSQRYMQDPSVALSASPLETSINLIATRLQRDFPRIAGMFS FDELVVICGNYVRYGSYWNVFRTALQTDEILLVDPAFSFDMDLPKTTFESAQQIWLCP EFGLKQFCQKLSGVSQMISDLARTEQHSEARAFLASKIPDRIEEVLGPQATSSTIHNY PSPLFPPDTMTSSPVELTDGDVYSPSTSAGGDGSEDGYSLDGRGFQPITENGRYNDAC FWGN TRV_01203 MLKGTEKEKKKKKREKEREREKKGREKCDGTGF TRV_01204 MAQLAFSTFLEQRLPLLAAEAIKNALDKISSKTSEPELSIEAMS TKSFIPSERLNSIETSYEACEDVFLVYLDFVGGSSISSSNYSLLRTLTRNFETRFLED MRCLNVLDPDELTRVASYIPEIIQFIEKIIDNGFAYSTSDGSIYFNIDKFKTLSPGID IITTFKGMEKAPYRKTRQQRLQKTTLLSGRHPGQGNQVGPALGEMVGLAGILSVLQWL RLNLEVKSIFILVGLILHSHIMIINFPRVRRTGMKPNGSITSSIWVISPFMAQKCLNP SKISRL TRV_01206 MMNIFGLNGHASPDNPDIGWAGIDVPEYSKPYLNTLSALRDAVR RLTKSNAHITSQTLGSIINTIGSSADLGHSMSDLALPYVEVLRKFKDQIASLNLGTTE VDPNQLLSGCAIIRPVTSDLIKTRQGQTERKLQAQKRKVEEGRKQAEKMEKGRLSPYE MFRTDEFTAWDQDGVPTKDSEGKDLSKTRVKKLRKDWERKKKLQEAWMSAASKPTHDL FSYTSGRFLYNESARLRERYVEFDVTALKEAVTKHTGAKVTNLAKLGEGGFNRVLNAT LENGLQLVVKIPYPLSVPRKYATASEVATLTFLRSKGIPVPKVYGWSATDENAVGAEY VIMELASGIGLDTRWFSMTKKQQQTVALGIVGIEKTLFDIPFGSIGSLYFKEDLPLEL QHNLYLPGASDPDGDCNTFCIGPIADYMFWYGKRSELKLDRGPWSDPCQYLRAIGNRE LEWTKKYGNPLEKEFPYNTLLPGTIPHEKYAALLEKYLAIAPFFLPKDPLDPGNQPTI RHPGIADLTPANVIVSPDTFDITCIIDWQHAVITPLLLAAGHPKMFENPDVEPPETLE VPKPPEGYDTLDIETKSQVDELLRRRYLHYLYRVFNGARNKSHLSAFYDPVLQPRQHL VDHAGRQWSGNIITLRGALMRMCGYWPLLSTKEECPISFTDAELKKHSEDEAMWFDLT ALVHYWRDELGGLSEEGWIRSEMFDHAMKRNKELQEKFINDADPDEVEMVEANVQINY RREKLNIWFKEKAQASRGRGEPFFYISCDMVIMELTTPRAFPGSKGEMEGLRVVVAYV VLFRTSVVVGAHGTAMLDTGRRGNELYDGPKAECRHCIFRTPPNPRAQTQDMRKTVRH RDVTVTNGVLGYAHNCSVWTQEHLMPCEQRNLQLEELNLLILFLVTVMMVAKGPKEQG CEQKLIYFPQGNLGQLGPKAVSAAAASEYWKAK TRV_01205 MNIFGLNGHASPDNPDIGWAGIDVPEYSKPYLNTLSALRDAVRR LTKSNAHITSQTLGSIINTIGSSADLGHSMSDLALPYVEVLRKFKDQIASLNLVTSDL IKTRQGQTERKLQAQKRKVEEGRKQAEKMEKGRLSPYEMFRTDEFTAWDQDGVPTKDS EGKDLSKTRVKKLRKDWERKKKLQEAWMSG TRV_01207 MLFRWCPLVALAIASGTAATEQSWESPPYYPSPWTKGEGEWEAA YQKAVSFVSQLTLDEKVNLTTGVGGEKGCKTKQTANGLITRWMQESCVGQVGSIPRLG FRSLCMQDGPLGIRFGDYVTAFPAGINVAATWSRKLAYLRGKAMGEEFRGKGADVILG PAIGPIGRAPEGGRNWEGLGPDPVLAGKLVAETIKGMQKSGVIACAKHFIANEQERFR IAAEAQGYGFDIAESISSNVDDVTMHEIYLWPFADAVKAGVGSIMCSYNQINNSYGCG NSYTQNKLLKGELGFRGFIMSDWQAHHSGVGSAFAGLDMSMPGDTLFGTGVSYWGANL TIAVANGTIPEWRVDDMAVRIMAAYYKVGRDQVQVPINFNSWTTDIEGYQHALVKEGY GVVNQRVNVRDHHAQIARRVARDSTVLLKNEGVLPLTGTEQFTAIIGEDAGPNINGPN SCPDRGCDNGTLAMGWGSGTTNFPYLVTPDDAIQREIVSKGVGNVMSVLQNGDFKNIQ SVAGQADVALVFINSDSGEGYISVDGNEGDRKNLTTWKGGDEMVKQVTSVCNNTVLVI HSSGPILAGQWHDNPNITAILWAGLPGQESGNALVDILYGKENPGGKSPFTWARTAED YGTTILREPNNGKGAPQHLFSEGIMFEYRHFDQKNITPVYEFGYGLSYTTFSYSDLRV KPMRANRYVPATGMTKPAPRLGHSSTKYADYLFPGGFKGVTKYVYPWLTSTDPKEASG DKNYGMPLEDYVPPNANNGDAQPVLPASGVPGGNPGLFEDLYEVSAVITNDGDRVGEE VPQLYISLGGDRNAKVVLRGFDRIRLAPHQRFRWRTTITRRDVSNWDPASQDWVMTEH PKIVYVGSSSRNLPLQAPLPAPNLA TRV_01208 MLDARRPCSLLRLVSSLLFYSLLFVLVYSVFQGSSYLKGRHSRA VSLVRKRCIKRAINSGRRLANDIQRLSQRIVRNRETPPAEQGQETQKNRRDHDGDALR QTQAGRAAARAGRRLLPGQKLPPAIEQQRRRHQQRFVAAAAARHHHAGRRGLLLGSFR SMIKMPRGDPSSIPLIQHRFSGKEDEDARRLRLSRLEAVKGNFSHAWNGYKAHAWMAD EVAPLSGLAVNPFGGWAASMVDGLDTLWIMGLYDEFAEAVKAIDDIDFNNCTVEEVNV FETTIRYLGGLLGAYDVSGQKYPSLLRKAVEIGQMLYVAFDTPNRMPIAHWDFKKAAA GARPKAADQMIVAEIGSLTMEFTRLTQLTGDPKYFDAVQRIMNAMEKQQSKTKLPGMW PFMIDAEAMNFRSSNRFTIGGMADSLYEYLPKQYILLGGASQQYKKLYKGAFAAMDRN IFFRPMTKHGEDILFPGDVTVSQRVPLSELRPEPTAQHLSCFAGGMVGLAAKAFQSND DMDTARKLVEGCLWAYEKSSMGIMPEIMVTVRCQDRNDCPWNEEIWKKAVEDTYYTEG TDFYEYVAEQGLMPGFVRIPDRRYILRPEAIESIFILYRLTGDSSLLDRAWRMFDTIV KHTVTDIAHAALDDCTIPKPTKSDRMESFWFAETLKYFYLIFSEPDVVSLDEYVLNTE AHPFRYR TRV_01209 MRVYSDYCRTRWRLPETSYSNESEATLDYGGWEAEYAGRCLASS SSSNNSHCLWLVAVDERYSELLSAGIDISQRGHSRVNIEIPEQILSRTRRFRFDIKGC DGDTHHGYGQRAISSDEFRVSTSTRIAARDAEDDQTLTVGSKTGIGIGVGLAVIALAS LGFLRLYRRRRRRREIDSYLAASRPEQPEAATSTMASPETIAEMPTDNETVKQSVFEM GGQGQQLGELQGSLAASEMPASSAHQQPAAPVELPGSIPRTT TRV_01210 MGYTESIGASPNMIAPFGRSFMSASYNRLHDIYITHSLSLIQPE HLQARGRKKNKKQEKQKKKRKKSADPWVGRSLSGGLLAAFLVSLALLEGVAISSLQFL CLLALAVVAAPRPLPPALRTAAAAAAAAFPTRETQRQGKPDERPKKKKKKVVEEAAEE EETKRQKKSNAVGISILDSKWGHIYKMDLHHP TRV_01211 MMASGLDLTYLQRDDGDEPAASSMASGSVSGSGFRANTSDTTTN DTGYSTTSRNDFAGDSSTGIGAEEEQRQQDVYRSLYDNPGSCLMDETGHQPQHLQQHL QQQQQQQQQQHQQQQQQNDQFIEQQNDNTEQERPWTELKTKAGKERKRLPLACIVCRR KKIRCSGEKPACKHCYRSRIPCVYKVTTRKAAPRTDYMAMLDKRLKRMEERVMKAIPK DEQRDMVAIGRATVKPLPPGHASRPARASLKKRTATEAFSAELSEWTKPLTLAMPNTQ PGQAEQQVGPPGKGQDIRTDMPALHVNTEHKLLSQGVEFLPSMELQEHLAEVFFDCVY GQSYLLLHKPSFMRKLKADAVPPVLTLAICAVAARFSTHPQVNNTEPAFLRGESWANA AADIALARHDQPNITILTVFLILGLHEFGACHGGRSWSFGGMALRMAYALQLHKELDR DPLGQGGSASELSCTDREIRRRTMWACILMDRYNSSGSQRPPIGNEKFLQIQLPIKES HFWREIPGPTEDLDGAVPNPVPEGVGQLSNPKNNMGISAYIIRGIMLWGKIVDYLNLG GKAKDPYRLWEPESGYSQLKRLLKDFSSSLPECLVFNWENLQNYAVEKIANQFIFLHI VIHQNTLFLNRFAIPLSPRGRPPRDIPKQFLSEAGKAAVEAASHISMLIDQASGYMLT VPFAGYCAYAASTVHIWGIFSKNPQLEAASKENFRHTYKYLNKMKRYWGMFHYMVESS KERYRHFAEASLCGRTVPESMKDGNNQDVPIFQYGDWFDKYPHGVSKLRWEDPDIDVK KESGSDAVMSQQSDLQSVEEFFTSMSPTSPSDGPRKEAKRAGPTTPSEKARPKLGSRG NTKSQSSSNSVQSRMVTNLSSPERQQQPQQQQQHHQTPRSQPMQQPPSTHPVSSQIPA QQEPMPNHVTNSYTTYNNPNMYPADPSSYVSDYKFHAHPDPLPQLDRQLVYDAYAGVE AIPHHPIPMPSSNNPTIQSQHPAGPQPQPGHAPDPNISNSYWNNGNNNNYQSYDMQPP MNWNGELIQSSAWFLPFNLDPTGLDAHASQPGDPAGIPAGPSHSPPNPVVSSGMSMSE SDYYGSPTIMQPVGMGLGMVEGVPGMPETLRERVG TRV_01212 MVMIKVYKPSYMNLSCALFPFILFVQMTALRHTGQQRKTKTKTK TNTLSHLIIPFFIKLIDQAVLLIDIPLALSVIAASSISTSSTNGSYQPIPSTSNTAAR RPVSELGISRPRLAEAKTVRHRRPNDYKHILVP TRV_01213 MHINSLNSLLQVLFLSSVAWGAAEEKPKAPEKPCTIHSPNTGLY FDLNAISVQLDKKDGKKSHADHQRDESWHVKGHDYGANFTINICAPVVENLTDVVGVD EKRWQNISAYYEMDGETYSIGQQSSSLLFRGRKLVLNYTDGSPCPSPSRGNKLFRRKI VDGDDDDDEDNDNKDGGKKGDDDEKKKGDDKKKDPEDKVERRKSTLMSFLCDRDLVTP AATFSFVGSPDSCSYFFEVRTAAACGGVAASTDGGVGPAGIFGIM TRV_01214 MLLGCAVGTWIYGAEFPLWTFLWENHLQVVTANLIICVSLAVFV YLRSFTVPAPGQHNPMNRELAPGGQSGNIIYDYFIGRELNPRIILPIPFVSENSRTLD IKAFCEMRPGLLGWVIMNLSNIAHQYRINSGNVTSSILLITAFQAWYVFDALYMEPAI LTTIDIILDGFGFMLSFGDLVWVPFIYSLQTRYLAMYPLQLSPVNVALILGAQGVGYS IFRGANNQKNRFRTNPEDPRIKHIQYIETRSGSRLMTSGWWGMARHINYLGDWIMAWT YCLPTGMAGFAMVESLNPITGMMEKRAVQTEESRGWGMVITYFYVVYFGILLVHRERR DEDKCKRKYGEDWAKYTSRVKSRIIPGIY TRV_01215 MSHNPSLESRVASLVHAHFEALPQRSKPTIHRNGMREWIPLSGI VLVTNENTPEEALTCVAVAGLVLHDSHAEILALRAFNHWLLEECKSILTALDEPNHKT NNLLNCSGSGFLQWNLDDSNTQTSIPCPPFRIKEDVKIWMYCSCAPCGDASMELCMAA QEDATPWEPPVPELRNAGNPDDQQQVLLNGRAHFSILGAVRRKPSRADAESTLSKSCS DKLALKQVASILSFPTSLLLAPTPNAYISNIVLPEEELSRIGCERSFGSGESGRMRPL NGRIWEVGQKTNEEFKFRPFKITPLPMEDFESMWKYGKPTCKSSEQKVKTGNVSAVWT APPSNSTRPPFTTKWNDIPTFSEPDWYKAYFKTPPTNLNETLINGVKQGYRLNSPGFK KASALSRARMWALLRDIFKLLNECGLMPQQLNDNEASSLSQVVLSAPSYDFLKSQTVM SEYLEARAQVLRDVRLVLKNWIRNCGDDAWDLTVLDTPIKEKPQSCRLQEEARQ TRV_01216 MRLDLLAVLKRRKKPSYNHERKLGYRDDQEVSTKFIFAEHCLQG NAVYLKVGVDTEIPARNLPLKLPNGLSLTYGEILGLVGDLYGTDQPISDGVTQNEQHH RFLKAFDTLANDTKYAPEEAPKLLDILHDEMEEIKKAIQAGKPASEVHNRLKVDLGKS LGFATLFRPSGIPSFLGLALINFDHFGTDSETAYNTGHNAAIQYALRTDSDLAVAYAM NAFADHFLHDHFSSGHLRVPRRQLHGSTLNSADACSKLMHDEDSCIGLKVSNQDGDSW TAYGDSRLLDDVSKRHREIFIKAQQASVDEVFQAWRDKVVPPTFKAWKYAPTIESALS PHQPLAPLFVMSTGEDKKPVLLRRRNIDHDFHVTPLRPGPCAGQRKLNGSFNLSDISL QLCTSTKFSQPYHANLLFLPSLFTMADKLRTLQNLEALQARYIGTGHADTTKYEWTSN IIRDSYASYIGHPPLLQYMSIGMGESKEKVRASMVEKIVRGAGNPPDVSCHPVSTWCY PLKSQESQEANMVMMAIDK TRV_01217 MVEPKRTYAGGSFCNSARIYEIQASIHRASKVFPFLLFLTGPGL VRSRRVVGGRSSILYIYTLAARRPLSLLSPSPSASFISTSARTEEEVPTSFALPPTST SLLTFFFFFQIYLTSSSSIFFIIYFILSFFCLSFLFFFALDLLLYNRTIIYKNLDPAT DLLPASAAAFAPRASPTVVLSRVEQWMTVTLKRVNKVKRPLNNVGQHTRCLTEILSHQ NAIWNLCSIMLPKAPESKLPKDENPLVDALFNYQLAHMEAYVVHVDMVSQNEVAFKLT PETIETLIDHHKEIYSVDTASKTWDWPEKEIQLKKLQEEFVQAVNRFVYRTSASALEG MEEEGAGELLCGLADEAKAAIMGLFVPLLPPPPRIVDVVRSTPLVPSSTGPDDWWHHS PTQQSPSPVDSWDVLPSSPSTTTSDSHPNMWPNIGYNDMSLSSPPASHCQPFSAAAFT TSEFYECPTTLASAVPYLLPDMLVQHQCGTAAGMDGFVWADRYPEFALQYGTAM TRV_01166 MSDDITASKTAKLACSHRICHGCLRRAFTLSITDPQHMPPRCCT SDHIPLKHVENLFDLKFKLKWNQKFREYTTKNRIYCPSKGCEKWIPPANIYRATGSRG ASRRRYGVCSRCKIMVCCTCGEKWHKDEDCPQDEGSIQFVEIAEQEGWRRCYNCSAMV ELKEGCNHITCRCTAQFCIVCGLKWKTCDCPWFNYVDIPDNAVPPGGAVDIRDPVCYQ EEIDRRREQEADDEALAIQLQYALALGMDPNLDSS TRV_01167 MGIPRLKGHLLRYEEAVWLGRTAPQGQENTRNITSVVIDGPALV YHVYYGLMAAMEQHLNPFSAQPASDEVSVGVMKTLLHLRAIGVTVEKIYFDGALPPSK RKVRLEKMAKLASKLQQACQAKKHGFKSGTVAGGRPSLDTKLFFSRRLVNPKFYGLPD NPLMVATVAEDLKYRWSWQAIECYHDLNDAEKVHGSLFPWADITEVVLGEADIFCAEA ARERGSAVLTGDSDLVIHDLGSDGSVVFLESIEMYEFPDTNESELRLPSFGVRAKELR PSRIANQLGIKNLLRVGYELKQDPYVKWSTISKLCQAAEKKSRVSSSYGEFLAEYNTR PFSMKGNDNGIEFPLLDSKVSELVAQYHHPSFHADGHQLYSYLPVMTENHARRCAWVD CSDIRALGYSIFNISFPKNKRRDTVLEHARRGQRIVPTPITLLNEDPLSLAAKELLEQ IQSVRLSSGSESIYFWVSYALLRIYSDAEHSSLPKPDAIIKFFKFGYMGCSLTWDDLH LRAQVLCTLYSLRMLKQFLQVSLFTGDAEVQRIGKPLLDMLVELPPLRILSEAGIWLN SCTEKDMKSMSDTISTLFECLGSSQIPVSDADLEQEESGDIKKDTPNRKKRKREKRTT NPPAVARRRQASSSNMYDILQSTSDP TRV_01168 MAETPDLAEIHDFLVDLASKAGEMITSAHPLINGAGSKKNSKKT LSLSASTTPYNQEQEQAITDHKSIRFMGEETYDPSKPLTDEPTFVVDPIDGTVNFVHG FPSACISLGFAISQQPVVGVVFNPFTSTLYSAVKGRGAFMNRTRKLPLRGEDVEPLMG LSSALVAVEWGSDRSGPNWEVKLRTFESLGKSKEQGGAMVHSMRSMGSAALNLCAVAS GVLDLYWEGGCWAWDVCAGWIILVEAGGIMVDGNPGQWQAKLDSRRYLAVRGAPGGQG QRELVQEFWSHVGGSLEY TRV_01169 MDEAVQGRVDGVPSTASFSQDHFEWQTQDARSTVPADSIIAIIQ NENKDEDEDRLLYLQHDEDGESARLETLKLSGLASLPGYSSFCHAQPSHLAASVRLHV IVSTLSGTQKASSFLQQAVKPLLSQLRPEGDYTVHETESAETITRLARTVFLPQALAG NQQTIILMSGDGGLVDLIRVLAEAPDASTLVRPVVCLVPMGTGNGLANSTGLLADSTW GLSRLVRGSPADLPAFCVRFPPGSMYVTDEGRGREPVAAAGQRADVYGVVVVSWGLHA SLVADSDTAAYRRFGVDRFKMAAQELLQPADGSASHSYSGSLVLVRAGEEEEVVDRPC HMYVLVTLASQLEKGFTISPASAPLEKQLRIVHFGPVPSERAGELMALAYAGGKHVDQ PEVAYAAVEAVRIRVAEPDERWRRVCVDGRIILLPEQPARPSPYPAQLVTYYQIDDDD EQKQKPPEKTSSTADRGVREQMLVTPRTYRLSQTPDSGVSLAPEAHPTFRTLRGWSVP PAETRRAAEMLLVHQAGSLKVGEVARYTLTYTPSADRILPTPSQLYVKLRNTAATPLR AAYLHGPYALHVSCRPAAFDPNRKFGQHEVDGLPQFEPNLKPGGAWDAVIKVPERVRS PPAGAAGSSTTTTTTGVTWIIDISSEIIFSSTASVQYELLVGRDAASVELGAPAMANL PPPGQLRDHAKPGPSKNQQKHERPGRVPGVYSESIKLRVDDTASLWNLPPFPSSAEGS SGSKSNTTAPATDRPAEVSSSQQEQPKKKRKKIHFVLVTHGLHSNLGADMLYLKESID AAAKHAREEARKRKKSSNGTDPTENEEDDEEDVIVRGFPGNAVRTERGIQYLGKRLAK YVQLMTYPDQPYLPLKSKNKLRRPQARQILGDSERQGCRQKEYAYQITSISFIGHSLG GLVQTYAIAYIQKHCPDFFDYIRPINFVAFASPFLGLSNENPMYVKFALDFGLVGRTG QDLGLAWNAPSKVRSGWEAMIGGLGSDASRAHGQDPGSKPLLRVLPSGAAHEVLKRFR NRTIYSNVVNDGIVPLRTSCLLFLDWRGLERVEKARRENGLVGTVAEWGWAQLTGLNS SARAVARPPGSADDKTQESSSKTGAQSPHLTPHPASGESSPGLPLASQYLQSESDPAL VSPQRLSPASSPTSPASPGPVNSFFSFFRPQKKNKGQKIYKRSQTLHTDTQNEETAPT SNPELTAPPVRKGLVRGDSLYDEEEGWYTPPRTTILESAGDVLSPPLPPLEYLIDPSS RPRTIFHDRVYHPDDIPPPPPTRPRTMSRSPSYSISRSASMENTGSQAGASDGSKTPT EVPQKGSSGMKVEEKIARAYHRDLTWRKVLVRLEPDAHNNIIVRRMFANAYGWPVVKH FVDTHFGYTASARESDDLESREERAKPMNVPATDQGEEVIGQHDLPRCQASPNTGPNN GPSSPSGKKPVSSLQRDASPKVQGSPTFELNKPTPSIERRLSSLSMNSKTSQTDSAQW TDTYLEDDGRDEESAEDDIEHYKKHEGIRASDYLEANTPRPDSSEAQPPVS TRV_01170 MRCRPLVWSPRPLLPSRNARYRPSSTSTHAPLRTSSNAPNGLDS PKAEASKQGGQKEPRRNLTEQAMRDREDLLREFGLEMVPGTASQGTGVDINKMGQLQI TDANLSKRPHKTALVLYRAPASLTERDFLRILAPGKHIEGWKSQGGLEQIIPTRNPKT LDRMNGWILLFSNPAAAAAYQGKVHKLRELLRDKTPFYPASSIDLPSNYEVPGSMGFT LQDYTLTAPWQFPLITAEFFPFSKWVSSCIRTQESITGVIAHDEEEPPETSAPVPFSS DAAGYPVRMCISRDSFLSPTRKSLINFLYWDGERRSVPWDLIEGWDKVIFLTNGKEDG STPLGEKAHAKPKFGEYDISNWRVTFRSASDARRFVRTWHMKEFPQAEDMDYCDPPPV IKAEALFWDESF TRV_01171 MSLIGDHVRRLDAYVDRLQLRGLAEAGVETSQQREAAAAGTREA TTTTTTTTTTTTTQDVRRAARRTGRAQEDLGSVRPPLLAAARALSGGHTLKPARVLDH LRRVHRLQQAIQTAETRYTEYEDKDAEEGDYDAPAREYTTGLLWLVASKATVQTFAAV TSSMVDETVPLSDGIWYWDEVLGSAFYSGLYTLQTAPARWASYLISIYRNIRQMGSND NGNNARHARRGSSAEDLSVGSGLHDGTTLMARWRAFYRLVHHSVRARPVSSSQARELV LSPFQLARCRARASRSGLRRLREMTACAVGLLMEEGLLFDVADEDEAITPPAIITPDT GPGGSAAPDPAPSSSKKHDSPDEWRDLVSRTTVLMQTVLQHVNNLDTDLTGFEDNVFS TVGSGIRAMEESLVTIDETAGTGRSPSPTDQAYLVIQLLIDVLEHHLPHQQEQSRLLA CNYARPSRLVRYWPAALALLLSSSTVLNILTSRQAELLTWLRELGSTVSDFWTNWIIE PLTRLVGTIRHDEKSEVALMSKNSLDADFSSLERMVVDFVRTRPSQDLGLSGHPDALD LIRQGVRQGDLTPVLRAYERDLQRPFIGAIRGDLVTALLIQIQKTKVDVEVAISGINS ILKSQELVFAFIGLTPSILVSYATVQWAAGLLGSRRGLRQGQRRSEIVRSLRTVNRIL MSSLPSQTGVLSYKDHGLLICEAETLRRRAERVLPGAIYHEFHEDLNDLLNVRAGIAH QMKVVDQIRWTYSKWIYSFSALSPASVQKVETLTSSFDRQQQLASHADITQPTDRDAG NEQTETGRGRPGLPVGLRCPLLTHAVSQLSRHRNAPEISHPPAQHPDGQTDRQTDRGE QGNSDSQKRQINSPRSLSVSPLFLPDSIHPGLGSRLDGPIASRKHSSVTRRAAASTPA NTAASDTASLASQLFFYRRGLPRCPQTSYRPCESSTDRPAPSHSLTRHRSRESTWFLV LTFTLQIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIGIDFKIRTINLDGKRVKLQ IWDTAGQERFRTITTAYYRNAMGILLVYDVTDERSFKNIRTWFSNVEQHASEGVHKIL IGNKCDGEEKRAVTVEQGQQLANELGIPFLEVSAKNNINVDKAFYSLATEIKKDMDVS KAEQAGSQGVNIDNQNGGAGGSLGKSCC TRV_01172 MAEKNEFGAIPLFLQGRDFILQQTVDDHPGKRAAKVDDLVHGKG HDAGGEDVVLHVGVPGRPHLLEDIELGVYVSGGGESSVVEFLQVPKVNKRPARRRTER ATYMTDRAQREPEEKPAASGEDSGVSRGMNRQRRLSRWSSLSVSSLLLLLVVEVEVEV EAEKR TRV_01173 MAQYFGLETTVPFPPWWKMAYQIAIFFVLEDTWHYFSHRAFHWG PLYKSVHKIHHQYSAPFGLAAEYASPIEVMALGFGTVGCPILWCALTGDLHILTMYLW IVFRLFQAIDAHSGYEFPWSLHHFLPFWAGADHHDLHHEKFVGNYASSFRWWDYLLDT EYDPAALQRKREQKAKKAQ TRV_01174 MAESKLFTVRPASKQARADHKDTFRVYLSPASLLQVKVRVGELC QLETYSIASNGHGGGAVGAAAGSKVKTAIAWSAAEKIHDNIIQTTKQMQELYGLRLGD KVSISPVSDPLADIETVRLEEVKRSSSAGSSSAASSVASELAAEERPHWEWALEYPLL KCEVVSLGLAFDVELKGVSRRFKVVHINAGSSSNDTANTIFKYTQQSKVTIGKADEVP GDADPASTGRLEVTPDGLGGLAPQLAVVNERLQDFNTQDQMIIMPSFYKSTGGILIYG AKGTGKSAMLAKIGEANWRRTFNVTSSITSRTGGDGAAVLRKIFSDALKYQPSLIKID QLDFIAPKRSSTGPADVSLSPALCEALDSLQDSKVLVVACTRHPNDVDDCLRTPHRLG IEIELQIPTSKSRLEILQALRGSATQPTNRVLETIAAKTHGYVGADLLSLLQLSCRKA KARMLSPAPPPPPTNGTSTFRNRFYAGEYENESDGYFDEDDEEDGYYEDHLDLSSDKP RLLKIYEKDMLLAMKEIRPTAMREVFLETPSVRWSDIGGQGYIKDRLQKAVERPLKNP ERMKNLNISGKRGILLYGPPGCSKTMMVKALATEAGLNFLAVKGAEMLSMYVGESERA MREIFRKARAASPSIIFFDEIDAIAARRDAGSHGGINILTTLLNEMDGIEELKNVLVV AATNKPEILDPALMRPGRLDSILYIGLPDMEARKEIFENWIDRADVDDEVDAIILASM TDGHSGAELVNICETAAELCLDEEEEKRMPNLQIQAQHFQASLEMVPKRTQPEVIQAY EEWSRSFANNQQITIL TRV_01175 MLLFSSVANRILSSSPPFESAWDGSLSWLARMVYGLQSRRSLGK QRDIKTRDVPLSSLIPSHLASHLAADTSGEYGIDRETFSQLRREILSQSEEGEANTND NINDIYNLICVVVRAGFEQSSKPRGPRALGDDIIGQILDCLDIIRLAVQRAPAVLNGS AKPEFIKENTLQLPLFVWVLSSLLTLLCSRDDRSIQDKVCQVVSVIYGTQFKTIRLWY SVKSISRFLQACIGVPTVEFLSCLDELGLPRDCCGKIELGNSQTVAIACLRLIETITF PVELPLTDSKASLLRESFNHGLHFAVRLWKAIFEWFDENTVAVGEQSLTSLLVEFVSF SRKLCSYSTRLSSRIDQKVLLPLFQCVVDLVDPEQLKRSIELQQVLAEFLMEVIRLSQ SSAGVESLVEELFFYPLSDIGANIDFFQTLHQSLQHVVLRVVAGEHRTKIGLKVVQRV GLFDKDRGSTSRSYILDRKDSSIPAVIDASTDERASKRPRLSHTEDQNGHSDLSSVIS NKIYSTLGVFPPNGLEDLDEDAIEMEKCHAKKPISPLQFGHLRSIIAGILPRIEDSGS CRISAMIAIRNIVNHDLRDTEDRLDISLFGEYCLRSLKSSIRELRVAAGNFIVALEYL HKIFEKNELFLQETCVMALRQIAEISNDEEMNIVLLRLLEYLGHANPFVSGIAYTEIS KLATLLRLTPAALFRPYWRTLSLLVIKNFQARPQMADQLCDLLGMEVNGFLRLTTSYT LPYLVLTRKKDIISRIAMTHSKKSISELIKTRSHLASILALLLVQPLPNPEAAIPCIL QELSVKFNDPTLSKLVRSECVPITFEKSENLSVFLEEHVLGVVTEFVHVINDFQVLQP IPEKKRDIIALGEMIKIAKGNIGIALPQICACLRAALDTDDLRDLAFATWNTMILALN EVDLEPLVDQTFALILKYWEFLTEETKKKEIELVNYILRDNGDTLIAVFETLPSLESI PELSEQNKKIEHLKQVMDIGSKFMALCRRCQSDNFAVVERALVELLPNIANHEEFIHG SVFSEQPDKSLVGKVTRTLLDCCVKYNPSSPTIVLLSAQCLGLIGCLDPNRIESIKEK KDIVVLSNFERSDETLDFIIFCLQNVLVDAFLAASNSRTQGFLAYAMQALLTTGNIST AIPPRSQDPQSSDLYRRWLELPELSRNTLTPFLNSKYSVTIGAISTSCQYPLFTPALN HSEWLRTFVLDMLQKGKSRNIQILFSVFSRIIRTQEKSISVFLLPFAALNVAVSAIDE EREHLKGELTNILECPLPDHKGPERENLILFSESVFAVLDYLSRWLHGKKKEYTSITS IGNNASRSQKESLTESAVQIKRVEHLLSCIPAEIISKRAVECKSYARALFHWEQYIRQ QKSRPETDAMELESLYQRLQDIYTQIDEPDGIEGISSHLHVLDLDQQILEHRNSGRWT AAQSWYELQLNKSPKDLDTQINLLTCLKESGQYGILLNQFDSLKKNEAIIPKMLPLAI ESSWVTGKWGKLEKLTLDRRDEITTDFNIGVGVGLVAFRQGKKDELEKIIEELRMNVA SGFTLNSVSTFQASHDGTLKLHVLSEIELLTSGSYDNPSTPRNELFTILDRRLDMLGG CIFDKQYVLGIRQAIMDLSPAYDDLEVASVWQRIARLARKANWKDQAFNAVLHSAQLN DKNSTIEYARLLWKEGLHRKAIQTLEGAISANVFGPTGRSGTSDNDASVPAKGYEQNL LMARAYLLLAKWMDSAGQTQSDFIVSRYRQAIHYHPKWEKVHYYLGKHYAKILDSEKS KPLGKEGQKYLSGEASKLVINSYLRSLTFGNKYVSQTLPKVLTLWLEHASAVDQPFDP KRGDNEDFQKHNMSQRKKNLDEMHSQLKKYISRISPALQGLWTVLALLKSSSKDRASR GITILQKITAKPEEDYCVEEALSHSILGVRQEIKNRAPSGRYSVDH TRV_01176 MTVETLPAWGIYIELLGRMDVNENVDDLFDYDAGLDDILRQVEQ DTNKTTAASSSQDQPNPGKGVLGIDDELQVSRKRAPVAQLDEARLLTVLVQFSDAGRL LNFYRLWLDELYPRAKFTDTLATIEKLGHSKRLQVMRREWIDEGKPRPEVDDDDFQLG KEQDLPTREKGNQQDGARLNPEEEDLFIDSPHPETTFSTQDAVKSLFGGDGNEQSSVL NTTSIDNELFEWESNDKALGDPPKDNIDHSGTNKVPAGFPDDEDDLDRPLAEDDNGIN SNSIRKPNAEASASNAVADEYENDWEAMQEHDM TRV_01177 MAPARASTRAKAPTAKAPTKEKASTTMAKKAGVKKASTASAAKK KTTASASETKKTKLKTDAAKAAVGANAKATTKRKTASNTRAAGAVAGAPAPPARKRKT SADEEVEKAAAEPKPKKARVAKAPITRKPKPKVVINKAPTQKLRVFVCGEGSQGELGL GAAKGTVDVKRPRLNALLAPENVGVVQVAAGGMHCVALTHDGRVLTWGVNDQGALGRD TTWEGGLKDIDDAKSDDSSDSGSDSGLNPYESTPTAIPSDAFPEGTVIVQVAAGDSSS FALTDDGLVYGWGTFRNNIANKVVQGNEGIIGFDADTKIQTTPKLISGLKKITHVACG DNHALAIDNRGAVFAWGSGQQNQLGRRIVERNKLNGLQPREFGLPKNIAHVGCGSFHS FAVHKSGKVYGWGLNSYCETGIQQGAGDDEAVILHPTVVDSLKDKSIVQICGGSHHTL ARTTDGDCLVWGRLDGFQSGLKVDTLPDSAVIKDDRNRARVLFEPTAVPGFKAEYVAA GADHSIAIDNEGRAWTWGFSANYQTGQGTDDDIEVATIVDNTAVRGKKLNTAGAGGQY SIFTAIAE TRV_01178 MEEPHTSTEQPEGTELPQHQSHEDTEMENESELVADEEMDDGDS RLQAAIHAQIDGDNSQAHQPPPGTGPEHSQTTGEAPSSALPSSTPHPSANGGPAGTQI QLPPVENWRPYVNPPNLGRVRQLLFEVKEPVELSLEEFNMYWPFIDNIWVKQRSTSTK DGLHITDYYMCRLRRPTCKRPPQRPLPDGKRPRNKVVREGGTCNFQIRVVKFEGAHTT ITISRSPNSSSAHSHDLDYIDKVKKNSGVMEYVRQEAAQGYLPASIFAKLREDPQQLE AAGGKHLTTIDVRNVSGKWRSNHPGLTLRPHEGYAYQNGLGVYKVQDGQHINRPPAII AHPRAMNLPANVLPFPTFPLDFLEPYLPKIGEDHNHGNDNSHSGETKFPHVTLTYAQS MDGKISTKPGVQTLLSGPDTKAMTHYLRSRHDAIIIGLGTALADDPGLNCRLEGAGGF GGFGTMWQPRPVIIDPTGRWSASAESRLLKTAAEGKGKAPWVIVSPGAELLPDKLMLL KRHGGDYLRIREYNPHWRLRWEAIFGALANQGIRSVMIEGGGVVLSELLNPEYVDFVD SVIVTVAPTYVGRNGVSASPDSKQDELGNPINALTPHNIKWQPLGKDVIMCGKIKTAP PPPPPPPILLGLEAAARADES TRV_01179 MTNPDEWPKLALAYHLAIPKRRGKININKKTTRRRKQNIEQASR EIDRRGPCLPLQRAKEPTAMFQGLGLSQSPASTGSLFGQGAAEQPAQGTAQAPAQRPS LFAPSSSKIYLVFPRCDTTSGLQPPSGSSIFGQSTTAAQAGQTPATTELPSQQPPTAA QPPTQPAYFSSLLEKGKKRPLSSFQNVSSVELPSLQLGLDDIRKSARGLGSTSPRRAS HMPAITEHKMLSSLTASGISPGKVLQDLHTFNTQTGIPAVPAAPVPAPSVSFEQEPFD PDNQKFLRRVQQRGREAMIAESLARARKDFDAFLEDKVSLNWDEQRQKIYEHFGLVSK DDTGSGSFGFSRRDQVGKADRDEQVGFQSTSRRSVFGRSGLSKSIIGSASVGGAGSIF ADSTTRSIASPQQGGDSRSMREKIGHFGLKVQKLNEMRLQERTFPVLHEFAEAQKVAD SDTPKQLIEAYHALISITNEPASSVGYFEPGALRPRQFAKDYREDGPNSIEALKMRKQ IISGSRKHLERSFYREVEEAIAKNPREAQLGGVPTIINKIRAYIRLRAARKDLAPDGT ELQMVNDDYCWILIFYLLRCGFIDEAAEYVSRDQGFRSMDYKFVTYMTTYAQHRRLPR DLQQKIGAEYQQRLRNAPENTIDPYRMACYKIIGRCDLNQRRLEGLGQGVEDWMWLQF ALAREDTRAEEVAGDIFGLEDIKKDIAEIGQRVFPKGQETPGAYGIFFLLQILGGMFE QAVAYLGSYAPIDAVHFAIALDYYGLLRVSDFYTSGDELLSFTTRQLPQINFAALVMQ YTGEFRLGNVDAAVDYFTLICLNTDLPGELGKSQAAVCHEALREFILETRDFAKLLGD IRSDGTRIKGVIEQRLKLINLDDQEEFLKAVTVQAAAVADDKGLTADAVLLYHLAEEY DNVVSILNRILSDAVSVPLGETAIKIEPVKPRTGGQTSTAASLEPGSSLSLTSVDDPV VLAKNMISLYNTNALYYQKIHPTNREACGLLLRMMEAKSKVEAGQWAPALDVSFSLFT TISLPIAPELIYFQDINNLQILPLTAQGSVTYVRSAVQAFSSLPPVIARNAGNLVIWS IICISRERERMYAGVYENDMRQTLLDELVLMAKDLMVFSGMIKYKLPPRVYETLAKAG GDVGLSL TRV_01180 MKNTVTTPGTPRQNEGKVEEMSPTTPSFGEAPDGGVRAWLVAAG GSAIFFCCLGFSNSFGVFTEYYLSHQLRGESPDKVAWIGSLSAFLLFATGIMGGPSFD LLGAWGVLMGSIMGFLQFPAFAAVSQYFDKKRAAALGIVVSDSSIGGIVIPIALSKML NSSTVGFGWSVRTIGFLILPFMLFACLTVKARLPSRSTAFWIPAAYKEVKFIILIVSL FFMFVGMFTPLFFLPTYAVSRGMDPTLAGYLLAITNASSTFGRVIPGVLADKYGRLNI FSLGGVITGIVIFCMNSAATDAALIVYAVVFGFVSGTIISGASAAFSLCPKDPRDIGT YMGMGMSISSLGGLIGPPVNGAFVDRYGSFFEVSMFSGFMCLFGGLVALISKHFTTEG LLGMV TRV_01181 MLEAERRQRRPAVNKPTALISVEGSNLKGADCISSERPASQSYP PSLTEGSTNGSAAVSQQSNDTVSMDSTRASSRVNQPHNLNLNNYNTSSPRIKETSSQL GGTFQIHRRAGLPGGPLAISGTTTHKGRSFGQSHWVNLISLFDDICGTVERHLQHNQP IALSNVEKCKALARTIKSRRVPSGPINLTLDLPSKKVSDELVDCYLQTIESVYRILHI PTFRRVYETLFLSAVDPAVNDAFVVQVKLVLAIGAVTYDKDFSLRTLANKWIYEAQAW LLDPKFKSRFNIQSLQSHLLLLFAQEMVGIDGDPMWVSVGTLLRRAIYMGLHKDPDGV PGNTLFVIEMRRRVWNTILEVCLQPSLTSGGPPLFSIDDFDTKSPRNFDDEQIEDSTA IQKNEFTQTSISMALRDTLPQRLAVITFLNSHGSLGTYETTLQLDKELRSAYKSLCHT IQGYVRKSCPSPLFEFRAVDLIMQQYLSCLHMPFFGISLQESAYTFTRKAIIEASSKI WHSIYQTSSFPPRQSNECPASSPHDRLTLLATCGSGFYRTALLQATLLIAAELRAQIQ DSESLGPIPLSQDLFTVLKNAKAWSIQCIEAGETNIKGHLLICVIDAHIQALLKYPVE DCTPASLIGALDDASAASLSILSRVATMDNVENSTGALHEMPLDNMGEGAEDWRFMVG GELPNASPIFRPHLTHSIRWPIQCST TRV_01182 MAWLNQPHVQAALGVPLNWTQSNDVVSTAFRAIGDYPRPGWLEN LAFLLENGIKVSLVYGDRDYACNWFGGELSSLGINYTDTQEFHNAGYAGIQINSSYIG GQVRQYGSLSFARVYEAGHEVPSYQPETALQIFHRALFNKDIATGTKDTSSRMDGGKF YGTSGPADSFGFKNEPPPQHVHFCHILDTSTCTKEQIQSVENGTATIRSWIIVDSNST SLFPEVVGSGEPTPTPMPGGATTLSARGYLYDVTLWVVLLVSAIELVM TRV_01183 MVSFCGVAACLLTVAGHLAQAQFPPKPEGVTVLESKFGSGARIT YKEPGLCETTEGVKSYAGYVHLPPGTLRDFGVEQDYPINTFFWFFEARKDPENAPLGI WMNGGPGSSSMFGMMTENGPCFVNADSNSTRLNPHSWNNEDQPVQVGLSYDTLANFTR NLVTDEITKLEPGEPIPEQNATFLVGTYASRNMNTTAHGTRHAAMALWHFAQVWFQEF PGYHPRNNKISIATESYGGRYGPAFTAFFEEQNQKIKNGTWKGHEGTMHVLHLDTLMI VNGCIDRLVQWPAYPQMAYNNTYGIEAVNASIHAGMLDALYRDGGC TRV_01184 MIEAMSSERTPKKPAILRRVSSKPHRGQEFSVDDDVSEVEDKTL RQPALSGRSTARQHSSIRRRNVMPSTVLTRVDSEDERQDGPTVSGSQASENEENNIDD IGEMADDDGVVDDDDASDAESFTLKDRQQAINETHPFGIRIWKPALYKKLRSVEKTAE GDIHSVPGQRVSPWLFLANILWTVVFGWWLALAALIGAIACFAIGFSPDSSAYGRVFW GLSGYLLYPFGAMVRLKPDENYAEEDEGEGRSISEYEQWQSGDIERGGLFFGPIANAR SLVGRRRNSMDSASERDSLLGRTGRHHSDDSETARTKRRLFGRGEWTTGRVMFYIFFY LLVGPLLLSVSLICWILVFWIPMGRVTIILFHHLRRHPLALSFHSDSTYTRLQSGPSS SILLCTYRAAGLKYWKYTVDGTNIFLINLLAVVSFVIFDFHVLRKIFPTDNFITHPGL IFVLALIAVTPLAYFIGQAVASISAQSSMGLGAAINAFFSTLVEVYLYCIALSQGKAQ LVEGSIIGSIFAGILFLPGISMCFGAIIRKTQRFNVKSAGVTSTMLLFAVIAAFGPTL FYQIYGRVPAVDDDFFMKAVQPYSYFAAVLLFLSYIIGLWFTLRTHAAIIWSTDVEEK RPHSDAHRSVSHSHIDHAHGSTSLKGSIRDSQLYARILGQSLKHVGLTPSSEQSTAAI SPNEAATVHVVPPKDKNKYQGPYNMKIPSLTAEENDQLVRQVTEVAATAATVAARDAA GPRHVSGTPGVKTPVGTTGTPAIGHSEEAGVFTEPHTESHGHDAPNWGKTKSFVILLG ATLLYAVIAEILVNTVDVVLQSYDIDEKFLGITLFSLVPNTTEFLNAISFAMNGNIAL SMEIGSAYALQVCLLQIPALVLFSAVHTSIFNPSDLLEHSFNLIFPQWDMITVILCVF LLSYVYGEGKSNYFKGSILLLTYIFVVMGFYLSSLSTMGVQQLQSTSLGVARNDDGSF QVSG TRV_01185 MALDSYYRNKIESMKLEIIQGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMGTKKVLVKVHPEGKYVVDIADGVDIAKLTVGKRVSLLSD TYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCKFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKIIM ATNRLDILDPALLRPGRIDRKIEFPPPSYVLIVDSALPHPNLKANLMLLFVHHSVEAR ADILRIHSRSMNLTRGINLTKIAEKMNGCSGAELKGVCTEAGMYALRERRVHVTQEDF DLATAKVLNKHDDKEVSLGKLWK TRV_01186 MASAEIWASLLTAVDPLSVRAMPAMLQSTIDLLETELARARAAL QELQSQPEPCYVTLQNDVTGEAMAAYKTHLLERVAASSSLSLPVSQTELRRRAGQLRK RPVSFWDIISNSLILDHLAPYLSVASLFSLASACTALRAVIMDTPYVFRYLDLTNCKG AQLSWMPAIHGNISAARMTDQPVADEQLYSAPLRTIFDDLSRRSVLQDVRTLILDGLP VTAELVSDILLTDRFRVSLLSIRGCLHLDEHKLRQVIEYAVRPDRAKGSPSVKGIYYF SPKPIAAATPATPATPASSASPSDDRRLPGCNTSTLGHRDSSNHRRHRQRHHRTLHRS SLGSAVVQAEEPVDRSGVLANRPGRLSLGSEWYREPGQVLKLPSVQNGWAHTLQLCQG VISFDAPLCRGARHDPNLYLMNNNNESHEQYHQVINSQFQHPQDDTTLSVITAASGPL LPPAIATVSLGPAGCDGCRTSPEGPLVWQQSPEQYFPLLTPPPLHSYKTAVAKAPALR LNESPVLIAQCEECLRGRRCHRCNRWMCAACLPDTTKPWPPKVQKLGLETAGNSTLSV KISYLRFSFFSLLVYHLKPA TRV_01133 MASQSPRKDTGHAQRQLRPPQLPPPTTLPLRYCSHNEDSTPIDE EECLLSLLSPNPDAKKNKEHFILATADPAPETTTQPDQKRKLPHWMQAQEGLQQQQQR GQRYHLRRDARQIPGVPIIYVKRSVMILEPMSEPSSNIREGFEEGKLRSGFIEPATST GKRKRGAEEEEEEDGDGGEWVEVKKKKKVKGVNPLSMKKPKQRTQTVQKPRKADENTA KGGSDIDKGTDGASEQTKSKRKRRHKKKAEPIDGAEKDNDTPIADTEI TRV_01134 MSMITATGWVRRGVAAQFPERYEIDEAEISRISKLAKVQLESAR TDLEAARDAEGEGEDEDDVMETVDDAEVEKESEKKGEEEKKSKAEDEDDELAEYDMEH YDSDPRDEEGEEVTMFGNVQSLAYHQPHEKDPYLVLPNKADGAGDDSDEEREDLQILP SDNLLLAGKVEDEVAHLEVYVYEDEADNLYVHHDVMLPAIPLCVEWLDIPVGSQARET GRSHGNFVAVGTMEPDIEIWDLDVVDCMYPSAILGKAPDDEGKQQQQKKKKIKKKSAI KKNDEYHVDAVLGLAANRQHRNLLASASADCTVKLWDLNDANTTRCAKSYNHHTDKVC SIDWHTKESTVLLSGSYDRSVVACDMRSAEGQVGRWTVPSDVECVRWDPLDSNIFYIT TDGGQVVCHDLRTPDSALWTLQAHDSSVSSFDVNSFIPGFLVTGSTDKTVKIWNILDN KPSMVVSRKVEEVGKVFSTGFGPDRGVAFRLAIAGSKGVVKVWDTSTNGAVRRAFATK MAASAEEMGVREGRTEVDGQGMVGVADDEEEDDDSDDEEMA TRV_01135 MAQTQKIRDGERVKAQEYAIKERKLRRKRRRSSIKNESGMVRLV ESEFEFETEEGDWKKKMKKRRKKKKKTKTKMKKTSRSGDDEGEGEAKKDEKRRKEEAK KAKKAKKAKKKKKKKKKKPGRQAGRRRQGKGRARGGREKRF TRV_01136 MSAAVSSSLGRAFTKRQKRPEVSAPMPFREGQPRFAAGTIKRSK ISAPVELLSTTNLLAYTAPDIQKHGNQGHSHKNQPVISRPIIQRQHSNLQHSTSSSSS FRSADESDASNSLHTPSTTITSPSLPGSTEASPILRDNGHQNLTGYFDQQKDGPPKAP QASLEQSAPPPSIPTRALSHTKKSHQELSRQRSNSSNASAGRRTPSLSNTSSPNSTSL SSTHAAHSAAAAASSSSRSHAQDVHAHPFGKELEQVNEVAEEFSAGQLFRDEDEKTLA AKGLVKVDVVEYLEEVKGVYAYVFGGDVAKRDWI TRV_01137 MVSITYPHTSQVVYAGPLPLIATSLSEAGVSESQPQRKWLRQCN HSSSLDDSPLPDLEEPEIIYEGHRVGGPVLCRLPAATFPITSADPITHVFDSEMRGKI MEAIQESNIDFQAMSVLKRRWRESRDKPLPFILIIAKAKYNRTVEDTWFQLYGKIRAI CTSAGQEVNVEIGDPIAYIPRISSAILLSDTIVQHWPALKPRVLEIINDKRWLTLDVL RRGPIDNEGIITVVITIPECSTNDAYSWRPIRDRIVDVLSTAGLDNVAVEIGRGAVYS SHDIDKNNNFFDESGPSAKARFGGSLAGLHDSKRLGSFGGFIVLDFSDGKSRTCGLTC FHCVVNDETDSPAIETWQTHGLRPSDPKNNIEVSHPCRRDYEDIVAHCREVIACLQTP EYYRVLALANDPDRLLSRQEQGVLDHGLIFITSHKEKIRIADEFMLERMNYFGKVYAG SGLRLDEDSHSLDWALIDVSPERVSGNKLPDGLVSPYFNPTIHGGHVTEANFDTVSGQ NVFKLGRSTGFTKGIMNGIETTRFRSCGLGGQSGDALSQSYDIQWAIVPHNGKGLFSG QGDSGAFILDSNASMVGLLFAGNTFCAATYMTSVAALFEDIKRVTGACRDKKEKVVKY DTKESVMAAAK TRV_01138 MVSVTHLPSTPSSVSKPSKSILKTFSSVAGQKRKQLDLTIPSEL STGSAYSDTNASPTTATTPGGSPGPRRKRSRVKFDISVSSPVSPVKTMDTEVDEKAAE RERALTREEVRRAIQRHLMGHSEGYDRIKEMFSVDPKALEDDGTPVFDLPSPVSLKNH LMGLLGNVSSLDGSCSGLVHAMLKSEWVGRDTAYVKLFAQFLATLCAARGGYLNSVLK MLVNGLQQVDPRCGNLPDYDPVDSSEIYHRVHYAIRSIAQVVPACSVTLSPILSSSFP HDSDPVKSHLAYTRNLIKMISYLPELRSDILALITEKLVKIDAQNQVNMEDLDEDTQQ DIMDALNAADPATFEKDDDDDLDEESEVGEDDADPDLQRIKSVKACMLKVDLMVDILF EYYNYPFISGSLEDQEQVLDFLLAHFQSIILPSYRSRHAQFVLFHFAQASPIFVDRFA TTCIEIILSKSQPVIIRQYAAAYLASFVARGAHVSGDVIRDVFSLLGSHVLSLLSSYE ADCRGPDLRRYGPFYSTAQALFYIFCFRWKDLTIAAVEAEAEGNSLPHLNVDEVSFSQ EMTNMLHRTIYSKLNPLKVCSPGIVAEFAKITHYFGLLYLYPKLESNKRIRIHSFRGM GSMAMDTMYGTIERETRADDNLAFQLDDYFPFDPYKMPRGARRLQGDYIDYKDVRVVG LDDRGLDEDDDDDTASEDEDEDENDVDRHTETEEE TRV_01139 MEQRPPNRAWMRVQIVILRFLMRIGMVLHGYPPPIPPRPSFVRT LPRLDGFDSQRVKLCFYTPKRYAEQKRAGHRYPVVVNFHGGGFCIGTATDDARWARAV VEGADSVVVSVEYRLAPEAPFPAAVDDGVRALQYLEDHAAELALDVSRVSLSGFSSGG NLAFTVPLRRHLLQQDSLQPSPAPSTTLTPYETRDGSSINLHLSTLSQYPPGSTSRPS AARSQSAQHLLRHTSTATTANNGGDSSNDNGQSQTPRSSLRIISIISWYPIVDYVLPR HIRRDRSVFPSKTLPKFMTTLFDHSYMPHEASRHLPYASPLLAPPSLLADALPKDIFL YVCEWDMLLHEGHEFVEKLKDCGGGKIVRSMMIESQKHAWDKSVNPLRDQESIDVFYR TAAEQLRVANERA TRV_01140 MSALRDAISKIKQSASESDLLPHRRSNLGAFLQEKEYVYSSDDF SDDNSGVSSKNEQKRAARREKKEQSRSRLSTETRDGSMDTTRSEFKGSNDGSAENNDD TPEMKARYGDLPMMQSRDRPRANLTKFESITDEMKGQELIFRARLHVVRRMGAKFAFL VFRQQLHTLQGVLHTEPKLRSANMIYWAERIPVGSILKVKGRLKTPDVPVFGTTIHNL ELEIEELHVAVRREEPVPFSVYEAELAGAHEERLEGVRQKIPDRTRLSNRILDLRTDT SQSIFRIQSAISTMFRSCLDAQNFIEIHSPKLQAAATESGASVFGVNYFGRPAFLAQS PQLAKQMAIASDFERVYEIGAVFRAENSNTHRHLTEYTGLDIEMAIEEHYHEALEVLD SVLKSIFKTIYEKYRLEIEVIKHHFPSEDLVWLDETPIISFADGVKMLNDSGWVDENG NKLSETEDFGTRDEIRLGELVKEKFHTDYYILDKFPATARPFYAMPHPDDERFTLSFD IFVRGQEIVSGGQRIHHPDLLDKRMREVGIDPSTIEEYMEGFKWGAPPHAGAGIGLER LLMLILKLGNIRLGSLFHRDPKSFPRHEQPVTLRHPEASTLHPPWQDENKPRNTPVDP SRKLQALEDLIANYGDSTSTSWLDDRYKIWRDYATGAAVSYVPSHGYAVIAGDPLCDT TQYRRIVVSFLQWLKKETNLRPIWILCSADVEEILGEHLGWRSLSCVAEERVDPQRNR AASDGEIARKIRRAEHEGIRIHAITPGEPVPDDIKEKINARIEDWKNNRKGTQIHLSE ITPWRDHTHRRYFYATDSEGKICSFVALAQLAPRHGMQVKYSLDFPGSPSGSIEYIIT HAIQSAASSGVKSLTFGGGAIPHLIPGHNLSSVKAKVLQHTYDTIVKQFKLTRKTEFR AKLGAHEEPVYIAYPRHGLGTKGIKAILNFFED TRV_01141 MAIELAGGGAASQTALQLAARRGNHDEAKLLLQAGNDANFSPKG TEYPLAYAIESGDAETVKVLLEYGANIHQASKRHGNALIASIKRGEEEIFDIFLGKFD PNSTDRVGAPALYVAAVSGHEAMVNKLIRRGADVQACHDTIFSPIMGAGLGGSSRIVE TMLQNGANSDHAALFAASSVGENELVTQLLLHGADVGRCTLPYDRDLPIHAAMLQGHE TTVRILLAAGATLTSRYFPYVFLETASRRHFGISRLILNEHLHGDIRLCLGTSPFSSR SSHIPIALLLSTLKVGARTGNASLAWEFVEPYGQTTMDFMEYLLTQNSIRLPVDSPKS VLKAAIKYRNDTLLSFLLDSFEVNIPGDFPNLIELAVINGSVPTLEVLLKRTTEQAEL KTPQWKLYLSRALNAAIERNNFHMVELLDCYGTFTK TRV_01142 MTLPPQSVDNFLRQLQLGFEKCHRRDSEAEAALSCLPKDTPVFK YESASQIEAAARSVDNGSGGYCVFHDVVDGLILLNTKQKRIRKRKYFPISRLLVAKML SEPHEVATEWLHDELVVKISSMGMRFHAYLVSIGSTTIELKEPDYAARPRNLPLGRTR KWPTLVVETGESQSHSDLDRVARRWIQKSAGDIKIVLTIKVSRTKLTIQRYGRSGITR ATILQTITIEKRGQNSPIHIVGNPLIIPFADLFLQTAISNQGDIIFNNPELQELAKLV WESF TRV_01143 MNQDPSLPSWPEHVPDEAAAAMIPTTAEDYTSLLDFNFDLAEFD GGNAGERQNQNMVTTAPPLVSTTGMQDISGLTSMEHINTSQPLQEQQQHYPTSVTMAG SEPVTPMEIQGSNSMQQQQQQQHFYMKQHHQQQQQQHSQNAYSSNYGQRQSFVPPTPD SADMHGGSHNYNLRLETGHQRGYEPFTRGLEDQSSFTPLMSPAMTPLEHQLRFPEYTT PGEYLSPLTSPALEARQSNGAGFNFPNGSHMDYGSMLSSPTDISHQHPATTGPLTNSP KLIQKQRRKSQASRLSRQSPLVRPQNGRSRPQTEAEARARAKKLSAQAAANRTSNSRN SSSNEGSGLDSVSPEPLTEPLMPPPALPRSGKSPYMGAQDQPTTMNEVATPATLMKLQ KQQISPGMDGEFSRNGTVVVGSAPEEHMEDISLPEPASLQGAPSGESTPTIHAKLPHT IKPRTESISELKGTSSVTPSPVIRAKGSPSGPVGLKRSDSKQSSRVTKKRQGMSAAQS PALRPKISPSIQPLIRADGVSSETSALHLASKSNYQHILEGTLLPGVTYPEALAENLS SKRTNHKLAEQGRRNRINSALKEIESLLPTSLTHRAKEKEQNKDSADGSSTGVSKVPD KPSTNQPISKASTVEMAIVYIKALKQELEDTKAKLKAAESKSCNESPCHIPAQAPVPA SDSGSNSLQISDAQVEKQDTMSGAPAADTNPGST TRV_01144 MRPAASDSVRERPRKTTTPNIINATPSSELRNASPAAFFLSRGN VMDGSDASAPGTPNESTYGVQSLSDTIDDGISGPLPPFHNDVHATPEGDIGSSPLSAT SRAVMPNTQEHKTEDSLKPPPYPLSDIGITLSGTPSFIGSPGEPFSLPSSPKSSSTRS LRNIDDMPAVEESGYADGEPYVNEGPVESTELQDSTSQLVMPSIKIPSRRPFTDRGKA MGRLKILLAGAPDPLPDSVSIPPSSMAKSRRKAGTKPRRTRECEPISEIYASTKPYPS WWSDMDDSRVLRRRKSLGDVILERNLCFVDTFAGSKNADQQTEVIIQYMNKQFTRAVS AVRSVTTDFQGLLCGNGGPQVDVILYLITERTNVEAETMTADIRSIQKLSNFTTVVPL IAKCDNYTPDEVQAIKKSFVERVRDADMKLSCFGPFGESLTNHHVSGPSIPFMTSSAT TNDDETMDASVLMSPEYVQPLVPSDLGFVLDKLFDRDNAAWFRYSAAKKLIEAQQQPR PRAPSNNFPRASSNYGVSSLNDSHLSPSLPSTPASASHMLAPRGERLGLSEYTLARMT EHTRREEQYAQARLAKWAADLQQSLQNERSRYEQLGRGERAVWLTEKLGECVADGTLV PLSQTPGFPGFGNIVDRANEKGNLCVRTQDGRRFEYRVAAGNMNAADPLGLLKLGDDL SRRGWVLVQVLGGVGIVGGLALWMAQTWGLSGVNGSAVHH TRV_01145 MSETFEFQAEISQLLSLIINTVYSNKEIFLREIISNASDALDKI RYESLSDPSKLDSNKDLRIDIIPDKENKTLTIRDTGIGFTKADLVNNLGTIARSGTKQ FMEALTAGADISMIGQFGVGFYSAYLVADKVTVVSKHNDDEQYIWESSAGGTFTLTQD TEGEPLGRGSKIILHLKDEQTEYLTESKIKEVVKKHSEFISYPIYLHVLKETEKEVPD EDAEEVTEVEEGDEKKPKVEEVDDEEEDKEKKKKTKTVKESSVEEEELNKTKPIWTRN PADITQEEYASFYKTLSNDWEDHLAVKHFSVEGQLEFRAVLFVPKRPPFDLFESKKTK NNIKLYVRRVFITDDATDLIPEWLSFVKGVVDSEDLPLNLSRETLQQNKIMKVIKKNI VKKTLELFNEIAEDREQFDKFYSAFSKNIKLGIHEDSQNRAALAKLLRFNSTKSGDEI TSLTDYVTRMQPHQKQMYYITGESIKAVQKSPFLDSLKEKGFEVLYLVDPIDEYAMTQ LKEFDGKKLVDITKDFELEETDEEKSAREAEEKEFEGLAKSLKNVLGDAVEKVVVSHK LVGAPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMASKKTFEISPKSPIIKELKKK VEADGENDRNVKSITQLLYETSLLVSGFTIEEPAAFAERIHKLVSLGLDVDEEETPEE KATEETPADEPAAASAMEEVD TRV_01146 MATETVPAANGTYHGHHPYQVDPYAASHQAANASNAQYAPQQHA GTSTQAPAASHDSKNDIPKDEVGWFFVEQYYTTLSRTPEKLHLFYSRKSQFVSGVEAE KVNVAIGQRSIKECIEGLDYNNCKVRVLNVDSQASFDNILVVVIGEMSNNQGPPRKFV QTFVLAEQQNGYYVLNDIIRYLNDEDEELAPEDGPAAVEEAPAVDEPQAAAVDTAAAP APAPEAAIDRQADTEAAAHEVDEKLEKEAVNGEVKAEAAEEQKPEASTTEEAPKEEAA EEQPAPAAVLPVSADAIQPEKPKGPDPSPADVTPKAPTPAPASAPEKKPVAAVPAKPM SWASVAGNRAGTTPTPAVPVVPAAAPAPAPSAPVPATASASAPAPAAPTSAPTKTQQS TPTVSDAGNENQPPAQSEWQTAGAEHGRRQPRQHTPSQTEGVLGYVKNVNEKVDASLL RQTLSRFGKLKYFDVNRQRNCAFVEFSDTAAYKAAVAANPHTIGTEQITVEERRPRAN AYGGNGGFSGRGGAGRGRGDRAGGQGRGGFQKDGRYNNPARNSRGGSASGSGNVTLKG RGQPQAI TRV_01147 MLLFMLLLVCCLLLSVEQLEELLRAAGRTAWNKDKKSEEGKKKG RRLQAGREWKKRRREAKRKKKRKEEENGRRREAEGVVVVVLFFFFFLWLLLFFLSSQK KGEKKKEKKKKPLVLLPGYFYFFDKRRQRGGRKEAIKKEERREGRQGISGISFMNWTD DKKRGKAGPRREHSRGEGKTAATEREEGLLDVSTASLYRRLVKKEKKEEEEEQERRMA VDGRGGRWHHVGRGKY TRV_01148 MMLRRSLDSQRPIMAPSLASQRNSKRYSTISNQTTSSTMTGDSR MAEIKELSAGLARLENKRLSQQRFVPTPEKSESLSKLALGAKVERALGRRMSSQDAVM RKPVVLDEKNMIKSAS TRV_01149 MPKPKTLLKETKAKKKRKQVASYQNLMEATKLIARNRADQSDLQ APESADEFLAGQNLLTAVFAETEGVDLEEAGEKWRAGDPVKSMRFFMRAIEMYETGLR RYPASFDLAYNKARVQYEITQHPKLVTQLPGPIIDILRIALDSHREALQKDQDNADIL FNTAQVLTSVAEALTEGKHADEEQTEEALKCLTEALELFQRCLVLQELRFTEYEQQLQ MVQDHQTQHNKQDEQQQHQQQQKQQTQGGVGESRTGSTEAEEWAAVVEPVTKNTLIDT AIAQLEALATLCGLLSSDHGSTLVWVEEYSSDLLREKIAAYVEGTDRANEVAMVRAKF LSILLEVNYRSGRIDLDTYKQELDSAWSNNVDVSHDPAGLSNQAEALIGFSSAIADAY PAIDVEGFPRSLDLRWQALGVALSRLAAASKLAGPDNLAKIHIARGDAEMHRWRLGQV PFCYAPAVDNAATLLKNAETYYRGGAATARRDGWPEAEREGTVKEALAKGLAGDSSQM GSLAIDSKGYMMRVADDMAEDGHIPPEDLSRLMAVLDPNELLF TRV_01150 MAASTSNSEPTSAAKQNEPQEPSPSPPPAAPVPLTPGPRASRLQ QVFSQALLHTIRTNSYANFSACFPTPAKHVPRSLESVWRQLNAKLEESARAEFDDILH ERGVVRRLNELDRLVGEAKLRRENGEGANSAPAHTLTAKELYQAHLAPILAKAQSSLE TQTEEVQKKNTELASRIESQRQEIQQLLASLEAVVGDIQGAVKSMDEFDEDNTLRKEA EQMDEEIRSSQPS TRV_01151 MAADDTSKPTNSQRHDRSASDSSILYLSIASSLVSPATFFRRRP FLDLRQAASSARPSAARAAMDKRHQPSSFQQLEKVFKGRNRQTGEMVALKEIHLDSEE GTPSTAIREISLMKELKHENIVGLHDVIHTENKLMLVFEYMDKDLKKYMDVRGDRGQL DYVTIKSFMHQLMRGIAFCHDNRVLHRDLKPQNLLINNKGQLKLADFGLARAFGIPVN TFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMYTGRPLFPGTTNEDQLQKI FRLMGTPSERSWPGISQFPEYKPNFHVYATQDLRLILPQIDQLGLDLLSRMLQLRPEM RISAAEALRHPWFNDLNQLQAQQAQHQALHSHQHQQQHQHPHPQQAQQQAQQQAQMAA GYPAPGIVSQNY TRV_01152 MRIPFTAPFAAPTILPASAATVAGAIDALAGFLAAPSPPLLRGI DLGRNEQTVLLTGAGISVASGLSDYRGEKGTYRRKAGYRPIFFHEYTTQHAARQRYWA RSFIGWPTMGRSKPNITHDSIGQLGDKGYISAVITQNVDSLHRRAHPRLPVVELHGDL RSVVCVTCAHRIPREQFQETLAALNPAWADFFHQLARSGALETDDVEQQRQRGLKLNP DGDVDLPNAHYSDFRYPACPRCLERRPDRGSTAAVIVEADGDGALAPGSNAGILKPAV VMFGQSVDDEVKLAAEEAVDEAGKLLVLGSSLATFSAWRLVERAVNRGMAVGVLNVGG FRNEALLFGSVQPRAGDLSRVRCSHPAEDILPHVAARLAAL TRV_01153 MDESKLERLRPVGCLEKYSTARNPPRFYTNVAVTASYVLPSSCA LPVRDCVYGALETLIEEHPALSAIPLGEDSLDPYFVRLPEVDLDRCVSFREREREKKV AAGDGERDTELDELLNEQHNIPFAAPWPYWRLCILTDPQEGRRFTAAYVWHHAIGDGT SGKAFHGTLLAALGAICARSSYSALPAGSTAVKSVVVSPRTPLLPSLEESCPMPLSFF YILWSLFRAKVWKPARDPGLWTGSKTFTPLHNTVRHLVVCERETTAFRDACRENRTTV TAGLQVLLARALFKHIPEQYTRLASEGAISARRWLTDGRITEDSIGVWVGVYYEDYSR ASLYNDGDAFAFPWDEARRAKQTIDTALSRRGSNSPVGLLKYVRDYVREIFLPKLGQE RELSFEVSNIGVFTETADPGDPAEADEEPRIERMVFTQSANVFGCAISTSVVTGADGC LVLGFSWQRGVVEDSLMDGFVASVKQAFGSFKQ TRV_01154 MIRTPAGCGKTRFRSARLLCALVRPRPPQPPRDSDIQLRGFRLP LRLVRCHSSLSSLSSLSSLSSPSSSSSVVAAKNPPRPPAYPPASPALSIIITARPARP VLALVHSHSHSHSPFTMGGQTRSHGHSHGHGHGHHHHHHHDTTYLTSKNKQDPGVRIT RIGLLANLAMAVGKGVGGYVFHSQALIADAYHALADLVSDFMTLGTVSWSNKPPSARF PLGYGKIETLGSLGVSGLLLVGGLLMGLNAAEVILTQFFPDVAEAAAHMGLLGHGHSH GHSHSHFPSDQAYGPNINAAWLAAGSIVVKEWLYHATMKIAKERKSSVLASNAVHHRV DSLTSIVALLTIGGAHVFSDATWLDPVGGLLISIMIIKAGLGNTKATLLELADAGVEE SMVDSVRKYAARAIAALPHGAEVELRNIQGVKSGPNYLMEVDLAVPGSWSVARTREVE RAIRERVGARVRGVKRLRVRFTLDTEKELDFAEEFIAPDLSPRSSPEPEPETNGNGSI SSGSQSEPQVNGTRRRH TRV_01155 MGSHDGPLYIGFDLSTQQLKGLVVSSDLKVVFVAKFDFDSDSRG FSVTKGVQTNEAEKEQQGLDFGRVKGISGAGQQHGSVYWNESAEQILGSLDAGKTLED QLQAALSHPYSPNWQDASTQRECDEFDAFLGSEEELAKVTGSKAHHRKHPDAYRKTAR ISLVSSFLASVFLGRVAPFDISDVCGMNLWDIPSNRWNKSLLKFCAGDAGPEQLKRKL GDVPHDGGQELGKISGYFVKRHGFHPDCVITPSTGDNPATILALPLRPLDAMVSLGTS TTFLMSTPQYKPDPSTHFFNHPTTPGLYMFMLCYKNGGLARERVRDAINAKLDETRDK DKDGSNPWSNFDRILLDTPPAGQKSDSDPMKMGLFFPRPEIVPNLREGKWHFNYTPGH TDVDQQLRETDTGWSHPFDGARAIVESQFLSLRLRSRELVHSPSDKKDIPPQPRRIYL VGGGSRNAAIAKVAGEVLGGIEGVYKLDVGENACALGAAYKAVWALERAPQQTFEDLI GQRWREDEFVERIADGYQPGVFEKYGQAVRGFEMMEKQILKAET TRV_01156 MEEQVANAIEIAGNPASDQALKAQAFDYISQLRSDPSGWQPCLS IFTKFPRHSEIVRHVALEIVNSATQSGQVDLQGLGFIKDSLMSYLQEMYGAGATSEPD QANIQNKIAQTITYLFSALYANGWDTFFDDILRLTYKSPENQVRDNVLGTIFFLRVIN SIHDEIGDVLLSRSRAEQDRANTLKDLIRERDVQKLVNSWQEILTQWQDQDNVITAMV LKAIGSWVSWINISLVVNQGMLDLLFHQLAKAKDVSLNNGGERVRDAAIDVFTEIVGK KMKPSDKVDMIVFLNLESIVSQLSTSPPLHEYRFTSKYDTDLAETVAKLVNITALDIV KALESEGVDAATKEKAEALLQAFLPHVLRYFSDEYDEICSTIVPCVNDMLTYFRKVAK KNPEMASQQNHMLLPILKAIIQKMRYDETSSWGSEDDQTDEAEFQELRRRLNVLQQII ASTNEQLFIEAITDVVRSTFSSLRQPGAQLDWRDLDLALHEMFLFGDLAMKAGGLYNK HKPNNPAAERLIEMMLVMVESDVRSFNHPATQLQYMEICVRYSAFFEHHSHLLLGVLE GFPRLAHHQMLKVRTRSWYLFHRLVKHLRAFVGNVAQTVVEALSDLLTINAEVPGDNS DGDDLSSEDIGGSRDTVFTSQLYLFEAIGTICSTASSVEKQVYFAQSIMNPIFMDMEK NLPAAQANDERAILQIHHDIMALGTLAKGYSDWVPGSTSPQTPPPPEVSETFGQVSEA TLVALESLKNSFTIRTAARFAFSRLIGVRGSRNLPQLPRWIDGLLTPTSSKDEMALFL RLLDQVIFGFKSEIYSILDTLWTPFLQRVFSGIAEPISGTDDEIQLAELKREYLNFLL MILNNDLGSVIISSSNQSIFETVISTIEHFAKDADDFPTAKMAFLVLARMSSLWGGPD IVAPANGTNTSQQETALPGFAQFMITRFSPLCWALPMNSSFNSKDAQAKQVLGEAAAM QKVIYSKTGPEYLQWLRTSELPGMGMGEDLINEYVSSLEQLDVKAFRQFFQQCPPSVP FIPSSITSDRTIVDESHPYHQK TRV_01157 MAVTTKATISSFGGKLLKLSHNATSTKCEMAFNLYLPPQSVSDS GYKAPVLFFLSGLTCTPDNCSEKGFLQHAASSKGIAIVYPDTSPRGLGIAGEDDAYDF GTGAGFYVDATKAPYDQGYNMYTYVTEELPKVLFTEFPQLDSNRVSITGHSMGGHGAL TLFLKNPGKYKSVSAFAPIANPVNCPWGQKAFEGYFGSDKDKWNEHDATELVKKWKGP LTMLIDVGTGDNFYKQKQLLPENFLAAAKEAGVESEIYLRFQPDYDHSYFTIATFADD HVNFAAQYLFA TRV_01158 MGGILLPTLADSVKHDLQHASKCSIKTVTTLRQLFIGTVSDELP KETKTPKRATASKPSSKSTRTVRVRGTSKNTLPPQPTNEENPPALSKHEKLVLATEVF NIASKLLSEHIKKHSVSRVSKTAGGNGSQRVRTTSPTKVPLQPTSPNPKVHQAENPKD TPEESIKRNGNCRIKLVAECAMLSLETLRNIRISEDTFDPNNLHLGQGINILVGKLLI LELQDLAIKGLCMLKSTLLVAIEAERTKRTNGLERKYPDSQNASLESLLEFQALPENG PLLQLMVSFQSYALKVFLIEGSWNTSHKVLQQLRLGNPCSPTNTILAANKIGIIPDDR AAQQLQSHSQNVLTFSIILSSAEEQQAPITRAENPMVPLLLQFLALEIRCIWWKLSDH KLDLAKELWGPLSRFISTYVRRCPNSHKHEFDAIQAAFNQLKARLGSIKPLGNDAYKS SSMAAVVKTLGQIAYSVGRLETAAGLCEASSTSLSDVRPLQRAIYQCRKALLKVESLK SRSTSESEAFQAVNEAATDLTASMKGNQNELDELLMESALLKKIIMKHISQTGPSSKR PSDGPTTTNDSALAYLTSFLKFLIRYLAPAVHASQQDDTNAVTRYLQRSGNIVLAAID SGIAVGKLSSGSGSLSWAKVEALLPICVSLSQLLQKLGPSCELENCPSGDASVRLLRI SNLYWATYMRYKDLGKGPVELLPPVEQATSILQHSALTEQISGLLAIKFERQAALYSE IGNSKKSSKAYTSAIHAHFEAGVLAAACQLSSKEHPRLIWKDPKGPGYALSRVLSCYI KEQIKRASGANPVIYDVEDLEPCQRATLLEQELNILTGLNGPSASYIVTETLPPLLST ILSLLPLDTYPIRRTRIIYQTLQYLLETNANSSKEFSKFILTEAAQCLSSSILPSEDK ALVLYRDDLQASLMITVAFYSGMTPLNVFENAIQTWVVIVQGCESWEAVQNRIGDPVS LIIHLRSLSDYLEIRGLWRLRISALATVSQLLVLQDKPDFSMMVSCYSSIALQYCRLG IESIFQVQSVFDNISTDLSKETFQTRAACERLATDAATILSRSFYSKGYPNEAAYYAK RAVKLSSRLWARLEKYVEAKSEKHCKDKNTDPDTLADGIATLHIGTNDSSPSKSHFEG SVYWPYMSSHVAALLQLSRISDHNGIFQDAIYHGEQAIAVCDAVGSQALSSLIRAELG DRFIRADRTHKGAELLEKVQALPHEECDFPLSISVNMHTAALHVQRKEVECAYRVVSD CINALSSFSNVSTVDSLDPFIDPIKEIVKQTGDMNISSPKKSSSRSASRTTRSRSARK PPQAKSVARSNIPINGESESAQNQTLVTRLHSSLIRKQAMLLLASQQFDQVVGLLDQA DKILEAETAISSHKLCRVHYMLGLAVQQLASHSVYCVLPESTISLPSLHLGGHGSKGS SRSKSRPGKTAKSPINSEVNFSETLSTASKVLADISLPISQHGSSKEGHEASYLRSRT SMLAFATSTRSSDMDPCLIAHSIAHPEEGCFMENYVEILPPEWDVISITLCPSQDEFI ISKLRQGQCPLILRLPLKRGGDDDMDEDTFSFQEGKAELLEIIRLANASAHNTGTSLG KKEKKAWWETRENLDKQLQDLLQNIETVWFGGFRGIFSQAPRNGLLLGKFTASFNKIL DKHLPSRKEKRGKAKATYPDFDPWVMELFVNIGRLDDEMNPEDAVMDLLYYVVDILQF HGETNAYEEIDFDMMVVETLDSLHRYADGCKWEQAPTPTRHTILVLDKSLHAFPWESM ECLRNCSVSRMPSLDSVRDQILRLTTQERHEGGQFGFYANRDSGTYLLNPAGDLKSTE DLFKEPLSVLKGWSGRVKTAPTEEEFESSLRTKDILLYFGHGSGAQYIRGRTIRRLDK CAVTFLMGCSSGAMTEAGEFEPYGTPWNYMHAGTPALVATLWDVTDKDIDRFTTSVLE RWGLFPAPATTAKTPIASASGIKHTGQVGLDTAVAGSRDTCILKYLNGAAPVLYGVPV FLK TRV_01159 MATILSKSQLRAVALSKRLPILPRASSCQSRFTLQHYQCISTGR MTPKIADASMWNSVIPKFLRSGSQKGPKQGADAGIMERHPSVFYILMFILIGSQAIHL LQLRTSHENFLRSTNSKIRQLSEVIDRLKNGEDVDVRKTLGTGNKASEDEWEEVLREI EQEELEWRSKLDAIQSNENHQHSDTPKDHGTPKRPPQFY TRV_01160 MNSYNAVYPIAMFLSLYRFINGKSAACPFPGSLGTWKALASDAG ADMIAKASIHLSLHPSPGIKGEGFNVASSETPWSWEMKWPPLCEWFGLIGEPPVDNEK SKTSSPGPDRYIQSHETEYKRMIQEYGLKAWNVASPSMDGSENWGLTKLNFDRHLDLQ KLRSTGFMGDESPRDTWINVLELMRAARFIP TRV_01161 MAASNARHALVLGASGISGWSFINQLLQSYPRAGTWSRITGVTR KPMSREEVSFWPQSREDPSFRLVSGFDIHNDQEQTLMAKFQKEVTDIPTVTHLYYLSA WCFITSLTGSTLAGIPNVLTSFVDLPVQDPPSNYDDDEPFAASLKSLQKTVTVIESLA PNLEFIHLQYGTFIYGVCFTEEFYHTAPLSESLPPLRKPLLDRLHYPVWTK TRV_01162 MPHSSTNVFRRAISSICCTRISRKGEKPEGTQTGKDMALLASIE DGTFATLSDTRAVQLFGATFYWELDRLKRAKPTVESSGTKTLGNLGDDGRTPSQLLFG EDFVEVNRTLVGMLALKWLWSNDYDSFTCHQYPERRLQPESFERLYAILSGGLPHPYE IYALLVAIVVNDLGKDDSLKTLVAEITGQTCDNHDEVLLAAANAQMIPSINTLQPDLR SDLILGLEVGSSFNLAQLAQAENVPASLESIYAMRDRERAFFLKYMEVLLDIAGAAGH IDSRNAGAMVEPVFRSYLAVYDALVGAISGILSLNQAYDHVLTCRARILEETGFRSLS ARIPEERALLRLLTMGRVADIPLAKCFAEAFANLPQNIKKDLVGGLGADGLNMKPAIL PYYAPGLIAEALRSCAGSSQNTKMHVVSALMRFLARVYGEAAITAPERPQGETSNVIE VDLSFAQPVISGENFRLDPTVLDAVPIPRLHRHE TRV_01163 MPGWFPTERFDTAGWRLEGVGLLAVLGESSVADQAQPLTASKLS LLPRLIPAPQALLRATRPVRLPSPPAVVCGVHSGTLVHELNYFPNIIHPIADLKPYQV VVYEISWAEKRTKYEKSQSIKLEKLIMPEGLRSKRPVSVPPPHKHGNKYAITPNKWSP LSLITISSFLMTACAFAWALVIRDGTAAVAIFLMSMVSTLNGFAFYWKPHLAVRPSSA VVPRGDIIIRTREGAFIVVRCTEELARELYTGTEECNYSLSEQWARVLVGIGTFLIMF AVVLLGNCEWTMQAVVSAIYMLMNAMYWGSSLMPKSWIWDMSRYDWKDVTPDNVKAAH EIGSDGAQPSFTRTLWYAIHATEEINWVIVSGAVPQTQAWENWLELAYANRTDPDWDA VGEKDRLMAEARRSQLVPSRKDHDS TRV_01164 MDIVTNNLSTSASNNQQTITDNLQFEDIGFQNIQTQLSFSLDPD LSTTIPNSSNNESYQEVSYRRLYQIYRLHTRIQDLVAFFSSPSTQTLINTIPPNRDAT LSEILSATDELVQIISTSGSEIDICSDISQDRPSHCQSYNQPAIAFPCYTSPSSRPLS FPIIISSYTNLLQIYEPVITDLFTLIQRLPSSSPSQQQRWLNSPTGVLLQAAEILPGR LRVLSDKEPNVYFLASLVSQSVERLRNAIWSYLRMLFPEQRSQNLIHATVGSHSQLTE RVPPDMRYREQRILAWLHAVNNMQSELSLY TRV_01165 MAQPETPKQWEKNVGGSAPVSQQLAPNTYASETALTSPEADVVT KSGSQLQQNESASDSTSPAFLQAATAAPPEASPNPRSCVTCRRRKVRCNKHHPCSNCI KAKIECVYPSPGRAPRKPKKPRDTELLARLRTLENIVKNLGGPDAIHGNPSSLSLKKP PLHQNSAIEQPKDYANTSDAMQQDATVKSEAPDTSEIEENMGKLMVEEGGSQYFWENG AFISPFSMATHFLQILIVQILTCPKVDDLKSILDPLSSDNENDTVEESAFDESYGVNH DGFLFGFSSLAQSLRDFHPPPNQVDILWETYLQNIAPMVPIFHTPTLKPALYHGIKNL DSLDKNTEVMMLTIYYTSAASMSAAECLARLGEPRDIVLGRYRFAVEQALGRAKILHS GSFTLLQGLVLFLLCVRQHDDTRYVWSMISIAYRIAQGLGLQRDGTNFNLSPFETEMR RRLWWHICILNFRASEDYGCDPFIYESSYDTRMPLNINDDDISPDSVDFPEEKEGCVE TSFFLIRCEIAVVNKRLSFIPPSSSCRLQVSRYSMAEREELIDKLSSNLETRFVRHCD MTIPIHWVCATVSRLVIAKLWLMIHQPMVQKSQRNPIAKETHDRLLMTSVEILEFSRL LETNVNTAKWGWLFRTHMQWHAVAFLLSELCVRPLCPGVDRAWRAINSVYEAWNMHSE KKNGMLWPAIRKLMARAVKFREKQLHQLTLQRGKQDLDIPGSCAATPSTDPSSTYLTS SSSPPQYLREPTSYSSLSSDMHIDNNTLNQNYNLTTIPQLGTALETQWADPAAITQVD TSILSSASPSWSDWDQL TRV_01110 MISQSRLQTATYLLAVCPFSIAFLVFMNSAVSFVVTDLIKLEHG HGNAVGTLGFADELLALVACPLWGLISDRIGARYVSTIGYFIVAVSLVIFVQAKNVYP QLLLGRLLFCLGGSAVATMVTALLPAITANHDISKCPAPGVAAPLVCTPDAANTGNDE TSRVTGQERSASSAPRLAGYAGMFTGCGALIALLLFLPLPVRFQKAGYSPAQAIKFSF YTVGLVALIISVVCFFGLCGLQGDEGKSLKLLLGSTKRDQIPGAEEQLPARSGNSAYT SPRSYLAQLFVALKAGLTDYNIGLAYIGGFVARSSSVGISLFIPLYVNQYYRRSGLCH EAGKPSTVQLLSPNPGDIKQSCPRAYVIASILTGVSQLVALLCAPLFGYLSDKSRRYN FPLLMGALLGTLSYAMLGILPGPRISGSWSSAAIFVDMAFIGISQISAIVCSLAILSN GINGILPVMDDVEHETQDNVPEDRVPADTTNRSLETINESTPLVSDNNVYTASPQKTL IHVKGSIAGMYSLWGGAGILILTKVGGIMFDKLSPHSPFYILSGFNGLLLIMGLILGL RQHWSKGRCH TRV_01111 MSGYTLFGLQRLLYGPLRQIETEQLYEKAWFAVTETCLAMTIFR GELGAWFMVMFVALLAGKIWGWIGEGRVEILEQQPPANPRLFHTRLAVSLIISVLFNS QMLEYAIKTVLRQARPDMMVMFGFEFAVLTILSTSTMARYTLSLAEIYITRQQKQAKL AERRAEIRAERERILRQQAASGLPAPNADNLPSEDDIDEMELDVSGWEEKGRWVFYLD LITVYLSFFAILFRFYGLPIHILRDVVVTMRSFAKRIIDFIRYRNATRDMNQRYPDAT AEEIAREDVCIICREEMQPWIPAPAANDGAAAPARRTRPIPERLRAKKLPCGHLLHFA CLRSWLERQQNCPTCRQPVTTGTQGNARPFAGRGAAAGGQRAGDQQQGGNEAGAADGE IRRRMWFLNFGPVRIGFGAGRGDMLQNIDMGQGIHGQAAQAPAANQNQNPPPGGQAPR TGFGFGIDRPIAGNTPGSTSEFNHRDVQQVTQQLEHQVMQEINNLTNTAEQLQVIRAL HYELIRLRTVQSNASASTQNTTSPGSSRVQPNTTQAHPLTARTTPSGTSHSTTIRPNY SQSYTATNPHLTSIPSGDPRLPEGVSIPPGWTLVPLQRTLPLNPPIGPHNTAAGSANA NIPTATSPLSSSSAPPSASTSPFPNHNHTTATITSHTVTPGQENGNIGVSSTGPASPP EFFTSGTTPPQSSQYTPTITPPHDTTNNTAPAPSLPSTSPQREPHGLPSRGASQSPEE THENRSLFNNPSARSFPEQAEHDREDAESEEDENEGENDEEGDDNDSDDNENDSEGDN STHSSVPKQKGRAATVEDEAEDD TRV_01112 MQLRVALCLLPLAVRAANIVSSNDDGWAEVNLHTLYNALTNSGH SVVVSAPADNKSGTGSSDANPTPRNTPCEFDSCPAGSPAVGYDQNNPRFNYVNSFPVT SMRYGIQNVAPRFFGGRPDLAVAGPNVGANLGRTVQISGTVGAATEAALEGVPAIAFS GSVGHLTAYWDYTPNYSEVYATLAAQVTNALLQNAKPILPPGIFLNVNFGAVSDYDCT SPDDFSFVLTRILPTSGNPDVNICGNGGRLPTESDIVKSDGCYVSISVATARGKRDAD SSA TRV_01113 MISLIPKAGIQLPIVIFLLILTLIITSQRLSASVPQIKLSLKAG LANTSSKGWTGSNKAQHKAPVAPTPITAEDVFIISNFTAGTPKPPGSNYTKALIVPRL RTERVDWINSLEDIKKEIYVVDDPLSFPRIPKNKGREAMVYLTYLIDNYDNLPEIMIF MHAHQEAWHHEEPLNRDAAEMVRRLSIERVVRNGFMNLRCAWAPGCPGWINPHNTQED SAKPEEASVLKGWKDIFPSIPIPDVIGGQCCAEFALSRERAQAIPRSHLIYYRDWLLR TNLIDFYSGRVWEYLWHIIFTGQYVYCPAERVCFCDGYGLCFDNDKPFQEFKNLGNIL YGLRLELDHWRKKEQEVASAKKEKNFTALAKMEVPRMTRKQQIEQEIQRKRAVFNEIR NAAFARGRDPRVRARIAGRPWKEGDGF TRV_01114 MDRYLHTLDIEVLCQGVMPLGPQQNTSAEFVSHAPQAQAQQQQP QQQQHIEGNAPHLGSNSVGVPPGYPTDNQLAGLVEAATAAAGEDESWDQNDAGDMMAT GHGRGIQNHLENYSVGMHLDENGFATAGPTGHSFGSLPGGESSVSGGGRQAPPGTVTA SVRKRKRNQNNVDPAMTSATTRFRFGEGEESSMAQDGAGVQVPETDGSSLDIREMPPQ RVISDARAAGVHSAVALFRQPSASSKKATRPPMSKMFTSLGLSPENFLHLQAAAKQYM LDENHPERRDCVGQRGRGDTEMVKLRLWSCVRDFLDREGNGLRYFGEHVENDGASSDR LIWPRDDQRIISLVTPLLRRMVTNERQRQYAIEIRKGGNSEDKSKRNSGSTTNPIPDS AARYHQPPPSGTAELGMLGLIRNEYPTYQTDWDSVARAYEMYNQDYRLDSLGSISGLP QSDWWGLVAAIDCHYQIDHGGDATQCTDSCQECTVNHLMSSESMSEVNFRISGEEDIA ARNYFATGITRDATRIVKNYLLEHPNIQPASTEAQDPQFPTSEVVPQVPIQVQPGLDQ QQPQHQQSASDALPVTLSINIIQNEDHKRIVPRFQVPASQCTDFDTLHGTIRQYYHGQ NNSQAPQNMRIRVWLDDGLQLILNDSQWLTALVTASEIEWMDNELKIIVDRVDGAAN TRV_01115 MAPVRTTHDYYAILEVEQTADAASIRASYRRLARIKHPDKNPTN PDATSEFQLIQEAYSNLFDADARRAYDLRYPSIKRSAGQTETSAPKAEQNTVPTADPR TNGNSDPKSAPAAESRPTSKPDHKTEEKPSHRPNANPESKPDRKPDPKPDLKPEPKPD IKQDSRTKIKIDLKTVPKRRPVSHDPTAKKHTEDFKATLQQLRAKRASQKDDFLEARR VLGGLQDEVARLSKEMDRATENIASIGKIKTHRLSMRKIQELEERKEQAQRTRLDRMA ALRLKHSFLEKQEENVRRCEASYQLTESEIIRVKREIRKEREEEEKAKRKAEEEHARQ EHDMEAARWGTDDQEATEVMRLWRRREEAEEEESRRHEEEIREARRRYKREAPRKRDI PKQNGCMYGGEWEMIQKPMRCGRCSMGTQRVAFWCPYCGRVSCEACLKFLMGERHDEI VVS TRV_01116 MATSAPPQPAFKGIVQSLGRITLKQPITWGFVTYRCSYNNEDAW QTILQRIRDEMAECLEDQGQEDLLPRHEMIIMDDKAKYDGATSHDIRDHFTSWVFNAL PDIMVNTPTESRLQVTLVNDPHSMGLEHVFGTRYNFCLFVDDICLESVEHMDIPVVKL LAKHFGARDPEDRNYTIHPDFEDGETGGEEEDVGWMYLEVYQYVEKYDLLEEDHLWYE EYRRPPLLPWGSPSDQNPGSWRKNNHQSPSSSSQGNFESPKSYIEKIREAEAEADEKP GSIATLTDIPPHQSAVCWARQTLNSPNYPTHKTLFLFFHSYGQNRKPRYSDILLRARR GGEFTFDLDLQTWLHLLRRSLLVCVPINCPYDAVGKAESLTFACLRCLDTPADSTTGG VASPAQDAANNSSGTQGGKEKSERELEKERKKAEKLKKFAEKAAKKASAAPAPAKPTE KKPKIEKDKTTDAYDPKVIEAGRYEWWEERDLFKPEFGPDGKVKEAGYFVIPIPPPNV TGALHMGHALTKALQDTMIRWQRMKGKTVLYLPGYDHAGISTQSVVEKILWKTEKKSR HDIGREAMVGKIWEWTHKYHDSITASLRRLGGSFDWSREAFTMDENLSAAVTETFVRL HEEGTIYRGNRLVNWCVALNTSLSNLEVENRDLEGRTLLDVPGYSRKVEFGVLTHFLY EIDGTDEKIQVATTRPETMLGDTGVAVHPDDKRYQKFIGMKVKHPFVDRLLPIFADEK VDPEFGTGAVKITPAHDFNDYIRGKENNLEFISIMNDDGTFNENAGPFAGVKRFDARY QVIEKLKEKGLYVKWENNPMKVPQCAKSGDVIEPIMKPQWWMNMTELVKPAIKAVESG EIIIRPESAEKSYYRWMNNINDWCLSRQLWWGHQAPAYFVDIEGEKGDDADGNLWVTG RTEEEAKAKAEKKFPGKKFVLKRDPDVLDTWFSSGQWPYSTLGWPKKTHDLENLYPVS ILETGWDILFFWVARMIMLGIKMTGQVPFKEVYCHSLIRDSEGRKMSKSLGNVVDPID VMNGISLQKLHDKLLEGNLAEKEVAIATKFQKKAFPKGIPECGADALRFSLLAYSTGG GDINFDIQVIHGYRRFCNKIYQATKFVLGKLGDDFQPQATPTKTGKESLSERWILHKF NQAAKVTNEALENREFSVAANTIYQYWYSQLCDVFIENSKSLLQPDTDPAVQQSAKET LYTALEGALTLIHPVMPFVTEELWQRLPRRPGDKTISIMKAAYPEYNASFDDPAAETA YELILSTSKAIRSILAEYDVKTKGDILIQAYDATSHKSISEEAISIKSLSGKNIGELT VLDADNRTPPPGCVVSPVGAQAAVYLQVSDEVRLEQEEKAKASLLKLQETIKKQQAII TAPQWKEKAKPEVRELEEKKLVDAQGEAARLEEQIRELEKLKI TRV_01117 MSPRLPPPEENGYGGSETNHNISQRRYAALKVYICSAGAEQECN VLRHLESLKSSHPGKAKVRTMLDAFTADGPSGRHQCLVHEPLLTSISHLQASLPNQRL TEQVLKLLLKELLVTLDYLHTEAQVIHTADYLQDIQSKNIMIGTNKPSLFEEWKVQAV QGPTARKNVPGHVVYRSRRYNIEEGRGAWGLPLLSDFGQARIGPGDHEGTIQPTLYRA PEVVLGVKWDSKVDIWNLGALIWELFENYYMFQDRGSDGEYSEAHLLASMIALLGPPP SQFLKRSDKSLRFWDESGNWRGLAEIADVSFEESELYLEGQNKDIFIQFVRKMVRWEP NERQTARELLNDPWLLS TRV_01118 MNVKAVQILDLEDTVIVPPGKWLRGPLCGNAIWRSPESWRRSRQ NQASDDFSFGIVVWQPFSRDRYSKVPHSNCPQMIYVMVNEIVFRVNDNQLTADDSWYY ILRRHISYFANEDSFNGFLQHIGKENIFFERLVALVGTFTPGELRQPSETWDHVDPDL WDLVGKMTNLDLTRRITEREALQHRWFSQAS TRV_01119 MARVYADVNQKMPKTYWDYDSVNISWGVLENYEVVRKIGRGKYS EVFEGVNVVNYQKCVVKVLKPVKKRKIKREIKILQNLSGGPNVVALLDVVRDSQSKTP SLVFEYVNNTDFRTLYPRLADYDVRYYIYELLKALDFCHSKGIMHRDVKPHNVMIDHE RRKLRLIDWGLAEFYHQGMEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGSSNADQLVKIAKVLGTDDLFDYLDKYNIELDPQYDEILQRYQRRPWNS FISAENQRFISSDAIDFLDKLLRYDHTARLTAQEAMAHPYFSPVRHASSNAQSNTAAA SNA TRV_01120 MAPVVPVPRIIHLATTIKDAVLKIQETLDTLGALSPSFDENAPL LPVHIGKAQDIVLDATAELHDLLTEPTNMIHRLARVKITRSLHLDIFHQQTANFNIDA ISHFGIAELIPPNGQASFKEISDRTPLTEQMVSQIIRHATMMRIFCEPEPGIVKHTKA SRILADPDARDWTRAGIEELSPAGAKGFSLAHNITGSIYDVLAENPDRAARFSSAMKM MTSRPAFDLSYGTDYYDWKSLGEAQVVDVGGARGHFAMALARRYSQLRIIVQDMAKVI EYADAGEVAERVRFLAHNLFDPQPIRADVYFLRWILHNWSDAYCIRILRAQLPALKPG ARLVIQEVFMPEPGSLAYWNERDIRSMDLEMAFTFNSRERTLADWEALFKAADPGFVL KGVVNPPGSAMGILEFVWEGHNGSA TRV_01121 MGFIKYSICIPVRTKTQRGSIPTYFNMPLLNDLPSCSTELKPAS SESRCSTLPLCFPLCSQSPSEWGSSTICLQDILKAVAVLRKESIICYPLGNIAGYKEY SCVELYIQSSHCAQLLRTLPSFEDEAPILLHLDDHWNTIVWFWAVLLANGVPVLSSPF SIVDDHRLEQIRGLSKLLQYPICITTKNLLGVFGGTENLLEIHTTESLSLDKFQIGHR SPDVSAAYPSYRGGQSLAMLMLTSGSTGNSKAVRLTHKQIISAITGKASVRPLPVGGP FMNWIDLNHVASLIEIHIQALWLGVDQIHAHAADIVSSPNVFLDLLSKHRVCRTFAPN FFLGGLISSMKSKKSLPLGERPWDLSNLQIVASGGEANDVTTCVAASALFQNLGARPD VITPGFGMTETCAGAIFNLTCPSYDVDRGYAIASLGKCMEGIEMRVTIGPRLAAIDEC GDLEVRGDVVFNGYYRNPEATANAFPWGDGWFRTGDRARINSDGNLCLIGRKEEVVNI NGIKIVIADVVSLLERALGNKVNRFVVFSSRVTHTDQITVCYTPTKWPHTAEEMDVVD AIITQECLSNTFARPLVFSLRESSLSLLPISSLGKISPAKMSSLFASGVFDQDVSLHK NQVKEYREEKQRGALHSVATEAETFIMVDFAKTLNIDHTAIGPETQVFELGFTSMDLI RLKRRLDTRLGITLPIVTIMKNPTARLLAAALASQTSSDGPVETKDTEYDPVVILKSG GSKAPLWLFHPGVGEVLVFIGLAQHLADEDRPIYALRARGFERGQTHFASITETVDTY IHAIQKHQKRGPYALAGYSYGAMLAFEAAKKLNSMEIGTTGNSVRFLGSFNLPPHIKW RMRQLCWNMCLLHLTQFLGITTDEYVEEMELNPQFSQKSRHDAVEQILLAADRGRMEE LGLEDVALARWADVAFSLQSMAVDYEPSGQADSIDVFHAIPLKLAAPSREVWIKEHLS KWRDFSRTEPRFHAVGGAHYTMIGPDNVVGFASTLKGALAARGV TRV_01122 METALQYLLQKRSYWLPFCYSTKNIRQRYFTHLAHFSPKAATME AAIDTIKQLAMTASEATRQEVVKSLTKLMFALEGPSDTIHRYGHMHLQTAIIRIGFDL SLFKLLADANGPLSVDEFSQKTGAEPQLMSRIFRYLAAIDLVDEVSATQYAANNVTRN LTEKSVEAGLCHYFYTASPQYEALPGFLKRTKYQNPVDELHTAFQDAWKTDLHAFAWF SDHPAQLTYFNNYMALRRTPEVSWLSVYPVAREIEGWDSKDNTRAIYVNIGGGIGHQC KQFKDKYPDLPGRVILQDLPHSISKALPTPGVENMEHDFFQPQPIKDAKFYFLRGVLH DHPPHQVRKILEQTKAAMGPESVMLIDEMILPTTGVNNMAASVDMTMLTALAGMERTE AQWRNTLEEVGLEVVDTFPYSPKNYEGVIQARLPR TRV_01123 MNLLASLKTNIGWPAVIGVAVAYYGTLVFYRLFLHPLSRFPGPK LAAISRWYEAYYDVVLGGQYTVKIAELHKKYGSPHELHVIDPPFFEKLYRSDGRWDKY SWTYDAFGAKMSTIFGSDHYAHKARRRALAPFFSKANIIARQDLLQKNIKKLSQRITS FEGTTFNLGAAISAFARDNANELIVGKDYNELSLEDFGLGLSLASQGAGVFWHTRDTL AAAISPSPDDKIKSTLIYSIAHSDLPPAEKTFDRIFEEVATVTGAGFETISNTLRLIL YHVYSSNEILQRLREEINAASTTVTAPLTLKELEQLPYLTAVLMEGLRLSPGVGSRAA RITDQDLFYEHWRIPAGTPVGMATILMHTDENLYPDPMCFNPDRWIDNTAKRSTTTFA PFSRGTRICLGMHLAWAEMYLLLASLVQGFDFKIKDATASDFAFEKDNFGIGTKAACN LIAYVMIHEA TRV_01124 MLNMSAAQDNPGRGPLIMGLTWAFAVLATITVGLRFYIRVELNN RPSLDDWLIAIALIFNFVSQAFVSVGFTYGLGKHDANLQPNQIINILKWMWLANTPGL LVAITARLSIAMLLVRLFGKVHKWLKWFLVVVSGLCTVLTAIIIPCTFLQTTPVSGNW NPFQPSKRWNPMIYISLAFLTQSLWTFTDLTFVLFPVIIIWRLQMSLGKRISLVLLMS ASLLTMSVSILKTISLQHIANQQADPTATDVQYESSITILWSCLEQAFVIIMGCVPTL GSFTKLKVAQPLMTSLDSVLRLINPFKRSIVHRAVKGNGTNSQNYENLEMPKAISGWS DGLYGPVLDKSLSALEANPLELKQNTGVIKIKPEWKKPEK TRV_01125 MSPDLDSQKPEKIDTSPETSVEYVTGFKLVLIVSSVALACFLML LDTMVIGTLTSCSAAPQPLTGKIYTSFNSKVRIYWLLYIYVNINANFQWTFLVFFAIF EIGSILCGAAISSNMLIVGRAIAGFGASGIINGAITIISSCVPLEKRPMNQLGLVAGP LVGGAFTSYTTWRWSFFLLFLSIPEQTRKPNVFVVLSKLHHHLDLVGFILFAPAILQL LLAFQYGANQYPWNSPRVIGLFCGFAANFALWLFWNRYKGDDALLPHSMLRLKPVWTS AFYQAFMISAVYGASFFLPIYFQAINNATPVLSGVYLLPTILPQLVAAGLSGVLSVAG TILLSLGSGFYSILQPGSPTGYWIGFQLLAGIGSGISMQLAIITIQAAVSGEQLATGM ALVIFAQSLGPAIMLVLCNVIFLSSLGSQLHEHAPNANSAAIIKAGATGFRSIVQLED LPGVLIAYANIIDRIFYLVAAVGAACALVLWGMGWHDLRKRDGKQRSEEDVAEKGDR TRV_01126 MLTSNNAVFVCGATGSQGGALALKLRKDLNWSVHSTARNLASPA AISLLEAGVRLTQGDWDNEAALIAALQGCNKMFLCLLPDFKDSDRERRQAEVIVKVAK AAGVKQVIVSTSLGVFTLDDPQLETEVREHLTPDSFMARHIASKKGVEDAVRDGCFDY WTILRPSFFMANFLEPKVARYPEPREHGTWTTAMTPESRLALIDHVDIAAFAVEAFRN PQKYHGRAFGLASDILTVQNTLDILGKAAEKPLKAIFMTDEEIREKQKTSNVFTNSQI SMRYMTKYINMDEITGVIQPTTFKEFLDREDKAVRETYNSRNSI TRV_01127 MASVNQFLAGAVAIAGLLLPWFLFMAKSSKQSNKVWTQLDVVGI SPGDGALSWAFALAKSVISMQGTMCEGYEKFSKANKPFALPTMWVGGAVLVLPPSKLG LLNKPRNELSSFNALLENAQFQYLMSDKDVWGNTIHFDIVRRHLREKDMSSLTKILFE EWQGAFQTYWGDSKEGRVIQAWDSMVRIIARASLRIMVGLPGCRDEDYLEQSMLYANA VLVDACLINCLPPGMRPMAGPLIALRAKYYERKLLKILIPLVEERLFQYRHVKESQRD GQGDVIRWLIEISENYGPEQLAAKKIAGRILALTSMFVFAIGWVFVHVVLDIHCSSSR DEIVSTLIAECQKVSAQYQGLSSKEAIDKLYCLDSAVRESMRLNDVMVHLLPLDIISG QPINIGEAIQISADCGLRTVFPAQMVHHDRDIYQNPEHFDPFRFSREHETLSNKEPTK TKREFITTWKLQKPWKESLTA TRV_01128 MEARRNLISNAASLIRTARGRGIIISSEAKQALGVRAPWDIVNL ACVWGLKSERAKEAVSEEARKVVDMARVKRTSFRGTVDVIYGGEGDDAGAKKVDLVNK PGKQPATVSLSASDGGGVKRKASLDGPEGPSKPSDEPQLSKREMKRRAKKARLASANS SGASPAAS TRV_01129 MAVSLSSPGSSSSSSFDPYSENVTDSNSQNKSQAGTPGQDDSDV AASSSTVVASSAHSSQFVSRGHRLETPKNASTATEITTSATNSTQSRRKRKRGAAGDH PAGTQVSDAQYSSEYDSRHFGVKSQSDSPSSFVDGTKRVKFRDDVKPDFSVDPSMDTI PEDKSKLPREIWQHIFTFLPPVSLGRVLQVNRTFKALLTTGQPELPSGRATPGSLKYV NPVHIWSISRKSFHSSMPRPLSPLSEMDMWKLIRGTSCQFCNKAGSVQSPETSPFESG PGENGVRIIWPLAVRSCGDCLKANCDTEMDLLFSSTLPSVLVPALPFAFLTASLHYVS SVSLRGNQPPVGMVLTKHFLKSQVEELKSRFEEVKALGPAAAEEWMKGLDGNGKEKIA DAARWEQWELAGGLRSLKSPHQAPSNGTKTQSAKPETADTASARLPIANHRPSNSLGG NAPSTNLDTAITSRPRSTGAQPGFPLPPNSQLSRERNLRQINEAKASRRSEIERRCAE LDPPLAPEVINHMESFTAAIQITHPFTDRDWEILKPRLLAQREVAERRENERKLHDAL LQAKSDERRHQEAQLKEAKELLDREWEDVQKPIKERMAGYADEIIREGWRGGEGVTKE KCPKFAADVIMYVRKRFLEDLAQEDARARAEGKPIEEDPPNGPPRRKIILENMKFIFD TKIKLLTEQFQKELFLCNGCDNNAKYYGFEGVIQHYAAKHTNVLSLGSVVVHWRAEWP ERPPFNPDPASAKLLMYPMPRPHGGQPHMGYTNPQPSPGPYNRTPYGTPYAYGTGPYR PPSPQYYPPPPGYGFSPQQPYPPGPYEHQHAPPNPPYGSPFPGQAYPPPYAPADPGRH PGPPYQPPYSGPSHPPGPYNPAYPPAPHHSRPPAGAHKPNQGSQSFGLYQTHLDEIAK NARSVWNGTSGIKDLPHNVRAHVVIHHVTTRFVDKFGQEPALTLFSDGLNSHPHMKPI RNLSGLVCKACTDMASSRRSRQHDSRNDRKMYHLPALFSHFQSVHVDAAAPDGTQPDW KVHMLLLPDNAVISSLGQAPGMDQAKFHLITSAFPWVFSPITAPIAPAPVKAVIKPNI HGAYEAKTSEHTKYPAASHGGKKSANHQVLEVAVDDFPRFVESPDDPKHFEPPRYDEY DPHRPAFIETATDPYARGARGNAGPREKIQVKHRQIEAVPGEETEMQADSRRPRQQQQ KHSSRGKERAAKSGGADIVHPAAGSGHSQPGTNRPKSRNVSEDGEVAESNEARAEGGP SPTEEMNAAEHFLNNFVPGQDQGDFGTTSRGSNPQTHGESRSKWPKTAAAEEREWRTS TNSHAGAEAGHTAQPGRGGKHNGWAGRGKSPAVGREYREFDPRGEAFDMHSGPPSVRG DVLTPDQTEARGRSRVATADVKGGPEARGGRPHNRFERYEAQRQESLRPRSRSPLPQE RTAVEYYRNRSPRARQRAAALAPSHPQEAYVERIPIDHPSYARAPPQGQYRYVEEYIE PSYEGAVEYVPVRVAAREPQNGGQYYIERPVHRGVPQEYVDYDMEYSRHPVIEQPQHY YHGPSTRDIPEGPPVGSRRARYR TRV_01130 MSNLPTLVFIPGAWHKPTCFEKITSILSERNFKCVLVTLPSTQG SPEATFKDDLDAARTAISEETTQGHNVVVLAHSYGGMVGNSAIKGFTRPQDSPTDQDQ QPSGPGYIIGLILIASGFTFTSLAFMDPFLGRPPPLWRVNKETGFAELVASPREAFYH DVPADEAEYWCSQLQPQSLKALFEGGEHSYSGWLDIPCWYIGTAEDRGLPLFIQRMQA GMARNMGASVECRELRASHSPFLSHPKDTARLILEAIGQFTGNPVGNLPSLDECHAMM PVPKVELLQPLTWYKFGVPLAFGHLLGRCVVLFNWARRVLGTMGHQKSD TRV_01131 MASTASTPPPKRKFVPELIESTTKSSSSSSSRTQAKGRDASTTT ASKGASRFRPQLIETTTETSRKAVKQGGDGGDKGKQRGVGGSAGRAGLGVADQQQKKS RDAAATKTSQAPRKFTPQLIETGKRSFRQNPAGSPARQNFGSSSVCSANTLRFRATDS ESKYSYASLSRRHGSRRQSFQVPNLPAIPSTSSENSGESPTSSLSTSPTNFSNEGSHQ NVHVHYPDSYTGSYPDNHMYNLHSRLVSEKLKDQALAAFPNEQVYQPVSHFAIDREDS NSEDDDDSMETAFRQLSIDLSRFRRESTADLAWELEEMRRHKEESEMRSRERLFAANH TSKFSAAALAARYKEEWEGLETGGYDIIGGWQKGANLAPMREAASPPMLGDDIVFTRC QSPKSTLVGADQLPTPATSQNKKPAGNCNAGGLWSADTNMSDCGQVGLWNGTCKRKSG GQTSCDRSSQNSSPQKSGLVTPAPERMDPVLPPTPTPTPLGSLIQPSKEISPDLVTLT TIPDSSSPSPAILIQTCDPDLEREIEAEFNDSFVTQIYNYLSLGYPCLARDFDAELSK ITNIPIETLQSDDQHANAKGYIDLPDCRTGACNTTTTTTTTTTTPGCVRWNALKLYIH EWARQQPRSGADRGVDSWGVPERRGSWAV TRV_01132 VQQQLQLQHLADGPGSTTVTRSVTPDSQTGDLLCSRAVSQNMEK NAPTPPADEAPESSTAAQQPILPRDRQARTATYDYAYEKSMSHAEARLFYQRHQLASR SVDGDIPQSPDIPARSIPALPNHGPTSGSNFDNESISSMLPGPSYSATSTPPANSQQM RRENSSFSIAERSARAHVLPTVGMPGDASHSAIQGNVGMSVGTGIPYAMGGYAPNDGA ITAELSAICRKIQGLLDTRHKYMRLSFQRPGDNPKDEPGWVIYPPPPEPAWEEDKERL GTGSMSSSFVMAAKGGSKQGESDVAPSASSAKKKRKPGQDIGEDFDMDDLLPLPEASS MTFKLDDNSVYQVYETKAACDLNQPVIQIPSIRDFYMDLDGVLDVSTDGPVKSFSFKR LSYLEGKFQLHTLLNEYQELADSKKVPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKS KMKKCPDEVVLFRDGKHLTLKEVFESINLTAYDLSIDTLDMHAHTDSFHRFDKFNLKY NPIGESRLREIFLKTDNYIKGRYLAEITKEVISDLESSKYQMAEWRISIYGHSLGEWD KLAAWVVDNKLFSPNVRWLIQVPRLYDVFKSSGKVGNFEDIVRNVFQPLFEVTQNPNS HPKLHIFLQRVVGFDSVDDESKAERRLYRKFPVPKQWDTKQNPPYSYWIYFMFANMAS LNTWRKQRGFNTFVLRPHCGEAGDPDHLASAFLCCQGISHGILLRKVPLLQYLFYLDQ IPIAMSPLSNNALFLSYDKNPCANYFRKGLNISLSTDDPLQFAFTKEPLIEEYSVAAQ IYKLSAVDMCELAKHSVDQSGFELALKQRWLGSKCHLPGLEGNNMAKSNVPDLREAFR YETLTGELSLLDRYASATPNNTHTPKTLTKVPSFSYLKNNNNNNNEEAPSSSAAPGPS SGAPEHGSATPAAAANTPGRHPSHSDVESHAHSSKPQTASGTPQEHGFSSMPAQAIFP GIVHARARKDSTFSRTSEDGAASEDAHERSSAQGGHIWRSEDMDSDDD TRV_01075 PMYGYGYRQSSKEDMRLEAYPVKQTAYLSMTSIFIRRGNFAYDP VKGFLESPWPQGGGERQKGIHEFAEFMGFTIYLYSYLLRTEYCSLDISTTGSKLIQRK HPAKQLANCDVFQTQKKNESFKWEKGDLQMLNIMLPAGDCILSSPSKLREPIHICADE LALTQCLDCGEEKRTALLVGL TRV_01076 MLHLLNHRSDNSEDCEKCFACLLGRLVDEYWTPNPSLKLELIIS RLWKECSQFWDTRSTDQQDCIEFLTRLISQIDNSTDTGDIQHIFQNVFKYRSTCQTCQ HTKYSEKDHSWVLGASPPEQRLGSLEDCIQEYMRPEEIAGYHCDNCGSNSTLHREMFI KDAPEVLVIQITRFKVSESGESSKVTAEVQFAEDLDLTERLLPQAREFGDTLRYQLTS VIVHRGATIKEGHYMSYVKGPRGTWTCLNDDFSCEADINVILRYQDDNNVPYVLIYNR LPLFRGSPGAINNDPAMTGSYSLAGRIEPYLLANANETPTDEHGVPKRIITPGQGEEA TVEVGDGSTDLIEVLRSSNTGLSGSPDSIFRWEVQPAKVDIEITMGGRVFRGAVQGLF ESGQKRPRPDDLREAGAMQEGSVNLTPSPLGGNNGGKKQCLPAEKNGASPKVTGQLVP RPSTRRGLIPGG TRV_01077 MLSEAGSMSALRSGSPESSLPCSSVFITGLIRQLAACSRAQEPL DGISITPDVEDDEGDTPRNPLSQLPADVVAKAKPLVLTLHCLFPNELLPALDILDRDL ITRYTIKPRNSGPPGEAICRPEYGEDGGEIEVYCGDDPRTQRQQRRDINREDDYEGEC FDSEIYFVLSTAAKRRPGVEEDNHRQQQESEYQVCLDAWNCTCPAFTLATFRDLDPEM EETPPQTEGDVELLVRNEPVDTTTKSKNWVFGLLLRAVASCMIHHPFANIYWRVHLYP SVQVYLVAKYGDVR TRV_01078 MPATTTDTLSLVTTTVTVAPLVLLSAADHYGRSAKGTRKRVVGV LLGQNDGKTVRVSNSFAVPFEEDDKDPSVWFLDHNFVESMNDMFKKINAREKLIGWYH SGPKLRASDLEINELFKRYNPNPLLVIIDVQPKEVGVPTDAYFAVEEIKDDGTTTSKT FVHTPSIIEAEEAEEIGVEHLLRDIRDVAVGTLSTRITSQLQSLQGLHLRLRDIGQYL EKVLDRDLPVNHAILGHLQDVFNLLPNLATAKPGTPSGANGAEQQIENTELARAMNIK TNDQLMSIYISSLIRAITAFHDLIENKIQNRQQQEEREAKKEEGKEEKAGASSNNENK KSGSASGTDTKDEPSSNEKGKKK TRV_01079 MAPANNGESIDALKDLINSLESRIVGLEKALLNGGHSDSNSAAE SMRMILMGPPGAGAQDQGEILRVPLGMSIFANRLVYTLVSSIVALTTMYKSTGDMLRS QVSRQTELGKAAKKIMDQGGLVSDEIMVGMIKNELESNKECKKGFILDGFPRTVAQAE RLDDMLRERKQALQHAVELQIDDSLLVSRITGRLIHPGSGRSYHKIFNPPKKEMTDDI TGEPLVQRSDDNAEALKKRLATYHAQTAPVVGYYKKTGIWSGIDASQEPGQVWKSILG VFEQKQSPILSKIGLSK TRV_01080 MPMQHSLDMELITAAARYADENRSRLLLKLKQLISSQRNIHTNP EGVIGHARTDSSIYGSGNSGSPDVPTPPTGAATKTGAAVTEPAPPHQGTEAVVDDEPD TATANVNDSDIPNTAKAPATGTQNHQ TRV_01081 MSGLVSDEVAEDYKNSLEDLTSNDKFQISNLTVIAKENTEHAMA ISRVLENHIRNTPPSQKLPALYVVDSVVKNVGTPYTLFLGRNLYQTFMNAYTLVDSQT RKKLDEMLKTWKEPVPGSLDPRPVFPAEITRNIENALIKARTAALQQQQSRSQQEAMS LGRGIGTPPPIWRNTPTPPQGGPRYPPGYGYNNQQMPSNGHGYPNQPLYNQPPQEPIN LASLHRDVDSLIMSVRLEFTANPLNTAVQQKLKALLDLQNILQQQRLPDAQLKQIRDQ VSQLSASSAKPTPPPPAPAALPAHISNPPVSTPPIGTVPAQAPQPNLQALLNPNTLAE LIKATAQKPTPTQQTQPYTQPAAPTPSLAAAVQPPVSLAESPLIASLRARGLLPPATG TPTTTPPNLPFIIPGQPPYAPVPANTNSQGVKIKVPMTSASIRILALQNKSTDKRGSQ EKSEQKLVSRRARKFYAVSLDWIKFREFEDDIGADDASVAARKSNNEDASGKKAEQQR WIHAPNDATLRNTPCPICQENFESTWFEEAQDWIWRDAIKVGNRIYHASCYAEVTKDG STGANADISRGRTSTPDSVLGKRKAEVSIFS TRV_01082 MPNEPETATLLEWINSFPLGTSIQSSDDLYDGVILWEILQDIDP HEFLGVLPESSPEDHWVLRWQNLKHLHKLLLNYIRNRYDGEIPAGLSTDPDLKSIAET ASTKETNRLLKLFLMAAIRSPGAESYIERMVQLSTATQEGLKGIIEEAQNPSQDRLDQ INYEQDEYKARRDLAMDPELQFEERVGKLLVENDKLSNEKKQLEKDLEEFHRKDVRLR EANELLQDKLNITEDRLARLKSGKADIGPNFKGYEHRTQDIIAAQETKLTAAQDEIDS LRISLETLRVKNQKYQTLQDEYDEIKIERDQLARKANAAEKYRQKLQASQDYEKENQI LKNKVKDLQQHLKESDTLQRVSAARETEVENYRRLVARVEQDRHEIQDLKKKLEFDNH ALTERLLGAEEQRTRDEDTIGRLQERIRELEGLDSSPASPRPSTPKPHGSSLERDLEE SGKREAQLNAENEELKKKLENISIVDKAVTETPDDAESEAPGERKWKDDFYQCSLQTD AELKDAHQRLHVDYTIMRTKIVELEGLLEATKLELSDANMKLSLVNKEKLEMVKEVTE VNSVEQTALREKCAVLAATARTLTSQLEVRQLQMSEISRERDNLRNTFKQSDTKLSTE GEASLEEMKQLLEEANAVRVNDTTDDDMTNEFLERLAETTERSAEHLARRAEKKEENP TIETEVDPNQVKSLVELWHWSLLFAGLIYAYDAVNPGASLICYIGMFMNPELGSCG TRV_01083 MNQQNDIIESLQERIRHYEQFSADQEEIKASKEKETELMQIIHR QAREIALISSAWYDFQSRLQNGNIVLSRHRGAAGASAHHAEANRTWLGKQRALVVPKA GGR TRV_01084 MEKLIKEQQKRIIDELSKIDGNAFTIDEWDRPNGGGGISCVLQD GNVFEKAGVNVSVVYGKLPRPAIEKMRADHKSFVSADVDSLDFFAAGLSLVLHPKNPM APTVHLNYRFFETSDPKDVLNGKTNWWFGGGTDLTPSYLFPEDCEHFHKTIKDVCDTH DPSYYPKFKAWCDKYFYLTHRNEARGIGGIFFDDLDTEFIRQSSPASASISDKEAQER LFSFVTDGLCSFLPAYVPIVKKRKDMPFTEQEKDWQQLRRGRYVEFNLVHDRGTSFGL RTPGSRIESILMSLPRTAKWVYMDPVSGTRTDGVESEDVEGMEREKELMEVLKHPKEW V TRV_01085 MSHFLSSSLSLRLLFSSTSASQPTKTASFVHELSEFSCLCQQMH HLSLALFPLFLSPAIINFCLLHLRCLSCQSTALFANTATTQQLTYKKSATMRSKFKDE HPFEKRKAEAERIRQKYADRIPVICEKVEKSDIATIDKKKYLVPADLTVGQFVYVIRK RIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDEDGFLYITYSGENTFGSFPELQ TRV_01086 MSSFQSELDIAPSVEERSVIIHQSRLHWEFLREMTVDEPLSYVP DICQRLENVPDYTHSRHAEYIDPSNQYVFGKGVAKWSTTDTWGCEAPHSLEESECNSS HSEDFMRLAENKMVVQDVFLGVRDALPCYLDESHGLRGWIGNGDGRDYVAVLTLAWAY ILSSAWATSQNGKIRYTAAGAPTCASGQAYGPALEICTENPEVVRWWSAVLAPGQGWV ASIRLGGDVEYQSPWAIHLISYLNLTMCGPAPVTPIECPNAPTSYQYLRHFCLQYGLV EQAKAALVAALMIPTHAHTWTTFVLPAPKLTHSNPPTVSSGSQCEVLDELICRIPHLM TISSACTVLDSLLCSVFFEPSVPCNLCSDWLEPIFPILDSVDLRRATTMCMFQGASVQ GWWLGSAITGLDRFVLRETRRGTPYVNLQSWWWTQTPQSFICFAYSDPIGSSETLITR EKETFLLFLSQKNWINTPPPWKPPGRIVISDSHLAVQQHARCTGHSLRYMSWCWVTKK GEYLADAGYTSPGDKLPPVNLGSLARLEWSEPDVDIAPETMDQYASKICSDTIFGCIW KEGVAVHDRDLHESLGRWLYGDPIPPSSTQENDPMLDSDDLDGIVSTNVADIEAWLDL IVETAPGIMTLRESYYL TRV_01087 MSPPAIAVAGAGSATKDVKRESGTARLLGSGSAGIAELLIFHPI TNVSELNKVIFKEYSSAGVVRKFTSLFPGLGYAAGYKVLQRIYKYGGQPFVRDFLGTN YGKDFDNAFGKGTGKAIMHATAGSLIGIGEIVLLPLDVLKIKRQTNPEAFRGRGLFKI ISDEGMGLYRGAGWTAARNAPGSFALFGGSAFTKEYLYGLTDYNSATWFQNFIASIAG ASASLIVSAPLDVIKTRIQNRNFENPESGFRIVSSMARNEGMTAFFKGLTPKLLMTGP KLVFSFWLAQTLIPAFGKVV TRV_01088 MFNPLPPIEPRDSHTLWYSSSYTGRSAANSSQNTTSGAQHPQPG RRNGRSQGTNTPLFSASFDPLSTLLAEERALTLRKQNIASFGFSWIRPAGFPKTMMGL REEEMECEEGAGGGLGEGDIEEEEFMEGVEGGEGGLGLDGAGEGVEGDAGMERDLDGD IPDGDGEGFGLVEEGEEGYEDEEEEFLDEEEEGMMERDLDDDVPEAPDADEEDEDEDG DEDDEEDEEDEEDGYGDTSEVRTPNNNNTRTPGESNMMMERDLDGSVPDAPQETPSQQ QEWEHTDSDEDVYDEDDDEDGEEEEEEEGEGEGEDEGTDEGHSRLSWASLPPGYIPHL TPSGPRRETEAERLFIERWGGNDDSIDIGSSDMLPANPLSISRRRNEALQISKFHARN NTSLNSRSKEEKKKKGKEEKEEREYAPLDIYREMEGVSILWPPEERKKQAEIP TRV_01089 MGDNTETTLAGNDAAMDTSVDKGKGKAVERVPDDVEMEADDSSE DEDDLVSSLLYTTVDEDEEGGNDNLEPISTDNILPSGRRTRGKEVNYAELAAKEKETG DVAMDDDDDEDFKAPVDDDMEH TRV_01090 MAPAVVIANIFPGIDLGTTYSCVGIFRDDRIEIIANDQGNRTTP SFVAFTDTERLIGDAAKNQVAMNPVNTVFDAKRLIGRKFNDAEVQADMKHFPFKVVEK GGKPIVQVEFKGEEKQFTPEEISSMVLTKMRETAEAYLGGTVNNAVITVPAYFNDSQR QATKDAGLIAGLNVLRIINEPTAAAIAYGLDKKTEGERNVLIFDLGGGTFDVSLLTIE EGIFEVKSTAGDTHLGGEDFDNRLVNHFVNEFKRKNKKDLSTNARALRRLRTACERAK RTLSSAAQTSIEIDSLYEGVDFYTSITRARFEELCQDLFRSTMEPVERVLRDAKIDKS SVHEIVLVGGSTRIPKIQKMVSDFFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSSK STNEILLLDVAPLSLGIETAGGVMTPLIKRNTTIPTKKSETFSTFSDNQPGVLIQVFE GERARTKDNNLLGKFELTGIPPAPRGVPQIEVTFDVDANGIMNVSALEKGTGKTNKIV ITNDKGRLSKEEIERMLAEAEKYKAEDEAETARIGAKNGLESYAYSLKNTLSDSKVDE KLDAADKEKLKSEIDKVVAWLDDNQTATKEEYESQQKELEGVANPIMMKFYGAGGEGG APGGFPGAGAGGPGGFPGAGGAGGAAAHDDGPTVEEVD TRV_01091 MADIAQKKQPKQRKSFQIETRFGGARELKSRKDRPCDACRQRKT ACVIVTKPPCRFCESKCVTCSFISTPKPRRRSPAKSQEKDAEHSTSPPVNMATNSSGP TQHSEAPVYQSPPDHLSMAGVGALSPISMLSVSDHEQYTTPTSMPGYPAALASPHHPS AIPVSGQVYHHLPEYSYFANPTPPSHPISPAADSFNHIAGHAAHLMGIPGEQQDIGAL SSFSSGAVDQPAHHMDINFNHVEPADPAQNLPPDNFSLARAWFCGVDRGKSTTSHEDD VEDIVSGQADSLVRLYFANVHPAFPVLSKGRFLCEYIQDKYSISHTLRGAVYTLAAYW LPMDSTSLVFREMLFEHVHASLQKEVELESPQLSTLQTCLLLLYQLQPPEHATAQGSK VLALSAQAVSCAHALGLHHNDTAWDIPVWEKKLRRKLWWATYYIDRWTSVSHGRLPHI QNGTFDTGDIEVEDLVYDEDVAGHACSSLVREENHQSAVSSAVRFIERIKLAKLLDTT LGFVQCKNNAKPRISTYISTDTQMRKEMKYEHVIHYYLGNY TRV_01092 MSQIPVIDFAPFRDGSPEDAEATGKKIFEAFRDVGFVYLKNHGV PQEVVDEAFSWSKKLFDLPQSEKEKAPHPPEGWWHRGYSGIGREKVTQMVFDSDTLGE LRKIPDVKESFEMGREDDETTPNIWLPESTIPGFRAFFNNFFEINHDVGQEILRAVAI GMGLDRDFFESYHTMKDNQTRLLHYPPVEEEMLRQGEKERIAAHTDFATMTLLFQDDV GGLEVEDIHQTGVFNPVPYIPGTMVVNIADFLMRWSNDTLRSTMHRVRAPPVVNVDEN GQEKDGDSGGQRMSRARYSIPYFIVADKNKVIDCLPGCYGPDQPKKYEPIASGDYIAM RLNAIS TRV_01093 MQKAEEDEEEEEKEEEEEEEEAKGQQGDKTRKMTTCYAHVQSRA GEEEAAALPQTLLCSSREPALLTYLTVHPLSQPGSLRVKKHVLFHFICPPPPTITP TRV_01094 MGLLEKTRDTAAQDNTPNEYSPYKAEGGYGWAETLPKKQGLYDP ELEKDACGVGFTAHIKGKASHKIVSDARGLLCNMTHRGAVGSDARDGDGAGVMTSIPH KFFTKHFARDIDVVLPPLGQYAVGNLFFKPDPVALRDSQSTFEDLARELNLRVLGWRE VPHDSTILGPAALSREPFIYQPIVVLHSAYGDGNVPLETHSERFDERLFERQLYVLRK RATHVLGLASWFYLCSLSNKNIVYKGQLAPVQVYEYYHDLVSVDYEGHFALVHSRFST NTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGVLKSEIFGEELDLLYPIVEEGG SDSAAFDNVLELLTINGVLSLPEAVMLMVPEAWQDNPSMDAEKAAFYEWAACQMEPWD GPALFTFSDGRYCGANLDRNGLRPCRYYITDDDRIICASEVGALVIDQERVIEKGRLQ PGKMLLVDTVAGRIIDDTELKQTVANRQPFAKWIESQLLSLPKIHQKYIDDKVIKVTP KLDELTVQQDPRLKAFGYTFEQVSLLLGPMAADSKEALGSMGNDAPLACIAQQPRLMY EYFRQLFAQVTNPPIDPIREAIVMSLECYVGPQGNLLEINETQCHRLHLPSPILSLTE FDTIKNITFLHKDWPVKIIDITFDKSKGVQGYLDALENICEAASAGIENGDRVLILSD RATAADRVPVSALLATGLVHHHLVRNKWRSLVALIVETGEAREVHHMCVLVGYGADGV CPYLAIECMLKMNREGLIRKKLTDDQIVNNYKHSVDGGILKVMSKMGISTLQSYKGAQ IFEALGIDDSVIDRCFVGTASRIRGMTFEAIAQDAFAFHEKGYPSRQITEIPGLTESG EYHWRDGGEPHINDPVSIANMQDAVRNKNDKSCEAYAKAEFEQIKNCTLRGMLEFDFE QRTPVPIDQVEPWTDIVRRFVTGAMSYGSISFESHSTLAIAMNRLGGKSNTGEGGEDA SRSKVGENGDTMRSAIKQIASGRFGVTSSYLADADELQIKMAQGAKPGEGGELPGHKV TGPIAHTRHSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRARVSVKLVSEVGVG IVASGVAKAKADHILIAGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLRGRV IVQTDGQLRTGRDIAIACLLGAEEWGFATAPLIAMGCVMMRKCHLNTCPVGIATQDPV LREKFQGTPEHVINFFYYVANELRAIMAKLGFRSVNEMVGRAELLKIRDDLASPRMHN IDLSLILTPAHSLRPGVATYNVRKQDHRLHTRLDNKLIAEAELALEKGLPCRVECDIV NTDRAMGATLSYQISKRYGEPGLPQDTIHANIRGSAGQSFGAYLAPGVTLELEGDSND YVGKGLSGGRLIIYPPRGAVFKAEENIIIGNVCLYGATSGSCYFRGVAAERFAVRNSG ATAVVEGVGDHGCEYMTGGRVLILGSTGRNFAAGMSGGIAYVLDTAGDFEQKVNMEMV ELSGVDNPAEIAFLRGLIEDHHHYTGSEIAARILIDFNKALSHIVKVLPTDYKRVLEE EAAKIEAAKKLETAHPSSSQNAVVKPHEKAEHKHQGDHQPSADVLDIEDSIGDAKTEK KRTALILDKTKGFMKYARRHEKYRSSKTRTRDWQELSPRLTEDELKYQSARCMDCGVP FCQSDTGCPISNVIPKWNELVFQNQWQDALNRLLMTNNFPEFTGRVCPAPCEGACVLG IVDDPVGIKSIECAIIDRGFEMGWMVPKQPPTRTGKSVAIIGSGPAGLAAADQLNRAG HSVTVYERADRIGGLLMYGIPNMKLDKKVVQRRVDFMAAEGIKFVTGTAVGPDQEVSL QSLQKSNNAVVIATGATVARDLKIPNRDLAGIHFAMEFLHRNTKSLLDSELSDGEYIS AKGKDVIVIGGGDTGNDCIGTSVRHGAKSVTNFELLPQPPQDRARDNPWPQWPRIYRI DYGHSEVKTHMGKDPREFCVMSKEFVGDENGHVKGINIVRVEWTKSPSGGWDMKTIEG SEQFFPADLVLLSMGFLGPEDRLLGDEVERDARKNIKTPAGHYSTNIPGVFAAGDCRR GQSLIVWGINEGRQAAREIDSYLMGSTSYLPAAGGIVRRPAIEAVPKEIRIAAA TRV_01095 MGWLWNSSSPATAEQAQKTESSPPANDSHSLPSNPATEQSTPQV KPLGRDEIAEAEFNELLKSLDNSTSSAEQHAPSTSKSRTSKPLPSQSIHFESPSTQFQ SDSLYPKTMSCRTAFDYAYFCQSFGGQWVNVYRYGELRSCSEHWSDFWFCMRSKSLPD EEREKAISDRYRRKAIKYKTGPSSEDIWELRKEPVVGAFQGDYLAFEREMERLKQREN DAKANGANTMEA TRV_01096 MVLQRLEKLGVRGAELTGSPTVVEQVIPDELHPNITQVIPRLTE GGAFVKLSHGPETSGSDIIKAITQHLYENPVKPWFSLFSPASAALVEFLPVSPDAPAA ELTQENLYSLARTYGKLTDIIPQPADSKVVPRYALLDFSNPVSAVMAKNCLHGFKVPS GDIGGKAGTILQFNYERKIKAHWIRDWLFSHPRIRQATKANSLFSLHRGQYESKGLNE IWEERKEDAQQIQNWLVEGNDTFIVVHGPRGLGKKEFVLDEALKNYKNKLVIDCKPIQ EAPGDSTMIAAAAAEVGYRPVFSWMNSISSVIDVATQSAIGTKAGLSETLDTQLGNIL QNTAKALKKVALDGRKKGDKDGHLSDDEWLEANPARRPVVIVDNFLHKSKDNQMVYDK LAEWAAALIYTNIAHVVFLTSDLSYSKTLSQALPNQVFHQVSFTDCKPEVAKRFVLSH VSGNEDSKGLDYSDEKFNQELTECIKRLGGRLTDLEFFARMIARGLTPNNAVQQIVHQ SAAEILKIFITEVDPSSRGWTPEQAWYLIKNLGTAKTQAGNQSDSDANGETSIRYSDI ILSDLFKKDGEATLRALEKAELISVISKNGRPSIIKPGKPVLAAAFRQLVEDKVLSSR LDLRILGQMITIQNQAIHKIEEELKVLGSFPKEPSEARRRIKWLLANLALNQAKIDQY EIESAQLKLVLKTEF TRV_01097 MPASRFLGLCALLQAFRATQAFAPDWTITKYFEDSVTTLPGNTG RYGTYPATEYTSTVTVVPTVTSPIATATIIATTYPEAITYILIGLEPGQGTSSSYDYS ESTNTYYVPLTYTYPRYCSVTSGESTITTSARISIPTEIQSHLTPTVTSVTTSVVDYL ESVSYYTSAASFIDPTELPSGVYSSIEASHTPSEIQYCPYGSHSSSGGYGSQSSSSSS SSDNYDGYCGRYYGCYHSYWSPYLALSLIITFSWFGLFFIVGLIESAVRFRKLMRGRG ASRGLPKSFACLCPILSCLFIICMHRGYRPKPREEQEELERNWKSMSFAAKFTLWLKY GFSRSYPPMLGAAPPLATDPLPASQPQMAQQPVVPGVMPPLPQQTGPQPLPHQTDQQT ERQADNQQQEQQPVLRSAEQNPESSQREYQPLPAVGQSGSTGLFEHPTHAVSPVNEQG SPLPLQSDLTQPYTSHNQHIHEEQPQNAITQPTDLHSGAPKNA TRV_01098 MVRSLFKQRQSTSAEPCINYLLDNNFGSNSRARKPGREGTYHGE SSKWIRLLVEEPYITDISSVLVKEIERTTPSLVSFTSSVVDQYPWERISGVALQNEAS GERVCITKLYSLISGFVGNLSVNILMGKTLLDVYPNVLPDISTFNSKFNAMLLGIQRW IPFPGLVNAYLARRRLLICLTVQNAIFSQIENGQEPEAVWRDLDDVSAAVQARMRERI KNGYSVEYAAAEDLSLLWAVTVRANALIFWNLVHILADPKLHAEVLGEIGPYAKLKRS NSATFGLPEPPQVSLDIDNLIGSCPLLMATQRETSRLYSTPYTYRKVTSNINLTESDE DASLNSRDVQTYHLSEGDYVVIPHSLRNRNPLYNNSPDSFDPRRHLIKSTEADDLEDK KDPQDVVNEDERDCSEGWDDPASVCWKLEQRKVIGTLAAILVTWDIKPAEGEDWQVPN RRSGSLIDEPKDIQVMLKMKS TRV_01099 MLDTPGTTVLTYTPNGKQLITAGSNSGIRVFSTGEHGEPKTIDE GMESHLAIAATNESMILGSEDGTVWLYKLQEATMDKPLARCALPVRDLEVSKDEEWVA VASDELTVKIVNINDITEVKYLRDQTKGVKHVTFDPSGRFVTVSCADGIIYIYSFTET EPTLLHRLDGVIRQLETDNEATSSAVWHPDGTAFAAVEATRDITVISCRDWTKQRKFS GGHNGDVTCLAWSPNGAMLASAGTDRKILLWETRTQKVIKTYDMPNAINFRWHPTQNI VAFTTSDGELFIYDDFVPSEFHSLLAKTLEVAPLLSIGSHEEITISHRPQRHDTSNLS GNRPRGGSPDSLDDILGPADDDDFVEDDDGAGYTENVNGLGKRTNTHMDELFGHETKR RPTAPWKTISHEPFQPGSTPWRGNRRYLGKLVIVYFGRVLVNETVALNLVGFVWTVDQ DTHNTVTVEFYDRERYRDFHFTDPYLYDKACLNEKGTLFSCQPTSSYPAAVFYRPHET WTTRADWRTELPPGEKITLTCAAWRDYIITIGNGPAGSDGVAQLKYSIENVRRDEICQ NEDAVALAGGTRLQSVFFSDKGDPCIYDSSGVLLVLQHWRKPGQARWVPLLDTKVLER VASGRKEETYWPVAVAQDVFHCIILKGGDKYPYFPRPLLTEFDFQIPISCTSSKEKSN KDGDMEMEDAEEAARNNNRKLQESLVRANLDYTLLEDCITASEIGAAGDAELRRRALD IDKILLQLLAVECREGEERGMKALELVTMLRDRSGKMLDAASKIAQRYQRSILDDKIR AIAERRLMGEDDDGITGDNNDI TRV_01100 MMKALRILSKQLPTILVNALPQEILSPSISLHLFPSTHPHLPTV KGRVPYRAALWTAPVAWGSVPIIGNVNLRIVSERVVPTGVANGSDRNDSPGGEKLVVR WVTEGADRPASKVGRGSEVDDYIAPDRADREQSTNKESPMSSSSALNGTNRGLSMLLG GEMPIFKLGKEEQFTGLFIFTFDEEGRIATHTIEHADEGNGWDRTAKVVTLTDWLLGK AKGSASGQIVPPQPAFVAGKARETSRPRYRFGPFEI TRV_01101 MAENTSNIQRRRKILKTLFISLLLDLISFTFILPLFPSLIRFYL EKDPSPSSLLNRILQYLNAYKNAFSKPIDSKYDVVLLGGALGSLFSLLQAVAAPVIGS ISDKHGRRAALLWSMAGNIASVALWVAATDFRTFLGSRIIGGLSEGNVQIANSIAADI SDPSQRGSTMALVGACFSVAFTIGPAIGAALSNITTFAANPFATAAACSLFLIVTETI YIYFCLPETHPKLASKAYKPAAPDNISGKEHDFKPGPNRPSLEKPRGYTNSPFLLNIT HFLFLLPFSGLEFSLPFITANLYETSLAANAGTASPSALNGRLSSVMGLLASILQGGV TRRLPPLLTVRIGVVACAMSFALLAHITTITGLYAAGCLLAVTSATVVTGLNSLGSLE AREAERGASLGRLRSWGQAGRATGPVLFCSLFWWGGREVAYKTGALAMVGVCAIAFGL LKSPMLAKTK TRV_01102 MSAVTAPSNPSQTQNTKKRRGKAEASATVAAPVSVAASNTDAPS VAAAADGSANGHHEPSFLRELQNNQTDETSQNATAKVDAIIAENPGKSLEELVALKKI NADQKAQALKKPALQETIAHIEEQIAQYKQLEAYYEEQRAKEIAKIEAQHKDEIESLK KNLETESKESSEKRFKDRLLVLSRFLRAAAAMRGVADEHSIQARGFEGALYQVYGGTE QAVDAMVKLIDGTDDKVPSVESEILDITSLSSQSTDKFALVDAQIKRTSLEDYAQSTG EAVLTEEEAAPAADANAVQTDPTIANAGLTEAEEPTVSQAAAADSFQPKPQSTAEEAA PLKPTEENVAANEIAQTVSVEWDPNPYKPSASTEEWLSVQVPQPGTAAEASVAAEAAV RQPLTSETWVEEPPTNKQVDGFERVNHPRGRGRGYRGRGQGQRGGGEHRGRGGYHHRV GSEYRGRGRGRGGEYRGNGNGHRGGRPAPAAATAGDA TRV_01103 MRFGKTLQTSVYPPWKDHYIDYRALKKLLREHEGSEDGDEGEGR PWTDEDEENFVQELINVQLDKVNSFQVETHKRLRELTAECEAALEPVAAQQGEAKLEN VKKNEAVAQETLSKLDRITEELGELEKFSRINFTGFLKAAKKHDRKRGAKYKVRPLLQ VRMSQLPFNSEDYSPLLYRLSAMFSFVRSILGTEGQPMPKDGPAVDTQTGRETYTSHK FWVHADNLLEVKTYILRRLPVLVYNPNTSKELDVAQRDPSLTSLYFDNRSFDLYNQKV ANKPAAGSLRLRWTGHLSDQVEVYLEKKIMNDGDSSREIRIRLKKKHINAFLNGSYKM EKIIHKIEIQGNGDPQRADNLKRDVEEIQEFIKSHGLEPMVRANYTHTAFQIPGDDRL RISLDTDLALIREDTLDFERPCREPEDWHRPDIDSRRMEFPFSEIPRGEISRFPHALL EIKIRNTSGKRRGSNVEAWLSDLMSSHLVKEAPRFSKFVHGVAQLFEDHINSFPFWLS DLDTDIRQDPETAFQQEQERIAKQAEDELAVGSFLGSRQTIPSIQHLVGSPISHMMTE ESTPKTQIRIQGVVQPTSPRPEALSQSQSQSELTPRAPIPELSLPPSTEQANGAQPQP SSRPTSSLLRIPFSMSRYALAHRSPQPQLPSGVSMPSYWLKDTGPVRVEAKVWLANQR TFVKWQHICILLAGISLTMYNAAGPDKTITRYLALVYTGFSIFTGAWGWWMYESRSRL IRERSGKDFDNVIGPLVVCLGIAVALVLNFWFKYASIVWADPAPNAPSPTGNIAEAAP FMTARPQFQAQPNV TRV_01104 MAAGQQTPCQHQHSHLARAVVVRNSSFSPSPPPASVSASSARPK KNGFPSSSRSASSLSSASSSSPLASNGDGTAAAAAAAVSSSSSSMTDIQHHQHPPPAG TPSPPSAASPGVHQHHYGSTLAPPAKTVVGRALGIDRFSEPQQRRTSGDAAAPGGLAA PGCGLSMADTPLPSAPASPQMTPRSHGSGASTPGKHRATTLDIPGLTKSKVSPDGRIA QRDIGSKLVIVMVGLPARGKSYITKKLCRYLNWLQHDTKIFNVGERRRVVASSTPQAE PKKIGPVVDEKLRKSVRELSIGELPPEEQAELDAAEREIKKSQNGVPSGEIPPLDMPP SSVPSAQIFVNGELQDEPSPQPEPAPDTPADGSANGEPATAAKAEEGMDQSAQFFDPD NQRAVQLREQVALATLDELLDYILEQEGSAGILDATNSTLERRKSVMARIRERAGPEL NVLFLESCCYDAMLLESNMRLKLSGPDYKDMEPIVALEDFKKRIKLYERSYVPLGEYE EQHNMPYVQTIDVCRKVVAHQATGFMASQVVSYLLNFNLSPRQIWISRHGESLDDVSG RIGGNAPLSENGTQYAKALAKFIDHQRARWEEYQKIKALSTHFPPRPGDSTPPNPQYA AQIMEGGRNFCVWTSMLTRCIQTAQFFDEEEYDIKEMRMLNELNAGVMEGLTYDEIRE RFPSDFETRRKEKLHYRFPGPGGEGYLDVVNRLRAVIVEVERMTDHVLLVGPRSVART LLAYFLGLKREDLTDLAVPLGMIYSLEPKPYGVEYKVYQYDPEACWFDELPNYKIGQK SYY TRV_01105 MVEVRAAQRTFEGAYIRTSISQFSFALIILKIFTAEFYSIGALF AVYGAGVMTIGLFRRQQGNRQFFSEVDEDGVQRRKFRTSGNVVVVLTALSIAAYVCLL ALTLNLDV TRV_01106 MTQTQHTTSTKSRKAKAMGLLTIPVEVLDEITGYLSYSSRFALS LTCRALHTWIDNPYCTSKKRDKLLSERLSQSRCPVIKPKDLFEIEMWPAYLKQDNVQM AHGYFACGDVVYDLAGFPLERPSTLEELKSMDLASFARDAADTKDMRIIIGTDLTLAV HACPCMECQPGTTRSLNNILIDISVSASISISISIFAFLYLYRHVTHLVIDQKTASSQ RRREEEAKKQRSKEEKKRRRGDKEKTKDDGWGCESKGDRVPPALPSSRVLP TRV_01107 MASSRMIDAEEVAKHNKTDSCWVVLYGKVYDLVGAEAKYSSPHD VNVTNFLPNHPGGANIILQLAGRDATEEYDPIHPTGTLEDSLPPEALVGRVDEKSLEK LAPKPAKAEKTTEGPPPLSTLLNMDDFEAAASKQLTHKAWAYYFSGADDLISKSLNNS IYRSILLRPRVFVDCKNCSLATNMLGYKLNTPIIASPTAMARLAHPSGEAGIAAACAK FGAMQIISNNASMTPEEIVKGAPPDQVFGWQLYVQIERKKSEAMLARINKLKAIKFIC LTLDAPVPGKRELDERTKVIADTPAVADIVKSSGGHEIAGGSGLGQQLFAGTDPSLTW KDTLPWLLKHTDLPIVLKGIQTHEDAYIASLHTPQIKGIILSNHGGRAMDTAPPSIHT LMEIRKYCPEVFNRIEVWIDGGIKRGTDVVKALCLGAKGVGVGRNALFSLAAGGPEGV ERMLEILCAETMTAMRLLGVDKVEDLGMQHINARAVEQQLYDGGAGLDILGSHIKAKI TRV_01108 MNSICRISLALRLPSRASPRIKRSIDAISELAKPFLTLPINQFE PDMSSVSENVAAGTKRKRNGEPKFYAVKIGFQPGIYNHWNECLAQVTGFKGAVFQSFP SREEADAFLTGNRAPSPQDGSTSACTKFYGIQKGRVPGVYTDWAKAQDQVRGFRGPRY RKFSTREAAEAFVRNGQTPSNKDSLPGAPGLMESNPKDDAGDEYAAGTGPLPPGAEDG FDPNILLDPTTGKIVYKTPEQKQERKIQPKPSGPPGMLKIYTDGSALRNGTSRARAGV GVYFGPDDESLFSSLSSSRNVSEPLAGSRQTNQRAELTAISRALDIAPKHRDVTIFTD SKYSIDCVTVWCINWQRNNWVTSTQKPVENKDLIQAILSKIEERKSLKVKTLFEWVKG HNKDAGNEAADRLAVNGANMEDVKTEELSESAESAKHRSKAKS TRV_01109 RHSMDLVTSPPTQAPTVASTAPYGRSTTTTTTTTSAITAFPGSD NYPVFPNGKYLSKFYLVSGQYSGLTDLDYHLYNVCYLPYRPFDVGTSFGIDKRRVSHT DSNAPRPTYLINDPPCKRQAAINANCHFKNTNGTRSQAWSPIPTILTYNSNVTARSIP FLTLLLDVPAPAEFFDFIKAWAKTDPAATLQSTTASTILGTQTDASLYYTYAAASTTS PSSAVPAKPPGLRKILLAALAVGVALYR TRV_01043 LEARYVRFNIDALAAIAARCLGHDPASCVKIEKMPEGNFNKSLL LTMANGSQVIARVPNPNSGIPHFTTASEVATMDFARTELGLPVPRVLAWNSDASSENP VGAEYIVMEKAAGSSLAQIWPRLSNEEKREIVQAMVSFDVKMLNHPLSAIGSLYYPRD IPSGTNQLPALGLNDACGRWVLGPTTDRRFFDDGRGELSLDRGPSAICEREMKAIQNL NRPLRSEGIVGPGGYQPSRALKLSVCRDFLKVVNHILPPGACETPVLWHKDLHLDNIF VNPEKPTEIVGLIDWQNVHVSPLFDQVTHPAFLDYKGPKLEGLKTPCLPENFEELDEI SKKHAKELLVAQTLYKYYDLYSASMNVPVYHALRYQETLQGEIIPLIGMILNDGEPAL QGLLMNLARKWDQLIRSKGGPPCPLQYSAEAIDRQQELEAKWAEGIALMDDVLESLGG AIRGWDGWVSHEDYEALQQKLELVRKQFIEHLAGDDKEAAKAWARAWPFQ TRV_01044 MAVHGKSWHEHDPNEIVESVVACIDGAVADFETQGHKIDSIKAI GITNQRETTVVWDKETGEPLYNAIVWTDTRTQGLVRGLKNRLGHQELQPICGLPLSTY PSVGKVLWLIDNVPKVKEAYDEGRLAFGTIDTWLIYKLNGGPEGGVFVTDPSNASRTM FMNLEKVEYDERLIDFFRLDTSKIHLPKIVHSADPKAYGKLAISALKGFPITGCLGDQ SAALVGQKGFTPGKAKNTYGTGCFLLYNIGEKPVVSKHGLLTTVAFDFRGGEGGKLTY ALEGSIAVGGSSVKFLVNNFKFMDSSKDLTTLAETVPDSGGCVFVTAFSGLFAPYWID DARGTIFGITTFTQRGHVARATLEATCFQTKAILDAMEKDSGHKLTELAVDGGMCASD LTMQTQADLISIPVNRPKMRETTALGAAIAAGIAAGVWSNLEELQNVNIDGQTTFNPS IEREEADKRFSRWEKAVEMCRGWAN TRV_01045 MDIPAVSEGWTIKPVKTGISSSHTLHTENIGTISQGTTEKELSS PSLDGVHSNIHGFSEPLKAQFTPRVVIEHEPQPVKELLWTKVRTKFREPFAEFFGVLI MILFGDGVVAQVVLSDSKKGDYQSISWGWGLGVMLGVYCSGGISGGHLNPAVTFANCV FRKFPWRKFPIYTLAQFLGAFCAAGVVYANYKSAITTFEGGPDIRTVGLDTSTAGIFC TYPAPFLTKTGQFFSEFIASTILMFCIYAMADDKNLGAGNLMPLGLFFLIFGIGACFG WETGYAINLARDFGPRLMSYFLGYGHEVWSAGGYYFWVSYF TRV_01046 MPTLKQLTCHIEWANCSIPFKEYGVTYRDGSVECLVPVQPASTP FSIRLTSSGYIAPGLAMFVYMDGVYQCNRNRDDLILKKGCKVQLMPGMPEVNKSHFDN LGEICVVVLRCESRDDQSSFEGSLTPESAMAFGPDLDDFQSVSSEECLLNPINTTKEN DTSCGFMGGLFDGANDTRHCGNNISCCKSCDHDEPKTHRESRGHRHDNQSSINNRDSR RRHPNPARSQPQCRVHWKDQPELEQESDWDNGWESYDTESTASSDRVCQGYRYYRSSG PTNPSPRQEAEESDSPRSCIREHRSKPRQYLPYRAKQDQSSGWKIRKGSGKLSSEEPK GPQNLLSEEIHSGTRGCAPSIVLNVNSAQPTQEMNPVINHTCHNNDNTLSTNDDTGTG NDDGDLNTSNENQDNNGSSWDNNNDQGNSNWNNDNNETSAGWNNQDSQNNTMNDSWDQ GQGDQQNNNNNTDDWDKGNTNFDSNAQGQQQSTSWDNGNQYNGQEWMARGATTGNGDA PAAGWLNNNSNDNHGHNNDGQWAPMASPNNGYNNPQPGPASPLPVPVQAQGPIWESPP PIQRVHTLITPFCQGPEASEPPLYTAPEQVAQERALSHQVQVGRSSRYSHKIKVPQYI DTMEEPYAKFIFKYRVKGVVESEIGKPIERDVEAERKLLESLPREEILKQLLHAQGLL AAQTLGQTMVTPAQGQPQVSSPQQIQHQHSNSNNQQYVPAQHQSPPGSKHGRVHHSNH GSQSGPSHWNSAPAQEQVFTVPSKLTGYNNRAINPPQSPPQNNNLAAALTTGLQNVQQ QQQQQSWSKGSNDAPSWNAQATQDDSGGKW TRV_01047 MSIFKMMLIYFAILWVVNAAQLLDIDPQGVIPGAYIVVMKDRVS SLEFSSHVRWLKRTHRRNLAKRAIPFTEGLSATWDIAGWQAYSGSFDEDTVQEILNHE NVEFVEPNKEMQVASTIKQGNVTWGLSRISHKENSSHDYVSTYGEGENITFYGIDSGI DINQADFTGRARWGINLADHVDTDCNGHGTHTAGTVAGQKFGILKKASIVSIKILDCY GYGDITRYINGLNWAINDAKERGLLGKSVMNISLKTGRSRAVNEATVRAQEAGIFIAV AAGNQATSAEFYSPGSAPEVCTVGASTRNDTKAIFSNYGELVDLFAPREYIRSTLPHN LTGLMSGTSMATPHVCGVGGLIMATEGLAPEKVCDRLKELANPTIQNPGFNTTNKLLY NGSGA TRV_01048 MAFLSFHSRQLSLPLNVEIWLDTAGYIERQAGCFVSARLLFLLL LLLLYTSVMPNVHPASPDTRYTQPTLPILYNGNPLDSLVYTYCEPICNGCGKKLPSGK VGFVRRPIKIKPTKSILADAFLPNRDGSAWSFPYSYNLDAPLPIETGPKETHQPIALT DGPAQTYNHGREYQNKLATGRYDPVPSNLYHRDAALPPPAPSPILEPQSPTYSRAHAA PATETRHRCAVCGRYRSPSYQHRHPIIPGQIPPTSVCRKCRFTYTSSGDSTESDESDR RSRGRRRSRKRRSRSRPIFDKEPSAQPAPIPQILQAPAPQTSQPQEPPTPAPPPPPPP PPPQPQPQPLATKVESSPPIFHFCPNPPGLFLTAVLSRATTRSPTIETHAALVTIRCT AGVITIKTATMAIAATENPGSIPPESPGASMTFREPSPLRQAERPVVKDANSWSMPAT GNWDDSNERVRKPRPPTPSPSPVRERRYVEPHQLSSSLRGTRPPQAKICRFASDEPQN QPNVQYQTWEVDRPKRQYSRVISRGDDNNNEESGWYDTPDYERPISTRPSRNVSMPRR PSRVETDRPPSEILIVPKPRRQFEVRYGTYQPEQQRDYEYREPRSETRFKHYEPSNPR RVTTVNRRSYAYPVVRDEQEEEDTGQGYSDPRYEKWNKSRYASHEIIEGPRGTYNPPA TRDPSGQQYKARERSVVW TRV_01049 MRGLSYFVLALSAIDAATAASLPFLRSLQHVGRRDPVARNLPNY DFSAIPPVEKRQTASRLNGKTKKYAVDGTKIPEVQFDIGESYAGLMPISTRKDESREL YFWYFPSENPAAKDEITIWLNGGPGCSSLEGLLQENGPFLWQYGTLYPVPNPWSWTKL TNMVWVEQHTSTDDNPSRPVGTGFSQGKPSVRSEEDVATQFLGFFRNFVDTFDLHGKK IYIVGESYAGLYVPYIAHAMFEKKNKRYFNVESTMIFDPSINKDEILTQVPAVPFVDH WKGLFPFNETFTKQIHDMADKCGYSNYMKEHLVYPPKGKLPALPKATPECDVWTAIFD AVSLVNPCFDVYQVATTCPLLYDVLGYPGSFEYLPAGANVYFNRTDVQKAINAPLQKW TECSEHPVFVDGKDNSEPSSFTIIPDVIEKSPRTIIAHGDLDYVLISNGTLLSIQNMT WGGAQGFSQEPSKPLFVPYHDRGSLSTLSGAGVLGRHHTERKLTYVELYLTGHMGPQY NPSASYRILEYLLGRIDDLSK TRV_01050 MLALFITGAGAALHGENMQSLKIDVAFPLLDIDYIIEYIKIVED EKKEKEDKQAEEEAEAEEEAKEEERKTGWEETMDHEGEEDGDIGPTRPDTPPKKPRVM GPVMPPSFRPESDKDEEEDSHDDEDDSDDDYGPSLPPPASASSAVQQHETSTEPIPSK ADSKPEVVQRDDWMLKPPDQLGLSARMDPTKLKNRKFNTGNPARPAASKAGGPSNTWT ETVEEKRKRLQNEVMGIQAPAAASTASEPDAGKALAAERAEKMSKHVREYNEKNRNKS LYSQHKAGTEEQEKDDPSARAFDKEKDIRAPSKISHSQRREMLNKAADFNSRFSGGSF L TRV_01051 MAPAAAGRVEKRRGKRAAEEELDGERRLRKKFGRLRLGDGQAGV FFLGRKSGEFLKLLEANSLVDFYLSTDRLSEQALITPTTTTATAAAGGGRYGLEAQRQ ADGREHAGSPSKYTSSRASSNGDGMQVDDTKTRVYITDLEDEIAQIEEEESRQTFKVM PEMEKQLMSIPKSVLTHKPKEERNNELVLYRVPAALGIPAEQDSVRAVMTDARERARE KMAESYNGQAEEDRTPLPDMSVDGEQLPGYDSDPMEIDDS TRV_01052 MAASTSSQPPAGQQTVEWPALRVRDTFLDYFKKNGHTFGTVDPN SDFAQLKRAHNSQKDLDDVGKDSYHHTFFEMLGNWSFGDYFKKEAIQFSWELLTKVYG LDPDRLYVTYFEGNEAAGVGPDIEARDIWRSVGVKDDHILTGDMKDNFWEMGDQGPCG PCSEIHYDRIGGRNAAHLVNQDDPDVLEIWNNVFIQYNREPDRSLRPLPNQHVDTGLG YERLVSILQNKSSNYDTDVFTPLFNAIQNITGARPYTGKFGAEDADGIDTAYRVVADH LRTLTFAITDGAAPNNEGRGYVVRRVLRRGVRYARKYLQVEIGSFFSKLVPALVEQMG DMFPEIKRKQTDVMEILDEEEVSFAKTLDRGERQFENYAQQALDSGAGKLPGADVWRL YDTFGFPVDLTRLMAEERQLTIDDAEFEEARLKAKEASKGQAKTASDLLKLTVHTLST LEKNGVPKTDDDAKFGKGNIVANIKAICHGKDVIDSTKDIPANEQIGIILDKTNFYAE QGGQEYDTGKIVIDGQAELDVQNVQMYGGYVLHTGFIKYGNFSVNDSVIAEYDELRRW PIRNNHTGTHILNFALREVLGDGIDQKGSLVAAEKLRFDFSHKSAISDSDLEKIEAKS TDYIRQNCAVYSQEVPLATAREITGVRAVFGETYPDPVRVVSVGVELDEILKNVKDPR WEGVSIEFCGGTHVQKTGDIKDLVILEESGIAKGIRRIIAVTGEDAHEVQRVAREFGE RLNRFEKMPAGPERDAEIKSLQVDLNQLTISAVEKTKYRNQFTQIHKKITDAQKAAQK AEVKTAIDAISAYFEENKDSPSLVIKLPITANQKAISESLNHVKTKLSGKALYVFAAD ASKVAHGCYVTPDLTTKGASANEWASAVSSIIGGKAGGKAPVAIGNGTEVNKVDEALD EATKYLEKFKL TRV_01053 MCANNGRFSVFFCFVVGRKLRQEIQQSRGALASEDSKGFPKGHL WTSLVPFVPFLYEQVNMQRDAQRHRGRDAQPSGIQGSGYILPTLTTERDRRTAELHAE ERSETRGIYAWDTSAGGTPYLLEAERGIRVRHQG TRV_01054 MDRIIVGVKYCFRYNQRHRQARNDDNGDPIDMVNVPRTHRRRRE KKLMSMEDVNSRFPITKYKSWRASRAEAGLPTAGGIDSNIDTHTSRQAGHQESGIIDT SRTTTSADRGECSGAMSPDSMLGRESTDIQVPPAAHVPAEKSTTVQPPTSQTNPSQRI STDNHSLKHTDSHLPEHDDDDHDDHISRAITNDLIANPGDSCAICLDIIEDDDDVRGL TCGHAFHASCVDPWLTSRRACCPLCKADYFTPKPRPEGEAPEEDRRERGRRHGNNNGV SEGESPYQTARMPPFASRMVLAGRFMPMLPGEENNRSARDRGLRVAGDHANADAGQDG TQPQSNGWRSRLPRLNIPFGRNRTNGSQQGDANASSVVADPPTPRQLESASHVSR TRV_01055 MKTVFCNLAVVLSALTATSFAQDYLVHMLEDGAGVDSWKPRAGD SRQRSPDIAKLLLERRLGWPESSSAVGEVDEETIRELNLFGGQQALPFTDTNKEQPHK LLLILEGVGSEDIKSLETKTPHDFSISSPSAFYLDDSILSSFVSEKSQSCHDPNTCIY GEEEASCTGFVALRSQNSGACLQDAQLFSEMPDCTSGSAKIEKLIEQVIAGSSTYKHT SFVIRLRASESPLLQDGEFASLFKSLAAFSSDLNVESTVITVSYDTYTKNRSQTRLPA DKSKLKLHQSLSAAKKAVRADATSTSMARPSATANPTPAFHLPVCYTKNETCTERTNN CSGHGSCYLKRTSSKNSTSSSNENDCYACKCHRTVVSTNKDGKTKTVQWGGPDCSKRD VSMQFWLIGGLSVVLVLGVAYGVGMLFSIGEEELPGVLSAGVAPPKQK TRV_01056 MWAEIALRPLDKLEIDPEEDGPRKLETTGVQRPARSLGVNDDEN DTEEGKEDDEPIFIPLGLPRLVEGEPYTPNDPEWHTNAKLARASGNLRFIKGRIDWQA LFTAGFDKGLTWTSRPPRNACIGKLLPRSSYHSLHWKPSPKRGDLIWNVLEPLRVTAS FIRAGYYYLALKRARLESFLNRLRFDNNGRLDAQRQAEESVNTPYILPILSWMPGSSE DTSTFQKPTMLDKPDVRSSSPFQPVVVYDLTDKNKYGENDKMPDLRSAVGLFLMMLRQ SPGRYKSPPPGVFIIAGMITLHGPEGWCNVYAKGVYNPTNNKVEIGMDLVSIMPYFQA PRRNS TRV_01057 MSLQPKFAGLKMAGAAEARHTLEIYLDYVCPFSAKLFKTFYDQV LPSLPEAASSRLTVIFRPQIQPWHPSSTLAIEAALAVLKLAPTKFQQFSAALFEHQKE YFDANVVNETRNQTYERLAKLASKEVGVDEEAVMGLLRISDKPGAGGDLNGGNGVTAD VKIMTKSWCKGIEERSISSSFTKDQWLEWVEKNVV TRV_01058 MDIEMSRRNKKPRLLLDSEKQKLEEFVDSIHYSARWANTPPRLL AESPMLTGYMTRYSDNEYEYRHVQLPKPMLKKIPTEYFDHSKGTLKLLWEEEWRGLGI TQVRATATWTEEQQ TRV_01059 MLSGQPIATEFLELPDGKKLKNHGYTQLTSLEADLRRMVSNAKF YNEKSSLLFSNAERIRKIVVAEMPKVNPAYKDPNYAPFSTPLPNNEVEEEDFIDELPE GEEESENEAEAEAEAEVEAEPEPTPREERTRSSRRASGRISTIDRDQNQTDAQKEDPD AAVASKFQAALEGILNEAIRMLDEDGEEKFAPFINLPDKTLYKEYYDVIDHPVSLRGI LRTVRGTDRRKPTTSKPKAPNPFRSWKAFIDEVNYLWTNARIFNEDESDIAILAGELE KFINTRIAETRKTVPAPEEESSGTGGGTKIRLKLGAATSTPEPPAAPTTSKLKLRLPA RQQQTDTAPATSKVPGVRVDTEALKRQQELVRAGTNGVEVPKPSTNNVQATPTRTLRD RTTSARAPVAAASPTPTAATVNGTGAAPATTGPSLRSRTPLTRRAEAELERETAPKPE TSAKPSPSLQAAHAVTPTPAPAAPEPPAKSTSTGATPLSTADWEKLSQARNKAYGNGL ISQISISSHSSLPLRQPFHISLPCSPVLPSQPISLTLPSCINLISFNPIIASTSHRRP TQHYFTVCDQKNPTPPTTSVVPFTDYTRFAYDVRLREGVNKLVVGVSAKADGETTERV IVFVNLLRS TRV_01060 MSTETYDGAIGIDLGTTYSCVANYEGANVEIIANEQGSFTTPSF VSFTDEERLIGEAAKNQAAMNPENTIFDIKRLIGRRFEDPVVKKDVESWPFKVIDQGG NPVVQVQYLNETKVFSPQEISSMVLMKMKEVAETKLGKSVSKAVITVPAYFNDNQRQA TKDAGAIAGLNVLRIINEPTAAAIAYGLGSGKSEKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDTNLLDHFKKEFTRKTKKDLSGDSRALRRLRTACERAKR TLSSATQTTVEIDSLFDGEDFNAQITRARFEDLNAKIFAATLEPVQQVLKDAGIDKSK VDEIVLVGGSTRIPRVQKLLSDAFDGKKLEKGINPDEAVAYGAAVQAGILSGKATSAD TSDLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVVDNQQTVQFPVYQG ERTNCEDNTSLGEFTLSPIPPMKAGDAALEVVFEVDVNGILKVTATEKSSGRSSNITI SNAVGKLSSAEIEAMISDAAKFKSSDEAFSQRFEARQQLESYISRVEEIITDPSMSLK IKRGNKDKIESALSDAMAQLEVEDSSPEDLKKKELALKRLITKAMSTR TRV_01061 MSSTAQLAQCTALRSVLRNKRLPAVSPRRCIYTHTTNNRIHNIS RYTLQSTISYPSSRNFSQSSALLKKKGSKESPKENDKAAAAGGAGAEDPFDFSSLNDS IKDAVTRLKEDLAKLRGGGRVTPEAIENLRVSLHKPGGADVGKGKKGASSSSKETVKL GEVASVIPKGGRSVSILVGEEHDVKPVMSAITSSDLSLNPQPDPHNPLQLNVPIPPPT KESREQTVKDAKVAMEKASNIVRNGRAALNKKLKGKELKKLRPDDVRKAIDQMEKIAE KGQKEVKDVFEAAKKALEA TRV_01062 MARTSKKRKLVDPQQLEEARRLEEEAQAQGQEDMHEIVEYEQDF QERGKHKPAVRYNPQPYTTETLKETWPALAIDAASNTSTIREKLSWFGESYVGCEELP EDLAKRVYQGKRVLFSSEAQKAETMKFVKQLASEHATELSQRKGQTVEPADVQFENVS KEEKSHMISSLIRGAYDQPFKLDADASPILKNVLRNLSNNHTYHTEHTQQFMGSLMQN LPLKKAKAKAKSA TRV_01063 MLAVYYDINYSNAARMLRRKPTAITVTSEDIIAFEEAQLRRQQE LKNKSSNKASSSGNKAENDGARDRDGDSMSSSNRAGVDPNDELKPLPGDKARIVRTRD ERIGVGR TRV_01064 MRACNGCRKRKIKCDSATTNIWPCSACTRLKLVCIPPSIDQDSE PAAHEQILDFSQPAPPAGSGALPPPPPPAALPASSSQIHTSSQPSFHAREYYPAEPAR RTGDLRGYESDSQLYQAQQHQQQQPHQYLTSPTDDGRFYHHSPVDASHGQLQQAFHYP QHPQAPPPPPPPAPTQIHRNPPPPFPVSTPQPIIQVSEPPPQTPTNSGDLTTAFNELK IDETGIESKDHIKPQTALAECEQSLPPLYNGANSSLQFPAELMPSDTEALEYFRVYFD DLHPYLPVIPRNYFYEQWRREKWDISPLLLSALFACSARALNDTAKATKWLALANKFE PEFMEAPRLTTVQALLLIMKAREAMPRPGYYYRSWQTIKTIISMAKDLELHQHYQYHA EGKLCGYDTVECLIRTRVWQTILVVEIMVGGPQGRSEFGVETDTVEIRPSWDIPNLDA YEVERSRQFSYFVHNALNIRRIVDVFHKVKKTKDWGANPQFARNNPLFEAWYNSLPPD LMVTYLPDGSPPWIPSHFLANMHSHFELAKIMLHRPQFVAASSFPAGGEWRHHFSICY SSAKAICRLQEALLSSFGVSGFLCMQRGINFSIYCILTSVMLHLVAITSPDPEFNKDS RSYFTRHMRVLESCSSSWPMTELQSQLAALRLAFSADINKPFELKPTFPYGSPVGPPS QSLPVSDAMYHSSPSYSEAQEPAQGTGNLPFSDSYPISPPVSTQTSVKPESPATGTYG LLQPYDTTRAPPPITSMPLVDENSWNPSRIITQWDLAFSGNINSNSPPSATSSTNSTV VPDPHSLYPSSSTMASANGSPGSGAAQYSDYSQPLMPLVDPNQPTQVSMPAQQYNLLP VVMGARDWQRSVASVIDPEGAKRRWDDVDSYGDEQAKRRR TRV_01065 MALPALAGGQDGALHGVSAAGGDGDGDGQDSAINRSPAGRADGS TTASSENGFLCLCLCALASPAEGWFWLAVVDVVFVVVVVVSDKAKAKKKSRRGSETTA STAQRQRQRRVTVTGSRQGLRKKKREEGLAGLLTNSLAGGDCGPALEQDNSRAEQRDR AEKQRETARKREREAERQERGLEKKKRSAGHLRDEGWRETRDETRRDKTRRDEMQNSN PTLLSAADLPSRQKKGAESQARVDSIFTTESTFDPFARYQDEDGESSQDGSSQDELEE PIDEQEIFDLIATISDPEHPIPLAELAVVSLQDISITPALPRSPSSPLRKVTVLLTPT ITHCSLATVIGLGVRVRLEQALPPRFRVDVRLKEGSHSTADEVNKQLADKERVAAALE NQTLMGVVGKMLETCR TRV_01066 MAGTLELSLERRPSKFYPPSYISHAHTQTKTAQQPDGPTGRQAD RQTGRQWESQTSPPCLRRSCSGPAGADAEEVKGKKNNPNRHCGKTNQATEEKIESTDV HSWILVDWSVADREDGKEYSNNSRRRKESNKEIKITKTGHRWLAARRAEKGKTLADAI KIDTSIIHLHAVTLVLLPSCCLLSYASSASYATSDPCPQ TRV_01067 MPASVAIVTGGAGDIGQAIARRLSDSHDRVVILDHDGSRLDAAL AALASDKFERCLCDITDSSQVAGLPRRIFGPEEDAGPDGGAAAVLRTLVNNAGGSAAY SLHETSPQAWQRETALNLDAAFLCFNAFEAHLKRSRGSVVNIASVNGLAVYGNPAYSA AKAGLVHLTRSIAVEYGRFGIRANAVAPGTVRTAAWTARQQANPQVFRDVAQWYPLNR IVDPEDVAHAVAFLASEHAAAITGKACAEPLELITNQLSFSGAERRIRKAAAEAERQQ RAATEREERLKKGIHGNRIPHIYYGVHIWTPH TRV_01068 MLLPAPLSKYVSSLKSLRQKWQNHAASRPEDDKNSLFARLVTVF LSTWDLGFTSFGGPPVHFRILHRRFVEGKGVKEKWIDEQTVSDYPNYS TRV_01069 MYAFSLGVQRIGETLPEPVYPLLSGLNSSTVGIIALAAVQLAEK AIKDKLTRILVTLGACAGLCYNALWYFPLLMAVGGIATVVWDGWLHQKIRSFRLDRKR RNTHPEAAEETAAPQSLQAGDQQGHGNSSGPEDSTQIRPRRTNAPGGSNVQCDQAGLL TNPNQSAEADNPQKHVIRLRVGIIITVLFFASFIAVLVARGVLRTPPLALDLFASMYL AGTVIFGGGPVVIPLLRSYVVDPGWVSGRDFLLGLAIIQAFPGPNFNFAIFLGALVLQ KSPLPTIFGAILGGLGIFLPGITLAVAVQSFWAVLRKRKWVTDFLRGVNATAVGLVFT AVYRLWEIGYLTPQTTRGQSLGKEPWWVVIAAVTYVESAWFGIPPPVAIVMGAVLGLC WYGAVGR TRV_01070 MDDLYDEFGNYIGGEESEEESQHGGVEAQQFDYDLESEVEAPAA HGQELMEIDDQGPSNAVILHEDKQYYPTAQQVYGADVETKVQEEDTQPLSQPIIAPVQ QKKFAVQEADLPPVFYSREFMTDLLNYPSQTRNIALAGHLHHGKTAFMDTLVMETHDL SEKLDKRTGKSKDEQLRYTDVHFLERERGLSIKSAPMSLVLQGTKGKSHLFNIVDTPG HVNFVDEVAASLRLVDGVVLVVDVVEGVQINTEQIIKHAVLEDLPLTLIVNKLDRLIL ELKLPPTDAYFKLKHVVEEVNTVIERTIPGQGEKRRLSPEKGNVAFACASMNWCFTLQ SFAKMYADTYAKVDLSEFAIRLWGDILFNPRSRKFTRKGMEEQSKRSFVHFILEPIYK LYSHTISESPEDLKDTLATLGINLKPSQLKSDAKVLLKLVCAQFFGPVTGFVDMVVQH IPSPVDGASKKLDRYYTGPRDTKVAAAMEACDQDGPLVVHVTKLYGTPDAAGFNAFGR IMSGTARAGQQVRVLGEGYTVDDNEDMVVATISDTFIAESRYNIPTSGVPAGNWVLLS GVDNSIIKTATLVSLKLEDDEEAYIFKPIKHMTESVFKVAVEPINPSELPKMLEGLRK VNKSYPLISTRVEESGEHIVLGTGELYMDCVLHDLRRLYAEMELKVSDPVTRFCETVV ETSAIMCYSVTANTKNKITMIAEPLDDGISEDIESGRVNIHDPIRKVGQFFEEKYDWD KLAARSIWAFGPDDMGPNILQDDTLPSKVDKKLLGSVRDFIRQAFSWGTREGPLCEEP IRNTKFRLTDIQLADQAFSRGGGQIIPATRRVIYSSFLMASPRLMEPIYTCAMTGPPD SVAAIYTVLSRRRGHVLSDGPIAGTPLYSVRGLIPVIDSFGFETDLRIHTQGQATVSL VFDKWSVVPGDPLDRDIKLRPLEMASPMATARDFVLKTRRRKGLAEDITVSKFLEPEL WKGLKESGILGET TRV_01071 MGSIAVEELLKERESSASTSCTPMKAVSNSQDVPPPIAIVGMGM RLPGGITSAEEFWDFLVSKGDARSKIPESRFNIDAFYNSSKPGSVRTEYGYFLNQDLA HIDTSFFSMVKYEAERLDPQQRLLLEVVWECLESAGVTGWRGKDIGCFVGSFGEDWLD MSSKDTQDINRVRAIGAGDFALANRVSYEYDLKGPSITFRTACSAAMVALHNACLSLS SGECSAAIVAGTNLALAPTMATTMSDNLVLSPSGICRSFDADADGYGRGEAINAVYIK RLEDAVRDGDPIRAVIRSTATNCDGRTPNISMPGAETQASLIHQAYSQAKISDLSATA FIECHGTGTVMGDVAELTAVAKAFGEKGIYIGSVKPNVGHSEGASGLTSIIKATLALE NKIIPPNINFKTPNPKEPTTWPHDRSERVSINCFGIGGTNVHVIIDSAASFTAMNSEY LERPAPQNKSRLLVLSANSQNSLQRQVENLKAYVESRPEVSNDLCFNLGARREHLKYR AFTILEQEGSIDTAGFETSQYTKSRSMVFVFTGQGAQWVGMGKELLLEFDIFRNDIKA MDTALQKLKNAPCWSIEDELLKSSEDSRVNEPEYAQPLCTAVQIALSNLLELWGLFPT GVIGHSSGEIAAAYSAGAITLESAIIISYYRGQVTKSISENDATPQGGMAAVGLSPEK VKRYLIDGVVIACENSPQSVTISGDSDKLDKVIAKIQSTEDDIFCRRLRVGVAYHSHH MQGLTGLYQDLIGPHISFKDAMIPFYSSVTGNIIIEPIQLDAKYWAEILSFTVLFSTA VGSYLEKNGGNALFLEIGPHSALSGPLRQIFDGHQAKLNVTYIPTLIRGEGQRKSLLT AAGRAYMNGSPISFSEINGPGRVLTDLPKYPWQHDVRYWGENLISQRWRSRQHPHHEI LGSRVYETSDIEPTWRNLLYVEHTPWIWEHRLNKEIVFPCAGYIAMIGEAIYQITGSH AYSIRNLFMKRALILEDSSVLELVTSLRPVRLTDSADSKWYEFTISSCNDNTWQKHCT GRVIPGDIEVCRFKEHKGPFIRQVQADQWYSALANRGLHYGPRFRGLENITADPIDYQ ATASIADDENLHESHYSLHPSTIDQCLQLFSVAFTHGISRQMTKLSIPVSIEKLYIST GALSMAAHAKGTGRSSSTASGRVVMTLDNKTVLWMEGAQFFTVDEEDTSLPRRRLISR CVWKPIVLLCSIAKLSGSASRPSSVHSRRIVGQFTNLLILECFHRIKHLKADSPHLVK YQGWIISQTDRLSKGLDELFPETSSWAAASSEARLTAMESLVRELEVESPRDMPLIDI SKTLLDHCPQIIEGKVSPLEVLMEDDRIKNVYNNLCWTSGWSDFFGLFSHWNPNLRVL EIGGGVGSATATMLLHLAPAGRTRMYSKYTFTDISPGVLPLAKERFKDFEEIEYSVLD ISKDPTKQGYSPESFDLIIASNSTYACYWFLEVALYWRNFAQGVLPGWWLGGGDGRED TPLVSPERWGRELQKNGFSGAEAVFYDCEQPYHYGAGIWSRRADIVPVKGDIYLLHHP TVHEWAYIAEAQLSQRGYTVHWCTLDQLPAGKIIISLLDLGGPFFDKISEGNFRAFQK AMSTATNCRMIWVTRGSQLECSDPRYGLVLGLARTLRFEMDVDFSTFEVDKFDEKTAT SLCDLLKEMQIFREKPWLDQDYEFAVRDGVIHIGRFHWTPLDEEITTTNKPNMSKGLH IGTYGLLDSLSWVEYEEPPVGGNDVMVEIKYTGLNFRDIMVTLGALGDTSEIGLESSG TIFKVGSNVKNLRVGQRILALGIGLLRTRKVIPANHCVPIPDGLSLEDAATMTSVYAT VILSLIRLGNLQKNQIYATVGNEQKRKYLVENHQIPEDHIFDSRSASFFDGVMRHTNG RGVDLVLNSLSGELLHASWRCVAEFGRMIELGKRDIMGHAQLSMDGFSGNRAYFGVDL FRLGETDATMFGHAFRYMQTGKHMGKIIIKMPEDPAELPVAKNNLNLSLSPGGSYLLA GGLGGLGRPITTWMIEKGARNFIFLSRSAGESDSDKAFLRELDAQGCTATVVKGNVTE LDDVKRAATASPKPVVGVINLAMVLRDEAFEKMTYDDWNAALAPKVQGTWNLHNVFKD VSLDFFILTSSISGMRGNFGQANYNAANTFLDTFVRYRKSMDLPVWAIDVGAMQEIGH FSQDKNLVKKALANSIEMPDNSYLVDALQVAMLKSKESESGQFAIGLGTSKPPPDSGN HPLWPRDARFTINKNLQWKSDKANTTSIVDLRSFVEDIKKDPSILDSPESKKRIAKEL RVLIGPRAGLDENADDEEIDNIVIDSLMSIEIRSWFRRNLSLDVPLIEISNAKTVGGL TATTMNALRCKYQSKSTEDDGKDSGISTSETPDEGTLKNDILLGTGLQPTPGPTIDWK CESEGRIFLTGATGFIGAWMLASLLGRPDVKIVACLVRARDENTGLQRIKETFKKYGI EWKCDEKLAVIPGDISKPKLGLEGAKFDELSAWTSAVFHFAALSNFLEPYSAHREANV IGTFNMLQFATNKRRITFHHSSSLSACGLNAYLGKQHISEDQKPEVDLKKAGHHIGYS YSKYTAEMVLWNAISNGVPASIYRFSMVLGHSVTGIGNDDDATSRMMSNCIQIGSYPI APKQRSQFVPVDFAVSCMLEISTSLENIGHAYNVIQPDQEKLVDLPATFDMLRQCCPS PLRPVPYEDWLQSLAKFRAGRMSVFLPEMEDKEHERRDIWQNIHAGEHRYGTDNLRRA LAHRPEMLQLPSMDKLMKVWSAQWIKQATRREQTSLL TRV_01072 MSSRPPVYIVSAARTPVGSFLGSLSSLTAPQLGSHAIKAALERA QGIKPEDVEEVFFGNVLSANVGQNPARQCALGAGLLDSTVCTTVNKVCASGLKAVILG AQTIMTGNADIIVAGGTESMSNTPHYLPNMRTGAKYGHQSLTDGIMKDGLMDAYGKQE LMGLQAEECAQDHGFSREQQDDYAIRTYQRAQAAQNSGALSAEIAPVEIPGFRGKPST IVDKDEEAKNLNPDKLRAIKPAFIPNGGTVTAPNSSPLNDGAAALVLVSEAKLKELNL KPMAKILGWGDAAKAPSKFTTAPSLAIPKALEHAGVEQSAIDAFEINEAFSVVALANM KILNLSEDKVNIHGGSVALGHPLGASGARILTTLLGVLREKKGKLGCVGICNGGGGAS AMVIESLQ TRV_01073 MDGAPAVSGLDAIPLDKLKEEYLRRSCGSKKINTYNTPAHVAAL FLILTLSTFATAFVHLLPTAFISLTSPCLPRFWNKGYPAFAGLVAMVAVLIVVCIEMF FAMKGAGHVHGSDNSTENLVDGASPLMQNGHARSQDGRDAGADHASDDEDLDLDLEEL DPQPDDNESEYVRPTHHGHHHHYHSHDSHMSEQSAQKQLLQCLLLEAGILFHSIFIGM ALSVATGANFLVLLVAISFHQTFEGFALGARIAALIPALFPASSPRPWLMALAYGATT PIGQAIGLGVHNLYDPASTTGLLMVGLTNAFSSGLLLFAGLVELLAEDFLSDRSYEVL QGRNRLEAGIAVAAGASLMALVGAFA TRV_01074 KMRYSLFFGAALAASVSTVAAEAGCTNDGGNWYCSAVDLITYSG FSTSGTYDLVTSMSGGKCGSEKHEYSGVFGPLGEELSIHLRGPMQLFSMAVYNRGSEK PKRELKPSIHERRHGHSHQRFHEKRAVGDTVVATIDGQVVSWINQYNGGAPAAPTPAP GAPGIKDPQVKSNGYKGGDKPKDPEPHGPVNVGPGDWRRVALVDTDRKEAEGVSFLNN DGGWDKGVEQAFGAALKKLGLDDDFFGMGEGPNFPKDSTIPDGKELIIMSDKSCEDGS CGFTRPGADAFHGFGGEDKIFLFRVAMPVTGSQASSIYDPKDMPAIWLLNARIPRTSQ YPMDPACSCWKSGCGEFDVLEVLAPGDKRCKSTYHTEQSKSGGSSYYFDRPEEPITVA VVFNSGDGTLYVKTLKDGFDKFPETLNPEDVKKLCADDGKTNNFALAS TRV_01032 ALAAVGQCQLMSTWDSLFSHLRQPIAQILITRNDIVDRTQYVNA QNTLAQLLSMGVIPIVNENDTLTVSEIKFGDNDTLSAITAAMIEADYLFLMTDVDGLY TANPRTNPDARVIDIVQDISSLEADVSSAGSSLGTGGMGSKIVAARLATSAGVTTVIT RSSRPGTIEEIIEHHSSNDATSSDSALGVEETKPDPPLHTCFLPSDSPVHSRSFWLLH GLKPHGSVYIDEEAYNTIRDTGYLLPSGVIAVEGKFGYMEAIKVMVAPRPSQIELNDD YPMACSTPKEAKEVGRGLINYGYAELSRIKGLRSTQIHSVLGYSGSEYAVSRENLAFF EMPSRCMTPTSLSSPAPETYNDKNPLNDIPAASANQ TRV_01033 MMSFLSLLTLVAWFSIVSAVEFQAPQALAVLDATSPLDIEWSFP ENATSFLLGTDYSIWLCAGGNEDNTHTTAEVDPNAGSEYPNGYFLEAVLNGMQGYSYR FTLTGMTGSFSPNVEKGLRSLPKPDESDTARIQARQVPAPGAAVPPAPGAANPQAPGA ANPPAPGAGAPPAPAPGAGNPAAPVPPGGKPPAGAPPAAAPPAGAPPAGAPPAGVPPP AAAPPAGVPPAAAPPPAAEPTIVQTVPYPEQTGLTRYAPVPKQPPKKIVKKAATPQFP TSPYKVATTFLPPPTVRTTISASVTLTAEAHENTVSYTP TRV_01034 MQWNSASEIATYLLSANPVAIVAACLVAFLLPLALHVFLYRTAP TSKSDQFILLGSSGSGKTALCAKLEKGTSSNLEPRPTHTSQVSSTFPVALHPAVRKGS DKYRYVNDPTLAQAAKQRVTFSLRDTPGHGKLRDLEVIAQLLDPSKQKQSKTKVRGVI FMIDASTLMDAGQLADIARYLYDVLIILHRFSASTRARSTPVLVAANKQDLFAAIPPA MVKEKLEAEIEAVRETRRKGVINPDAEGDDEADAFGSQPFTFQLLEEESGVKVDFLGG SVTTDYRDDATSGLRSWEEWMGQCL TRV_01035 MAQSQKRTPPSVMETVTEQIGNTPLVRLNKLPKSLGIEATVYAK LEFFNAGGSVKDRIALRMIEEAERSGRIKPGDTLIEPTSGNTMNTLADDTFPLLGYKA IITLPEKMSAEKVAVLRALNATIIRTPNEAAFDSPESHIGVAKRLEKELPNAHILDQY GNENNPLAHEFGTAEEIWTQTEGKVNVVIAGAGTGGTITGIARGLKKHNSSVKVIATD PHGSILAVPEPLNQEHLNEPYKVEGIGYDFIPDVLDREIVDKWYKTGDRESFKYARQL IAEEGLLVGGSSGSAIDGLAQAARDGQIGKDDVVVVVLPDSIRSYLTKFADDDWLAAN DLLPATAEVPQSPQLPPQGSTDQFGGAKVKALRLKPVTTVSANSPCSTAIETMRDKGF DQLPVLAAQSRKLVGLITLGNTLSWISRGRATGNTPVSDVMFDFSKISEIITDPRNLA KSPKATDDDIGKRKEFVEITMDTPLSVLNRFFEWNSAAVVTEKDESGHMKPLAVATKV DLLTWLLQQNKLS TRV_01036 MAESQTTGSDASDKGQKPTGFKPGDDILTHFRNIYAGVTGKMTP EGVEQFRLARDLRNEESDCRRCESQRDYLLNYSMSPYTPSSPVLLDLLLIWFIYLIGP VIRFLQDNIRQLGGDISSKNIYCRRCTARQGGGFDPEYGIQICANAMNSQSQLEDTLA HEMVHAYDHLRFKLDWTNNLRHAACAEIRASSLSGECRWANEFFGRFEFKLANHHQEC VRRRAVMSVQARPACKSKEQALRVVDEVWESCFRDTRPFDEIYR TRV_01037 MSTKALEARFERLDVNDENEPPASHHIKAKGVPSKCGPAPAHGN PTSNRPNLLKLALQNNNESKSHRSPLEEESSNTLRMNDSAGNPKKFHLGMFEIGKPLG KGKFGRVYLARERSTGFVCALKVLHKSELVHGHVEKQLRREIEIQSNLRHPNILRLYG HFHDSKRVFLILEFAGKGELYKHLRKENRFPEWKAAQYIAQMAAALKYLHKKHVMHRD IKPENILVGIHGEIKISDFGWSVHAPNNRRQTMCGTLDYLPPEMLKPGNGDKWYDEKV DLWSLGVLIYEFLVGEAPFEDSPVMTHRRIVRGEMTIPSFVSPEARDLIKKLLVLDPA SRIPLDEVQRHPWILKYCVKGERVAQREAAASKSKSK TRV_01038 MAASNGTQSSFPSPEATPPSSITRKGFRITTKKLPILKAGPIDE MTNKLGIAPPEMIFGDNLVSIEHIPSGWGINFNAFDALDRVDKTGASMLKVSYSGEWQ KSRCAISGSSCLYACYFSTNTWNRESAHEGIKEVVKPFDWSYTTDYKGTVTPKGHEFE QTSTPLPIERLKQPDPILFYDEIMLYEDELADNGITMLSCKIRVMPTCLLLLTRMFMR LDNVLFRLRDTRVFVDFDTGEVMREYLAKEEGYDKVKEMIGGSRKDVPAMMRDPNHIA QILPVVDSSLERVVLPPQAT TRV_01039 MPRPAAKRARATRSNQPPAQTTKATTRGRPKQKISGGQSEECTT STKSAPKSRVTSRKDTNSSDLEGRSDELQDESLGLVQRERERDSFGSQTPVRDRQQEN APLSGGVGMGTSLRLQGFPGSARRDMNPRGHTPAFESSILSAFRPRPRQPSILQLVGD DSLDLGSSEFGSDDLLGSFDPEDVSTPLPAKRRKSLNQADITPTVTKKTPKLPQVFVE VPIRHDLVSDKRISDEPPALVQTLGEQHADEAPEEPEDIDDPQEQEPALDEVQSEIPV AVDEDGKDDDCSSVGSVDSLPPMNFAIETPPQRRRQTTTLAPPESSVGSITPPASTPE SSANQKPSPPPSKPTHLSTTSLQDALLPRRRQRGKGQPNKRSKRSRAGGKDYVLSASG SEDELNYTGGQQDDTSAGRQRNTKRSQQNQARFKLREKPQNTSQPKRGRGKAATVSSQ PRKSSTPKKTYSRLSTTGGDADWDKENQLDEEAGTSPEREIVAPVMSEELLLQKKKFA EIDEWSIDFEEVLDDDGEAY TRV_01040 MDINVDKYPAKSHARRVAEKLKAAGHGSTGIIFVEGQKEHIIDD SDEPFHFRQRRNFLYLSGCLEAECSVAYNIEKDELTLFIPPVDPASVMWSGLPLEPAK ALKQFDVDAVLLTTEINNYLAKCGGEKVFTIADRVCPEVSFSSFKQNDTDALKIAIES CRVVKDEYEIGLLRRANEVSSQAHIEVMKAATKSKNEREFYATLNYVCMSNGCSDQSY HPILACGPNAATLHYTKNNGDLTNPATGIKDQLVLIDAGCQYKAYCADITRAFPLSGK FTTEGRQIYDIALEMQKVAFSMIKPNVLFDDMHAAVHRVAIKGLLKIGILTGSEDEIF EKGISTAFFPHGLGHHLGMDTHDVGGNPNPADPNRMFKYLRLRGTVPEGSVITIEPGV YFCRYIIEPFLTNPETSKYINTEVLDKYWAVGGVRIEDNVVVRANGFENLTTVPKEPE EVERIVQEGAK TRV_01041 MLTDHVQRRIHLEGELTDTVACFLQFQYTGEYFPRLLPSGKDLE QDPAIPKVDASGEQLLKHARIYSLAEKLGNDKLKLLAQNKIGSIESSATGEIEYARYV YSHTTPEDTAIRGPVARFWAKMSDVLRHDAEEQFKALCLEHPQFSFDLLNRVLDMKEK RARERDNTSSPAFKGPARKRSRAF TRV_01042 TFTTAMADSMPQVQIELPGDVEMEESTAPAAEEDPSAETTKEEE KDKESGDQETQPPAEPEVHSQQAVFLKYAQLRSTAYSI TRV_01020 MSALSFLYSQIFVRLPAPKHDFTGQTIVITGGNAGLGLEAARYF LNLNASEIILGVRSLAKGENAIKDLESSTGRSGQIRLFHIDMENYASVQEFAASISKL PKVDVLMLNAGKLEQKFYLAEEDESTITVNVVSTFLLALLLLPKLRASATEKGPIPRL CIVASDRHVMTNLPEWKTPNTYYASKLLQVLCMRALAADIASTTPQVVVNAFTPGYCL TNLVDGVTGFWGLQLQIMKLIARSAQEGSRTLVHAATLGWEGHGQYLNDCKIDEGALS KFVKSSNGAQAQKKVWGELLEKLEKISPGISGNI TRV_01021 MIKQLIDKQSAIYSERPKFYIADHLVMHGDHLMFMGPDTRWRRG RRLYHQYFNETACERKHVSLQNAEAVQLLRDLYVEPDGFMDHCKRFTNSTTMSLVTGI RTPAASAPHMRHLYAVLDGISEIFEPGATPPIDIFPFLAWLPESLFNNWKSRAREVAQ TMDKVYGPLVDRVLKRRESGSEFRESYLDMVLDQQEKLQLTRHEIDLMVGNLLEGGSD TTSIMTIVFIQAMALYPDVQKEAQEEIDAIIGEKRSPEWKDYVNLPYVAMVVKETMRW RPVLPTAFPHATSKETVIDGMTIPAGSTVIPNIWGLHHDSRKHFNPNQFNPLRYKGRV DLAPIYASSPDYENRDHYAYGSGRRICPGIHLAERGLFLAMAKILWAFDISPMRDAQG HPLHINTDPATGYTDGFLRCPKPFPVKINLRSARHQETIDAEFACAERDVFSKYDV TRV_01022 MTVEKAEPIGRRFMAQAIDHVAELDPNRRFCIIPKGSDCPGGYS DLTFERLAHAVNYMCWWIEETFGSTSSPVTLAYLGAHDIRYLIMVTACNKTGYQPQLT SVRNSHTAQVCLLEMTGCTKVAYSVERKQTVDKIQELFPGLQSVQIPSLYEMFEGNSS PYPFSKHFDDIKNEVAFIGHSSGTTGFPKPIRLTYGFFAALDHGAYVPIPPDRTAGVP DRLNPSDLILATTPFFHLMGFTVFVMAAFHKIPCVILPDRPVSNEFLSSVIDSLKPTA ALVAPCILEDMSTSPKAMEALSTLRHVYYGGGPLASEIGNKICQRTKLINSIGMTEAG YVLSLVSQEKEDWSYFEWSSSFGIKMEPIDDGRSEMVIHRNENPDLQPIFNTFPELDE YRTKDVYTPHPTKPGLWKFHGRIDDVIVLSNGQKFNPVTMEKVIEGHPLVSRAIVVGL ARFQTALLVEPNWNLWDESSPRNQFVDEIWPTVREANTISPAYGRVMKNRIGLASRSK PFKTTPKGSTQRRLVADSYKEEIDKLYTNPADQAFDYAFSESADLDSVTESNGVHFLN ASDDICTSDCWKAVQILFSLLNGVESNGICRLENVNLLLSKYTAGLPDLEATKTHSVI LTGSTGSLGTYLLHRLLSDNSVAKVYCLNRSHVGERQTESFKHKGLKTELLEKAEFLT ASLSKERLGLEKEKYDELIYTVDTVIHNSWRMDFNISLYSFEDQIQSVRRLIDFSLHS VRRAHFYFNSSIGAIGGWTLADGPSVPEELFENCEVTLNQGYGQAKHVCERICHAASR AGVPITILRLGQIAGPTTDEGAWNSTEWLPSIVATSKSIAKIPKTLGSMPVDWIPVDT LATIITEIVESRRITEADSRCSVFHLVNPLTTPWESLLTPLHERYAVQRVPMNEWIAE LEKIQNPSDQDMLEKPALKLLPFYKSLVEGEGALSVPICVRRAREASKTMQSMGPISA NLMATWINQWQF TRV_01023 MESLNRDPTVDSEFANEFAEIESTHDQRAKAQLYSELLSKIINK PSNSLARDLTLFFGAIFGSEISVIATRPLLDRFISSLKPLPANIRIQVGLPAITVLQT RATSVEEQDALLRETLADAYEEVEEYSDAARVLQGIHLDSSQRHISDEEKIRMWIRII RLYLEDDDAGGAEMFLHKIKNLPTKTEDPALQLHFQLSQARILDARRRFLEASQEYFA VSLASGVDEEDRLQALSAAIRCVVLAPAGPQRSRALSRLYKDDRSSSLEEYSILEKIF RDQLLTEDEVSNFASGLVPHQLAQTADGLTVLDKAVIEHNLLAASRLYENIRVDDLGL ILGLKASGEMTAGEKAEAYAARMLEQGRLKGSIDQIEGVISFNSEIYGDGRTGRSLRY WDAGVQHLAQDVENVAAAIMDEFPVSYVFLALK TRV_01024 MKIGARLVIKSLTSYIVSERKLHKLSNTSFSYLFIHSFHFLLQA LQQHSQNHTYMNMANLNTGQIKAEPLANPGIKEEPDTKDTNMSDEDIYEDTGDLDFTN AFQNVWLTRIPRNLWEQWSKLDDDEEIQIGTVRVEGDPTDIKRNTFVFTEKDLPGYKD KMNRFYNENQPYGRSYLYEQTKRDAKKKERKKKWEPYVRKTVPRQTAITARVHDEFNC LPVENEEYQRLAEERALESLKPKRETKFIEKVPGKMLQPKTVAAADKSNFIQIAKPAK VRAQENKTARMPQNELLDLIYACFRRHKYWPFKALKAELQQPEVYLKQTLEIVAHLVK SGDFAMTWELKPEARESNYADAIAYRDAKEELPPTAGYSFDEGSEGEPTASGRGTDAD EDDNVKFENV TRV_01025 MTEEEELLAKIGQLAGSQYTRHSRGGWRPYRGRGRGASRASAGP HRNRTLVLNNQSIPVEAASTAASSSTPSNEAPDSKPGIKNSWIAKRDRHMQLINSSIF DQETQARNKAIAETRRLKAQKKAAREESMVLRHAQSASRFSENNRSEAQPDGRAYTIY VGDIPFQVAQGGSKLISLSSEDMLLITIRSSRISLWFIDDPLKANVTPKRVKVGGVTF VRSKRGNLHRLGAVVSKNEKEKRAMQEVYIDGYQSLLPQNLFHRHRRHPSRLDMNASL LGRRAYRTCFKGPTCPYVHDPNKVAICKDFLQTGNCDAGVACDLSHDPCPERSPACLH FLRGRCTNPSCRYTHVHITPGAPVCRDFAILGYCSKGASCEGRHVHECPDYANTGNCG NKKCPLPHVDRAGQIRKFTANKVDPSAEGDSEEDVSSDDEVFEEIDSDDVDSDDLEEP EEIVQGTDGGDASQQLDFIGFS TRV_01026 MARFSLPSPAFDFFQPPPNLDTKPMFARDEEMSVLDDKILDTSA QDMSMDSSRRTSFDQATDEFSRRESVWSDMAQHQTDAQSRHPSQLSTPLFEPVSNPFV RLDAPTGAFAQQQHPHHQHHQQQQPLWPVSNESGSCTPTPIYENFPQEFDGAVANPFT GGAVGQVQPMSYQQMQFRASPPFAAPNSIPMSPQSSQGWVSGSSEVPEVQSRPVRTSG GYRNSAASLTIRRDGIRKKNARFDIPAERTLSNIDLLISQSNDEDEIKELKQQKRLLR NRQAALDSRQRKKVHTEQLEEDKRRSSTHINELQEALQEMKLREAELIREKNEMLERQ QQLHQFVEQLHMEKEELIRTHTLETGELRKKNTILREHLERMEMNSSNPSDSGSTVRN DFSDYGGLNMDNPSWESFSLSDEFSLDTEQRPQPRSPTPTPARHPFTLDFSSKKTEKQ AEKPLTQSDSAFSWNAFYMCLLFGAFIASNSTSTSQPAIPALSEEYRAESANVLRAVL ASGSPEGNSASIVGPSPSSSALLPTTISNSEMAQITSGPTGGAATNLDVLHNNLVAPS KEQEDAQAFAMTTKQYQALTTLGDDEMGEDHVAPPSNLQQAYAAMRNNGLQNRAAFKG NGNPDIYSRSLMWDRVPQKVLQDFNNMVRECAGKTVKAEEPGRESATC TRV_01027 MSTNAYSEQAASYFALPLPLWQQPVSYRTAKYEHLRQRGRLQKE HGHDNEDSNQEGSDGYGTDSAIQSEPELKNKQREKRQTSPRIPLVLSPGEAHQYRISG LPTYRELPGGKFPHKADLDDHDFLDSDHESDGNQDGGSTLTTKRKSAKSLNNRQRIEA ELSQLNPPIYLAGGNPAKKNTLRLHHSSVVTTILHRCLMEGDYLRAGRALGLILRDNF GGHPVDVRNSGRWATGAEILLQTGSNASKPADTSNRNPEINGDRVWFTREGFQRAKEY YERLIIQYQYRKAAPNALSSLHFYPAMFGLWISVSQEESRLAREAGDLAHSPGASVAD SNDYTDPDTKMEEYTERDEKPSDDKKATIDARVKELQDAHQIARQMDDLLVSPPFSDS HELLRLRGMVSQWIGDLYLSSVLPSEEEPGFAGMKDNEEDEDSLQVDDGDGENARLNA KILRIEIDVAKEKRLAEFEKAKSFFNKAQARFERNQSSVVTPL TRV_01028 MRVSDFVQGGRDSNGIEKRLPKPVQNSRERQVELAKIKLPPAPL GGLHGFNRPSINKEHRNSLPENTTSNGLPGKPPQDVNTANQNEGPGDIFDTDLEGVDD STTTFSVLRDTEAGSVAGNPYYAHEDTSRPGTAVAQNNGGYKSIAERIKELDSDEEGN MQGTNDYDQAHQGQEGFREQAGNDHREHDSGWEANNAHSTKETLSWQKIEAILREDTS SPNRNVDGEGTSHPNSQNLYQAPIGRDSHPNGASSTTQPPQNNHFEVPKITPRPVAQR LSTRNRFAARPHFAAPDPYSGHAEEPNQEVEENLVDQELHHSVDDQSSAHNRGAFDTA TDLSAVDYSDDDGFEEMARHEEHVSLHSPHLSTVSAISSKRPYSNFISDYPPNILETK AFSDLQAESFDFNPTPVQPLFQHDSQLSLKDKLLRLKNLTDDQRRVFFSSVTISEWEE CGDLLVDEFCQMLQKSKEVRQARRDVAAIFEAEIKRRHDAVEAEGNAIKNRLEDMKAG GLGVLKRQIP TRV_01029 MGQAGSSQRTSPGTTNDGDQPRYSYGSLGSTSNNNSSSSNGFPT PPTSTRERMRNSAHVPSWARSPDNSRHESRSNTSSWVPDGSLEEFPAPAGSVTRSSSA SGNSRQSRMSRLGTRILPNSVLRNLLNSGEETLAEGRAHRDGLLSRSSARSDSPQPTP HLPSFRTLSTRGIARRRSIRGPYALPRGESALLPDSPPNPTFLESPSNSRITDSPRLS WRYRTRLSHVRHSISAPISHMFNPAYPSQETSPAPTRPSRAGLSDDSDSPLNLPSSTE PNMNVDMDVDEAHGPDDSDSRPRSGPSPTPGYHNASNQRHSTIRSRATRLLRREEQTP LSRVLQLAATAIAAQLSGNTSPAVPSIQSVGADGFDGPLESLMSGLQQVSANQETISN LDAPHDPNVPHVNFLRVFRFVNQDSPSQQNSSEGSEDNTDQMDIDGQGSTDGHQERRL LTLVVVGVRAVPPANGAAGDATTNNRLNVESLLRLPLLAPGNFLRNDRNGSNFLRRSD GRSRFSPRRYSVGGSTFPANYDSQRHFRSQNSSRRPSDVGSSSDLASSLPTILSDSPQ GPNPPPSTPAEAGLSRVSSAVNTPSRRPSSASAILPQLNEDGTGESGDPLEEHPMGFN PARQRRRSDSEAARHRGLGSGAARRNGVVEPDDPSPSGGRSWLIYVVGTNLAENHPAF AAPSLFTDNPTYEDMILLSSLLGPAKPPVATQDEIASAGGLYRLVEYSGALVAEIIGE ETRENIPIAENDRCLICLCDYEAAEEVRILNKCKHVYHRECIDENQMLIHL TRV_01030 MDNRLRLQRLLQDLCSQAPEDAIGHLLALLNKENGPWPFRDLIR SYQGLWKALPEAVRDGSDSKWNTTIDLLKLRLKHFAPNMEDLLHHKSLRPALIDTEAN QEISHAVLHGFVKYFDLGLACSASRKPRVMVILPNGPLLALAVLAVANRYTLVPMARA VTAEQLKMDIKAVEADAVLMLEADVQKFQVESEIATFIVKPQDNLTFRVSRWNDAGSS TIARVRPNGPDDLAVILFTSGTSGTKKLVPITTFNLLASIIFTTDSLGLRDTSSCLNM MPLHHVGGMVRSLWAPVFAGGTTICCPTFDPSFFWDAVEKWCPTWYYATPTMHHMILA EAENCQESIHKSSIKFICNAGGGLPPTLAEQLHSTFGCVVLPSYGMTECAPIAAPPLD YDLTRVGASGLPAGPDLAILDISRPSRVPTGATGRICVRGLPVFDGYLTSTGIDRSAF DAAGWFDTGDLGRIDEAGYLYITGRSKEVINRGGEIISPLEVEDAIISASGDPSSVIF GRVTETMVFSTPHDVLQEVVGAVIVTPAGRKRPDLRQIHDASKDRLDQPKWPTILVYM DNIPKAHNKLRRIQLSRRLGLETLTDDTLPSDCHYEAICPPPETSLDVLIDQRRCAIQ HEVTKAHLSEISGISNVLIRTNPIDGYLTAVLFNDIPNQLLPHEISKHLRVLVDGYLV PSSIRVVDGPAPVDSADNIDEAAVDAIIRSQAQSSTSPIEQRVCGLFADALRLTLGDV TPTTDFFLAGGDSLTAGRLVSRIRQEFSVRLASDVLFRHSTVSAISIVVEDAMVQPKA EEKKSEDLPGCLETYRSTNPAILLLNLIPLGVFSPILQTLRWSMFVYILIQTFHWQIR DTVIGRLFLILLSGVVSRQVMHVFSPLLGIAFKWLLIGRYRAGIYPMWGPYHSRWWLT QKVLQVCGKGIFGHFGFTRVLYYRALGARIGRNVRIHPQASLGEYDLIEIGDNVVLDD CSCRPFSAERNTSMLLQPILIGADCTVGLKAVIASGTDLPQGACLGPNTSSWEMEDAQ ESNRELASAQIPQPHWIWYVLVVGPLSLLVSFVSRLPAIVAFFPVVMKYRQVENDMLR QQLLWFVSPSRIGYYALVVLAGAVVGPFSWFLAVYLVKLGLDLVCGRPRPGPYNLHSQ RQMVRSAVLDSIIPRGDISRLTRIIGTHYELVSIAVRMLGGRVGKRVYWPGTGIAMVQ DYDLLEIGNDVVFGSRSTFVTSDGIGRDRIVIGDGAFVGDHVVALPGATVGKRTTIGS GALLRRNGSYPDNTTWTGSKHGDAIQFPQNNSPRNQSQESLDKMDLKNDDNDTISPFG RAFYKGQASYYVLGIGPIVAYSTLAAAIGAVYSASSILTGLLVVSRLVRMDHMMAGRH WWRPFIFYAAFTAVVGVVTLVQAAIAMGIKIAAKWIILGRRREGFYPWDRSSYCQRWQ ILLTIERIFTGYSGRMNAMVLLTGTAYMVQYYRALGATIGADCALAASGEADLLMTEP DLVTLGNRVTIDHASLVGHLNTRGDFELHPLQVGSRSVLRTKSRLLSGASVGEDACLL EHTLVLSGDHIDDGCTVQGWPAEPFMDSRVGHSFHLP TRV_01031 MGPNAEPVSSTEAMPLDGLGKSRSRLRVATVLVSLFSTLSISAL NVTIVATTIPTITADLHSAAGYSWIGGAFTLGNTAFLAVWAKLSDIWGRKPILLTLVA LYFCSSIICATSRSIGMLIAGRALQGMTCGGITPLVMITISDIFSMRQRALYLGFLQA IWAVAGGVGPVLGGALTQYVSWRWIFWINLPVAGTAFTLLLLSLDVHNPRTPFMEGIK AVDWFGSIAIIGALSMPLLGLNFGGELFPWNSPRVICLIVSGCTMLGIFVFSQARLSK YPLMPLKLFQRKSNVACVVIGFTQLFGVQAAEFYLPLFFQSIWEASPTRSGVLILPMT ITESVTGIICGLIIHRTGRYIEIIRTGTVLFALGIGLHIHFSETSSLAEIAGIETIAG FSAGMLFDPPLLALQAMVSQDDTATATATFNLHQNIGSFMATVLGGVLFQNGMRMRTQ ALKNAGLPETVVKQLSGDAAAANVNVIKNISDLTQKLVIKRAFASSLRYIWVLATCLA ACGGLASFLISKQVLNTEHVETETGIKKNVPVTAELSRTQVIN TRV_00980 MAQIKGKKEKKERNEKRKEAIIHPSPSQRRKRSFHTGGDCERPY ATYSPGKELLLTSQIKNPCSRSSRRGDHLHGRERATIGLAVF TRV_00981 MDSLRLLHRQLLSIRSTNVREVAALLAVPVELRSYYCVEVAAEY LLTLLYLGCGKGKRECIYPPPQVPKSTKKHSVKVEEPCPVIAESGPEGGEVEKGQNTG QGTLSSSQRAKSPDNTGVRHTGKTKRKSPGTRRSTNASHKQKSVNSEVWTTSQDTSAS PASDTTVHSTGSHSPPAHADIYWDQFDDNPDSVSSIADTPGLSDDIKFFLRYHTQHIT HSHYMMKSVAAKFISEDLVKYALEYEPLLYALAAFSAYHYSIKHPDGRLYTFLQYYNK SVSSLLSSLKSRQPHRDATILTILQLTTFEEYVGDWVNLIDHHHAAHQMILQMYSPDT ILDDRFRTHIIHWNMRYDVMAGLMAGNATILGREWYILAEKQAYQEAADDPDSLSKQL FAFCASNKRCAVEMASLVANVSQNIISREEFLAESRRLTETMREMIHKVNEFNDPNYL VMDYPEKIPLEADDIVDPYVPGLVHTGPFSEVDLVKLELRSSLFMYNC TRV_00982 MENRGKRKRDDADGQDSTPLVKDHGGTDNGALAKIGTSNTSSHS EPSSAIPWPEEFKALSRIHRALNVVYTFCCTRQHYTTTFEKIRSSVESQTGGRPLTIE DVAKVKFLIPQSVRFELSDTGVISIMGVAEARKKKVPEIWNDWEAEGMGSNGSHGGNK SPAAELLFEFVDGDLKPESQPGVGLGGYEDIRTPVYSQKKMVALIEKRNKKFTTAIDT FLASCRQENADPVQRLEAGKDVYMPLSPDSGCNTPAAAKLPAQIPKIRPSIAEIISEI KEQSWYYDQIVKGGYRIFDAKKPVFGDLDFLLSQNLVNALYNTRGITQFYSHQAKAIN DLHDGHNVIVSTSTSSGKSLIYQVPMLHELEKNPHSRGMYIFPTKALAQDQRRSMLDM LKYMEGLEHIMVETFDGDTPMASRNAIREEARIIFTNPDILHVTILPNESLWRNFLKN LKYVVVDELHVYNGLFGTHVALIMRRLRRICASIGNSDVKFISCSATVANPEEHMKAI FGIDEVKLTDIDGSPSGRKEFLCWQTPYKDPNDASSGRGSSIDESAKLFCQLILRGVR VIAFCRIRKQCEYLLNAVKDEFRTLNRSDVSRFVMGYRGGYSPQDRRKIEREMFEGKL LGIVATNALELGVDIGTLDAVITHEFPHSISNFRQQSGRAGRRNKDSLSILVAGQSAA DQHYMNNPDDLFTKPNCGLLVDLENELVLEGHVQCAAFEMPIRPENDSTYFGPQLAHL ADTRLIRDDMGFYHCHERFRPNPSKFVSIRSIDEGNYAIIDTTNNRNVVVEEIDDARV FFTVYEGAIYFHQGNTYLVKELNTTKRFARVVRAHVDWITEQRDFTNVDPIETEAIRR ISRLSDYRAFFGKIAIHTLVYGYFKKDRKGRILDAVALDTPPIDKMSKGLWLDVPKTA LEILSSHNLNAAAAIHAAEHAIISLLPTFLISSPGDIRTECKVAKKELGPKHQQARGS NRDQDPRTRNHFFHPLAPPIPRRQRQARLVFYDAKGGSSGSGITSKAFEFIDSLLVRA DSRIDTCTCTAAQGCVECVCNELCPEMNLVISKAGASVILKCLLGLKVDVDSLPWGTE LDRGYEPGPGSELAQGLETIIEAVEVAGASGKEIRVYDLDT TRV_00984 MKRTLLRGEISYSSAKDKEVNILHRLEYPEKQNQFITDLVNREQ WIKEVVAHHLNITSSKCHVADPHGWLWGSFNLCIPVTIHNWIREQPGNRVLLRLPLPY KVGESFRPGNMDEKIRCEASTYAWLEENHPHIPIPRLYGFSLSSGESFTTRKNLPFFS RYYQYVRRQLYLWASKFIGSISIIPSAYIRHQPPAISPSSDLAYLLIEYIEPKQGTML SNTWQTHSNNVALRTTLFRSLAHILLSFARVPLPCIGSFTIDNNGFISLSNRPLTLEI QELENERIPIDIPRDYTYQTSDSYITDIVSLHDNRLLYQPNAINNGSDYIQQIATLSG IRISIPFFFSRELRRGPFIFSLTDLHQSNIFVDQQWNITSLVDLEWGCSLPVEMIHPP YWLTSEFADAIDEDEYKKMWTEFVRILAQEEESAMKQQHNYSMENTRLSTIMTKTWEM GTLWYSLALRSPAAIFRLFLDRIQTKLGKDNYSNEEYGLVMAFQWRSGIGNILAQKIK DKEAYDVDLRRAFFPSETSDESLPTLRVEGILDGYSIV TRV_00985 MASPDSLRQENGALKEPLHVDMRACDWNNTPGQSPPPQHQSKQD VDPDTGDYSEGTKSSTLPSDPPERIITEFTREKVCSDTHKDGGGERPVRQKLKETSIA GTGTANPDQVSEQTKMGEENGELPEGSMTDTNTTTERGRLKKKRSLDDLMNDDGQSGH YEESGHRRKRSRDSKMETTPEREAEPETSTAELEKVKSPKKKRSRDQFDKDLDSKAGD ESVKKNDDYTADPSKENAESESLGASPNSRAEKGEPEKKRHRDNSQDRHTEEPKDTTA ASKSALPNPFSNTSATSPFGSLGASKQSTTKPVEQSTKEQSTNPSAFAASKLAAFSGS ETSPFGAIGGGASIFKSKPSTKGEEDSKTSSTGSGASPFAAAASGPSPFGASFSGSAF AKSSFASGFAAAAPKPGERLTSFASPKPANFGSATKPKAFGSKEEKNDDDDEEGEDDG EGDEEKGETFQGLEEEKEDTRFFKQETETGEEDEETHFSVRGKLFHFTGSEWKERGIG TFKLNVKKLPESAAGDEEGGGAAATKPTKRSARFIMRTDGVFRLILNIPLYKGMKVGD AEGKEPTGKHVQIAGVEDGRTVPLLLRTSNADSAKDLHAAAQEILHQM TRV_00986 MLEEETSNLHAIGVSWAESTKTRLEDINVINTWPGHRDSTWKVP SRIAYASENKNKIPADQWGFQVSPNLMCYSWTKLLLDKSAQLTGYDDPSLKDLFGSGL MSLPKGKSAQSVIEDYFRELYVYLIHQLEKKMGAGVFNATPMEIYLTMPAIWSDQAQL ATRKAAEAAGFGSRPYDSIFMIKEPEAAALSAIKPHLGPNAIDPVQVSPPIHNNEIHS LIANQTIFLNVGGKCGSTYIDRNFNQWMQETFGDEYTSVPMRLRGPGSRFMNSFESAK RNFGGPNDDRGVEVGPIRMDVGPSVHYDDDELVVKLSKYDMQRLFDPVVKEVIALVKS QVKAAEKKKKRIDRLILVGGFGDSDYLNTKLGEWCKGKNIGSVTCPPDCQAAIVKGAC LRGLEGLKPVITHSRAHYGWSWGKRFRKGIDPEVNAYTDPLTGEKMCSGRMEWVIPKG KRLDENFKMKADIQSTFKLGQPLNENITMFSCTMDEPPEREDHHRVVKVGEICPAFST STFSDFQTRRSTSDGQTYHRFSYTIEVNFRSKGGILSFAATSEGRVIADAALGLLED TRV_00987 MTELQGGQPGSLSRLNDPTVVEIKPRQHENGKPLAEPESGPKPP AKNDEQPHNGEPTGTDNRGSVSADRPQVHGIPDGHPYDRGIRVTHICCDVESSSTGSA WFSSRRSNKSREQAMEQEIHQLRSEVGRQRQVITELQEGNSFTKDENFQLRGKIRELN GLIRRTQERAFGEIGQGKWASQPDRDVRDDLSLFQRQLRDWSKEYALDSASSLQALKM SEEEKDEFLCNLSKVVTLSENGSIPATLRSGKMERRLPSILLNALVAHDIYTQIFDDP FYFLGQCSSSDDQDSLVDGTPLVNETLNRIYAELKNLDEREAHIWRSQLLRIYNPPEG SSLGEKEKLAAKKMHSRVQRCSDYFATIFHTGPAKYLFRKISSEQETTRLNKLRDIFI DAGELSSRLWTQRTYMKSQNLPSLKLEQFKVSSPSMEAHPSQGLDDDEDNKLDGSRVE VVIHPALLAFGNDDCENYHIARVWAKAVVLLRATR TRV_00988 MKERQKVFIAVMGVTGSGKSTFIQTATGSDDVHIGHTFKSCTAA VSAHTLSLDEYDVTLIDTPGFNDTFRSETEVLKEIANWLDYTYRNPPHVMLTGIIYMQ SITDRRMYGSTLRNLKMFRQLCGESPLRNVVFTTTGWGTAENSGELSKALENQESLRS DPDFWEPMIRRGSTMAKFEDTRASALAIIMSIVERNPILLKIQEELVDENKNLIDTAA GHTVNEEMKRLEEKYTQDIAKVQKDMEEALAARDTEYHAALQEAKENYERLRDEAQRA RDSLQYERRNEKRLLTNEIEGMKRQLERDKKKHEEYLELKFKAQQINEEMMYEEIVKK LRANGHLLRDEERQVLEMKIQELEAASVNGGNAVNGKKKKGKGTKLLVALAQVLGSVT MGLLGFPMLFGDPIGTLASIF TRV_00989 MASLNLIPLSTLKRLGLAALYIGSALAWPEPHGAPSRNVPRDDF PMFNPLPSTDLNTRLIRCEYPSMRGWAYSNKKNGDWLKYVGSKPGEITEYNIDTDNDK YVPQGITRKYHLEVTDESVNMDGTMFDQAKVFNKQYPGPWIQITVTNKLKHNGTAIHW HGIRMMENMFNDGVPGVTQCPIPPGSSMTYRFKASQYGSSWYHSHYSLQYADGLFGPM TIHGPTSAGYDKAVDPLLMTDHLHSSAFEEYHKELEGKPPAMDSIILNGKGDYDQTGD LKKKYRTVLKPGKKYLLRLINTSVATTFIFSIDGHKFQVVGSDFVPIEPYVTDHIAVG IGQRYHVILEGLSEEEAKKNGRYWVRTTPAKGCSKFAPGRGTDDRTGVIYYNKDDGVS PTTEIGAFSLDCRDEPLEKLVPKVKWTVPDPGLNMVGAFEKPADVQLGKWHRPGYPDT DNLVSNWEFGPSPMWINYSEPIIKNLDKESFPSTWVVYPADDYVNDKWVYLVITGKKL KPLSSQVAVAHPIHLHGHDFVLLQQSMEPWDSTEVNLKLDNPPRRDVTLLPAGGFIVI AFKPDNPGSWLLHCHIAWHASAGLALQVLERKEDLKALTLNNPDFDFMQENCRKWDAW HSDKTNYWNPSGHFQDDSGV TRV_00990 MSWLMTVDVYPMPTLDIFGSNSCVIAIEADDPLGSTTDRTPLTG NISSSSAAGGASRNPVSSSYLTSSIPGEDRRAPQNTIDESVWDTLSRDLLAVWEKMRQ VLWPQYLLGGMMMRGGGGSGGSAERGEATGFGSESIGALRGLVSRLPDADVVLQGGMS EGLRNWDLWYGFLDPLIASILPLANVR TRV_00991 MVLSLLSNKTPRNNKRTPAPLSSSGPPSTASSSSRSIKVVSPAT AITVKLSPTASSTLAEALRSDPFAPGSQSNTNTTTTTTTTTTPAADILTGSHDTEDEN HRELRERNEALNVLARIFPDIHPEVFRELLTRFDGKSRLEVSVEQLLRYRSEWVKGRW NVSSASGGTGTLSTTEGGPDARNKPVPREELFRSDQYKDAARAALAAEFRSLSRSTID AVLAEGNFSYVRARPTLLDLSRKSWRVTLGNMFSFKKKKAPHKNDPPPYLVWQRSSTD EPEPVLRSTGCAELDEEIHSTYLLPLVKQRRETQVEEDFRKAEDLNEVEAKVVDALYE CDCCLSDVTFEQISTCSEACHVICFNCIQRTLQEALFGQGWDKSISSEKSTLWCIAPF ANGTCQGTLDAELVKRAILKERSGMETYRKFEARLASESLAKSNLKLIHCPFCSYAEV DPVFHPSVQGITWQFRKASLATSIAVSILLLDIFQFFLLPLLLLLCISPSALRTIFHR SLQNICLRRRSPRFKCGNPTCSRASCMRCHKAWNDPHTCHEPLLQSLRTTVEAARTAA IKRTCPCCGLSFVKASGCNKLTCVCGYSMCYICRKALGPKRRQQSNGRNNDGGGGIQL LDGAANAESDNDEIGEEAEGYKHFCEHFRIVPGSACTECNKCGLYQTEDEEAVAQRAG EQAQREWRIQQGMEVNTEDTRTTGAAVTSHKRNPTGATPKMARVYDWDLQFDVTSGSR RFGWEFWTKYLWQNQRWKMEIQLLVDNAIDTLVVVDAM TRV_00992 MSLRFDNQVVVVTGAGGGLGKAYALYFASKGAGVVVNDLGVSHS GEGQSSKAADVVVEEIKAAGGKAVANYDSVEFGDKIIDTAIKTFGRIDVLINNAGILR DVSFKNMKDQDWDLIDKVHLFGAYKLYGVANRAEQCARAAWPHFRKQKFGRVINTTSA AGLFGSFGQTNYSAAKLALVGLTETLAKEGLKYNIHSNAIAPIAASRMTETVMPPELL ANLKPEFVVPIVAYLVHSSTTETGSIFEAGAGHVAKIRWERSKGALMRADDSFTPGAL LKRWEDVNDFSKPTHPTGPADYVELIQESMKLPANPPAEQPDFTGKVALVTGAGAGLG RSYALMFAKYGASVVVNDLMDPEPVVQEIKKAGGKAVGSKASCEDGPAIIKTAIDNFG RIDIVINNAGNLRDKAFTNMDDKLWTSVVNVHLRGTYSVTKAAWPYFLKQKFGRVVNT TSTSGIYGNFGQANYASAKLGILGLSRTLALEGAKYNIRVNTIAPNAGTDMTRTIMPE ELVQALSPNQVAPLVVLLCSELLPEPTTKGLYECGSGWTGKTRWQRSGGHGFPVDAEL TIEDVAKTWSKIIDFDDGRADHPDDTLAGTESIMANINNKVGESKKEEAPSGGDQTIL DNISKAKEMKAEGTPFDYADKDIILYSLSVGAKRTQLPLVYENHDEFQALPTYGVIPW FNTAVPWNLGDIVANFSPMMLLHGEQYLEIRKYPIPTEAKTTTYPKLIDVIDKGNAAI VVMGYTTKNAKTGEDLFYNEFTMFIRGSGGFGGANKSTASRPAAATAAYKPPQRKADA VVEEKTGEDQAALYRLNGDRNPLHLDPDFSKMGGFKTPILHGLCSLGISGKHIYEKYG AFKNLKVRFAGVVLPGQTLRTEMWKEGNVVVFQTTVVETGKPAIAGAGVELVSNPKGK L TRV_00993 MNATIVDDKSEHCIPFLLKLLEAHRLKYGNSPTAPPFFVSTLRS TLSTTPYNLPTITFSLDDIYLTHDDQRHLAATHPSNPLLQHRGQPSTHDIPLGSKVFD SLRRNEPTKIPSYDKSAFNGQGDRAPEDTWEIVNDTQNGQPLIKVVIFEGWCVGFRSR PESEIQAEWENAVRRREQDDTYNGQLGHVKLEDVMTINDSLKKYDAFNSDAEDTHNVY YWRQQQEQTLLATKGKGMTSDQVTKFVNGYYPSYELYTNQLRKGVFRPVEEKGDEGWK GRQLRLIVDKQRRVKEVLTI TRV_00994 MSNDTNLLDASNSWTSISAHGNGSGSATSTVRSRTRRIIPSFDG ADDDGQPGGLSGNTSQRSLHTNGGTSRSSPYSSRGVSPIPSKRLPRTGGGGTGLHDGQ SPSTVRGRGAGFGGMTREDTRGVGKSNGFATDFLESPWSSLQGLASNIIGSGSALING EPVKPFGPRSRSVGGELARNRRPGPTSWGPPRSIIGEANPDAQRERQELIQAKKREAL LQANGDSFPDSRGNYKRRDSGDGFERSTGTSTPAQEEGDALVYIHPVQSSDTLTGVSI RYGCELAVLRKSNGFWPSDSIQSRKSIVLPVESCTLKGLPIPEEEVRKQNDDTKEDDP DHDTSSLVPDSNASSSCDFGYGDTQLDLDTSNTDNESTSGSPWTHHSWVKLDGFPSPV EIGRLPRRSLGFFPRARRKSQLRLSPYKDNPGPSSSTQDLPGLQSPSRKQRDSTSSRR ISDISRDGSMTGTRSPRYSSSMQHRRQRSITLSGPGGVGTLENATCPGPPIDKFTTFV NSHLPTLTIKPAPNDAAPTFASLQNLDRASLDSSDSAVFSSSSTAGLENVGGAIEGWF RKVATRAKAGINEIQQQQQPLSQRLANLGLAGASGDLIELNDAPESIKPSHSPSTIFM DGPSETQATSSSRSDTPAMRRSAFNNDPSSHADNRQKKMD TRV_00995 MSSATADPETDTGQKVMADSEVQQQHQQQQQQQQQQPQQQQQQP AQLQQRESLAGKARSATSGTSPRGDGGEDGGSGSKQTTTSSGNQMSFRRLFGGEFAFL PLEPRHLGLRWAVLVILSTNARRFKSSANMMPSCLGVPCTNCVAFSIECKIPSPRRKK NQAKSKDGSEERREQGNEDDSKDEKAESKVKPADGMPVTTFTEAQQAQQASHNTSYAQ FMKPKFARAPITEAGRVAYLGESSNLTLLVQDRHGTTDVVHYPLPEGLRGSRSRLTEL DNFEIDILNQRGAFLLPPRALCDELVDAYFKWVAPVVPLINRSRFMRQYRDPKNPPSL LLLQAILLAGSRVCTNPQLMDANGSTTPAAMTFYKRAKALYDANYEDDRVTIVQALLL MGWYWEGPEDVTKNVFFWTRVAIIIAQGSGMHRSVETSQLSRPDKRLWKRVWWTLFTR DRSVAVALGRPVNINTDDSDVEMLTEDDFIEDDADGPADYPPDSTHVQFFLQYVKLCE IMGLVLSQQYSVASKSRRTNAIDLTHSDMALADWLQNCPKEVYWERSRHHFWSALLHS NYYTTLCLLHRAHMPPATAGQNAGNDGISYPSRTIAFQAAAMITSITESLQKHQQIRF APAFILFSALIMHVYQMRSSVPSIVATSQERINICMSALKDVSKVWLVAKMVHTLFES ILGNKALEERLQKAAGKRHQKARRQQQQPQPQPQQQQQQQQQQQEALKRPDPPKRKFD EMDITMPNGPPAAQVSYERSRPQTPAATPSITPSQLPGNVSGPAAGNTQQHQQHQRMP TDNTFVGTGNGATGIGTGNTRPTSPFNPALSIPQSPPDLFLVTRNSPSISQSLWENFQ PDQLFPDGTNMSFPGFSPSSTNVDPQLQMPSLNNTAMPMGMPNQTHLSPRAAQSPTLM AGLGRMSPQHQMGQGVPMQGQWPMQTFDPNVPMDASSQDDNWSSNSSASTVSLIYLLK ICKLPPVDDLQGYTLFLALLYTVSLSLSFTLWSIFKWERGELAFSSVFD TRV_00996 MPQKKQKNENEERKKSEKRDKKEKKGRKDRREEEKEKEKKRRRD ETKRLRSAGKSLG TRV_00997 MAVEVQSTWEDASYEIGWWPEDGGKLASSSIHSLSMQLRHYLAN GYGYPNNTVLLFGYSNQAAVGLYIGKGLQNEGVGSFALKALGDSVLEPEVKTSSVAMQ LCHAGYDADHIFGFIATSNGSFTPIQRALRTWARAECLSITKSKNITGPAYFTTPPLG LAIDSALRGSNATTTTSARNDLERLSARADGRTNRVQTGESCGSLAKKCGIADADIIK HNSASNFNSVTPGTLPHFKPEPNPDGSCYTHTFQAGDSCSSVAAANGLTNDDLEDFNK KTWAWNGCSNVWVGTIACLSRGRPPFPAPIANAVCGPQVPGTKVPTGDSDIASLNPCP LNACCNFWGQCGTTEEFCINTGTGVAGTTGPGTNGCISNCGASIINGKPHESFKKLGY FKGYNFSSHSSVYQNTLQDEASQYTHLHFAFGSITPDYEINTGDTVTTDEFNSFKLIK GPKRILSFGSRTISNDPGPYTIICEGVTDANRLKLATNIANFIKKHGLDGVNIDLEYP SAPNLPAIQSRSKDEGENYLAFLVLLKDLLRERSVSIAAPASYQYLKGFPMDRIGEIV DYMVYMTFDLHGQVSIPIPSPWKLITKAGVPSNKVVVSMPSYGISFAMAATGCHTPDC LCTGGPQSSNAAKDVCAQTARYIANAEIEEILKDPGRINQHYIDGASNSNILVYDNTQ WICYLSAEVKESRTALFNSLNMGGTADWAIDSASYHNRPANAGGWSNFLQKRRPGEDP YGVEKRTGNWTELTCEDPGAADRSSLPPSQRWGSLDCNHAWQDAINIWKTVDKPRSSG ENKAFLASISITFSGPEGTDCGKLYDASCENTRTCDTFHGKDTGPAAFLIWNSLVMVH NSYRIQLTDAKLPMQMYNDIDKNLQRATSDLVNSVFDFTDKFLPTLPADNKWLLAMID HLLPIGTAAIGGFVFHSWKPTRDDELKTTTSLLIGQSTTLAANLLDTRKIDWSTATQD EFSNYLGETIYAWVNITAVTLRDLFNGSDASIATLTGIISDGKLIDGRKDNQDDVSVL NTRADAPMYDAGIARIVFGFAIPSIWTAAGTFPFVIDSGYPCGTIDPLDSFLSVETMH ATASCVDDKLYYLASPKGDAREYVPYPRGSGGYYRNNKFSAPPGVEFLNHGNSFKGVT LDDIVKGAVHTYKQNGGRNGGKVADPGDAGTRKRLTNGGSPLVSDCMTIIKNIEGTDG QWTTPVVGKSQRRLVDFGTCKFGVEATKVDGNIAFYVGAQDIVDIITDAIKQFAKDGR VAAKGDMSCRGNVHGQNVKWGIY TRV_00998 MADFYRGFHFVGWLLLIACSWAVDTPTPTSPQTVEVDLIFPRNE TYAPSSLMPMVFAIQNFRAAKLLLLEFEYTLQQDPEVPPGLVNGIRNIKYGNYTGSST HFEYDWTTRLNNTEGTWLFSWSWRALNCSQHTDADPEYPGPQKPFHLESDVKGHAVWF TTKHGAKQPDLVTATRDGACAESQADTMNITEILDIPWSDSYWGSQTACPLLSTVTPT PNPCGAKVDSSAASSISYAIQSSACLSAVTPTVACPPGVETGNSAHHAVQFSVSGVGL FTATLGWLIYLV TRV_00999 MPKRKAESKLSSLVNGDIEDDDLSRVEDDDNASIEPPPAKRQKG RPKAATTKATAAATKTTRKTRSKVVVGPKKRGAAAGRKKTAKVVEEPPADDVDSAEEE NETLGREGVSEDELDSPETVHNQESQEKTRTRGRAKDTETIKDGEFEYTPTNTKSAAV RGQKGKQTAKQAAKEPSPPVEITPETVKSTSRTSISAGKTQRFTPSGVHWASPVKSTN VLPQHRTSRPSGIKPWGSPTKGRETGDGEVALRLKLGEMTKKYESMEGKYRALREIGI VEANSNLEKIRKQHEETTAASKQLINSLKSELSKQSSASKQTRDLQKQLESRNEEVAQ LRQQLEEMKSGLNKAQTEAKSLQTKLTAARNAAANAEKVATARGSAVRSGAVTRDTAN GLLESAQVAQLKEDLYSDLTGLIIRDVKKRESDHLYDCIQTGLNGTLHFKLGVSHNMD NRSTASLEAAEFHYIPLLDENRDRDLLEILPDYLSFVLIYSSIHRHFPQFLITSSSLR LFHLVFLCGHPPKVLHPFLDLSLTKNFAIFTFFGAGRGALCPSIQSEAPAQSKASTFF RTLIRCPTLKKKLLLEGERKKSCAAMSRTGLFLALAVLFHLIYTYSIFDIYFVSPIVS GMREYGVERAPSAKAPAKRLVLFVGDGLRADKAFQYFPDPSPPKTGCSKDSEDNVTCP EPDLTPKPLAPFLRSRVLDHGTFGVSHTRVPTESRPGHVALIAGLYEDVSAVTTGWKL NPVNFDSVFNRSRHTWSWGSPDILPMFKEGAVPGRVSVDMYSEEAEDFTRDATFLDIW VFDRVKEMFAAAREDKELDAQLRDDKVVFFLHLLGLDTTGHFYRPYSKEYLHNIQIVD QGVREITELIEDFYGDKETAFVFTADHGMSDWGSHGDGHPDNTRTPLIAWGSGVAKPV KSKKGVVAPGHEDGFSSDWHLNDIKRHDVAQADVAALMAYLVGLDFPVNSVGELPLSY LDATPKEKAKAALANTQAVLEMYRVKEEQKKATTIKYQGYSSFTQPQRSPEAQLAAIR KLISTRKYEESILQSRRLFQDALEGLRYLQTYDWLFLRAIVTAGYLGWIAYALVTVID LHVLPTSPEPDRSVPSFMFFSSVLMVLYSLLWVQQSTWRYYAYAFFPVFFWEEVFARR AALGAGRKILLGHVKSFTGYAMFLLQSSLYIGVLLGLVQSYFNREIYTYCYLLGSVWP ALYGFEFLNKNKLLSAAWTISCICMSIFTLLPVGKQDDADTVTCGGILMFATGMLYLI FEESILSSSKIGTRSGSTFNIGSRIVMGAQIGLILLSIIVTRSSIASLQERAGLPLGN QVTGWIAMVSSFILPMLHKLFPTGHYFHRLILLFLTFSPTFIVLTISYEGIFYVVYFA TLVSWVRLEYSIYKHTLPSIPTRPTLSREDTPRPTSPGFKPATPNTNNSTYRPLNISD ARIALFFLFLLQSGFFSTGNIASISSFSLDSVSRLIPVFNPFSQGALLILKILVPFAL LSATFGILNRRLHVAPSALFMIVMCISDVMTMNFFFMVRDEGSWLDIGTTISHFCISS ALCIFVALIESLSGVFISGVELDGYRAQSDLGEEKDTVSS TRV_01000 MASNGARRWQQLFQELILIAGTSLSTYFVVRFLLSKLELDPDSQ KREEAKRKSAAVLKRLDREEDSDNDSSGSEKKNGKRRQRKEKLVLTQYEQTIAMDVVA PEDIPVTFEDIGGLDEIIEELKESVIYPLTMPQLYRTTSSLLSAPSGVLLYGPPGCGK TMLAKALAHESGACFINLHISTLTEKWYGDSNKLVNAVFSLARKLEPSIVFIDEIDAV LGTRRSGEHEASGMVKAEFMTHWDGLTSANAMGQPQRVLILGATNRIQDIDEAILRRM PKKFPVTLPLAAQRRRILNIVLKDTKLDKDNFDLSYLVNVMDGMSGSDIKEACRDAAM VPVRELIREKRDAGAMIHSVNPEEARGVRTTDFFKKAGAVKSSIHSTTSLRKSLSEKD WSTESGQDEMVDASAIIPVAEGLD TRV_01001 MSESGPPPPGATAAATAGKDGSAVKKAFPHVDLAGHDLPPSPAP SSPRAGRRYALATELVYTEGNDQFNASSVPIYQVNITTMISSYLSHDGYSLFCCFTNP LYHTQSATFKQTSGGGGGEYDYTRSGNPTRTHLERHLAKIMSAQRALVVSSGMAALDV ITRLLKPGDEVVTGDDLYGGTNRLLKYLSTHGGIIVHHVDTTNPENVEQVLNSRTAMV LLETPTNPLIKIVDIRRISTVTHAKNPGALVAVDNTMLSPLLLNPLDLGADIVYESGT KYLSGHHDLMAGVIAVNDLTLGDKLYFPINASGCGLSPFDSWLLLRGVKTLKVRMEQQ QNNAQRIAEFLESHGFKVRYPGLKSHPQYDLHWTMARGAGAVLSFETGDVMISERIVE SAKLWAISVSFGCVNSLISMPCRMSHASIDAKTRKERAMPEDLIRLCVGIEDADDLID DLRRAVSHYLPFFHKNLMY TRV_01002 MNPDISPPPKLERADEPNIALDNDKSPTSVDVERDEADEVLRSV QAYSPEEAKRILRKVDYRLIPLLTLLYLLAFIDRGNIANAKIAGLEKDLHLVGYQYNI ALTLFFVPYGLFEVPSNIILKTLRPSVWIAIMMLGWGTVTTLLGVVQSYQGLLIARFF LGVAESGFFPAATYLLTIWYKRYEVQHRMAIFYGSASLSGAFSGLLAFAIQKMHGIAN LAGWRWIFILEGLLPVAFSIVIWFILPDSPERAKFLTKDEKEFIINRLSLETGSGQGR VTNNDKITPRHVIAAFKEWKIYCAILMFWANTIGVYGFTATVPTVINDLGYTAAQAQL LTIPIYVVATIGILVFAYLSDRYEQRTLFIIAGNTIALLGFAAQLAIPHPRYPGLTYG MLFLVASGLYSAFTPVLCLVANNLAPSSKRAVGMAILISIGNWGGIAGSNIYLAKEKP KYPTGFGVSLAICGISIITAIFLRVEFKRVNDQRDKLIAEQGEENIRARYTEKELLDM GDLSPFFRYTL TRV_01003 MSREGVESKAGAVTPVDGRQGFLEPRQLHVPETVLGGARRWNTR DLGSRLAVDVVSAATAGALICPMITIIDKSIIEKAAKGISIQSSLASSLKGMITKPHR FLTSTPFLLIYTLYSSTYLSANLIDTAVSTMEDKAYNNVSTGFMKFLTTAMVNMSICV YKDSRFVKIFGTQGQGNKPSSASAKAPTAAAVTPQIKAAAACLPQSAPKIPITSYGLF CFRDSITIFASFNLPPIVARYVPDAIASNPKSKYAIAQFAIPASIQLISTPFHLLGLD LYNRQPPGGLPAADRWARVKRDWVPSCIARIGRILPAYGVGGVVNTRMRERFMSSIDP GQRS TRV_01004 MAKDEHVAVTGDSKPVVPRTRRLTPELQKLVDREEEILDQLYEG NSVDTVDTGYRYSAYAARIRTLLLSAHRYVAYTSDIGESFRPVAHPWLVKGAYGVSWA YIFGDVANEGYKAYLRNQEILAPKSDAFRKATEAIAAGDVDVKSSADRKALEEHFMNK AALKDAEETLTSTACPKHPMPWKDPEEDTLVPWPTKKIPLSEDYRSVMAERAVFQSIA SMGLPAFTIHSIVKYSGRAMKNMKGVFFRTWAPIGLGLSVVPALPYLFDKPVEEAVQW AFHNAFLMFGGPNAVPQETLPQGAFSEAFHAAQAVKKQRQEERERRREERRLRREKSE TRV_01005 MTVLGGTAGGIYFSLPGKQSKAQAPPIKASSKDEEAFIQEFLKS VETEDSKAKAKH TRV_01006 MRTVVCHGSPYDASKEIHGSLDFYKTLLKQKSSMSWAEVCRTAL KFQPLLETTFPNYMQEIRGEIFVLSLTYYYISMLAWSGWHLCYTGSKFCSGAGVDVKS VLALNVRTEIAYGMFDDGCTAFSWRNPSASFLAQNWDWEDDQAPNLISLHIAPLDTSK PTIHMVTEAGIIGKVGLNSKGVGVTLNAIKAEGVDFTRLPCHLALRLALESSSRVDAI GKLGKVGVASACHITIADYTGGTGLECSSMDIAWLNMGDFMESRPDIITHTNHFVHNH KNGLKSVMFMPDSEARLARLRELLAQSGPKPEFERIEEILKDEKGYPTSICRQAKGEN TTSTLFSIIMNLRQLKATVIVGRPVDPQGVLELKP TRV_01007 MPATAREAVLRNETWDRGTATVYSSFLEKLLKLLKLKVKINDDD DDETTIRSKRREKRRTALSDSQIIVINPPMKQIPFPFALNVGTDIVHIPRIQRLVARS VAASTSLDPAAATNQKTSLSRFVRRILCEQEQAYFKSKFKLSSVASDVQLPSQPITAQ TSTEIARWLAGRFAAKEAARKAAPGGAASVSWKEVMIRAEAGGDGRPEVVYLREGGSV GQLGKLSISHDGEYVIATVIAATPEL TRV_01008 MVDCYRFNEQLRLAERHVQFNRDALATVICQSTGRPESDLISIT KLAEGGFNRVLQATFKDGYTVLARIPYHSMVPKRFAVASEAATLGLLHSRGLPVPRVL GYSASNSNSVGVEYLLLEKINGSPLGDRWFTIGNKTRAEIMKQIVAVETRFMSITFPA SGSLYYRKDLTSSEPNVLLPEQTTADDIAVGPIAQYEWWYKERESLDVDRGPCTTPCL SFSP TRV_01009 MTPTAHVQVLSQYLQLAPYLKIPAEHPFARPVLRHPDFSPNNIL VNSDNEIVGVIDWQHAAVLPLGLCANIPSYFQNWGDPVSERLATPMVKRPENFDTLSE AEQESIKETMRKQITHFYYAALTMKQLPDHFDAFRSYNSMLRAKLFTLAGAPWEGDTL SLKHAMIEAYEKWPMPLEKSSYPNVVNCPVQFTREEILKCVTDFAQEQEKLQEFTEMK ACANVDSVGWVPDGEHLERSRDIARIIKAGLLEHSTTELEREAIRNHFPFDDHDEDL TRV_01010 MECHQSMPVLSMEAKEWNTAFISRDPSTSKLETRFSNRKLGGVH EIWHPNSVDCLTLTIVIQLSANASEVTVSGNRDSSDASTSLGPQATAILKIARFEWEI PRIVQETRAYKLLEESGLAPRFLGHVHEHGRVIGILVEKIEGREASIGDLPVCKDVVQ QFHKLGLLHGDVNKYNFIIGSGTAKLIDFENSRAHLDDCSAMQSELDSLETQLQEKTG RGGGFMSIENPS TRV_01011 MDDIIRVCTYHRRDFDLAVTRLNPRVHDAVQDSLARPFNRPAVK TLGRMQVLPLEIVFEILLCLDLRSLFVFREVNLRARQVASAVPGYQPLITHALQAICV ILRTKIAAWYTLKDVFNVLCTRDCPQCDAFGGFVFLPLLERCCLRCLTSSPRFRVLPA AEIKKLLGISQAHLRQSVPLLHTIPGIYSMDESSRKRRMYIVAVKQVFTAYTFPRTSA SVAATAPASRAHAILRYMAGTTLPYVNIESGEIQRGLCCSGCQILIEGGTTPNPTEDL ARRDRVYSKTGFLDHFPRCPEAQKLWKRSKEGKVAVKLPMSIIRGGYFSERDVIMSYS KRKYSDLQAVN TRV_01012 MPFSHHSHSGQFCPGHAKDQLEETIQTAIGQEMRVFCLSEHMPR EKIDFYPEETFTEEECFENEAAYFQEALRLRDKFKQAINIPIGFETDWIRPSSLSLIE RSLARFPFDFFVGSVHHVHTIPVDYDGPTYRQARELSGGTDEQLFEAYFDDQLAMLQA LKPPVVGHMDLIRLKSDEPDGSFTRWPNVWQKILRNLDFIAGYGGLLELNSASLRKGM SEPYPKAEICREFIARKGRFCLSDDSHGVEQVGLNYNRVLAFLRSTGISTLHYLRYDP DDSCAETPDSRFPHTRLHSLSVEDLEQENFWKHATC TRV_01013 MGGTHEHQAIVCRVTYEMAARAREREREREMREQTKTATAKRMR GSRGRLEDILSGGLADIKSIQPLQHRDQYLHQQLPIDTPICSRRSIRQRTIDQSLRPP PLLLLALLVFLSPFPTNGILISGVLLCASVESENSSPPSLSLCPGWLLIKNLLPVEIL LLLDGQKSKEEAKSHKNFAILPSVT TRV_01014 MGRADEITMTSDAYAKEAGADIEKHNVVTAGVELKRRLKSRHLQ MIAIGMIPSSPRFLSPPCICMAGACLYVCGTIGTGLFIGSGSAVAKSGPAGALIAYAF VGSLVFSVIASLGEMATYIPIPGAFTSYATRFVDPSLGFAMGWIYWFSWAITFALELT ATGLIIQFWAPHLSVAIFIGVFWAFITALNLLPVNFYGEVEFWFSSIKVITVVGFMLF AICVNAGVGDQGYIGFKYWREPGAFAPYLLDVIGEHRVSLGKFLGFWSVLVQAGFSYQ GTELVGIAAGETQNPRKAVPSAIKKTFYRILFLFVLTVFFIGILIPYTNKNLLSSNTD ASASPFVIAAKLAGVKVLPDIINAVLLTVVLSAANSNVYCGSRIVVGLATERCAPSFF KKTTRGGVPILAVLFTSSIGFLGFLNLSNNGGTVFGWFLNISSIAGFISWSCINGCHI RFMRALAARGISRESLPYKAPFQPFLAYYGLGFTVLIILTQGFTAFIPWNTSNFFIAY VSLILFVVLFVGHKLWFRTRLIDLLEVDIDSGRLECDAEQWDDTEYPGPQGFLPAIKH RLSRMFC TRV_01015 MAKAGQKAKAKAQAKAKATATSLMFVCLLSLSRAAVEAEGRRLI SSLGTSRGPGVSFSFLGGLQLRLQPPSTSTSITAQSSVDDQLRQLTS TRV_01016 MGVTKTILRSGNGVDRPTKGDEVIIDYRGCLYDPSRSTYHNMGK HQLMHVRFVSRFDSSKDRGEFKTPIGIGKVIRVQQAFPMSKSLTSAFYCTGWDEGVMN MTVGEKCILTISGDYAYGDRYGLFPSLAPIALFSQGHPLLALPPFICPAPSLAVRQDN KRKGGK TRV_01017 MTDWTRETLLSHLSYGYSLKDLPPPQRIPSTRLAREATAISSSS IGALDTLPLELLHLILEQTDILTLLRFARTSLRAKSVLSSLHAYNFLLAHAPDALRQL ALANLLAAHETRSIYTALLSTACSSCLQPSRATHFYLPSAQRICYSCRTLNPGLWLIP LSFARRCFRLGASSTAKLPLLHFRPDTTGTTTIITSGNRKHKHRRKSEPCVSLRDVRR LAEKVHGSMEEVYRLQTKGGMHASCLMEAYLMQFLRDAPCQPFTPFLLASTGWWEEIS EYLARLLDDLGVVGRECVPLPWPDASMVVPSPASTSTSTSTSSSSSSAGDDVMEGYWC QGCSKLAMWYLQGRMEREVYSTLVPGVSDGFETIKALYALAMRVRSKDEFWRHVATCY AVQQNMPDIRLVLGQLHLR TRV_01018 MRLAAALLAAVAVEALGDLPAAHRPQHYFPRLVRRDLDRTSWGS SRKMVKAAEPMDRREVVVVPVTVKKGPDGSLHGEPSDLPHYYNAIIMPTAASTDSSTT TTTTSSDTTSDTTSGTSTGDSSSSGSATSSGGASSGAGESPSPTPTPTPTPTPTPNDP VTTPPPTVYPTAPTVPSMPSEPSEPAEPSEPSEPTLIIPTAPSSKPTVPEEPTPSAPP TPPDEPVSSVPLPPVLSSPPVTSPSSPEMSTPAPTLLPTSFPTLLPPEEPPSSSASSQ PPQDPSSYTPPPQVPPSSEAPISPPADPTTTPGAPESPVPTSVVPSMPSVPSMPSMPS MPSMPPMSPSQGVSHPGGVPTGDSTLIPLPTLFPSSSAPASPPTQPGQSSQPSQPGQS SQPMQPTPPETPTIPTTSATGGMTYPGGGTGTGSNPTSTEGTGILPTLLPIPSDSTGT STGTSMGTSTGAGTSAGSSTGTGTTSTGTPISSPSSPVSISIPISLPTDLTSVTNFPT YSPSGDPATVTGPGSGTATTPITSILPTGTGTSTGTTGTGTSTGSSTGSSTGTSATTG TSTETPTSVTFPSLSIPTGTASTSSGTIGTGITTTPTGTGTGTMGSSTYSSHPTSASE TSTSGSGSDTSTVHPSTMMTVTTTTRPSSHTPTPTSTMPSSSAPISTNSDTTLSVPTS IIIQPSTTTTTTSATATHSPKPLPYMISPPNAKPPPPDSVLIQLGFNGSLPYEFIALN TDVVTQIFTFMPLGVSYAVEVDKDETPITGIGQYDRGTHKGYTTALVFCFIPKDVYKV LATSLTTPQSRLYTNPDASTFTLMSMIDPTIPLLAGGQTVPGGGSAPYPGGLPGSGNN GGNGDGTDGTENKGKGPGGSAGGTGIKPTAVGIGLGVVGGAALYGAAMFFVARRYRKK RNLHRRSDSLTSGMGSPTPYHDEQRAGSPFAGTTMNDRYSGAGSGRTAQISAPVMAEN SLGWN TRV_01019 MRAYLRPVFVSGGETAEEEAEEAEAGEEQKTARERNVRRQTSVK MKMKRKTKTKRERRRKRWRDGDEEESKTKSKMKPAKATKSLFFLS TRV_00976 VSQSASPSKEKMMVDSHASLHVFEQAGDAQVGAIGCWPGDDETG ASGGPCPVVFSHQREAREREAHTRWDRTVELITYGDDGVHSRAHRRSLLFPAQEMAMR TRV_00977 MLEWSPQHRLLWPSISLPVNQPDPCAGKVVSPMSVLSDGEKACC SLIVRVLYTPKQLTSQTHDSTKPTPSLYVDVDQGAACKTAREKKKKKKKKKTKKKKRK KSNEETQKKNSTMRAQGERLCKG TRV_00978 MWWEKLPIEAKPGWWKRNTGGYKPGTLNGRRNQAEKRERERTVE QESRRLAALLKRSGRARSNKARGPRRREKLSNKGREEDEGKEAEDKKSEGEKKEGGRE GKGGREGEKRQSWPAGERLLEIIRTTVVLFFFSCSQAGRDSPYFSGSNCKKREEKRLK GKKNIEGQLGWNKGGELRMGLAKPEHLLMQNKAESEKRERERDVDSKVEVPVVVGDLV GCTE TRV_00979 MGEWHDCDGDGRAIIIIIIIKFGFLQGGETKGDKNGRPGESLTA QKRAARPRHTRRRGLGRGRGRGQERRGRREEDTYHVEGEGGIELDEAVEVAEEDGDGQ QQKVGRIADVVAQQLDELADEHPEQQRVEGPCPLLGVPGLGALVAEGQQAEVADEGGR RERRQEDRIRAPRLAAAETEKDGQRRDLQPDDLLLDEGGEVAAPVAAGPADALLEELR VVLERRLDLGLRVVLEVGLPAVGDHPAREEVVVVGVELVAAEPPLLVGEAQGELAVLQ DLGAVRNGPSRQARHPAVHVRRGGAVEVAALEIQGAQEVPRPLHREQRRADPPQALAG GDAAVDGVLVERRQDPFELRPGPAHVVVHEDGDLGPHLRDCAHHLPPLVGLGDAQQLD PRPRGRHRRQHALRVGPVGVDGHQEDLVGLVQQDGPDGLLELLAAAGQGRDDDGYILG RQLGILRRRDRSKRPDGKQVDHQSQVPRSRKKKEKKGKTTHNNSLKAKRYVNSKAIMM VLLSPVSFSFLFLFLAVQLQYQKQSL TRV_00973 MPRSLADVEKSLGQALVGFPARYGNRYDDKARRELIELLFRCLT GYNDDFLRLLFPEGPGNGCWNLSKAQGLKAGAEYSEAARGKRCGHTFKSGDAAYHCIT CSVDETCCLCNRCFNASDHSGHKFSFFVSSGHSGCCDCGDEEAFRIPVNCAIHTAFET DDKDKNKKKKKDENLVPEMLVAYIRMTMARVLDYFCDVISCSPEQLRLPKSEAGIRQD EIVSRLSGDWYHASDPEESEPEFALVLWNDEKHTINEVTNQVARACRERERFGLQKAN ESDEIGRSVVKYSKDLPRLLDVSRIIEQIRVTVTVRSARDTFREQMCATIIDWLSDIS GCRVGEDGSLLRLMICEQLLQPWHPGSAASNAGIGSKGIDDQSIDDGRIYRAVHIDQT EILAAETVDLTDAEMEDTEDGATRAADDDDDEDMDDAEVDQVQLDVHVQHVLDDDPEN PDEDDLDMETRVDNEAAEFGLPPQPPPPPPPPAQPPPGDGGDPLVILPVTDDPQFSRK PVSIPKTPGVKQKTNTASLPHWEEKPRLFHERRSLPHEDLQQRTRLDWLILYDLRLWK KTRTDVRELYLATVVNVPEFKRVLGLRFSALYTALAQLYLIADREPDHSIIKLSLQLF TTPSITKEVVERGNFLTSIMAILYTFLTTRQVGEPHDVSPTATLAMDSGSITNRRLYH FFLDLRYILASEHIQKYLRTEKQYLLQFMDLVKLTQGICPNVRAVGEHLEYETDAWIG ASILMREVTRLCRIFCEAFRPNNLVEGDNHLADAIVQSSLATMVNSMGLERKRFAQAE IKEPVRFKTVPYLEFEIDAFNQCGRHTVVDFVVERGALSFHHALHYILSWLLECARNK PKEWVRELLDRAVLLMKEKYPVTPSNALEAEDVILAMFDFPIRVCAWLAQMKAGMWVR NGMSLRHQMGQYRAVISRDVAYCRDIFLIQAAMAIYDPSRVLATLCERYGILEWMKGG CVDRPGYDEGQHVDVADEFMHLLIILISERTSFSTGEDESAIQHHVIRRDIAHTLCFK PLAFTDLSARIRDEVVESRDFHQILEEVATFRPPDGLNDTGTFELKPQYIGLVDPYST QYSRNQRDEAENILRQWKAKSTGKSVQESIFEPKLEPVPPGLFTEYTKFTRTPLFAQV IHQFLEYCLMFKISTPTIQLTRIEPFLQTVLHLTLLAVLEDPEADEENPEANKQTFTY HALTKTKSTQVGDLTIIGLFQKLSEVSTFESCAPKIRHILKGLWKNNPKLYSSTSNDI VFPYGNIYPSSSTPVSDNEAEQKKKKALERQAKIMAQFQQQQQTFLNSQENFDWGEDE LDEAEDSPRFSEEKIRKYPSGNCILCQEDTNESKLYGTFALITESSIFRETDLKDQDF VKEVINTPSSLDRSAESIRPFGVASDNFERVRQLDSKGELIISTKQGLGKGFPAELHK QGPVSTGCGHIMHYKCFETYYAATRRRHNQQVARNHPETLSLNEFVCPLCKALGNAFL PIIWRGQEMAYPGVTIRGSDFTEFMYKKVDGMLRMFSRYAIPKEGEMNFVPGYERYFS GYLTLTLTPSLSRHADTLLLSRLSASRGFIPHNTGHGMGDFGDHSDSWVSPDDDRPVQ TPADDPASRMSRSAVIAELLRPPRADEEDGLADSSPFSELAAVYSRLRETIRLNRIPS RYEYPPSSLLSSDLVYLDSLFKTFAYSITSIEIAQRGVETKPGETLVDGIPETSLTHL RILCDTALSYTSLGHLNFRSPTKLICELHDMHRRKVLQLFFGSPQLSNTGISNLARDS MDVDPLLSTDTFVFLAEISLCMIPALDMDIMNYVELFYTAEIVKVVLTYLLTPQGLKA NMDQAAEDHTTPAEYSVVKDFIMWVISAYRSCASFVADPALQVLLDGIDRNYIPKYRL RGCLEVVPKYILPFLRKTVILLNVRHGVDFSAAWGTDHSDMSEVDRLCELLQLPKIPI IFDRLSSAEDGNPLSAVVCGWMEHFCISKHEAKAAGTPPPRLSLMHPAIFELVGLPYY FDSLLEEATQRTCKTTGKELTDATICLYCGEIFCAQASCCMVNTRLGGCNRHLAK TRV_00974 MPTNKELSGTYGLTVLHSPEKAEDIVFDLVAVHGLNGDAFISWK HRDTGVMWLKDLLPSSLPNARIMTFGYNSKFTNFTGDQDLRCICETLLAEIADLRTNA LSIRTVDARTAIQDATYGILFLATPNDGSDLATTGRAIAKFATMISPLNPAQNLLDIL RVDSNTLLEIREDFLQRVPKLHIVSFYELYSTSFGLFNTHIIKRRSARLLVPGEVNIN LYADHRNICRFSSADDRNFRPVVSQLIQFYQDIKAKKATPIPCSPLKPRGAITPKIGI TTTHEPIFEVPFARCITFQGRQNLLSEMESYFGQSNCNKPRVYVISGLGGSGKSQTAV EYAFRNRSRYKSGVAFFNASSQTALTADFHRIYDMLPLGTASAKEPNKVDSLKRWFSR QTNRDWLLIFDGVDNLVSVKITEYFPNASWGHIILTSRDQATLGAISPAGQIISGLEE NAAIQAGAFIRRRQKSLKDYHRLFKNKQYEVLRISPSIGDHEKTVATVWELNFRQLEK DSPRASHQLLLFSFLQASNIPESMLRSGCSTKQIWGEDGEVTDISPVDAGLDPELIDL ITDEMKLDEAIENLLAFSLIQLTLSSRGGRAISVHPLVQYCASHRVPLDVQQKWRAQA ILLVAQAFPYGEYITEFSGDIGREILEHVHNVLHEFDSVEPEFELYPKVKNSFCTLLL SASKYSSASWRRESLSKVSDLLHDSEEYYLQAWVAHRRSKILRLEGDMAGSNAALEQH VNNFKAHSSRVSSNPRLNAHIGRITSSYAENLILGNECIHAQEVLESWKPLDSRSPSS MEVIVLRGRNIALGRILKNQGNFKGALQYFEEMFLDITDWHCNESEGWHMALFANLSD LYCELGYPERAEAVLKKQLEIVYTRGWETISGGIRIQLALAESFIRRGSFQKAEGCLL KLVSLCKPAEKMTVSARTNNFLVWTGLARVSHLQRHWSNAIDRWNHALELVESAGWAD SFNHGLILYSIAQIQFRTGKFDESKKTLCRAKTSLAADDRKYWIVGLGSYWYNYVVCP LGETGSDPIIRNTSRAAEDITSKYEENTLCEEDMNENVSEEVKRKIDAEQRSVEREIE AIKLRCKQSDMRESPKGLTRSFSKKIRNVYKR TRV_00975 MTGVYSPQRISSFEEIYINGCWLIKRQLLAFRNGLSTITYLVSK IYSFKRQLLGQSDGGNRSTSDISCTQAILSSKPEPFIIKGSAHERLNSTQTGFKILEE RRRLEQKLDASGVEQMRQWLRRTKALDRLNIVHVAGTKGKGSTCAFVNSILEQYRATT GAPEKVGMYTSPHLVAVRERIQINSKPVSEELFTKYFFEVWEALESSAVREGLDPAMK PSYFRFLTLMSFHLFLREEVTVAIYEVGVGGELDSTNIIEQPGVTGITSLGIDHVATL GHTIDKISWHKAGIMKTGCPAFTVTQVPEAMSVLKRRAQEKGVTLGLVELIPALRQVD IKPAEDFQRQNASLAVILSSEILRKLNIAPGIDLESLPVQVAEGLQRTVWKGRCQLID RNNQQWHLDGAHTHESLQVASSWFGRLCQNTAEPRILIFNQQSQLRDVDGLLSTVHDV VYNRMGLNFQHVLFCTNITYKGNEYKVADMFADFVDKNVDPEELKSLVFQKKMADCWS KLDPASQVSVLPSLQEAVELVDQIDGGTKGTKVFVCGSFRLVGGMLSLLDQEVSD TRV_00937 MAPTNDDGQETKKQLKAMLWYTLGNLTREHADLFDTEVTPQFIA GLVEITWAQLGALNVSLIVQGIG TRV_00938 MADFQFTSVTDTLYTLYSNFTNNISTSVSNLTIQDYIRLVWIIG GYYFLRPYLDKGFRKLFDSGNAKREAEEAAAAETAGKTKLSANTLRQGDVAESDEEGE DEGESTGVSNWGRSARRKQRNFMKYLEQEAERKRAEDDDKDIADLLED TRV_00939 MASANAIRLAGFGLRMLQLLSSLIIVGVFSYFLAVLADHNEHAP EWLKAVAGIAGVAAIYAILTSFFILAYGGLLVFSRISMMFDGSFAVAFLAICLMTRHG ALPCTGRVTTPVGSGEAEDPGAAFGGEDMGTGRGDNLTSMRYLATACKLEKGAFAVSI IGMLVFPYITCAPNPFANYISSQCSLSTLDSHTEGLRKSPTDF TRV_00940 MKLFVAIQLLLFNTCHIAYGHMQLANPFPVRSPLNKENTNKDYS YTSPLRSDGSDYPCKGYHNDPFKPTAQYKAGQEYSIEIAGGATHGGGSCQISLSYDSG KTFKAIKSFIGGCPLSKQYKFTIPSNAPSGNALLAWTWFNRLGNREMYMNCAHVTISG SNDNPAGNSKVALSGQAALHGLPNIFLANINQAPQCKTDHSKEVIFPFPGEFVEYGSG AKGAADKGYACASNTTFIITIAATISVVLQSSVKNTSSTYSNLCMPSHYGQQPINCTN DPFFATNHCEKWYKSLPSSHHVEVQSPVPIPNHATISGRLW TRV_00941 MATANPPSRPKTLVLCFDGTGNKFSGTEADSNVLKIFRVSTYVS SATFSDTGFYSRVKSAILKAKYSAVGSSFADHVMAGYRFLMRYYAPGDNMYFFGFSRG AYIARFLAEMIDYVGLLTAGNEELVRFAWKNYAKWQQRDGKGDEYHDQKLKMYNYMKA FRETFSRPVNPVRFIGIFDTVNSVPRFESAWMQRSKFPYTAKTSARVIRHAVGIDERR AKFRQDLISQMRDIHSKHGHHHIPHIPHHGRKSVFGKWDLRKLIRGKRKNNIPNVVIN SPEANEKSTNGTGDNGVTNGKPNTLSAEKARKVPSGARFRPPSPAPESCLTVPNLSVP GAQQGDDAISIVESCHSKISMPVPGLENGNEEQDIQEVWFPGGHADIGGGWELAKGEL WQLSHAPLVWMVHEAQAAGLRFSPRALKQFECDESYSGEVTPVERSSFQFDDYEELQK KCVLEAGLLEEASDAEAVRNSSRKVTASESFRAALHLSSTQGQIHDCLSYNMGVPKLS VTLWSMMEYLPFRRMDLQVDGSWKPIRWPLPRGEVRDIPDDAQIHVSAIRRLQARDDY RPGNLILGGGGRGVKRLPKDHHIGDWDVHSNEGCPIRETYVRRKAPKDGSERNGNKCN GNKGHKH TRV_00942 MAGGATNGVNGVTNGTHTTTNGTNGTKASTSSPPSQSTAPRAKR GDVEGTFAKYAQLIHASRRPLPNQSGDGSYLREETKYTSTLSDLRTMGWKGISTLLAV RKHKKSGKLDNDREYIMERVINLVAGLPPQSKMRKDLMNTFVKQLWDSLLHPPPTMLG DDYKYRSADGSYNNPMLPMLGAANTPYARSIIPESIQLGSLPDPGLIFDSIFAREKFK PHPNGVSSVFFNWASLIIHDLFQTDYRNPHISETSSYLDLSILYGDNQDDQNKVRTFK DGKLKPDCYSEARLLAFPPACSVILIMLNRFHNYAVEQLAAINEGGRFAKPRSGMSPE ATEKAWAKYDNDLFQTGRLITCGLYINITLYDYVRTIINLTRSNTTWSLDPRVGMREG AVPEAAASGIGNQVSMEFNLAYRWHSCIGAADEDFTNNTYQQMFGKSGEDLSIPELVG GLGKWQASIPDDPAERTFAGLKRKTDGTFDDGELMNIMTEAIEEVAGSFGPKNVPKAL RGVEILGMQMARKWGCASLNEFRKFFGLKEYQTFEEINSDPEISGALRNLYEHPDHVE LYPGIVAEEPKIPMVPGAGICPTYTISRAILSDAVALVRGDRFYTVRLLAP TRV_00943 MTDLGRHDNFSWDRPAFIPPRVNITSYMGAKTILENSKDFRVTW GEATGFLFGKGGLDFMLSGDSTFHGQQRKTMGKALYHSEWKKDIKEFYEDITIRLLRE KTCKIAGINQVDITRDIFGLPLKTAENPKGIYTEHEMFMIMCLIFTTIFFDLDPAKSF PLRQAARTVAQQLGQIMEARVKAVKGGILSLFSRMGENKNALAQYGVHMIRRLLDSGL SVEQVTWSQVMPTAGAMIPNQAQVFTQIIDYYLSEEGKVHLPDIKRWAKERTDEADEK LLHYCMEGIRLNGTFGSYRESTAALDIDDDGRNVSVKPGDKVFVSFVGAARDPNIFPS PHEVRLDRPLDSYIHYGEGPHACLGKDANMIALTSMLRVVGGLDNLRRAPGPQGELKK IPRPGGFYIYMREDQSGYFVFPMSKLPNCPGSMIVSHSLLTPLPSTAFKVHYDGELPA QNAH TRV_00944 MAPKAIKGEYIETDTGNKVSRRNQVHGTQHIILGGKTVIQADVC IRGDLYRQQPATPAAAPSGAAGSKSAANTPSIAVTIGRYTYLSRSCLLRPPSRLHRGV HSYYPLKMGDHVFVGENSIVEAATVGNHVHIGRDVVVGSMAILKDYVVVLDGAVVPAG MVVPSWSVVGGAPARVVGEVGEGYGVEGAEGGMARERYRLVGR TRV_00945 MAPSFFSGPGSSSHAKYFDISPVPIRTIDYQTSQAALDRHVKDK KHGKERTFFEKTWKFIDAGKGKTEIMPADNYEFPFDIVLPGSLPESIEGLPDTWIIYR FKAEIGRKYAKDIQVRKPLRIIRTLAPSALELSHVMSVENIWPNKLEYSISTPSKAVV FGTSVRIDFRLVPLLKGLKIGLITTQFIESYDVMSCRDDSQLQPTSHKSIRVVVADHF RLGPEEERRFIEEEEEGYQFSRVLDLPKKLSKCIQDTDTKGIKIRHKLKFKIQLHNPD GHTSELRATLPAFIFLSPNLPLDDNNNLVNQSPTSPQVAEFCMAQQAPPLYGEHQFDM LYSELDPHGYRTPGIYSGVATPLYGSISRNLSAENLSSLATLGTGGDVAASILHNRLV NLHIDSSSLRSPGTPPPELLSPDSHDNRRSMTFPVTTFTAATTPNMSRRTSEDEHPPS GLVSPHFLRTNDVEDLSRVPSYSTAVRSCAMSANNNGLPNYETATAGDVSPVSERPPS PPRHTARALNRLSSTFVDALPQFSIHRHSTGHDHDSERRVRILQARST TRV_00946 MAFKSVLPRRSNTVTTNTTTATRTSTTTSTGEEDAIPDSDYKDT TNLLVERLQAWKHVCGNLEDYVATTMKVQKAHAKELEKVFKTVSDPIKEEHHFDQGAT GVAGLFESLRRNTQGLAEAHADAENRMKTSVVSILENLHAEIKTKAKELGGGAIKHGK EVTKSRAVTQKHIELLGQYAATFETSKSRLDAAHDPYILSRGVTYRLGRQVADENLHF QEILTIQNSFPAFEKHIVETIQRAMNEFFQCMTAQTDHHRNMYSNIVNKAQEIGVDFE WNNFFKRNDATLLDPNAPPRDLATIKYANQDHHATKPLVEGILDRKSRAMLKGYTGGY FAVTPAGYLHGFKENDDTRHEPVPEISLYLPECTIGGFNDLKFSVKGKDLSGGKVGNA FHMTSEYNFKARTKNDLEQWQAVLTNSASWSGSGSAIASPGGSQVTSPVSPISPAATM PNAGESSTAGAAAVDTTEKAATTETPAVSEKPATETAPAPAPAPAPAGETAPATEPLP TAATTTGTEGATMKPTGQEEGVVSVPTAEKK TRV_00947 MAETARQKPAGPPSSPILCTPADFVPVWARSHVKYAQNLLELSD EPIEYDDDEDYNDNCFFFPEDCVYNPPPDLASNPDASAADETDSDSDSLLLQDDLDGD DDTDDAMAPALPEIKMLDTTALNDLLSDNLSPPEITSILCVVFAFDPALIASMVVHFQ LANITPSIFASNGAVFAHASPLPQRRIRNLCATYGAAYKSYAVKAPNGNLTGVSASIH PSAFSSTPSIPLGNVGSIMFEMQDMVAVVTKIADKVLLAVAGPTRIVEEQPAPRTTQQ GGDLAGRARMIAGSAASDSERTVKAAGDSSFSTLTALENPKAEHVSSKLASSAPNPAS SLSSLTSPTPPSDEQIEQAEIRQDEDIIHQALRAQWAIDRSHDLDRLAGLNLASSPHI LLALESKSAALGKFLGNKLADLESPEDF TRV_00948 MLRVKDPKRSLEFYSFLGLTQINRLDFEDAKFSLYFLAYDSPKA LNTGKHWTDRNGVLELTHNYGTENDDNFSVVNGNTEPYRGFGHIAVSVENIELACKRL EDAGYPFQKKLTDGRMKHIAFVKDPDGYWVELIRRGDVDEAVTESDPQSYRLNHTMLR VKDKDASLKFYQEVMGMTLLRTSEAPEAGFNLYFLGYPASNPPMEKEARNPIANWEGL LELTWNYGTEKQEGKVYHDGNSEPQGFGHICMVMDNLDAACARFEELGVTWKKRLTDG RMHNIAFLLDPDGYWVEIVQNEALKGQ TRV_00949 MAPEGGKHGGRPQKKLKGLQRLRWWCEVCQKQTRDENGFKQHTL SESHVRAMQIVAENPKKFIEGYSKEFQHDFLQLLRTSHGEKPIHLNRFYQEYISDKTH VHLNSTKWSSLTEFSKYLAREGICRVEEKEDGIFVQWIDNSPEAIRRRDLVQRANRLE EQQASEEKEILQQVERARQSKAVSTEPEKPAELAKEKWTDFSLNLKSSSNSPKPTIST SQGKNNEQQDHPPKSADKPVKKPNVFGMKRKQPSGIKATPEPPKKMTEIERIMKEDME RKKRRLVK TRV_00950 MAGLLENGVYNETHLFFSDNFKSLPDADFRDVSWLYREEFTLQP GSGQHFTLHTHGISSRGDIYLNGHRVASKDTQAGSYAGYKYDVTKYVLKGGNCLLIKA YPTNYLRDLALGFVDWNPYPPDNGTGIWRHVELSQSGPIRLSSPRVTTDFVPGVKVND TNLTVKTDVHNIGEETIKGSIRGFIEGAQNPRHSISAPFTLKPGEQQTIEMNTTIQNP SVWWPASWGDQPLYTARISAFVGKIKSDGPKRRKFGIRHIESRVNDQDTVEFKVNGKP FFVMGAGYSSDIFLRFTVERITTIFQYILDMGMNTVRLEGKQEHPELYDIADKMGLMI ISGWECCDHWEGWKYNTEGFGQPWTDVDYPIANSSMLHEAGMMQTHPSMLAFLIGSDY WPNDQATNIYVDALKRMDWNAAIISSAAKRGFPKLLGPSGMKMDGPYDWVPPSYWFGD KLGAAGAGGFGSELGPGVGTPEIRSLKKFLSEEDMKDLWTKPNKVLYHMSAGVSQFRD RSIYNKALYARYGKPTSLDDYSLKAQLMDYEATRTWPNLHWALFDYYLKPMASYFGTK TGARIEHAIYDYREQAVYLINHSNSRSGARSVTVDLINIDGKSLSHSTMKADTTPLKS QKLSKVSGLDKNTDVSFLRLILEDDAGKALSRNVYWLPQREDVLDWGNSTWYHTPVTE YADLTPLGKLHKADVRVDINIQGRTKTRVSLQNKSNHPAFFIRLSLLDKATGDEVTPV FWEDNYVTLWPHERLELGVTYPQTYRVELEVSGYNVEKKMVMV TRV_00951 MKAFFARSRHSLVPQQQQQQQQQQGSQQDSNEHSPPSYPPQASG AYLAPPPPYSETVETPSSGSGAAVVETINTDTTASASGSQTAAGLASKTRRPLRDDEA ALHLAVKNNHVSVVRALIKAGVDVSCADSSGWTPLQKVVSHHQEKAVEAVNALLIAGA DVLAVNDEGMTALGVAASKNLQGISDILLKAGAEINPSDPKAVPWSPYLLAAWSGHLE LMKFYLNWGADAHAVNDGGWNALHVAARRNHFPVLRFVLSTKKPLSVKSVIYDKRTAL HIAAKYNNLEIARFLINSGTPVHARNESGYTALHAAAGEGHDEIALLLIESGSDVNAK ADDDWTPLAMTAYHNKESTARLLVEKGKANIEIMNSSGWTPLLLAARWGYVGIVKYLI GLGANLNVVSTLNRTPLHMAALHQHEEIARMLVGLDIDVSAADKDGWMPLHLAARNGA EAIVSLLLESGADPHAEFKPDGVSSSPLQLAAENGHEKVVEMILQARKRVQKK TRV_00952 MVLPAVRVAPSAATRAFNLLRTVQYTHPPSCPCHSNPSHHHHHH RQQPSQISAVARHVRNFASPVDASQQKEYAFEMAASSIRFGPGATKEVGMDCKNLGAK RVCVVTDKNVAKLDAMKQAVEGLSREGIEFTVYDQVRVEPKDYSIKDAIAFAKPYNPD AFLAVGGGSVIDTCKLMNLYCVYPEADFLDFVNAPLGKGLPIAKPLKPLIAIPTTAGT GAETTGTAIFDLTDKKAKTGVAHRNMKPTLGICDPLNTRTMPPAVHASSGLDVLCHSL ESWTAIPYNERTPRPTNPINRPAYQGANPISDIFSLQSLRSTVKYLPRAVKDPEDHEA QSQMLLAATLAGVGFGNAGVHLCHGMSYPVSGQNPGYKHKGYEVEHDIIPHGVSVAVT APAVFRFTAASNPDRHLAAAEIFGVDISNVKRESAGEVLSEAIAEFLIGLGDQPRGLK ALGFDNSHLDMLIAGTIPQQRVLNLAPNLSKELEQEREQLRKLFEESMEY TRV_00953 MAAPVTNGLPPRPKVLVPEKLSPDGLALLKSSLDVDERQGLTAD ELLGIIPEYEALLVRSATKVTASVLQAASKLKVVARAGVGVDNIDADAATKQGIVVVN SPSGNNVAAAEHTIALLMCMARNIPDACSSLKSNKWERSRLVGVEVQGKTLGIIGLGK VGLIVARLAKGLGMNVNAVDPYASSAVAASASVTLMPSLAELLPTVDFLTIHTPLIAS TKGMISSAELAQMKPGSRILNVARGGTIDEPALLNALETGHIAGAALDVFATEPPTSG SASAQLIAHPRVIPTPHLGASTVEAQENVSIDVCEQVLQILGGSLPRSAVNAPLILPE EYKKLQPYVHLVEKIGSIYTQHYGSAKSPLSNRNTFDLIYEGEVSEMNNTKPLFAALI KGLMSPISKDLNVNIVNAELVARERGIVVNEQRSRDSPSHSYSSLITLVARAAKVNGD SLGSSHQHDYIISGTCSESQPLISRIDRFATSFVPEGTLLICHNFDSPGKIGVVGSIL GKRGVNLNFMSVAPISKGKKQQKEIDSSDEALMILGVDRTVDECVVKALVNEGGVLSA SVVSL TRV_00954 MTVITSIGRVALFALLAFESVVQASPIPYDIRGDVIISVPNVGH TLTSRSNTDSLPPELTDTKANVRVGYLKTVNRVPSKSHPPKGRRTEIPNPNGPRSEQT INRTRDYIEAKPVREQGNPTAVKVGKPRGKRDDKSTPRPSTPPPIQMAVDHVSPIPGG PWKHREETKSRETMKQRLDQGPEH TRV_00955 MIEGIVQNISIGSGMVWLFAALIVFYVGYDLYTDYKVSRLGAFA PRIKTYMPLSADFIFRGFKAAKNHKDLEFFTSIFETTPSQCGGNISTAELKVRPYARN IFTADPENIKAVLTSQFSDYGKGWRFHREWKDFLGDSIFATDGELWSRSRHLIRPIFA KERFVDTEIFEKHIEKLIPRLAKNDSGGRIVDIGTLFFRFTLDAATDYLLGKSVDSLD DPKTEFAESFQFVLHRQSILFRAGPLRKFLSEQEFKANIRKMNDFIQQFIDQVLALTP EELDSKLSKQDTFLHALARFTRDPVVLRDQLVAILLAGRDTTAATLAFAMFELSRHPE TVKKARAEIFATCGTNKPTYTDLKAMKYVNAIINETMRLYPVVPFNVRHAVVDTTLPR GGGPDGKSPVGVPADTRILYSTMAMQRRRDLYDPPPSPLSPSDGKAKPWYDPLEFHAE RWTSGWQPKPWHFIPFNGGPRICLGQQFATIEMGYTITRILQHFTEVTGIGCPPPGTD PVLKTDVTLSPGEEFNCVFGREGSV TRV_00956 MLWIWVAGILGLFGRVEALWPQPSEYSHGNKTLWLSPSVRFTYT NNQRSFIYTRPSYAGINWIPGGWLNLLQNPWGSAEQTVAEPLPNVEQFVEDAIKRTKH AIVNSKFVPWKFYPRHQKFEPLVDGQHPTIEEVIINEASKTSQQWSPRNYVNGDEKYE IRISEDGEVQISSRSPIGTIRALQTFQQLFYSHSHSKSYTPFAPISISDSPKWRHRGL NLDISRNVIRPEDVKRTIDAMASVKLNRLHFHAADSQSWPLDIPSIPELAAKASYHPS QVWSSSELEAVQLYGLERGVSVFLEIDLPGHTAAVGHAFPDLVAAYHMDQWEKYAAEP PSGQIKLNSSAVYQFLDLLMADLIPRVSPLTEYFHTGGDEFNLNTYLLEINLGSNDRR VLTPFLDRMITHVHSSLRSSGVTPIVWEELVLDWDLNLPSHKTAGETGGVIVQAWRNS SAVKHVLQKGYQTIFGTGDAWYLDCGVGTFLNPRPGSKAVQNPYLDWCAPTKNWKHMY VYNPLKDIPVELQSLLVGGETHMWSELVDPVNMDQMIWPRAAAAAEVLWTGPRSPDNI QDASYRLVKWRERVVSDAGIRAAMVQMTYCLMRESGCEL TRV_00957 MSVSVALNTPLADALNEVVQPKLVELGWSTGGGDDSALAEYVIL MLVNGKTQDQISAELANDLLSLGPEDTEAAEFSKWLFDQVETLDRRLNGGSKERAHQE QLPQAIPSFSEETNGGNGNATPTDVPVPQQDMVMDDGVGPGIDASVPTGPRNARNQKQ NGRGRGILGQLSRAMDRSNESVLHRVRNQQGTERINSHRDNSRGGRGSRGGHRASMGR QMGSNVPMGMGMGMNMGMGMNHNQMQNMGQQNMMNITPQQQMQMMSMFEEQARMMSQL IPGFVAPAINPAFQNGPPQQAQGRSLFERAEFQPGRRNQQSPQTTAADVEMDGSESKP AEEQPAREAPGPDTVCRFNLRCTNKDCPYAHQSPAAPEGASVDVSDNCPYGAACKNRK CVARHPSPAQKAVHQAEEICRYFPHCTNPKCTFKHPNMPLCRNGADCSTEDCKFTHLQ TPCRFNPCLNRTCPYKHVEGQRGAFTDKVWRADGAKPETPHVSERKFISDEAGEEELI KPGSTPSNDAGIIT TRV_00958 MRIGTKSAALSNRYIYYPDHLVRLPGPGGGVSFFDTLRDMVQEP AYKGLLRSLLGEPFKDCRDPKARDESVASFLLRRFGPALVDNLASAFLHGVYAGDIDK LSMKATMRQLWDDEFGPGIIINLMNRGLSRKPVYYKHNRIQVLRAIRREYSSEFNIRV GLIFAPGYILYLEGGMRSLVSALSRELTRLPNVEIVRNAAVTHIQYDKAKASMIIKLS SAINKPPARYDYVISTTPSTVLADQLRGPGGRTPPTATSRMLAANDYAVSVMVVNLFY KNPDLIPTQAFGYLIPRSVPFEQNPERALGVMFASHAVRGQDSAVGTKLAVMIGGHWW DGWNKSDLPDEQAGIAMAKSVLARHLGIVDEPVVAKARLQYKAIPQYTVGHCDRMEDL HEDLCRDYDSRLKVAGAWYTGVGVNDGITAGRAIATALHAGNTKETGLEDYVNPTQPV LAAVL TRV_00959 MRKHKANRHRKLEEKEEKSPAKETPRDARQPTRRLEVLRKESER ESYEAITMAANETKISGITTNALTGERHIPASVRADGSQRREIRIRPGYSPPEDVELY RNRAAASWKNRGKTGVPGAELAVSENTASSAKNTKKKDTKPKTKASSEGISPKTGKET TALDKDSWRSTAGKDAASEVRQKEEPEADPAIEREKKARNLKKKLKQARELRDKKDKG ESLLPEQLEKIIRINELVRQLESLGFETDGEKKADSTEPK TRV_00960 MAQLNGTLMPFLYPCLLLSPSANLAPRSALRAAKNLKHFRLSSS LHTSNRISPDDPYSQSIGSETNSRLNPAPSDYGRSIFQDRCSLTVHTGSGGSGCVAFL RLKYLEHGPANGGDGGTGGNILIQAVEGQTSLHKLARRGVIKAGRGKNGRGKSQGGVR GEDVLLQVPVGTVVREIERFDPVAEKERELKELTRELGSRTKALQAINSRRDQWVLYP GSQPSDYFATDFPELGPPRRPDLAAVQPAAPIYLDLSRHMDSPIVLAAGAVGGLGNPH FVSKSIGRPMFATKGEQGMRLELELELKLLADVGLVGLPNAGKSTLLRSITNSRTRVG NWAFTTLSPNIGTVVLDDYSQRKARLATPGRAPRPRFTIADIPGLIENAHLDKGLGLG FLRHVERAGILAFVVDLSAGDAVTALKGLWNELGAYQSMRDRELSLDTQSRLSPWTPV TDNLDEPRVNEWMGDEGHASSPPPPARDSSLQPLVYASHNIYSKPWFVIGTKADLPGT QENFTALKDYLDQVEKGTIEHPSKQEDAWRNRIFSIPISAINAQGVNIIPERIVQLMD GAY TRV_00961 MSSSKLPRVAALRRQQLRLEFASIKVSPPVGVYVSLSPSDPALW SGVLFVQKGTMRLFQARLPTIISSLSSVIGPYASAILRFQIRFPPLYPDLPPLVTFTT PIFHPLVVPLTTQTYSNRPSDLDSVTGTIEEQLPPGGFSLRHGFPQWFIKTERSNVGS LSSSRSTSGQKPGNIGVHVEQDSGLVESSTDEIISRISPLPCDIQDPKKSGVTDVTAL DLLEYIRSTFDDETVLDSIPLEATGCPNAWHAWSAHRRHPRTGPATERRSSKDAGVSS PSTLGRTLSPDSIQRSSPQARSPGEWNWEGVWLKRVKENIHHSFLESVLFGSSSRAGS SGDDMVRMTRFKYVLECHSPYAST TRV_00962 MDSTHSLTDTPTPKPLEISKIFPKANENAAALVKKNVCSPCRIP RRRSSHVVRPPLLPLSTTQNVERSNKPITARQHQRLHKATALHPKAMENLTVEKRVWD GRASSADVRQRQPTPKGPNILSRAINALAFRSRECSGNQADNTARAHGFRLPSLQKAS IIRGKGSEVNLRGHTGIQTEKKSCDGLYEKAQIHRHQTLSFVGDNPLAPKPRDSAAQI PRIPRHIPITSAAVNLVPNSLKSSPGQDNSTWVSAVVTASINDFTSGVNINGPYDADI PLDVMILVDNSNAFQLASVLDILIDRIAICCISPDPTQNLNLLMPLSSYSLDTVEILF RSLPAFQLPHGESSRSRLAGAIKEASNYLIRHSSRGASCHMFLVSAGSTVLIPGESNG DKLRYHTKSPDNAMMINSCQSLEGWHIGTNFGSEEQSSVDLTFRSKLQFAIQHLRIGI DSGYLHDLVLQLEAGPDSQIEAILGDTNRRVLRLGESWTVLVKVKPIPELQGPINSGP ATANRENEPHTPNESTVNRMIDQLQGMLKPASNTLNNEHNIIASLEYKHSALSGNTIL LTRNKFTIPRSRKAVTWDDSVKPPAPRSNSAISHRQIIKPPIDSEVISVKKRQQIVSN VAGNGYQPKKSGKDIANGASVIRENCLSYRDMSPFGSMNPYKDICKHADPITSQQSLG RIPEYGHLHRLEDPFARIH TRV_00963 MDNFIVRKRRRTPSTATSTSLGDIRDDERLSSGNLDHEEDDSTD VKLAKLASIFPDISPGELLDLLIANEGSIEATTSSLIEHGIARKKNKQVTSNSGSIQS SLSSYRIRLNSDREINSPSLLPTRKGKTLHLYSPDDISRYTSCTLIHNFLPPAEATAL LNELLEESRTFARQKFQLFDNVVSSPHSAGFYVMTEEEQKEQQSQYSYYGSLRNDVRK VTPELRRVSAIVKKTVNQEIEKRVKTFYPNGKKLKYQSPREWEPNAAFVNCYDGPTES VGYHSDELTYLGPRAVIGSLSLGVAREFRVRRIVPPDEDEDGDADKNINGEHG TRV_00964 MTTLNELINRAIFSISAPDPNDPILECYGYEVQKLKTALLDTPD SALQLADANLRIFPFKDVKDCWRRLYTDASLIKACQLLKKHIQRSDKAGHVSGKKVDN AQQVCLSGSLDEGRDEYLEADSPTLYPWVSDVIHILDKALIMTGAPRRTRLIEDLLST FQGSLRLDYVTSFISSIPSGQTELPHLLPSRPAKRQKLGESSSLFPLDYAPQPSLRNP VPRVAELTFEEFTEHIWNIRTPIVITNAINHWPALSSRPWSSPKYWAERTFGGRRLVP VEVGRSYTDEGWGQRIMPFAEFAKDYLWRSTSSTGKEAQTGYMAQHDLLTQIPALKED ICIPDYCYAEPPAPEPGTPLYKKNIQQADNGNSSKEVQQGEASMPHGNKGKFTEYHDS VDGEDGLSDNITTADPIINTWIGPSWTISPLHHDPYHNILAQVVGAKYIRLYSPHTPA SQIYPRGKEVVNHKASDASTMEKKGDAGQEQIDMSNTSQVDISAIELSPAEVEAWEEL WPGFFKAEYVETILQEGECLYIPIGWWHYVRGLQAGISVSFWWSK TRV_00965 MEYFDFDEAARQNGDIFRYTEVADQDRCDPILAGFDNAAPPNTT PSFSQPSDAQQPSSLDGAYPMHRVKDPCYFCRCMGLDCVVAQRGVMQNACTCCIALYR KCSFTRPLSKENHIDTQQSESEASSAKGPPSPSQPPNPGNISNLPKDIEQQPRKSGVR FSLKAVKVLKSWISEHASHPYPTDAEKDELKEKTGLNRSQISSWLANARRRGKVRPPP RCTSPYPGAVTIPGKRLPPGVDISELNPLERWKHSPPENEAASARDIIQAMATSSFIS HLESDFPHLKSHSRHTDSSNDDSYSNKLTAHSISGYSADTNKSSLSEMSFASAFSHRS RGSWNSTENRERRRRRHKSAAAPNPFQKSRVARIFQCTFCTDSFPTKYDWQRHEKSLH LALDKWTCAPNGGIITNSSGELVCAFCNHPNPDEDHLETHNFSTCQEKSVQERTFYRK DHLNQHLRLMHGVKLAPWMDAWKSTTTKIKSRCGFCSATFNTWKERVDHLAAHFKAGT EISQWRGDWGFESHIEECVENSMPPYLIGQERNTLDPWVASKAFNLAKYGRNFSKEHK VAPSEDTEMSALGMPFRTDASCFRRLEKELSAYIARLFDEGITPTDKLLQSKARLIVY GSDDTWNQTCADNPIWLKVLKRNNGLEDSSDTEDIKLEDLGMQPPFAADGGLRQAPAH SSRPRQALSGTLSPPSGFESTTASNPASIADSTRNNSDFCFKGGGGSRSSAHNYTPSP GSHSPHNLNFPSPMSVHPHGVNNESIAVDPLLEMGFDAEFLQQLNDGYGDLGRVIDGL ELQGLGYTGATLHNGTFNVQKNNNNNNNTYDNVSTTDSAPVTPHSGIAMTSPEALYTV VSSGGSVEPVYIPGAFDSLSYNFQQTTDGYRGG TRV_00966 MAPQKRQAEEPLVSCSKQAKTAAEVQKEKDPEPQGDILMDDGLE VDNEVSCSDYPSSALCKEIAQPQQAGQEAKDAQIRKDLDTMKLIFHEAAQYASSVART ESNEGSQFKDTRVCSMLAACPNQLLSKIYALKSVFSHSLSDITTVEGRRWMVLWLFNF DRGGFLINPKPLSFFSDRYPPNKKQRRDGISRDEKKALEIDWLQETECAQWILQFCCH AGSDRSSWISTEHKLRLLPAAAIAAAAAAAVACHINHEPSWYEREPKVDQSRGTTRVC DFAFHVPKRLCLQSLSNPPPEAHEGEGDELRLASLARASAGTGAAVEGGAGDEGRDAA HAKEAGTKERPPLNNNNNIAPGSSDARVKREPASPLSVPAKTAARSNSSLYGGRKSLA DDASRRIDKLVSSVGMVPVQGTSDPRYLGSTSGISFARVVFSAVRSSVSSSVSERGSI RPGSRRQSAAASASKNGSDGGAASGGMHSMRDSYFGLQTKPIMKQAPFPDREVAQRLV SLYFEYSNAQIPILHRVEFMEVFNRVYATEEKQRSARDLYFLNIVCAIGAGVIFDAKG DGEPTSQEMKNDDSRQSPTHSPAHKRRRLSKQQHQPEEYHASAVVHLESCLGSSSSTD GFGGGLEELQAVLLLASFALLRPVAPGLWYIAGVAVRLAIDLGLHHEDGTGVDSIDEV EVARRMSRMDSIDEHSSEQVRARLKVDPRERGRREFIRDFRRRLWWCVYSFDRLVSTC VGRPFGITDQVITTEFPSLLDDEYITKAGFVAAPSGAPSYKLVAHHYFKLRLLQSEIQ EVLQHQQAMLARKNGRNRNNSFMHTKLPSPFLQKFDSFRSWRIDIHRRLDEWIQSAPK PHEIGVQFSLQFLELNYWQTLISLYRQSLTVPKPLAAERTPTEDVSSPSLASVEEPED EDDVYSMVAEAGQKVLRIYRQLHRVRLVNFTYLATHHLFMAGISFLYAIWHSPATLDD VDFTVLAATSVLKDLIEKCPPAEACRDAFERMSKATVQMGLSTTGFGQQVGVGDRLGT HNSGAQSRPQHQNQNQNQNQNQNQNQISDSQYFHNTPFYKPYPQQPYYHSSRPSRSLD SIPEQPVDSRPVEPCTDNRFSITSFLSNPVGSSKIGKAQSSSPPQYHEHRDIPSRAAS TTPEKRSPKSASRQLENPFSPSQFNSQLQAASQRQQQQQSPHHQSTHHYTYTDPFASR TPQPNPHQQQFHSPQATSQAGAPFYVPGLDDLLNSPSRPYTSDNQAVYTSGEAADINL TSLPLYSPDSTHAAMAGNSALDLGFGVGTAVDFQHDWNENTGFDLFDGLFFGGGG TRV_00967 MVKPDVSRDYYADLGVGPNADQEEIKKQFRKLALKYHPDRNPGK EAEYNSKFQAIQAAHEILVDPQLRLKYDTGRLRAGYGKLYGPSRTATPTRQAPPQAAS NPFRKSQPSYSSHQYGKSANAYPGAYPPPPPSSGAQRYASYAKAGAQKFDKVYEESRA RAEAFQGFQDMKNKQPQPQMPGGWTSFDPRTGRAQEKPASKPRASHQKGQSAYHAFAE EARKAAEPPTPERSKSTKAKNGFAPRTAGGDEPMAKCATSYYNLRGERNRDSDPMSYF FSTAPSPTAKKPQPYDDLSDPPTPNLHRTSSKYATSGGEKTYVPKAGINRSSSVREET ASRPQTNPPSPINSATNSNRRHSASPKLKPNRKSTFSASSASSTTSSETDDTDEVILN PRPKAVPRSRRAHNGSAWKANNKPSATGESPFGWAMGPNSWLFTEINGSPSQPPSSSN KWNWHADDQHEGYGPSTFGNNAPFPSETRRESSMGRTIPPMPNVSSFRATSTEEQRPS AATMYDFIPISTPTPTSTPKTTPKNTTPTPKPSARNWSEIWGFSPKPGVSANSHVPPL WAYPANILPASFTTPQMSKEMEEEDGETENEDEVGGAAAFNTDDAGRTPRKTFSEYRE FPSSTPLRAEANCSTTIHSFDQPDSSNPNAQNEGLARHAKSKSKSYESFNSGFSPSEW NFAFSNNAQFFAPTQGDSLGGTQNKFGSRPRSRSRPTTSQSNESPQKSTNNPFDFKDT KPDARPPLFKTTTFTAEQLPDSLNEKTWTIPTAQDMFFGGQKNQTDSKKPATEPKPVR ISSEAEEEEATFSPHQIFGKKSTKRESMDMADEMDVDEELPTVNVANAQAGNNTTNNK PKFSMGPAFMPSNKPGGKPELFNLAKLGLVNPFTASNSKGIADLKDLNSSLPFESKAA SSEKPSQRPIHPRDLSLPKPPKAPTPPAMIGAPPMPRTAMEKQSLPQTPWDIYMVQMQ AYMREWNNFNRTMLAHFNERQHLVETAMAPGWMRAVGDSSRVKLDGSNGDSADAEEDL LAGKGKTGYSEYLRGIEEDFVVRQHWDVAWERHRECILDLGKAREWLRSGHGGTQGAF QKPGMKMPVN TRV_00968 MVTIVLGSQWGDEEKKKKKKKKKKKLTVGGSTGKGKITDLLSQT AELCCRSAGGHNAGHTIVHDDITYDFHILPSGLISPTCVNLIGAGTVVHLPSFFKELA SLDGKGLKNVRERVFISDRAQVCFDLHAVVDGLEEAGLGTRKVGTTGKGIGPCYSDKA ARRGVRVGDILDEGVLESKLRSLEAGYRRRFGELDYNVEEEIAKFKEQEYRSLLQPHV VDQLTLLKKFEDKSASILVEGANALMLDIDYGTYPFVTSSCTGLGGAIQGICLNPTSI KSIVGVVKAYCTRVGSGPFPTEQLNEIGEKLQVAGREFGVTTGRKRRCGWLDMVLLRY SARINHYTALNLTKLDILDDFDEIKVAVAYKIDGKELESFPASSDALEKVEVVYETLP GWKSKTMGISRWEDLPANAQKYVEYIERSLGGIPVKWIGTGPARAHMIDRN TRV_00969 MAVLLLASSSFASVFFGFSQSRSLHLLLFSRHIPPAMEDSNDSM HSRMRLEQLAAGMGVYMNMKFPRITRKDTVSSFSQQDKDAAEALAKQKRLEAFSAKSH VFRRTPSKRSFKREELYSAIDTAIRDDASLGMLEYLLTQLKETKAKKSFFKTQENSVA LDMTDLLRLATEKRNSSFLEILSPHVDQWGLDAALGLAVASLDLHCIKALLQNGADPN SCHQQFVTAVGNGHVAVVEMLAGTEKKLSSSCLDEALPVAVSIGSMRLVMCLIHNGAN ADTDQILETAVQAGRLDISAALVLASRPPSRISLDCAAGAAYHSNNLSSEERDTLLEL LLCAGANGDCVSRALVSAVVANDKRSVSLIVSYNGDVTYNSCEAIIAAIKGGNLHLLD ILFKGAIDPDSASTALSRVPEVESNLSPTKKIAIIAHFTKCGAHGSPLHQCLVDAVHK NERSLIDLLIQNGASVDYDEGHALRLAISAGALPIFRKLMEGQPSQATLGHCIGLLPS LQPRLQFTVAQDLLAAGAKGDAVNKILIGAVMSEFPAEREQLIELFVNHGANVDAQNG NCLVQAVKIGDVVVLKLLLQGRPSSSSLSRAVVPAVSLRDRILRFSILDLLLGSKEAS GPQINQELVTLLKENSLDIVAVNLFLEKGKADVNFNNGEPLKQACRMNDPELLKILLQ HQPSVDALNASFSVALTSQDTSARYKMCQRLLVAGANGEALDAGLVTAQQSSPADPRL IELLLSFGADINYNGGAVIQRAIDNSDERQLALLISRFPTTLTVTLGLERLLKIDTKK RNEMAKILLDASQNRIPEALDNLLAEAILVNKGDITFLKMLIQYGASVNYQQGAAIIN SIKGRRFDVFKLLLEQQASQGALEEAFKACWALKGADRLSYVSRVLKGGYKGELMDSA LLEVVQEMPCSHEAIKLLLKHGASVHYNRSHSLVHAAMAKDTLTLGLLLEAISDRAGV TYAFGKLISTDTSNWITEEGYSTVELLLQNGASGEVLSLALIAAIETSDSNPKSSSFV ELFLQHNANVDYQDGRAITASIAVGKPHLTKAILKSSTSSQNINTGFLCIFSSDLTED VAVELFDIFTKTDLDIQAMNGNIWSPKDGNELREPITFQCLRKWPRGYKMLGRLLEAG IHVDALIPYVIDEEHGFEQVSLLLWALLQPQQLISPYVFECLLKNGADPNFQSTSSMM TPLLVASVDRSPDVIRKLIQHGAVVSVMDRHGVTPLVNASKRGQLTTMRCLIDAGAMI DDGSLHEASRELQSSAVQLLLDHGHNPNFPSMLHDGRCALAELCFKAESPGTSLVKTR QTIDVLISGKADLAIQSQGKPLIYHAIDNPTSCISTTTALLASKMWRLINNDFNHYTC DGYVYSPSTYVSKCLAQSPSEHAPELLRILKANGCKDVFYKCEGPQPPDMVGAPAEVI AEEKRRKNRLQRMQEQEEDHQISLQRERDAADQQHQIMARTHQLRALHDRETADERDA AAERSNRLQLRLEAETAAQRQRFAAQQQLADQEQLRMMNQLRLEAAERQGRLQIENDK IMANTQHSLLEAKMAVESRRIKELEAANERQYHRDSDLLSRQERILADRKAFMVASPS ASGVDESGLPTPEPQRQLTYTGSELD TRV_00970 MSVRFGQLLSRNLQRCAIRLPVTSTLAKHSIRRLPIAPLLTSRA FHSSPQFRVPAGTANAGVAVEDAGEAELITEFAELGEKGVVDQRLINAITKGMGLKTM TDVQAQTINESIQGIDMIAQAKTGTGKTVAFLLPVIHRILQDPTLGNLRRNFASAQDI RAVVISPTRELAEQIAVEAQKITRGSGLKVQTAVGGTRKREGLMRLQREGCHILVGTP GRLMDLFSDPTSGVAAPKLQAFVLDEADRLLDIGFAPDIERIQSFFPSRSQVDRQTLM FSATIPKSVKGLARSMLKPDFTFVNTVGDETPTHLRVPQRAVFLRGFENQLPALFEIA KRAVQAHAANPDTAMPFKAVVYYGSTAEVSVARRAFTALCRDLESLYTGRAPRIQTIE MHSRLTQAQRTFNSDSFRRATTGILFSSDVTARGMDFPNVSHVIQMGVPNDTDTYIHR LGRTARADKTGEGWILFPDIEFDAFGEKLRSLPIKEDTSIESASVDLTNPAQFSESIA SVYDTLSKQFSRIFDDDKLKAYRAMNNQMSKYDKRESRRLLHQLATSIWGYPEPPYLP EPSPSRAGGRYNRSQRGGSGGYGGYSDRRGGSSYGGRGGFRGGSYGSGRDYGRDRGFD RGFDRRGSQGDRRRTSLWE TRV_00971 MAPPTMTATSAPSTGDATMSLRSAPIDGVAKDEAPEMTKLRATF PKPPVFEDKVKEREYLKGRLALAFRIFAKYGYEEGVAGHITLRDPVDPTTFWVNPFGV PFAHIRASDLIQVDHEGTVIAGGEIRRLNTAAYMIHAAVHAARPDVQCAAHSHSIYGR TFSTLGIELDITTQDSCAFYKDHALYAQFKGIVLGKEEGLNIAKALGGKKAAILQNHG LLTVGRYIEETVFWFYALEKCCYSQLLADAAAKGRGIETVKIPDEDAQYTYKLIGTPF AGYFNGLQLYEVVEREMNGAHLQ TRV_00972 MDPNSQHNTDPNMKSGFAVDSSSGVPTNKWGSPFYEFWDPVDTT MSGFSYFNGDCCLWLCLAYCGCFGIFQGLKRIETRKQYGIEGNEVLDFAGSCCLPCCM LVQEDKEITRRTEAAGYQRTSPMSYP TRV_00903 MYGQDVQPSLIEFPVEDLVFTTRATSLSRRYSNLEPNLRSIIIA VDGACRENGAAAPRAAIGVYVGNKSDYNASMQLDPSWATNQKAELAAGIYGLDAAREI NDYGVDGESLTEVIIKTDSAYMVNGLTQWVDRWEANGYINADGQQVTNHYLFKELSWR VRELAESHVKVYFWHVRREHNREADQLARDALAR TRV_00904 MALDNSPYYGLDDRPQGQRRGNTQPLVISVDGACRGNGREHRDA SAGVFVNQGSRFNESIPLNLDNPSNQKAEILAGIHGLNKAREMDGGPSRHVTIRSDSE YMVKGVNEWSRRWESNGYRAANGQPVVNRELFQQLNSARDSLERSGIKVDFQHVPREE NRDADHWASQAFDNYHKSQDCGYYEFEEDCGPLGYQPETYTNDEEEFEGPHTSPEAFI EPTDAQGFHETTGDFYGASYEGSYGDSLDDCYDDCYDDDYYNDAYDD TRV_00905 MKTLRFQLYRVWVFFGRLADKAKGKETEKNGQLSQASDRDSEWD TINGPKAEDSLSSEDRHQPEGRLEPALHRLNDDVLYYLANYILSLDDTVAFALTCRAA YKAIGGRRVLQMLNKEPAWYRARFLERLEFDFPKHVLCYECDMQKIANHYRLGPLYGR NELYLVPQAPYFRRDIERKIAHAHGFNEEKLAREMLPLCYRCEICGAERQFTITYLAS KPGYAVIRSAMWESVGHCENSVNGQWEHICSSGSPATVLPFLSISQSELIHQRACIEE IPGNFAQRLNDEVVQKLTY TRV_00906 MGEQEKARTSGEIQPGAAAGPAMAMEMEDRKDDSQTLPTVNPAA TKNEPPKPSFHPAFYVISWITMSSAVILFNKDLLDKKQNKFPVILTTWHLAFAAFMTQ VLARTTNLLDGRKKVKMTGRVYLRAIVPIGFFFSLSLICGNKTYLYLSVAFIQMLKAT TPVAVLLVTWALRISPPNMKVLMNVSFIVIGVIIASFGEIHFVMVGFIFQIAGIVFEA TRLVMVQQLLSAAEYKMDPLVSLYYFAPVCAVMNGVVALFMEVPDLTMDHIYKVGVIT LLANGMVAFLLNVSVVFLIGKTSSLVLTLCGVLKDILLVTISALWWKTPVTPLQLFGY TIALGGLIYYKLGVEKMKEYTNQGLRQWAEYSSTHPARSRLILIGMGVLVLIILMFQF GGSVDPKVVKGMLPGKVSGQ TRV_00907 MGPSLFNNYFNGPTVALPPPSQLHQTNQLAPTDSMNHSFAHSGG SRFPHHASAHADGIQSGPPKSFIGRQTPLNATQCTAAPSRKRSRDEFNLSNNDNHNNF AVQKALTPPTVPEEEPIYGEGMVLINPKTGLAISASSQTGTWYEEKAEEKAVSNQISV PITADVNHDHINPLPSRKTQRLDATASAYDDITALSIANKLQSNTDDVCGSGSSPKGP EMPHVDDATRLLGISWQRMANDDKDMVAAIRGWEKYINNHFSTHLHDAQIMLKHRGLN VYLVSALPKGQHQNENAARRHFYLFDDNLTEGQLVGRDWQSSIRNLQSSPIAFEAGSA VLKAAEKTPERQVEDKGIPIATGGMNGCMMGTTGTSTGTDIQMEID TRV_00908 MVGVKPTPRLPPPGKAGIRRPPVERLASLKRPNPTPIRRPQSIH VPQNHQHQHPQQQTQKPNTTVPPKTSKCPNPSCPDPNIVEDDGQKVCAGCGTVISESN IVSEVTFGEASSGAAIVQGTFVGADQSHGRSFGPGFQRGGGIESREITEQNGNRYIAQ LSRALNIPESASKAGGQVFKLAVGLNFIQGRRTKTVAAVALYIACRRQDGNTVMLIDF ADVLMINVFKLGRAYKALLDELKLGGNHFIMNPIDPESLIYRFSKQLEFGPAMMQVAS EAVRIVQRMNRDWMITGRRPAGICGAALILAARMNNFRRTVREVVYVVKVTELTIHQR LNEFKATESGGLTVDEFRSVQLETCHDPPSFSHSKDKKAALQGKKRKAAEVEAGADAG RDKISLRVVQTKAPRVDADGFAIPEIPIDPALLASDKQQEPSESSGAVDEYGLQNDGK RSRPKGSRSAPYLEPTESELASESALEDEMTALLSSNSDLVTSTAKPVTDTTNTEIAQ PKPIDDGDKDADHQNTEPDADTDVSTTPPKAQQPVSSDVEINPLEFEDDPEVQFCLLS PAEVEIKERIWVHENKDYLRTQQAKALRKALAEAGSDPSRSGAHRPRKRRKGRIGDVT YLSGESKNADGESVAGSRASTPAEATRLMLERRGFSKKINYQLFEQMYGDNDEAANEE GGSIITVKRKDSESDASRRSRSRSVSVSRSVRGSSIASEGGGIFGGRASGNRSKLLKP IRTPAKSTMKKSAAPAVVPASTSPSKPAVEPTSKEISQQSAVPSAESQKVPKAVAEVT APAPAPGQLKSQQQPTDPNEEILGYLPDAEEDQLPAGTEDVDDEDEEEEDEEEEDDGV EAAFAGNYYDEGSEGGYDDDYF TRV_00909 MMADANKTTSSCCRSGSPKLPIPRINIPSEDLKTTLLVNNIHCA SCVAYAKEVLHGLPDIKTVEITILAHEVTVLHGSETNTSDLVKALSDAAFEVYHADTY NESGVKVGDLDTSSSVGSTWLESGILNSPQSRKVLGRLRPVSPDTRKTHHIENCLVCR EEFGAGSNQSHKGDQLEVPPPTQSGVVSAVETLRRISPQLSSPIPRSAVTPAVPPSTK DVAKPPPSTGDEIFEAQLSIGGMSCASCANAVTSEINSSSHVVSATVSLLTNSAEVAF RGPKENIEKIATQVKDIGFEASVEKVYLKKSRLEDAYVADVSIKGMTCSSCVGSVTRA LDACPYITNATIHLLGNSGKIEFQGKENLEDIISRIDDLGFEAAIVDCKPIGNNSSIA NEEVKRRTVQIKVEGMFCPHCPQKILGALRGIPDAAVTIIEELTLEEPILTLSYTPNS PTLTIRNIIATIDGANEVFTASLYHPPTIEDRSRAMQMHEQKRLLLRLLFTFIVGIPE FLIVPKSNSIRRYLEEPMWIGSVSRAEWALFILTTPVMFFGTDVFHARAFKEIRALWR PGSRVPILRRFYRFGSMNMLISAGAMVAYFASLAVLILKSRIPDKGREPGEIATYFDT VVFLTLFILVGLALEAYSKAKTGDAVAMLGKLRPSDAILVLDHVLSGQPDPNNTSIQR VPVDLLDIGDIVVIPHGGSPPADGNITGTTPTTTKSKGDKVFSGSVNVGRPVSIEITD LGSTSMLDQIVAVVREGQAKRAPVERIADAITGYFVPMITLIAILTFIVWLSLGQSGV LPPDYLDSEQGGWPFWSLQFAIAVFVVACPCGLALAAPTALFVGGGLAAKRGILVRGG GEAFQEASRLDSIVFDKTGTLTEGGDLKVSDHEVLITDENDLQIAWALGKALEESSTH PIARAIVEFCSDKTAVTVKQTSVEEIPGHGMKGSFTVRLDGNDQALEYEASIGNQRLV DLLCGEDPDLYFLPNILSRYHSAGKSSAVLSIRKANGTARFAPAIIFATSDPLRHEAA QVVSQLQARNIDIHMCTGDNAVTAHAVASVLGVPRSNVIANVLPSQKAEYIKKVQNNE LGIKAQLCTKSKAKTRRNIVAFVGDGTNDSPALAAADVSIAMASGSDVAVNTAGFILL NSDLNTILDLCKLSRRVFNRVRWNFLWAAIYNVSLIPVAAGVFYPIVSGHTVRDGIVI NNHWRLDPAWAALAMALSSVSVPE TRV_00910 MDIDADEMADGACANPLLLGWLKQWYDEALDKNMKGASAVYKKA YNSMKACPLEFSHPSEAQQLSGVGPKMCERLTAKLKEYCEKHGLPMPERQQPRQQVKK RPSADHLRQEEGQAPKRPKKTKPYVPTLRSGPYALLLGLATVDKSSSVGLTKDQLIEV AQPHADASFTAPPDPSKFHTAWDSMKTLIKKDLVYERGRPLKRYLLTDEGWEIATQIQ KTVRSNSQILPDTRTTMDNFINSGDIQSSRLLNSTDEPISIDVPPLSREIVHGSRFTL PPGSFTIEMVLDYREIRSRQDRDYISNELAKRGVSPIVRPLEVGDIQWVAKCKDPNFL AQYGEAGDEVALDWIVERKRLSDLLSSIKDGRFYEQKFRLRRSGIKNVIYLVEIMTLT SANPVIANRDMSAIASAIASTQVVNGYFVKQTNSVDESIRYLAKMTQLLREMYTGSDA NTPVRRIEVTPTSCIESQNEYLLHLERLRAEEQKRLDTSPTSNVLETTYTLTYNTFSA LSSKSDMLTLRDIFLKMLMCTRGVTGDKALEIQRRWSTPREFVKAFERVGPRGPDGKP SQAQDDLVASALGGLVGRKKIGKTLSKKIAEIWAP TRV_00911 MQRLEAAVSYLLRENKFPSLSAFDLTHSPGAPSDLSSTPNHASG EVRVNDMNATKSLDMTRENSQEPMPEEPDLVPAPMGTLYEVTKLRNLRSNQVGKPKST LMEEDFISRGCISLHEAEELFAYFRRTLNQLLWGGIILLHSDLSSVRRSSSLLSAAIL TVSALHIPNRTDTLNKCYNEFVSLIGAATLSRYHTLDDIRARCIGAFWLPDLSWKLSG QAVRIATEINLHHSFLKMTRGQTDQFERAKLWYLIYVCEHHFSIAYGRPPSIHEDAAI KNYETFLQSPSAVPGDVRLIAQVALFVILTEAYRIYGSDTEQALTESDFGQLRSFNRA IEQWRMLWQPRSLDNPYVRTYPSKGVVLHYHFARFQLNSLALRAISPNMQFSPERKEA ANVAISSAMATLNLVLEEVDLRDAVVGVPIFTHTMITFSAVFLLKVAVIWNTGYLNVD AGQVQHLVERIIDLITRASAGEKHLTRHISRGLGKMLERFKAWNRSAANTSPDSTNMA NPIMDSGTESSTSFTPSNMLISDMVGAYGFNLDEHFLDPYMPNYGFYAT TRV_00912 MAGTSTGGSLKRPHQLDDDGTSQKRSRSNNGSPAPPTPPPKVDM AKAIAEAKAKAEAVRARLNAQGTGGAASIPAAGPSAQQSGGSSPAMSRLEQMKARVAA ATGKINISATKPPQPAPSFAPTPPPPANDDSMMRARGGLDVGLHPALLGDSGQDNRTT KGKQAMQPKFATTMANFRSESFTPPSRGKTPLDLSGPSIEETRNNPYFDPSFGSRSVA ARPRHTRQLIFNQKGKYIQQAAALRRQAQLEAMKKRIAERARQAGIDEDLDVEKSYLV AAPPAIEWWDEGLVNGTDYIATDDPSNLKIDTPDSVITIYIQHPVLLEPPQDKNIPAP KPMYLTPKEQAKIRRQRRMADLKEQQAKIRLGLEPVPAPKVKKSNLMRVLGEDAVKDP TAVEARVNREIAERAQKHQDANEARKLTKEQRHEKLASKQELDAAKGVCVSVYRIDSL ANGRHRFKINKNAEQHALTGICIMHPKLNIVIVEGGAHSINAYKKLMLNRIDWTENSG ANSVREGNREALTSWLAAEDEKGELKDLSLNTCTLIWEGEEKNRAFRKWVGARVCETD GAAKDQLARAKMENFWALAKSIKPNTT TRV_00913 MSSFAIPVSSSIGGIDFGVMSDEEIKAVSVKRIYNTPSLDSFNN PIPGGLYDAALGAWGDHISQCIYCHRFRMSRAQVNTYSCKLRLLQYGLIEEAHIVGNM ELRKGARGKDDSSDDDSDDEDDVSLIQRRNAYVKRCIANLPVHKRSRSHMEIVKDPTA AEMRRSLVRDFLREACAVKKCTSCSGISPAYRRDKYSKIFRKSLPQKARIAMMEAGFQ IPNPLVLMEEASRLSQKQKDGDKTANLTESLDDDDQPNAEQQISVGNTMLMDVEEDAM TQVGDIESQQYVPSSEIYASLHFLFNKEQEILDLIYDSRPFVKKRSHISANMFFIKNI LVPPNKFRPAAQQGPNEIMEAQQNTSFGRIIKLCDQINQISRERQGDTTGTSRRIRDY RDLLQAIVQLQDAVNSLIDRDRNPSQGLGAQNEDGIKQRLEKKDGLFRKNMMGKRVNF AARSVISPDPNIETNEIGIPLVFAKKLTYPEPVTNHNYWELKQAVINGPDIYPGAAAV ENELGQVVSLKFKTVDERVAIANLLLSPSNWKLKRSRNKRVYRHLTTGDVVLMNRQPT LHKPSIMGHRARVLTGERTIRMHYANCNTYNADFDGDEMNMHFPQNEIARAEAMQLAD TDHQYLVATSGKPLRGLIQDHLSMGVWLTCRDSFFDEDDYHQLLYSCLRPENSHTVSD KIWLMPPAIFKPQPLWTGKQIISTVLKNITPDNRAGLNLDSKSSTPGDRWGDKAEGEV TVRDGELISGILDKSQLGPTVGGLIHSIHEVYGHIVAGKLIGILGRLLTKVLHMRAFT CGMDDLRLTEEGNAKRKELIQQADGLGKEISLKYVTLDENKVDDEDTELQRRLEEVLR DDDKHAGLDAMYKSRAGELSSEITKACLPSGLEKPFPFNNMQTMTVSGAKGSMVNANL ISCNLGQQVLEGRRVPVMISGKTLPSFQPFETKIGAGGYVFGRFLTGIKPHEYYFHAM AGREGLIDTAVKTSRSGYLQRCLIKGMEGLKAEYDTSVRDTSDGSIVQFLYGEDGLDI TKQKHLDSFSFLAQNYMSIVSQVNGVNDSSKIHSEEASSWSKAALKKIKKTGKIEAKD PALAHFPPGANYGSTSEKFSSALKKYLKSNPDNLLKDKSTGEGEITKKAFTTMMNMKY MKSVIDPGEAIGIVAGQSVGEPSTQMTLNTFHLAGHAAKNVTLGIPRLREIVMTASSH IMTPTMTLKLNKQTDEKTARLFAKTITKLTLAEVVDKLKVRERVTSQGSAKAKIYDIQ IDFFPPEAYCAEYAIQVNDVLRTLEEKFIPRLVKLTEAELKKRTSEKSLSSPSAAQPE IGTSVGVVEEARREGGDNDEDDDEDLDDAKRASSSRNNAGQASYEAPDEGEQEIIRRQ DTPDLESDDEDTDDKQKLAPVDNSDSDTDMEDPVPSKARKLANSRDRADTLRGKYPAL TQFKFDPENGSLCTIQLQYDISTPKLLLLPLVENAARTSVIQFIPGLGSCAYIPADKG EPEHVVTEGVNLLAMRDYQDIIDPHSIYSNSVSHMLGLYGVEAARATIVSEVGAVFEG HGIKVDNRHLTLIADVMTQTGGYRAFNRMGIVKDSTSPLMKMSFETTVRFLRDAVLER DWDNLNGPSSRIVVGRVGTVGTGAFDVLAPVG TRV_00914 MPSGTGKTITLLALIVAYQQHYPEHRKLIYCSRTMSEIEKALSE LRALMKYRSQKLGVVEDFRALGLTSRKNLCLHPSVKREKSGAVVDARCRSLTAGFIKE KKEKGEDVELCIYHEVRSSSPISRSKADLLQNLDLLEPSNLVPPGVFTLDGLLKYGEQ HKQCPYFSARRMMPYCNVIIYSYHYLLDPKIAERVSKELSRDSIIVFDEAHNIDNVCI ESLSIDLTEDSLRKASRGANNLERKIDDMKSSDADKLQNEYQKLVEGLREADEAREEE QLMANPVLPDDLLKESVPGNIRRAEHFVAFLKRFIEYLKTRMKVTHTISETPLSFLSH LKDLTFIERKPLRFCAERLTSLVRTLELVNIEDYQPLQEVATFATLAATYEKGFLLIL EPFESDTATVPNPILHFTCLDAAIAIKPVFDRFSSVIITSGTLSPLEMYPKMLGFDAA LQESYSMTLARRSFLPMIVTRGSDQAQISSSFQIRNDPGVVRNYGNMLLEFCRITPDG VVVFFPSYLYMESIISMWQGMGILDSVWNYKLILVETPDSQESSLALETYRTACCNGR GAVLLCVARGKVSEGIDFDHHYGRTVLCIGVPFQYTESRILKARLEFLRENYRIREND FLSFDALRHAAQCLGRVLRGKDDYGVMVLADRRFQKKRNQLPKWISQAMLESETNLST DMAVATAKSFLKSMAQPFKAKDQEGISTWSLEDLKRHLEKQKQEIEKTQGNKMAWDAT NTGIADTAIVDDDDFNEDLLAELES TRV_00915 MSGKKFKSQASSSRAAAGGFGGGSFSSFGGFSTAGPAQETPASS LSYVYEPPDLSQISDPQVVVTFKNLLKKDSTTKSRALEDLQSHISNVVSSNSSLDDGL LEAWSKVYPRTSIDSSRRVRQLAHSLQGDIVSIAGKRIARYISGTIGTWLAGLYENDK LVKKSAQDSLAKSFPTEEKQQGIWTVYQTSILEFVADATLQQTPQTLSDERTVRPDDA NAKHARVVATALLEFTTAPTEKLSKDADSVENILQSRDLWKFSYHEDAFVRRSLYELL QTCLSKNIDCVNWKTISTCVLSKSLGISQTGSSSTFSGLLLALTKKSPQLWTTYYSAK SPAKKQLMRYIKAGSEGAGPSYWSELQLILQSLPMEVLEIDKGDEAAIHSIISIAEAI HCSLKKREEARTNSLAAWTTYIKMSIWMSNKIPGGEKHLKFLEEHLFPILVQFIAADQ AQAIWTIDDPNASKICVELFIALSQILEHDTTGGLCIKLATILKDNILISEPEQSQTY RASQDSVCSKGSRFFTLCGGVVTKASESSQHAFVVESIKSSSFSLIETSLQTLQSRNG KPYGAAAVVGEALAKIPSLMGEMEALDPFLTNTLPQLILSPSAEHLVSILFACRSRKG FNNALRKAISAYHNTLISSSQLPSMGNLFSSVTALDEENNPDLKLLVLGVLQQGVRGD HQSWLDIAAVHQNKKLGPEISNTILDSLIERLSSEDSMVEVLRGLLLLSTESAAPIQS YVTSSNGSKLISKLLYLTESSNDEVALLAASLKDQVTNIGGDNSSLKPTLEVLERNFK TVDDESLSVESLIAIVQEVLDKSSVHDSPKLLLSLLPRESSWKAALDPYIEAPPKRSC SIMSPLGGAVHIIDASRNLDILHAVPRDRDGFPLAFRLAYYVTKLLTAVGIDAVPLPP LENVFTYFPLIIQLVDEELSIDESTAILYPLTSDTRAIALEIVSEARILINGWIQKSC TADAAYKAGARSFVSFWERSVYNFEGTGSRAYRFAECFARIVTERDAIKPTPSADGKL KAAMETPGLSNPFVLIATIVGYRDSIIETQTVSKLCNQLVADMTGLKDTNNIGGELLI YLGLIFTPLADTTVTDLRKLVVLNSLMYGGNNPAKNIPTQRLVFLVKHLITCLQSGEL GVGILSEILKVLSAVLPLMKEIYGSHWPDLFDVLKSLWQNGGLSSEYLPVLHSSLRLF SCLRKLATEDSNEDLEDAWKEARKSHTETMVNMLKQFGPSFHSDQPWDITTDLLSREL SAINADAISDISELFSSLSIENKGVQRATYGIIHRAIPKIQETLSFDVALSKTAVHLP DQLLALLSEVPPIAPFKEADIDENFWLGARSYILGWKVVFDHFASSPVLTYVQSIPVQ EGYSSDIKQKDCLSSLLDFAFDCLETPQGQLIDASKFEIRSFELYTAESSKREMQWLL VHIYYLALRYLPNMTRAWWVDCKKRLKTPVETWTQRYNVSDWYSGQDWDSEEHALEVK VSSKAAEIIGSIEIDEESPPTSIAISLPPTYPLHQATVSGRSRVAVDEKKWKSWLLVI QGVILFSNGNLMDGLMAFRRNVQGALKGQGECPICCSIISANMQTPNKKCGTCKNTFH SDCLFSVGLVLIRVQQQLQQQLQQQTANVDIFSPMAAAHIPNLNTLRRGGGRGRGRGR AGYPGTAGRGEGSSGDKVVQQTDNDASVSRLSAVELGYLHDPFASIFAPETAEIRRYP IINRGTFVRTTSIDTLVSRFLWADKKKKQIISLGAGSDTRVFRLLSENPELDLTYHEL DFAENTTSKIAKILSSPPLLNALRITDREEVKTSSNGDAFHSKHYHIHPIDLRTLTAS SNGPDRPRVQDIDSSAPTLLISECCLVYLPPADAVKVISYFTDNVFSPTTPLALVIYE PIRPDDPFGRTMVNNLAARGIQLQTLHRYATLQAQRERLQSHGFSDGQGVADIDFIWE KWIGEDEKSRVAGLEMLDEVEEWQLLARHYCVAWGWRDGPPSPGDQETLPTGEAFHGW REFPSQ TRV_00916 MLRIPIWASAVLFFLAGPSQAGHADFHSYTSGFSRHHGGGHQRF HRSVPALEAHGVSYIEKRGGQCQFPADAGLVAVTPNAMNAGWAMSPDQPCKPDSYCPY ACPSGQVMAQWDPKATAYTYPASMNGGLYCDKNGKISKPFPEKPYCVDASGPVKVKNS CGGVVSFCQTVLPGNEAMLIPTSVQDTADLAVPDPSYWCSTAAHYYINPPGTDTDTAC VWGTNANPWGNWSPYVSGANTDHSGQTFLKLGWNPIYLETATPFRDESPKFGVKVECE GCNGLPCEIDPAKNKVNEITGSGTDGAGGAAFCVVTVPKGTQATIVVFEGGNSGGSGD YGHGDKDEKPKDDDKKEPKPSSSSSSPAPSSTPSSSSSTQSPTPTSTSASSSSESTPT PTSSYPSKSSSTTSSSATAAYSTTSKSPDAYIPSPHVFIESPSSSVHHPTNGSSIIMP TPTNSEKAPAPTHNNAASAAAISVMSLTLSFLIVTIFVNF TRV_00917 MSIIKEYNRSMKRIPCRYFQTAVKNWKQEVDEATQKKREAPPFR PGCYFGNKCHYAHIDPQTGQPYIFDAALIKEIDRKRSRRKTQRRGWSDIYDYPAFFGG GLEPDLEYAFLSSFLETGNDWDILDDSD TRV_00918 MPLPKQTQDLIFALQALVFRLRQLKKERQGYSKAKHRELAKLLK EGREDFARIKTEDVISNDNLIAALEVLELHCEQLQVRANILDHLAFGQKKNKTPVRHR GKAQRDARSKTSAGGGKAGSHPAGEGSKPAPGGGWGIWNLFGFSSTPTSSSQQLAESL PGRSPDEPVVQTEGNDGDVSEKQYEVYIDPELDRSAAVVFYCYARIPRDVPGLLEVKN KLSLRWGSDFVSRAQDDDDLPVELPEILLDRLRVRKAPESLVESYLTEIARSHGIPYG DINIDEEQEAVISINDAHPAETKNGEARSTQGASGGSEARSEASNTAAAKDTASKLGG IPEVDELARRFAALKK TRV_00919 MVWTSEETQIVVAAAICTLQVLIRSFVRIGGTLKWFPTMQQQRW HADDSWMVASLFPLLLRTVCMSWNFSLEKPLSQKDEELFQKLVMTARLTYAMLAIQIL RAFIFLTFIIIVLATLLECRPIYV TRV_00920 MLLGYKKYSAHMGINSWQSLSPFPGPEFSSSSHGTSKHKAENDI PSLQKAAS TRV_00921 MKFSSCFIQGAALALLAGTGSTFGFAGSKLGKDMHDAVMMGYSS DLALDPGHFKAVMDSPKFGSPQAETVDMPIDHKSNKTGTYKHRFWINEQDYKPGGPVF VFDCGEAAGQRYADRYLFNETNFFRQLTKKFHGIGIIFEHRYYGESTPFPVTVETPPE HFQYLNNDQALADLPYFAKDFKRKSFPNDDLRPNATPWVMVGGSYPGMRSAFTRDRYP ETIYASWASSAPVQAQIDMAVYYEQVYRGLVAYGWGNCTKDIRAAYRYIDRQLSRNDT AAAIKKLFLGEGAEQASNGDFTAALIVAYAGWQSSGADGQVGKFCNWLEVDPKTNKTA PAEGWAPTLGDKAMAERFAAWPTLAEMVNANAMTNCKQTDKSKPLECKLDKPSEDPDF ISWIWQYCSEWGYYQTVNYPQHPILSKYQTIKYNQDFCYRQFPTGVKSGYLPVKPQTE KTNRATKGWNMRPSNVYWSGGQYDPWNTLSPLSTEPFAPRVKVSQEIPKCNVSTGPRK IFGYLIPNAQHVYDFRTYFKPGEVSRNLFHSALEEWLPCFKKH TRV_00922 MIASTQKSTIMFDNTTTKEKATVHSSKSSDSWSPTSWTTKKTII QEVQYQDPKVLQDVCNTIANLPPLITPEEIEAARSQFAEAALGKAFVLQGGDCAESFH DVRPHIVNQKVRLLEEQSHILSQGLNRPVATVGRIAGQYAKPRSSLWETLPDGTEVPT FRGHNVNGPELSERQPDPHRLLLGYFHSQATLNLIRGPDALSTPPMGSFPSTPADGEE GATKRANGGTIYTSHEALHLPLESASTDGRYNTSASFIWIGERTRQLDGGHVEYVRGL RNPIGIKVGPTMTGETLVELLNCICPNAQDPDHIGRVTIITRFGADKVETVLPPLIQA VHQSGHRPLWMCDPCHGNTCTASSGHKTRHISSILREATTCYRVHRENGSTLGGLHLE QTGEFVTECVDGSDMGSEEKLGTNYRSLCDPRLSYLQGLTVVRGFVDFAQHIDAKYQT AAGNGV TRV_00923 MSLPTHCTDAPAKDSASISEAHDIIIPAPSMPYNDGSIATSSVS QDSCSTVATFPWSPEAGNADVMLQNINDETFPALEMSASMGWNENFLPENYPSEYHSE LLSYFSQIPEQHNIPKLPGPGEPLSLPQVPPLLSSSGASSSIGLGLSPNGDFSCDEDL FANNFLPGHSTVSSNTGELFEELSQRGGMAEPLGIALACASAYDSCVESSSSFIDTMI NTQREKLIREFHLYVDQPETCLAALHAVSVYQILSSLGDRSTTTGPVEVDRPQTGSGL AAEFHISFLLKMTRRLCSLYKEAVTSDTETDWNRWKFAESLRRCVFFVNLINVLAARC RRFHFDYFEPLDDTLILQMPLPTTEQTWRAGNETQWQICREDTQIIRPAPTLQKLMEI DDAGQLDRATLTPLTRIILACARVDLEADWRDG TRV_00924 MIFESRLELPPVPNSDIFNYVFHHGRREYPSNRVLYRVDGKEDT LTLGDLERKSKQFAHALRTEYDIRPGDVVGILAKDRIEYPIAYYGAIASGATIALIPI QKEMSETDVAARLEQARAKLLITDSEVLFLAEVASVLAGVVPLMTMDANKEGWTCMED LIKVGDQDANVFEITTEKEADEHEAFINRTSGSTGTMKSVITSDAHFIATMEATKRTI PANTDPDNDVWLSPLSLGFFINAKLMMGLNIILGIPVVLADGTLDESNIGVIERHHIT FLFITPPLAARLARCDVSSVDVSSVKWMLTAGAPIHENLRRTVSDNFGGVHLTLEWAT SETMLLAIQLDESTKKPGSSGTLANGIQAKVINTETGAECGIREEGEILVRNKLARYR GYKDNEAANQDFDSEGWFHTKDYGYLDENCNVYIIDRIKELLKVGEGYGSHVSASELE SVIFEHPAVASVVVVGTRNYDTQMDEPSAFVILKPEYANNSKVAKIRVEQFAAEKLTG LRRLTGGIHWLSSYPTTGFKVDRKKLKAMVPGKQKPIATGLFVPPVLAN TRV_00925 MVVYIVYGFRWSRVGTLTAPGIRPHIVINDLLDAASDYIQEPRT AKEVIQSFERIDANIPSHLPNLALIEQYDSEDTSISALSQPYAFVCSKVVPIGDGSEP QFNFFLSLDLEDFISKGPGLSAAELATFANLREVLAPGEKVGWWIVYNGNPERFSADK TGSDSDTTEGDGEPEEAGHRSGPPVCVPIKSIIIACTHTAANNPVSPLDLSNLRLFPQ NRGLTFQRGPKSSSLRTNLTSFPWSGFDAPTVDSSVCYPKRV TRV_00926 MLYLVGLGLADETDITVKGLEIVKKAERVYLEAYTSILLVDTAK LEEFYGRPVIVADREMVESSSDEILHNADRVDVAFLVVGDPFGATTHTDLVLRARELG IEMRNIPNASIMSAIGCTGLQLYSFGQTVSMVFFTDTWKPSSYYDRIKQNAEHGLHTL VLLDIKVKEQSLENMARGRKIYEPPRYMTVAQCAEQMLETEAERKEGICGPESLAIGA ARVGAVDQQLVVGTLKELTKVDLGKPLHSLVLLGTKTHELERDYIRRFAVDTATFDNI WKKHYEAKS TRV_00927 MFVSGESAEASVETTTLIEEIVRQQVIEMLSRSTTLAARRGVRS ISTDDLFFLIRHDKAKVSRLKTFLSWKDVRKNVKDSDDKGGADTADFGAGDDALVGAG VAGPQEIAAKPKNKRAKIGLPWDLNSLYSIQVPEREDEEDEEEEEQNYATLQRLATAD ERTKNMTREEYVFWSDCRQASFTFRKAKRFREWAGFGIVTDFKPNDDIVDILGFLTFE IVQTLTEEALKVKEQEDRGKKGLGASEDGSGEKKKRKRETGLFDPPEEGRTPIEARHV HEAYRKLQATPSKAVAMFLHGGRAPLRTPLRLVSYLRKQIR TRV_00928 MNYLLIIGQQSLKTLRLGEVSQPARLLTTHHRLIPQPPAEDSDV DMARASPFDLSNDYKDDGTNVLLDANENAYGPSLTLETIEKSAGAQDGSSPGDIDFLG LHRYPDPHQVELKQLFCNLRNTRIHTQKELKPENLFVGVGSDESIDALIRCFCVPGRD KILTCPPTYGMYAVSAQVNDVEVVKVPLDVTQGFQLRPAAITEALSADPSIKIVYICS PGNPTGNLIKKEDIQKVLEHETWNGIVIVDEAYIDFAHEGSSLAEWVTEWPNLAVMQT LSKAFGLAGIRLGATFTSPEIALLLNSMKAPYSISTPTKSLAIAGLSPENLALMRKNR EKLLAQRTRLLEELPKIPGVGRFRGGTHSNFLLVEMLDKPSSDGGKPCNKIAQSVYQT LAENKGVVVRFRGKEHGCEGCLRITVGTEAEVTRFLSDLRIVLADMLGRKEV TRV_00929 MKLLTIISASLALAGFTTATPPPQTFSIKAKGNPKVPSARFDAS RSNIFLNYGDSGAVCEVKPGCPKPKDAVFYLKDSILYLYTGSSNPVQKVFLDRSGFGQ GKIGYLTGDGQLPSRWEVQGWTIDGAGNLKFKGKGLIACPTSDPKIKSWTVWADLGIA TPGGNKGCLPFTAHTMKTKPVACKYT TRV_00930 MDYISSWNSAGARAIYTVAAVAVYLRYRLFSTSHAPAPCVFTLC SPPSLPLEKSKFVWSAIRWLTFGTEQILRPKLAFTCGRPQAITLTSLALTSNSSHVRL LSTEQTSTQLGSNAGPPPGFDINDHRHTPLPSSSSPSSTSSSSDLGKPSGSSTPRTEF DWEDNPNFLIKNFSELPSKNFGVNQHMIINEEFKEALRQILWQFKAPIRYAFAYGSGV FPQSGTSGESCHPSPPQAIQAVQQGGGKMIDFIFGVSHSQHWHSLNLNQHRNHYSALG SMGSYVVSQVQERMGAGVYFNPYITVNGTLIKYGVVNIDALCKDLSQWDSLYLAGRLH KPVKILRDHPSVRLANQVNLLSAVRVALLLLPPKFTEQELYRKIAGISYQGDPRMSFG SEDPKKIHNIVSAQITNFRRLYAPLIETLPNVSFNDSQCNNPDWLDNPDINVSLEQDM DPIKRGNMVRRLPKSFREKLYFQYQSRFKIPRGEFELMMEKTADEDPERFHRREGSDF DRRIAAEGNLKDEVTLSIKNTISWPSTSQSMKGVLTAGISKSMRYLQEKRQKYNASRR SSSELPETSSENKTKSD TRV_00932 MPVSNDLQTVAGRRATFDVTHKSSSGEELTWSYASPSPDELAHA GFHYTPTALSPDNTTCFLCERSLDGWEEGDDPFTEHLHFSPECGWAIMMAITRKTSDP AQIEDPTSSKIADARRATFFSWPHDGKRGWLCKTEKMVEAGWYFCPNEESDDLVSCPY CKLSLDGWEPKDHPFDEHYRRSSDCSFFEFSKHPKKSSKSTRAKAVRGSKASRLSTQS NATAVSEAPSVDFDDTMEQSIISQGGSRASKAPKKATKSKSRTAKAKKEEDADVHNER AITEQHDKETSSFLESPSRGTKRKSAAISGMEGNNLDNNQPEPEPKPKKKRVTSTRTK KARSTANSVVDYAEPTDDELVLEAASKPKRGRKKGSTNKIRKSSTASNAPATSSNSHI PNDDEIDAQLEADLDKDFPVEDMASSTAEKAHSQRRKKSSMKDEHLDEPPKQEPPKKA STKSTRKKQTSTSSVAKLEQPPQLHSEVDVDTDEPVISIPTQSQEDTSPPKRVKQTKA KTTTNTTKSRKKRTDSNNVNDINLPEATDPSEDVESNKEEPTKPRLSKGRSSKKNSEA DAAKTTRSRGPSSQRPVTESLPRKEAGSTKEELDSRPIGRRSSEQVQPAQETPSRMRP SAQQIPRENGPSSSPQASDAENRPPSSKPSTMSKTQPHAVSQSIEEPLVTKTPVLSPS KGESSSRQLTTADPWESIDPDEVLLPDPTEKEGVSLAEVLHAAKDGITSPEKRMSIEE WIFWNAEKGEEKLRNECERVVGIFEREGGRAMQALNGIECID TRV_00933 MSDKKSFYNKPVSDTSFRKTWDREAYTEKAAADEAKSKEEAKAR YEAKLQGKKYHAPVDFSSLEATTSRASRLDVASLVGKTTLVPAGAAVGKRGRGAGFYC SDCDLTFKDNIQLVEHLNSKQHLYATGQSGEVVRAGVVEVRNRLRWLAHKKRVQEEED RKAGQLDLDLRIKTREEEEAKEREEKRRKRNEKRRKSGKDGVKQEDAWEGRLGIIS TRV_00934 MGQHQLIAPLVGQPSSMSKIKPAIAIPNKQSFIRLPTEIHMHIA SFLSYPDALALKHTNSYLYSLVRTNLPLRVDWIIERHEKGLTVPRKNCVLRTDELFCN SEVNQIMERRRWHLECKRGLAGCQVIEGSSCGGISAQQLLKGKRLMLILSRGGIYVEN RGQPLKCPDPAARVLANLKNTHSSLRWSLTWHNRSSLEILYRPRGVILDLKGSLFFAT HLSPVDHIRYMLVDIIQGI TRV_00935 MSSVSQTPLWVSSNAGNHPIDKYRQRVNKIFNLNLQNSHQLQQW SVKKPHDFWIDLYKYIGIVPELPPGTTRAYDDTVPLNSIPPFFKDIELNYTENILRGK NPEAVALIGLRESDPLNGEFVTWSQLNERIRVVRSALLQHGIKQGDRIGAIVSTSIWS VVLLLASASIGSIFSSISPDMGIEGCVSRFQQIEPSIIFADSDMSYKGNRTSLDEKIK AVMQRLSKSLVFVNPIGHTSKSSFPLIGTFLAKARDTDALEFTRVPFSCPLYILYSSG TTGPPKCLVHQHGVVLQLLKVSLLHNSLGPRDVVFQYTSTSWVLFNIMNGHLGAGATL ICYDGSPLWPDATTMLKILERFKYVSIVCIMYLQSGYLTVSLG TRV_00936 MPVFLWGDKDNKKYNSSYFERYEHICVWAQHDWISVNPVTKGIS MHGRSDGVLNPSGIRFGSAEIYAISEGPQFNTEIENTLCVGRRRVKDKDEEVFLFVKM RNQAQNRLTPELEQRLRLAIRTSLSARHVPKFIVQVPEIPMTINGKKVEIAVKKIISG NKVQVSATVVNPKALEFYEQFYELEAQPKAKL TRV_00850 EPASPLPQEQEPKKGTNPTIDPTKIVIKDEARRERGKKKRKEKR AVFFASSPSFWRAKQTGAPGSMKYGLNIWDGPAPPSPTTAGPAWPFLFYFILRPASEI PKSRRRESIQHTGCRVKRFY TRV_00851 MAKAKKTTDTLSSRLALVMKSGKVTMGSKSTLKTLRSGKAKLIL IAGNCPPLRKSELEYYAMLAKVPVHHFHGNNWTISMVTLESSSCSEKGFPSHMLFLYT MCWDIAGELG TRV_00852 MDSPTRLTILISGSGTNLQAVIDAIDAKTLPATVVRVLSNRKDA YGLERAKKAGIPTVYHNLLTYKKKHPNTEEGVKKAREEYDTELARIVLDDKPDLVVCL GFMYVLSKKFLDPMAKAGLDTINLHPALPGAFNGTHAIERAQEAWLEGKIDKTGVMIH KVIAEVDMGEPILIREIPFIKGVDEDLEALKERIHKIEWEVVIEGINIMIKRMEER TRV_00853 MANSLARRPSTNGSSHDRGTSFTSIPPSSISPHQSVSIGPRKNR SQTSLSHKSIGSSGESSQRRSVHSYGSFQAQKRRYKSQYPADSPEPHVEYILVASFDV DRGPIMEHQYPSAISGDESMLAELMLPDQTHVRSQDWTIFFLHKDNGGPEEDPEFGED KDGDDEQDEEKNQDDLSQEYPEEDDAGSAGMDDEPPLIGAMVKAMAICTRHSFLHIYK PLLLLALEDYFKHPFPETLAKLYDSLNAMDLSLMPCLSYLERHILQSTETKDLFVEKF ERMIKQRLADERAELERQGIDPQKAGPPSRYTVPRDTHEFESKIVYNDIAIPVKVPTA ISPETVGDFSLVKLIQTFAGPHAASPQPFALHPHLTSSGPFTHPIIVLVNALLTQKRV IFLGHNRPSGEVAEAVLATCALASGGTLRGFTRHAFPYTDLTKIDELIKVPGFVAGVT NPTFANHHEWWDLLCDLPSGRMKISNRVEAAPVTEGMQYFQPQNQNSSSSLLGSSSNP PDLTGDVQFMDDILKSIASRHSEGVIRAKWRAYINKFTRISATFEEIVYGATALDIVG PDEPPPDSSPMRERSGESTLRGHGYVWVDEATKQRELAAWVPRIEGWRNTRSYYYFIQ DTATMHLVGKPLKGLDVQHHHDRLRTLKLSDVDAKAVYVAFSKSIKDYNSICQLLSVI PETQAGLFYLSMGLFHNDSVVRNATVDLLDRISVHEAGRHFWAQLSRFARLAYYRIKR DKEREVASPLSSPFDDNTSHSIVGVAVSHTSVGTKKGVRRS TRV_00854 MREAFQVLDRDNDGQVNREDVADALNNLGEDSSSLATGAYFPPN GPRSINLPTYLNQIATHLAPLSSSQELLNAFAAFDEDDSGQIDLAELRDALLHTSPEG DERPLTESEINEVIQGFTGRRAFGNKGKTSSLTGSRSRAEVFRYEEFVGSLTGGPGDP KADKSKSKES TRV_00855 MSTHRIYVWLALLDIPPLPTDEYLSLVHRGRSPAYTKIRNDTFR TLATDPLFKRRVTEASLIRLLNAVAWKIHDSKTGTSSRQLSPSQQSNSRRTSSVFLPD GHAAAIDGQSPNPSDSAAIYVQGMNVLCAPFLYASRSEVEAFALFHHFITRECPGYAK STMDGVHKGVKLVDRCLEVVEPKLANHLFSKGMHAELYAFPSVLTLCACTPPLPEVLH LWDFLFAYGPHLNILCIVAQLIRMRDIILASQRTTFTRKIILGGSKPASMHFLFYVSM LLDDDDDDDDYD TRV_00856 MTRPPSLGAMPLDILCMVCDCLVDCDPSKKSLFSFSLTSKWCNI AATRQRFRRVPISLESKEKLAEDMERWSHILTPRGYIDYVQVVKITGSIHIRDMNSNS GEWPLGDMSLGYHEADDENDDDEWAAGYDDQSDSDSTWEYGDRKVFSDVGENPYLTNE ERQAQEVAWSPLVHFIKSLSSLKDFIYASEDQIPLCVLLALHQRHQRSRLHMHHFILH SLFQPADYPQDISLEEYTLATSPCLYSIRAHQSDYDIQGRVCYNSEAIQQMVAGLAPN LRHVSMAVPSTFINIQRNPKPAWRGFFINNPPEQNTPRPLGQLRSLSFKFIESLTPST LRKWTRYTDFSKLQTLRIMSDDGVDIVRILDQMAMEGKFSSLRSLNLKLFLSTFADTI IFDRIMADFLRNLPPLKELKLTGFVTEQTFNVILEKHGNTLIKLDFIASRGFMTGASL FVLYNSEVREIQRQCPKLQTLGILIPRTNGNKDEVDIYQTLGMFKWLKQLILRLDFSS VIHYRHSSSIDPGIDSLRISSNAVATLDQMRETFKNCAIDANLALSIFRMIATANGLR YPSKSPSLQYLKLQPWGHGEFGSGVFNGELGDITRWLGRSWLCTRPQEDMNFEKVVIR EVDKKWRMLFEPRIEDIFAWGKHFFEQSAQARFYQKVWKSTWPGHADGRKWTDDWSSC PLLEE TRV_00857 MSIKAEIRDPHLAASFSSLSSAWVKKTNLHIRIRTMYEQPPHPL KQNLFTYSNHRIELPKLTTDKMKFTAATIISTVYASTAFASNVSCVYQKGTKGDHIVG LKGAVQAYGGQYHVSGRSGSTFATYGSAKLTLRRTGDHPSVNSYTDATTVANKIQEII DCCYNHGYTECVGNGDIISFSDGGHINVNVAHN TRV_00858 MSLQKNETCEPDLLKRRRECSFMIRIDPYNPIRHFQRGLTYQAL GFPDLAAADAYRALTLFDSVIDPDTSEYPARKRVDLESFSDLSSLEVSDGSGGNTEDY GNCLDVESPAFEPLSDKEYTEHIFEVYELLVKSLAQCGCLRDAYDMCVQAMNLPTDGV KGKVRNTELPLLLNKIKEAAISTNVNSTGFDPMTLPAQGHARRSLYPWNTHEPDRNKP EIVRYLNERLARVAPKCEVRTTTLPSLHESVVNGNLREDITFEGGNVSIQLGLFAKED IAPGEILLHESSMLTATNRLHDDICDACNGRLPELSSPEPPVACEDCHDTIFCSQTCH DLAQELYHTAMCGQDGLESIGKDVPDPKDKADYLYLLLLERSIAMAATQDIHPLDLPE VKYIWGDFSSLDGKGDDIFDTTFTKRPASATLPFSFQLNIVQPMRILDEMGIDPFKSL DRYDTWVLNTLYAKFRGTASGRLSTWDGGPEVCAVHPLWCLANHSCDPNVRWEWGGEI TFTARTDEGRVKWGPESRSSKGGISKGEEILNHYCDVSLPVKKRREWAMGALGGACLC ERCIWEAAQT TRV_00859 MKSSLHKRILLREQGDSTLYSSIRGFYGDKAWIDDLDIVNELGG HNGCVNALSWSNSGKLLASGSDDKHLNIFSYQPESTEASFFLNTTIVTGHSANIFSVK FMPHSNDGTLVSCAGDSEVRVFDIEHQGRSAATETPAITSARRRRISNFFSGMRYLTE NNTNSRVYRSHADRVKRIVTENSPHHFLTCSEDGEVRQWDLRQPSSAYPSPRGGQGFM AFRPGLRHDDSNVPPPLISYKRYNLDLNTISCSSSQPHYIALGGAHLHCFLHDRRMLG RDLQAERGQPGSHNPPKSQHDNEAMGKATRCVKRFAPRGQKKMKRRDNGHITACKISN ANPNEMIVSWSGEHIYSFDLVRNDDALDHKDEDNRECLDGISTGRAKKSKNRKRKRGK ARSVSSASSGSRHQSRLRPESNESEDLAFRVRYRNGELEDIPFDSLPGTSLADTPQEV LERARESVLNEAQKLSLRIAKGLVEVRKLLFSVDASTREAVEEQSMADPTPYTSSFTA VLGLAATYLPEMDDVIRNWGYPLNPTHGDVVFQQALRSNRESSRRFIQASGTLARVLG GRIQTASGGESPQLQFFRQIEPAPTENGIIDNSSQFGYDFLKAIVLWLEGGRPALLEG FKERPSERRNAARFPIPQSATDEAIDTILIQYLKGLAGNNPIVNVDTSRFELDESRVI FSSQSHAVNAFAQAIRLPLEDLSGAAMSSIDGEGISRDNSNLSALDRRAATRFWGLKV GRGILMDVGEGVNFEFVNRAFGGLRATLEEDDDDEDDERERLQEDIDPNEEEEEISEL RVLNRHSRHNRHSHREPIPRHDVEDHDIQSSSEEDDEEESEDSESESDSSDYDSENER LREDDDEDEFGISTQLSSACRNSVESHVPCSSHLNVYQGHCNVKTVKDVNYFGLDDEY VVSGSDDGNVFIWDRKTSDLLNILNGDSDVVNVVQGHPYEPLLAVSGIDQTIKIFSPD NRAQDDASNGINIADPDAQSDLTVGVESALDQTNMRSPGLASRKRLHDSYQILSRNDV DRQGGMNEAFLTVRLLQPLTILRRGLSFAEWAALF TRV_00860 MRQSPQPPFNYKQPPSIIIPSQGYRSPYLIPPGYCYAPLHPSSQ EKNRGNVMPIPTRSLSVREPQKRQAVNGDSTLPLGSRSSGSRRLTTSSEILHYESSSG LGITYGNANGGGSSNGIPEKKSFLPQRRTIPRPQSLQIPQSTVQSARSRQSGESRLEG IKSTRTAPLPSESANSRPGSSRARSPTKPKPEPKRALAKPPNSAPPARQMRSSSLLHP AAPKLVNIASHARHQSQIINLDTAKSRPQSSGRPSSYYPGSKLAGAPSPTYQARFGLK KPSKSTTNSPETLFENPSPSPTPPEDQLSPEQTLALQTELLQLHVLHSQGLQTKAKWE AHAERHYRKLHESVKASYRREQERYKYQNLQAVKKFEEKSRSLSSGHDFTIQMGILSR VIQEVTHLTESEDSQYNTVVGVFEEWTQHVAKLKQSRELKDDGEVNRDKLLSNIDEDG EMQFIDPLPPQWKEDIAALSVQLSQLAGNLDDLDIPKTTETDKFGRPVDFSESALLKT VTGHKSLVSSMIEELDMIYSIEMEIMEFEILWMERALESVGANGTKKLHFADS TRV_00861 MAAGSPNLYSFPEPGDLQKQLRKYVLQSQNAALARHSTFRVAVS GGSLPAVLASSLLAPGDGTDEDTPQFASWDIFFADERVVALDHPDSNYGLLKKELLDK IPTDQLGQPTVHPIELNDDIQEVADQYQDELRRSFAAKDSVKTPVFDLILLGCGPDGH TCSLFPGHELLLEKDSWVAPIEDSPKPPPKRITLTLPVVTHGLRVAFVATGGGKKEIL SQIFDQSEGRSLPCAMVNTAAGEKVSWFTDSAASQHVRFPKRENL TRV_00862 MALRPRLQLFPPTTTLVAVISWALILHLLGAVIIHPASAYSIRA LPDPSIVPGDTLLDNRQFGSGLAVELRPINVDAGLSAPTDTANNNIVIQHQRQKRDSP DHETATPTTKPSVILKPSHSGDPVKTSIIVASPTVSVGPAPTAFDTNRSENTTTSCME FFDSFLSNATFNDCIPISVLLTTSSSFFQKTKTFSSITQTLGSACAVDADKCTSLLSY YAEEIGKKGVCNEDLTKGNPLVIAAKTGFLAYRAMRDATCLTNPETNNYCFAEAITDP SMTANVYIYHLTLGYSLSAGRPKCGKCLPPTMKILRQAAGNKQQPVSEVYVTAATQVN VACGPNFVTASLPSGACSSFRPLPFSLMFLLIGILGFFLS TRV_00863 MFPPEIIAMVLQHFNVPALLKLLRVSKRWSQLTVSLGQAFSRVD FTLARQHTVPFQAVRAYCVRHAKFIESAVVGCVTPQNASKTLRLLSRCPRLAELSLNV QLAAPEDIKILHDFTGLKQLVVWHRELTFAEFNSLLAACHTIEYAKLLLGEAKKRQDW KSCSPAPRMRSLCLTFYEMTVANPFFFDSSLKDTMPVLENLEVSGVDVEMELHGVDTD LSDHPSLCALSLSKFTLDAALKALPKGLEELTLNSVLVDPAIGTIPVYSFLTGLSKLR EISFYSLSYDVGFMLDGYFSALESSLTPDLTILNIAYCNITAEELVPFMKKGHLRLVT ELGIPGIMGMNDSITEVITDTMPNLKMLNLSLTEVTGYSVKLLADAPNMKLERILLLN PATPISRDAIEYGRSKGITFR TRV_00864 MTRIEDVPTSTMKAFPHVFDDPQSIPKSVDPFTITAASGFMPTI LPATTLPEVFAPLSSIMDRLPVRRADGTPGLLATYELGDVVHAELPDLTDEIDKIVTP DGKPDLYMLTAIFRDYSFLASSYLLEPCWKSWNTSPDQGYGLGRDRLPHSIAGPMYKS AQMYAVPPCSLQPPLLLDLPPFLSYAASYALFNYALADTSKGYDYSNLRLIRGFEHGL DPASSEAGFILTHVYMVKETGSLVDGVVRILNAVEQGADRATVNDAYRTILAGMTKIE ECMEDMWKNSKPGDYISFRVFIFGITSQTMFPNGVVYEGIEDNQPLNFRGESGANDSI IPLLDHLCQIPMPDTPLTKILHEFRAYRPLPHRKFLAHVAAKSAELDIPKYSMQDPET VFLYLKTLDHVRSFRWRHWLFAREYIIKRTSHPTATGGSPIVTWLPNQLFAVMDLMIA TYDNAIVPMLKNGAGTKGENLGSFDKYKDQVEEMMELVRDQRDKLDKEVLKWCQERGV PTNQ TRV_00865 MPVRTARVDLSSLIRHAVLAFLVIYATTAEASCECGFVINDTQD YYTHLIHNNFSTYSPTKPLSTNPKFTRDWAIQKWGLPVMNWATPLPILNRPENVYLEN GQLTLRQEGYSKESDDKNEIDIEILTREFKPDSMLVHYTTHPALDDRGWLIDNATEII ALKGHRPAEHFQLHRFDWTKEELRFYQNSKVVHTNNLRIPKDEGSVYLNLWADGGMWS GSPSTTDVYLRVKHLSIYHNTSASENGTDTAFSRRCQRAGGLSTETVCYDVHINSGLQ VPSSKSKSLAPRPFWVLFLFSLALASAITSLP TRV_00866 MLSASKKSALDISCDEESMLKSEDTAASLRYYAAKNWPKHLLKL DHSKMKNAQKIQIVTLLCRMFTQQSTMKYWIFTVGPPFLTTENLGQVRKWLDDEQIVA ELPPDSQEFVRSTSSSPADTFKSLVQVVERYWLGDLLGHSETCCDMVFNYFCLRDGTP DLQHEGCNSAATLISLAERLGMEKTANWYRRVAVTLRDKGFLEEALTYFTKSLELDST LWHSRGGMAATYFQLGQYEKVIELHASLISEVQQGTGKPAGVNKYLHAMHEGTAECYA KLGDTTNALKYYKKGHSVSPQCNKCICQLLLLMDAQNLCEDIILTLKAMQGKMTPTGD CSYLAVFVYINFDVNSDYFKLVARAARKTGQENFFIDACREAIRVTKKLLISVESSKL ELCLADFYYKYTREQAKALRIWNKLIESFAEPRLGSAIFFVKDEATDRLATHYSRNLW QAGIDSSDAENDVQNLERLAKQESNPHDSGSSISYAANKAAIRLGLWYRLQDRKEDAD ACFRPSIKYALELLSSDDPENDTFAYKTLREVLLATGEDELVIPIFYCLVAMVNDSMR TKTGMWRRGNQDETTGDEPFIGECNGCQKLLRGSDNVYVCTYCLGMMLFCEKCEQLAK DGVIPDGVCSISHVWMLIPRNPDPYVTGKFRIGGVLVDLEAFKKQLARRWGL TRV_00867 MGGEYNQIPIVFQEAIKKYEEITKKPLLDPALLEITSTDALLDK IEKENGKFDEFRSTRHGLFDALECALKPIELVGNLVSGAASIAFPPSSLVFGSVLYLM DAAKGVSASYNAIKDLMDSLENFTVRLRIYNRELISNELSKHLSDILVVLIEVFALSR KAIKGGRFLKFARNVLVGNDDKIKEAVGRLAKLTETEHRLVGAETLTETKKTSRAVDD VAINLAATSLTVDKTSHSVSQMSLEVTDMNQKLNNLIQETESSNEISKAEQDRNHQEF IKGALEPSVTAYDWYDKINKSRVKDTGDWIRNEPFFISWVEKKIPILRVSGNPGAGKS YLSSNMITYLNGEYPQLVHHSSRVSVGYFFFKDDNPKTRSIHQALRDMAYQISQNDPV YAKYIVTSALTAEDVSTIESAWRTLFVNFFVKKSNVASSVYLLLDGVDEAFDAEIQPF FDLVRDINEVTDNPRIQLAIVGRPQLGDLISESLEVDDVPTIYITENKNSTDIICFIE NNIRKSNILKRVSARLRSEIVKKLSDRAQGMFIWVQLMLKELLKKRSESAIREALNSA PRGLDEMLSHVLTSFSLTLVDDYPDTLNELLAWVTCAQWPLTLRELDTILKLKSPDGD GMIYLEGPLRRQFASFFNLNREDGLTTAELHLMNPNVAGSDDEESSDGTQVETFEDAD DTTQFDSNPDTTTVTFCHSSLGDFFRGAKGKVSAGDGYPSIGVDFNDAQFNVTKLCLE LLCNEDGED TRV_00869 MSSVPGSGSGAGTGAGSGAGNGSSTGLPASTSPYPLPTTAIPTI YRTRRSSYASVLSRQPGQAEHEHDEHYPPSPFRSSLTASSSTNNNAIQDTSSSRSRNQ VPFSSSAPPADPAAMQQQTNGSGAGAGSTTNSWRRPNSNISFQLGQGIGMGQLPSYSK QFEKLLEQADLNALPFGLNNSLYQQNPEDSFFTPSYLRSSKYVAQLYAAHKAKLSSQR DAQSQNQHTPTPGSSAQHLPSASSLPLSASSSQLNLHRMAPSHRGMTYDIVESHGPGG DSTAPLEGQQLTPLPSRWNESDKYSGLDLLGEGLEVRYIGHVPKQEHEAAAVRADQPM PVKCGIYYFEVTILAKPKDGMIGVGFSNNKASLERLPGWEQESWAYHGDDGKTFFGDN QGQGRPYGPTFTVNDTIGCGIVFSTGNAFFTKNGSFLGSSLLMAMAGNAFRDLKPAKL YPCVGMRKQPGAHVKANFGQFPFIFDIDSMMASEKYDVQQNIQRTDLSTLHPTLDESE FMQKLVAQFLAHDGYVETAKIFAQEVQDEKRALQTSGEMSMKELEVEDDIDAINRQST PRLHLFPIRWYQLTSCPEIRAAILEGDIDRALKLTNVHYASVLGDNPHIHFRLRCRKF IEMMRRCTEPQPAAINSSNGLSEPTRTTSDGFTLDMEVDDQMPDVDANEAIAASDMMD TDAGNTNNANNDTTTVKKDQDLLHEAILYGQQLQADYPGDEKKEHKKTLDDIFSLVAY ADPKTSVHGHLLDPSGRVAVAEELNSAILGNAPPRLFPTASTNRLP TRV_00871 MAGSDTSSTSSQPAASSASTASSTASKMNRIVVLSLPPALLSRF PRGSSGNASEDSQANKEDESSSPSTPPAAPLTDNASDGDAASTGAGPTPSTPSASVSG SGAATANGPTSAPASGSGAAKAGTKRGAQALGPDGLPKPRGKPGPKKKPRLEDGETPS RPPPPPHHRLGPKANQGAINACLRALDRSGKPCRKWERKSFQLKSFTGVIWQVPSWGA PPRPKPTPEEEEEKQKEKEATNGEKKDKEKDKKSEKSEKSAKSAKSEKSEKSTADPTE AEPEAEAGRDATPAGSMMDIDSPAPVAVAS TRV_00872 MDAASRVLSYAGWAFLPGNLYYRITISAGTPHPQPGSERHARHR RRIHVAVISSYLLYTIYEEYHSLRVAPDFYQILGVLPTSDERTIKSRFRRLATVFHPD KARQNGLGSTAEDGAKADEFFVLLKLAQDTLLDPVKRFAYDRFGAGVVVRPEGPSKTL SMATYFYEGLYALAPQYLVGFLLMVLLNTFWFSAWGRYWRFYTFFALLTLELTLLTHP NATFMPGAYLPAMLSNLLGLDRFYLLPFQMLSLARTASMSMNVFISQLAPPEATLQAS RSKGRGGGGEGLSVQAQKQLTQIVQLAQAQDAESAKLLGMDMLPFRGDVDRVGRLRRG MKERLRREEAGQAPEVQQAIAAVKQGRQQGRNEQQ TRV_00873 MDAMEIDSIASPEKKRKDKSESKKRKRQEQASETVVVDDGEKKK KKKKDKHLKKDEKQAVEDGGKGREKEASRKPLSKASSNDKDTHSLSSTADQLPSFHRV TTTLYLPLSPIAISPTHAVSSLLAEHISPLLLTYYPPVRGVVLAYSNPSISATKPTPN TTSTHTPNPEPLTLAKTAGEYGVLHTYLTLTFLVFRPERGQTLEGWINVQSEDFLGAI VFNLFSIGIERRRLPADWKWIAPGQQSDRPSTTSASPTSSSKDEEDDDDDEPDSDKEN FKPLASNSEASQFEDAASAETGYFQTRSGKRVRGTIRFRVRDVDVIPGSERDKGFLSL EGTMLSKEDEEKLVAEERSKASGAGRAKGGDNYHDPTSTERQEAPDVDIDLEADEDED VTMTEAVEAQPSSTKEKKKEKKEKKEKKEKKSKKEKSSKS TRV_00874 MAKRVSFRQRKHTLDLPLPFSFVLSSSKSHYNKDNNSSSTNSIS ISSNSTRTMPCNCTAPGADPSAAWPALISLSFEGIAQLGAGGIMGIIATMGLFFGLCA TNLSGAIGYAIRRWADSRRPDLPPPTTTATTTTDNPPPPLTLPVEEPAPADPAIADSP PPPPSSTEEAPPASGPTDEESCSSVDISKLEPMDTALQAFEEADAPAPEEELLPSSKL VVSKSKLYKSQKYVRLLFFFSPVFCIFYCFLFFYLFFLFFLFKEQINNFLSREI TRV_00875 MLIYKAIKKRREENQPGSEPAVNSEKHKEKKLCSHRLGSQSQTS ASPLLLNTSKQTYIHPEAGSLEPQQADASLELADLNQRSQIQALGPCELCKKEKHDAR VYRRKLIAGLLLPYFLASVDLTIVAAALPFIASHFNKLDQLNWIVTAFTLTSTAFIPA FGQLSDVFGRHFVLQLAMFLMLIGSVLCAVAPSWGVLLLGRALQGTSASGITNLIQII LADKVSLKDNAKNSTIFQFVAGISYSVGPIIGGEFPSPVSEDFLPGLATIDIGGTFLF VFGVGLIILGTAWGGVTYPWTHAAVLTPLVIGSILFVFFFLYEYLLEPERVFGRLLPQ QTPMIPSDIIKNRDTIVLAIIEFATGAESLTYLMLIFQTPKAMFSVFYFISIYFTLVE GYEAGRSGLQLLYYIPGIGFGAYFAMFTCNVWPAQTFVPLSAGTVIETVGIALLTWAT TTRNIPVVSGMMAVAGAGTGMRFMPATLHVAGIWPDRIAPAMSIMRFSLPFGGTLALT IMGSVFNNKMAEIFRPGSGKGGLDLHNTGQSLEAIDKLPPDIQNYVRNLAKNAVMWAF ISIIPIMAISLVAVFFLGNVWIKSKRAKEEAERKAAEDGKIGEAIVSSEVIQVPFLWA LITGTVEVNKYISTPLSKAEKEKQAYAVLEEKNRLRALKKDKTYTFRAKDELVFPARV FSKDLYISCDLPSDTQPKKKKKKKKKKKKKNMQSLCTAD TRV_00876 MASSESPENQTKMQKLGAGLKKVLGLKDEYPAPTDPVTRGESTF SVQSAEMYFDQDPTTMEYFRELTPSGEDVVRYLTSLFPFLNWISRYNLQWLVGDLVAG LTVGVVVVPQGMAYAKLAQLPVQFGLYSSFMGPLLYWLFATSKDIAIGPVAVVSTLVG HIIDKAKVEHPDVPPEVVASAIGIVAGGIIAFIGLIRCGWIVDFIPLTAISAFMTGSA LSIASGQVPSLLGLSGFNTRGTTYEVIIGSLKHLPSAKIDAAMGLTALFLLYFIRSGC AYMAKRHPSKAKVYFFASTLRAVFVILLYTFVSFLVNRNHRMKPIFKILGVVPRGFQN AGVPVLNSRVLSTFSGEIPASVIVLLLEHIAISKSFGRINNYTINPSQELVAIGASNM LGPFLGGYPATGSFSRTAIASKAGIRTPFGGVFTAMVVLLAIYALPAVFFYIPNSSLS AVIIHAVGDLITPPNVVYQFWKVSPLEVIVFLLGVFVSVFSTIENGIYATVAFSLAIL LFRLVKAKGEFLGRVKVNSVLGDHVLDNDGKYGTFDDNSGIPGGSSSRNIFLPLSHRD GSNPDVQVEHALPGIFIYKFSEGFNYPNSNGYLDDFVAHILAKTRRTNQNAYERMGDR PWNNPGPKRGETEASTADLPTLKAVIMDFSSVNNVDVTSVQNLVDVREQLDRHAAPDV VQWHFAHVNNRWTKRALAAAGFGYPTSPAGAEIAAPRWKPVFSVASIDGSNSSTGSLE LDPKHTSRIHDEEIGTITPASQAPTEQVEICKDGVYHKEIEKVSGSRGAVVNSMNRPM FHVDLTSALQSATANALQ TRV_00877 MLLDIKPLVDILFKRRLSASVDELKLSQGARGWHHTGFDKLLLL FYVWQGLRASFPFLLQPPCIQSIYIRPVLSQARPERRISPMAPIQSVSPLQGEETSDA GEGSTQYDEDNYTIRPPSGMGGLQKWREKDAKEERAKEKKHLRRERWGLTATFPDPVR AHPPAITTSYR TRV_00878 MVKETKYYDTLGVAPTATEAELKTAYKKGALKHHPDKNAHNPDA AEKFKDLSHAYEILSDPQKRALYDQYGEEGLEQGGGGGGMNAEDLFAQFFGGGGGAFG GMFGGGMRETGPKKARTIHHVHKVSLEDIYRGKVSKLALQKSAICSQCDGRGGKEGAV KTCGPCNGTGMRTMMRQMGPMIQRFQTVCQECGGEGETIRDRDRCKRCLGKKTVLERK VLHVHVDRGVKTGHKIDFRGEGDQMPDALPGDVQFEIEQKPHPRFQRKDDDLFYQANI DLLTALAGGTINIEHLDERWLSVTIAPGEPITPGQIKVISGQGMPSYRHHDFGNLYIQ FNVQFPEKDQLQNLELLEKVLPPRMTQEMPPPDSMVEDFALENVDSNGGQARAQGAAR GDDDEEDGIPPGAERMQCASQ TRV_00879 MSNQFAPLKNDLLLRAARAGRYLPEYHEAKGDRDFFECCRSPEV ASTLTLQPIERYAGLIDAAIIFSDILVIPQAMGMEVEMIDKKGPSFPSPLRTPEDEQY TQVLNRTVDVTTELDYVYKAITLTRQKLEGRVPLIGFVGAPWTLLCYMVEGGGSKMFA AIKTWIYKYPDASKKLLQKISEVCVEHLAQQVKAGAQMIQVFDSWAGELSPRSFAEFS LPYLRYVSANLPKRLQEMGLEVVPMTVFAKGAWYALDELCESGYNVVGLDWQHDAAKA RAVANGRVVLQGNADPGCLYGTREGITAVVEEMVKGFGGGKQGWIANLGHGVTPFVKP DDLKFYFEEIHRLTAA TRV_00880 MALRQPIQRIAQLQRAQRDIFVTAAGPNLYTFDAQDGAQLDVWP APAADQNSLPGENQPLAKAESTPDHAGTPPPEKKRKLSPTSSAAKDDTNAQKSSTTWT TIPLLLCTPSGEHVIAVTAEDKCLRVFAIGIDGTLKQQSERGMPKRPCALALAQNDSV ILCGDKFGDVYSLPLLPDENAKPSAAVKTPAPAEELKAFKPSANPLTVHTKKNLYSLQ QQLRSPAVKREKVGPSFELKLLLGHVSMLTDLAFVSFPAESRSYILSADRDEHIRVSR GLPQAHIIHGYCQGHTSFISKLCIPSWSPELLVSGGGDSYIIVWNWREGSILQRIPLQ LTSQSSPAGIAVTGIRAVSFEGDTDLYQLAKGAILVSIEGSQEILSFSVKSDNTLEPL PSINASGNALDITNLNDKGTIVVSSDHVHEPGSTTQQRSSSTSPGMPLQQFTATVDSG GLRWEESHPSALSKINACSSFSLDLPGDEKERQKQLKHLRESLYHTGNLRKSVRGEEE EK TRV_00881 MGGKSEAKAAYFDKLKGLLDEYQSIFIVNVDNVSSQQMHEIRLA LRGQGVVLMGKNTMEQVRRALKGFISENPEYERLLPFVKGNVGFIFTNGDLKEIREKI ISNRVAAPARAGAIAPVDVYIPAGNTGMEPGKTSFFQALGVPTKIARGTIEITTELRL VEAKTKVGPSEATLLNMLNISPFTYGMTVAQIYDAGQTFDSSVLDIEEDHLLSVFQSA VNNIACVSLAANFPTMPSVIHSLINAYKKCVAIGIETEYSWESIEELKDRIANPDAYV STGPAVTESKEDKPKEEAKVEEEEEESDEGGEYSIILLFFFSFLWVKGDMLTKLPQQT GFGDLFG TRV_00882 MQANNKREKRPGKKDQQRGKKGPGERKDDEDELTQAQSRRRGKV MSLSLTLSLSLLAASSSAASSVFVVCFFSNLAHVEPPPRATLSGFDDAGCFFVSLSRL GRPAWTIEWSSGRVVVPLEIPSPLRSLCRAATKDGEATGRDRGRSRKVDEGRGWSRMV EDGRGKAVWLFFEREKRPPQLKTKTRLCFFFFSSSFNFFFFFFNFNFNFNFNFNFSSS INFLSSSSPRPEKEKKAQPNPSKASGRAEDVLVLPKIHAETEVYYDKTKIKTFAFKET IKKGGREDEEDSSREEDSRREEKAKRKPGEHEMSVCRCQHGRFPVSASYSPFPGAPVL ASRPVNEILRGLLEGQKKTGKRPGRWARKMTRKMDQEDGPGRRTMETRDDQRLHCTNT ARPT TRV_00883 MDAAAAACWAVYLDDRVDCERWIDRDGEQLHESAELAANLARIL AICRQGNTPYSSSCYSCYSLLLILTGTVYVCNSGKSFATKIAELTDAGREEGDPDAAI VPVLAFIDIAVSGDAESSHVRRSSLNLTPLSSPPSQPQPPHQHQHQHQHLPQQAQGQP SAVSPSTSRRSLAFSSESEELFGLHLLSRFSADIQARETPHAIIPIAILRNVRPQPSP FSSSAHVLRSGKNVEPATKPSSRRPDDRQISRCLDAGAIDVLVSPIDQSRVQGLLIHA YRIRRAAQKENSRFMAASGQKIRKYSWVGVSSTAEDERPYAYLREAMVSKLMKRICNP EEALDDSLISDVCVSDERKEEVKAAIAEWHFCAHDFTDDELCYASCLMFEHTLTVPDL EHWRISSGAIPPFPLGSEPRSSCAGMSCLVTPFDALALLVIALGHDVGHPGVNNMFLV KLNAPLAQLYNDRSVLEAFHCAAYSQILRRHWPAVFQDTEMRTLMISSILATDMGIHN HFIDELGKLRGRYAADGRKVDSWIEKDIRDYRVLLCALIIKCADISNVARPFKVAEQW TDVLQLEFANQGEMEVNIGIATSLFGGPPELGNFIKKAKGQVGFMDIFGLPLFDGVTD ILPDLAFAANTIRSNRFIWAKLMEHEEVLAEHRARNCSTPRCQGTDPSWEECRKRLIE EGPASMGYTIQHRSPPHSPIRSPPQLDGEADTTNIQATTTTTAITTPAAAGPTTNVPV IINGIHHANRQSQRSAGRSSVQNTARTIDSGSGCSGSGGGVRTQSTSTYTNNTLITPL SSTTQASSVISAGSSFEEKDGTCQLHQRISLNPNAMHHHHVRRCDQAKPDDVALAGAA STTTASSYTSSIAQNENEDEHDHDGGHQNMYADENLPSPVNALPSTTDGTSSHQHPQS QSQTQTWYERATNSKAGERRSKFITGLFEKASSNNNNNPAATTNSTKGNHPAGLTYSK SAQSTPTVHNNSHNHHHNQHTRGRRGGPTSHPRSTPSSPPLPPSTLPFDSDDGNNDPL SSLSPNHATMPLPRRRSRLRLAFWRRSKHHGQGPGHPHSPPQSDSAPSTTASASSMMS ASDHY TRV_00884 MWCAPFATTWEAGSRSGSRVLETAGAFDSAREASLERTRKCRST QRARRKGSRATEDAAKRARGREEEKKERRARAERKKKAVSLYRREMETGDGDEDGDGM KAEVKAEVKAEVKTRGQGEKARREQSRWVEEVQRHHGPALSAFSSSLFFPTARSTTAQ TT TRV_00885 MVLFVELCVDLRKGKSAKDGLYQYKNIAQNTNVGTIEMVLKKFI ELAEQKVTEAQAKADEIQQSLESNAGATSNIEDLDAIETPETILLATVSGEQSKDRTD RAIVTPWLKFLWETYRTVLEILKNNARLEVMYQSTALQAFQFCLKYTRKTEFRRLCEL LRNHVQNAAKYSSQMHAINLSDPDTLQRHLDTRFQQLNVAVELELWQEGFRSVEDIHT LLNLSKRPAKNVMMANYYEKLARIFLVSDNYLFHAAAWNRYYTLLRQSAIAVASGQVS KKDNPSVSEADMTKTASFVLLSALSIPVISTSRSRGALVDVDEARKNKNTRLTNLLGM ASSPTRAALFKDALNKGLLSRARPEIRDLYNILEVDFHPLSICKKIAPILEQIGADAE MEKYVLPLQQVILTRLFQQLSQVYESVELKFVHELAHFPAPFQVTPSMVEKFIMNGCK KGDLAIRVDHVSGVLTFDSDIFSSAKAVHAGSAAGSAESDVGAVQRLQSTPAEIARSQ LTRLAKTLHVTCMYVDPTYNEARIEAQRQAQARAKAGAAKEHEQILARRMIIDKKKEA ASDALQKKQQEEETQKRIRTQQLQEAEKQRLLNEHRERERKRMKDEQDRICQAELKKQ LEELKTGIKGIDVSEIDLEELDSNRLRAMKLAQLEKEKNELNEKIRVTAKRFDHLERA YRREELKHLPEDYERQKKHDLEVYEKQKAETLAAAEIKHKEDVALKHRLSRLVPHFNK FKHTVTEKRHEEFERRRKAAEREFEAKKKQRVKEVQERIKRERLEREAEERRKREEAE RAEREEQERAARDEERRRKLAEEKAAREEQRRLLDEKAAIQKQREEEAEKRLAAKRAG MTDPRLARAPPTATAEAPRTDSTERTAPRLNLTSRTGSGASWREREAAKKAAAGSGES AADESATPPPRTIPGREPRELPSREREPPARDMGARDTLRKASGTPGAYVPVHLRGRD SPANGAAPRFPSRGRDVSSSDSRPPVARSPAPATDSEGKPEAKLPPPSSGAWRPRTRP QQ TRV_00886 MIMIMVVRVDVDGSWDRNGGYDFSILLISSAFFSIPLFLLFYLS HFSSSRPHHQPPSDVILRPTKSLWFWFLPRLFSVSPY TRV_00887 MPSSPISPKQHRRQPPSIDLSTSPNAFATNSNGIYSPQIARSSL PQSPVTPRQRHRMSIDRTSRYSADFTNDIDCRNGEASPILDGGLGSLADELADAWGDD VEDMSGLPEAGGDGEEYDDDHLGALRNGMRNGHHDGVNGELIDSMHDLGIGMGSKGLR RQDGSESEYEGGPRPSKPRPKSMIANNAQRHRRYESSLYDGSEYGPDSDIEECADISP ALEARMAGIEQLVRFGNSEAEHQSNEVMLRVIHGLRDLGGQSEIESGASRLITAHASL TSHLTHQTRSLQTLTHPLLFSHFPVLSPDAIDGLIPLIDDVLPNLPFPVQSTTSVPTS TAIPHDFSPSQCSISSSASNNAATNPLLSLQALLAQTSDLTHTLRTLSDTLHESRQLT SAASRRLKSVRELVSEIRRDEEAREEGTAWIEQGEWDKRLREREAGRVCGDVVSGFEA VCGEWRDRLFGTEVVVA TRV_00888 MATIRRMTPGDLLSLNLTNLDPLTENYDLHFYLNYLMKWPSLFN VVEDRDGQIVGYIMGKLETQPQAMRHSEHYTPWHGHITVLTVAPAWRRMGHARRLTES LERASDKNNAWFVDLDDPTGLSEEGEDAFDMRKPLSRDVHLKHSRPNGENFLVSPEDV T TRV_00889 MVDLEKDGKGQTSSLGIGGGSVVASSTTLPGENNDVDDAGYANN EHSYDSGWTAWSQVLASFFLFFNTWGVVTAFGVFQTYYEHNLLDHLSPSTISWIGSTQ SFLLLFFGTITGSLFDAGYTRQLLMVGWALIPLGLMMTSIASQYWQIFLAQAICMGLG FGSVFVPCVAVLPQYFKKRRAIANGLAATGSGIGGLVYPIVFRQMQKSLGFPWATRVL GFIVFATMSISVSLLKMRFKPAERRSLVQLSAFRDPVYSLFCVSQFCGFLGLYNMMVY IQPYAIDEGIMGTDLAFYLLAILNSASTFGRIIPNYFVDFIGPFNVMIPMAFCSGIIA LGWIGVHTTGSIIGIVILYGFFSGAFVSVPPVVLISITPDLRDFGTRLGMAFVFNSVG TLAGTPIGGAIIHASGNQYLGVQLLAGSCLLMSGILLVIASQKKTGINTLAGLVNLVW HAR TRV_00890 MERIALEATAAIDKTERLTVIKQPFPEARHEVTFERQVYERLGA HPRIARYIRPLSHTFEMEYYQLGCIDQARHKIESKIPYLKWAEQIAEGLVFVHSKGVV HCDLRSPNILVTDTLDVVLADFASSSMDGVRVSNVYNKTRYRPPSFDEYKYESYQYTI QDDIFAFGSVIYSLVTAEDPYKERTDDEVIKLYTAGTFPDTSGWTIGAVTTKCWRGEY SDAAEVLEDIR TRV_00891 MAETIRPAKFIRTRNKPPFLKKAPKPDVVALMVLASSLSRLYFS HPYFPADTFKMSDFGGDDHDDREETGLDYEPAEDFYDDTAVDDYAVDDQQEEGYAVLN GDGQPVTTNGENGDPNASSGQGQGKTILQQREKKVANDQRTTTPYMTNMNAPILVDLE GETDPLQIALKELNQKKIPLIIRRYLPDGWLVLP TRV_00892 MTATKRKAAEEARRQLKRIHLSEPAGMLPPPHYGCGIQPLTLSG QDEIHDSDANCDSSSVVDEMVCSDDELHATPLTPFSPASSKYPSELKTHLCPYSGCDK AFNRPARLTEHIRSHTNDRIFACTYEGCEKSFLRASHLNHHIKSAHTLIRDYVCEREG CGKAFATGSRLRRHLAAHEGRDKYTCTEIAEGSGKQCGESFRKHSTLLKHVMTAHLKK RPFPCQATLDSGETCTAAFDTAGHLKAHESRVHGEARFTCTECIGNAGNEEGTGEGKA YNFATYALLQSHMRSVHPPTCPSCAHICSSAKALRRHMEISHGTVSVEDRRTYPCQHP GCERSFTKKGNLNVHVKTVHEGEKRFACGETDLSTSKKVGGWNGMDACGKRYGSKLAL EEHVRTAHMGFRNARAERKARAGTLTATNTLESGSKRTGPSNVALLTGQGYAEESGNR HIPCLLAECEHRFYRDYDLWLHMDAKHGMDENEIQLLFMQRAMQGGNESFDRPSFQYE MDTIGMGVDEIGATTGGGGSGSSGLIDDLEGRYTYLRPDASVDEIMASHDDVQERGKD DRSGGLGDLVDPLLTYTCLES TRV_00893 MQTADQLFAFFLASLLPLVTRFLINIDCKVEDLVFASSIVLVPT DATRIDIEKPQGEITYVISTDNEMLKDHHHSSCIFLAYSAVFVIS TRV_00894 MRRTRRLSRPIASLWAQKSAQSESDDRNQSQSQSQSQSQSQSQS ESQTAPSSPPYDAEPLVVPELEPVFAYLNNQANKLYQEGYFLKLNDLDTQGRACTDRQ WVECFGQLVGTVLSIWNASALDTAGGQANASPSFINLADATIKMMETLPTNNETNQPL QNVVSISTAGKNRYLLHFDSLHSLTQWTAAMRLTVFENSLLHESYTGALIAGKGKYLN GIKLVLEKTTFKYEDWARVRFGAGTPWRRCWCVISPPGEKEMQLYNRSLKKKSAYDRA PTPPKGNIKFYETRKTSKKVAPIATISDAYSAYAIYPQARPLVDQSTLVKVEGLVTIG ENTSEGFVFVLPEMHNAVSGFETMIRWLFPAYDAFRLYGRPNRLLADTVSPNSLMFAM PSNKRRGYLDILDVSALIHTQGSDSWSAREWRKQLKDATSRRMTSNAGSSRTSSVSGH RSGKRSSLPQRTGTVRFGSATVGPRNGEPNSSSDTVASNSPTKPGISHTRSESDNTGL PSARRGRRSPPFFENHIGEDIPEHPPTPPPELPQADGPSEIDGTDSRSSPDSGAYMDN NRPVDRLEIIQSLEPNPPPQGVPVSPAFSHDPRGTPQFKPQPSPEIGHAANRMSVGTL DQLVDMNKKNGNAHGLAVAGATAAWNAAKDNNNNNETDRGTGQRADPRGPTLNRLNSI GASSSNYSQGSPITPSDTTSNVNVGVDTNLTGTPTEGRSPIKQQDGTQNPPLHKPIAR KPVATETPIAETASVRTASTLGSLRDNIDMDALDRIIRRPRSPSPPPPPQPKYTFKQE PAIQDDGSSIVAPSYASSHKSSASVRSIQSVQKPRMGKMKVVGDAMPKTDDLVIGDAR YKHPAVTPVEVSSDIPEVDFGPTHTYKPTARRPSTSDTMLLLSSSHQRNPSEGTLATR GRRLSTGRLLEHLNQESNESPSPPPPTQERGRRRSVLWQPGMVQDSGPVTPGPTSISA EQFVHDRASRSQIHLPVMPTRATPPPPRPASGDWSAYIRHQSSATHLPQRPHSRGPSV MLDMPARPSSRGANTILDVPARPSSRGASVILGPLDAPARPSSRGANRMLAQPDISGH LSAREQEHVARVTGSSFLNLNNNQSPQFGSGLVSTIDARERERKAMKDGFSGQAVQQA IAQRQYQAQVQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQFQLQQQFQQPLGTPPGY AASMQFPYLPQQQPQQPPQAGYYAPPQGQQWQPQYHA TRV_00895 MAGKSRFTRLDAFAKTVEDARIRTRSGGVVTIAALLIVIYLVWG EWKDYRRVVVQPELIVDKGRGERMEIHLNMTFPNLPCELLTLDVMDVSGELQTDVDHG VNKVRLSSAAEGGRVIDVTALALHKKEDSPAHLDPNYCGDCYGVPAPSTAKKPGCCNT CDEVRDAYAEKNWAFGRGENVAQCIDEGYSQRIDEQRHEGCRIEGILRVNKVAGNFHI APGRSLTAGNFHAHDLDNYYHTPVPHTMTHIIHKLRFGPQLPEELYSRWKWTHQDTIN PLDKSEHKTNEVRYNFLYFVKVVSTSYLPLGWDPTLSSEAHSQAHRDIPLGNHGVFFG SQGSIETHQYSVTSHQRSLDAEDASADGHKERQHSRGGIPSVMFNYEISPMKVINRET RPKSLSAFFTGVCAVIGGTLTVAAAVDRLLYEGSLRVKKLHKS TRV_00896 MLQVSGGRMNSSSNRSRGTRGRTGGTDRGGIRKRGATPRVDRDG DMDMAASGSSAGKGRIRSSRGVAPGRSSRRPGEKEKTIDALQKAIFSSSSSQANIRHG RGITDGALRRNLTQIKVSGWKNSKAASNPDGGVVSLVAFLEKKSAPPDQNATAPGRFK ITKTFYECYRVTAKKIGFQVRPEQVDGFLRLNGFSFAGAPLTVELLDSVAMADTPSQS SQGPSQAAIDTKAKMTAFLAKRYSEPGKLLDLSKLGTDPDLVEMGMFNSVSTESKFFP ALMKVCELTFDSAAKRKAAVVSVSLAHNQLPNLTAVTSLAQTFPDIRNLDLSHNQFKD ISSLSSWRWKFRSLDFLDLTGNPVCAEPNFKETMIKWYPQLRTLNNAAVRTAEEIAAQ KKTPIPVMGPSFQDEGQIAENFVKAFFTGFDNNRNDLLNGIYDAKSIFSYNVNTVAPR AAQTESTPPWDSYIRKSRNLVKISHLPARVSRSFIGTDSIREIWNTFPQTKHPEILSN PKEWLIECHPIPGLPDINNQSVTGVGGLLITVHGKFEEIYPNTGSKSQTRSFDRTFVL GPGNGLGGLRVSNDMLCLRVFGDCTAWIPEHEQAAAEPVTQAPPVTVIPAQPAAVPQL GTQHPEAKPGYGTPQPGKSEDELKKEQLILEISFKTKMTLQFSEMALSGNSWDMNLAL KNFEELKEETKRKEGEREKKPSAFYSLFLLASIYYIHRRFPREILFSQHFQRLNSGVT FEMNEEIGVSCFRDCHYIFTAMSLLEYISFDKGLIGLGVQEGYAG TRV_00897 MPTNGEPETDPLDGADYNPIEHLNAIFSHPSTLAAVPKTSQVLK VYQDELDENISALVEAQAASNLESVERIHNAKEDMAELFKKIEEVRERALKTEQAITA MTADIKQLDNAKGNLTLSMTTLKRLQMLTTAYEQLKALSKSRQYRDCAQLLQAVIQLM AHFKSYRSIDQIATLSRNVADIQRELLEQVCEDFEIIFAKGETAQKRNILAEGCLVME ALGEMAKSRLITWYCNTQLREYRQVFRGNEEAGSLDNISRRYSWFKRMLKTYDEEHMT IFPASWKVNEVLANIFCEGTRDDFKGLLSRSVRSGQTMDVNLILSCLQESLDFEQYLD RRFTNASRASTDTFASSETPIFSQTISEAFEPYLSLWVSAQDKQLDTLIRKYREQPIK PEDEEYSPQLVVHSSTELFTFYRLSLAQCAKLSTGNSLAELTKVFARYLDQYAQQVLL HYISDRPGNQASSKLPSCEDLTMVLNTADYCYTTCNQLEDKIRSKIDEPFKQQVDLQS QADSFMGIASAIVRLLVRKVDIGMEPAWREMRNTSWSAQDGVGDQSPYVEVLLSNLKS KSDETLGMLHKQQYQRAFADNLVEHTSTSYISNIYQCKPVSEAGAEQMLLDSYGIKTG LTGLLSPAPTAFVKRVHQSSQKIDTLLKTLQVRAVPPEALVQAYLIHIADRNDNNFRK ILDIKGIRSKQEQNRLVELFQAHKTSNRHASNLQESNPLISYLQPPAPPAPTAPTVAQ GLGLGNLGGTPTSSSGANTLPTRFDPSMLGSALISAARDGVDRLGTPGLGNLGNTPGG ISASSGRAVAGSVAQSGSGTPLSNQNINSTGQNADASEGASSAAANLNENLKNIGKFF RRDLSGLGGRFGIGGDDSGQR TRV_00898 MRGRAGRKGKDEVGETYLCCTSKDWEDVVGLLEAELPAIASGLA PGRAGIKRALLEAIATKLVSGRDAINDYIRSSLLFHNDEAQDTLFDMVQSTLQELLDS KLVKLSNDETFEPTQLGLAIVASAFSPDDGLFIYGELKRALQAFVMDGEMHIFYMFSP LQASSEIDWMAFRDEVHGLDDSGLRTIRLVGVDPGLVNSIAMGHASIKDPALLRVYNR VYTAFQLRDLSNEIPVSSIAKKYNIARGAVQTLAQNCHGFAAGMAKFCQRMGWDMLAV VLEHMRDRLQAGARADLLEMAQVTYVKSRTARLLWENGFKTLRSLAEAAPSELVPVLM MVSWP TRV_00899 MNPKRADAAWEHSSTGAGAKRSRSENDALPAFTTAHQVLNAQSR AQRTSTVQDSHDTRRLRPSRIDLLSNSSNSESSRLQNLSEYSQRTSVSSTPGPAQNPI LSLSHPKYGLPTALVKNFASLGVNAIYPWQASCLLGRGHLSGEKNLVYSAPTGGGKSL VADVLMLKRILTCPDKKAILVLPYVALVQEKLKWLRRVVEGIPRCTHDDDDDGADNSA DGARSSRLKPEENFIRVTGFFGGSKARTTWSDTDVAVCTIEKVAPHQLDFTLSENTAN TIAIEDCTIDKLGVIVLDELHMLDDEHRGYLIEIMVTKLLLLQQDIQIIGMSATLSNT EVLAKWLNANYYISRYRPIPVEEFLVFEDYIYPVPTTKGLLRKMIRPDTSNPNDVPAS ACRVIRKSEFRELAFPIPNAMVALACETAAAGYGALVFCGSRQACQTNAVLIGDAMPD TLDADTIEKRLDLVASLQSLPCGLDTYFAKTIPRGVAFHRQYSPS TRV_00900 MCHTSCILEDAGSVSSYLQTVKTWLDSHPNEVVTLLITNGDGLD IKEFDDAFNAVNGIKDYTFPPKSKLALGDWPTLRELITTGKRLIVFVDSKADTNRFPY LLDEFSYYFETPFSTTDENFPQCKLDRPAGGKPDGQMYLVNHTLNVNVFGIFLPDRFK ADRTNAAVGQGSIGAQVDLCNSIYHRKPNVVLLDFITEGDVLKAERTMNGL TRV_00901 MSIYIPRVYVYKRPIFLPLRGICPPPQPTPSSSSSSRYHLHSAT AKMKYTFLAVLSAVTVLATPAPVPTPPNIPSASTAQSLLSGLTVRPQGPQDGYSRDKF PHWITISGTCNTRETVLRRDGTNVQVDGSCAATSGSWFSPYDGATWTAASDVDIDHVV PLSNAWKSGAASWTTSQRQSFANDLSNPQLIAVTDNVNQAKGDQGPESWKPPLQSYWC TYSRMWIKVKSVYDLSVTSAEKSALTSMLNTC TRV_00902 MLWTKGVSFSSIPPRWVLLRSYARSASSSASPSIRDIKDSRIHI TSSKLCNDVLERLAPTLPNSKPVDIIDAYPGLGIWSSHFHEYVRPRRHILLEPNFRTY EAHLKPLLQSPGSRYVHAPLDPALDRTFFDLFKKGYLPEQTERTEGCTEVNDTLLVLA NMTLLRSATKLRATDSRKYFESMLDQSYFHRYGLVRIIAIFPSSNLDAILPKSISRRR RTQALLECIALEANEVAGDTSETTHLTRRGLPVVQISAERAAKRNEGVVVKVPPGREP VPLELSPKPVAVNGVEHSYVPRPIHDWHREYIRAHKDLKKEEQKIDTSNPIPVKLKDL RKNFAALQKRYLYESSQIRSAHEADDRQCDIDNIEADLRKVMNGTLPVPNRREAGKII KNLALLKEERDLILSSLGKQFIRHHYPLFVNERRCVSGSQSSPSVEPLLLWDKRAYEP LHVEKDEFSPKTPCSIVDIQPNPDSFIFEAQRQYKASNESFKYISVLTTFRALLRMFI PYPKKSIEQVLRLLFASRPMTDFPSAIPSLAEYATPKITMDAKEAASWKHVKTDNRRG VFVGYDMDCLAEATLQRIPSKLFWDIAVEWERWRHEKNLPDTLSKSLGGAFISDMNEA FEARR TRV_00844 MRPHSANIIFVEKPEPSFLRKLRNEFGDGSNHHRSRPNPRPAKS KYAEDEDNGPTYVDEDSNEVISKEKYLEMVAGPKGDTEENEATKPAADEGAETESRTS KSKQNMAEIGASKKRKQEKVIGGGDVPEDQETSQAQPEAPKRKKNTKKKIKLSFDDEE TRV_00845 MEIGISYQVENEFEKLQRRSKATSSAMLCARNVFEKRLAEEYIS EHGKEPITGEEHTVEDLVELKSARIARPRPPTLTSIPSLLGVFQEEWDALALETFTLR QTLAQTRQELSTALYQHDAAVRVIARLRKERDEARDALSKISVGASRAPPAGDAMQVD STGLPEGVISRIEETQEKYGLPKNLLSKTRRKRPIPEDWATGETIQEFKPSSPSEALY PGGHSISLNSSGELALVGGTDGVAGIYSLPEKRVVASLKSGSGSITDSAWVGDKAVIA TSTGAVKAFENESEAASFNVHAGTAAALAVHPTGDIVASVGIDKSYTLYDISSSSVIT QIFTDAALSCVKFHPDGHLLAAGGADGQIKIFDVKSGTSAATFDMSGPIKALYFSENG TWLAAVTKDSSDISVWDLRKSTVVKVLETGSRVDSISWDYTGQFLLTGGPNGITVQQY SKASKSWTEPLRSAVPSTAVAWGPSAQSILSLNGDGVIVSVSAQ TRV_00846 MNSGPSRQTIHARQPRKRYHEESSRPHSDGESQAPRSRRGESSS SVDTPGALSSSSTSSSSNNGVSSSRPRLPPLPPFPRTRYPGDGFDFRRPVMSTGVPTR SHTLRPSSQEDVIDLTQDSDPIIQPALTPGAQEVEPVLNRASRGPRFGRNIMVDVVDL EDDSASEHQPQEQQQQQQQRHRQRHQPRQPSSPEVQFLGSLVRSGASHTTDRSGSNTP QSLFARRSNISTVFRGIQRPPLNRDEALRRELSVRTRGMWAGRPPSPVFPVLEWFSNS IDLTSELDPFAIQLDYSTTGFVGGGSAPRTEPSYEPPPPPPDGFTRTVTEDKEAICPN CERELGTGDELGKQIWVSRACGHVYCGACAKNRALSSRAKKPDPPPDPKTKPFAKCVV ADCGKPVSQPKAMLQIYL TRV_00847 MLPDFAKRNPQIEIRISPRPHKHPVIKGHYINGREKAICVRNLE VYEVLQKANLLKEASGEKLRRTNKPVLSTNESVRGIWSPYHGDMKQI TRV_00848 MTDKLPPNLLALFAPRPPLRYLQPISRAPDDVKPSTISGVAGYL DELKKYGEEVPYNATESWLQRKDRLKMEKREQTTKRLEEGLAAYNPSEDPQIRGDPFR TLFVSRLSYDVKEADLEREFGRVLNPEQPLHPLPIPYSTYKYSYDKVIKYMTNAIDRS CVTTTAAYKETDGIRIKDRRALVDVERGRTVKGWKPRRFGGGLGGRGYTKAMPSRPMG PGGYGPPSGPGGFQGGFRGPRGGFRGGFRGGDRPFGGRGGVGFQGGRSGFGGSANGAS GQAPPNAPLGPGGSRGGGGYGQQNGDGYGDRRFDDRSRGGSRYDRSGERGVTGSNREP VRPRGYGDRDRDRDRDRDRDRDRDRDRDRGGRSDRDRDRPRDRDRDRHRYSRRDDDPP RKRHHEGDAYDDPRTKRRY TRV_00849 IPFEDCFQPILKAANSKALFRSLTQSLPPNQSIMPISKRAKVIH ESRTQKKSHKEQTRRLYANVQAAVEEYDHLFVFAVDNMRNTYLKDVRTEFSDGRLFFG KTKVMAIALGTTPETAYAPNLNRLSPYLTGAVGLLFTSRDPQSVLDFFESFHPIDFAR AGNITPRSFTIPSGVVYSRAGEIPAEDDEPISHTIEPTLRKLNVPTRLVKGKVMLEME GDGYQVCKAGEELDSRQSTLLKMFGVAVAEFKVEMKARWEKKSGEVVVLEKQETMEVD A TRV_00792 PQVHHQQPQPQHPQQSQQPQSHVNPREHARLLARERQYAIADQM SRMATDEYQEDILAHMHAMDTATQPDVDSIDIQTEIQWFMRPYLLDFLIEAHAAFQLL PATLFLTVNLLDRYCSKRVVYKRHYQLVGCAALLIAAKYGDKKDRVPTIKELKSMCCS LYDDDMFIQMEWHVLQTLGWSIGHPTVDSFLQNAVVDTPYDPEVEHLALYIAEISLFH RDFVSKLSSDIARASLALSRCILNRPQAPQSDWASNYDSLTLVSLSQYLQKPSHVLTR KYSSAHYSRASKLLEQFLARQESLNKSYNPPTPPNDRMCESKAPYENEAGLATPQKTQ YPAVSHGYITPPITPENDAFGVPGNPNVAKDGSTMLYNCPPSPTPAPTMQYTAQHYEM TDASAYSHHRLFPQPPAQTFNPVF TRV_00793 MFPFSLILSLWRSMVTSGESGPPTRDNGRNVKWLDGLRGIASFL VILTHLARAWDYELFSAGPAEGTPRLLQQPVLRIPWQGRIGVTIFAFLTGYVCALKPL RLSGSGNYNASFSSIAKSAFRRPPRLILPATIALLFAWTVAQFGAFKVATRSDVDWFR AASVKVDPSWLKEISRLFFTILSTWTIGRNDYDDHQWALRPLLLASMLVYILLVATMY VKYHYRLAIYVVMILYFHQDASPNTGMSFTNSQSAIDIYPANDGVFPTTETFGQQACY GMMLSDIASNHPENSYISSRPWLRRAIGWIAIALGLWSASYPEHDVDRCGWSNILLES SKYMFPPNVNLGKRFTALGVDLIILGIFLSPSIKNVLSNSFFLWFGRNSFAVYLTHGT LLKTVLTYMVYGNITGEPWVVTKNENGEDVLPPWFTRGSPGVFAICIPIWLVIVYTVA HFWTTYVDSFCARVTQRLESLAFESDNEKTQLPR TRV_00794 MGVQRLALALIAFTSALTSVIAAPIVIEQPPLGPEHRYDAIVVG GGPSGLSALSSLGRVRRHVLLFDEGIYRNGATRHIHDMLTNDGVEPKVFRAKARQQIS RYTSTSIKDVKVTKIKKVFEHGGRKYFFQVTDKTGAMYTASKIVLGTGVLDVLPGTPG LQENFGKGIYWCPWCDGWEHRDQPLGILGPLRHVMDSVYELETLNKDIIAFVNGTEHS IEDILYLDRKYPHWRKQLKHYNVQINNKMVSSIDRLQDGSKHQDKKTWQEYDKFRVNF NDGTSVERSVFITNFPTEQHSDLPDQLGLARDPFHKNKIKVNFKGMRASVHGVFVVGD ANNDGSTNGNHAMFSGKRAAVALHVELEQERAEAALGKRDESFSAEQVENEALKLIGR DTEELEELWGRK TRV_00795 MILPEEPPDGDVNKGHIIIILTWTGFSISLLLVIARIWTRVKVV RAFGWDDGFIILALACAVVNAAMVTTSIAHGTGRHQFYLTKEEAMQADKYNWISQGFH VASTNWAKVSIMLFLLRIIGHATRQAPYFYGGMVFLSVVNFVCIFTIYGQCMPVESLW DHSIKGKCWNPRIQRDFAFFTGAVSAASDLALAIYPMRLISKLQMPFRVKLGLAAIFA LGFVAVGASVVKTIFLSRLSARADYSWNTIDLVFWLCIEQYLIMIAACIPMLGPLVKL ILREATSRATGSSGSTSWPRSMLRKPATKRKPRPYWATDTSLYGTKEEGTTIYGVNPN MKTRCYPLNSYHSGVEQQTTTESQEAIVQGTDGQRDAIVKVSEVHVKIESLEPDRRSS RSVTFENSAMTSNSNENTQKSKSEKIEEVPYGVLAYGEFWELFGFAREKLAAYSGLGC LSMDGVYLFLFILRNNDDDKREEFNDENPPGGVIRRDRANRSPRFPT TRV_00796 MGEKMEEKMGEKKGEKKGEKKGEGRRKR TRV_00797 MDENMIQNVEHAIPWLLEPIISPKSIITAVTVLAALVFMLGFRS GINFEGLFDTLHIYWVSNKIRKTKELFQTKSQIQKQTDWIEEWEYLDEQREFLRQRDY FLRETFLDYAAKDKLDELDGLDNYGPKLWEDKLADSKARLWLIEQKHGDLAKSRPEGP KIRSWVIESDRIKRMVSKIGLPRYLAQEKFVKPCKENDGCCARDCGCCSKPRDVTPSG EVFYAHCTSLCLCCIRRRGFVRLVPTWRNIPGFVSLTGEDRIAQEARKYLPENPAVQP TGEEKKKETSAYQARVQDFGETGDESVDNKPL TRV_00798 MRLASILTVLTAAITMSAATNPTTTPDGLVIETIKTVESDRRSV NGDQVKVHYRGTLQSNGQKFDASYDRGEPLVFTLGSGMVIKGWEQGLLGMAIGEKRKL TIPPNLAYGDRGIGPIPGGATLIFETELVEISKSEL TRV_00799 MGWVGIGALIASISYILYKHPPTSWSFLLSPWSFLTGRRTHSDE PNPGPDSIELPSARQNDITPSGAAPSEDDQDGESTPKAKPTIPASVIPPVTLDEPQAI SGEKKDAVEPSSVSGASPGASPKLNSTPSVMAPPPPTMTLQPPAPAVKAPEQHPPAPA STSLMPPPPPPAPRPSSSLRAPLRPPPSAAASLRVPPGSRPTTSTLPVLPLPGKPKRS SRPVILEPGHSPLDWAALTSNPRNNLRGADLPPTLIRVTPSMLKQHNGRKGTDAWTSY QGKVYNITPFAPFHPGGKGELLRGAGKDSEKLFAEIHPWVNWDGMLAECMVGILVAEH IPGDRQDGKLDEMD TRV_00800 MVKSLHAVTALLLLNPTLSICGGPNSRAVEKIRADFRLPGFAVG LFKNGYLQYEVTGRRKNTEPVPIKRTDKFHLGSITKAMTGTLIGMMVDRGLINWTTTL PEVLPDFTNMMHDDHRNTTIGMLGAHRSGILDDYSKDPDFYLGLYNLDPVEGRKAMIN HTLSKEPGTTKGQYVYDNTNYVILGRIVENFLGVENGASWEQIITTELFNPLGMKCGF GTPHQSSETSIDNPWGHYFYNSSSNPEPVGGPLVRRDNPPALGPAGTVHCDIKSYVNF AKMHIDGFSGGRTKLGISKETFKMLHTPYPSTDGVLYTPGGWIYANDTTTPWANGPSL GHEGSNRLNHALVFIAPKRGPTGEIITAFANTGNYIAEEGTAPAADAMYTAVSDIMEG RLFK TRV_00801 MDQLVAATLSKRHGGEEDATFVACNNGNDYNGQLNLRIVAIFIM LVGSSAGAILPVFARRDPNSPSKSKVPPWVFFVAKFFGSGVIIATSFIHLMAPAHEAL SHPCLTGPIKEYPWVEGIMLMTIIVLFFVELMVIRYARFGHDHDHPKPERQVETGVVT AEPKSANGSDNHSHDHDHLGHSQDHPSDGGSDVVEASHMALLEDYSAQLTSVFILEFG IIFHSIFIGLTLAVAGKEFKTLFIVLSFHQTFEGLGLGSRLATIPWPNSKRHTPYLLA IAFGLSTPIAIAIGLGVRNSYPPEGRTTLIVNGIFDSISAGILVYTSLVELMAHEFMF STSMRRAPIRTVLSAFGLLCLGALLMALLGKWA TRV_00802 MDERETADCIAFSSFIECYGQPLVDLSLYVSSENYYAITSPAYG SLLQWPNQWILPPRLRSRAKARTEHLGLSHLDLDATEERQKEQQDGRQTLSASGQIPK SLATKSQETISGLLSKSSQQSRIRLDGLTEEFVNPLEELLDGKGYLLNDDMPCSLDCV ALGYLSLAIFPETPSPWLREGVHKYSPQLVRYFEKLQLKCYGGKVESVDTGRTATTLP WQESEPASIAGIGLSIAESLADAIPIVRDIRASRRIQQLSENVSAEREQKIMELVAQG MAFQLQFSDSAQQQDGNGAGPEAAGQETAVATPSSSFAEAGEMLGLH TRV_00803 MINNSTVEKEESIQKPSSQDEERKLTIKEKKSSLTAASLAFDVD VDVDVGLTLS TRV_00804 MGWDEMDGPLYIFHRLVKKRELKIKKKKKERKRVKGKKKNKGQR APPDNPRGPVGDKRRRETKNGDGDARDENRRKAPKDESKKEVITYRSVCLPWRKSSAV RDPRQEGLGRRTRMSQNQSQSQSQSIMISDHITKTKETFFFFFFSSSSLPAYLFWLED FFAN TRV_00805 MTCALPLSLSCLSVSVSLAEGKDEDDYQLPIFDSRRLYGPVHQV LSLPLSPSVYMSMSMSLLIVPRLPFPRERLCLPASKGEADMKLVCLSFFCLFLDFFVF VSSSPMVPQLAFFAKKKKKKKKKRTGTGTGGRRREDRLAWFDGLESCNLLCHLGFLVS PCSFTVVVVVVVVVDIIFLTSRVTCLFLDGFFFFASAMMASSLTAYSHGGYRRINPVR NMRWGTREKKRRRRDQDVCFLLSLSSSPSTFTLSASLSR TRV_00806 MGPIRRYLRVSKYTVLECRIYLENPSDSRWLLDSHDATLKRVIE SIRPLVQPKLREEKERIKAKKKSKPVKDVLVEDDFEVSIFLRESGSRHSLLTRQKVFR DNKGRITSNSKKMTGGTDDSPIEISEQNIGETRILVESDDDEEMPFANDEAPENNNKN KNDNNAANENMQDTAGVANEATEDKKKLGLTTTYDGFGIWGWVLCLIIKRKKPMESSK KTNDGETGQALMEEWICTQAPREYDE TRV_00807 MGQTSPPPEGVFSLLDTDLYKLTMQCAILKYFPSAYVTYSFTNR TPDMKFTRRSYNWLLEQIARLENIKLTEEELEFLQKRCSYLSPAYLKYLSEFRLKPSE QLEVSFIPIDDTGSKDDMGDVKIAIKGLWIETILYEIPLLALTSETYFKFCDRDWDYH QQEEKAFRKGCALLENGCIFSEFGTRRRRDYHTQNLVMEGLTRAAKKGAEEGFKGKLA GTSNVHFAMKYGIDPVGTVAHEWYMGIAAITDNYENANEIALRYWLGCFGEGVLGIAL TDTFGTPTFLEAFRKPVPELTSATEGPEATMPSSGNNTSETDSLTSTVPPIQKPFDSA VRNTTAKTYAQVFTGVRQDSGDPVYFLKIIKDFYEKEGIKDKKTVVFSDSLNIELCLE YKVIAEESGFQPTFGVGTFFTNDFNRTSNGKKSVPLNIVIKVSSAGGRPAVKLSDNLG KNTGDPKTVVEVKKRLGYVEHDWENGDETRRWGKKED TRV_00809 MSQYNQPRPQDPNAILNQCRDVDRGIDDVNRYVDQLSTLYRRLL SDVDPARENAVREEVEDLANQTKRLYRNLVDRVKSIKQMPESGSPRNSSQVGKVERSL KAAITRYQQVQADFRKESESQMARQYRIVRPDATDAEVREAVQDSSNQQIFSQALIQS DRRGDAQKVSQMVRARHEEIQKIERDFVELTQMFHDLEAMVIQQEEPIAHIDQTGEEV RENVDKANEEIKGAIDSARARNRKKWWCLLICLLIIIIIVVVIVVVVVIQKK TRV_00810 MSIVILLSILAADGIALPLSPSFPAAELRYILDNSQAEVLLATE RYREQAEQVLKGELINPPVLEFIPKLEKGATPPSSVGFRNIEDPQGGMMLYTSGTTNR PKGVFLPHSALAAQTKSLVEAWSYSPDDRLLHMLPLHHIHGTVNAILAPVLAGSSIEF TYPFNPTAVWKRLAKPFLPQSTANPPPKPINFLTAVPTIYHRLLNTFDLLSDTTKQAA KEAISPGNLRLNISGSAALPTPTKGAWSDLSGGNVLLERYGMTEVGMALSCGLEFNDR IDGSVGWPLPSVEVRLFDLDKQEVIRPGQELDNYGRERVGEIQLRGPTIFKEYWHNKQ ATEESFTDDPDGKGKWFKTGDMAIRKPVDGAGKGESTWAKGPLYFIQGRQSVDIIKVG GEKVSALEVEREILSLPQVLEAAVVGLPSKQWGQKVAAILVLDAKHAATESDEGKPWG ILDLRRALKEKLSSYKIPQEMRVLEGGIPRNAMGKVNKKTLKKEVFGDVM TRV_00811 MAAENGTKPEQAVNNENSTPDGTEAQNKDEQITVFHDPINFNVK HPLMNEWTLWFTKPPSGKGDNWNDLLKEVVTFNSVEEFWGIYNNIAPTSQLSFKSDYH LFKKGVRPEWEDQQNKHGGKWSYSFKFTKDRNQVPIDELWLHAQLTVIGDTLENDGDN EVMGVVVNVRKSFYRIGLWTRTIGRASNERSQDQAQKILLAIGRRFKEVLKLKDNELL EFSGHTESANAGSTRAKAKFTV TRV_00812 MRLPYQVLFGTGDVLAQQLVDQVGIEKHDFARTGRMVLYGGGMP LQATCKPYNARSKKLMVTRAFKNIAIFGPGATTWYKFMQRSIVFKNPKLTLVARVCAD QTLFTPTHLTCFLSSMAILEGNDPLERLRTTFGTAYKTNLMLWPWVQAANFTFVPLEH RVLVVNLVSLGTFLPLASLREYCISLLILNYQLLGWNCILSLMNNIVLVYNLSTIKYA PAVTFKPSMKKGEREGLVQTVQTQTAQSFSMPED TRV_00813 MADAARGRGGFGSRGGDRGRGRGGRRGRRGAKSEEKEWQPVTKL GRLVKAGKINSIEQIYLHSLPIKEYQIVDFFLPKLKDEVMKIKPVQKQTRAGQRTRFK AIVVIGDSEGHVGLGIKTSKEVATAIRAAIIIAKLSVLPVRRGYWGSNLGEPHSLPTK TSGKCGSVSVRLIPAPRGTGLVASPAVKRFLQLAGVGDIYTSSSGSTKTLENTLKATF VAVGNSYGYLTPNLWKETKLNRSPLEEFGDVLREGKRY TRV_00814 MVCCRGCRRPINQDEDSDENNHKQVIAGASFQKRRSSVSDITCL PFGLTKSESLASISRFFKAGSSSNAKKGATARSASRSSFSSNIALLRSAFGGKQNNMK EYEDTFEEPFEDDDSMDGFDKPIISFRGGSAWQALDLNCKVLALDLFWPTKKNSPFDN PFRPDIMPVEELASLCNFRNLRKLKLTGMSQSYQKYIWQTVWLNPALEELELEMALEP CIRRTFNADWPSIKGDWSYRTADEMPGVYYGESGEGELHRRAGVGEYLDKESIGEAKI RALAMGCTLDRLPIVKLTLTGFVVDADPFFMWFNPHRLRVINFKNDCVDAGFAIPRFM SDRVVVSWPNTVAEYAMQVRHVKPGEVKLIDIPKRKNKGPTQAKLVDIPKKGKNPADK ADETKLANEKVSEWRKASIGKENAAIEKAPKCFSDNDDGLSRRPAFRIKGFPRASKK TRV_00815 MAMGYGVSYKHYTNHKHHKHSPDTIQETCREVYWIRYVNWMLTV PLILTCLSFLSGVNGASLLVSISAALVMFVAALSSSFMDKHYQWAWFVISCLGYLTIV YQTGFQGRRASLAKDQQARNFYTSIASFSLAVLLVYPIIWAISNNARKMSIDAEIIVF AILDILLQGVFGYWLIASYNTIRSM TRV_00816 MPELSRSLARSWSSTLKLPKSTFPPRIALADQSKYLKRCSDDLY SWQQRNRPATATFTLHDGPPYANGDLHIGHALNKILKDIICRVQLAKGKRVHYVPGWD CHGLPIELKALQGQKELGDLRSAGRDGAAQIRSAARQLAEKTVSQQMEGFRNWAIMGD WENAYTTMNKDFERRQLAVFLEMVEKGLIYRRYKPVYWSPSSGTALAEAELEYKEDHI STAALVKFVLDNLPSQILEHPLLAGNDISAVIWTMTPWTLPANAALAVNPDFEYTIVD STRHGKLLVAQSRLQYLQELLEEDFTVIIPAIMGSELLNSTYRSHFKGPESEKQRIIA AEFVTADAGSGIVHCAPGHGMEDYEACLALGIPAYAPVDGEGRFTQSAMPTKPDLLTG KEVLGDGNHAVIKHLSETGILIHKHDYKHKYPYDWRSKLPVIIRATEQWFADVGDIRG EAIQALQSVQFIPPSGKSRLETFVRNRSEWCISRQRAWGVPIPALYHRTTGEAILTKE SVSHIMKMIEERGIDAWWTDDEFDQAWLPASLRDSSSPQYKRGTDTMDVWFDSGTSWA QLKDDSPENPPADVYLEGSDQHRGWFQSSLLTYISHQLAEGGKSSFKAPFKTLITHGF TLDQYGRKMSKSIGNTIQPDEIMGGTLLPPLKPKKIKGKGPKPNPDVPVYDALGPDAL RLWAAGSDYTKDVIIGQQVLKAVNISLHKFRVTFKLLLGALQDFDPRNEVPYESLHAI DKIALVQLHNLVKSSQEAVDKMEFFKAVTAMNKWANLEFSAFYIEAIKDRLYADSESG MSRRAAQTTLFHIYTHIQSLLGPIVPLLVEETWEHTPGNIKRCLKHPFQRTFKSPDSQ WKNDILEHEYSAMVAANSAIKAMQETARSKKQMGSSLQSFVHLVLPEGFEISEQLQTE LPDFFVVSSVTIGPECDSVLNDITQAEWSYSSEFKLPNDQIGKAWVYTPTQAKCPRCW RYAVQPTETGSKDEEDLCHRCEEVVHEIDQQCDTGTSTPN TRV_00817 MATSRDSPAPVLPGRALGFLTLGASLHHVVTRLKSLPEIYKALE LGYDIAKPLQEPVILQLPENGLRLRFDGPDQRLRLIEVLDFSKIPLVYKTHEVVKPSK SPSDPPGFGPGFRHIYNRLFGPTYPGEYTPPVAGETHGTYVLSYPGVAFSFSLLHSAW TEKQDFVSLMSSSAASAALSMAIFQGSSWSDGQSNLFTRQPQYPRSSALMGKNKESVA DEVELVKVHEGGHIELIRRSSNPFWIIFGETTPQDLITELGPPDAIYRKSDSRILIHG EGEKNVAGTTNGGGNLPTPPTDPSDIDPQFDSALTDESEEDSGAATNEESALPSDCFY NYFSHGFDILVAPSTSGRRSPGSPTRELYATGGTPELVTSKIILHGNVPGSYPFNRHR RCRWMLHFGSNKETITSETPYGEISPILKERWRGSYASPAEEASFQRGMVLNRGWGET PGSSIELLGSWEENPSNKNKTDHNPEIPSSLGNTELYGFPGLLFEVLKNGSVNCLTVY TRV_00818 MAGGTRPQPTRELKKPALRGSARPRASIAPERVETPKISSRTQT PSATRALNSPTENAGNKRKERDFEQNVPGDTNIRVIVRCRGRSGREVKENSGVVISTE GVKGTTVEVSMGPNALGNKEYQFDKVFSPAADQAIIFEDVVAPILNEMLSGYNCTIFA YGQTGTGKTYTMSGDMTETLGLLSDSAGIIPRILHALFQKIEGVDSSVKCSFIELYNE DLRDLLSSEDNVKLKIYEEGMKKGHNGTMIQGVGETYINSASAGIKLLQEGSHRRQVA STKCNDLSSRSHSIFTITTFLKRISDKGEEYICSGKLNLVDLAGSEDIRRSGAENKRA TEAGSINKSLLTLGRVINALVDKSPHIPYRESKLTRLLQDSLGGRTKTCIIATISSAR CNLEETMSTLDYAFRAKNIRNKPQINSSISKKALLREFTTEIEKLRSDLIATRQRNGV YLSAENYEEMTVESESRRILSEEQRAKIETMEINLKTKVQEYLALTSNFNEMKKSNES TRSTLDQTKSLLEQTELILGKAQKDLEEETLLRRAHQVTEQKLHDIGSNLLSVIDQSV TDVSGLHSKLRRRSVLHKQNKNAWEDCTARVLDVAKSIDGRMALLHSQHSTLMKGLTA RVEAFVSGELEALKKSRALFTETETSLSRFEEDSRSQNYKNRDTMNEVLEEIKILRED VQGKVGEGLNGLSSAAARISGEVIQELQQFDSELHSMYNLLTVDFTDLFKSIVDHLHS QKEEVDDLRAQLRKANEEAKKANEKAASRLELTLEEERRAADEERSVLASQIQNLLNE SSDRQAARLKGKIDCIKTEVQISGKTLQQSHNKYLETLDNWDKKEDELLEKVLKSESE LKSRMKDHLDLFISRNTSIHATTNTVHEQTVHIVDEQKKDMAVQMEALDDFVRRARSQ NNQHCEASNDIANKLGQRVRDGYQQQLQQLDGFEKREAAFQSAMLSENKSLGALVKTA ISEVKQPLSGLQSEVQATSMAEYVPTGTTPEKKTYKYEATLPRTESHSTILGNLGSPC DPSASASPSCTPLDSPPLQHEPPTSPTKSMVYHDSDNNIEDEKPPPADTPEESGQLSP SKLREVDVNVMNKPNAEPVTAETGRSIESCKETEPPPLKRHLSSSVAAASNLTGETKI PTKRMTRRNARGIANGVGGGRENTPVSTLNVGSRINARRVRSGPHH TRV_00819 MSFPKDAVSPTTLSPRIAGLSVSPTELDTSGQPPPRSSHGMIQD EAVEDDSGHVSQNEAAEDTSALTHPPFKPLFTVIGDATSSEYFHPTVHYIFSDDDTSL ITEAALRSLESNNQRTSSWSTESISQKDKKKGKSAPKTKAASEQFIILDMEPTYTNDN DTRAAGGEAKTTDAQETPVHPAPQVFQDFKVTSAHSLSPSWQVINTSLSPAPNFDSSS NSPTSTDRDGNTVLMIEGTSGLASDSTTVRQQTQQAPGQTLEEMMDQFARRMEELKKV VEASGEHSFSQVVTHAENQEDSPQPEEQGQS TRV_00820 MATTILRLARFSQRASFSRSTLAANTSQQRAMSLQSYVVTPKEL HEALKENVPTKISSSPRVIPLCAAWFMPNDPEGRTGLMAFKKKRIPNARFFDIDVVKD TSSPYPHMLPSKEKFAEAMQSMGIRRDDRVVVYDTEEQGILSAPRVGWTLRVFGHPNV HVLNNFRMWVREGYPVEEGEVTPNEPSDYIVDEYHPNRVVLFEEMKEMGQDYGKEGSD AVQILDARPAGRWAGTDKEPRAGLSSGHMPGSQCIPLGEYLDPETKTLRSPDELRQLF ESKGVDRNRPVITTCGSGVTAAVIDLALEQADYIPQENRRVYDGSWTEWASRVTEESG LIRKI TRV_00821 MSVGRKVFHCVVDETCLTTNLGEIKKWTSQDAIALIVPLYTLER LHILKKGGSQSGINAREAVRFLDRVTSGKHNISAEKVTLQGPMEQFSRWGDAEKYILP EFKEEAPATPAHDTVEYTLKEEKSPAGDIQPNAPSAREINDMSQMLLSKLNFKKDKEP CSTSAASAGTSSGPASPTSGGSRASPECSSRQLENDAVNGEAAINNEDPSASVKYVAP AVPNVLKPLLNAVLWRLHALPTISAPQSSCILVTNDRETQVWAQKFGIIVKNIAQLRT SIIYEEKEFKNHCKYMEKNQKENPNQNPTEPKPLLSYEDESDEDVLVFVPRKQGKAGQ QTPARTPVKNTPGRGNKMATNNSTSAGRPRAPSTAAETTPLEVPSAPIDPDSFSRSIG MTKQNTSPNGNPSPTPANRSSSNPNPRGNGNRRGGPRGGGFRGAARGRGKLWVP TRV_00822 MPPTILQELDTIYPDKGPKITANLQGKILIIEAIITKAHEIAAR RLEVYIDQEIMKMGLEFEILNSGEARTTSGTFVKEPDASFTLSDHDWPILVIEAGVSE SDTKLKMDARGWLESAGSETKVTITIIINRHSPQIDFKKWEHSTSIQNRNCPRQIFQW HRRYYRRHDHTIRKDSRKETPQC TRV_00823 MEFSVMQLLLELLQRAGISVCIVGELALNYYNAPRIVHDLELCV REDDLTTAASIFQSTEILDIAPETEYNIYTEYKKGFPRFRSRSEPKFYIVLFTDRYYR LSPLEQNIISPEEHDEFQGTYSKELLDTVPAHQIATLPLPRFAPLLRGLCTIYIETRE VTAAIAAEMLVDGMDIDEHWCHRHFDPSHQAVLNFALNLVRGKASRIADFSMNEVTCF IVDKHELQNLRGVPGFSRSTVD TRV_00824 MTLSKLTLPWQPRNSTAFQVMSDLHLEVGQQYSDFHIEPRAPRL ILAGDIGRLADYNAYREFLCTQCENFKAVYLVLGNHEFFGVSRREGLRLADQLQEEPH MNGRLIILNRKRADIDNITILGCTLHSHVPPESEQIVAHKINDFRRIQEWTVANHNSE HAADLKWLAEEISSLRNTGPGSKRKIVVITHHAPSTRGTSKPSDEGNPWSSAFGTDLL HTSQKSCLDHVHCWIYGHTHHSSESIRGQVRLVSNQRGYVLPGQSSQRPKSSGGIMAK YFGLKDHSKGKHNIFDIGKVIEV TRV_00825 MHQLRLNDAAISLHRVLSAHGVKFGIFGGYAVAALGGPRESKDV DCLACVNKDQILNILDGKSGFVAVPQSRRDYVAFLWSDKPTRERAVLVEIFCEGFPGA TFNMSNVVPNVTQIAGETQGSGATSFLDPVYLFKGKLRAAATRSKFHDSADLRWLETY ALSILQANKSQFSSLYVGLALKRYPELHHCFERIGLNIDAATNAAAAYDLHHLPPPQP GDVQNGLLATGNT TRV_00826 MSDAPTNSTVEVPTSPISERRDSLAKSLQCRPDAQDLKNRHILL DTKVAPSLQAAQQELARQQAADSLKRGLEKRADRDTLVERNILPASNAAPALQGAARE LEKHMRADSLEQKILHRPTPEDLVKAGVLTEEENPIKD TRV_00827 MAGNKRARDGNVIANHPDTEKMDTSPDVSSIQSIFTGFRNELDE HHDRRERVVKASRDITALSKKIVRTVNAPIPPKIAKETDDRIKQIQELFKSIEADVSG ANAYRYHQITWGIQEYIEAISFHRYLEKKQLITLEEVSQTLPAGIKVTEADYVLGLYD LTGEMMRFAITTMATGRTASIKEEDKTQQSEGSMGGDAVLSDLRQLRAMFEQLNVPRG LNGWKEVDKKTEVMQASVEKVERAVYGLLVRGTERPSGWVPDLSSAAAVESH TRV_00828 MAWFFSSPYLVFGAAIFLRVVLLFYGLYQDSHSPMKYTDIDYYV FTDAAKFVSEGQSPYDRATYRYTPLLAWILLPTTWTGLGGQQVWFSFGKALFAVSDII AGWLILHVLRSHQRMDMQRALKFSSIWLLNPMVATISTRGSSEGFLCVMIMALLWAVL EKRTILAGVLLGFGIHFKIYPFIYGPSIIWFLEDEENDSFNGSKGFTLGNLIDYVCRL LTPQRIILGLTSLVVFAGLNVAMYMLYGTPFLQHTYFHHVTRVDHRHNFSPYNMLLYL SSSEISQGIPGNNFESLAFLPQLGLSAILIPLALAKRDLAGSMLSQTFAFVTFNKVCT SQYFLWYLVFLPLYLPYSSLIKQPLFGITVAALWAGAQLEFLGVSTFVPGLYFSSLAF FVINIWILGIIISDIKNLPRAGKGKANNRSPAAVKKDI TRV_00829 MLSSVDIQSGDVSPLLTTTASLNTSEPPLHAITLSVPSTDDLKT KLLPPASCPVADVDMDYSAAADNPDLSSTHFSDLPPEIHETILDHILGVRGSTLASIT SATVTTSSWSKALRHPRRKALSDLALVSRAYRALVQGRIYRHIQVKGTTDCLAECAKW FDAHPHLKPYVRHIEIWVPVWGDRLRKSHFSPPSRRESGQANFGVIETNTMESYMHGL DALSSSRTNCNFKSASHNATLQQIFESVASQFPDAKMMTLEGGHCKKPPMIRHFESDP WGDSGLESLTPLPNIQTFIMKGAWNIMRDYSHWTNLSTALPNLREWHCFYAKSKREAH ITISKVLRTFPPQLTRLNISLDGFCSKTSSRGFLNPQDEKHLCRLFGAILPQLESLTF TGKVCASLFLSGRAAVLSSQRKSKLKSVDLVVKACCWGHESDDGSLIPTDISGITNMS FIIEFEKLVIAAIHSLGALPALQYIRIRFIDLDSICGLLNPYFQLVGNTCTGVWSEAI LKTLEENRPEAQFAELNNGILEDYGINSRTGTRSYPRSRPLSIKTSCYRIIADKSKP TRV_00830 MEPGLHEPASGGSTTFLGRSEYIRGEVPINEDRAKAYPAVATES LMEEDIQILQLQHAFDLPPRAVRDGLIDTFMKRCAPWMPIVERSWLMERRDSQLSILL LQAVFLAASRVSSAPAVTAYASSNEFYRRAKALFWSGYEKNTITVITAVCILHWYNPE GPEHVSINTSGFWSRIGVGLAYQIGLHKEPPPGREAPLRRRLWWTLYARDCLISASHG RPRAINTEDNDVRPLTPEDFYGCGADDALFLAHVEVSSLLGDVTQACCRRTLTRPKRT AIENAIYRWSRELPEQLRLFQRVLPPEGSTASKLVLMPYHFEARQLHVTYFVVLAILY RASSPGTIPSAAAILASSFVAGIFEDFLARDEIRFLGPIFTFYLLASGVGLASCYSYP HLWERAQQDLRIICSSLRELSKRWPSAIGSLKALQSMIDETAKAARPSERPQPTPLTS DQQATFCGFGTDLCRLGDVMLAHYPHDIVDSGRQEDDQYARTVSDMMTAGILAELKTP MDSASAPVDEYLPLASVIDHNTQLAFGVEEPTSIIPDEVLYGQYEGIGNWLLRGWDCT AEVPWH TRV_00831 METETEKKCYEFLKLTSRSFSAVIMELHPELLMPVCLFYLILRG LDTVEDDTSIPLETKEPILRGFKDILEEDGWTFTGNRPEEKDRELLVQFHNVITEFKK IKPAYKVIIKDITEKMGNGMADYIRRGQEDDEIVKTIEDYDLYCYYVAGLVGEGLTRL FVEAGFARPELLERPELFISMGRFLQKTNIIRDVREDHDDKRRFWPREIWSRHVKEFG DLFKPEFRQQALNCNADMILNALSHVEDCIYYLSALREQSVFNFCCIPQTMAISTLEL CFRNGTMFERNIKITKGTACRLMIDSTQNVRVACDVFRRYARAIHQKNTSKDPNFLKI SMACGHVEKVVERIFPSQSPEAAARRLTNEKSPEQLAQDEADAEAKKDTMYIMLTIFG VLLFVTITMFFVAWLFGARFDLAIEEFKKGKLMPGPAQTHGGEL TRV_00832 MKKKGEKRENWGRGRRWRRATERREVAGVGDGDDDVVVVGFLLC RREKRKKNKKEKERKKGKVSFWASPLWEGLVSSAIKQAKAKQESKKARRRRRRRKQLF FRALQREDQTMDVVSQAGDGDGGKGDERDGIQRPAVDGWLQWSSSS TRV_00833 MRLRTLPRLELPAAADMHVHLRQDAMMQLVTPYIRKGGVDTVFV MPNLQPPVTTVAQAVEYRNKLQEIEPDVHYLMSLYLHDSITPDVIKEAAAAGITGVKV YPQGVTTNSAAGVTSLEDFYPVFEAMQEHDMVLNLHGEVPDVDVMNAEEAFLPTLKGI NERFPKLRIVLEHCTTSAAVETVRSCGPTVAATITAHHLYLTVDDTVDPLAFCKPIAK TPADRDALIRAICSGDSKFFLGTDSAPHQRSAKDQPKAAAGVFTQPYATQYVLLALED ATERGIIQESAVTQERLEGFLSRSGRRFYKLPEPEAGKIILERKGETIEKSIKNEDGS VEVALSRGGAEIFSLRWA TRV_00834 MVVDTTYYDALGVPPTATLLEIKKAYRKLAITTHPDKNPDDETA HSRFQAIGEAYQVLSDETLRKQYDKFGKDKAVPGGGFGKVFSSVLDSVIEGLTKILST EDPAELFSMIFGGEAFVDWIGEISLMKDLTKTMDITMQQMEEDEELAKETDAKLNVKD PAKPQSGETTTTAAPGATVAEDDEAKKEGTAGASEAASGTSTPRRNWGQQAIMDKSEE EARMQAEGLSPEEKELRKKEKKKGLSKEQREQLAAFELERKKQREERVNTLARKLVDR LSVWTETDKGPDVTAAFQEKIRLEVENLKMESFGLEILHAIGSTYNSKGTSFLKSQKF LGISGFFSRLKDKGNLARETWTTISTALDAQMTMEEMAKLEEKGGEDWTDEKKAEYEK KVTGKILAAAWRGSKFEIQSVLREVCDQILNDKAIRLEKRVERAQALVIIGKYFQQAE RDPNEEGEYMAFEELMADSMAKKDDKKKSASAAKQSTPNPSSTEK TRV_00835 MTKKQRGDFFGPRAKGRLTANNDAGTIDNNNNNNNNNNNHQRPR GAALSVTDESKDQLERVARISPRSLGCSHYECRPVLPFDMPNLHALFISPLITMSLPD KQNNSMASKPFVPQAGSGYRNSKSPLTPKLAGFNSHLPRKLAHFDSPSPNHTRTPEAS TPKPSAIHNTNITPRSGQRKARKDGNPSPAATTPPPGHLAPRLASQKSSRGSNPHEAS PTPQEQHAGSNLRVSRAKSMSNEPYGYLPNNRPASSCGSSVGAPKFFHADDARSPTSS GYDTESKPQPQSNPHLAPKSFIYADGRTEDSTNAADPSYKQQAPVKKPVLAQSTPIKS PVSPRLQETSPSGPQVKQFTGNATEQHIFSPKLAPAQEKTFPQIPNTTSRNDQTPENP GRFGHMKSTSCDSTHTAGRKHSFLASPLIHRPTLSQDSTSQSASDLIDPYPKASHNRS PSSGEISHNLLPRAPSPSKDDGNVLQRMNELAANARRERKVLDLEISNSSLLAINRAL EREMRKQNAELRRFRRLSRSGRLSMTSARRSVSGGGLSVVSEADGDDTDSYSYSRMSP ACSADELTDDEVDPSSSEEDNMSSGRTAEHDEKHRAKDESRLLLDLKRHQQLLIDSQK MNQSIKRCVGWTENLISEAKKALEYSVHVSDVEVGGRVLGPDDFDGDYFKNTRGLLSP SMNISCSDIPSFEGHEAIESPPSIPNRDSTDLPSSTGER TRV_00836 MSTNSESKAKGGIQTNGEDKATEGSEHDDTLKYQLLGPSLTKAG QDKVDQQKVSEIIYNASKGSKFFNHERARDQLLTEKIQKILAHKEKLEKQDLSHSIRL ADEYIADLELRRDLSQSIVHIDCDAFFAAVEELDRPELRDVPMAVGKGVLTTCNYHAR KFGCRSGMASFVAKKLCPELICIPQNYEKYTAKAREIRAILANYDPEFESASVDEAYL NITQYCAANNVDPQAAVQQLRGEVFAQTGVTVSAGIAANAKIAKISSNWNKPNGQFYV PSERAAIMEFMTKIPVRKVNGVGRVFERELGAIGITTCGDIYQYRGMLSQLFGQKAFC FLMQCYLGLGRTRIQPAGTFPRKSIGTEHTFADLSDFHELQEKLRWTASALEKDLRAA ETKGRTLVLKVKLHTFEVLSRQTVAPKPLLVADDLYKYSLPLLTKLHKERPQMKIRLM GLRCANLVSTKKGTFNFFNRSDSGQTVAPRSEASNEAISSTEEEFEAAASQERMDDME CLESLSQELESSHKTRQAAQEEPKTVKLDKWPCPICSFPQPPDHAQFNQHVDYCLSRE TIKEAVRGTLGPEDSPSLQVNKRKAAALEPGARKRPFFN TRV_00837 MGDAGSRWGTTLDGTLITGESKELGSRDEYKLSLGRYPFVLRVK WCPIVLSFSFPTKQLKAKDPLAHARSQLEELDIKTVIPYVVGKTTHVVQSKRNTAKGL QALINGCYLVQDSFIERIRYAASPKNVEREESLSPLEEDFDNAWPDPTEDLPPRGNAP TELPDSAYKPNSDRINVFEGYTFVFSDKKRFEDLQGPISNGHGKALFYEVEPGKTKAE DVVDFLNKAAGVKGLACEQDGTGGVLFMQFSIKGHEEWSIAMENKVAELTGQQPVEAS DFLDAILRNNASQLYRRYEKRGDVQSTPPATVPNTDPDQIQEMSSGPSTTVLVEDSQK EARVLKRNKSKGYVPKFKDFDDGFDMESIPVYQLEDDGEGEEDAPNIIPDSLHERVPS SVPSEGEGDDTVINLLPGTAAMKQRGIKRPLETKQVAPQPQKAKKPKLDLKEAARQRR EEVDEAATARRQEDAISFQAIVEGMDLVQIQKLVTVEEMEVKPRQPHRNTPTDESRWD ERWNGRQNFKKFRRKGNTEVAHRRRPIIVPLEEAKKKYFGIGDDYWGASSTPPERQRS PTLSNNIESMATSLEVPQPTAPATRSRPQKRSRDDEESDDGLRFRFRRKRQR TRV_00838 MTLSVHPATAEDIPRILDIQSTALKQSGFFRACGEISNPGGFQD HDEKYPEPIRRELQTKRLIYQMENDPGFHLLKCVDDDTGEILAFAKWNVYVGGPEALK RWREQMKTGEDMFVPEGANVEGYRYCMGKLFDNRKLFFGEEGRENCVLALLATDPAHE RRGAGTLLVNWGCDLADKHGAETYLEASQRGYPVYERRGFEVIPTTEKQSAKLHFDAS KYTGRGLGEGGQGDWARLTIMVRKPRPTKE TRV_00839 MKIKAFSGFVSICFLPLVVSQSTSVQSTPPSSSSRPASSSSQPS SIPSSTPSNGSGGGGGGGASESATGSTGSAGGPSLTYASDIVVPATPPVRSASFESHG PFTGFASVTGALTASKVLGTALSGKPAPTNATSYPSDGELHGAQPAPYFPAGGLGTNG TAPVYNVRSDYDYQSIALALHLEWMIYDLFQTGASQFKPKDFQALGLTQSDYNSIALM ANQSQGHVTLLSNILGESAPGPCTHNFPFKTAYEFLDFSQKVTAVAESTVYNFLPHLD SRETAMLVLQLVATTARQHMIFRQFEALQPMPVWFETGIPQSWGWTLVAPFISLCPDD ARLVWRNFPALQIIDGPNAARKGGSGKNETTGGGLGVVNSTAIPLDESCLGSSSSNGT SSGRTGKAPRGRYDRQYEHVAAANTTGSGGAKPSGTGGSGSSSGSSGGGGLSTECRPG ITSNRTKPLSEPGRKILLQWESAGRPVGPNNSYITNTQAKEPAFVAWITQLNVTYTPL EVMENGGNGGSSGGGNTTSSSTTLEPSVSAIDTSSIRTDTMAMAKPTGNGGSSNSTGS PSSGGGGGGGSSNGTSPTSKMFTGTTVQPDFATFAGDPAVNGTVFIAITDEDLYLTPF NLSLIDSHVVAGPAIYQAG TRV_00840 MTGTHDPLVDMRLHEKEAFDAFIRPDDSYTPEGVYWADLPLMKK IQFVTSVDMEESKKEAASFWEMFKNDPLSPVSFYFKNMVLPGAGLGLEGYVLFSIGNI KPLFQKAFPDCWKHEKTCDPTWLAAVEYLEICGIIVGQILVGILGDWIGRRWGLIQDA VIMLLGLIMLTAAWGVTQNGWVICYVWSLFFYGIGVGGEYPMTATSGMENAVGSGKIS TKEDRLHRGRKVTSAFLMQGWGQFFNQALLILLLLIFHHGSGNPPYSTLSAQWTYRVS FAIPAVGTLWLVYYRYYKMRAASKQLAIAKAKSRVTGYDTDSLRLTFKHFGFRLLATA GTWFANDVFFYGNKLFQSEFISVISPHTTSVMPGWLYNLLNVGVSLCGYYLASFLIDN KLYGRKWMQIIGFLMDFVFFIIPAFHFEYYTTPEHIKEFQAMYFLSSFFNQFGPNSVT FLVAAEVFPTPIRATAHGFAAAIGKLGALTAAVMYNYISTTQKFHVVPWFGLAGVILT YLFLPDTTGLDLKEQERRWFYIRQGRESEYHGAAVHPKHLSVYERWTGVGKYYDPEAD YKQKVAEMREEWAAAQARKADEKSGFGDDDHHDYEPVHHDVHKYFERTSPMILGREKN VPMSDQLPPAHDNSSDDVVEQHPHQD TRV_00841 MVKRALQGLWTRSLLGRKQPTIFCGKGAELSPDTLIEEEIVPDY QASHYFPVKPGYVFNHRFEALAKLGWGGCSTVWLVRDLRRRNWQSERYLALKVGNNDF NDAEQAAHEFNIERHIGSMGGRHGGRNYLRTFVEQFEEKGPNGMHVCLAYEPMREPLW LFQSRLRNKRFHLGLLKGYIKLLLKGLDYLHTECNVIHTDLKVENILVSFEEPSVLED FAQLQAQNPMPRKSNNGNTVYLSHNDFGPVRSYYILPKITDFGLSHYQKDPSLLNRHP IQPDQYRAPEVILGAGWTYSADIWNLGLLMWNMLESRDLFTSPLDDQGNYRPEAHLAE MIALLGPPPKAMVERERDGMEWKWAPAAQNAAGEMCNTASEWYGGPFFDDNDDTVTCL EGAQKMQFLTFARKMLQWLPENRKTAKELVEDPWLSDESINRGGI TRV_00842 MTTAALPLSPSLPSLPLFLEAQKWASTDPGKIAIIDKTKDLSFT YRQLLNDVAALKRRILAQVKVQDLEERRIAFLVPAGYDYVVCQWAVWAAGGVCVPLSK TYHTNWDTGTSHPPKELLYTISDSDPSLVVLHSSFQHLKPALLDKSSKTCFMELSPIT ESRSCTSIHNLHPAFALSRRALMIYTSGTTANPKGCVTTHKTITFQATSLIQAWKYSP SDHLIHVLPLHHIHGIINGLTATLLSGGTVEMYAKFDPQIVWDRWSNPGSSTMFMAVP TVYSRLVDYFDAHIRSTDSEAAARSGAGALRLVVSGSAALPTPIKTKFLEITGQTLLE RYGMTEIGMGLSCGLDVSRRIEGSVGWPLPGVQVRLTHRETGTPIPVTSYDEDGMIEI KGENVFLEYWRRPEATASEFTSDGWFKTGDVARRDAAGAYYIQGRASVDLIKSGGYKI SALEVERKMLALEEVQEVAVVGIADEEWGQRVAAVVKQREGTAKLELQSLRTKLKSEM AAYKIPSVLKLVDSIERNAMGKVNKKMIVKKYWPDLE TRV_00843 MGSEKSEKTGVSPAGADDQIERTKTEDGMDEKVAVEDRDYAGAV TKLSTEEVKLVRKLDWRIMPTLTFMYFLNYIDRNTLAQARLNNLEEELGMHGTQFNTT ISILFVGYVLTQVPSNMLITRVPPSLYMSAWMLVWSAVSACTALVQSYPGMVVCRFLL GITGAPFYPGATYILSIFYTRREVAARIAMLYAAQILATGFAGLIAAGVFSGLDQVRG LSGWRWLFIIEGSVSAAVAIAGFWLLPNTPLTTRWLKPAERELAHERIQRDKMGEPEK ASTMQGLKQAVRDPRTWIFCLMQNFHLSACGFNSFFPTVVKTLGFNTTITLVLTCPPF LFAGAAGVLFGYTSGRFHERTWHITVGLATAIVGFVIAASTLNTAGRYVACFIFPIGA YSVNSVIIGWASSTLSQTREKQAVVLAMTNVGGQIGYIYGAYVWPNYDSPRYGIGFGT SAGFALFSILCAWWMRYLLKKENKQLQGTGTSTNLYGY TRV_00777 LTNFSDLPWLRWARPNFSSKARQGKAGQAGFKGRQAGGGSSSFS VCFAGILRRARPVLAWSRASFPAFFARYIISPEHETCLYRSSLTLFDSPASALLLRVS IALATVVISPSFPPFLYIPLC TRV_00778 MSSSTSRLSSPSRPTPAVPSHDDTVRQDAHTSAGPEGRTSTNGN GAGSAREQQSQFRATSLLPPTILHPSTAPASIDPSLRPKPFHLHHGRPLHMSSSPNSV NSSRETSPIPRIPRSAIAQPAAHSSASTSRSISRSRKGSRDLSIPRSPSGAAAVSSSQ TQSQSQNGHKQLADVNKPLLPPPVDTLGRLSPISPSFTNKPIDSPSQRSPGLKSPQPQ SNLSSFSLPDSVPESARLPNQEKDSRCQQQQQSSQQQQQQQSEKQTEDSEDNQMRSIS RNLSRTGSVAGSMLETVEEAGTPTPTDTHTTLDSKLDEKERGKDDTSSKPGGESGSDS SGKKRMARRPSDPHRRNPSVTARPNAGDVLPKRSFTSLSSARGKPGEISMTNMTVETE TVSSVPQVPLGGPATERGGTGTLRERRSDETIRPKKEKKKASRKTTVPPGGMSSKADI FEAKVASAVDDADSSDSAETFVYESNPRDPHPARQHRYHSRTPSTASAVSQLDQYGGR TRSALRDTPGFHGISGKRSMKFTNNTYNTFDGDDTMSSGRGSSRINGHNIHTTRHHTG RHARNGANTSLFDHARFFSSQQVPTSKGFRYLSVNGNSAPHRLSAKQDGDYGYDFDAE GADDERTPLVNGPNGRNIRSRHGRRPGSSSLRQLEYMEERRRGWFARATLCTLLLVLV IILGAGTAVFVVGVTKTLTNVQIRGIQNVLASEALLMMDLDVQAVNPNLFTLTVNHLD VNIFAKSKFLEVEEMDLGETRLLPRTGDSKSRAILSLAARGFDVEAEQRQRAEGNVDK GTDPIHDPSAPNPQTMLLGRVFHLDSPLTFTPSPWKHLASNSTAQIRVPKPGNRTEEG GSLRWEKIQQHPFELIVRGVVRYELPLSSIIQSAPVSAKVAVLPDKGNAKPTSTSTLT PTAPTKTVTAKAVTETSTSLVMPTLLP TRV_00779 MDPTTSVMGAERSAPKKRRHRAPRKKRNRRPSFAPAGDNSHFQS PLSRVDTQPNEEHEDTKDASLTETRPRPFYNLGRSGGGNLSETSLESEALLDHRHETL PEDDFAITDDRSPLLNSSAQNGGALRGYGSDLRSPYSMPAGMGSPTSRRSPQNSYTPD AFLASRQGYDVNNPPSMPGSPKIMPNNMGYDETVITGPEFVRQQSPEALSCHSLPMDA VVNVDTDSRRPGLQSRHGSMSRSPADLQRRRTLTLPVEEDVCFPASGLSDLGEEDLQS HIPTGGDTRERRRRSRRVWPDLSVLDEWSQHEKEARSGGTRSKRIDEPVLIGGRLRTR NLAWKQDEEEEAPYRFTYFNEDFQSTLHSQTLSELEQPGQTFRDLFIPEPPLLEDDSS DEEDDSPPHSGTTSRDKHPSISTNANHNTNNLPDLLSDNPNSTSPQPTNGNSRIPSPK KEPRYGPRPTFWLDVLSPTDSEMRIIAKAFGIHALTAEDIMMQEAREKVELFRNYYFI NYRTFEQDVNSPDFLEPVNMYVVVFREGLLSFHFSRTPHPANVRRRIRQLKDYLILSA DWISYAIIDDITDVFGPLIHAIEEEVDEIDDKILRLHSDDKEMGSDQNNEKTAALEES GSGTNMLRQVGACRKKVMGLYRLLGNKADVIKGFAKRCNEYWEVAPKSEIGLYLGDIQ DHILTMTSNLTHYETLLSRVHSNYLAQINIRMNERQEQTADVLGKLTVLGTIVLPMNI ICGMWGMNVKVPGQDVESLNWFWSSMFPEHLILVHQSPIGAGC TRV_00780 MFKSLLGTQSSTRSSDSSRHRHRRDRDDDDDSRSKSSSHSHHRK SSRHHSSSSKHKSSRSDDRDADRHSSSRRSSRRHDDEDDGARSIIAPSEIASEAPSRT LDGERSTFGDDPNDHYKERRARRRGHGDDDSMLDQDSRDPRRRERETYPEELRYRDDA PSAVDSDLRDSSRRDRDLYWGEPRQRSRDRYNDDDRRSRSSRRYDEPNADDRYEPATR SERRSRTLDDRAIPEGQRPIEPAGRRRTAASGPESSRSPLYTTDTTYPLPTTSGPENI PQSSYGYPPPTSGSYPPADSSQQPPLIGSAAEYYGDQGQSVPNQPGFTPSAISPSGPE YAPPSMPIPQFDQHEAGPSGPPPQHGTGTAALGGMAAGAAGYGINSAMSGGSPSSGPP NTSPTMPGSMPADMPSNSLPNAPSGHPDDRPQHHSSSSHGGLAALGATAGIAAAAGLA ANAYHNSHHNSHGNHQQTPYSHSQQQNYNGGFQQGNLAYQRRQQGPLRKFVDFWRDPE GVALYEEYSEITGTCRYCFEPGTTSRDAPRKHNRYRRRPSNDSFGGRSRVDKLSRYGS SEEEGHHKSSNRKSWLAAGLAAYVGKSLWDKGKKDRRDRSPSIRRPKSSSSSSVGGRG ATSYGQTTVSEYSHPQSTIGGGQKLDRYDSRSDVSKPSISAHSRSKSEGHRRRDRSRS SSSDSKSGRSGLKTAAIAAGALGTAAAMSSASHARKERRVRAGNRSRSPRKSRRRYSS SSSSSMIDISRPSANKGLASFSNFFSAPSEKSRMGRTGPRRKQRNLFGLGSTYSSSDD ADLAFGSGFLKSRTSKKGKGRKRDEDIDHKLIGLGATAAGLAASASIANGRRHHGLIA VKERKSKHEAPADNVWESVSDESSSADSGLAYGGPSSNSRDSFTSDSGTSKWRWRWGS SKKKKKVSQPLEYPNKQDGYGRNSQASFAPPSSTYSLPSMQNVDPIPASEQSLTPYRD GRNSTGGPSAFDTTKPMLISHSENTELGQPKPVAPISDSFYTRGDYETEKPKPIFKEI APAVAGAVAGAALIGTAHEYYNDHPLKDSKGPATADVSKPKDISSAQSNREQHDSYSD AGPVQHRQNQIMPPPPASLPGKFDQGPLADDVKVDRSRKARDDSDISTKKAERRRRNS SPALEDHYTYVTPSDLSKRSSAGKGVHFDLTKEQQDKEMRQLELEGEKAARRYQRDEE PASDPESRISKSKRLHRPEISPPLPDEKFEEPLSDKDAESWSMPARVAFPVLSGAAAV AADRIIDEKKRKREERRKERRGYSESEAGSSAVSRQRFDSPDRRFVEEPGELTEKPLP NPKTKPTYENYATYFAPPELRRESSAESAPESTPAPKPGATKFRVIEPRSSPLDTELT RAESTKPTNLPWPVPHLNLIEPTPPQSRTGSVLSHSGETKDLPVADPIVTVPEQAAAQ EDRDDTYNSVRNKKAGHIATSETPLPGVPGAAKKLSSDGDMEVIPSKARTRELPPSPE IPSPPHHMPGEFGDDIDFAATLAAGTAMSGFDPSIVTDNPAYHRRASPPRSEGGHRDM HKETPVSYVHHVSEREPLPLVSKEEVQAVPARQIEETTSEAGKGTFARDMQDLPSKDA DSSPPEPTAAKLSKKDRKKQKAAQKKSRDAGEKDLELDWEEQPAITPSKSTEADRKVR SDNINEPKAASRDLFDVDDKQNKAYRDLSSKGMVNEREEVPGNERETWQDAAEDIRPR DSSTKPVDISSPAREFGGQLPDNNPRASMPGNFEDVWADIGPVGGKDKPSVPADKGPA DEPELATAEKSKKKSKGKSKQGADEPSPVASETVGPSTREIEIDEPVDRNVESGTATS VPDVAGLERGKSKSKRRSKRYAELIDSRSEVGDTSKPDDDNVSVVSRAKSEAAKDSAK GSDKSRGFFGLFGSSSSADKKSSTRERDRSPPPSEVSTSSKKKKKSKKDRHADEDAIN ADDNDLPASRDIEPVNADDADNDNQQRQKSKDRKKDRKNRYEQIVESGKASDDEDKNR YDTSRQAKNDTLGKEQPSGPFLDDSPGITSVPAAPLKQAPAAAAEKAFDSVSAPISTF PLPLNLPSRGRSRSVTPLPGEKIVDLPTQSHSRPSSRSRSPPGTAKRISWRGGFNFGD PNSSPTAIPIQLRRPPSTPDRGAHDGQVSPSRPKSGHKRPKSTEFKTNREFRPLWLVE RHTSKTELPEEETYPSLPSSKSTSRMSSVEDLRAKALEDNESMDIFSTPRRRPSLHVA TNDSSFDVDILGSQQATPTAHSFRPQDRKPKEKPKYEFHSPSELLEGPMSSRSALSDV PQSSDGTVIPDNRVQELEDMDLQNLPPLPESPVHEDPKSRELDITPEVSQDVQSTSHR EPEVEAAETPAETRSETVSLEHLPPLPDSRPSTPIAEQGVETEELVEDIDRSSTPRPT HRELDEVPADTPTMISAAPDSGHATPTQLSPTYRASEASEYESVDEDHFVDASSQALM SPSYQKDFNSPTTPTQLAYNSEPHGAVTLLPPATAISAYGVPGEGSISKDTDSESVMT VTKDDSFGGEPKPSAGSINDSELELPSERAKESEDKTEVPAPSKSKKNKKKKKKNLDA EPTSTAQSVEPEVRPAAEPAPEPAAEPVSGSQAEEKAPVNISTDTISSTATDHVPSEE PALSTGIGEESSLEPVKEDLVAGSVANKPKKGKSKKGRKNKSGIDSIEGSSQESNESS AGISTRKDNFAITQDEGLGDSTREVAGAQLDDMVIDSVPDINSQLQHARPGIVDAIPP MANLPDTEKDPKEAPVSGEVLAQDVPLPVADLEEIDALNFVLDEQEPTAATMAQINDH LKDNSASLPLSPEQKPQDIPLPLPSAEEADLLETTNERSANEPALLDRISGVTGPSFP HEPPVTEVAPPLADTDIHKIESEDRGQPEDPEPAAGMAGIPIGDASHESNFPTTTGHG QLLPKLEEDILPTPFSANLVDEKGIHSGTDMFFDAAQNVDALAKHELPDELADKEPEP YTPAIKSEELAEPEPKQKKKKGKKGKKDRKNSASIDNIEASASPEQPLVESPEVPVRS NETEEAPTVAWNEETVEQPSIEEGSLSAKGAEALPLSTNDDANAAEPGVIANDTPPHI PGDNSSQDVRSTSKQSKKQKKKDKKKQELLNSTLNETVPEAINVEENTEKSTLAVHDT TTATEESSQDQPVNKAIVTPVLLEEPKGTLVDIPVDEEPTKEDTLLTGAKLEVPTQVD IRDERAVEEDSNIIKATEGSEAMRFNLPHEEANLQAETIPSTGENAVKLAKAKKDKKG KKGKKNREVLSLEDEDQSSEKGKPADGQSSMALEAEPSTLGSPPAEEKPTEPVGGLPM DANDVPVETHVENALTGKEKKKRKKNRSVSAIDEAQPVQKSSPPDVPEVDTEAQGSIP LEVQDDPQNTEVSEPLAEAPSAVDKLLTESEPIETFHEQGLASDNIPMDSQASEQVAD QPLGVDGSRIGYQPLEPSTRTASEINDTLEGPHALEAHKNENLQEPDPRPLESSTLHQ PLPFESTPQPLVEEPSEAIKESPAPADKPVVSEPPGEKPANSEELAMEASGEMSLPVK GKKKKNRNQSQSLDEEPQAVESSSLIQESSIETPRDGPSDPEKDASAEQRDDEPHETL GNREVNVQQDMLIEPPVDKLRPVDIASSEVPVDIPLAPKEKKKKRKKKGQSISIDEEP KAIEFIAPVSDLWADPLKDAPKETLRDVEAQEDLRVDKHLQTQEEEALKPRNVRHQDT ESPAPIEDSSAETLQDMPSENMALVEDTPVDSGSSKTQQGGPTDILQDRQPETQQIGD SRSIEGEPITTLEDKRPEVTESAEGEQPKSIPSDVLELEPKDIIDENQPEPKLSDPQD PLPAELTDDKRLDVHHISIQEDQEGAPVVPHEVQAQSQIESSEPKVESAELEDDNHLK PQSDQLAENQKGDVVESHEEQSTDIVDTASMEPPIELTSTPKDKKKKKKKKGQPKALD NDTEVIETPTTVDQLPVDTVDQPVPETPIEKPLTAKEKKKLKKHQKKLSLEQESQPVT TPGVADTPSVETQKEQDLEVDTSLPYSAGTHAEQPLLDQGIEKDQETPHLEELPIPKE AGQPSETLAVEPSTEEPLAIGNDLLEQHIDKPLSAKERKKKRQEKATTSLEDEPVAKE ESVSRESALDDAFGTEPIPEEQTPEKPLSAKEKKKKKKKSQEDQAAGEEFSAKPEEVP GTSESTSVEFMAQEVQDVDNTPAEAPFEQPLTAKEKKKGKKDKKSKQSVGWTDEVPQT PQGLEIPRECETEASIPTESTATTAVISSAEPSQENIEGKSQGPKEQPTDVASTQPGM VEMDIGRTAPRITEPQGDGGEVETGNPDEDGKEFQLSKSQKKNKSKKKAEVSCWTDEI PPTQVDNGPAIPPTELESQQRSLPFDEEPLKQPDTSTNASDALRNDALDNLPATDADL AVSESKPSHSLANVDGQPEDVTIVEEKLMETPVNDATRPDMVSLPESVGETTEQHLAP EKTQEGIKPSMEIQSTGPGPSLDDQIMENIQESATENPADESLSDKSKGLSSTGLGDA LTEPSIPELQDQSTPIPPSISLSSRPDAEGRLVDLRGAGASVDTKYSEPIKEQEIQVS KSEAKIPESGPIDFTISEKICEHPPPEQSQAEISDAMQETPTELPKPEELGLALLSTE QSTADDNDSAATPLNRHTTDDVPLSTIPQLTTVSDELPARDTSKMSKKEKKKKKKKGV MLEGEPVVAPELPEIKMQTLPEEPSAPEPLIADMKEESLRTLSKKEQKAAKKKARGAV ADVVKSDFKEDVEAVNSTPNVPKITADITKTTDFNKVDDAPTTEEPAEVGIEQTHGLE TQPISTDMTVDSAGKDTVTPPIPPFVDDNMTEPEPVGAAAEISHEPTWDEGSGPRKKS KKQKERTKGTITSQTETDAPGELKDPELEIQLVREEPAQEPVLSGQSSKRNKKKKKKG VRGLSGDVEAPVAEEKEIEANTIDAPVCPDVDMPVAGEAASPKEDEVWPTIDWDHIHD NVSNTVPYAQVNNEREMDTASLDLQLSGDHEVINDTPEIQHTVLPKTMTPGIGDFSED TAPKCDDVPEKPVETFAGETGQKPKGESMSEIHDTRAPQSAAETLAFGGGAVEERGPD QDVSFGEKIDAKFVPMSRQTPPQVIDSAMEIDSSFKENSQPVAMEHAAVDDSTNKDVP MKDLGAEPSVEQTASEFHDSKEPVMALSQETQGTEPTLDTGASPVGTEISPQLADKGE TVVPYDISIGTLQGEDTWPSTEGKGEKVKKSKKSKGRGKALERDKAETETPLFETQEL QAPSTAKHEMTKPVEPTTGLTPKQPEEEAIPSKEKGKSKAKGLVGEDLGWTEATEIGS QDLAILQQDSLDSPDPLSKLVPEFVSGPSTEPPPEVESAFLTTDEKENKPKNKKNEKP AVVETEQPEDSRPPISAVEPEIPTEVTAAIPKAAREEVPEPEAEFLPNVTKRKGKKTK AKGKRPANVGSTIEAGERHSEVTPELSREPEEPVKSNEPSFLFTTGPGGGPEEDISLS VTSKKVKQNKKKNKTQLSEDLVADTTKTQLLTPEPELATKPASLESLEAPDWSRKHEL HPGDDKAARQDTGTSEDLTVSQALDIGASGNEPSGADLEPVNQAPEDVQVLDKSMAEP EAMIPTPKREYNDDPFSVESSSKERSSALLFHSSPSTRDFGYMTPATHELHDQHEERP STPTPMHLHKSIETDKPLDHADDTTPHALLSTPEKEISRPTTAEDIAPRATEQARPSL EPPSLFGGPYGLAERERSVSRSVSPPKTPLGTIEEHSAISAPYEAQHPPAFPPLTPDA APASRGPEKKQQAQPQTWATPAVNPVHTPESTPPKLRRVKSRRSSDLKAASERDFRRG RTRTPSPSLSQEEQEYQEDEEARQLPSSSTYDPVTDKGKAPLRGMAADVYEGWGDVQG APPLSPTRPPSVRRRRSLQRLQELETRLDQLVSENRLLGSSKAAAEKAIESHAVAQRQ HARALEARDQTIQNKELEIQQLQKSSDWLKKEITRLTEVNEGLAAANASYAASRGLDG GNKSNYKEEWEKSQRELEKSQRELEKSQRELEKVRAQYAQLFSGLEQMVKHEVGTALA DKDAEIQLLRDNLADAQDKIKELQEQIQASAKDDILVFHDEDYFDNACQKLCQHVQQW VLRFSKFSDMRVCRTTSVLRDEKIVDRFENAILDGTDVDTYLSDRVGRRDVFMSVAMT MMWEYIFTRYLFGMDREQRQKLKTLEKHLSEVGPPNAVHKWRATTLTLLSKRPSFKEL RSQDTEAVVQEIYRTLSKLLPPPHELEKTVLDSLRNVMRSAVDLSIKMRTQRAEYIML PPLQPEYDTNGELLRKVYFNAALMNERSGETTSNEELEAQRAVVRMVLFPLVVKKGSD EGDGDEEIVVCPAQVLVARPPKERKSSKGLSADRQSIRSTQSFPSIKFGWDEQDRYPA FGYFTRSFVNLFLLFCLFFLFFFVHFMFQYVLTYGSLLLLG TRV_00781 MCFGGTGGAHVEAYIELLSAARRREVKLLQPARPVGDRQNSGFT ADVIGRILSSTVLAPQATIPLLLFAGYTSQGRSLIADRPGVFTALKALASIGLLRIVN GFLNRRALNNWASDEFVWRREIALVTGGSDGIGQRIALLLARRGLKVVVLDVQEPKYH TPPNVTFYKCDITSSAAVAETAAAIRADIGEPTIIVNNAGILRTRPLLKSTEAETRLA FEVNTLSHYVMAREFLPSLIRHNHGMLVTVASQASHVACASMVDYAGTKAAALAFHEG IASELKIRYKAPRIRTVVIEPGFCKTSLIDGMSSEDTWFHPLLHPDTVAERTVEQILT GSSGRVILPGSTGFFAENIHSLPFWLQNFIRDRCEMSTRTSHCT TRV_00782 MPHSQYFQKRDPNFQHNDNNSLRIEFGRLANRQGWTKKNQKYPR QWEKCLREEFEYHVYQVVDEHGDKLSNLKLLCERYLNKTPASIVACKKALRRVHINLV DWIESQRRGDEPHLFRSEKHLQYYTREHKKYFPKKILDDLSIMKVFLRDI TRV_00783 MFLLISMSNLGIRNIVLMIDKKGTNHTVFRRHERSTQLVLDRLK QLDDRFNDMLKSQAEQDLKLEALIRAQKMSPLSPKLEKKPEVAHKTPTPAEQERTSPK AASTSETRTGKHIKDEHIDLHIDDDELSIPIEHTTAAHKLLLWPSIQKLLPERIDADY VMELEEERGPIRPYGRGEGEDGHDISVYPYSPKLRSPSPREDETYQTRSPGTGYGTGL EIYQSNPTLRVPKERQVGGLNASGVLNLDADVIEMHRQSYLQNMHILHPILAQDSLNI MITNFIRTYSPQSKSMPTPLALRADPASGVHRVQKRKRSIDMTDGYPDSPVSDSFSPH AGHEIQRSLDNAIVLLVLALGSICGYKGPIPGPVRHVPAGPFTPVMADHSLASSTSPP ESMPFANHHRRFSTQSTHVGPDPPDIRNMDVIPGMAYYALASDILGNLHGGNDLALAQ ACLLAALYTGQLAHPFSSHGWIYQAARACQVLVRPTGITREKGTELIYLQNSDILAEL DLPASGISRLEGRMNFPRGVFAHTIPNEIHAPNTMMMMYYLVQIHLRKVLNRIHTDLY KAEKQGGEKARWSTKIQEALSFNLEQWRSGLPEYMRWEDSDPPAKDINTARMRGKYYG AKYLIHRPLLHHALHPMAPKPRNPVPASSPAQSTVSSSQSQVSPSLSHVHQAEKMERY TSEMGPPVRTPSNDPQPPLLSDLEPKVFDACMVCINAAMNSTVAFDGVEGRPIVTNIF GTAHAQFGNMLVLSATYTSQLSALVDGDKLCALLDRTIKFLLRSRHISPTLRKDAEIL TLIRRKLFEQNPQPQPQPQPQSTQTGSFSNDR TRV_00784 MFSWGGSLGKLLGSLLSIGSVSSFSVNLEEERDPPPPPTKVQFP DYAKLNSVRSDGETSCDVLYRLLARARKPQDITDEYLKTFNIKVEYDIDASNIVPNHD LKSKPPYQWPTLGSGSGEEEIDTPMFMSNGAPFPDKSKHELLKGELLFDNDDAFRSLA RLEPPPGRKNPRIAQARKFWTALQQAAQYWDSSHDNYYEVSMEDTTTSNGHGDSSAPG KGTDKGALESRMDIDGGKCHSQPSATIPKVNARSPSGSRRYKGRRIGAGTDMPDSVRE DVLRGLVEMVAWSLGCQVKLPTMPPRLAVKDLLFPVRQSFTVSRIPQDRQEARKHILE GPVLVAQCRPETHFYDEATGQRHIEKCDILRETAGMLLLAQERARENQAEAKPGDGKW WASTPRWGGLPNNGPVGEPIPTAPEQQQQAEPALTNSSVDNEATVNKRTKHDRSSLSF RRGAGLNNRRVSMIEKWKSVQPGPGLWDKRMKYVKIGSNSNCPFDDVFMISSINHHFS IIHLRVYDKYLQWLAMGKVDTAGSDGGPASPWRSLVLRRTRWFDFFNPVDRSEGFDGL WAILTHMMR TRV_00785 MAPQYRPSIHIRTAGQEEAGQQTFLIACSLTGTGVFLTIVIFIF GYIWHCRMGSRRSWLLSRLKPSLKATRPSATYNSDRDYELPNQLTKPSPCAFPLKLKQ QETMPPPLPPPRYFQPLNPPSFHNRSLPGFQWKRKCPPKLEPLPETESFTHTTIREFD RTSNKLSAFEQKEYGSVEFRGSLDELSTIPNQVNIPTSLTRTVLAHTYSDDCSHFVDN AIPSPSLYFSASIDVESLMFPSETNKTESCLLSRSRTKSSSVILLPGSSPDSKQNSVG GSKPLRVTPSIISRWRRVRDMTEYQNEEPCPSECPTSPIWSDGTEQSSN TRV_00786 MALREGKKFCRSRDMEMEAKRQLVNLRTQKRLAASVVGCGQRKI WLDPSEVNEISTANSRQTIRKLISDGLIIRKPVTMHSRSRARELAEARRNGRHRGFGK RKGTKDARMPSQVLWMRRLRVLRRLLAKYRAAGKIDKHLYHELYHLSKGNTFKHKRAL VEHIHKAKAEKQRERALKEEMDAKRAKTKAARERRQERISAKRNALLGEDEEEA TRV_00787 MDEEQWDEVKKGSLIPLEPVVFSSSTSRRCQLLHQLQDTLTRDS QLPSQLYKKVLLLLFRTYPVYIDRESRHAAQSCAKAIFPSIPCTDLPAFAQLLRKESS NAAVAPANAFVLVEWCATLLQYLSTRLDDYSPLVLESVSAIGKALETCLGTSTKQSLK HSAIIITRRALRAVFSAESHGCNLVRDVVPSLIKEATSGFKNAPILGVICGVCARLPN RKDDLDAVKGDIFQFYSKEIVASRIPVPRHIHEGIRDLFTSFSTKEDLQKYVWPTVEK TILRSPEILLAGVLTSLASSVPVEIDLSEVFSSSICKQLLANIKSTNAVIRKGAIEAL EAFIPRCSDEKSLLKVVDEVVTPLKAQKIPNVEQRALQAQVLRVVPCSSSASQAILTG LSAALLRESSEVALEPEIKSFCHHLTFLVSSRADVSTEYFTTVIKGCDDKRAGFRKTW LVNIGELFWNCDNSALQSSQMFRKECLHPVLTRLHKSFTEISANPIPSLQGGTISIAN VLTALSFEKFWNEDNLPYPIEDIFPTALSVSPKPSFLLNPKIYTKLSSAEELKWALRA LFAVTVQPGFETSDEQVKEAWAQAFIYLVCSLASPPKIRQLATGLLRQCYLKQPVLIG TTIISSIWKWLYYLDIADKEAAAVLSGAGKSNLSHVVRAITPSVLERDGHDSINKKDL ESQCIELLVLCRPELVPGTSWINVSLKMGVDPGKLAIEYPDQCLNQILLVTGDPIRNN IAEARQAAWNAAADLGFVAPEVMIPKLVDQFREDLKPERISQFSATDIAIARHTGDTP FIDVLDTKAVSLPNKSAKDYDTLKWEEELRAEVAKKHGQKQKKLTADEQAKVKAQLEK ESEIRDTVNCAEVMIKRGAGIIRSLANSPPTEADGWINPACSSLCKLAQLGGGVIVGD SISSALMACGNKASSRIGEMRPFVAIATLRAVGKTFLRSELETEPLGSKLYSWTLFIL KVLLTLCTFAGLITRILYRLRILSEQRPLDGVSLGYILPLIFIVLENNGIEESKDDSG EQVLLALEFISFHTNSFSDARLPRIETLGHIINAMRKHTAHYKLVRDALSDLCRAMAA NIQPDELEVLLRGSISREIPVRTAVLQSILSEIDLTDIDFSVYIWIAYHDNVAENAEI AREIWEENALDVDEQSPDLVIKHLANDDLSLRSAAALALAHACELCPSIFSDTLKKLE SMYREQVHTKPVQTDSYGMPRKAEQADSWEIRSGIALSFGAMASGFSGDDIVSFFRFL IDEGPLIDRNASVRRQMAESGSAVITSRGREKVEELMSIFETALETSDKETEQSDWLN EAVIILYGSLAQHLVAGDNRIQKVTRKLMDALSTPSETVQLAVAQCLIPLIRLDGSDA SHFVQELLDQLFTSKKYAARRGAAYGLAGLVRGKGILALRDFGIMSRLTEASENKKES NQRQGAVLAYELLAFVLGRVFEPYVIRIVPQLLTSFGDPSIDVRDACLDAAKACFASL SSFGVKQILPTLLDGLDDTQWRSKKGACDLLGAMAYLDPQQLALNLPDIIPPLTEVLN DSHKEVRNSANRSLQRFGDVISNPEVKSLVSILLKALSDPTKYTDEALDALIKISFVH YLDAPSLALVVRILERGLSDRSTTKRKAAQIIGSLAHLTERKDLISHLPILVAGLKTA VVDPVPTTRATASKALGSLIEKLGEDTLPDLIPSLMATLKSEAGAGDRMGSAQALAEV LAGLGTSRLEDTLPSLLQNVSSSKPTVREGFMTLFIFLPACFGNSFAAYLNRIIPPIL VGLADEVESIRETSLRAGRLLVKNFSTRSIDLLLPELERGLANDNCRIRLSSVELIGD LLFNLTGATATDGEEEIDSAIQAGQSLLEVLGEERRNKVLSSLYICRCDTSGLVRSAA INVWKALVATPRTLKELVPTLSQVIIRRLGSSNMEQKVIAGNALGELIKKAGEGVLST LLPELEEGLITSTDIDGRQGICLAVRELVVSSSDESLETYEKALISIVKTALVDTNDQ VREAAAEAFDALQQALGKRIVDRVLPDLLHLLHNENDAEQALAALLTLLTEATRANII LPNLIPTLLTKPITGFNAKALASLSKVAGGGMNRRLPTILNTLMDEIISTEDSGLKSE VSEAFDTVLDSVDEFDGLNVAMNVMLALMKHDDHRRRSSAAMHLATFFSNTEMDISRF YPELIRVLLISFDDRDKEVVKAAWDGLNQLTKSMKKEEMEVLVNPARQVLRQVGVPGS NLAGFSLPKGIGAILPIFLQGLLNGNIEQRTQSALAIGDIIDRTSPESLKAFVTQITG PLIRVVSERSVNIKCAIFLALDKLLEKIPLFVKPFLPQLQRTFARGLADTSSETLRTR AAKGLGILITLTPRVDPLVAELITGSKTTDPGVKNAMLRALHDVVDKAGTNMSEASRQ AVFGLVDNDSVDDGTLSPRISAMALLSTCDNDYERQACWSIDQIAAHTHCYSPYQVGI LRAYLDETETNVGIRNRILATQLTHQSILRLNAILVESPALLNENFRSEVFISDNGVL AAGKYLLSSHMDRKPEDEQEVFEALAGVVQPGKPVDTRRLTLVVLRTVARENEEMIAR YRSLVVPPVFGGVRDTVIPVKLAAEAAFLAIFSVVESEGEVFEEYMKGPGAELPAGPK RSMQDYFKRVALRLGGQARERREAEGGQGGLGLSSDEVEDEKEVWSVGKVDLGESFGD D TRV_00788 MAPNKKKKKAASNPARGFATVSVPSKVKPSASSEPVGPEEIAHK PEALAEKPPTGDGQNDKAAAQTASHKPELHELTPDELERHLEEAELQIIVDKHGTKCK GDAARYSTKMVAERRLLRPQASQLENVSDWLSPDLLNKILEKEKVEAKRQPVISNKSA EYNGQLGLATEENTCVNLWILQLTLSTLGFQETDIHEALKGLLLHGPLEIVSGKDPIQ ALDLAFYYLALYLDKEKLALYDENKPTEAVGKVVTVGDDSISILSERIASGSSSPAGP ARSNTPSKSTEATSTLLSRPLSPDSEGDSGIHDPEMLIPEFLALRSRLYELDPSVFNK TKSKKGVKQTSKQIQDPGQDPAITIIKRKISRIEQDILFDSHEAEERWAGTLEELRRT TGEILKNTLNLPASTPGTPRTESHGTEVKDARPEEDEGIFGEMFDSELNDTGSQTLLG SQNTSTKSRDFGNTVGVGPRKVLDDFCHSRDTNVILTYHKLSDNAFLHRHAIEISWSK DQDIMFEAPLKDISYRISPRSLVIDMTGISAASDVQAESYISTVALFIISSHAWKDNK AFIKLSGVWKEVWDEISLEKKEHDDQIDRQVIKTFKGYIQEYEAHIEEDVVLVHNFRQ RYGTGDVTPSTEGTPKRYNADSFSPELRALWHSRSSSPNFQRMAAARAGLPIWSFRDQ IIDALSSHQTIIICGETGSGKSTQIPSFILENELAAGKECKIYVTEPRRISAISLARR VSEELGENKNDIGTNRSLVGYAIRLESKFTASTRLIFATTGIVIRMLERPQDFDSVTH LVLDEVHERTIDGDFLLIVLRRLLSARRDLKLVLMSATVDAKRFSDYLNGAPILNIPG RMYPVETKYLEDVIELTHYRPNKDDSYTDVTDDTSEDEKSGASEDTTTLKSTLTNYSR QTQSTVISFDEYRLNYKLITDLLSSIASLPELIDYSKAILIFMPGLAEIRRLHDEILS IPMFQNGWVVYSLHSSIASEDQEKAFVVPPPGIRKVVIATNIAETGITIPDITAVIDT GKEKVMRFDERRQISKLVEVFVARANAKQRRGRAGRVQEGICFHLFSKYRHDKLLSEQ QTPEMLRLSLQDLILRVKICNLGDIEGTLSEAMDPPSSKNIRRAIESLKTVKALTGTE TLTPLGKQLAKLPLDVFLGKLILYGAFFKCVDAAVSIAAILSSKSPFLNDINRKSQIE ASRKAFERGIHILTAP TRV_00789 MDAGIISLDGNRVRFKVRDWKTMLALRVLSTRIREVIAQALKTP KKELSADHRQWLGLFLQVLEAKKKPDSSEYITM TRV_00790 MGQLAARNSLSPQRSKLAPKRPTSHAHLAAIVEPKLAVDGWEVD IAGWAHKKRVDGKATTSTSSKRHRVKHKKRQRENEKKEKEQLIRGRTKQRAEEAAQEK ERRRAPGSQMGQRAASQPASQREG TRV_00791 MLEVHYSASCSCGLLLLLHLFFFISPLLFCLLPCLLERTVSSHY GVLLLSLSQPRWLSTCPPPALTSEVWSTYVPPVSPPSSPQEGKKASKEQKTVQKKTKT VQEARQAGRQAILRRKRSKNTQTKRKKEKKEGKQKEKETQIEKKMGDEARTRLTSWRI APVFRQGGLVGPDSLSKKEQPSRCRGRAEWTDGKDSSRARDPSPGSYCTYIPPCDIYR LPYYISILTYGYTQLRLHKECYITILRTLLFFQAWGKRALCMAVNAGYAARSSACLYL DAVRYFSCLMLHASAAAGCCWFAGGTNRDALDQRGLPPGCNANQIQSSVVFTHFYLFC LLSFSLTFTRSRRLFVRSYRTAIWRKYGTGNVAREVSPLPSQVSF TRV_00712 KNDGEDTAWLYEKAAVLKDASSSSARYISLPPIMSRASAGFADF FPTAPSVLQKKRSSKAAAGQDAGSRLKGRSAAADFFAEEHHHHHHDDGGQLLDDEEHA AGGEASSASSSSTAEQTETATPIDPYSAGSAGSTAAAAAANGGAVARVGSSSSTATES SSSAAATTTLNGMSACALTPLTNTDSSPPRKSGSPMLSSSRLADSEQSSISTATSASA SASAVEYEKYHHHQDHDHHAKAVVASSRLPPPRGYKLVYDPDLDKRPPASRDKRRKPQ YVAFGEGEPASDAAVAAAAEPELGQNLDPVSPVVVSDPRRAIPNYTRGAASKQKTKYR PAPYTLKPWAYDPATSIGPGPPTQIVVTGYDPLTTVAPISALFSSFGEIAEIDNRTDP TTGRFLGVCSITYKDTRSLRGGSPLTAAQSARRAYLECKKEQRIGTRRIRVELDRNSA ACNRIVAKLIALQKQEQLAVFEQQNSDAAASKKSDIPPPPPPLPLLPTGPKAETEPSS QSQSQPKDNVPPPTAPKGPSGKSSLHPALQLQPPDGPRAAPKPPSLVEETPILDQIKR DPYIFIAHCYVPVLSTTVPHLERRLKLYDWKSVRCDNTGYYVLFENSRRGELECERCF RGCHMTALFTYVMNMECQQYGNPSYERSPSPERVKAEQREKSEKARLKRETELDVEEE KRLRAENVDPTTEVVAIAIRELRDKLLEDIKTRIAAPALYDFLDPDRHRAKRQKLGIA DPQGIKKPAFYLDTGLGESTTDSRNSPAPPGAQSKNVLALPRIRKARDFERNNSAFVD ERRRRPTVRRREFRPLYHRLQQLHDEDDSDDEHQTSFTRDTEDQESRPLSRLSSEADN DDDENLRGSLVPSVSGFGDSGLDSAGIKDELDDLDRELLGEPGDYERVSKKRKRLSLE LLEMEKRRKTVDELFGVDTSHLGQEPDDDLSALVDSQAQRLGKEKGKKPKKKTKKQLL EEKKALKLAELQAAADQGLIPKVDDLDLAVTPIEGELDIEAKEEEYEEEEEEDGYVKP EVEWGLSTTEPKPTVEDDEGIVLDLDGWQNIVKDTEDLRFLREALESTSLQSEPDTPI ITNVTAWAWNQKQIKAINSHGARGPVHSEIKIDGYYVPNPSGSARTDGRKRILESEKS KYLPHRIKVQKAREEREALAKSDPTAAADAARVIAAKTLSKSTLTSSRSNRVNNRRLI ADINAQKQALPTQSGEGDVLRFNQLKKRKKPVRFARSAIHNWGLYAEENITANDMIIE YVGEKVRQQVADMRERRYLKSGIGSSYLFRIDENTVIDATKHGGIARFINHSCTPNCT AKIIKVDGSKRIVIYALRDIERDEELTYDYKFEREWDSDDRIPCLCGSTGCKGFLN TRV_00713 MKILASNFVTCAVKACKSSSASYPLHFRNAELEEEELDFQPDFI RNILPRIDWVALKISASELGFPSISESKPEGEELNNEQLLRDLHKLLLETHVVEGELV CGNCGHEYKIKEGIANFLLPSHLDGYTRDTSTWF TRV_00714 MARGTTELASYKEAPASRANSSQLHPTSTQRQYTANRPANEIYA HSITGYQSAMASFFKKPTWAQASTPAKPQDFFRRSNQVYSDFVATTQDEDETDDEAVT AKESPCAPNEPKRRRISNEDEGETTSTLQSRIKSPPQEIASGSDTLNRDTPLKLEEAG TSKTPPRSPRSARSSPRKPGSQRSSPRKSQLIGASPPGTIIRLNSESPPPPASRVPPK SVIQIDSDLDNDGDNDEESDEDCAALIKRARERARNNQVREENKPASPNGKDNTIRKT TGTPSASPKAASNPSPKKKDTVVNILITSNIQNTKSLLVRRLLSQNLGEVRKAWCNHQ KYDEETSDNIILTWKGRRLFDVTTCKSLGINDTDTNDNSVFDSSPFDMEEGNAGVHME AMTEEMLEERRRAATTAQQDNSEEESEDEQPKAREPADTDLVRITLKNPDLDDFRIKV RPSTQIGNILKKFRQVKEIPEHISVSLYFDGDKLDPDTLIEDNDIDDMDCIDVIMK TRV_00715 MEATSASEGKDPSAFLGEITGAPVTVKLNSGVVYKGELQSVDGY MNIALEKTEEYVNGKMRRSYGDAFVRGNNGMLGLCLLERIPD TRV_00716 MLQVLDQLQVPHVERYIPVSTAKEGWHVIKEMHVRGAPAIAIVA VLSLSIELTELMDHDKLSAEANEVEAFILEKLDYLVTSRPTAVNLSDAAIKLKALVQK RKQIGDPKGKDLAEAYVEAAEKMLIDDAMDNHRLGDFGAAWIMNNTPVGKDGKKVGVL THCNTGSLATAGYGTALGVIRSLHASDKLEHAYCTETRPYNQGARLTAFELVHDKIPA TLITDSMAASLLAQKGTGLAAIVVGADRVVANGDTANKIGTYQLAVLAKYHGVKFIVA APRTTIDLRTKEGEDIIIEERAKSEVTRITGPRISDLGRNEENITLETVNIAAPGIDV WNPAFDVTPYDLIDAIVTEVGVAEKNADGLFELEKLPILFFFFLLLLLLLLVVVFGYF VSVFLFRFNRSKKTIYLLLYAQVSNAVTLNGAGSGLGIVEAIPSPGATRRSRSHYIRP SYLYIFLSLTRMSPWSLNGWLFYLVAGFFLARNKLGGTSTKLISHVTESCSSHDFERE MHFASPLELW TRV_00717 MAKPAEFSDKLLGLGMMSAVIALDDHTAHKLPLIPEYHDAVLTE RRIFERLGDHDCVVKYIRPEGNGLILERLRYPLRKHLLNLQEDGGPPPHRDEILRWAM QILRGFQHLHSHSVYQFDIGCHNILLDFDNNVKLSDFSGSLIDDGKVEVLPETRSTHP CLIRTKGPMPLEPTVKTELFSIGTVLYEMSTIYKPYHDKGDSEVEKLYLKGLFPDTTG LLLGDIIQKCWTDKYNDTKEVIEDLQQIQPGLNDGTEVQGSKYHGNHTQTPLEQDTRA ISYMICNGHVVPSLSLTILTRYVIALELDNWVKDIEYPLVALL TRV_00718 MAPRDIKPLVLYGIYPTANPVKVAIILEILNIPYELKSTDFRQC KEPEYLAINPNGRCPAIHDPNTGLYLWESGAIVEYLVDEYDKENTISFSSLHEKYHLR QWLHFQVSGQGPYIGQFSWFKWYHVEKFPSAVDRYAKETRRVMGVVDLGLKDKEWLVG GKMTYADICLVPWFFWAKRIGGDEWYAEQVSELPNFQKWWNKIIEVPKIKEFCAGIAQ FDPSYVPKDVLDRLNNETA TRV_00719 MFGYDMSWASFHVLEVMSSPKFHQKRVGYLGAVQSFRVDTEVLM LATNLLKKDIVSAQVPTMSLPLITLPHIISPSLALSLLSDLLPRLTHSHSVVRKKTVV NLYRLSLVYPEAFRIAWPKIKDRLMDTEEDGSVTAAVINVVCELGWRRPQDFLPLAPR LFELLVDGGNNWMAIKIIKLFASLTPLEPRLVKKLIRPLTNIIQTTSAMSLLYECING IIQGGILDGVEGIREGEVIAQLCVDKLRGMLVLEEDPNLKYVALLAFNRIVTTHPMLV SAHQDVIMGCLDDNDISIRLQALELVSGMVASDSLQSVVNHLITQLQTSSAVSDGPTA TTSLLAHITPAADQDDEDPESHLELARQDRMAPPPLPNEYRLEVLHRILDICSRDTYS NLTDFEWYVDVLVQLVRLIPPVSASKTNDEHSSRDAHDQRADISNRIGSELRNVAVRV RSVRPEATRAAETLVLIDNRAALFPSSGTSSTNLLEPIAWIVGEYAEYLNFPDQSLSS LIHSSNLSLSPKVLSGYIQAIPKVFVQLTSQFYDWNIDRQGEVSLLLARITDFLEKLS SHPELDVQERAIEFLELLRLTKEAVSTSDIESGNVPLLVSSAIPSLFTGLDLNPVAAG AQKKVPIPSDLDLDQPINPRYIHIQRESSNGWLDSSTHDECYQFYHIPDSTSFVTKPQ KEILSPTPIQPTSYQNLSEGMLSEPADAVAKRRMARRERNKDDPFYIGLGQGEGESSD SATPFNQALRGANEEDLDLDSIPIIDLAIGQQNSGNPDIQKPRKPKRKTKPKKVEIIG DETLEFENPSSPTVRPASAPTGPGATRPKKSLLEVDSSGLGQLSLEEDSGLTPGVGDI ARKEAEDAEMMKAMAEIQRLRLEMQREAEAIHGSKEVPAEGKLIKRKKKKKVVSSKKT KSASQEAEGESSQPQEKAADEEAGAPVVKKKKKKKVVASQKETPVQTEGQE TRV_00720 MSTSLLHTQLGLSSSDPQASLRLSQQAPSFLQTQPSRFPSFPFS IFTQRETPELWTSYEQLLAACLRTGDDKSARECLDRLSSRFGKDNERVMGLQGLYDEA TAPDEAALKKVLEKYDGILKENPVNVPVLKRRVAVLRSLGRAGEAISSMVEFLDAFPT DAEAWCELSDLYHSQGLSSQSIFCLEEALLILPNAWNLHARLGELLYISTHSPESAET TLKPLAESVRRFCRSIELCDDYLRGYYGLNLSTARLLERLGPKSRRDETLPTAETTQK LNELSVQKLREIVTERCKDGRSPEFNESELIAAQALLDRLSKSS TRV_00721 MYLHVYQIYAESVYRSMYNLYKPTVPRPAFSSPFLYLTLFIFIF IFISFYLYLSAFVVAKNCADHMTFSRSGKSKHQLLDFNFNFDFSLLLLVFLSSSFRLL PPPLLSVKSSLLPRRSSCPASPPAPTLAGYPSGRLRRWVGRT TRV_00722 MPDDDSSGTGVQVSPPSTSSHEYQDYGVGGPWSHLQYPDELKPS DSASRPRTSNRLREPVDPRPSRHRSSRRHHHHQQPRAHTHAHQHPHPQVQQVHAVPSH RSRRPLARAAPPQPPPVPVSPEPDSSEEEEEDEEEDEEDDEEEDEDDYLDYAPNPADR RFWPTPPTQGPASSAPSFNPYPPHQHPPAPPSFPQPQHANAAHPGVPSNQLVQLGSMG HQNPIGGHMGQYSHQQYPYGGHYPPPPQGHHQQLPHGYYTGPDGLPHPHHPHAGQRMP LHHPHHPPHHPHAHLPRHRSRSGSPDDEEDSPKPTMAHPVMSHPPSFPGTPPYMSPEM VPYGYPHALPHHPMLPGAGHPHAQAQAQAQAAAAAAAYYQYIQRVANRARLRKRRVGV HLRPSRHLLLRRLQLPLSPPPPDPKDEAIARLEKLIIEERKEREERDAAREAAIKKAA ADKAAAEALAAKEKKIAADAAAAATAAAKEEARIEAEKAAAAAAAKAKKDAEEAAAAA KKEAEEAAAIALAEATAAAAAAGDAKAAEAAAAATEAALKKPPPPKKPVQFKDAIGRK FKFPFALCKTWQGMEELIRQAFLHVDLIGEHVLNGHYDLVGPNGDIILPQVWDTVVEP DWSVSMHMWPIPEKPKEPEPAPPPPPPPEEEPPVAVVDVPAPPPPPPAPKKVVRPGAP GGFAKWMTGGKVKPRPNPKAAKKADAGGTPPPPPPPPPPPP TRV_00723 MQRTMRGGQYRRMEWEGSPENPPKQEKGDGDSRPERTASQPASS QARTHASKQKQQLQEYSVDAAAAAAAAVKRCVESRALYINAHKHDICISPQLDLEEWE KKSNNLKQAYIQMAVNVSSRACLPFFS TRV_00724 MTSTIPQPTRLPSNPPPRSPPRSALPPIPASKTRKSMPGPGDSV KLYATSPLPSKLRTPSAVQTKSAVPSNLGISSLSPSKSSFRSPSVCLTPGSPDKAVRR TVSIASFPQPPKASRPSLSTQSSFSGNPPDSPRSVPNPRTKKKAPRIDTGAGSQLKNS KTPSLLNGSGDGKSIPNSRNSGGHASIVSPTQSRCSSAQDSYSTSATTYDDEEGTRRG RDGVDDSTGGSNRSPKGREGKGNVLVSVRVRPDLSSGEGKNSEGEWLVDGRRSLVSYR GKEGGDYFYDNVFTTHDNNAKVYDASAKRLVRRVMEGYHGTVFAYGMTGTGKTFSMQG TATSPGVIPLAITDIFSYIRETPHREFLLRVSYLEIYNEKIHDLLSMSASGQGNGTGA QGQQQEEIKLREDSKRGVYATPLKEEIVQSPTQLLRVIARGDHSRRTSSTQFNSRSSR SHAVVQIVVESRERAPVAGHEKRAAIAPGGVRVSTLSLIDLAGSERAAESKERRAEGA HINKSLLTLGTVIARLSVEKEGKNGDKEGKHLPYRDSKLTRLLQPALSGNSLVSILCT IQVGSGGTAASSQSHTNETMNTLKFAARAKNNIVSHAKRAEESLGSGTGDAGSRVLLE RYRMEIQSLRRQLENQAKAETPTTTSETESKEEERAREKEAALRHEEQMLEMQLARTA LKERIEHLNRLILCSKSTGVNASLARFSSQTSPGSVDIRSLRSSVSHSTLGAGTALNR STSLASMKSSASAGHANAVAPFAHHQDDDDEMIGEFADGMASLQVQVNALQADLADKN RYISTLERRLLQARRSSHSRVSMGLNHHHHLKSCSTTSSNNDSDLASLLREKDMEIAD LRLQLDDKDRMVAALRSAARQRDMAHLTGGSTNATNRADAAPTPPPLHPPPPVGADYK IMGINNAILPHHNSHSHNNHHSETNSLFSNSGVGSGSVLGRVSPNLLPPVKLSAEDRD RKRKSVDEMSRMLDEMIQDRVESGHLIKTSKGHMRVSSAGVSPKSNDGTPQKNSRMSR MSTGTVADLSSSNGLFSDVTYSHSRTKDSISTNSDNQLRRDEEPSEQNATNMDTSVDK PAPRPMSS TRV_00725 MGLAELPLELVIAIAKASRNAHDINTLARTCRRFYQGLNTFLYQ YSIRYSGGSGMYRASDTGNAAAVGKFLDHGADPNAASSGPPLILAATRGHYNVAELLI KRCPDLNINIRDPGSHYSAFFWAIVKGNEELAALLLNAGADINLGDDEGNTPFSESIE EGSTKKVKWLLDHGAKFETDVPHGDTALTIAAEHGNEEIVRLLLEKDIDLDHMNEENR TALSLAVENGYLGIAKLLIHKGASIDIVNEDGMTPLGGATEYGHVDIMELLIDNGADI DFLDSNGSCCLAIAMEENQMSAFELLLKKGANIDLQDDTGSTPLHVAAGLRNTEFAKL LLEHGANIEAKDSAGDTPLSSATRKGFLESATLLLDRGANIETRNDSEHTPLFTAATF GHMDLINRLIARGASVNVSDEFGRTPLLVAIASKNDKLRAVLIENGAIHDHDANDAID TESTTNAG TRV_00726 MIIASRRNLHDMSMRRAPATLSSGSLRVPLLDSPLPSPSLPAAV PRQGKKPLPRWLIRLRRLALWIGCLVGIFFCIQSTPWFAHLDPVTPVGATGSYEIVES DTLPEVAGPILVDDERGRARWTISLPENLDYPLSPAEYSKICKDAEAMSLHLADGKEH SGYYHVDKNYIDIDEAKRRDIIPSKLNGRIPRNVADNVVGGEKYLKMRDTMPVCKKSL TFVMQTEDAGLGATLMGLWMSYGLAKKEGRSFFIDDKNWSYGRYTNYFKQPPLPDCQP PPVSHRTPFPHHARHLLVSSATTQWTFGTKFNERFESSRKTGADRQKEMFKFLRAGYE ALFHIDSDDAKYIEQRMAEIRSSIPKGGGPLISIHVRRGDRHPFEFQYEKSYIPLDIY VDAANELVTSFYNQRSIFSYNINGSSSKKASEAQYRATSSMILASDDPETYTSPEMKG IVKAQSNLVSSGDGPLDGSRDLPEEPSGKSAKIVAKKPQKDPKIDWDGGFFNSIFWSL GDTSLIPSAEGSPSASQGTSSVFPPHRVVRESEYERLRFNPSPEALRLRELVAKTYLN DLSLLAEGDGVVCAVSSTTCRLLAVMLGWNKAITHGAWKNVDGKIDWMGIV TRV_00727 MSFFFCCAIPLFWPILFPYVVYISLFSKAATDGRLSRRSNFIRS LPIWKLFGSYFPARLHRTVPLPPTRKYIFGYHPHGIISHGAFAAFATEGLGFSKLFPG ITNTLLTLDSNFRLPFYRDWALAMGLGSVSRESCENILSKGGMNKEGMGRAITIVIGG ARESLDAQPHTLRLILRRRKGFVKLAIRTGADLVPVLAFGENELYEQVKSDQHPLIHR FQMLLKSSMGFTIPLFHARGVFNYDVGLMPYRRPLNIVVGRPIPVIQQTDRSKVEDSY IDELHEKYIQELEKMWDEWKDTFAPGRISELEIAS TRV_00728 MIRVPAVLFSGDANKGLPGIILTPTTPSEPVTPSTVSSSPTLPE SPAMPSLPSPLTPPPPTPPGFEFKNNTERYFFAIAARALQEAVKLPEQPPPAGWLPSQ KCPIFYSSILDQFTVCFREKLQSLGRYQQDTFIEECQHHYVSIFNNIRSRWHYSPFGS IDGFRTTINTPNCAIAVQALQHTVRKPTSPPPANWKVNDPLHTYYVIMIARFRTHLRN MLRNYNSLLVDGPIDIHESTCRFIELVIQEYRRTWITNFGLE TRV_00729 MTSQGWAPGDFLGAANSNRTDTYTAASFGHIRVSLKDDNLGLGA KPRRPLIGDEPTGLDAFQGLLGRLNGRSEVEIEKEMKVKRDIKAMTYIERRWGCMNFI GGGLLVPDKANKIPNEEENKNVESPADAKPADGSAEEDIDTKEKRKREKKEKKERKEK KEKSKEKKKRKRETQESEEIADSGFATEISAPASRAETSDDAETDSKSEKRKSKDKKK SKKRKRKEEAQADKAETSSTPSEEDTKDTKRVETSPSTPAAPIAVKERVIPISRQLLR GRYIQQKRRAVMDSKSLNEVLSLATCHIKTS TRV_00730 MAARQSQKRRQANARPRSNRRANTAESESGLSANSGAEMTNLLK KLNNSVAGRKSANDGRKKFQAQHKASVRKIEDRFKEVSRSNKQAILEYRKTQLDRLQE LAKRKLEIENEITKRVLLLEKEYKEANRTVQAMLQEKIKTLQE TRV_00731 MAVRVLCKDAPDRTVVLVANGYALTMRHHQSSEEVSGNVQVLSI PKCIVEFTTADSIPLAEYRTLGTGLGTLGLIALAAGEVFICIVNQATNVASVRPGETV QRIDSVDFCTKSSVSYFLRADYDLVQDPESDTYNNPYGRGYQGYESPAENPSLALKKL LSDGSFYYSSDFNLTERIQDRIDDPVAYDVESLDEDFLWNSFMIKPLLQLRSGLSLND RQSLDSSQLLISVIRGFAQSLTIPASSPLFPHIESNMPSSLTVISRLSSRRAGTRFNA RGIDDNGNVANFVETETILWIPPALCFSYTQIRGSVPIFWEQEAGYIPGQQKIAIGRS AGATQPAFDKHFEALAEKYGAVHAINLLAKAKSGEAELSQRYMYHVRGSPLRQNRDIK SNSEHDILKLTEYDFHAETKTTGYEAARSIQDVIADSVEGFAYFLSEAPQGNEMDLSA AELRLLRQKNIVLQQEGVFRTNCLDCLDRTNLVQTMISSMAIDMFFAQWNGHASPDFW MKHSTLWADSGDMLSRIYAGTGALKSSFTRHGAMSVAGSFADIRKSATRLFVNNFTDP AKQITIDTLLGLSTNQESVYLFDPVKDAVNAELTRRVNEFTSSEKTYIWVGTFNINGR REGATEGLGPWLHGSLNQLPEDPTIVAVGFQEIVELSPQQIMSTDPSTRKIWEHAVIS SLNARTTKRKTTEYVLLRSGQLVGAALLLFVKKDVINKIKNVEGSLKKTGLSGMGGNK GAVAIRLDYSNTSICFITAHLAAGFSNYEERNRDYHTIARGLRFQRNRPIVGHDATIW FGDFNYRIGLSNERVRPLIESGDIDVLYQHDQLNLQMVAGLAFQYYMEGPVTFPPTYR YDNGTDEYDTSEKQRIPAWCDRILWRGRILRQLAYKTAPLKFSDHRPVYATFECDIST VDEKRKEEISHQLYEKLKQQPATATTNPLSDDEEDENEPMYPINPGLPPPSSEKRKWW LDHGLPARSTITPPLGAVSNVRRSANPFSFSRGSDWINVGRDDALSEMSEQDLRESKK ASSTNREPFRSVGEVIGGPESLATDMPQTSKVAVSSKTVKSVKIPPPIPRKPAKLRRH SSGESDRKPASSNGVSSGYEMVNPSTLHHIKGRQEQAYTGKAGGATTSSVSQATKSLP TNELMDSDAGTRVPSWEPLQPKK TRV_00732 MAVSPLPSQFPPITTTGAPKSLAMRNIASQEPRTAPSGDSAASK PSMPEPELAEQLNADVRRKYVKDKKLGEGTYAVVYLGHLRDDPTSLVAIKKIKLNAEY KDGLSMDAIREVKYLQELSHPNVIALHDVFSSKDQNLNLVLEFLPLGDLEMLIKDNSI QYGVADIKAWISMLARGVWFCHKNFILHRDIKPNNLLIASDGEVKLADFGLARSFADP YLNMTHQVITRWYRPLELLFGARQYSGAVDIWSMGMVFAELILRVPFAAGNTDMDQIS KICAAFGAPTEENWPGVTKLPNYVPIEENHVIPLQGREFFLRQFPTAGPLGADLLASM LKLDPRKRITATQVLQHQWWLAEPKPTKNEDLPRKAGGEKKMGNDMGRRVGEIDESKF KGVSRQLDFGAMKK TRV_00733 MAAARIPGFNARTSLPLRLFTSVTNPPYQPCVRYLHHSRKPATV PSPTPFVPDVETFLRLIGRNMSRYSSKITGWKQLFTADSAELRELGIEMTRDRRYILR WREKFRQQDYGPGGDFENVVDGVAELRAVEVPVEKSKSAKTTNTDGESLDVNTMGTAT LTPGMRYAIVNLPPGETELKDTSKPLKKFAHYKLHHGNMIKGPYAQIIPNTWSTAVKV QVTDGMWEHKRGRKIDGGQRRQKEIRAKRALAERRNAQAAA TRV_00734 MGLLADIVSRFCENCSTLSTAALVASAVSAFIVLSIVINVLQQL LFKDPTKPPVVFHWVPIIGSTISYGIDPYKFFDDCKEKYGDIFTFILLGKKTTVFLGT KGNDFILNGKLKDVCAEDVYSPLTTPVFGRHVVYDCPNSKLMEQKKFVKFGLTSEALR SYVTLITKEVEQFFESSPIFKGDSGLFNVSKVMAEITIYTASRSLQGKEVRGKFDSSF AELYSDLDMGFAAINFMFPWFPFPHNRKRDRAQRKMAQVYTDIIRQRREAGGEKDSED MVWNLMSSVYKNGTPIPDIEVAHMMIALLMAGQHSSSSTGSWIVLRLASRPDILEELY EEQKRVLGEDLPPLTYEALQKLDLHNNVIKETLRLHAPIHSILRAVKSPMPVEGTNYV VPTSHNLLAAPGVPSRDPQYFPDPLVWNPHRWENNAGVTVVEASEEKTDYGYGLVSKG ANSPYLPFGSGRHRCIGEQFAYVQLGTVTATLARLMKWKQVEGTKDVVPPTDYSSLFS KPLGNPMVSWEKRKQPSQK TRV_00735 MPPQRERSNLKRERVRYGHVIAIGFSGGKKNIFRLLLTPLPNLQ GNAIPDHESKKPRRSPRISSQLQQDAGYLPSPLVNEVISEEKREVATVSPPEGRRKEA PRGQSPDESSCPTQGFSQYPPIQSIDDVEDEAAQGIWGYLTPLDNNFGGQLVLKKRDS TNTTPVIGAASDISTPESTTSKRGTREINRAGYLIGRHAECDFRIKLPTISNRHCLIF HENRGGDFVAIVEDLSINGTFINDAIIGRNKRRELENGDEITILQESRFIFNYPRSRN TSKFRQQYKLFDMLGRGHFASVYLCAERSTGVKYAVKHFEKRPGAVQRLDREALQQEI SMLMSVNHPNMLCLKDTFDESDGVYLILELAPEGELFNWIIRHQKLSEDETRKVFIQL FEGLKYLHERNIIHRDIKPENILVVDKDLTVKLADFGLAKIVGEHSFTTTLMYTRAVD IWSLGVVLYICLCGFPPFSDELYHPREYPYTQKEQIQLGYFKYPSPYWDSIDYNAMDL IDSMIEVDVKERLKVDECLEHPWITGIDPDEPRVADSTDDLAGAIGGLRVSKERRPTM VRRLLSDIHEVTVKQVVKTKEDDPSSQNITIYQNHPVPQAQEPKPAGNRNSKEFMELG GSGDQVLFDEQSDDSLELESEARKPVVHQDDADKTPRGSKR TRV_00736 MALRRLPGVRELDVLLALCKAAGSVQRGDHAAKLVSLLAGYLPT LHTLRIHSSPFLHIYKPSPWETLTHDVVTALLSLGSRHKSLQDDVHEAIDEYLENCHQ ATDRLPAVFDADGTGIQVESHESTDVTTLMVSLVGFLRASSEFPHFWAPLDRLAIVKQ LHIILSERFLVAVETISSGIRNSRSPDPLLHDWRRYTRQYAADGRPLGSMLLQQAFMG FLKSCTSPVENDKTPPLPDDTLLSRYADSSYVAKASDRGMMSLVKYATEIAGDQINLL EEGSDYLQVSSTWQQRLAFLVKALSIMCSINCIMVDQDSVDLDLLFTSLDNTISDPDQ MMNKDLATVVLKAAVILARLSRGSANGLTRSLLRFIVQNGQSSPVVALAAQCLAQNLR LLSQDTVIGTLYSLGNVLSSGSAADKAATALTAESGTGYSHSIETRAPPTNESFLSLA IDGEGDTSVTARNVVHAIVTIATYSEDSKIVALAQSILVQKIGKANVVVDAYIIQETA ALSLKSEPAEFRLLLKFYSRLLRDTVAQGNNLMLEAIHKARNYLAANLDRNSEVFKIY LVFLLESLVNQGAAVEREKVHPEDLEATAKQIAPILKPLAILLARAGRLDQLASGVCD NDDITDMLRDAWFNIAAHDISLHSELGKANYEQLRILAEHSPPLVSENRAELLESDIE LNTILRRGQSPQTTVEKKKNLTAELPAQEHDIRRLNYPKALFLNATLLIETLRANSGD CTKVFTYFLDPTLGASEMGNCMRAIADKVVTVYLEKTLRSTSVKFSAPYIAKQLADTF TACCHRIVQVQQTATLAANKIIMQCPSALCQKLSLFTLLDLITLLWSSCMDEEMDEFA WNSTFTSSRRTVQVVLSDNYDFRRRTLKNLHNSARTWISNVMNIAPLDIKGLLQTYLS DYDDDGVYGNINMGRSLALEMGSAIPFADQRLGSIDNPGGTVLNVASDFISQYTTRQE YRYSEAAPARRGKSPLAISYGIYLFYLGSGINLLTSSIGQSTTARVTDYPDTVQEALA ALEHQIRTEKHISLDLPRHILRRAAALLCNTDDSHATIIAYLVSIPFQIFTKESIRMG IALWLGVINEKPSMESRILVEVAQAWERTIRRKQGIFDPNFKHKNPFMNKLESSPSDK EAIVRRHKKAQNIISPHFLALQFLESHFSASRLGSLNTQQVFRRLVSETLIALRDSTG HPLAREIHFHIILFSLKVLHSFSGQSNVSSWKLKDQILSAALSWFSHSPRWSFGGNRL QLKAEDQVLHDIESMLETVSWKEVVDSKSHKSLQAKHDLLLILIGNERSRLKVWLSPL DLEKKSYFFYGTGNKTVTEETVAGLLRLAWNENPSLALQLGSRFPSAKLRTDIRWLLL NFPEKALKEPNALEILLGSALPEDVSFQLKYLLYWEPIDPISAVTYLLPAYGNHPFIL QYALRSLESFPVDVGLFYVPQLVQALRYDALGYIERYLSNTAQLSQMFAHQVIWNMKA NAYKDEDSQIPDPLKPVLDRFMDPVIASFTDEDKEFYEREFAFFNEITGISGKLRPYI KRTKQEKKEKITEELRKIKVEVGVYLPSNPDGVVVGIDRKSGKPLQSHAKAPYMATFR IKKTRNIEEGSNAVTPAEEADHEEANLQLSQVKESTVQSKRESLAASQAGTYEVWQSA IFKVGDDCRQDVLALQMIAAFREIFASVGLDVFVFPYRVVATAPGCGVIDVLPNSISR DMLGREAVNGLYDYFVSKYGGEQSIKFQEARSNFVKSMAAYSVISYLLQFKDRHNGNI MIDDAGHIIHIDFGFCFDIAPGGVRFERAPFKLTTEMIAVMGGYHPSSSTAASATAST ATTSTSHSASYNNSQSYRWFESLVVKAFLASRPYTMKLSNIVTLMLDSGLPCFTPHTL TNFRDRFVLEKSEQEAAQYMRELIRKSYASMSTKGYDQFQLMTNNIPY TRV_00737 MPQFEAIQLIYALTITRVIVKFRKEVEDDCLLNREKENLLRFRS PYIRALVDTPADEHNGPPFLVLEHMDDSLPDMWKRRQEPPFKEMITSLLHALNVIHTR NYVHTGITRLFSFLLVKQFANVVLFQDIEPRNILLSKVGTPNMEVKLADFENGILQFY ND TRV_00738 MKDSLTLEYKYPLLSLFDSFLFHTFCHNSKQITSYSTLISSIYQ IPNALQFHSVTMSGQVIGRAYNYVTKKFMNIVLASRGPGWVRAVDIVPDTLKAEMANR VKQESESGKLFGPQQLGVIDEIEIQEVFHPSPEDPVEHITVNGNGNGEHVTRKHIARD PAKQK TRV_00739 MSNGAHMSNSPPTTPIAPRGRRASITEMFARPGNAAPPPLNTNT SSTTAPLFTAAASNAQQQPHRRRMSITTLGLSGSPTNQSAPFGQTAATATPTAAAGTT VPPPNGRRRRGSVSSSILSSSPTRDQAVVEEEDEEDTPMPTSPPPFARRVSFSFRDRA ASLNGIYQSLSIPDKHSIPSFKQLIITPSIYCRGGSLGEGFNWPEALRSRAERAPSLA GSFSMHQQQQGQGHGQGQQHPSSVSGSSTSNTSPSSSPSLSSSFSSASFPGKHHRSAS VATMEAYKPPPKELLERHPSSPPPPSQQQKPPQQQPKPRPKPDYFQEKILRADFMD TRV_00740 MGNVQSHCKSSIPSALYLRDQRKFSISSLVVTNRSGAVLLVISP NGYPASQISAKRDAGDNTPIEFIQDPDPPFNPLNNSQGPSFILRLTNEDELHFCFTFV IRQEKGTAAPKPTTVNGVSSALPSGIDNTVKSLTFAYAANAKDLDNLVTHEFHADPNI QNNNENVRHIGTFNTGGSPSVQFDWSWKWKPPVKIEEKCGGWRNCCSFLDYDERSNRL NTLAWFQYWVQGPPRPSTSPSFESTGFELAVPQRNRQVSSHSNVSRTSDPNESNDELA PAAPTVEYQDCFRRFTAHNSNNAVQNVQPSTSALGGPKVDVTCHHPGEDMCAVDDGPL FRATMKSLEQKTGNLRTRMKKLLKKAEVAYHARISCHDAIYNFIKTLGDTAKANAPAI QPALDHYFNRAGRELLAAERLDAEYMHEFVVKPLSRFYHVDMKQADAKKKEFDDESRD YYAYASKYLGQRQDSLKEKKRVESDSKYQMKRRKFELSRFDYSSFMQDLTGGKREQEL LASLTQFAVCQANAHISTSRGVEAFLPHLDALVDEVNKVDKEFKTQRTEREEKRRLLE NSSKKYIEPDTAQNPGPSPPTPGGNSTTNNNATSYTSDSDLGHADGTNSTFRGYSGNT SGRSNSPNGGATVPTGSNTGPVSSTQNRFKGFRDLEERDSTSKAATEGANGQQKKEGL LWALSRPGSHMDPKGINKQAWHKYVSFSPFFNVPIFRISNAIDRFWIVLDQGKLSEYS NWKQKLDLHMDPIDLRMASVREARNAERRFCFEVITPQFKRIYQATSEQDMGNWITAI NNALQSAVEGRVSTPRTKQTTPKEKLSGRTIGSALTGKSVSPSNSNNMGRRTTVGARP GYMRSGSHGYDDDPAKLLQTIRAADEGNTWCADCCSTSKVEWVSINLGIVLCIECSGI HRSLGTHISKVRSLTLDIHSFSNDIVEILLQVGNRISNMVWEAQLSPGVKPGPTATRE QRLKFITAKYSERAFVRVVSPESPEYNSPVETLFNAIEMNDIQGVLYAIALGVNVNAI DTNRKTNALTAALDSADPAPAISPPASPSLGRQRPFIPSRSSSASAIAKPFPIAELLV QNGAIMPSKLPTSPLSESAQLYLTQRNVRSSRLDGGGSSTGDTLSALPTIRGLEGNGS SMPAVDSKERERLHKRGSAGARFAGKVSAFTP TRV_00741 MKAIVELQFPKILKQLETYLGMTGALRQYIHRYAAKAEPLQLRE KMLLKEAPVKGAPRKRQADRTTLQLIKDELQAFAKNGSQTSPQVNYILWFISVAHLEP CTADPANFPSDDAKPPPVRVGDHDEWEIKKLIRLHEDNILVKWKGYCDCTWQPVSTIK EDVPDLWQAFENVG TRV_00742 MEATWAPPDSPSYTAFFDAARQGTVDEIRAAYTSEIDINALQGD GFEGMSALHLACFHNGDVEVVRFLLDHGAEVNILDRDRAGNSYPLHWAANRDRADIVK LLLDRGAEKSRKGFDGRNALGMVLYYSLSPRKVEPKQMETIQVLLDHGFSVDESGMLF EAVLSRDQYLIKFLLDHGGSINPPSPKDPSLLGHAAGFTDYETVKFLLDNGAVLDNPT DGSPSSVLVSAASTGNLSVVKLLLENADPEIIRKSSRAISAAAASGHLKVVEMLLDSN MSIDGDCALGYQSPLHAACFTDQPSPELVKFLLSQGASVNSLDDRLNTPLHLHVSTSQ PDERVVRLLLDAGADLSARNTNGETPLLRAVSALHCPYQQGNPHDPQGSASVVLLRRL LKAGSDITALDHLGQTALHILASNSPACTPGECPEKAAQVLLDMGVPIDSLDNKGRTA IDILLEKHTIESRLILHTIENFKLHQFSPSSL TRV_00743 MDEHEQKGEGRGTAGEEQTRREAGSFASRCCFFFFASFFFFFVG GEEAPRRVSIQAAGRTDKQKTERQAETAARAHKGREAKRYLAKGLRFLAGFLAASSNE ELHEGGQVGPHWVRAQVQRAKGQQAHPVCLFTAGLTSYGRRDGRIYEYIGRALDETDS MKRQSTTGARDEGRPTELSALRTLYIYRQTSSRHLTAQRPQEAKQKGTRKHIYKLNQG THAFVDRAGPLSWLSSSVVHRDLHHVDRDGDGTILYRPLDQGLGNKKDEEGDEDGNLL EKR TRV_00744 MDSSSDNASKKRTRKWHQRGFSGCSTCKKRHVKCDETAPACLNC TRRGIECDGAHQNATFKVYMQTPPVPASSQEMTKAHGPATANGNYMQSIDMKENYLAQ NKDGSQNGTLGFDEGIYYSYFVETASTFLSRYETPYHSNPFRSMFPQYACTSRILSKA MGAVGALHLANTTAGQDRAVHLHHAMNVYGEIVQSLGQAWSNPRCHFKLADFATCLLL CVFEVENWKVHLAGARDIFNSLDSSTAALSRGQTNPLDQPFNDFLVSLMEYLEVVGAI STNEGMTQRVPYREVYGNGQQIPFESPTINSGRYALSNNIRHAWTELLGIKADINSFH GAKSHGMLARDEDSIRRGLETRLASWEAAFWFKGISDIHENDSLPEIIAFVKAHEQAT IIYLHRVNASGRQRTHHSPAHVRTAVRRILSLAKRNARGIGRLAMHWSMYMASLEAVD EHDQAFIKEWYEETTSFGFRVRHFII TRV_00745 MPYRGDFGARSASVSTARRPESTFDIEVPRSHSYPDYNHPIGHF PRHLHGVALETPLVDEEGRRPSAPATFIRWFVDGFKRDPDAQLTTRSSTTFADRKAFD IAGAAAATANSPLKRRLHGRHLQMIAIGGSIGTGLFVGSGKSLATGGPASVLIAFLLV GVMIYSTVHALGEMAVLFPVAGSFSAYSTRFLDPAWGFAMGWNYALQWLVVFPLEIVA ASVTVSYWSTSLSPALWVTIFYVLIFVINLFGVRGYGEAEFIFSIVKVISVVGYIILG IVLNVAGGPDGSYIGGKYWHDPGAFHHGLKGLCSCFVNAAFAFTGTELVGLAAAEATN PRKSLPTAVKQVFWRIALFYIIALTMVGLLVPYTDKRLLRPGSEATSTQTKASPFVIS IRNAGITGLDSVMNAVIMISVLSVGNSAIYGSSRTLAALAEQKQAPRFLAYIDRRGRP IFAVGVAFAAGMLSFLAGSSHRDEAFTWMIAISGLSAIMTWSSICLAHIRFRRGWHVQ GHSLNELTFRSQPGLIGSYIGLVFNMLVLIAQFWVGLFPIDLKDKSVKGHVQNWFSVY LAAPIVLVFYVPYKLWFRTSIVRSKDMDLKTGRRDLDISDLIAEERRYREQWPAWKKI YKIFC TRV_00746 MFAYTAGRWLHLDKQQRDARFVEFNYDRLCEKVLSLCPSATSIR SFQKIEGGFSKVFILETDNGKKNVVKFPTSVAGSPQHITNSEVATITYLQQNTNIPIP NILDWSDDPTNPIGSAYIIMEHANGILLQEAWAKIPSDKKVKCIGAICTSILPITKLD FSAYGSLYFANAPFLDDEFKHKLSNNDKFCIGPHCRSSTYWNNNVGHDLSSYASALID SGFARLPPANQPLSIQQQASYQGSIDRHVELLKTGEKVFPHLVQHPEIQANSAPTLFH PDLHKRNIFISQDDPTIVTGIIDWQGASIEPAFYYADEVPDFAKIPAEGISDSAEESL WYQAYEVGLALLAPRLGATRKIDEALLRPFRYCHRTWRDGFVPFTHELMRLRDSWEKL GFEKECPIPAMGPEERKFYEKQLEIYDGMLEFRRDMFEVLAVEEDGWVPAERWEEVKK THQGFYETLMDNLEDDESRQELRTMWPFDQCQPENLVTRKDSDV TRV_00747 MSKTIDATKTLFKNIKNGAAKFSAFITEGARIIPSKNKDHLSPL RIDAGKYDPVTKMLNVVLQVNANPKSKGLEAWLKKYSTHAKLATAKFNTAAEDQQAEY HRVLDELTDKGKRNLKDMKTADDE TRV_00748 MNTAVAVPGFADSFWTPDYATGIHTLFTKLHQGIVENQQILTMA QMRAEAERVYAAQLGAIAPAIARKTGGFDRDDGASVRKQAFDCMQKQMVEEQAIHQKI SSSIQQLVIQEFSRWASEHEARVVTCEKALNLRLKEYAKQCDEVSSLNEKYLSKCRQI HDREQQELFAFQEPESATASPKHKQLKAPTIVLPDPLDEDPEPVELGDIVYSPEQLKQ LLKNMLETIPMGEVKVRLLGTYLNTSLGSDIVEYLQKHLGASSLSYAERIGQDMADNG FITSIGAMGPNPLVNTTFTGSSRSTYQWDKRVFQMTGVPEKKKPLTRSGTTLSRTSTG TGSDDSPVDSPTLTERFAAWNPLRNQLTDETPMEILKRQADEANERYKHAVKHLDAIR CKLEEEMFHTMKFMQQCELHRLEGIKTVVIDFSSTISNVIPSLQSTMDRIVLHHEAMQ PQGDLRYMLENYRTGSFCPKVAVYESGSKSTEEQTFGVDLETLTAAEGKKRVPVLVTG ILTYLDRHYLDLEGDDARRAIWLRDVPLTETHKLRAKLNRGRSGPIVKIDDEVEAIGE KLQEFEMPVVASVLRLYLLELPDSIVSSKLYEIFRTIYTTTRDTSDENRIRVLQSTLG QLPLPYIATLDAITTHFWRLVDLTIPENDQFSTREEIYISALASTLAPCILRPRVQNH LSMDEKHSHRLVRDLIVNQKQIFGELRRLSSKSSSTASRPRAISTDESNRRANMEERN RAIASRARATSPAPSPRHRRDRSVGSSGSTRFPVSVSGATTSERKPVRQSLEVPDSSP APVDTAHSTTNGSSEGTPATAEDTNTSADAPTADRASTPPSATTTTAASAVPSSVTNE VKKTGSLSRSSRYSHITGIKRDEGDNSGSDVPPKPVGVTLEDKPIDD TRV_00749 MSSKEDTLRELYHYLPSKPAAIIFAILYIASSFLHEYQYKATRP QKFTMPFVLGTTFSSIGFVTRSLSALKIGDPRTLWRISTMFTIGAGPTYAGADYFVCG RIFSYVRYAAPISPLRTVRTFIFFDFLAEIGVWVGAGINDSKDPNSARAKLGVNLVRA AVIIQLALFACFVAVVLLFQIRATSRGFWRASHQQSGGTPGWVKVIYTLSTEAPFLCL EALVMFINAAMFNVIHPGVLLPSNPHVYLLADGMEAEDDSPEGTLEDTRPLKMKILDP LDIKGLFRKKQQLKPYQQRPLGGDDENMSESMIMLENRQREEFR TRV_00750 MASAVLGKRQRTALESPDAPSLRSPSKRLARASKKIYTDEEDPF ITSTSQKRSSSRSPITRKRPSDANTPSRNVRTKRIDGDKTTSRTAVHDDNDENVQPVE FSTPTTQRYKNVFLPVTPKHRVLVGGKPLTPRTPRTPSTPKTTRSVFTTAKQLFTRSA NPGQLVGRENETREMKSFIQGSVDSRKGGCIYVSGPPGTGKTALIDEVSRDLEKSVDG IKIANVNCASLTSARDIYGNLIEDLSENTSVFKKSEVERLEAMFISKKSAGPLYLVIL DEIDHLLSGDIEILYKLFEWSLHKSSRLILIGIANALDLTDRLLPRLKAKNLKPHLLP FLPYTPTQIADVITTRLRSLLPKEAQDGASQVPFLHPAAIQLCSRKVASQSGDLRKAF DIVYRTISLLERETQQKTASASSTSPSKLPLLENKNLASTSLPSPSATEYTAATAPRA TVAHVARVTSSTFGNGTTERLQDLNLQQKAALCALISFGKKQQTANTVYKTPSKSPRS TAPTSRELFETYSGLCRRDNVLQPLTATEFRDVISSLETMGLVGEVDARGRGTGSPTA GVSGLFSTPSKSGRGRPATVKNMARTDDSGLVCLVGEKEVQSQISGPGEAILKALLAT DEY TRV_00751 MADTTVAQFVEITGASPEVAAQYLQLADSNIESAMQLYFENGGN PIEPTAASSAPQSSTRPGRSTGYQDGDGVIHLDSDDENSGGVPVGQEGAAQAAGDTFD ADLEMARRLQQEFYTGGDPTDNVRAPIERRTETLVGPELDDGFQPDIMEHLHSRAARR AGRPGIFNQRDVDRSIWTEDADGSSSNILARATGGASEASSKANMLAEMYRPPFELMS RLPWDLARDEGREKMKWLLVNIQDSSIFDCQLLNRDLWKNEGVKETIRAHFLFMQYSK DDPRGAQYIQYYFPGHDVADNYPHIAIVDPRTGEQVKTWSGPPVVKAPDFLMQLHEFL DRYSLDHNVRNPVAKRKPEVTPQSKIDTMTEEEMLDMALKNSLVGQEPTKAEDPDDLT RSIGDIKGKGKATDTGETGDADMFNGQDDEEPSSSDSPFFKIPSDKPHTEPAADPATT TRIQFRHSSGRVIRRFALSDPVQRLYEWLKASPLEDKHGVEFELVSMGQNLISLLDKT IEEAGLKNGTVMVGFIED TRV_00752 MPGDNGLAMSRTASSSSIQVADLSGPPPTPALVPPAKAKSVSPA PDVSPKPLPAEGAGAGEAEDGPGAEKFIMHPTSVYIVISEPKHQSEKFHWGIIVARGQ QEGVLYHLVFDGSRWELKIEENKDISADKAVILLLKVGDVPEVSRQWIEAIQECITTA NVPRATMGDISCRTFALAATYELGNGGFIKIYPNWNKVKGIEREAYQFAWHAGNLGRR LVVASQWSGL TRV_00753 MEGALDPETLYTKQNCIGISPLSQNPESVCRDGYLTVDKRTGQS VAIKIIDVENADDEVEDIIQEISILSELNSPHVTKYHGSFLKGSDLWIIMEFCSGGSC SDLMRAGRISEEYIMIILRELLLGLDYLHNDNKLHRDVKAANVLLTASGQVKLADFGV SGQLSATMTKKNTFVGTPFWMAPEVIKQSGYDHKADIWSLGITAIELAMGEPPLSDIH PMKVLFLIPKNAPPTLQGAFSKSFKDFVDLCLRRDPRERPSAKELLRHPFVKRAKKTT YLTELIERYERWFAKNGNRLPDDDEDDAYHQQEQNQPAMAGGDDDLWDFGTVRPAGGN RAAGLRAMNEAAANARAQVPNMHSRAQSSSGCLPTSQENIPRKMSKETVKNPPSPQRR NFPSETPPATPNSQVSRVPLPTPQVPQTQTPRQSSYHDQVKTPLGFGKPQPPLPAQEE ESSSTHDYDRALQRSLAQDLNFLKLAESPNNTPTQPPPKPPAPEQIHRKPAPMQLPEI PPFKGASSSPTPQASKPPGQYPAAPLSAHPSSTPSSYQQQQHPLPSTRPQPPVPSTPE RSPTHQSSRDSLDSVSSQSTVHFSSQDQPNPNEPITALNGVILPALEAALHRRTYALN SMCRVQPGTPVSQRPSTEQVQRQVYAHEKLKRLVIKAAGILKEIERWDNEAPLGMGGD INAFLEGFLEEVLVRVEPEEDPSE TRV_00754 MKANVSLLDLITFPRHGGSAKVNLTIKSSLPWCVLNTSSDSSGM VLNLQGRLLIPYFLLSAFWRPNRLEMSLSALSLLAIFAFHALGDTPPQFTRSFPPVDL GYAIHKPTYINITTSGLAVAKYNNIRYAQPPVGELRFRKPKTPPPQADGIQDGTQYPS TDCVSSAYPGVPFPGRNGTTWGQEDCLFLNVQVPEGVKEGDRVPVLHWIHGSGYAFGS KDTIGITVDPEGLFDKLQRDGEKFVFVTSNYRPEEDMTANIGLHDSLAAVQWTKEHIS KFGGDSDRVTVIGQSAGAGIINLMLTSYGGKGDLPFSQAVVQSPAIMPRRDVASRRQD VYNQVLKSTNCTNPTCLRAASPEVLKAANHHLIVEVPTGTGGASFGPGVGFSPLVDGD IVPDEPMILLEQGRYHKEVKRVIAANTAFEGNGLSSDTNMPDGFPDYVRINFPTASNE TVQRIQNLFPYPPGKPEMLAWDWITSVVFACHSTSIAKAYGSKAYRYVMRIPPATHAL DLLYFFFVDNTTTPVLSEVTARQAQGYLGQFIHGKDQKNGSLPSLGHEPTPAWVPYGS RFHTMDIVEHGFELKTDPWETNGICRTLLDIIKDPRNGN TRV_00755 MLSLTNPDANFIALNPVVADRLEEVIQAQARLIETHIIQAQQRP GNEVQSNQCPPTTTLYSSPSDRSAAPEPSPKDILYPHSTASNFPTAHRRISAVATYNQ EQTTSTPSDRSFNTTSPIQLQNGLSPQKVPPPPGETVSLADHNADLPPYDLLYSLVDL FFEHINGWLPILHRRTTLDTLFGPSPLSEEDRMLLYAIVATTLRFSKDTRLNEENKKR YHDALVILTLDVVGTSNGPPGWKLLAVIARSVVQLGLAVEATSSLVATMFPSIYTLRA NILPDSRNWVEDEGRRRLFWAAYLLDRYSTIATAFDFALDIKEVDRKLPCKDEYFVKN QPVETRFLDTHEQLECVSRSQYMGSFGFYMEVLGILSKIHLFLKQPVDISAIADVNQW QSTYRKLDNELTAWEYQLPKEYSFAMSTRYLAPSKSRSVHCGWIMLHAAYQTTIIRLH SSAAYPTTRSPIFTPSYGAIQRCLAAVERIRTIAGFVVDNNVLDKFGPPFAFTLWVSA RLLLVNGSTVAHTLDPAITFFVDSLRRMGQYWKVAKRYSEILQRVLDEYNEFEQSGSA DANRTAPSSVKILADMRRCAFDLDFLISHQPPRAGSSSHTPVAGGSIASVGGLITPKA TNATPIAPQRNLNPHELEYLDVFDFFNVPRIPLPGGGNTAAPPINGLAVMDQAQQVTV PSAQPQANSSAVTATVGGISVTDLANAAAAGAAAAAQATNEFNITNYMVPTPETDWLF RATA TRV_00756 MATIFPTIKTVNTFIIDGVGSGGDYHNVCCYLFSSEVYCLYINI LFQVKGGHWLIDSPIATPMARWEQYRSSRTSWGINVLGSFCVEIEATDGTKGFATGFG GPPACWLVAQHFERFLIGADLAIWDLLGKIRNEPVYKLIGGTTRSRLDFYCTGPEPGY SKAMGFSGAKVALPYGPDEGASGLARNVEYLQQQREKVGPNFPLRVDCYMSLNVPYTI ELVKACEAAGINIDWWEECLSPDDVDGQALLKRAHPTIKFTTGEHEYSRYGFRKLIES RNLDIVQPDVMWVGGMTELLKISAMAAAYDIPVVPHASGPYSYHFVVSQPNSPFQEYL ANSPDGKTVQPVFGDLFLNEPIPSKGYLDVSALDEPGFGLKLNPAAKLIPARYILTPG PQVSLRDNSIASRKDGKHGSENSVPITNGTVP TRV_00757 MVACFLWLLLPYAATTLSASVPGCVPSGALLPRPTELNQSQNIK DATERLSRSLDDAVSGRIKAGWDIANTSFSVSIVSPNGGDPKTGILWEYHHLAEKNVN GTKHLDSNSQYLIGSVSKIFSDLLLLKSDVDLQDPITKYLPQLKNASSPIDWDNISLL SLSEHLSGIPANTKPLYRALGFPPLNKSDYPPCGIADLNKGCTPEELLTELVDSHPVA EPYERPVYSQLSFTLFSLALANETGKDYAQMLEEQVIRPLSLRNTGFSPGEDKRAVIP NVEQQGWGADYGYNAPGGGLYSSLNDLSTLVTKILDYSILQSPQATRRWLQPRSATSS LNTLVGQPWEILRTSGMTPKYPHTIDIYGKSGGAPGYISQINVIDQYGVGVVLSTAGP LDSKAAYIINEAVLSAILPAVEDEARKQAGMYVGEYTSQKGDNEDATDNAPIKLKTII DNGTGIKLESLSRNDSDILEGIRKIWSATLSTVGQLASEMRVYPTGIERLATNDKSLV EQDWRINFDLIPNVNEQASDLPGLGKLEALCTSWQTVDWLYYAGVPMDRIVFIVDKEA GRVVGVEIPFLRSGFIQKSN TRV_00758 MKNFPVFNVLLCPFYIPYPYLSAKAKDGNSSFTRQPTESYGRDL AFFHLQLTMIMESGSSSELADGEIWRSAVFILATKVKKAYSASRIPAASRLLIHFLYL ALANEPFLILTKDGFSKAAEREGGCNNGGIDRHRTSVNHLGLDHEQELISTLKQEVAD ILASESKKISSSRRLITVAGDVSLPETGTDFIRETVSAFGRLDIFVSNAGICEFKEFL EISPTLFDRTTSVNLSGAFYVTQAAARQMALSQSPPGGSIIGVSSISALVGGGLQAHY TPTKAGILSLMQSSAVALGKFGVRCNALLPGTIRTGLNEEDLKDDKKRTYMEDRVPLG RLGEPKDLAGPAVFLACDELSGYVLLVDGGMFVNLQ TRV_00759 MKHSLRPNRLQSLTCLALFSILAIYTSGYYLTNIARHGRASFNG TLESYRQRGMKPAGPIVPGVVKPGKNYTRTLVIASVQRENTTWVDELQREDPTLQTAV YVVNNSSAPLTVMKNKGHEVMVYLSYIIDRYYSLTDVSIFMHAHLTTWHNNDLLDSDS AKMVKRLRSEKIIRDGYMNLRCHSEPGCPEHIHPIAGGDDLSSIPEAAVIGNSWLELF PGTDVPEVLSQPCCAQFAVSADRIRRIPRESYIYYRDWILETSLSDSLSGRVWEYLWQ YVFAGVAELCPEDHVCYCEGYGICFEGKVEYQYFYEIQSLGQDIQKQLNAIKRDDGTV IPGFEKKAKAMQAKINKLVVEIEEIKSRVLRE TRV_00760 MLSSTQRIVPTSARWVEPIPYLYYFAMAAISLAMVKIRLEIGGC IGTGKTAKRPECLVAFLALKVANCNFNDIRRAGLGMGQLGGPELILARNTSERKPRIN RVKDGKT TRV_00761 MSEHLFSPDRIDSVQNADMGMQTEIPRLRSTKKEYPPAHYLCDG RSRRTDSSAGRATEISCQVDIHPDKTTSTPEPQASIKISKHKHIFFDTSAPSKKEISS FIKMSVLSRAVQLAFVALGLCLFFSNLVAAQPIAGPSLGVSVKSVNGVCRTNKPCELE VTVRNTNTKKPATVLNWNTPLDPYADQLGVFEVRDSKGAVVPLDFIQIRRITPPPASD LVEIKAAGSVKVKVALETLSRAELPAGTKYTVTATGWWQAVWDQPKEQVVQTHLQELS GAFSGNFNSNSVQVTKVCSSSSP TRV_00762 MLAWHSPSNPLGSQHSVEEYLDAAVSSLPAEYSLKGFIYIETDR RSSLRPHDWTPVFEEISFISRIACGTPVEGEGHVSSDKELCLAIIPWAPVPLGPEGLG LYMANIQERTKNNGGSAWEKIKGVRYLLQDKPSGTMLEDGFIQSLRWLGQRGLTFDLG VDARSGGLHQLEETVQLAGKLYQYGSQVKLILKFIEWKRHITSLSAYPSIYMKLSGLF SELLPLPAEEEGQGDTFQVSATINAIQPWTDVIFDTFGAARIMFGSDWPVCNIGGGGN LVAWRRWMRVVELLLEKRGLSDDDKNNIWHLTAWRAYSISPS TRV_00763 MTDSEIPTHAKAAVYDKPGSVSTKVVMVEVPEPGPDEVLVNLCW PALPFPPEAGQVGGHEGVGKVVKLGPGAEKSGLKIGDRVGIKWIAHACGKCLFCVDGV DGCCINKKMSGFYSPGTFQQYILGSAHYVTPIPDDLPSEQAAPMLCAGITVYAALKRS KAQPGQWVIISGAGGGLGHLATQLASRGLGHRVIGIDHHSKEGVVRESGAEHFIDITQ FSQDEKGTGEIVEKVKSLTGGFGAHAAIVCTGSHAAYAQALLMLRSNGTLVCVGIPSH SRDPIATCSPGLLVAQSLNVVGSIVGNRKDAIEVMEFAARGIIKAHVRVEKMEKLTEV FEQLGRGELQGRVVLDLS TRV_00764 MSSTRAGSQNQGQKPPYTTEESSDSESEEESSSSEGEVEEEEEE EENSPSYPVTFSGKSSIVYDLQMLSHSARAKARHGLSGDFSVDKCRPIYGGGYDFHVV DYGRVYVGEGPMACSCPDFQANNGASFSQWLVDQLHRRVLTSRPSGALKLSQNGECEK LGPMLKLIGNRMENICQKVEWPYIPTPGTPPDTQCSSMSMSRPEKARDLLSAFSADTM PDEFRPELVETIRQPRTPEQCVVQGDFEATMFRLAVHDENVYASLRKVMPSGARAAIF FDKVQRRIRSLLADFNRYRQKGTLRQSDHTALEVDVVADEMRDHLRLIQKNMFSRSPH GFKGAAETLLYLLRAVCQFNFDAFETSTWERPSESDEAAEDRNLYFQLIYNPVNGDDF FVLSCLEQLPEDILQQITPQLDNIFSDMQVNAAPVPYLRKLHSIIAGDIPTKTAVGAA LIPGASGQKRPSTAASGSGRKRTK TRV_00765 MSEEQTIEGPSMPCPVNCPVPIGSDYAAPAYPGQPDLFNEVEWG PFPSNEDIAKAYKVWLIPNNTLKASHPATNGPAGCLVRNVYESILTSPDLPIRRENYT FHPPRDAERTEGWTYSDIFGTEPEVQEQSPDNTISSVLVQGHNPLIDTKYWTKARLQP ELRSRGIDANGLVADLRQRLYDDERQKLSILGHQERRAEDKGSFLPVQSLPEWGLKRK SDDFLVKITTQSRLSALDMYTWAIHLSPYNPAFWTSRAYLYYQMGHFDLAIGDAYRAQ LLCEKLVNPLNRHTQPGIYIHIWDAVERHILQTPSNGRQFSPEVLLLRKGNGVNSFIP LVRKAVHHIIVLSLLAMQCWEDYSATEPYLRTRLIMADRDKIAMTKRQGKIAEFIQEA GKEKRRDAREYFFRATLWLYFMPPVSIADKLNADMIKKSQALVYRPAKIQVDVDSGSL SVYAYEDIRKGTVIYVDEPSIRGHLQPLYKTSKHFCENCKRPLSTGGSPPSPPTENKA VQDPSCTCSNSIYTPLYWCSAESPSSRDSSTNSSESTGGRRRPGAETDNGQKTTRGNP SKRQKTRDDRANKQGLSCLDIAKSLYHNRACGKNWNWLHNAMRPNYWKSESVPRELGS LSHSNEKHGTLLSLLLREVFDITLLRRETDNKPHLLAHEIDELMPILLWRAAGKNMPF SFAANIQAPFDILSCLGVNIFRDLSFDTWVIQLVLRKLLMSVIPWRAPGEERREDIPE TQDEVIKRTREISKFTPSESNLIMPTFPNLYVFPAISVFNHSCPPYHNVDWNWDTEIP NRLILHANRFIKHGEELFIRYTKAPLPNNTAVRLFGRSCLCSGCGRERSNSPPLPDYF GFATSDESEPENSSSSGQPTQGLGLTRWRSPEPSEEETSGDNGKTPESRERRSGGSNQ QRNAEGDDQEEDELEDYKSSSQEESASYSNVHTYQGRTPFDYNQAREGQSRNQTTDDG YQLAAQLGLQSMSTYLEPEASQNSSQPYAQTRESQHSQQGEETRGPPSSTAARNAMPP PSQGFGPRASLTHARRSQSPQASSRRRGALIKHKGVLMSSYQYNQLIERERKAQQERS QQGDDTQGSCDKHAN TRV_00766 MYGSQNYQQPPWQQQPPLPPPPPLPPAGDHSNKWHGGDQSQHVQ PQSTYNPNTYGPMSGTPSIDHAQQDTSRWGVRYNQQFGHQHQPSVHDQHNAPPPLPPC PSSAFEFSQAQAQNAPQQQQQQQQWQQPVQTSQPYYPPSHGVTAPEYPPVAPPPPPQS WQQQPPPADHTSYVANQNAPPQPPPLPLAYQAEIQRPASEWPTAPVYSQHPPTHRYDP VSQQQQQQQPHQAPYQHDQHQYQQQQPQQPPYQHDQQEQQQQQYQYPPPETQQQQQQQ PVVSPPAPPVAQNADNSGNSGYFQPASGETRNHLHSPPPDGNLQQPLPPTSQPLEAQT PAASLAPAISPLAAQATVATSTPPPATTAAASSATPTASTGASALGFGGPSDWEHFNS TGEEVDDTAEFGARTKSPINKANTFELPSDPSPQAPVTSSQAISQQPASVTSGQVSPE QPSRSGSHVISPVDASNQPAKNQSGSISGRLDSVSSIESNTPVDSKAIDGVIEAWSQP VISSSQHSSYSDAKPHQRSNTVSTFDYPRVTTPVSHIHASPQQSPMRTASRADVRPIQ SIVTISDPYEDLDPWYESSLTRYVTMLRKEMETESEEEKYKLFSAFMHKESKLRAILY NVENVLDQQPSAKPEPAPAPNPVAASSSMAKDAPAPAPSQEVPSKISIPDPPTPGEQD DVISYSPGGLPRLASALTNQKKNNSVSDGLHRSASNPTRPQPTAGAASNQISNPNLGL SAHPNPSRSVSVPPESAVRPSTNTPPFTVEPPHAVYTPFRYNENSQKTSQSTAVSRPA YQGYSALRLASVESGRVMAQPSLQTPPAFPSERSVSRAEHDETFLGLIREKSVAYRSH RPGTSLSNRPALPKKGSPTITFEELQALVPGPPPRLESSSRVAIIGDEIEHFPEDFTF IEKTHEAWDNSATGRQAQVNAQRQARQEESETHIDSLFNDKEIGYSDINVLEDEFKQT EAQIQLNEERKEFDKYVEIVFSRVDERLASEIKRLQHLYNETSELLQPGGGKPSALGK FELSHAMRNAVDIFQKLELRHAKRVAAVLERERRRKKAERRYYVFLGETAALKQMEKE FTALEQKTLLDAAKARDERTNKLMDIFDDTSMRGIGENQRLLDDISAKINKFDTDLIR STPSIVPTGGVKTLQNTLAFVKFLGANSESVLESFGVADRLLNNADYDVSVAEAKVAD SSADIFRRLEEEKKKEDKKIEDDLQSRMSSIRTSHRAIIMNIEEVLECVEQAVASSEG SGAGASLTPPSGPSSRPQTTSSPGGIGSSNTPPALGVGVPTPHAANTLTAEEQQQERL KKALEEAKRRNAEKGHV TRV_00767 MNIFVESFCFFLPLLPSLTRPEDVVEGGQVEIDIMTTGVVPPHL AAAPYWSVIDAMTLCQMRSRFELPTIAWNCMKRGFYLPPTPTI TRV_00768 MTSPSQHAEEEQNQRQSSNPQSARRTPEITVVDGTRESTPVRTR RSSKPAPILQESQSRRSPSSAPDSFGNGENPPTLMNSSTVASPGPIEEPNPLEDKSQE RDEIEEGGNKSFSYPVPMPTAGSVNDPRRGMSLPHSGLNKSGARSPSSKKHRCPYCAT EFTRHHNLKSHLLTHSQEKPYVCQTCQSRFRRLHDLKRHTKLHTGERPHICLKCGRRF ARGDALARHNKGPGGCAGRRSSMGSFAGEDEFGDGNAGTGPVGEDAMEGLMYTEPDRM DEEEEMRLNLPSIKKDGGSDQSQPGSARHISFQQSHQSSTYPPLAAPRSQQNLSTSSG GLFPPASNHQRSNSTASSISQSPSHLSFPPGPSSPSMFAQSGMTESPKPLSPSGAASR QLGHGPENSFRQTHPSNMPQYQSQMRNGSTGLGIPFSSVSSPGDSLSHPGSQAGTPHL PPPLGLNPSDSRFPLHSQQQQGPHHPGRAGSHPPLQHPHHPPAPSSSIDSNTAPSSGT GSGNSSYTSAPSSEGFNPNGSLANISGASYFTHSPHQLGSGDQPTRQLSIPSPNPNLP STDRIWGYMRALEDRVNGLESEVVRLRGQLSNITSSSEKPQDKNTGSSGKAETLPPNP NILPTPVSTAAVPTTTAAIAQTTASGATSGEKTAKTPNPGGP TRV_00769 MVLTAARAFNPEHLTRSETQLPSYVETADPRRPRQTYADVPPEG SPGHPANGNNAPTEPRGSGIAQPVSAETGSTSFRTAAAEAGSSRNFWPTPSAGSSMSL PNIPGTAGRVESSVDITYPLRSASADARGPRDVQLASTEAGGSRGFGNTPTTAGNLRV VNSLQTTPVEAGSSRDTQSSPAEAGDSSRFQSNSATAGSSRDVNTLQTTPAEAGSSRD IQPAPNETGNPENTDQSAQQRRPEEGSNPQTEPDPQHPSRGVPTGWLDSLFVVHLPLV HTCFGNQRRMHRVRRTIYPSYVSVGMVRQLPRLQTQRHDRFEWLFTVSARPQSRFLFP RWLKNLYIGMPHLIKDEPGEFMRMLTWRRFNPGIPRKRSLIRW TRV_00770 MSLNLTAAHSSRIKKPTSASRQKTPFASFRRAKAASTPTALHSK GKQLEANLHQTEERSRSASRHSTSSSTTGGDVLFDEALPDLGPSRAITESIAISSVTQ AIQHIQSTMFSAIPERRSGMNSTRIAQIMAFRKSLPPIVSVAHVHVLLDDPTKVEREI VQLVDSAVVRRLLVTGRGDGTYGLGDCLVLLDDWEKLVRNSTSLDDVLKGEILPSFLS DIYSCIMSNSCIDRFIEALRKETKSPAVPAGFFSAEETAALVRSGFLVTASSHSKSGY INVGRSTTSPSANRAVHSSSERGSTMILSLPNMGPYLRLLGSARTQILDTLRKSRYSE APLYLIRDRWDGSIELSSRVSIAKHIRGEFAGVLPGRTNKWKQLHGLNFQWALEEALA VLDKYSHAAPISTVIPQFIYSNEYATPVRLTCGHDSAFLNIYKSSTIRHSREALSLLP LCLPDVLRSGGSFWLGLLLFPSQQRNRRKKKTFGFNVFLPFLLFSLLGPRSLINIGVY IYIYCYRYFTDQPDSRLIAPVLRFITPFAYGTFPPPPPDSDEQGDSNIPEQGDVANTS QPTNSSAAQPQPRGDGEATEHASGPQAGISNSSQAGPSTAATEPSAEVVAQVDVTSPV PVESSTEAMGRPSEPIDPIGTSSTQAETSPAAPDRPIKPVAEAGVTNLAPVEPPTESI ERPSERLVLTGITNPTQPETSIVATEHHLEPIRQVENTNPAPAESPRATESLSEPVAH ISTSNPAQTEISAGPHQPTETRATQTEHSVAGGRSQTVSAPSFLASGGIEAPTDRSSR QSEPEERRGRARYRGEQPQRFHTPAAGPGLAITGTHGEFARLDPGDEPRPHQGVLPDY AHPRPITAAQLPPCAAFRTSYNVTAPEFDAFLQKALDDAFRALCQRGPSTRRGERYPR PRRSPGCKAKCYFAHHHVVRDLDPGWLDELRDHRDEIPRDAEEDWFGRKSEHKDRASR GNATFEDMRQYWKNRHTTHLPEYVPGIKVTNIHTYDCEDVQLVNWRDVTAESEYKPKR NTSHPLLARLC TRV_00771 MTIFIVSLALFADRASFLPHTIDFALPEGHQRKASHHHRRKSSG QRATLFGRLPGSQTATPTSASASTSASTSTATTATTSAAASNHASGSAVAGRRPSSLR DQGRMFSCPDYSSSGIEVTSQQPRLLAPSDPHSPRWGSPGAFNQPKAQALAPPSSSIL NHALGQESASELSRTEPTVLPPSPVRPSIEPGSQPNQESVFESAEWTVQPSEQGNGGL LNAIRSAVDWPYMKDKLWVGTLGMPTDALHDKQKEAIAEKLAADYEALTIFVSDNDFD SHYLHFCKMILWPVFHYQIPDNPKSKAYEDHSWIFYRRVNYNFAKELIKNWKRGDVIW VHDYHLLLVPAMVRKEIPDAQIGIFLHVAFPSSEVFRCLSVRVELLKGMLGANLIGFQ TQEYCHHFLQTCSRLLNVEATKDGVQLEDRFVNVGTFPIGIDPVSLDIRRQCGEVKSW INILQEKFKGKRLIVARDKLDNVRGVRQKLLAYELFLNKYPHWRDSVVLIQVASTTTE QPELEASVSDIATRINSVHSNLAHQPLIFHKQDLLFDQYLALISVADVFMVTSLREGM NLTSHEFIHCQDGLLSSSKHGPLILSEFTGSASIFNYHPLLVNPWNYQECADAINTAL EMSPETRLAQWTRLNTTAKDHVTTRWVNNFTEALDRAYRQHSTRETVAVPRLSIPNLC NAYRTSSRRLLILDYEGTLVSWGQPTSTVLTTPQRAIDTLTDLLEDPLNTVYVMSSRM PEDMERLFSRVPGLGLIAENGCFVRPPPMLSPTPSPPTTGTFVTSNWIRLIDMTKMND WKLSLSPMLRYFQERTEGSWIEETHASLIFHYERAEDSQSAERHASECANNVNDSCGN HSLRAIQMDGCVVAGPVEPNKGTAANVVFSTYTRRESRRSSSAGSASSVKQGMAIEWK SETEDNDEDVPDFILVIGDGRDDEPAFRWANELGEEKKVKNVMTVTLGFKNTEAMATL NQGVTGKLVDISQRRDSASLAQKLSYIPASNGE TRV_00772 MATTQAQPAASFAEAIAITPLSSHTYSANLRTEWCIGTVPHGGY VTSIFYAVARKHMKTTHPTYHKGAPDPITVYLAFIRRTNIGSALFTVVDTKLGSRTST LHITLSQQDPDGNRREEVAGYITVSHIASEEGPSIERAFALTPPAPMVDLKQLASTGR SGEWNGFKVAFGSMRKASRHVEFYAPSTSAMVSRGFVEQWARFAPYGKVERWTDETLG FLADMFPMMLESFDDKPWDKRSKEEKEKEKYAVGKNGKLSPKGKFWYPTILLNLDVKK KLPAEGAEWLYSRVQTKKLHNGRMDLDVIIMDEKGEVVALSNHVALVIGAERNLSARK GSGKPNGESKI TRV_00773 MTIDRPPYTEHWNSIAGELANFTEYWVYIFQLAELHRPSGCSDV DIQLHPRHIQPRLLRLRQPNKLVSRLKTRETEPSMTAPKPYTVPDATKAAFQANNWTS TLLKNNAYQPIETYCRKPKPQTGEDAFIATIINTPTTIPHLLTLQRKPGQLAKVPSGA PSLPAPPDQWPKIPSNQPADVVNLLYFGERDLNGHPGVVHGGIISTLLDELMAISVAA HIPDFDFADTSKFGQLFTMQLDVRFKRPVRTGAHAVLRTWCIGNEGRKWWVRAQLVQE ENPIKPNGEKVEWVKKKYVCADAVGFFILVKDSKL TRV_00774 MLRFQGDRAPPALLSPLFPSDLARASSHEPAIEHSDWPCRVLPG TNEAQSQSVVKPDPILCTFGEPPFAAREATAVSQTLFPPARALALLLIGINSPDKQKK QKGLGSLYKIRGRQKSRALFLFRQSAILFLLLVFFIFFPSSLLACLFIFFVFTSFTSI FSPSTLRLPLRLRLFFSTDLLCYGQFTTAATMLFSSLVVLPVLASTVTALGSNYTVPP DFNAGAISPEEKANWCEAEANTCNDVCGSYLINRCDPVKLYSLLFLYFYSRVSTLEFS CQCQNGTVPQIDQYKNSLPWFICQATFSQCIKAHPDDADGQQVCKDNQEKCGKLDATP PGDSSTTSASSEPTASSTGSSPSATTTGPAPTHTNAAAIMAAKDYSLGIFATVVLGVF GILL TRV_00776 MATNTLLIEGSFDELADELARYIDTIRKNVSAASATPSAEVTSV HAEIAQLLEKLREKEQSEEELTEEQTKEIQNERTEVLKKLVLAAPVLNGAPEKEITAA YNLLVYLVRQSSSVDMFLPKICAFLAKPMPSSPLHGPSIALSILATIFNTLDADDSSR YHVFLAIMA TRV_00697 SLFSHPSLSSSTSISSHFSPFPPTSSSLAPPIADSSSPFPSPDH FAAFFPDHTTYLAQDQYPLSTGQNILDLSSQSWELYDDPLQALLSTEELDLSNSQSQI LTPSIDHSPIQTPVSKNGSLHSMKDSDPYLLDIHIAGDAGDSFRDVNNVPTITTRNSA RTPLSRPEFSSTTVPEIGLSPESSSSSTTKSNSNRKRPRVDDDSRSTEEIANEKRHRN TMAARRFRKRKEDRICSLEKQLADALRERDELKLQVARLEGQNMMLRTCQDNKR TRV_00698 MTRYPTAQIWTRGIRFIQVSRTLSPTDPEHSVSPTNWSKKRHRN PNSSRKRALSEAGSNVAGSEQSTTYYTWSKTNSKISSSDPKEPCQTGGISRQLRRSIS AGSSQMNSKVQDMLFHNAYVSNTFEHTETHHSPKSYSLEGLLRKAAETSPIPYQTNIP PSSHPPSAACLQAQPHHNQHSNSRETLTQEPSWRQCYRSGRASKGGANILLSPRFPEE LLPSSKDTEHKRRGSLGKINRPWPSVEDIPRPAIYGFPSGCDYTSYLTIPRLRQYPSR RGSYPSDCEAAVDNVQRSLNERSQKRLTCQSSNLTLAPGPSTPLKVPSLQLPSYSSTF SRQYRLYGSPPPFNLPKNPPSETIDLDTLSSRRDSSIHLLDGETQSLRTPSEPDRDFL CTPPSRLRTLSQSQSTDAATPGDQNIQTDIEDPNFEPSHFWKPNKLY TRV_00699 MPIKISHLADLAPPPQERLWHSCPHPQLPIVATCGADKIIRIYS LLNFTLISSITGGHKRSVRCSAWKPGSGEEIVLATASFDATVGIWKRWERLQPTSTSV SQDPEADDDGEDVLSATGDESEDKDDWTFAVVLDGHDSEVKAVSWSSSGSLLATCSRD KSIWIWEDLEDGESNFETIAVLQDHQGDVKCVSWHPDEDRLASGGYDNTVRLWKEDID DWSQVACLNGHEGTVWSVDWERSAPSGTSTNDIPGMSSISRCEETLQPRTDTGRHEPR LVSCSDDKTVRIWKRLSDPVISTPQTLDNSIRGTIRPNSIEEMWVQENILPNEHDMSI YSVAWSKVTGLLASTGADGKIVIYQERRKLDRHSGGELGVNAAESQRDTTLTDEQQHP GKCSKETEWCILSTIEAAHGVNEINHICWATRGGLKADSPIQEILLTTGDNGIVKAWI LKTG TRV_00700 MDNGHFLDDILGLEDEFYAEGYRLGTLDGTKAGFNEGSVFAIEK TFEKFQVIGKLYGKGIIWAKRLPNQPEIVKSSTVQPPHTGSDETRDSVLLSGDAKLGE LEAHPIPAQDLPALPSNPRLEKHIATFLSLVNPLTLSMENNEEAVADFDNRLKRAAAK ARIIERMLGEPSEHTAERSAGASNIEDINSLPVHLTGSQQTRNSAPVVQL TRV_00701 MEPTAKILPQQGKRNILITSALPYVNNVPHLGNIVGSVLSADVF SRYNKACGRPTLYVCGTDEYGTATETKALEEGVTPEELCSKYNKIHQEVYSWFNIGFD IFGRTPTKAHTEISQSIFKRLYEHGYLEEKTTEQPFCEKHDSFLADRFVEGECPRCHY DDARGDQCDKCQHLLDPFDLINPRCKVDGATPIRRKTKHIFLLLDKLQPDIENWAATA FEKGDWPKNSRVITGSWLKEGLQPRGITRDLKWGVPVPLDGFERKVLYVWFEACIGYP SITANYTSEWEKWWRNPEDVQLYQFLGKDNVPFHAVIFPGCQLGTKDKWTMLHHLSTT EYLNYEGGKFSKSRGIGVFGNNAKETGVSSDVWRYYLLKNRPETGDTQFEWKSFIDSN NGELLAKLGNLVNRVVKLVASPKAYSSVIPEFTVSEPFYPALEEVTSLLRQYINEMEG VHLRAGIVTAMKIAEAGNGLIQANKLDNSLIANEPERAAAVVGIVVNLIHLCSSVFCP YLPATSASILDQLNAPAGLIPSLDDIKDGWKPTAIKPGHRVGKAKYLFSNIDVKKADE WREMFGGSQAERQKKEEEKAKQAAKKAAKTKKKQQKSKTNEATSSEGPVEASTKDGAD AVAASTGDKAVEEVAEGVSQVTLPTS TRV_00702 MTGLFGNISSNTTAATSTTTGDISKDVALVAPPEDSISDLAFSS QSDHLAVASWDKKVRIYEINEQGMSEGKAMFEHQAPVLNCCWSPDGSKVVGVGVDKAA RMLDLQGNPTTPVQVAAHDAPIRSCCMIQNPGNSAQPLLVTGSWDKTVKYWDLRQSTP IGTLQCQERVYSMDVSKTLLVVATADRYINIIDLNQPTNIYKVMQSPLKWQTRVVSCF TDGTGFAIGSIEGRCAIQYVEEKDSSSNFSFKCHRETPQGQSNVSNVYSVNSIAFHPQ HGTFSTAGSDGTFHFWDKDAKHRLKGYPSVGGTISTSTFNRNGNIFAYAVSYDWSKGY TGNTPQTLNKVMMHPVTPEEVKPRATSANARRNR TRV_00703 MATLTKVDSAVAGLPPSAESKKKETTKKGANPDVMNIKDLALLM FLCFNRAEEKGIELQIAIETQKLNWKLNTSPSSLEDKDALKKFLTTPPVKKIDLHFPL GLEVTARNLKGVTIKDALDAIYKQFRKKADDELDNPILAGFEWDKEESWTRLIVHQKK EGAPPPKKSKKKGAAAE TRV_00704 MVAKHRDILFACVGGFVAWGVITSWYPLIRFIGYSFLLGAAATL TSLVVILIISVKRPCYGSGSSRLCGQPVAFLSPDNWKQDLEDYKDNTSYQPTPLYPQS FIVSAALDELLNLIRRDFIETWYQHISSNPGFADEIDSIVRETVGRIRDWLSKEDFVE IFVARIVPIFTSHLKDVDLAERAVRGRNLNHGVTESEELEIAIAARYREGNLHPAAAR SSPDVAHVQQEHLRKIVVALLPTILPESQANSRAVLVLIREIIACAILFPLIGVLADP DTWNQLMEAYGRTALQDRKTVRRLREALDQHALPLAKSKQGLMFPKLGPNDSEREFER FVRGIRRCNNLSDARRFRNNVASQLKRETMFDGHDPIYIRRLETAKRALDQKVAKLST PNGAGNAHPSMSDQAYQNAPRPQDISLVDVLHNAAGLSYFMEYMDRLGLMSLVQFWLV VDGFRNPLENDVGDDLTSAPSSWTTADRNDMILISENHLSKPELKVDEESQKTVKSFI LAGKRATPEQYWKARKAVLAAQSTVLESMKSKYYPGFKKSDLYYKYLASDEAAATTSI ASPYKQPNKPTLARSHTSGNYPPSGVSSPMLRTDSQSSTKQELRRKHSLFSSETLDTG RPADPDHLPLFGDDVEPENLESSTYSLGKDSQSGEAEVQEKKILENMEAALNDIISDT PNDFKADGPRSSLPSPSPRASIDMGRMDGQAAEKGKPSISSLGLVNTSSRIGVFTDDD LFPDQEKFIEDEYADPDVPEPDIPIEEEIHEAAPGDLGLTEAISALTADIEKLVSQES VIDSLTRKAELTNNVAELRILGKSKSSLRREIRRKEMQRQQYIVQESDNSLYGRSTVQ IPSILLDKEPDGREYALYVIEVKRQAGEQMPVASWAVPRRYSEFHELHQRLRARYPSV RNLEFPRRRMVMKLHKDVLNKRRVALEAYLKQILLLPDVCGSHDLRAFLSQRAIAPTR EESGDGETRDIVTRLYNSVADGMDDFLGNIAVLDQLSTAGQNLISAATNQLSASQAAG LGLDEATTSAEAEAELNAFEDRELEPFIKPICDIFLEIFELTRGNNWLRGRAAVVVLH QLLGGTIERKVRENVKSLLQDSSLLHYIGLIRDTMWPDGKLCGVKVRTSSEKMKSRTE AGVMLATLIPEMAAGVAGRANAQAAARRIFSTLNNPRLNLHLVYTILDEILLILNGR TRV_00705 MSRAQKELQPWREIGLGVCLLSNKRRKRLAGRLLSPSLVFSLVL FGPVEGGEVLYREQGGGGVAQKRNEPSDSGHCSADYGVLRPRPGDGDTTAGGLAATAG DLLTSAARSRAYLARPPETPREAKKATSQEDERSPVLRSSVLCSLLSALCSLLSAAGL QVHAARQPADQATLPPSRTDTMACPDDVDAHSTAPAPVSIPGDRPQDIEMAADDSAAR HALPPRLAARFNRVSATYRRASLPSISRRNSASSIHSNLTVHGAPHGDPMAPHIRRNL ILESRKARLADRAAHVEKVRLRAALAKNGTKTAAIKEERARAAQKTRERLLADITAKC EEEVRRAKKKAEDTEERKAAQRARLRLEMVEKFAEAERRRQLYQETPRRRRTSSIPTV PAAPAAEGIRVTSPGGGHTKPAAIRLTPSAAAHVIQRVWRNHRSRVLVGKYLGLNLTL ERIGLLGFERTGALVASETTLDVTAAMLRLCGLQDTQGGALGERGAVRIFLSSYVIAA FPEHVLSSDGEQEQDLIAKARELLVIFQNLLDKIAVRGLTNVSLSPNMLSLSEAYNMF VSAFHAWKSRDSTVLIEIMVAQFTELELIWQTVKNDRAGGVADDYQQGIRYNQTLLLA RLKRLVGSEKAMKLIKKSLKKAKSTKQANVATENTIPRAVDKPSASAEALTESSKPPF EEMLHYATANLPESRLEEESISPQDRLTRVLTALPNNRTLVHELLINRDYRIEQDHYT RVRRQIMNHVCDIMRREVAAGYGTKWTVALATVIQDRLLRFLKQGNSLHNLITEVLDP AHIEKQCNSGTFSYDTFFDFMGNILSKLCAPFRDAVVEEFAKDKSGDEIDRLSRLMGI IDLLSLDHTNFMLQVVSPQLIEEAPQYEQRMFERDLQNGSITLDSTREFWKSNLSMFG ATDSQPIMGKIYAQGMVDLVLSNTITSQRRIPETLHADYARLGQLRSQGFKIAATASI LLTAKNLLKRDVRSQWKSEADRILSLDWHDIKAERIQSIIDSTHPMPTAARSQLLSTI KRVVGPALVAANAASALAPPSLSVTTTFQSFTVRPSPSPSDAGSDASGNPASFTDPVA RLMLTRLRSHILARLSATNTRERVKMTTTASQGLASAGMPEFVSEVGKIVETLDKVRE VDWLCHGLVHEKIWHEISSSA TRV_00706 MLTDSLNAGVKSFEVSLDAQTAQVITEPSVSYEDVLAVIKKTGK AVTKGEADGVEMAV TRV_00707 MVRHGPDLESLVVVYQSFHPEFIFLSYIVSFVGCATCLELLHRR TARIGLYNWYLLITAAVCMGGIGIWCMHFIGNCAIRLHRGDLQYQISYSSSFTVTSFF LPIVVLLFAFYFIGASERAGYWYIIATGTLTGLAVCGMHYVGQLGIANYSCTYHVGNV VGAAVIAICASITALGVFFRLRASWTDNWWKRLFCALILASAVSGMHWTAAVGTIYRV SNADGTSVQLFSSSQAVIICCTLSLSSCFFLICLAVVAGRQRKRMAKRVQQLSLACAY FDSSGSVMVAPDGSIPTHKITNHYVERSFADDEFNRVHPAFLWAFRASRNWAHLKQFI RGMNYNIKSDESMKQYFPGSTTTPDTGDTNINFELIFKQLFCIAAQGLSDQLHLPLEK LGVLYDDVVLTGTQSTKPSKYRAGDPEAAPTPTTIVGKGQCIFIVRQLSKQETIDLSM SGYRFAAPNHISGALARLMQVEPRDMLDNLTRMRDYSAPEKMLDPGVYLVGFSLYPSV WTGFDVLVYDDAPNLLPNVRLPIESVTQQHLDILSRMDGWPLSLCLKWLKANGGFEDP EAQTFCQAVYGAASNLSASVDSPTFGQAKFSSRQINIPCRSPGHAEATGQCTAFSFHI INGLLTRTTPPHLRLTPLRLFNVQQQVYPGIPDHAVFQDNLRDEFGHCLYDDDLKGRR SPIPPSTAQSMSLRANSLIHPGDDQKKSNRHSGNRQSDTWRPTNGGIMIRNQITVDVS QKEEPKPSSAGAFEMQSVGATVEAGYVKDDNETFVDELCAICRKAAAEQDRNS TRV_00708 MAVLLKRYRAGPKLAPRQSLETDRREEQEAEERDAQEGGQRKEE EEEERQKPEGRAGWNQGMQVSLAR TRV_00709 MALNAGSRLTAHGLTSPSRPQETEKSNIPVQRTRGKHPNPTDSQ RGAAPAQKRSSTPRRSLRAYPMKTTGDDDDAAAAGPGEAEAKLFAEGVGTNVEGLRAK QSSRRDAKTRTRYRGRQRTDGVGVGVGVGDGDGDGDGDGEPNDVNGGRWRLGAALELG DAAVHPSLAMALLPSRRAAGLAGWLPSYRCQHPAHSGLFFAVLRLSRVSSEYLVAEWR AEQVERRPPVLALFLGLGAALSLGDLTARIARFEKIGFWFFCLLSSLVTLSQFMQPDA TAQPWSNRQRRLVSYRESSSSDDDLEDDDDDDVFM TRV_00710 MRPRQTFPKAAVRKHKIILESITQEKKKLRIAISFETKPPPGYT FIPAGNPEFTNGCKELCRKDGYKVYTVSTTPHQRVHDLSQHVHRIGYHFPSVVVASIC MERGFFLSSSGRVVAYQNQRPVQIQGNKSASSEQSQETINAEARDAIKDLFPNIPTKD LNQIVKTAFKKEARASVEEPTLAKLVLWRGDDENGTTVLEDVFREVIVISDDEDDERD GEEQAVELPLPPPQPRPHHDIPRESMELVSRNTTERQIPLNYGTPRDSHFVTRSFAPQ NSKQNPSTPSVITIDTPSQHSERRTNDHRGFRRYEAWDRARTRYSHLVNGAVTTPAVP EQRSPRYSQAEPRQGFPSRGEDHRVRLSPSMDCSVIRATDSRTRRDVITDHAQLANPY TPRRITALKDTEPEARLSYPPSGLAHSGLSSAPPQSQTGSRLESRDYPIIPSIESPMA PISRPERPDSIPRNSPRLTMMMPGSPASQHMRPTENGPPPSQLDKHARQQERHWDGSR YSRVVGTPQRLLPATVRNEIPSYNNHVSHLDDPSWSPLSGGQLHQHPQSQPLQSLENM TRSPLLDRPRVVRVAQPGQANPEQRYVHHHVGEHHNRERGVVSSSSHQSAMAVANPAD APRRIASRYPYPDNPAIVIRQRHSLNPRLVAYPTDTDKRLAQVRVYDRPVETRTSVVC NYKGQSNEEARRPDSSLLIYLSFYSTLWRSSLRYSFLFDPPCLVSLVISVLTKLYLVK IKQTQMEDGRHHHHHRHHHPAGMTPVENREPALTQGDSSTNRYGYAYVEKQPYTYIVD GDRPPRPAPEPTAAAGSSYPSAASAFRSYRSPPPPTSIRSSESSAMTSTLTRTWTAPE LGPSNGPIRSQQPYTHRPFL TRV_00711 MGLEVLSALGEAERIGDPKPLEPKSGDEMGGQSTTLSSNEFYNA PQPHNPPQHFQNAQRSRPSGMASNANIFSIEALSPFANNRWTIKARCTHKSNIKTWKN TFGEGKLFSVNLLDDSGEIRATAFKDQCDLLYPIFEEGAVYYISSPCTVKMAKKEFSN VNNDYELTFDRDTVVEKAEDQNDVPQIRFNFTSLGNLQSIDKGTTIDVLGILKDVDAT SQVTSKTTGKPYDKRELTLVDNSGFSVRLTVWGNTATNFDTPPESVVAFKGVKVSDFG GRTLSLLSSGTVTVDPDIEEAHRLKGWYDAQGKSNSFTAYSSGATGGGSGSWPTFKTI SEIRDEELPSADSFEAFSLKATVIHVKDNLCYPACPNEACKNKKVTRGDLDQWHCERC ERSYANPKYRYILSLNACDHTGQIWLSCFDEAGQMIFGMTADELMKIKEEDDAAANEI TKGATYCTWNFKCRAKLDTYQEQQR TRV_00694 MGSRFFFWSSCLLLSSKVEDEDEDEDQRDRHGQGKERLQRQRLF KSVSLFLYLCYSLPSSVSCYIFSCKSSFNPSSRPGHQKSRRETREKRQEDKKDKKGGF WVVRRFGKEEKSVRKEEKGKKRKRKREREREE TRV_00695 MAASSVSAKKSTPEMPVFSYAQAAKGLASDSNKQTPAPPSVEET TATATTTANTSTTTMVDADDAGKAVSASPSLGLSASTASTLVKEDDLSTTTPNGTTTA TASESEWEKQSQASTAADNKDKSAGSASSTAPLADDDKPKSEASWENTTTTTTNTQKE QKEQKEQKELKAAPPPAVNVWQQRQEALEAKAKANALKPAATTTATSTSTTTTTPTTN TTTTKSTSTQTSSGDVRPEHYKGGSRKKADPATSDPRDKKKASDGSRKSARPARQDGE SLPPVNDASSWPTPQTAQGEDLRKAQDQADKPERPEKEKPAGSRTHGKEKWMPVPYVP TAVFNTPLPPAARRGGRSGGPRGGAREGGSRGGAHAGGDRGAATNTSASSKPSSAGDR EKGDATESAHKSTTTAADASLKNGDSAAPAEATKDNKPAQNSINTVNATSSDPTSSSQ SPTRPHHSKPYHKSHENSSSSYKNTHADHQHASRQANSDSHAGGHSRYANHDRRFDMG ARSGEFFKDYQPRGDKDFSKDREYSREYTRESRSERGGRGGYRGGRGGHSNYNNNNNT NNTNNNTTAYTTQTSSASASSSYHSAPIPQHPFPSSKSYNNFSDRHRIQPSANGTQQQ QQQQQQQPHSSTATNTRMNMRSPSMPNPGMFPAPYPIQTDMNILYPYPHALPAGPMTA MSYQPYMEHYSLMGTISMQLEYYFSVDNLCKDLFLRRHMDSQGYVLLSVIASFKRIKS LTEDMELLRFVCRQLRNVEYRPGEDGVDRLRKREEWAQWVLSMDERDPSARNEGPSSA TAQEYIPQQHQQQQVHMNGHAHAHAHAHVHGNGTYVNTNYVPADNHSAEEPKRPAKLS SAAPEFSPLSANGHAPSFEQGTSTPVDAQGHGQVQPADGSNFVAVVR TRV_00696 RHKSHQLDSRSQPIESTTAITMANPYILASDNSPALLPLLRADP ALAARQDEHGYSLLHAAASYNHLDLLRTLINEFKVDVNLTDEDGETCLFVAESVEVAR YLVEEAGIDKSKTNDEGLTAAQAITNDGSFPLVAAYLNDIILGEAKGELPPNVKVSFG TTEVNEGDGEGEGDGDADGVVVDAALRARIEELAARGNFHSEEGQRELRGLVTDALRG SGPESAGDGERDTKRRG TRV_00690 MLKVINNAGLDPDAGKARPDQIMLPHDPTFIPELMLPGLNVDLS ALDMGLEWVSPRKSSLVSSYVPKSSATNWSPAAQLQLNLSSSDIGGFQAGEGLGYISD MSSARKEPQVELPPYFGDEAGILLQPDFEFDGEGNIIELSPKKAAQPRESEVQVGDDR LSTLRNTGVDLLVDDHQPDGGIVMNDIDASQREGMGSLPVSDGIQPRGQVTDPDYSNV PQVSSETGEVRVPFRRRKLPRMFGIDEPAELRNSDLAQWNSEYAENMAAAARAKVQNR LITIAKKNAAFWVLGSGIGAVGAGLGAGAVLHPLAAFSGDQLLASLTGAPCKERRRKR NHEGKDEDADAAVVGKKARTMQDDEPELGLGEAVEVDEGPGLVVEDIEVGRQAPSSLR DDDQSNMPWNITASIRSKSSAYSRQIFASGGVFSSIGGPRSVVSPGPDGLPSASNAAA LAAFRRGRLRSPSPLAGRGGMTNVHLPSDQDIGVDMFGGIDGGEGDGQPGEQLDHDER GSVQRPKWLNSSSLDQETINFYEYLQIRIEEEDGEWKAG TRV_00691 MCYNSDYYNGCVFSLATPLLSPPPPLSRPVFPSLKGKLQLCAVQ PVYVYHYYAAGAAKLRAEIGWLFFAGEEAKKEKKVHPSLFLPVSNKYQTSQTDEDEDE DEDRRPTALLLSRSSRRRDETPKEKTRLTVQHCSAPHLSTRSTVVQLLSNIGSKREVQ QYLSHFTSVSSQQFAVIKVGGAIITEHLQTLSSALAFLNHVGLYPIVVHGAGPQLNKM LEDAGVEPHFEDGIRVTDGKTLALARSLFMEENLKLVEELERLGVRARPLTTGVFSAD YLDKDKYNLVGKINGVNKKPIEAAIEAGCLPILTSMAETPEGQVLNVNADVAAGELAR ELQPLKIVYLAEKGGLFNGDTGEKISAINLDEEYDHLMKQWWVRHGTRLKIKEMKELL MDLPRTSSVAIIHPADLQKELFTDTGAGTLIRRGNKVQTRNSIEEFEDIEALKNALVR DQEALDARATVDRYVDSLRGKEIKAYSDEPLEALAIVLPPKPGSTLAHLATMSITKTG WLTHVADNVFTSIQKDFPKLTWTVKEDDENLSWFFDKADGSLCRDGEVLFWSGVKEAD EVKDLVVEFSKNGRDMLGNLSMESSLNSSAAGGSNPSATVQQKRAFSTAATTASALRS LRQQQQYTRPGFSRSYTTQTNPNPPLGKKNASNTKPSKVALIGARGYTGQALISLLSA HPYMDLAHVSSRELAGKPLQGYNKREIIYENLSPDDVKRMAEQGDVDCWVMAMPNGVC KPFVDAVDSVDKEKAVIVDLSADYRFDDSWTYGLPELVNRSTIAKATRIANPGCYATA AQVGIAPLVPYLGGQPTVFGVSGYSGAGTKPSLKNDVNYLTNNIVPYSLTDHIHEREI GSQLGAEVAFIPHVAVWFQGIHHTINIPLKEAMASRDIRNLYQDRYAGEKLVKVVGEA PLVKNISGRHGIEVGGFAVHSSGKRVIVCATIDNLLKGAATQCLRTFIP TRV_00692 MADREQPYDPYIPSQSKPGQDGPTSGNQRTAALQAQIDDTVDVM RENINKVSQRGEHLDSLQDKTDNLAVSAQGFRRGANRVRKQMWWKDVKMRIWLIIGII VLLIIIIVPAGASSILPPSFLTTAC TRV_00693 KRSLKKKRKKQKRKKTTTTNKQTKRQREKKDEDDDNNNDEEAKT KRQEEEEEEEEGHPAVVYERPLWHRQLRLVDLRALVLATAARRCQRSQQLPD TRV_00665 MASALKKFGEKILGDSKQLKKLFKEITPGGRILPTRTSKKDAEY QCRLDMGEEITGKPGYYNVYLQVNTQAKSEGLKNWLRKNPHGNLATTQINKNVLESEQ DNEGRRVVEDLIEMGRRNL TRV_00666 MPFLIFTDIYFRPIIPESFSANQPKTIRLYPLSNYTFGTKETQP EEDPSVLARLKRLEEHYEQYGMRRTCEGVLVCHEHNHPHVLMLQIANAFFKLPGDYLQ HSDDEIEGFKARLNERLAPVGSQFTGEGVNEDWEVGDTLAQWWRPNFETFMYPFLPGH VTRPKECKKLYFLQLPKKKVLSVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLSRY NFEFVDENDNVVAVTPGHQASNGVHPKSKVLAGGEDTANGQDIGMDEFAPGDQE TRV_00667 MLLIGLTGSIATGKSTVSSLLSSPPYNIPIIDADVLARKVVEPG TAGYRAIVDYFEPTTPDLLLPEEQPGKGRPLNRPVLGRRVFGDSPERKKDRSVLNGIV HPAVRWEMYRALLWYYIHGHWAVVLDVPLLFESGLDALCGTVIVVGVKDPAVQMQRLR ARDPHLSAEDAENRVRSQGDVQGKVERAEFRGTENARGVIVWNDGDKSELEVEVRRAI THISSTSPQWWAWVLLLVPPLGVGVAAWNLARNYREKARWEEKQRSDKAKL TRV_00668 MLSSTRSRLCLFAAQSSRYVQRATYTSTVPRLSENTIPTNNPNP QKPVTSISETNAREPASMQETVEHAQKQLSMQAPNRATTWAKNQQERSKAMAGPRFEQ TIIDFQVSQLIMGVFRGCIWNNEEILCQLGTLHVLLMNCGLIGDKTYSLNLMPPSI TRV_00669 MSFSSSPPESPFYTLSYPEQGVLLATINRPRHMNSIPFQGHWDF EKLWTWFENEGSMQVAIITGAGDKAFCAGQDLIEIEQNAINPPPEPYLTGHPRSGFAG ISQRRGKKPIIAAVNGFAFGGGFEICLNCDMVVASPKARFSLPEAKRGVYAAAGGLAR LMRIVGLQIASEIAMTGRVISPEEGKAWQFVNRISKTHESLIEETLELAREISQLSPD ALIITKAGLREAWETGNVEVAVGNIRAQYDRKLYEGENLAEGKAECFRTSRFVDSFAN WCSS TRV_00670 MVSQKKRLYVALYPSGVTNNAERKYHWAFLVGPKVEDAEEVPGI RYHVKNTMLNVWEYEEVKLRNVKNTINLLARIMIGKIEDEARLVKIFQEVPLVQNDEN WRCRTWVKNALAAIENDGKAVGTSILDWEKIEAKAREYVGEKTAAGRYKNIDDLKLPK PTWDMIDNKEKLP TRV_00671 MLTGTDHTGSEELAIKSTRIRRFLTLLALKTTAKFFKRDGYCIP ISKHKIVKTGAWVHLTEAATMQFVAENTSIPVPKVHCAFVHKGRAYIVMERIRSPSIS SNIQPEIFSQLKDILQELRALKPPPGTGVHSFAGGSLYDSRIARCQPRFGPFKTIHDF HYWLRDGFEPPEDRPKQIEPDEWEDLKEMVEMQDGPWPEPVFTHGDLNPSNILVREGK VVGIIDWEFAGWYPPYWEYTAAFNVMLTNTQWQGLIGKFLDPYPEQLKMEKTRQRWWG EI TRV_00672 MEAQRQVRGLGSNNPDIPHPASITRPMVSEEWDYVSPSGYRVSE HMINEPFPGKESFKIIMAGCGPAGIDFLHHAVKEFGAEGDSGVEFICYEKNHDVGGTW LENRYPGCACDVPSASYQFPWRPNPDWTKYYSPSKEIWECFKGIVEEEGMMKYIRLRT SVIRAEWDEDRSKWLITVQERDTTDAVVNTWVEECDLFLTGTGILKQSAWKWPDIPGL TSFKGKLFHTAQYEEGYNLRAKRVAVIGSGSSGVQTVAAVYNDADRLYTWVRTPTWIT AGFGPKYAGKGGSNFSYDEEQKKLWRDDPEKYRTYRKMIEDELNSRFRFVLRNSKESD DANEFSYKEMTSKLGDNQRLKDTIIPTNFNVGCRRATPGNGYLEALVGEKTTCYTEAI KQITEKGFLDPDGKEVEVDVIICATGFDTSFRPRFPIIGLDKKDLATRWAREPDAYVA VSVPNVPNYFTYTGPYGPLAQGSALPLLTLYSNYFLSVIRKMRKEHIRRLSPKESAAA AFHEHAKVYLRRTAWADPCSSWFKQGRKDGNIVMWPGSRLAFFDVMREPKFEDYDIEY WSGNRWGYLGSGFTTEEFDGESDISYYLNCKLYPDMEKRKETNAEDPCLLGKADINGL LPCTPGHSGVHTEARP TRV_00673 MNANDEDGTDQQSIKPVSSLLSHFENLSHTKREAQDGPGSLLKS PPAADNVATGRVSLDLPRSNTSWGGSQRPSQGFLRPQQTGDSPTRRPQSRPLSMNLQS SPPGRPIYTAESSRAPPQPNFSYSQSLPHTQSRPPHAFYAPNGHATSNEPSSSPPSSS RTSTRPTTPLDSPSHSVSIDIRRTTPVSQRTGHVGKSTSVPPPVNRAEKPKIPLRKPG LSPGHDAPASNTLAPLPSSQRTSMDDRISPFSTPPSSPEKGPGTPKLNGVLEPLSLGE AHVTSRIQQSQPAPRPSPGPISREGPPVSRLTKGNLISGTVPNAISPRLPPAVGAKAA AAIPIPQPFNRPNDDDDQPVRQNAQGRRPSPAPPRRLDTSRAALGLHREDLPSPQRVV SAVEPQAPNPYKLPPRSLSIKHSKPTPPPPPPPRRETSNLSVKSNQNTNRPPPPPSNP PTRYRPPVTATTNDKDAIKSLEQLTRRPEPVVVTDTDIHPDATLTNRRPPFFRSGAAD VYTKYDTRVFDVCGQYACTTGFYTRVWDLVTGEMVMSLNHGETVKGSAIAFKPGRTVD EEGAIIWIGTTAGELLEVDVRTQAILNTRPAHSRREIIRIYRYQKELWSLDDEGKLLV WVPDESGAPNLQYSYNHPYDRMVKGHTFSMVVGDTIWYATGKEVRLYRPHAQDTAFQV LTSPLGKHHSSEVTCGTMTTSNGGMVYLGHADGKVTIYSSKDYAYLGTVTVSMYKISS LAMVGDYLWASYKTGMIYVYDTSTNPWTVKKDWSAHNHGVCGITLDLSSVWTVNKLQV ISLGVDNYLRIWDAMLEEDWLEARMQSRDVEYCQFNEISAAIVTWNAGATVPGKLPNS NFIRDAIHPESAPDILVFGFQELVDLEDKKITAKSFLKGKKKDADKERMSRQYRVWKD YLALCIREHMPINESYVLLHTASLIGLFTCVFVRQETRERITNVSAAEVKRGMGGLHG NKGALILRFLLDDSSLCFVNCHLAAGQSQTAHRNNDIAAIMESEALPREPSMSARIDR YTGGGDGSMILDHEICILNGDLNYRIDSIPRNTVIEAVKANNLPKLLDRDQLLASKRK NPGFRLRTFNEAPITFAPTYKYDVGTDDYDSSEKKRAPAWCDRLLYRGFGRIKQLEYR RHEVRVSDHRPVSGTFKIRVKSIIPKKRTATWESCQEEFLTEKQRLATEARYVLPTFV YRDGCLTSFTNPLINSVSYLVRFLGLSPREAYALITSPPGV TRV_00674 MTLADRKFLPRLDTSMSSLRLADDDTCRPGAAAITFGLPVLLLF FAFGCNDVTGCPVPSLLYPKTFTWEQFKLESGWPAGGIWDLFSWNVTAVVLGYYLVSL VLWKFLPAQEIKGTKLVHHGKGLDYRFNAFSSSIVHLAVCAIGTYFQGANFFVWTYIT DNYVQLLTANIVIAYAISVYVYLKSFSVDTNYPNKDLRELAIRGNTDNTIYDFYIGRE LNPRVTLPLFGEVDLKTWLELRPGLTGWVLLDLAFVAKQYRTYGRLSDSIVFTAFVQT YYALDGQHKESAILTMMDTTTDGLGFMLTFGDIVWVPFLYSTQCRYLSVYPVHLGWAG VAIVSAVFAMGLYIFRASNTQKNVFRTRPNDPSVAGLSYIQTKRGTKLLTSGWWGISR HINYFGDWLQASPFSWPTGLAGYMILPAGSAAAAAATQQTFTMLDGREVVQGEAKGWG IIFTYFYVLYFAILLMHRERRDDGACFKKYGEDWNRYKAIVKWRILPWVY TRV_00675 MKLQDTRITPSKIGLIDNHVCLAFAGLNADARILVDKARVEAQS HRLTVEDPVTIEYITKYVAGVQQRYTQSGGVRPFGISTLIVGFDNGDKTPRLYQTEPS GIYSACMMRNELMLQFRKANAIGRSSKTVREFLERNHKDGMDREATIQLTIKSLLEVV QTGAKNIEIAIMAPGKPMELLPNDEIEAHVKSIEAEKQEEAAKKKTGRTPGTGTATLL PRQSGEGSSS TRV_00676 MASKVTFTLNSGYKIPAVGLGTWQSKPHEVEKAVEVALKAGYRH IDGAFAYKNETEVGLGLKNSGVPRGEVFLTSKLWNTHHRPEFVEAACDKTLRDLGVDY LDLYLMHWPVAFVPGEAAFPKDTETGQLLLDNKVTIKDTWRAMESLVKKGKSNKDESA EIPPAVNQVEAHPYFQQDDLKKYLCEKNILLEAYSPLGNNLHNMPRAMDDEKIQKIAE AHGVSSARVLIAWHVQRGTVVLPKSVTPERIIDNFKDFELSQSAMEEINALDRNARAS QPLFWGVDIFGEKGEEYVKEIAKKRGLEYIASLKYNEAKRLSERHLHFNLHVLLNIIA KSVARPEDDITEFSKIGEGGSYRVFEAKFEDGLAVIARLPYPCTIPPTYGIASEVATI EYLRLQGIPIPKVLDWSSSPAINPLGAEYVIMEKARGKELEATWYSMNFDERKSAMEK IVAIESLLFNLKLPSFGSLYFTDSLQHGTDVVVLPDNNTFCVGPSTEFLWWYHKRGEL KTNKGPWKLPAELLNSIGLRELEWLRAFGAPRYPREPLYRRLYGNEKVNPEVQIRNLE DFLSVAPHIIPSQEFLNEPTIRHPDFSPNNIFIDDAGEISGIIDWEHTSILPLFVQAK IPRYFENYGDEDSENFKFPALREDFNSLPDDEKELEQEMYRRRQTHYYYLGFTSRYNL NHFRTMGSYSGMMRSRLYDVVNRPWEGDNTTLKATLIQMSSYWPGIAAANMKDTQYPL KYTPEEVKQCLNLDAEQKTANTQMQNLRDAIGINVDGWVPSEMYEEAAERMAHVKAHM LEIAETEQDREDILQKWPFQDHEEID TRV_00678 MLSSRRLSYALRRAGATGARITFANYPALRPAIAHFSSSTSRHL PETANAPTSKATKYTTDAYPKLKRNPNFAQLTGEHVQYFKELLGEGSAVVDGVTTDAT DDIEPFNRDWMKKYRGHTKLVLRPKTTGEVSKILQYCNSQKLAVVPQGGNTGLVGGSV PVFDEIVVNLSRMNNIRSFDENSGVLAVDAGVILEVADNYLAERKHIFPLDLGAKGSC QIGGNVATNAGGLRLLRYGSLHGNVLGIEAVLPDGTIMEDMSLLRKNNTGYDLKQLFI GGEGTIGIITGVSIICPQRPKATNVAFFGLESFEHVRKAFLEAKGQLSEILSAFELMD GQSQKIVRKVTGLKHPLEGSYPFYCLVETSASNGEHDNAKLEAFLEHVMGESIVADGV LAQDESQAQALWRWREGVTESLSHLGGTYKYDVSIPLAELYQLVDDTRDRLSKAGLIG NDDSFPVHEVVGYGHMGDSNLHLNVAVRQYSKEVEKAIEPWVYEWIAKRNGSISAEHG LGIAKKEYIGYSKNDSMVKLMQQLKKLYDPVSHSSSSPLAVMAGCDVSTNIAIIERDN EPI TRV_00679 MADESGMAAKYQMLEELGSGSFGTVYKAIDRATGEIVAIKHIDL ESSDDDIQEIQQEITVLSTCASPYVTQYKTSFLRGYKLWIVMEYLGGGSCLDLLKPGV FNEAHIAIICRELLLGLDYLHQEGKIHRDIKAANVLLSHTGKVKLADFGVAAQLTNIK SQRNTFVGTPFWMAPEVIQQAGYDFKADIWSLGITTMEMINGEPPHASTHPMKVLFLI PKASAPRLEGAAYSWQLKDFIAQCLEKDPDKRPTAKELLKHKFIRSAGKTEALQELIQ RRQEWEATKGLAANIKYYAEPMDTITNKNTDDDAWVFDTIKPGTPAPSKSHHIKNQPS VSNPEDAYEPSESMYNLTLESEFSGPKPVANSTVRRTRGTVARTPSKRSTRRRSSSVK QPLGLDMTFGNSPSTVRQFRRVSDRQNSLDGNSGYVPGMNENSMPKTLFTEPNSKEAI LGRRMYNSGIGLTCQEVLANTSDQEKREAISRLAEAFSDLEMIDPEGLYHIVKSSFEK LQADPKLSAILPKPAPETPQKPKLVLAQNNPHLKSHRRRQSAQVQSEQNWNSGSTMAG QHPIGMEHTKQLADVLYTRWTDGLRNRWPAV TRV_00680 MIFISTVLFLLLACRPATAINVTYYIEQPLNTSFTVYDDFNGHI LGLGVGGDGLLEVQPNSTTRWHFEQIFDFNYIIRESNSNRYIHVPDPKLGSLATVSET AATVIDPTVYDNAQYKFYIRHEGPGLFFTVERHSTEQPNRYVIKLRENTDGKRQNFTF IKPPKKSN TRV_00681 MVPLNADFTVLDDFNHDILSIGTNSNGEVVEVKPNDGRSQWHMT RLASYTHVVRDKYSNKYIHFPTLKDGAVATLSSDAATLFEAVIGPNVIYNLNATDANP RDAPLFWTVEPLSATDQRPVLKLRKPAFGRARQDFLMKKTPQQGS TRV_00682 MKIVPFVTAVCALVASVDASNYYTVFTSISSGLNVTLNGTTNGI EFGPGSPCRYWTRYEVAPEINDWTYYSIRARRGQVYFRYPEYRPRAIAQAASKPSLFR IEVDREGSYVVALESETGEKLAWTAERNTTAPNRNMVVSLQPYKRSRAQRFKIAEEYV DPDDC TRV_00683 MAYSGPDEPASGYLDDSTSQIELLLGSEDDGRAFARLKEHGRRR AWTVQRCYRCLENLLRDLILHQRRRFRCRASSPAHTLWLLLKILIFLVGGFVLVSLVQ AIFHPSYQRPPEHYAQLQRRILASSEPGRANQRNEKIFIAANIIREDLIRGAWGASLL ELVDLLGEDNVFVSVYENDSGKATSEALIELQDKLPSYLAEVRNRALRPLDATYTPTS AESEKGFRHATEKFDRVLFLNDIYFSATDAVQLLFSTNAEKTGHADYRAACAIDFTAN VMFYDTFVVRDNEGYGMGLMFFPWFPVVGKSESRQDVLAEKDAVRVRSCWGGMASFDA QVFQKSSGNHSELALTFRHEPEPFWEAAECCLIFADMESTSLESNGAGVYVNPYIRVA YTENTWVWLGFFRRYERIFANLQWIVSKIGYPEYNPRRLHNPGQMVKEKVWVQGDDED QLGSFQMLERKAAVGGFCGQRRMFVMKDDINDANKKGEKNWEKIPIPSY TRV_00684 MEEPELSQSSQPCFDSPRQRQRASLPPRPNGASRHAKRLTLNFP INIPPELVQQGPRSAKDSPSAKHTHSESSPTMPASHRASPSVSALTEPPDEGYGFLTA LAAQERKVLELKEELHRAELELATLKKRWEQNEKGRKINQAVYRAEAMKPLRQQTPVE GSPPLTGTGATQSPVDSDAPGLKRRSRELDRRHSLRQSISHSPHSPHSPAPGQLSRAR TVFEGSRHTRTLSLLSARDDYNSSPFSPQSADSKSARPSGYPRSATLPSVDRESNGSK PLILSPAKQKSVQDKGLWRRSLPPIPQDPTTEALMRTGKQTATDFKDGLWTFLEDIRQ ATVGEEGINATQSRTSQAYQRQDHLINRSGAGTVNSKPHSAAAPSRTGRSASIKPTTA AKQNKRAAASETDFWEEFGVTAPNKTQSNKATNRNRNKPNNNPSNQTSLLDIDDNWDI WDSPQPKTHTPSSSSSTFPSKRDQSPSTNASSPRTSARSAYIQRPPPILLSTRS TRV_00685 MAGGMGFALGGAFGLFMSSMSYDTPLTPQGQQISSLPVREQLRR GFKDMGSRSYSSAKNFMVVGALFSGTECCIEGLRAKNDLTNGIAAGCITGGILGAKAG PQAALLGCAGFAAFSAAIDAYMRQPSD TRV_00686 MAVIPVLRADFFLSDNQSSHSSPLGLTEIINREPPVKELVSSFL TTKGAYHRNHSVIPQLDGTRHFITVDGEVDKPFTLSVSQLRNDFEQHEVICAMQCAGN RRHTMRTKLKEVHGVDWTDGAVMNCKWKGPRLRDVLMKAQLKDKDPENSLLHVAFACF QASCEDDDYYGVSIELWRAMKMSEEVILALDMNDETLLPEYGFPVRVVVPGVAGARWV KWLDRITVQGDESPNFYQQHDYKVLPPEATTWEIAENYWSTVPSIQEMPVNSVVACPD DGETVKLSSDGCIEVKGYAVPTGSQGPVVRVEVSADGGESWVDAELQDDKPQSKWTWT LWKARVKAEKGEDKTIYSRATDAAGNTQPEFSQWNIRGVTYNGYGASWNVNIV TRV_00687 MFRKGVRTFTSTALRAAETAAQMEARNTYGVGVSKAQGVVKGLT GGKAEFQNPGGSVKDRAALFVVKDAEERGLLKPGGTVVEGTAGNTGIGLAHVCRSRGY KLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFDNPENYNHQARRHAESLENAVWTNQ FDNIANRRAHIETTGPEIWAQTEGKVDAFTCATGTGGTLAGVTRYLKTVSDGKVKSFL ADPPGSVLYSYITSGGTLIERTGSSITEGIGQGRVTDNLQPDIGLVDGALNISDEKSI EMVYRCLDEEGLYLGASSALNVVAAKEVAEKLGKGHTVVTMLCDGAYRYADRLFSDKW LKSKNLRGAIPRHLEKYIVLP TRV_00688 MPGRSSSTRASSAASTRKSSVPPSAPTVYIPEEPALPTTSASLR SNVVEIFADAQRAITGHRKLAIKLRKIQEVCCGLRVAKVDGKKGSRLSEIPDLAGGGL PEKELNIEICRCLIRVVPVKKAEGAADRVIKFLGTFLKAATDKDLEIYSDDDSDETRG LPETPSSRLTFTIVSTLIPLLAAKDKTVRYRTTQIISHIVNSLDSIDDELYNLIRQGL VKRIRDKEPTVRVQAVMGLGRLAGNDEEDEEGNNGDSPSALLEKLLDVLQNDTSAEVR RTLLLNLPLTPSTLPFLLERARDVDGPTRRALYTRLLPTLGDFRHLSLSMREKLLRWG MRDRDDSVRKAAGRLFYDRWIEDCASSYRTEEEEEGDGEKSSSPTIAALTELLERIDV VNSGVDNGIAHEAMKNFWEGRPDYRDSVTFDQQFWETLTAESAFMARSFNSFCRQEGN GKLEDLADEKIPEVTAMAFYLHKYTNVLLTRLNNPEEAEGGEEQTMEYEFIVEQLLYI CLTLDYSDEVGRRKMFSLLRETLAVPNLPEEITKLTVEVLRCVCSPNAAGEGEFCSVV LEAVAEVHDTIVSEDSFVSAKSEISEDSNQPAQKDKRKSGAGRGDDEDEDSEVPFNKE EAKAKVLKEIVVNMKCLYIAQCMLQNVEGNLQDNVHLVTMLNNLVVPAVRSHEAPIRE RGLECLGLCCLLDKSLAEENLGLFIHCYTKGHESLQEMALRILSDIVTTHNSLLAPVA SANDPNTVTPPPFQKPLLKAFAKALKTSNLPHAQSAAVIALSKMLLTDALSPSSPSIP PSIKEFNDNSVATLLQALLLAFFNPRTRDNLTLRQALTYFFPVYCHSHIANAQHMRKI AVPAIRTVLAAVDDFYALEAEEDSDGEIDGSVGEKETKVLMSGVVGMLTEWTDDRRII GLGGGGDPLAPASLSNNSTLRPSESLHLALAKDILQRVLGVGGFATAPREERKYLLSM LSKLHLPTPAPMPSSRASSRVPEGAPDERESLRSSIRTDNMTSQLQEDDDELPLQVKD LLDQAISAGVASDASSRNALVKAKNSILKLLAGIIKPSDMDSSTMSARPGRRERVRVK DEPIEEEDENEVTVMSQASRASSVATSNISRIEEEDEGEETETEKRRSSARRSESVAS TAEGDDTE TRV_00689 ENLLSKNLEFAFVTLDSWDMRVQLPREARDKAVVLPPYLQHSRT FDLRTEYQRWQQHHPESLPFGPSDLANICAALEVEPVQSSAPIKHNLPFHLQALAPAS PRRAMEEVITLARVLRGLIRKSQPPHEHPEIMTRPMDARADVRAFLAERSKVLHMSGL PHDTTQSELESWYTQFGGRPIAFWTLRTPDQHKPTGTGFAVFSSHEEAAESLCMNGRA LNDKAIEVSPSSSRVLDRAAEILAPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCS YPAVGSGPDPMPYAYPYGPPNMMPPPHHMGHHGGHGGHGSHGGHGGHGGHGMGHHSRG MGGNGGVVPFRAGDWKCGSDGCGYHNFAKNINCLRCGGPRSGAAVVADSAFPAPMDPQ SGFGMGGPPSMANTPGAGPFASSGGNFGGFGQQFGGPPSTYSLPSGIANAPGPYPPPM GQMNHGGYGSTSASQSVASFGNPATQAAFTAADHTPQPVGTSNGGGFYSGDGASDPFA FLSTGLGGLTVGDEPHGRRNGASANKSPA TRV_00661 LPKNAITLKTVVMDPRNVPIPSFPTPVVDGDDVLYALQRRQQAW AFPRQSDAPPSFSQLHLQQQQQPEEQLSHFSAARQPFSLSSIHPPIQATPALEPPTPP RRQSVAQLPPKRSTIAQGRRDSTKGTGSNYTLACLNCRSKKIKCLLEEGGCKKCKKLG IPCPGPEVDERKRPSSKRHIRELHQRIHDLEAALKQSEALRKAQAQNFHTIRGIAQFS PPESPVSLLRQKVPDNIIARLCDGRYQLNYDSNGQLRYFGPTSSLHLTDTVATSIVRS WGEYPTTNPKIEVCEMDAETQEYLLNLYWKFQHTELQVLHKDAFLRDMATGQTKFYSK ALLYCIMACAARISHRPEIRAMVLTPGLSASDELPPLFAAASKLVNEELKNPQITTIQ CLLLLSVIYCAFSQDTKGWVLTDLGLHRDCSSFTDKFSPMDIEARSIAFWGCVIFDRL WSLYLGRQYCLRLDGEVTVPRPAATAAVSGMIATWEARLADAWSSLLEIVGFICEAL TRV_00662 MQYCAAVILLYRPLANFGVEASERSDYSPQFRLICVKHAMDATR YMEDYRANYGNATTLSGISLHIISTVSTTLIADITERRHSDVSREFHCLIVCVRTLLE LEQTYLVALQVRKVIEVVIRICNLENHELQVASLLPEGLHIGASYTPTPSAGQTESSQ DEDTRDTKQEPDSSLILQRFRGAAPQPPITTDTMPMPEQPYLDMTYNPFPLLDGMQVH PHPSTMPGDIHATYPCTQ TRV_00663 MPLLEARVKSVLSGDTVVLTHVSNPAQERVLSLAYVSAPRLKRE GDEPFAFQSREFLRELLVGKVVQFQVLYAIPTGAKREYGIVKVPGANGKELPELCVSE GWAKVREDAGRRDESEDAAVLLNSLRELESRAKSESKGVWAGDDKIDMAYEVKDPQEL LEGLKGTPIDSVVEKVLSGDRFLIRLLISPKKHVQTLVVAAGIRAPATKRVNPSDGSE QPGEPYGDQAQMFVEMRLLQRKVKVTLLGLTPQNQLVGTVLHPVGNIAKFLLEAGLAR CADHHSTLLGADMAILRQAEKSAKDARKGLFTSHVAPKAAAAAADTDLVVSRILNADT IFLRNKAGVEKKISLSSIRQPKPSDPKQAPFGADAKEFLRKKLIGKHVKVTINGKKPA SEGFEEREVGTVLVGNANVAVSLVEAGYASVIRHRRDDDDRSPDYDALLLAEEKAQKE EKGMWSPKPPKVKQFQDYSESVQKAKMECSVLQRQKKVSGVVDFVKSGSRFTVLIPRD NAKLTFVLSGIRAPKSARNANEKSEPFGQEAHDFANRRCMQRDVEIDVETIDKVGGFI GTLYVNRENFAKLLVEEGLATVHAYSAEQSGHGMELFAAEKKAKEARKGLWRDWDPSQ DAEDDEGAPAPAGGAAGTSTEAPARGRDYRDVMLTHVDEDGKLKLQQIGAGTTGLTEL MDSFRAFHINKANDKPLDGPPKAGELVAARFSEDNEWYRAKVRRNDREAKASDVVYID YGNSERVPWSRLRPLAPQFSQQKLKPQAVDAAMSFLQFPTSPEYLKDAIHFLASQTVD RELVANVDHTADGVLYVTLLDASASQNLEQSINAEVVREGLAMVPRKLKPWERACSDT LANLRKLEDEAKQERRGMWEYGDITED TRV_00664 MSLEDTTNTAKQAPQLDKQETNQSTASAGASDPLSGHLNHLSEK QVEALEAFKQQCVERNIYTPAKDGQAASHDDSTLLRFLRARRFDVNGALGQFQATEDW RRDNEINKLYENFDVDSYEEARKVYPQWTGRRDRRGIPIYVYTIKDLNSKNMTAYSSS AATTATSATHTSSKVPARLLRLFALYENMVRFVLPLSSRLSRPNPEVPIVNTTNIVDI TGVGLKQFWNLKGHMQDASTLATAHYPETLDRIFIIGAPVFFPTVWGWIKRWFDPGTT SKIFILTAAEVQKTLSSFMDEENIPKRYGGKLDWDFGDMPNLDEEARALVGSMERVGQ YADQPEDSEGAAQDVATRKANFVKGPVVIHDDKIDIYGSVKGETRRKTLPLPVSLNEK QNTEPTEQTQESGGTSDDATTVELSKDLEKAALSRSENEPPTASVAAHA TRV_00650 HLAIATFVFPYSSSGSPQSPPLAENVPLPANGSAKLIPYTPNVL SPISQDTHLAYSLPFDEIPYFLRSVQEIPDSVNDPEGIEQKKWIMKAARSSVSGSPVA MRNWFSDGWNSFVDLIKVFLSLFLLFFFFFFFSFLHAETIDIIIMALGYLSMHLTFVS LFLSLRRLGSKSWLAATVLISGGFAFLFGLLVTTALGVPINMVLLSEGLPFLVVTVGF EKSIILTKAVLERSRPQPGANPAAPNGSAAKPAAPVRSIQDAIQQAVEATGFGIVRDY LIEIAILVAGAASGIQGGLRQFCFLAAWILFFDCLLLFTFYTTILCIKLEINRIKRHI DIRKTLEEEGITHRVAEKVASTSDWPQMNFDGKGGNSKTSGATSIFGQKVRASSIPKF KFLMVGGFVLINLLNLATIPFRNRQDGLLMPVLSKVSNVLSPVPIDPFKVAENGLDVI YVSAKSQQVETLVTVLPPMKYQLEYPSAYYGNANPNVDRLLDTDYNPVLDVVGGRVLE SILRSLDDPIISKWIIAALILSLILNGYLFNAARWSIKEEQSSTSSTPSESPTPAPAP VKVERKPIIKEDGTPRTLEECEQMLKDKLVTSLDDEELIELSLRGKIPGYALEKTMEH ESITRLQAFTRAVKLRRAVVARNPVTSATSASVENSKLPYEGMNYTLVHGACCENVIG FLPLPLGVAGPLVIDGQSLFIPMATTEGVLVASTSRGCKAINAGGGATTVLTADGMTR GPCVSFSSVSRAGEAKLWLDSEEGQSIMKAAFNSTSRFARLQTMKTTLAGTNLYIRFK TTTGDAMGMNMISKGVEKALSVMANEAGFEDMQTISVSGNFCTDKKAAAVNWIDGRGK SVVAEAIIPANVVKSVLKSDVDSMVELNISKNLIGSAMAGALGGFNAHASNIVTALFL ATGQDPAQNVESSNCITIMKKYVSPIPLHPSWRLFAITNLLSSVGGNLHISVSMPSIE VGTIGGGTILEGQGAMLDLLGVRGAHPTNPGDNSRRLARIVAAAVLAGELSLCAALAA GHLVRAHMAHNRSAAPAAPASSTSPTAPPTRSVTPVSAAVGAAKSANNLAMTAVSDQK TRV_00651 MVNHSKEAEVFKGCPFPRPLLLVVLILPTQNILSITVNTSFYQL PPPTTNMSFHETAREVRLEDDSILVAELRNDNGDYVTARFDLNSVLGNDDGRFIWSGR DFSNSASNIWFQFDEATNLPILRATLANSDGEEFDRDVNLAECITNNNGCFELQ TRV_00652 MGRRLKERRRRRAQDEEDDERKAKQQQQLEEGEGEEEEEGDDNG RSIAGRCKAPHRRWFLFFSLLLLLISRRRRGLTWDFFFFDCAIPGWLLSLSLSLFLFF FPFFFFYSLLFFRRLLRLFLANQGQQDFPLPRIAAATRSIAGPDEMHNGIHIYI TRV_00653 MNSPALRRSEATVMSPVSTDGSEWSGINQYSLPSPNSVRGFPTP PQSGAAHSSHNGPSDTTSVSSNSLTLPPSGRMSQKASPPSSVTSPSRVSDGTLSDQSN ARRQRMEDMLSQHYIVLRRYLQGPSREESNIRSNRARDKLLRLSPVLFLELSTDVYDE LLRRQAVVAASRPGPPRPEVPPHLLPRQEYHKKRNQARQKLSSLQDQRFKDLATDVFC ELERRFPHFAGPEMNRRASPAPSMGGRFGGPRPDSNGQGYGYPGTPNGSRGPPPGPRG PGYHSGPGPGNRFPPRQGSLGAISATGLGINSEGMPDNAPYQKSFQNNTVVPNKSTMV EDDDADDDDDRRSDAFALDKVLDHRRDMMAAAGSAERDKKLADAEAQVSELQKKVEEL ELMHREKEEEVNTLRKSNDSSSSWKAEKAEYEEMKRELEEQLSNTHNLNNAVLSQLEE ARDRQKDAEMELEKLRQEQHSVDGTAGGGNRSHGGDDDWKSRFEDLDREHESLKAKLK HQQEVTEQVRQQASAFLQEMRALSDGHNSNWDREERLIRDVHRLEAEVKHWKNRYAKS KTQLRHLRSSSIGLPDNIQDTNTIAKDNALTQPDGLVKDVHITKFQMAIDELLRIARS DEPSLVLGQMKGVVLAVRYITQDIDSAVNVNTATDDELTKIRARAKAQVSATANNLIT ASKNFGNSNGLSPVSLLDAAASHLTAAVVELVRKVKIRPTPEDELADEGEEDQLPPMQ SPGYFSVAPSLTRFSGNESIYSAISSPPSLRSRTNSHSNSRNRLSGAKHGYPGLSPDK DLEELRLHLEDQTSSLVHSIQSLVASIRGEDSVSAIQLHINTIADAVEKVVTSTETAM AKPDANPALRDRAVPVIEILARHRDNLLDIGAEASNLTDGTEIRELNSKLPPIAFQIA RETKELVQRIDQIEYASQNNEEDDFR TRV_00654 MLPNSLSALSLSVSALSINNELLSLSSYKEKEGAPEKRKERATF LFHSNFLVRDFVLLISSSSLYYIIKSKQCKHPSIPPAPPTPPSVFLPPVAAIMAFARP SSSSSSSFLLASIRRPHTLQSIASQPKLSRSFHKTSPIMSNVYFDVQYTDKNNTVQDG RINFKLYDDVVPKTAANFRALCTGEKGFGYSGSGFHRIIPGFMIQGGDFTRGNGTGGK SIYGEKFADENFNRKHDRPGLLSMANAGPNTNGSQFFITTVVTSWLDGKHVVFGEVAD DKSMEIVKCLEACGSASGAVKTSHKPCIKGCGVL TRV_00655 MPLDTSTTYRLTRLRLDGRRWNELRLLQAQISTNPASSGSSYLS MGNTAVLCTVHGPAEGKRSETAGAAGAVINVVVNLAGFANVDRKKKSATGSGGGDRQA TTELATLLRDAFQPHIHAHLYPRSTISVHVSVLSSDGSLFAACLNACTLALVDAGIPM PGLLCACTVGMSGRASTPAVTAESAQIGEINESLDPLLDISAPEEVELPYMTVANTNP MPDITVPKDEEDEEEDDYDAENENQMLSIVHMDSGVHVSYLETMFAVGIDGCKQTREI LNGVLKAAGKRVLMGEAASGPDYDDE TRV_00656 MPTHWELQDTDATTEDSDDDDLGYPSVARVPTKRRSPVQLSNDK PQKRQRPLLESPVTPGRENNFIDLSKTASEGSDDEFNNGEAVYKEFKNRKTVQRKRSA AQMRKRRKIQRDSIVGRRGTGTESRKPARLPREYGDLSSDDDMMEDTLPDYLKRRRTA FDKRREQLVEAGLKLPPNFDDVQFSDDEQLDSLIEKPSFSHVKPSRKYEDVQLPYSLG LIPAPIAQWLRNYQVEGVGFLHELFVYQKGGILGDDMGLGKTVQVIAFLTAAYGKTGD ERDAKRMRKMRRKGEYAWYPRTLIVCPGTLIQNWRDEFDRWGWWHVDVYHGDSKDAAL KSAASGRAEILITTYTTYRLNRSAVNMVEWDCVIADECHIIKDRKSETAKAMNELNAL CRIELTGTAIQNKYEELWTLLNWTNPGKFGPISTWKSTICEPLKVGQSHDATIYQLSR ARKTARKLVKNLLPAFFLRRMKSLIADQLPKKSDRVVFCPLTETQSDAYENFLDSDIV DYIKNSSDYCSCGSGKKAGWCCRMFLPQGGKWQSYVFPAISNLQKISNHLAILIPQPM DPKDKQAKDLEMLQVAVPDQWRELYRTRGSIMNYSNPEFCGKWKVLKKLLKWWHANGD KVLVFSHNVRLLKMLQMLFNHTSYNVSYLDGSMSYEDRSNIVNAFNSDPRQFVFLIST KAGGVGLNITSANKVVVVDPNWNPAYDLQAQDRAYRIGQLRDVEVFRLVSAGTIEEIV YARQIYKQQQANIGYNASTERRYFKGVQEKKDQKGEIFGLANLFEYQNNNVVLRDIVN KTNIAESKAGVQVIDFEMEREQSQSDYDGVDDKLNLSTPDENDDLAMSQLAALVRGEG LPEENKKLAPILKHDPIQAILAGAGVEYTHENTEVIGSSKIEAQLSRRAELVNDGEEI GEEQVFRPESREGKPASIQVRGKNGLSATFKYRPPPEVMKRQFCTMAARYGFRDVTEF ALTVEGLTSAQRRTWLENWYKERREKLLGGGIFKDSRDPTRPQKAGGG TRV_00657 MDAGTHQAMTINPPPPPPPPPPPAEQADISSAPNESTVVNNSTP PHPASTSFKLKFCTVCAANQNRSMEAHNRLSAPPSSYSVISFGTGSLVRLPGPSITQP NVYNFNTVSYSQIYDELQAKDPRLYRNNGVLPMLERNKGVKWGPERFQDWCPGMPRLE HVSRGDKGSLGTEGGVVDVIITCEERCWDAVVEDLMNRGSPLNRPVHVFNVDIRDNHE EALVGSKGILDLANRLNEVADEERRLNGPNGWDQGTGDARRGFDERVPEILAKWQEKW PNLPALWTLSWF TRV_00658 MAQSPSATGSTDVPISPPPQANGVSHAPSVASSTAQSVTPNGHE FGNQSEGAESTARSSVPSNHGAGFVNSNHEDDHEMDFKSGYNAVSKEEPKEFVNTDAD AKQMPGIPEILEMLATMERKFQQMEARMDQLVPPPVDEPPSISQIPRYVHNPEIIDRS INAEVPVEDTITAFRSRASLVARAKVLHMAKKFPDWKDRVFEQAKAATCLPILEDGQE VCPPDSQVHPKLWEVQNEWLYDFMYESIGPQFKDEIFEPPNRSAYLLWRQIESYCSLV NEDDRRYLIQKLMTINAKNDFDYISTFYRYYSKIRKLGFIIPDWMAQDLLYLRVSERA REMIQNEIDAARNAKDGPLTLSVDKMVARVLRPALSRGANEEEPQPEPTPSVTSSVAN GPHSAGSKPDSLNASSSQAETIDKKGKVTCGYCSMPHHSEDGCFYKYPERTSPAWQKA HKSGIEFFRKKAEGREQPDSKELQSVTQAVKKIQIAQKPQSEAVSESKTKTPAKPESV AGSKMEARPEPRQEAVNTQPQVKSPEIYSEKLSCNYCGQKGHSANGCPFQFPDLETAD WRQKNKHLIEFHARKDKLRKRKLERLKAKQALEGSKPDTPSAPNAPRPVSPENLLILD L TRV_00659 MKASSSTEGFFQVQPSIQPQIQEDAVLRRIVSLHLPSPLPPSLE KDFTRFSSPVISKPVLDFAAEAERNQPYLKPLSTFGIENKQDPLVTGEGRRALQDINI REGLMGLAPEPGLTGHGTQWNTRVSKGIKGHLWTASSAMVTCPGSMTNGAAKLLSRHL DSENGRIFAEALKRLTSHDPAIAWTSGQWMTERSGGSDVRGTETVARKLTPKEMEEDD GVDSVGMPLGPWRLDGFKWFSSATDANMSIMLAKTGENSISAFYAPLRRQVKGKDPRE SELNGVRIQRLKNKFGTKALPTAELEIKGMRGYLVGKEGQGVKEISTILNITRTGNCI ASVSYWGRSLAICRAYSKVRVTSGRLLQDTPSYVRTLARDHVKYAASMHLTFFVVALL GVSEGSSSSSCMASQTNILPRDNNHTLALLRLLTPMAKARTALRAIKGVRASMENLGG IGYLENEDPILNIARIFRDTCVLSIWEGTTEVMADDLMRVVKGSKGPDCLDALDKWVA HGLRVARTHSFTDEAIVLQQLWAEFLSYVRTKDREELNLYGRKCLKDLERLVCGCLLI IDASRDGDGAAKEIARRWILRDAIPSTSWTDEAGWDSRIVYGDSARTRNARL TRV_00660 MVVVDVDLVGPIADFYSFMAHPETLLPMPEIDDPLQRFVSVVKF YLSGWHIKPPGVKKPLNPILGETFTGYWEYPDGTKGYYIAEQTSHHPPKSSYFFMAPE HDIRIDGTLKPRSRFLGNSAASMMEGISYMEFLNRGKEKGHGERYIITQPNMYARGIL FGKMKYELGDHSYVKCPENHFVADLEFKTRGYFSGTYNAIGGTIKNDETGEVYYELSG LWNGEMFIKDVTNILLKFHGQTGQKELLFNATHAKHTPPLTRPLEEQSSRESQKLWYS TVQALLERNQDLATEEKTKIEDRQREEAATRANENIEWRPKLFRAVQGGPGGSEEGRE NLDWIINAHIDPHNPSKAVEQILAFTAILPGQKVNHELDIPPQAPKSVKPSQAQESLL DLDSNDAAPGNAQHKPQPSEDLLGNPVKNHNGSNNPAGVGAGSGMMAPLQPSMSTSNT AQQKPTPNPGMGGLFDAEP TRV_00634 MRHLTTIYQTAVSIQAYTLNRDPSFFHSATSFIPERWLPEASTN PKSPFYHDQRNAVQPFSVGPRECLGQHLAWAELRLILARLVWAFDFEAVEGKKLRWEE LRTFLLVEKKPIQVRIKRRSH TRV_00635 MDNIALNLPFTLTILAGSYILYSLGRIIYNLYFSPLSKFPGSKW VIATYWPEFYYDWWCNGKYLFEIEKMHDKYGPIIRINPEELSIRDPDFYNEIYVTESK RRTSHYDVFCKGIDFDGQQIYNLDTERCKNCCPIANLILGSHLLTVDHDLHRRRRKPL EPFFYRQGIMRLQDMLAEVALKLESRIRALEGTNTVIRLDHAFSAFSGDIIGKICLDS GDDSKTFLSHPTFNPEWYDTIHTIVRSIPLFTAFPWIVQGQMFNNFKEVAKQHIYRAL KDTEESKRTGKEDTNHASLFHYIATSDMPESERSPERLAKEAQVLLGGGTASTARTIG FTSFYIMSNPNIRSRLEDELRDVMADWPQRVPSWAELEKLPFLQALIKESLRLSYGVM HRLPRISPDVPIQYKQYTIPPGVPVGMSAYFMHSDPKAFPEPDKYIPDRWLGKVTPEM HRSYVPFTRGSRNCLGMNLAMSEMSLILAVLFRPNGPKFELFETDESDVKQAHDFLIP LPKIETKGVRAIIP TRV_00636 MEFQYSYEIDPQFYETDGLDLGLPLRMHLDPYKEINGALRAQDD WSKNVRPVYGYKGGLGYPFSFIRVTVPECLPERLEIISYANEFAFLYDDAMEKLDLKG YKDGQHHDMLDAFGDEKALDQEATSHDGQEKKLQAQILANMVAIDPPRAITAMKAWSK FVQLASRTRTRPFDTLKEYIPSRVIDAGELIWFGTLTFGMGLTIPDDELELCMELARP GYAALGLTNDLYSWRKERDEAAADGLDYVFNAVWVIMQERSLSEEDAMIACAEEIRKQ VAEFNTIVEKAKQDSNLSKDTRTYLEAVRYSHVGNLVWSIYCPRYHDY TRV_00637 MGAQFSQFFPPKPNWTEAKVGSQDGKVFIVTGGASGIGFELVKM LYEKGGKVYIAGRSKEKAQKAIEEIQAAIPNGGSLEFLHLVLDDLSTIKTSAEVFMEK ESRLDVLWNNAGVSQPPLGSLSKQGIELQLATNCLGPFLFTQLLLPLLETTAATSTPG SVRVIWTGSQIVELSAPQGGIIMSELTTPPKDQTRTYITSKAGNMFLASELARRAGPS HNIISVSLNPGAANTNLLRHSPWMKFLAMPLLYKPKLAAITELFAGLSSDVNLEENGC YIIPWGRISRSVRQDLVDASKSTEDGGTGRSQEFWEFCEKKTTDYL TRV_00638 MACWKNRSCKEGDLLRPASRDSAKILDYSYNTWHQLLWSAEAKA QLPDACSSIRALLHSTPAIPDTSKISEFAEDHSLFLEGYSIWSINSTSPCSLTTETKK ARPQTIRIGVLKPTFCSLSENFLPEWPGFEALPDASGSNFLSVFVLGWSYVLSTRLIE LRGNQHDQAQYTNNIAIMANRSGGLNLNTGYELPIGDATAQESRWWAAILSNGCGWLA TLTRQDKEYYAPWSCHLKDGGKPFTIIHDKSELIISSERDPPSSAQAQSYLLRVAEMH DIFDQLLAAFTAVLTIPYHMRFGAPVNLPEPHLHTRPKKQSTIGYKQKIPTFDELPYF MSFSTIPNVISSCLFSCFWEVGIPCNLASEWLHTPLSDILPALILERKHRSIIQMLAT RSPNVAPLLLGSAITGMLHRIPEVYKSFIPPICLEAAVWSSSQQSFMDPVFHHMPKLR RNIAFKKLITREDEFRILYTTDFESADYPALPLSPYPPFGHWVWQGQDSGEVRCLDDY GFTLSGNISSFPAHGKTGCTISQLVNRALLSVTRKLSTCWTDFIPGPQRTSNGDINER LSEVATRNLFSWTLFSDGVRKEDKELWHHEWLEFLLGVDDNASETDSNLTSINGQSKS AISSWLQTVFMSHAIQDL TRV_00639 MSNVIFHIQIARTNSVCGENDVRLNDQKLAFTWDGANAVGDGIV TAQLMGRKEGVNLRLHWRSLCIASPRGSIGDYAAQILTFTFYPAGQEEGNDTSGFAVS FNSVDKPEILRLVTSPAPTTNEPSVLEEWLKASGNDRLHQESLVKSHPITDSELEEQL KELHKLRDQAKALDDVIKEKDEKIREHLFDDCTNLTAQLKECKTLQCFISATFQLVPD MFRLVKHRFGPLPTSLADSPCRPVSKVRQNGSEGYKGKNSTSPYHIPHAVGSNNTSIM DSTQNSNTGWTEPSPANSPPSNQLPSRSAIIKHVIRDFAFVSLFVAVLYMLLKHCGNS ISCRRRRVDMLARREQRRTLHAYRAAACRYRLRQWWHRLLGREDTTPIAQSPTTPING QQNDTIRISTLPPGSLTPESEDDTMPNEILGLRRVFEFVGELVNPNEPSAASDRVQSR LAAQDITEITARRYAAGTPAPSSTAPLTTIGSPRASTSILSFETDSEDTVDSLDPETA TMMSG TRV_00640 MVQPGDDGQKLTTLSTFNPQFTYPLFGDEESIFGYKKLNIKLRF AAHDLQSHVQISYNEKFKQVEDIKAADLLGILKPCLPEVSFSTIDEFKKRINEEDTAN FTPPGKLVHSYSRDDKDYEIWAGSLADPEVRKTLDRIQIFVSFFIEGGTPIETDDFDW TLERWIIYFVYEKQKKPSSPKASRYSFAGYATTYRWYFFHPKSSNAAKLAYEAQKTEA FDSFPVSKIPARLRIAQFLIIKPHQHAGHGSQLYRTIQKACLEDPTIFELTIEDPNES FDALRDSNDYHTLKPEFSKHNITINANPIPNTTPGSSSSQPPKHPRVMPTSLLIPTKT LDDLRQEFKIAPTQFAHLVEMYLLSQIPQSHRGAENVNMTRLTTQKSRLQNEHDRRYY WWRMLVKQRLYKRHRDMLIQIDKDERVVKLDETLQNVEEGYDVLLKAFDERASKVSGN GKGKDREADDNEDGNEASASATKKRSKRKFTVEDDDDEMEDAPSAKNRGGKKPKV TRV_00641 MLEVDPGLSVVCSHTIGGVGLLERENATILNASILQLAQKTVRA FVQAMSDLRLNCSLYLTQNDGTLTDAVTASELPIKTFASGPTNSLTGAAFLASLDRRT GSRSTAERQTLVIDIGGTTSDICALMPSGFPRQASNFVEVGGVRTMFSMPEVLSIGLG GGSIVRQDGTYVSVGPDSVGHYLTSKAKVFGGDTLTTTDIVVAAGKEQIGDASKVADV TQQTIEDARKAITKLLNRGIESMKVSSLPVTVLLVGGGSIVYMDDLEGVEECIIPPHH DSANAVGAAIAKVAGTVDVIEILAGKDEKEVLKQVETAAVDMAIQRGADRDTVKIAEI EKLPLQYVTNKATRIMIKAVGKLRVPTEEEAEQERAKLPAYTNGTNGANGHNGNGVEG EKAAAAEDVSRSAVKHSIYVDIPSYKPEVENGVWYLSALDLEFIASGTGVLGTGGGGP SYQQYLIALECLRKKGKRKMRVVKPESMADTDVCVFASWYGAPSVSSERIPQGNELIR SVEESIKLTRHEKFHAIMADEIGGGNGMVTFPTAVHYDIPTIDADLMGRAYPTIQHGT PYVYGETISPCALADSKGNVSVVMHAESNQRIETMLRTTCVELGLFTSVSAAPLTGKA IKKYAVENTMSQAWYLGRAIHLARREKVDVIEAIFKTTPGRLLYTGKIIDVHRDVSRG YTMGYCILAPLSSDEVADSYDNTNSTSSSPSSTETEPHLIIPFQNEYLYAAHVSNLDK PQEPVNQDVICTVPDLISILDKDGEAVGSQELKYGLRVRVIGMAAHPLWTQDQRGLDV GGPKYFGLDMEWKSIGKYQRPRSVIDEFNVVV TRV_00642 MLRSAGGNISISNNNQQQLATTASERGTERKTTDILAEGAAEPD DGDLAESPRIGFNDGIDKVRGPNGHAENMGRVDPRLAQDALDDALDAAGDIWGRGRLA RGEDSARGRLAGRDIEDGGISVGPADVYAYAVHPDVCRSEGQQQQQQQRQQTNDSSDG GYQLTITLPNEGEDTASLRPCLRLYAKGAAGKGGGVQRESPRSPRGLHQQFIYQAGRA TRV_00643 MNRILKKLEIEHEGGTLPDPWINFDIKPIEARRRTWSAWTFTTY WVLINSNISSYMTGSSLIALGLHWWQAIIAIVIGNLLATLFVVLNSLPGAYYHLGFPV VNRYVWGMYGSQFVIWNRIFLSIVNRLANSVPVWYGFQSYIGGQCVYVCLKAIWPSLE QRIPNHLSPSTGITTAQFVSYIVFMVISLPVAYIRPHNLRMFFYISASVVVVLEFVLL IWALATMGPAGFGSTISGGGPGEPVKDAGWLVVFGIISTIGAISAGILNQNDYARFAR RPRDAILGQVISFPISSIICSVIGVLVTAATQKRFGEAHWDLPSLIGAIIDHGGSRSR AAAFFAGGALVISQIGVNIPGNALSGGFDLAATFPKYINIRRGAYITIALSIACNPWK LVSTATIFLSVLSSYAVFLGPMTGLMISAWFIVHRRKLKVEDLYTGNRTSIYWFSHGF NWRAFIAWICGTVPSLPGFIATVNTDVHVPVGFTRIYQLCFLVGFLISAFVYSGLHLL VPDRKLQEYVSTAASPAVLIRDYRDSIDRGQGLVENKILSDA TRV_00644 MSALGVGLLVGTSLIVIIPEGVETLYSSPVKPSQQGHESGHRRR DVLEHGVGVRWNHRAEVVSVPQSMNGRGIGNEANWRLSGREEAAPDADKPQPDAPVDG DGSDGKGKKEGKMGDGDKNKPDGHDDDDEHEHGHKHEHEDSGPHAWIGVGLIGGFILM YLVDKLPQYASSSSKQQQRPYHISLDNLGSGIGRGISPARTSGSGSRSGSSSAGMATT AGLVIHAAADGIALGASTSNMSLSLIIFVAIMVHKAPASFGLTSVLLKQGHTTKSARA HLLVFSLAAPVGALLTWVAAHTILAGHASDEQSTRWRTGMLLLFSAGTFLYVAMHTMQ ENPLEPARRESHANGYVDGRDPPQRPKQSMRDLVARTETTEYIINRNLFAFLYIHIIK QPLHVTRIFYPGQLIDRLKSLRFLRGNEREKKKRMRDTKPGAPDAGSIAQLYLEDRPA CWDRPRSAPDNSRRRIDQVASHSIYRTRRCQTARSLVCSSARPLDRLCPKRWALAGLV DTSKGPVRYFMLHLQPPAPPRSPGKPTQATESLKGNIAFD TRV_00645 MFPRPGSSSLGNRRPVSMIEADDLTSQGEFNSGSSSNSTSNTNK SHHPPRSTVRRHSGTMRPSSASRTKPLSTPSKARPTLHNLHPSAPASPPTPAPSPTPH QRVPSWQSAGEDEDTFLRDARSHFSVLGQAEKQRFLAEMLNLCDSQLLSFVHHFVSPR LKKDPFETLPNELCLRVLSFVDDPKTLSRASQVSTRWHELLNDELTWKILCEKHAYRR VSEDLIDSPVSPSSTLSPSRQPLSSMDTDMESESCPPQPQILPEDMKVFAPVAESSSR SRVRKTRPKATSYRSHFKQKYMIEAAWRKGGTVVTKNITTDQGVVTSLHLTPKYIVVA LDNAKIHIFNTEGEHQRVLQGHMIGVWAMVPWDDLLVSGGCDRDVRVWNMATGESIHK LRGHTSTVRCLKMSNKTTAISGSRDTTLRIWDLATGVCKNILVGHQASVRCLEIHGDL VVSGSYDTTARIWSISGGKCLKTLAGHFSQIYAIAFDGKRIATGSLDTSVRIWNPHTG QCHAILQGHTSLVGQLQLRGDTLVTGGSDGSIRVWSLERMTPIHRLAAHDNSITSLQF DDNRIVSGGSDGRVKTWDLKTGQQVRELSQPADTIWRIAFEAEKAVILATRAGKTTME VRLTPPLTHKSSTTSV TRV_00646 MFRLDGGGGGGDDDDDDDDDDGYMKMISKGQTGRKLSMK TRV_00647 MASEPDSNLHANPQNASMPPHPNPPSIEAAYKRKCIALKKRLDE VEAHNEAMRLRNAQGIRYIQKMRLESCMMLERLSVLMGMADGSGRQGESEVTGRAAAL MRESGHLLDEERSRAGQKRSGEDLDALGEESDDMYFRAVTDDAAWMRQPQERPLRPKR SRKSDERPAGHGAGDSTNINDAGNSNSNMDSVSTTFPISSYPPSTYSTPLQHEPPILP LPRVTPCPGYRGPPLPPTPIPTPLPYPHLSFRSALGHYHFNIPHLQECLDAIEQRGNR SGPLLTPINTYTPTYTPAITPPRTASSYNSNPTPTPTTQQYTAVHRPTDSYTMQVDHH HTSSANPSANDLSSFPPATSGREPPHRYVSAFEAFTNYKRASLTEALVRSYGQRVTDE DIDRALVKEWDALDPAKKREFGERLEKENGV TRV_00648 MEAKQLTTCAQVETKIRQCVEQRRRIYSQSSAITIRWENDDTNA KTDALYFKEFARILGVKDVLECEISGADTVPSTHVEGAIATCIKSSFAAQKDGAHLII IHYAGNGFYEPKQGQYFYPTEDSSQRFYLRSLLHHITNFVEIDGPAFDVVLIIDSYCS PFITPAVQPRSGQTIELLAPLGYSNDKYPMTVVEPRRSADITNTFYIKDSPTSNAVEI TRFQRHEFCYPSWCRARG TRV_00649 MIPREIIGYTSSRSSAELQSSNTKGSAATASDHHTERLNSFTSR RESLTAAERARRNLNAKLANPLAGLSHATLRSRGSRYAQKYQIGDDDDVRAFELGAVL AQDPEQFEKVEGLTKEELDVLRKEFTNRWSQPKEMYLVIVLCSISAAVQGMGKLSINL PWKGEIRWLTIQADETVVNGAQIFYKPQFGIDDDDFRSTWLAGLINAAPYLCCALIGC WLTVPFNHWFGRRGTIFITCCFSTLACFWQGFVNTWWHMFIARFALGFGIGPKSATVP IYAAETSPPAIRGALVMQWQMWTAFGIMMGYASDLIFFNVPDIPNVTGLGWRLMMGSA MFPAILVCCMVFICPESPRWYMSKGAHHKAYQSMCQLRFNKVQAARDLFYMHTLLEAE STMKLGQPKILELITVPRNRRALVASEIVMFMQQFCGVNVIAYYSSEVFLQAGYSELS ALAASLGFGVINWLFAIPAVYTIDTFGRRNLLLVTFPLMGLFLLLTGFAFWIPDHAAQ TGCVALGIYLFGIVYSPGEGPVPFTYSAEAYPLYVRSYGMALATATTWFFNFTLAITW PSLLRAFTPQGAFGWYAAWNLIGFVLILLFMPETKGKTLEELDQVFSVPTKVHARWAL KQIVYVFRKYVLRQKGLKEALLYEKPEGKPISQSMEERVVGSPQFFGFR TRV_00633 KDRFRVVAFGTPMIYPVIIRNSPPELQQIFNTKVKPEIARILAE YNVPFSLSRLDDWRENSRDSPRHTILIETNDENTTNWQAASRCVLSVFNQALPPQFAG QTQVEIQNKHRMYSDVSRVLPNDPLLLRDMRSIRPDVSKIVHTRMVGMSSIAYHLRAP LGSTLDETLWKPTIVVFCYPGTSCDFKTAEAEILQVLEKVPSRIYVEFLPGQVHLSQP NELPIYLSGLSENPQNGSSIGVKGNSKEAGSLGGRLLLNMPQEKQAIPCALTFYHDVR STDNATSIYTDMNGVVRGDPRGQVEVEYPAACDSQHTMRRLERIYKDSPGDISNDKTY QALLSRLQSPSIGNVIFASGHRRTAKDTRLDWALVSSPKTFTANKPTPGDAIQPPFEL PYNEAYTQNADSKVKQFAQLRLGGWVTKRGRSTGYTTGEVNHMHREVQWPSGETTFEI EILSYTANFAAPGDSGSFVTNVRGELVGMVSAIDHRAGQFNVTFVTPIDVIQDDVKRM TGGFLSLG TRV_00623 MPKPVSGGLSRRKRQGPEDCVGQRPSKRPKINRRPPTFWDNLSR IWLTRDALEELDRRNSASISSEPPRSRQRPVTRLQEKERRPHQKLPSGTIYSGPATLN DIRRYSRGGGPDLSDLRNFPNPERLFHPMSTNNLDRRKRRAESPSGSAAEGSSTNKTA KSSSTSAYNRNFEQNLIDNGIYLPGYKYPNGHRPAKPNNWVELNERLAQRRSSLSPSQ FTEDDFEEFVDKDMNIRKEKPIGRFAVSIIEGNVDDMMTMGEDYPFSNLAPLTDGTLV NAKPDHFFGARPEQLESRIRKDLSSYIVPSSQDSLPIVPNFFLETKGPDGSLMVATRQ ACYHGALGARGIHRLQNFKQDEESYDRNAYTITSTYHGGALKIYTTHLAPRANGRAEY IMTQVNAWSMTGNIETFRQGASAYRNALDWAKEQREKIIEAANERLARLESEALTSEH VSEPTATLGSSQTSATSDETEVQDTAWSFAQPNDAGGPAASSKSDSRQADRPGGSSLA N TRV_00624 MSFVTRAVVANSRFIKPSTVLIRRTQLNRAFFSTSPQHQKGPIE ATKDTLKAADRLVSDAAVKGIEKGEAATNKIKHSIGSTAKDAEHGAQDAAKKTSRKAS NIADEAAQKAAEKEEEFSGKA TRV_00625 MDIDRTDADAFSKTPRDGSGQATPVGEKEKEKANYGNTGRLAAD TNTVRSSDGTTSIVLKYNEPPEARKPPAKDAWRLYIFKDDNLLETIELGDRSCWLIGK EKLVADLPIDHPSCSKQHAAIQFRYVEKRNDFGDRDGRVRPYLIDLESANGTTVNGDP APARRYMELMDKDVLKFGLSTREYVLLLPPPS TRV_00626 MGTVVVEELRAPQNPIANSPTKAIVTWDGREDLDDPFNWPLRKK WWATGLGLLASFVCSTNGTIITVAHNAINEEFHISDVNFPHSYWPTTSWGVGAALLPL TLFPIMEDFGVRPVLLTTYFFFICFLIPIGLAKNFTTLIVMRFFSGGCVPIMSDAVAS IVSNVFHGDRARSIPICLYVTTYLVATSIGPVIGASILQFLSWRWIGHIELIFTTALF PLFIIGLPETRGSVVLRARAKHLLSKGKKVHTANKLHHDAKLYQTVLQNVQRPLYMLF TEPVVFIATLWAAFSLGTVYLFTQSVELVYGQLYGWDAILAGYVQVAIVFGEILGCGL CMSTNGWYYASADRDTEVPGIPIPEARLYTSIIGGFFGVTGGMLVYGWTSYPTVHWVA PVIGLTMVGFGTTSVVISTANYLIDAYSKYAASALGAVGLVENIAIAFLPLASTAMYT GIGFQWASSLLAFVSFALAITPFVVFKWGKEIRSRSPFMKEAIIDRQRDIVSVASV TRV_00627 MLLEIEHSLESWDHTSLVTAVQNEESMQQDRDRMHCSEAWRNGL LLYTYRVFRWELGSSVPLPILYRARAITDHVFACRDKSMVSRQALLPLFFAGCELRDQ SSRSKIVEFCCIWDRRTRYHMFSNTIPLLEEVWAEQAAKGFENVWWGQIVDKQHSSQD DHPLQMRLCFG TRV_00628 MLRKSTLLCSCGGLQTLSPHSPLVRPEQPTYRRQSRRFAHVHGP VSDGVKGPEDDLSWPKSPSFTPYDLLRLERNAPYSKKRFYQLVKIYHPDGGCNGHPLC KDLPESVRLQRYRLLVAAHELLSNPTKRSEYDKFGRGWFQREELFGTQGPAAKSAMDA YYSRRRKVDPTIFRNASWEDWEQYHGQGNGKYQKQQQSTGASHETFASLFVLLAIFGG VAQAVSIGKYSSFVDERVKQVNRECNRFLEGRRHQTVTELESVDERVQHFLKRRDPSG YGLKEEEEETYRRHLGGSSHNIEPYHGDR TRV_00629 MASLNLTLIQQYLVRIPLVLVTAIRRLLQLSPVQDRVSVQMELL VTFVRSFLTLDDSVSKMAEVRLTDSTIKGYMWISNVTVPKPAEDDVLEALVKAIRHRG EGGETFDMPDVRAVEAEWTGYRKGASEDTPIPDISDADKYERLMEDVDDDMTIFYAHG GAYQLCPQHPFPAGILDALVAYLSLLSPAEGALYEAVPAKKIVFAGDSAGGGLCLGLL QTLLTLREIIPSKTIRFHGKDVPLDLPAGIATTSPWCDITRSLPSTFENAKYDYVPPP PQKPGTIFTPLPFPPDHLWPTVPPRVDLYANANMMAHPFTSPAASPKGIWKGGPPMYI AVGEELLHDDGMYMARKAHRDGVNVVFERYEGMPHCFALLAPNIPQSQRCLKGWAKFC VDAVHDRVKGYGEAQFFNHSLTKTDIRSLDDIGTLTDDEVEKLIKAGRDWRIDGEHLL QKAWKEQELDS TRV_00630 MSTSTQNHRVGGYEPLPAWYRNRNNRPFESTGSSRRATEGIRNG REPRITFSKTRTLTGAFEATESPRNTARANSGQEDEEAPRYNRAGRERNGPAASPKFK QSSLSSRELLEPYRTMDDADNLADLEVQEDQVRRDQGSPSPAARNRRIFDQKAENIYD IDLDFLDDVSDDDLRMKLAQHALDERRLKRATKSTNGPIFSRAKDTDLKTALSMENLQ RHEAEKKEEDIEHQEPVPDNQIDPAVNIPRTWGSRGRQSREWLDGVVTTANGVDNKQN EDDIRLPVEEWGNDFDFTARSLQVSDSPPVKASQDKQDNSFRAQFSMSAAQDSQLGKS QDPDMARLELRPDTGSSAHSYGTVEKPRFASGRGSASQLLRKLTRRSSSPVKTPEQQR IATKTPLTAKTPVVTGAWIDTPVTERSKTPHIENIEKEERVPEAEPKPVEDIPKANKA SDSRQRDLQIGQRKSTSRPMPLKKPKLPKSALESILEKERSGDYSLILGENTLDSLKD ILEDKPFSEQSGDEQVKSEPSLSSFHVDTDEETLDRVNSKLKSLLHNINEARAGLSSL EHQAEETIARPGSGGFKNKSSRIRHHNHSTAPCEACGLYGDGRLYLALPSPRFWKRIG FVFLIFFTWWIAELVMCDYYCHPLYASKCERNCLNPNAPRYPFVIPTMLWRWSHLSVV LSPLWTIFIALFRLTAQLFGFWDGFVDNSNSVYRPRSYEHYQPPISVAYQPSAAVYDQ SDSMQGDEYL TRV_00631 MAKNSKRAPKAKAFAPSDSSAKSSSKSDSFDVPAAFTQAPSSLK GFLEPLSTSRIYLIHIDTHPPGFRRQIFLVPLSINIVIVAFILYRIYTGLHMYPDIFN AVVSGTGPAKIDTASASWGFLSSTLAWRTLNFLLDYILATIFLPWPVRFFLGPIRWRL AVRFEPQEIIVRQSREWSESLRPGTWIRDDEATMKDRVIPAILPLRLQKTGYLLIDAD WDLDCDAMVNAHKVVEFKKAKLEDFHTAVLVHGGPSKGWLIWRVEDEAVAASGETLPP AARDRIIAFKEKLTDMGKEDLFYRWVEIIQYESTRPGGFTPERQRSAMIEVKELFEKE GVDFDQFWKDIGGMEGVTL TRV_00632 MNLLDIAKSCEDIARGVFSFLPHVPTASVDISAVVAELYAIGAC LRSLDGSHKSPSRRQNFTHIATDLELVVRASLRQTLRDIYDSLSRMNQAIHITNLHNA AATAAGTPIADTIAALHKRTWDGLWHYFYTQAGYSLHLRLKYYKQMLEEMSAIAQGEV ADEVMLASCRAAITTLRVIQDRQVAIQAQQEVQKQHQQHHHHQQQHQMPGQFPQQPPF HHHPHHPHVSAAVPPVPPPPPPPPPPPAPGVPGVTPVAMPRAVSPDDSLEHILDPPRA PDPPKRKSSMKKAGSFERQRPNRKEHRDSIHWSNPTSPKSPVDSSSDTMSLSSNSFKH WAMKVYSSVATSTPLRSTGDM TRV_00611 SPAEEERLSHLVLLKLLSYPALSTSHHLLPPPSPGRKKERKTTE KQKNSEKEKE TRV_00612 MHKPSLTHLVYNALFSKPRGNDPSSFSSHITRNLVPEVRVETST FYGTLDCIEAQYPGLDYSYGPHRMRLGRFHHHKKLFKVFDELGLTEAEISSLCRWEGT KSARERYERDTGIKVRDTTADEIKPAAPSMPPTLHVHAAPVREASEAEEAEVAAVEAD DECCSSSSSCRPQIIDGPILPSNAGSDTNGPITGESGTEDSSSDEDLESYGVALNEHL LEATAARERGINVALDEIWEQWLKEAIERGGYTDMLDAIREGRAIDFTQRGVAVHQHH QHHQSHPHHLHQYHHPHLAGPGSPSPAFRSYSAGSPAINSDIIHSIAPISTPSTTVSA QPSRDLHHHS TRV_00613 MIPGITATMETVPQEIFLSILDYIGKEERHLKPYLTVSRRWQRV IERFFFRSLEIKNTSLSTFARLFRETEAHRKALVESLDFQVLLPTYDGDNYHQHEEAN NQVFSTAILELFQVLETFNEDENVKRNIRRGDGLDLHITYIFSPSDNFYDLDHIAGIS GRYIKLLNHERLPTLSCVSSFYSRHGGGSRILDPASGILIAGKLKGLQVSANEYDDHR DYIGDETRKIIRPAFTNALSLYTHSLRKFKLEMEYSDPPDEAIDPPNYIPSSSLVDPM NLAFHEFIQRTGVSIVYINGSHIISPEFFWPYDNFKPAPTPFWPNLKELCVSISSATP NGEWYFMGDSKIASHSEFIGATRKNDTQESYSNEDSQNTFRSIPNPERMDPLLIAMAQ AIRYAPSIERVDLGCTDPALSPKYIKVAGFKRQFDIYYRTSGMESPFFQGPQIQKPSF ICDVQDWRPNKEVERYWMEALGPDGEIVYR TRV_00614 MKAAFLLLLFSIILKLGKLVKGAKTFSENGLDAASFLGDRDTPV CGELPIDQPSTSVIDAGLNGLPGASVDYGISDGCKKTGGCDETKDRKDCLDKRGLLSG IGKRIFGKKGGGNKGNGKKNDGKGNEHTTKDSPKPTKEEPKPTSTRPTKNTTTSTATT FSKPTSTTIPRTTENAPKPTSTTLSKSSSTKNPEPSSTSTCENGNGKNGGQDCLDDCP NIEVWEPGTFEDDDREELAIRGTGFFGMEKRAKSGGKGIKICDLKVYTDAYPPGGKLT KTSGIANDIIFALANPNSCGDFDFGKRSNKKYDTTYSSKGKKVSGNQVLETEHVLEGQ VIDIFFRNMSMTLPENYDDPYEKSPKDKVDLCKYISPYWDLNNNNPLPTVNKVTKNGP QLIADQYPTNSKWQEEFFLLPKGVNGLKGIVWGNKTIVKDGLKADLDKNFLKGDLQKS KHVKAIMKFKDVMSMYKYHHDSAVVDVLKLQVGRISKTLEEVEKSLKQLDFKRHGTTK KIWRNYKPQNLHTEWEEWIKKHTDDTIARTNKFFAANYDDMVAARKELEKVDKSKMSK DHRKRYEKVIQYHKSAEKAYRYLKKVEWRNPF TRV_00615 MKSPRYDRNEFIFNFCIVLSEEDEWGTYKSVVQKLAHLMCGLEE QSGFLSKDTSKDGEGKVYSLCETLMEDLNSYYECMIPIDELNTLNVKLFPLYPSPPIV KAWHVPLFTVRCETFMDENWDLTMRRIVPYINGINSVRKISILSDADFTLTCRAIRHL IYYGCAFLLDIFSFSAIYAPTAEFSSTIVTDKKMQCECARPTSTLGTSSSASNLQRAS LSHSSHKVSVPNDDPWVEHDSIWPKIDTSIPSTSGEGSSKFNSRTIVDGVGLIELYSS LKQGQTVKQWYLKHMRELANIDVRRFITFGVIKGFLYRVHKYPFATGRVIQNTHQRRQ SNHFPLTSPIEGYSIGAATDRVAHPFTRDRLGSTASGIDPLSTSKPLSKNTSTLSPRS RARNRRSLHRYDDEGNDGDDDGFGDDDSEGEEDGEAGDYGIDNKTLAKYLDGTHCFDE ICTELEITDKELTARLKRYPREVVIIHS TRV_00616 MPPGLGNKNKGKGREPRGSRSRNTTPSSALSTSASTVTGIGLST GYLDNDVSKLLVPTGVQYSDVLEKFGGVGQIPDQRTLESLVEQLKTLGQLAETREDAC NAGMRELSQKRKEVLEEQREREQVDRDAEEKLRMKREADDDEEDSRILKGGRIKKRKE KIVPKEERPLSHGAHGVARQDGPEGKVDDAPVGSPKRVRNQPSSASSLSPPSFHSPPP ATAGSTAGSPESVDSSESHQPEPAPAVHQYQVFGPDPLQFDDPTVYHIREVTPNMSVE EKKKIYSVASFPESDLKHLMAGEPPDKDFSNAKPTNQVSANTFATYLEPYVRPLTEED IAFLKEKGDRATPFLMPRRGKKHYSDIWAEEDGINIDSINQDRDKLPLNQGRGSIDQI TDETCETDQVSVPPMLSRLYSLLRYEHRTLPDDAGQPGNEESTANGNTNADGSMDIDQ QNGDTEPKQLSSATSFPESSLSGFKVPAAKLDHAQLDERLKAEPRYIGFLGPEDNPDY DAHYDDDIAERLRILQSELKKQMIINGARKTRLLDIARERLAYQEYSTILDDLDTQVQ QAYLKRTRTLGKSKKGSHAKHRPGGAGGGSHPVSTAGVGRPGIGDMARTLMDRRKRWI DCIGPVFKDCKSTVPGKDETVWEPTAMADLEKAELEGWDEEQE TRV_00617 MYGHLQDTVSYQLDLGDNLVTLSSNGPGESISGLLYVPELSNDA CNEEAKSLIPPNVTRRSDFPKPPCALMAIAPWISPNCTLAFLDAANNDRVVGTVLYIP NNSTSKLPMGNGTIWKLDEDDTWKLNHPFPVYAIPGAYGKQLVNEISLYSGNLSSVPH GDALSSEYGPKARARIFARVDVARGRSLMPNLWIFLLAVLGVLIVIIIISSILMRYIQ RRRRLSLQRRIQAGEVDLEMLGIKRMTVPQHILDKMPLYTYSNDGNIMTPAPAVTTVS QNIDQAPSPTTPDSKKPAAIRSFVSHLFGNSRGSTALAQAKGGKRTDARTQALPSNQD VGQLTFSQCTCPICLEDYVSGETTVRELPCRHLFHPGCIDTFLLQNSSLCPVCKISVL PVGYFPETVTNLMVRQERLARRIQEERERQRTNPRTNTPNIPLMNLPQNLRNNTADST ERQEAMRQRAVAMLGNERMAEDEERERAAARPKCKSLSFHSYHSCSPLPSSSCQNLTF LIRA TRV_00618 MVKPLSFKGDKKTKKRKRQPDDNEGSATTEPSKTSAADIEATAD DNGQNWVSADIPAEIAGPVLLVLPSTPPSCIACDVNGKVFASELENIVEGNPGTAEPH DVRQVWVATKVVGSEGISFKGHHGSANSSAISALESFVPISCPNSPGMISLQICGGDI EAFISSKETPSAASKPSIEIRGDATEISFNTSLRVRMQARFKPKTKSAAAKESKALEK ISRKELEEAVGRRLDDSEVKRLRKARRKGNYHEEILDVRVQGKHDKFA TRV_00619 MAAQLPNSMKALRYSKPEEFSIVNIPLPELRENDVMIKVKACGV CGTDLHIHEGEFLAKFPLVPGHETVGVVAAVGPKVKGFSIGDRVVADNSELCGECFYC RRGEELLCEHFEAHGVTMNGGFAEYCAYPAGRVFKIQNLSDVDATLLEPASCAAHGLD KISPKMGSTVLMFGAGPTGLVLAQMLRLNGGCKVVIAAPKGLKMDLAKRLDAADEYVE LSREDPSAQFDALKAANPYGFDIVVEATGSVRILEDAINYCRRGGKLVVYGVYANKDR VSWAPSKIFGDEITIIGSFSETYKFPAAIDYLDSGKVRVNGIVNKTFKIEQWAECLES MKNKSAIKAAITFD TRV_00620 MAPTWVSRFTHHNNRPANAGPPAIESHPAPRPSSSLDPSTLLLP PDQSTTQSRAIPVRRGHSRSTSNPFPAFFAGKGRKARGGSRDIIDSESTDGEDGLHPV ITNARSCSPQKRAGSDNHMEEYRKRKSADCGVVSQMKNCIQIIFSNPKLEVSANQDQH LVSFAHFNIYLAVTVEETRRIIEECLISFLSTQLGEQRVRQARPKTDHSGPGQDEAEL SKQPVYPQSDKDDTNMPSVLVSDVPSTSGTSPQSKAKVDNLGLPYTPTNDARDIRLDQ RSRDSGADVKGPTTVFRALEQYITTCFDGCDTLNNSFITGRTRYHRAASEGSQQSVGR KASVSSATPEDHHVSNLDEKTILLGNFAENASWWAESRRSPKSQLPNNGQSSKSYGTK TSLTNSKSPRINWPELLEWYHLVIHAGDSWNSMILDLPDMGEQNASPTKELDVLAQLN EVIMESRFIVRKTLLRATEKLLQRPKRPLIRPDDTRFLLILIENPLLYSSGSMPRTPS GASPSTVITQHNQRPPGLSTSPYSKPSPEKSSRLQPSAGGSELSSLRYSALKRIFGLI SNLPNECHHSFVGWFSRLMPSHFQRLVEVVNGFVTFRLGKSHKRQSAKAENGLNLGRY VPTFSAPGTVTHAQLHTALQESPEKSPASENKLVSYADDWQIRAAARVMALLFRANGT GNSRKVEGGPSARELSSGSEPNSNRLNKIPINYFYNARLDYADLILDFEAWESRSGKF SFCQYSFLLSIWAKIQIMEHDARRQMEAKAREAFFDTILGRRGVSQYLVLKVRRDCLA EDSLQSVSSVIGSAEEDIKKGLRIEFAGEEGIDAGGLRKEWFLLLVREVFDPLHANDH LAGLFLYDEDSQYCYFNPYCFESSEQFFLVGVVLGLAIYNSTILDIALPPFAFRKLLA YSPSNVTPTLSSPPQPFKPTLDDLAEFRPALAKGLRQLLEYDGDVAGTFCQDFVVQVE RYGETIQVPLCAGGENQPVTNENRREFVDLYVRYIIDGAVCRQFEPFRRGFFTVCGGN ALHLFKPEEIELLIRGSEEPLDIPSLRGVAMYEHWPASSPDREPVVNWFWDFFERIPA KDQRKILSFITGSDRLPAMGAVNLVIRLMCLGPDSERFPTARTCFNALGLYRYKTRQK FEEKLWRAVVDSEGFGLQ TRV_00621 MGALKYVEELQKKKQSDVMRFLLRVRCWESERTDSSFKDLDRLR QLNVIHRASRPSRPDKARRLGYKAKQGYVIYRIRVRRGGRKRTARKGATYGKPTNQGV NQLKYQRSLRSTAEERVGKRCANLRVLNSYWINQDSTYKYYEIILVDPQHKAIRKDPR INWIVNPVHKHRESRGLTATGKKSRGLNKGHGYNKTTAGRRKTWKRLNTQNFWRYR TRV_00622 MQNSNQAEPKRARPNSKGSVPPSRPGRHESISDAFGSDMEVSDE DDLSDNGQNGLDDARNALKNLQMAIEKAGKSDTFAKDMEKKVRTEERRLKNMFEKEDE QWHNEDENFQCQFSSLMIAVLSSRRVKANVTSNGTGDKTVISDSMQSAANHPLYLKTQ GLLESARNLISELDSIGTHGLKAKLPQDPKEGLEKDTAEARRLIARGAEATALAIEKQ LVYNGHKGQLRDRKEEAFLNDINLQAMLDMGRDALNEGPGNGIKPQSWGIVARHLQRG MYELVKALPRAN TRV_00603 GHGKLHDIYAAVQASAEARGWNSVDLLIIGGDFQAVRNSNDLTC MAVSNKYREIGDFHEYYSGARVAPILTIFVGGNHEASNHMFELYYGGWVAPNIYYMGA ANLVRYGPLRIMGMSGIWKGYDYHRPHYERIPYDSDTLRSAYHIREVDVRKLLQIRTQ VDIGISHDWPRGIEWAGDYDHLFRIKEHLMEDSETGKLGNVAAKQVLDRLRPAHWFSA HLHCKYTATLHHQEYQPPQVLIRFKESEQTLVKPPVPSPPVLAEKANTVSDHPKDISE PKLGPIQEVAQDETAKKNAWRDFHQVAASTEQDEQEIFMREVAKYHKQVEVGDITSGS DITYQLKWKKVGVDDGTGREVQDIITTSIDKEGNKAAESSEVVQVKNTDEINLDMDDG SENDEPKANIPAGAEIEPCEKVANEKAPEPEPERSEAKRAATNDAKNVDSVPDDIRSQ LPASFAKPSPVTSGPKPIVESTLPAEITNTTTEFLALDKCEGRRHFLHLTEVKPVSKD DGAGNTGPYQLMYDKEWLAITRALHPGFTVGDKAASVPSNKGDGGYKPDIIAAEEWIE ENVVKAGKMVIPYNFIITAPVYDPSVPVSTQEQPPEYQNPQTEEFCKLIGIENAFAMS DEQRQSQNEALKAANESNKGGIFRLGGHGGRGGRGNRGGRGRGRGRSGHGGRGGHTRS HH TRV_00604 MRLINLKEQIKKNPGLSLVDSLIKSLPENYETSRSSRERVIRRS LDLLTDIYQSFIQLGHTQNFLDDHTTGLEDAKRRRILHTLLDLISLEGIYPSLSAGAG IPLEKRVISSLPTGVVARQDGSEEKTSPRTEAFLLYILKSLREILQDNHFSLQSIIMG RLLSDLISAAAELSFHSQYLAQSDLECCNSMFWKIIEDTPTPLLLPVLTSFLQPTSAP WLKDPISSALSSIPLRKEGVFQTITFLASQFAPETSSTSQQINGPPITAQAIMQSSRI LSSIPRGISPETFLTNVGPKLLSLLDGDDMDLRKTASYIIGNGILPKRSIGAPGTIGF EIFVKPIFDTFHGKTDDTSTLWLRKFNRDGSPASAGNDSHTDGRANVNTHQLSLALSR LTSLVILHPNSALLKRLIGPIMLPLWGLHWHAKSLKNHKWTDHTFMLLQNFFSISSAK SRFECLVENMMWDGEATWTYKHSLSHDIFVGKRESPNPEHTDIMRIIENVDQRADLLV TFLGVDPQRETQIGDVFLLVTSKWLLGGRGISENQPLISPDDIHLGLQKVVYTKIAEK IISQFQDILCRRLDQIVKLVNQLIDHEIHLVTNRDKKGTAKPSLESLGNILKNHDNGS DAHPSDSVESPEPLSAALSLLSTLLLSSDLDPGDEIRTLLSETKLKIDRVLPFVSQAV KHPATALSIHIEFLLSGYLPQERREIVSVVNQQHINDIEMHRQALANLNSPLPPVQAE GLSILSGLIKKSSPVLDIPATLTLLISLIIRGEGNGKDDEFVYLNVISHIGVLASKHP RTVIKTLSERYRDSSEEASLDERLRIGEAILRAVEEIGGALVGETATILGDTMVEVAS RRGKKPKAKEKRLADAKRKELERGKTDDAEDPVAEAADIMNQLDQEDTDSEPEDPARS AYLNKILQAWTSGAASDEQPDDLRARASAISILASAIQTNIAGLGQRIVSTSMDLALS TLTLETGPENAIIRRASTILMLDITKALDNAMEQGIDLGFSFSHTTSSYSLSPINVNT IGNIPDILHVLAFVESKETDVLVRGHVRALIESLEAWTEKAILRGVATSAQPRFELGD RIAGLDIAPVAGASDTGGRPRIEEIE TRV_00605 MHFLRYLIPFVLLPVVNGDYGGSARLRMPPVLRPPFPLGSVPRP FPAPGEFSTSNNAEPDDQGAPFATCGPYAGSCPDGLCCSSSGYCGKGPEYCAAPDCML DYSNGCDAHKTPSGEDTSGVTRDKVGDVPYGEAAIYDCTVPNTIALTYDDGPYTYTKD LLDMLDSFNVKATFFVTGINLGKGAIDDPNYPWADLIRRMYNSGHQIASHTWSHQNLD QISASQRKMQMVKNEMALRNILGGFPTYMRPPYSSCSDASGCLKDMDDLGYHVTYFNL DTDDYNNATPDLIQNSKDIFDSYITKGGRSLLEIGHDVHRQTVYNLTDHILRRLEGSG YRAVTVGECLGDPPANWYRWTSTPGDQGRGDKPPKGGKKPKPVKRPSPNGTCGRRYTC TGSRFGRCCSALGICGNKPRHCGKGCQKTGGICSEVPSNSNKHAQFGKGNTKGSEKNI DHSPTHISKEAEDDADKLGKMEDNKKAKEGNGEKDQNEDTAGHDDDKNTDTEHHSGDE KGHEE TRV_00606 MDELALPFPFEVAVSRYPSLHRSPATNRRSITTAAPSSYVDTGS LWEVPDDQPNQTPTGYQFHSTIETIPKEHYELDTVLEGPEQDVDPISTIEEAPRNCEN IYPEGQNVLRLDHGLDPAIDVEEAPRIQDDIIQGDENIHPIEPTHTSPEGVSPSQPQN VSIVEPKKRSLNRAKKNQKKKVKRGKTTSAVVRKTVESDVEDDVIWINEEKPRSAIID DTDASDDILDLNDNDNLFTPNSLAHEAELVPKSLPKIEVQVQVPTNVADKSGQAGPAP KKRGRKRKKTDQEDISHQKSPPRPVESIISDDSVENRNNNIIPSATPPPLSSPAIVNQ QTPEPKADNHNLHTSVEISESSVDKKIEPAPPAETPKKPTVKGPDKHSPIAVTAKVAY RVGLSRKARIAPLLKVVRK TRV_00607 MAPLKVLICGGGCAGPALAYLLASCGHKVTIIERFHALRASGAQ IDLRAQGIEVVRRMGLLGAIRSRSVDEIGVSFVNSQDKVQGTILANKTGKGAQSLTSD YEIMRGDLVRLLYDATKGDVGYMFGITVESFEQDENTVSVQFSDGSTDTFDLVVGADG QGSRIRKAILPPGAPDPYWRIGAMLAYWFIPRAESDTNISVAYHSSGGRVLFRRSYTS TDSQAYIVLRDSSEDIRRIPKAPMEQQKHFLSQRFHNAGWQADRFLKGLETTKSFYCE EALQVRTDTWHKGRVVLVGDAGYCPSPFTGLGTTVALVGAYVLAGEINQNTDNLPKAL ANYDKKLRPFVNNVQELNRSLMPYYLPHSQWGVTVFHFVVWLICILRIPELMTRFSKP DVHGWVLPYYPELDKERNHKLKEKMV TRV_00608 MVGVTRRPEGSEDSGYGSERWIASKDKFRVSADGQIPLLWRSKL LPVGVEIKDAYNTLISPQIPAILARHELSFVGDRLHRLQPLDAAYETRDVIEISTRDE RPSRTWRDAVNEVLALVKENIPNSQLIQILLVNTDRMYDDVSSALPNDPSIVEPLKRV KNRIVEEVEISMSDLRPSIAFHMRRRRNDFNAPRKPTVIIFCHPHSVCDFAAVEEKIL NILNELDISAFLEILPGKLVLTNPGFILRRMRIAPEELPEHPVNGSSMGVKGKADSAG TLGGWLILNLPREKRQIKCALTCYHVVRSNDPSVTAHTDAHGIHWNDKRGCLAIEYPA SLDTQMAMARLVELRRFHPDDQQLKQQQRMLSELVAGPGIGKVLLASGHQVRDNHRLD WALIESPETFSSNRPPSTRQGSFALPTAGPLYRPHPDAKITQFGIPKEDDWVVRIGRT TIASACISSMKKVEWPSGFFTQEIELVSEDDDVADEGDSGSFVVNREGNLVGMIFAVY RSPSRFHTAYMMTFNSIQSHVKDMTDGGFLSFD TRV_00609 MTSQAATSHLRRTSQPPPTPARWRRQSGHGTDLGAYSSSLALDR LPEANSSCFSRLVEDLRLLRRLAGGLRRQRRQLRLHHNLFVGTTNGNVHILSRQYKVV RSFRAYDGASVTHMRQVPSTSYLVTISEDLSNEPVLKVWALNETEKKTGGPRCRSTKS VQNKLRQFPISALAVLDDLWQVAVGFANGSVTLIRGDLIHDRGAEQRIVFESEEPITG LEIQRSGPATLFIATTSRILSLVIGGKGDGKPARALEDLGCGVGCMTFDQDTGDILVA REDAIYTYGRRGRGPSFAFDSPKTSVNVFKDYIALVCPPRAALSRTETVSRFGTSQVD DIFNTSTFTLLESDLRFIAHSESLSNSVKFIFMEWGDLFIVTVDGKVNRYHEKPLQQK LEILYQRNLYILAINLAQKSGVDRLQQNVIFRKYGDFLYQKGDYDTAMQQYLRAIDNT EPSHVIRKFLDTQRIHNLIDYLEELHDHDKATADHTTLLLNCYAKLKDTEKLDSFIMA PGELKFDLETAIAMCRQGGYFEQAAYLATKHGESDMVVDILIEDSKKYSEALNYTWSL EPELAYPNLMKYARVLLEHCPESTTQLFIDYYSGRYKPRKEEEQSPEVKPQATGGAVQ NIASFIPLPYIGGSKQDNKQSNGTNPQATAEPEDTNEESSTNYEIPKPRTAFSSFVDH PDQFITFLEKLLELDGLKEEDKVDLYTTLFEMYLDTANRKKSSSEKQEWESKAKSLIQ GKNIPVSASNVLLLSDLSNFHEGKTLVREKEGLRADILRSYISAKDTQGVIKALKKYG QEEPQLYIDALTYFASSPKILEEAGGEMDAVLQKIDRDGLMAPLQVIQAFSNNSVVTM GMINKYLSDNIERERKEISNNRRLIASYSKETESRKQQMEELGSKPTVFQARRCSSCG GNLDLPTVHFLCKHSFHQRCLNKVDEDAECPVCAPHNSTLKAIRERQIKAASQHELFH SELQRSKDRFGLISEFFGRGVMTPNNTE TRV_00610 QIPAAIMPIPVPKSDSLMEMFSLKGKVVVVTGASGPRGMGIEAA RGCAEMGADVAITYSSRPQGGEQNAKELMEKYGVKAKAYKCNVGDWADVDNFVKQVIK DFGKIDAFIANAGRTADSGILEGSVESWNEVIQTDLNGTFHCAKAVGAHFKERGTGSF IITSSMSGHIANFPQEQTSYNVAKAGCIHMARSLANEWRDFARVNSISPGYIDTGLSD FVDKKVQDLWLSMIPMGRNGDAKELKGAYVYLCSDASTYTTGADLIIDGGYVCR TRV_00592 AKMASRDNNAVPRADEETMKVVQNDDDLGLVDVESMCMNCHDNG STKFLLIKIPFFRDVLLESFECPHCGYKNNSIKAAGEIQEHGTKYTLEIHDKRDFDRQ VVKGDSSVFRLETLGIEMPTGEGQLTNIEGLLTKIQTQLESEQPLRKTADPATYQALD EILQKLAKMINGESFPFTVTLEDTTGNSWIAPAPYDEGTRYKRKEFQRTKEQNEALGI GIGEEDQAGQKSGDPNDLDIVDGDVYSLPTHCPGCAKACVVNMQKVSIPYFKEVFIWS TVCDHCGYRTSDVKTGGAIPDKGKRIKLKVESIEDLSRDILKSETCVLKSDDLGLSVQ PGTLGGRFTTVEGLLTQIRDQLHEQIFDFGDEDLAPGDSMPVPEKDRWQQFFDKLDAA IKGEQKFSITLEDPCANSYVQNLFVPEPDPQLEEEEYTRTEEEEEDLGLKDMKTEGYE EEHRLAQEAEKAAKAAEEAT TRV_00593 MIQGGDISLSAANEASSSSPLPFDIPKGGASIYHPAPLEQEIHL PTLRHNARGILSMAGKPVKNQGGAGGAVPQDINGSQFFITFAAAPHLDGKSTVFGKVL DPGRTGEEGEQVDTLTRLEKAHVKIDKKGRVVQPESIKDKSESDNTQAWEKIGIDRVT IHANPFAR TRV_00594 MVTICLQRRETIHPAADSMTKRHLAAPRKDVTDIVGKEMLLFRC PMGREYEEIIAMDLPFVLFIPFGRGGQETSRRLPPASLQLGSRTAETYYELVVMVQQG QAEQRKYSFPVPMSRYDTLSTFGMYNRPEAAERVSDHLITLGISLPRWSYGPFDPVSV YVKLSPNPDWMSKVRKVTIQKITLSIDEEIVYNHEGDEPVRKGKTLAKRSESVNLKMP EAGYLTNLGLVFPARDLRDSDGVLPRGKPAFPSYAVSAFTTTASLYKIEYYLTVKAHL TSAKDIVLRQPIVVCPLDHAGCKEEMEAIEQAAREARNINPDNPMLPLPTIVRASDPN ALRYLNVAIVGNTKKPIIE TRV_00595 MADLDEEAINQPLSSSHDASANIDQDVSDETQDFRFLSTFATLS DPTQPQTLPRRGDKDFEPNPTLHQANVLTSARNAMHNALSYPRLHNPKSRIIGVYYPD GLQSGACVCVPNPKGQHFRTIAQADSLNRMWLLPEEALYLLERGSLDIRWPAEETWEK GIPETSSFELEGTVPMSLQAAYACFLGRSGLTMERFSVYSGLRRGGYVVVRADTWDEE NCPTDFQTQTESKKPVPTPAPGVMNDIYRSLSIIPAYDPSHPDDEINKNPPSSPYKIA FYVYKPSTPYKKSNPGPPDFRLAVVDARSNPVIPTLENLSALLASTPQDPPRGEKMDR LLYMRLRHGYRNVILAVVDQGVVSYLRISDAGFMKEPLYSQKRNLGPGNKGDSNFCSL PAIIIDIDPNQREEIYDQTDLKGRLIYPFFLDIPLNDVADHRM TRV_00596 MEKQIQELLARAEAAERRQQEEQRRREEAERLHQEEQQRRLEAE AQLQPVPLPVFLQSCHELLLSINIVTDPTKTTKGPVTKPANRRVPSRMLIWDTFAEEQ AAVWEKLYEHPSFLEEKLFPSEHQLEYVRQFISPINSEMDLRYFERETVENHVRIIID RITEHEDLKAALGLRGSVTFESHTNLGATKKKPLQREEARGTLIDEAAAAETGPPAAA SQGSNSQTRDAITRGQADRFCIYRQEGDEHVPAIAIEYKAPHKLMQTEIATGLTQEIQ PARDVIDKESDDTEFYCKRLVAAVITQLFSYMVLKGVRYGYVCTGEAFIFVYILDDPS SVQCSVCTPSQDVKGTDDKDLQSTAVAQVLAFTIKALSSPPVSQQWHDAVDGLDVWPV EYADILEQTPPDSRPKRISPPYRGRRPRDLPRFRMSLRSRCRPSEDGDRSSSSPSPSP SASSSRLSSTVRRARAKKKAALRSKSSGKSTETPNQKETTNASKFPIFAPIPAIKTRP YCTQQCLLALRNGGRPDTSCPNYRDHQNYKGKIRPGNFRSLIRTQLATDRGPDADCRP LYKVGSCGALFKVRHSSSGHTLVAKGVESWNLHKLKHEMQIYNKLKSLQGKCIPVCLG IVDLVPKFPYYFDEGIYTHMLFLSWAGESISKTGVVPQDADVSTMVHNALQSIHSKGV LHGDAEPRNILWNPTSQHVMIVDFERSVIQNALSDVSANSGSKKRKLADTCFTKELQR IDAVFENKAPNARVGPP TRV_00597 MVTGGSSGIGLGIARRFLLEGAERIILVGRNTQRLKDAARQLVP ETSLDEGPEAAKILNSGRFSLVTGDVGCPKFWCEDVKRLMGKVDILVNAAGVSHSSLL PTAKDEHITQILNTNLQGTIFACRSMTRQALRQRRAQKQQSNDEATASSSSYPGSKCI INISSLHASRGGVGVAAYASTKAGVIALTRAIVAESNLSSSGASIRANVIVPGYIDTS MLDELSEKFRKEIVQSIPLRRLGMVEEVADAAVFVATNQYANNCVLNIDGGLSAA TRV_00598 MSPHAAHGRDRFLKQSIGSLVPKVHESRVLLVGAGGIGCELLKN LLLSGFGTIHIIDLDTIDLSNLNRQFLFRHEHIKKPKALVAKEVAQKFRPQSTIEAYH ANIKESRFNVDWFASFDLVFNALDNLDARRHVNRMCLAANVPLIESGTTGYNGQVQVI KKGRTECYDCTNKPVPKSFPVCTIRSTPSQPIHCIVWAKSYLFPELFGTSEDDVELDH TEDAENAGEIENLRQEAKALKEIRNSMPSDEFTEKVFEKVFHKDIVRLQAVEEMWKSR PKPNPLSYSSLSEESKGIDASICSDDQKVWTVAQNFVVFKDSIVRLKKRLLDGQPETQ DGDKIMLSFDKDDVDTLDFVASSSNLRAAIFGLEAKSKFDIKQMAGNIIPAIATTNAM TAALCVLQAFKVLKNDYDSAKMVFLERSGARAINTDSLKPPNPDCAVCAVAQRKIFIN PESATLNDLVEKVLRLELGYGEEFSVSNQIGTIYDPDLEDNLPKKLSELGVEKDSFIT VVDEEDENPRVNLEILVSERTDDKSPISLEASDADIPRKPKPAGEQEPPVTTQENGIS GKLKRTADEAGLEVVEGQPAKKIANGTEDCNNTPTNPIIVEDDTGTILIDAD TRV_00599 MHADDDYDDDGPDLELLELLRQSLGLGGGNSKTPPPAETKVLEG AKFIFDNAIDVALDPKGTKSAAKNIWKMMQEKEYSTESWSAHDLHPKAKDESTVNFIF TMDLLNFSFWSELDASKRFAVEYRGRKWTGYWSLVAALQRALDEEIPITSSDFWQDEN ECTDEVLRHVFRSATDEEIPLLEERIACLREAGSVLYEKYECSFANCIAEANGSAAAL VNLLAQNFPCFRDEAEFCGKKVRFYKRAQILVADLWACFQGQSYGEFHDIDKITMFAD YRIPQMLNQLGCLLFSPPLESVIRQHKLILSGHQREVELRGASIWGVELIRREIQELQ QQRNGHHEANGSKPLHINAILIDFFLYDMVKDMEADGKETMPHHRTRSIWY TRV_00600 MSLRPIEQALASLLPALSEDLPRELLNLASSLLTQTRSCGATLK PEMEIARPTLKLPSPLSRPPCPPRVYKKLYAYLEQSLQNSSVSSKRQGAEPQAQKRAS ARIQNKATAPPALPTPTATSSAISSPRQVKRTGNGRNNVSSKLVTSSSPAVELPQWTM GQIRTICKTFPSLRRAKEIPSPSTFASTLPPHVYAGLCSVLSFISAAEGRLTEKWQDL VSPVLSLGTKQDDPKALEVTNGRVTTLSIAIYFVVYTRRIGMVYDADVQQYPNKPMTE VDEADMEETIGRALDSVGLPRLVNGREQYSMEVDAWLMIMLEMGWAIGQEWFENIPLP TADEIEAYNQKNKRRKRGLDEDSDFEEDDEEILSPKRKMVKSRNADAGRRYLTSGEQN HCGDTLLPGLGTMMHSQVDWLSEDKRYSYGTWRDRIMMWIEQVEISG TRV_00601 MGQCYKEISAAARKRRDDALAAFWSIPDVKEDELPQDLRSYPQT SGLLTAEELEIINSDASVLLEKLRTRKLSSVTITTAFCKATVIAQKLTNCVTEVLFDE GLKRAKELDDHLERTGKVVGPLHGLPVSLKDNFMTVPHPSSIGMAAYTIEPTVKDSVI VTGLRDLGAVFYVKTNVPTAMLMAETDNRIWGETRNPVHKGLSPGGSSGGEAALLALK AAPLGLGTDIGGSIRVPGAFCHLYGLKPSFGRFSTLGGRAAIQGQEFIPSVCGPMATS LDTVKLFCETILSEQAGQWNADPKLLPIPWRKDVIQPKGRKLRIDILGNNDGGMTCYP PIERALNIVSKALKEAGHDVFEWAPTGHTEVLRLVMEGFKVFGTSAILPQLEKHGEPL FKCMEKTFSTLKEDQLDAVKLSDMIIERNGLQRAYLDRWMATKTDTAGPMDCIIAPAA VAPAARLGYGETVQYFGFTAFGNLLDKAVDLKRDASWNPLNEDDKIMQSEYDPEFYHG APVSLQLYGKRLEEEKVVEMVEVVADVLKFKP TRV_00602 MDNQRFSNYQADEPPGSQRRQTDRQTDTNNGSFLSTAKQQESHY RIKILLLTVDMAPRRKAAESRKSNQATLQFGTQSKISKPFSNASIPGKDLKQKSPSPK EISLPTHSLPKKQDEEQQQLQEPTAEVTTTPASTTSTAVVHEQARAEAALPKSKEDEQ AEALTLKQLHAYWRAEERKRTASRANKQQKQADRNIICQPCIGIARIKRWRRAHALGL NPPIEVLAVLLKEETREKASEKAYIDELLS TRV_00588 ETGLRRQEGWAEHEAKRPELSIRLCIFVHANTGLIVSVSIIRAR PQHQHGGRKGYIYISKGALTTLCFLAQNTVNFIKTSNSPRTSTNNIKLKSYIQVTMPK TLTLVCYKQSRFFPENTAHWGL TRV_00589 MFARFIDAFTKPRNPSVILAVGEDHGTIDVLHLHPETGDVERFG ISSHSPTFRCDGSRETTREQIGTVTLPAGGCTVTGSFLEDVTAQIRKRWDNSMVSNPS SVESVAQLVQEVLRPATLEVIRERKEGGVAAKETKTHSVYLIYITRPKPGKVVSLEPT SLG TRV_00590 MTRFDQERMQRQEQEQEKIRQETWPTLHGIPQLTGPTIPSAGRQ FFSPSPSTLLKIGADEGEDTMTVLGRSIVGPSVPRVDCIVTIPALEAHLEPQQGILMS RQPGTPLVEIWPTLSPSQRKSIKEELCRMLVRMRMPKFTYYGRPGRHPYTLLGVYSKE THAFCASRTEWDESRIQALRASEAGAERIAALEKVQRDTTGTPGWDRPVLTHGDVSDR NILIDPDTLAVTGFIDWEIANIMPAYFEYASARLSEGHDPEWRVELLDVMRSVLRREC EAISTDKGEQLYQKTLLAWDAMVDVERGIMNKISRVEKNSKIHWTISSIIREKRLKCT ASTVSEKPLVKNTDSTISETEKTGSGQETRRRNRKPADQNP TRV_00591 TCLVHPRCDITVAPLREDTERKDKTSTAREGSKGRSKKEKKKRA LHHYYPSSRHPLSYLLSFHHLLHHPIRPRPPHPFLPPPPPPIVNTQFPLEMYTTSAPA QVNGGSGMGNNRVDSGTINPADLNSPISLPSTVLATDLTADATGSRGIKRSRSEDQYG EQLADPDVEDAEKSRTETTRPPSTSTTITASHNNISTNANISSNNTSISNNTPIVATT ITPTAAAMASSQSPLQTPRIAPDIALQSSPPKATPTKSVLKALPTVRDHTTDQLGEDG DEYIPKEFDANGEKKVDGLGYLQDTRTYKCRTFRLANRGNKLFMLATECARVLGYRDS YLLFNKNRSLFKIIANQAEKDDLIAQDILPYSYRSRQIAIVTARSMFRQFGSRVIVNG RRVRDDYWESKAIKQGFTEEDMAGEKRPGAGKAREAAAAEAAASMNAIPSLGHNDVIY TNTSTDAHGLPSTLSHPASLAPLPMINPAPSDDPRLREYSSLPRPRQDLGGQPYQDRS VPSAAADIVNQASHTAEFSKILNQQRSFRAKGLEDHWNRPREIPISDANVQPLPSSTA GSQLSNTNLQSPQLSSSGMMSSLQPQPMLQQPQTVMTPHTSYSPALHHQQQQPQHHQQ PQHQQPSMSPPLRTMQQQQGHHRSPNNPMSLPLSSTPVSLPVSSQSSLYTYPQQQFWG APPQQPLPHQQQQQHHPSQQSPSHTQHQPQHHQSLGMQHPQYASPLHNQHHPHHPSQS PGQRQLASPQLQPQPQPHPQQPGMNSMAYPGVVNAAYSMAGPGAAAGARMYTSPGMIQ QPFGMGVGGVSAGGAGMMPAVSAGTGASLPWSPTGPQGQGQAGPQGAHGSWPGTY TRV_00557 MTAADDVQVGDLVNVPGGMYGTVRFLGPVAGKPGRFAGVELAPE LAGRGKNSGDVDGRHYFTTSIPGSGIFVPMNNSKYVTRRAVAPQLTATSARSGPSITN FSRSVGPGLNLSSTARPRIRRPSLPRPDSPSKGAPLPSPKLNVSGLRTPSGMPKGPPM NGRPRTPLKSRPASRPSSRISMESTTPKRTDAVRTPALEDSDINDRTRELEMQLRERD KQLEVQAATLAEFQQCVTELEGLDALQVRAQLKEKNDKISALTAEFDSHRADFRSTLD TLEVAASETERVYEKRIEELLQANRELQSRGEDVETVALQLKQLEELVSELEEGLEDA RRGEAEARGEVEYLRGEVERTRLELKQERERSSGSFQDGESSAEGSSRHHPTIRDLEQ KDDEIRGLKAIIHSLSRGDPTSQAFLQQNGIGQGKGPSDGDYDANDQLSKMDLRIQEL EAALEHKTQRISELQQEVEMGQAQTNAFRGHTRSGTITTSPLRVHKNAQPASERAGPS VPSHAHTLSDRTIVPGDWTENSPGQGNTLDAQSSSTDDGSAAWCEICETSGHDILTCT NMFGTMGASKPTSHPASLQPATQPPPVTGPPERSGFVQASIDSALHDSMDSSFSSTRK PSSTTPEANGSASASASVKQGSPSSQRTGRDVVLEGLKGIGGIPSSSMAPVAGKSSGV IDESKWCALCERDGHESIDCPFDE TRV_00558 MAQYFFDLLYTFTDCLCCFPSSPQLKINNRSFKLLRLLGEGGFS YVYLVQDKSTDELFALKKIRCPFGQESVSLALKEVEAYSLFTPNRNIIHSIDYSVVTE SGSKFRSDGADAGSKTVYILLPYYQRGNLQDAINANLVNHTSFPERDLMILMLGVARA LKCMHQYKVKDSASRGNGNGAAKGKSKGKPTRRTSRRVDDDEDESEHEPLMDGEVAIS QEGVEEGEYRPYSHRDIKPGNIMIDDDGKTPILMDLGSLSPSPIAITSRSLAIAVQDT AAEHSTMPYRAPELFDVKTGSIIDEKVDIWSLGCTLYACLVGKSPFEARSEETGGSLA MCVLGGDWRFPDEKGGNTDAGKGKTRVSSQGNVADAGSTESGGISPPVKELVRRCLRV EPAERPDIDELIALIKETIQQLPEN TRV_00559 MAQTEEPWFIKLHDEWISSNGSEEGVVEHDFAIIIKDLLLGKIC PVDAAKVIDTYYWDRNLDSGPLFKYHPDGVGGVEGALYEIILDAVELLSYKDNRQEDL AHLVLELHRIPPKPFKRWNGDLFVTDTLFTESLSNRWRDAYIWFLLTYQGHEITSETM KETWVSLSSFIARCIELRIESHVPDSDRYPLIEITKGLGENLSPGLERDTRAMVAAQY ILLTPTLVNDKLAKLSGGRDKPSGSDILKLWIAKLKELAENGSLNSEVKAAVVEARQK LLSLHPEFFQD TRV_00560 MHELSSMSDLPPPYPSEQPKDGIDTADSASICDDDDGAEQDKLL STASRIPEEDEERPCLYESAQESFAKDPFRPFPLPGSSSARNEKKILTFRALLVGISC GALVNASNIYLGLRAGWTSSANIFGENNIVQTAATAAGGLSSVFVSAFPAMYQLNLLD TPWRDFLRVTVLTAAGGYFGLFFATPSHIKVRKFFIFQVAKELNLVFPSSSATAITIS GMHLAAGGSRLARRKMVALASAFAFALVLRVMSQYAPGLLWDWHIFTWLALSGVLPST MFAIQSWGWFIEWTPAFIGTGMLVDMNVALSFVFGSVLAWGIVGPTITKYGLAFGEPV STDSKWDGLVSYISFSKEFANATHPSPRYWLLWPGVACMIVVEFTELACQWRVFWLTG VEIYKACNNILSRLQENRHKYKHLTEEDELRTNQAMDGGIKTWMWLPGLIAVLILTCV VSRLQFDIPVASSILSLVLAFTFSVIAIQSTGATDITPLSAISKASQLVLGATTRGPE WKLESSQRVNLLGGALASIGANQACDLTGDFRVGFLLNTPPCTQYAAQAIGTFFAVFL APAVFSVFASAYPCILSTGDEPRSKNGTTGQTCPFTAPAASAWRAAAVAVTDPEFPVS KTSVQFSIIMSAIGSIVVLVRHHLWIGKWAWMRNYHPNMMTMAMAFLIPTTQYSTAML IGTVIAMVWKRRNSGSFESYAYAVAAGFMAGEGIGGVINAALTIIGIDGAHLGTSIGC PGGEC TRV_00561 MLFRRTNPGRDFNYTDAERTTDTADKPQPESTDNAAEEKKPAET SADAEADASADKADGGEGGEAAGTTEQAAAETATNGASSAPKKAANGKRKSTAGPGQK KLSKKKSMNRIYHLDVQPGEVYLARMKSHPPWPSIVCDEEMLPPSLLSTRPVTTKRAD GTYAEAYSDGGKKVNERTFPVMFMATNEFAWIHNADLTPITPQECKDVSEKGKSKNLI AAYQVAAEGHDLDYFKEMLNDFELAVQQAQEEQEAKAAAKAAKEEKKKKRKSMEVVEG AEDEEMEDAGEKKKSSKKRKKDLESEGEQEKPVKTPKSAMKLKLTTPKASAAGEKGEK GVKKAATAGKSSKGKSKKKTEASDEEVETPKEAEKPVDPQEAKAKREKEILYLRYKLQ KGFLTRDQAPEESEMELMSTYINKLEAYTDLEVSIIRSTKINKVLKAIIKLPTIPKEE EYNFRGRSVQILSKWKQLLESDIPSAGEGSTPADKPTSNGVHKKGRGGKKVEVKKEEE KKTEEADEDTAEPSKDGDISMADADDEKPEAEKEEKTAKEEEKEDENEEEKEKEEEAG TPVKDADAMET TRV_00562 MLSSKSLYTARQALRRCVLQSQGHLQRGLSTSSALSKGTAGHGP KALLLDKQQGLGFAKSNALPPKPRKRGVTEIRGPYYAVWSPVEILTFWILANFRSRMG DYVDGLKFSGVKQHLINWIKQGGWAEHLLTHPDNTTVLDRFDVVELSAGFLSIPEDDW LRLIEKVQSYGLKPKPELGIQFGAGGGTELEAIGTSDPGKLINLGKKFLDAGVERLMI ESHN TRV_00563 MATVALSSRAASCLVQVPRYGLYNINILPQRTPRVCVTTARRKY NGGRREFSSGSPLESRPKISFRLAVSSSGKGRRFSPDRNFYNFHPQVHDALGIQSPNY YDRKANRPDSGEDSFFISKIGYDNEAFAFGVADGVGGWSESGIDPADFSHSFCGHMAE TALNWESSPESLRAMTLMRLGYEKTLLDKAVFAGSSTACIGVARNDGSVQLANLGDSG SLLFRLAAVHHYSVPQTHDFNTPYQLAAVPELIRRQSYLFGGKQFEDMPQDAAVTNCS LQHGDVLVLATDGVFDNLNNQEVLKLVTARMMATGAWTGTSDMGISASDNLEALTKPG GLKFGNNRIKPKKAIAASEEADPQGKGHTLQALLAVTIAGEAKIASMDFRRDGPFAKE YQRYRPWDHYRGGKPDDITVVALVAVEEGRSSASNS TRV_00564 MHPARRAYVEEAQELSLIAVDHDYELPSAAAGIAPEKASAILSQ FERKRRAAAIAVPTDDGRVKARLRELGHPITLFGEDSTDRRDRLREVLADLEEQQAAA GEAGAEDVGMGGMEAEEEDQDQEEEFYTEGSQDLLQARREMARYSIPRAKARVERQKA ESTIPLRTHVKHRKAIREKLGHFELYGSQIAGDRPVSITRFSPNGEIVAAGNWSGGVK LLTVPNLEEKANLRGHTDRIGGISWFPGATLPESNVSESSLNIATGGAEGNIRLWSLD KDTSIGTLSGHSDRVCRVEFHPSGKYLASASFDTTWRLWDVQTNQELLLQEGHSRGVY ALGFNTDGSLLASGGLDSIGRIWDLRTGRTVMILEGHIREIYALDWGIDGHRILSGSG DGWVKCWDLRQVRGTGGVGAHKSVVSDLRWYKGSDAASLLPTTSGLDQNGDMMDVTPT PTKDQPDQPPQPKKSGTFFVSSGFDKNVNIFSADDWSLVKSLQGHSGNVLSTDISTDA KWIASCGHDRTVKLWGIE TRV_00565 MAASFELRARKSISAFEKASLAKLAWLRKNHNGVIQQDTQPLNI SKPFSCWDELPVELVVNIFGLCRLQDLGSLLLVCRKFWYLLQVHEEAITREYLRIRRH GTLPSRKHKPSHYTRAPEDDVILLSDLFPPFVEDTSGREHYSFRYLADLRRRQEVCSK LSYYLADHVLDRFMEENPGWVKSLSHLPKPTQQKIMDRGNALLKSKLTPLMFYTMYFL KKYDEARLELQDSLYEAFEAGKLPVPVQPRDRSIMYRALQTKILQAYPFLDSQALISA HHCIRLLIFSLHRVLVAETPVTTSCEKFIIMLLSTSGLERVTEFFAAEKGGSNQRAMR KEFMRKTQLDWDNYRNDPKALKVFDALSGDCHYPPLMTEIWTGPAIEEISRRQLLIQH PNEDFVTLWNDAHISLGCSYCARTNAKIKWQA TRV_00566 MPFANSDLIVVTGANGHVAQHVVSQLLSFHAPVRVRGTVRSSTV AQKLYAAFDSFVSSGRLEIAIVPDLTVDSAFDDAIQGATYVAHIASPLNMSPENVEND LLMPAIKGNICILESIMKKSGIKAVVITSSFVAAFDARHGFREGYTYSSADWNPISYD EAADPRQDMSQYPEKWRPWITYMASRKLAEKAAWDFYRKHQPTWALSTLLPSFIGGPF VLPLTKGAGSLTFSTSLIWRTALGSEPLFHNDFPHWVDVRDVAKAHIQALITPAAWGR RIVRKHYPEMGPSREKQQVTAVAELRYKWSLTDLIQVHHYSIDSGSSLSILGMDSWIS PEQMIVDLIKQLKRTTLSDAL TRV_00567 MHKSIPSSAVFRRIGPTPARQPFGQTYSRIAQRDKEQCLLCQNG LYRRLTHSQSRKFNTLRSLSFQSPLPRAAPNRAEPASQPTSEDISPGLATRVPDITTH YTIFPKTLPKGPPPKGTFDISLPDLRREFLSLQGLAHPDKFPEGPAKRKAEALSSRIN EAYRALGDPLARAQYLLASQYDIDVTAEDGANKHPQSPETLMQVMEVQEAVEEAEDEK VIADLKVENEERIAQTVNEMGKAFESGDIDTATKLCSKLKFWYTIRAGLREWEPGTRS VRLVH TRV_00568 MASVPGPDFRSIINSPQFTFLIGPGHSKVTIQSGLAKHVSPRLD GLMNNGHTRESRHRIAVLEDEHVETFTGFCEFAYTGDYTVPVRQTRSDLPESSEYGEP CSPSANLHVPPPAPSPPSSPKAPRPEDSCAIWDDEDDLPKEEPAVVQPQALVVKEDPQ PQPQPQPQEEEDDSKGAADAGKKGKKSKKEKKAKKKVVEERPAANTLTPPKTPPPTEN KENVKDIAVIEEKNTEAVTAVAPFQQEEKPKEKPEEKPEETQNTESGDWPEFQATQAE SILETSKSEGSVRGEPFFPLPSSRPAGVSLWDEFTAIQYPQYERRTAPLSHSQANSDS RDVPYILYHAKIYVFASRYLVPALAQLALTKLHRELVSFPLRASGHGYGDNGTIPYVL ELLHYTFKNTKAYDPRFPSLDASADLPSERENRLRKLTTHYVACKKEMLH TRV_00569 MGFEGVYTAVYDYTPQGENELAFAEGDLLYILDKSSDDGWWKAK KKTAEFESEEPVGLIPHNYVEKAQPIQRAKSIYDYTRQTDEEVSFADDVHILIFDTSD PDWTLVQVNTEYGFAPSNYIQVIGDIEAPGADAAASPAVAAQEETRYAEPEPETQPEP EPEPEQEAPPAPQASKPPAGPAAALAGILHNQQPGESRSIPALPSREPQAAAPAVERA HEDHEDEAPAPALPRRPQSQEESPREHLPSPKEYRRPPSPRAHLDTSTGYSRDRSRGR SPHDSLKAESTGVQPSPPYSRLALRDDIYPRHTSTSPSGYHIYNISEMISIMGKRKKM PTTLGINVAAGTIFISPEKSEDGPHQEWTAEKLKHYSIEGKHVFVDLVRPSKNIDFHA GAKDTAQEIVAALGEISGGYRAEGLREVIAAGTSGAKKKGIILYDFVAQGEDEVSVCV DDQVVILDDSRSEEWWMIRRLKNGREGVVPSSYIEITGVVDSGLSGVTAGMSSVEQNR LEEARLAKQATRSSKRQDSDGTGSIEVGPGVKLPRRRSSLGRIDGNEQSQRQRRESKS SKSKPNPAKTRKWTDRSGTFTVVAEFIGLADGKMHLHKQNGVKIAVPVSKMSIEDLEY VEKVTGESLDEDKPLSDIRRRSQMGNERHKVVGASIKKEPEYDWFDFFLRAGVGPHQC ERYASNFTKDSMDESVIPDITSDVLRTLGLKEGDIIRVMKYVDEKYKRKAKGGRNVTF ADGEEEPASGGLFSGPGGALRNNTRKGRPAPAAQASDVVDPKAFAPKDKSASADGKDK EKDGPAGFEDDAWEVKTPSKPAASTAPSSAPTTAASQPALTGAMADLSLLQTPLEPTK TAPAPTTKPAEPAITQPPATQAPPAAQPQKPGANPQFFTQLGQPQMQAIAPQMTGYVQ PQLQPQQTAMPPRQRPQPPATAVGQGFLTPPPPPRPLSAPNNPPNGFVTQPLQPQLTG IPSTAPHIAPPGHSLAELNQQRFQQQQLVSQPTGFPSQVPAMTGQFGPQMPQQQPFMS SQQFMGGQQQPFQTTSPVQQQTFPALAPQPTGYAQFGQQPPPPLPTGSINSVLPPPLQ PQRTGANGFGVPPTSFTPSPPPIPQQPAAAPLLPQKTGPAPPVRFGVHKDAPKKIMPQ PTGKANLAQASTLS TRV_00570 MRHRTLTALLAGASFAFAAHKPFSIQDDVLANPQFEVVFPDEYI LESDAQLRLVKSQSKHKDATNTPSQPSDAPNIVSQDERSELSEQAPLQGESEADDEEL MKDEDDVSIDSYHEMVLDGQRFLCGIPSVTPTGKDNTSTSTPNPEDEALELARATNRG LELLSDLEGKCLYYAAGWWSYSFCYMKEVRQFHARVPGQGVPVYPPAEDPDSKTYVLG RFQKNSERGQPTAASTEVAALQTKGESWYLVQYLERGTICDLTRRPRKIEVQFHCHPQ SPEHIAWIKEVTTCSYVMMVYTPRLCNDVAFQPPQVEKPNSIKCREIISPEHVSTWEA ALASKKERAKKELVEATDEPFATVGDIQVGAMKTVGKDGKRIEKDQFGFGGEPKVKVV ARSEGGKVKVLSKETLKKHKVTSEKFERLKQELDEAADGEDWRLEEISTSRGEGLRAI IDDPFDDVGREEEEGEGEGGPDTQAQNEGKADKDTSKETSPEDQQQQKQQQQTKKSTK KQQPKSNKVRMLRKLQGQAASDETAPEAGSDETYKTDSKVAKAKKEKLHKTDKTGKSD KAEKKEKDEKDEL TRV_00571 MIELDVSVVREKRNETVKMVKVEKDEQQQQQLQQDKLKLIDERN WQGCLLSLISSFFFFCRAIMASGAIEPFLKGSTSENTRGLLRVVILLLIAAAAVSSRL FSVISIDVPQIIDPWFNFRATKYLVEHGFYKFWDWFDDRMKLYILPPAPFRVDGSAVP GKVAFILTEFYLGTWHPLGRVTGGTLYPGLMVTSGVIYKLLRLLALPVDIRNVCVLLA PAFSGLTALAMYLLTTEMAPSPSAGLLAAAFVGIAPGYISRSVAGSYDNEAIAIFLLV FTFFLWIKAVKNGSIMWGALTALFYGYMVSAWGGYVFITNLIPLHVFVLICMGRYTSR LYISYTTWYALGTLASMQIPFVGFLPIRNSDHMAALGIFGLLQIVAFVEFLRAQVPSK QFQTVLTSLVLLVFIVSFAGLVLLTVSGVIAPWSGRFYSLWDTGYAKIHIPIIASVSE HQPTSWPAFYFDLNMMIWLFPPGVYMCFYRLKDEHVFVIIYAVLASYFAGVMVRLMLT LTPVVCVAAGLALSQILDVYLRMDSPNPDAKKQGSGDKSTQGGLRIARNKVVGIYSNF SKLVVSGTVLVYLLFFVAHCTWVTSNAYSSPSVVLASRLPDGSQFIIDDYREAYYWLR QNTPKNTKIMAWWDYGYQIGGMADRPTLVDNNTWNNTHIATVGKAMSSSEEVSYPILR QHDVDYVLVVFGGLLGYSGDDINKFLWMIRIAEGIWPEEVKERDFFTDRGEYRVDEHA SPAMRNSLMYKMSYYNFHNLFPPGQAQDRVRGVRLPSQGPQLTTIEEAFTSENWIIRI YKVKDLDNVGRDHGTAAAFEKGHKKKTAASKRRGRPVLRTE TRV_00572 MSKSMASSQLSAAPSSSKARLPPTPQERALAIKKLQEEALSRLP LDSLYIVLWIRADPPVSNDFHWGYYFHTAKLKGHKYHMKNMGHGWIADHGPTNGVFKS NFLCVLIQIASIPPEKKSLVDQIMRSRDGDVNSIPGMSCRVWVLTIAEKLSENGLVRY TSRDALEQECFRVGNQYSAAAAENTQPRPVVRSEVCH TRV_00573 MTSLWMPRILRPDYTWPRPVLLRISEITPPVPRISGRIVRRLWG ILHKGLYIFSEWYCYWFDVPFDGNIAQLPFGLLLKWTDRTSLEEAVAMQMVAAAGIPT PKILCYGEHPNDPYNRHISILMTRLPGITLENSRDPLDIETSGPWLEELKTCVSAMRQ WSPPEKLFTGSVIGTAVHSQRVPNHIMGPFTTQNGLYDHLFSTASSHGFTSTEKFEEA LTEARKIYERPHRVVFTHGDFKAHNILVDDDGHLSGFLDWESAGWYPEYWEFTTAMRF GRNTWWYQVASWMGGDQYSQELSSDVALNLLTVDSYIAW TRV_00574 MGWTFPSFFIILISIIASIYQVKTLNLFNTSSTTTNTSLNSTSN TNNNTITNSTVHTNMSAPRAIRQAFLAIEKSEGMGARVRRSIGTPKLRNFSPFLMLDH FTVGKDAGFPDHPHRGQETITYLLSGGVDHEDFAGHKGTIGPGDLQFMTAGRGIMHAE MPHNNPDGSPNVGIQLWVDLPKKLKMCEPRYRDLRAQEIPIASADGGRATIKVISGKS HGIDSVKDLAYTPVWILDITLKPGGRINQILPIGWNAFAYTLEGTTTFNKKRVPQYHN VEFVQEGDSVQASNESDEESRFLIIAGQPLDQQVVQYGPFVLSSTEDVYQAISDFQSH SNGFERARGWQSEIGKRML TRV_00575 MLTCSVWTVDNIPNSTLLEADSRSDRGYTAESTPTTVCQTMASP SSSVRHRGGKKNGESPTPSPAAAPDLKSTENKPAAKKGKGQTESSSEWDYRLALVIVT IGAFATRFWKISYPDQVVFDEVHFGKFASLYIQGTYFFDVHPPFAKLLFALVGWLVGF DGKFLFENIGDSYTDNKVPYVALRALPAMLGSLTVPVVFLIMWESGYSLPACVLSAGL LLFDNAHIGEDRLILLDATLVISMALSVLCYIKFHKNRHQPFSRKWWKWMLMTGIALS CVISTKYVGVFTFVTIGSAVLIDLWGLLDVNRRQGKLSLFEFGTHFAARAFGLIIVPF FIYLLWFQVHFAILTKSGPGDDFMSPEFQASLSDNPMFAQSKGVEYYDVLTFRHKDTK VYLHSHPDRYPLRYDDGRVSSQGQQVTGYPHNDTNNHWEILPQVPFASDNRTGHKVRN GHIVQLRHVATNTFLLSHDVASPSYPTNQEFTTTSPEEAAGNRHNDTLFEIQVPKGKA DEEFRTRSSLFNLIHFPSKVAMWTHTNPLPDWGYKQAEINGNKNSKEPSNLWYAEDIP SLAPDSPRSHQEPRKVQPMRFLKKYLELQAAMFHHNNALTSSHPYASEPFQWPFLLRG VSFWTKNDTREQIYFLGNPVGWWLCSSLLAVFVGILGADQLALRRGIDALEEIWGPGT RHRLYNSTGFFFLCWAAHYFPFYLMGRQRFLHHYLPSHVASVLVTGALVEFIFNIDPA GLSEDFVASKPGHGPLKIKHTRQSLLAIWAATIAILGATIWGFGFFAPLTYGTPGLDV AGINARKWLSYDLHFAK TRV_00576 MVDYIPPPEPRQLLAPLLACLPLGFAASRPPPVLLPLLSPVLRQ RVQLLGAGSGTGSTSSAESWLRLLCWGPANAAEVERIVGEAESSFEPHPVSGEIEIPD DIIIAYRWLDAETLRCQLPLDEFGLTVVYVWCPLDQEGGGAGWRVAEVLPLDALRDEE GAWFRSIEEASEALRESTAKEPVKEAKASVPVIVHEDDNRDGEDEDDYWAQYDNVQSR TPANGAVSLGPDQHNGRGGEQMSDTAYYNQYSNVQPALDSDDPTIDKAEVGDSSLNGN TLEDIFKRQMGNIIQQQQLQSQSATAAAAPERGRQPAEEESELPLNHPRPASASSSNR SDAISKLEYSAECQSASEMAIRQHISTNVKSMFRLARAAGMSRAEYQAVITRELELLE MMENDD TRV_00577 MKAEQREETTRLSTAASRSSSSSRGEEDEDKADKRQPAGRAKMA DRNMQLRKEEILAKKAKLAELKRQRELRQREFSQNRMSVGESSEVVAPVPNRSDNRAE LDNLISRLVDRPSSAALKDGGEQSPRGRGSRPNSVLSAGQLSGDMETFTSPARPMSLS IATQTIDETPYTAVPEPAPAAPPKVEILTYSKGVQTDSIPEPETPVDDDISELEDGGS PTTPSRTSKRFSRRAREKDEEIRDNLRKEIEEELKLAKDWANGGPTTTSTQLRYPLRT LTADELNAVTSASEFLDFVERSSKVIERALDEEYDVLADYALGGVGAEDEDDEEYGTG KKRRDLKEITQFFDERWSKKRMISDISFSPKFPELVLASYTKNPTAPHEPDGLVQVWN QHLHTRPEYVFHSTSDILTAKFSPFHPNLIVGGSYSGQVLLWDTRSSRAGGGAPVQKT PLSGSGHTHPVYSIAIVGTQNAHNILTASTDGVVCGWTVDMLSQPQEYLELTTPPPSK TEDLAPTTLSFPQSDPTFFLVGTEEGTIYPCHRYDRAGAKSGTDHRLSYRGHAAPIMS TAFHPARGPVDLSDLMLSSSLDWSVKLWRIRAPASTSTSGTSSTAQLQTVSPILDITR EDVVYDAKWSPHRPSIFSLVDGAGRLEIWDLQQEVEVPVASATPTAGRGGVLTKGLNK VAWEEREGRRIATGGLDGVVTVFDVGKGLSGSAEDVSADEWAGMKRLTGRLEQASRER NL TRV_00578 MATSQGVNVLRYSALFAGVFYGLYHQSTLNTQARAAQIEREYKR KESLIEQARAEYKNKTSPPKKDDSSVITDPNDARFDLEALLKATAGETAK TRV_00579 MSSAPSVPERLTEILSTSTSPLESLIELEGEINIKHLQDGTASD REFLSTYYSSYLICLLLDDDIHEARMIFRRLPLTLLEDDTLMKALEKLVRAVGTRDHG TVYEILKTAPWHKLTEPLVQSYTVHYRNKVIDDTSLSYKTVRLPTIESKLGLEPDSDA MQDTGDDVPSQLIQDLSAKGWTYDSVSRLMHPARPVTQPGAQERITLGQVTALIGNHG SG TRV_00580 MALPGNSLVEQMSQLDAARNLVLGDAALYPQIVHGILPIIGVNA RLELRRWGAEFLTETFSSPAFPQQPKQKLATEILGAVNDLLNTPENDETVVRGAIMTA ASIYVLIFRQVVSDASQASLWQTMTTIKHTILKKWDVSSHGVKICCIKFAQKVVQVQT QGPIADPRRPEKNETSLAIVPRNHPLLAIPNIEAETSGLLDRLLNVFHENSRSVVSPR RAKGAAFNQEKQRSHFGQRNPQFDPRLNTNPAIYLKQDHKCHPQLQSAQTGKLANHTN NETSRHGSANGELLSRAPTHPLAGRIQQYIERLAQSHNEIFDEASRKRALPAEPTDVV DSAKRARLGLETPPQHKIPPLPPGPTSFGQLFTLTEDVGLASFDVKQLPVDLVVKIII PVLTRVGGESLDLAIGAVRSRYETICKKQVFERQNQAASAPPAADEDDDDYEPEYQPM DIPGPPTDIAKPEAPSTEGLPDIVSLGPFVLPRPPSLSPEDVEHLGKETVSRIFSMIS TLPQSSKAVSNNPSQSLGFGRLAASSSDRESWLTLLTRLATRSSAGLESEESVDDQKE PGKQNIANTIRNMLYRYVLEDFRARMNFAISWMNEEWYNDQIQLKNASSQIEGDPKNP YVPIHYGRWSLKLLEGMLPYLDAKDKVLIRFLSELPELDSPLIQKVKTLANDPERVSL CIQALQ TRV_00581 MLANNTSIDLRFQAGSMPASLADAIDSDSRSSSLSDIDETLSHG QLDDLSPRPSKFASEIDSEAETERIEDSPHHNRDRDKASIVLSGVGGVFASPSKLAQS TTYDQLDEEEENEDEDDEAEDTEASPSKPPRSAKTNGTGNGNAHSEKESDYKSTKLSE SQETLSLIKKRKRMNSTHDLAALPQDDDDGEEPARKRRGSIAVEPSADDESAYPPVVQ SPEEEDEDEGEAEAEAEADAEPEPEPEPEAEAEAEAEAEAEDDEDKSKLNNADASKPT SPSPVSDEPYLSSSAKRGKKGKRDKRRGKKGNTETFGDSTPRAGSVVESNGVDATEEQ AVDDTLADTGDDPEAAVKLEESLDLLSDLERHFATLRDRIYDERISNINEELSQLSSP SPTHPEFLRQAAIVQSHINAKINHEKILHAYKFQALCVKSRAERAQCNSSYYQTVREI REAALDAVSEHQYKVQHDRFGGIGISCPGTGAGSSSYPGLAGPASGILADATSDTVTE YTIPFPTRLSKQIAHQAAYNREVSVLAGFAKYVGFPAAPSLKPSRAQETDEDLEKMGI RTRR TRV_00582 MFNKNRLYVALYARGGSPTMPGKEDTYHWAFLVGPKVEGESSKG IRYQVKQRHQIGVGLEWVFEERECPLTPTSMLLVRVIIGKILDMNRLAEILRNTPVRE DQPGWNCVAWVKEALESLANDSKALGTSVTEWDKVRNAAMEYCQQKKDQRRFNAWSNF DMNKTPTYNLLELKETII TRV_00583 MGKKAKAQAAEAAQAVAAPVSTASTATGGFPLAATKVDPGLAAL FAQSAGPVKEPELKPVSRREEKEADEEDEDEEDIEEIEEDEEDDDEEEEGEDDEDEEE DEDAEMEDMEGKASRKRKRGAENDDLEAAYLDRLSREEERERKKQAEKTKRVKTADEA EGDEGEADEEEEEEDKIPMHESLMKSDQADPMDKASRTLFLSNVSTEAIKSKSAKKTL LKHLSSLLPKPSSSSTTTPSTTHKIESLRFRSTAFSSTALPRRAAYAKKELMDSTTKG TNAYVVYSTAVAAKKALKLNGTIVLDRHIRVDSVSKPAPVDHTRCVFVGNLGFVDEEA QPADQDGEVKKKKKAAAAADVEEGLWRTFNDQCGGEGVVESVRVVRDRLTRVGKGFAY VQFKDENCVEAALLCDGKKFPPMLPRKLRVTRAKRIGAGSEGVREGASRQRPTGGKAR KDEKLFGQAVAAELRNVEREKKKKKIGQRDGRSGRIGPGNDANAVPLGDRSGSGNADT RIVFEGYRAKDGAKPAFRMKGKKKGGKPKTRSSRRASAYKARK TRV_00584 MRSVLYLLFTAVAAVAALENPFLVPPGGYQFNTREPTVLNWQPT TPGTVTLKLQMSSDITPHSGLVLAAHLENTGTFTFLPPPDLMQNGLYTVQIIDDNDPS KYNFTPSFMVDGATGGPTTGPTTSRTSMTTSEATTTSGESTTSPSSTRPSTVSPTTTD SSDTTMSTVTSSSTPTTTDSTTTSESTAVSSTRSSSTGMPTSSGAPDPNGAVSLALPG GLLSIVLSLMALL TRV_00585 MSGFSFPPPPPPPPPAQPSQPTYNNSSRGGGGGGRGNSHHRGRG RGNGPRRGGRGGGYGGDMNASWGYTPPHQHQPQHLPMHPNQGAYAYGPLPGYGGPHAP APPPAPAPAPPPQTMHFGPPQGGYLPPAPAYADSRAWTFNPKQTYTAPAYQRPHQKHT HTQAPTHRPPKRQHDTAFTTAPLPVPSFNTLPSKPPPVQAQTKGQANPARPRKQRKHN QLGLTPKTEEHESSESEAEDVDEEAKLAGLLTKGELKFSYRGRTSTLGSTAEVQAWIE ERKRRFPTQARVEERKKEEEARKAAKKQKDEEMRKQREEAKKKREEERKKREAEAAEA KKAKEKEKQQQKKGDASDVAARAKIRADKLREKLARQERKLAQAEAAAAKQQAAAEAE VEASAGAGTAAAAGQTAAGDQAQARVKPDGDEAVASTTTTPSLPTATAIALLQDHTPV AAGATKTLAPDLGNQASGADDDSGSDSTTSSEGESESESESESESGSDSDGESDSGSQ PEQTSSRRQGPDRVPPRRRCHQFARTGQCRHGSSCTFLHEKKNQGQPKEKQQQQQRKG DKPRAGRKSLYERAASAINEDMHGAISELTGDV TRV_00586 MFILTTISDLVQISPEDFSKLSAVAIEDNINEKYANKVIDADGR LTEVQVIQNVGLCIAFYDLLESSDGLIGHGTGLVNVNVKFRIIVFRPFKGEVIIGKIT NGTEQGIKSTGTAHFDIDSDIDGEDANDEQLELSSSTTSSSLQTCCWTVLDFDSDMKD QVWIWDNGEGGIFYFDVGETVRFRVEQEEWHDQVPSGPDLQDGLATPDRKPPYSIIVC QPSTPYNYIYIYVYNTNSPQGSMQVAGLGLVAWWS TRV_00587 MATTVSPSSVLPAQQEQKATIETPPRAPSPVHRFGTRAVHTGAP IEPTTGAVIAPISLSTTFIQHSLGKPIGDYEYTRSSNPNRDNFEAAVAGLENARYALA FSSGSATTANILQSLAGGSHVVSISDVYGGTHRYFTKVAAAHGVEVTFTPTIETDVAK LIRPNETRLIWIESPSNPTLGLVDIRAVATIAHQHGIQVVVDNTFLSPYIQNPLDHGA DIVIHSVTKYINGHS TRV_00549 NICAGPGIWPEAEAYKRDQRAKHRSGPIWANPLQEEREGRGQRR RWAGLRGSSRSGGGGGGGGSGGGSGGCSGGGSGGGSGGGSGGDGGDGGEGGCHCAAHA AGDAGVVAAAGAVAGDAGVVVHVAVFVAVAVTVAVAVAVWLLVLLSVARSREEKRKKS SVVFPMP TRV_00550 MTGFFTILSFSLAALSVTNAAQILSVPKGAEVVPNGYIVVMKDD TSQQDFSSHRVWISSIHHNMTRRGLDGAGVKQTYDFDHLRGYSGIFDEDTIKDISNDP KVAFVEPDAIISQHVVVQQRKAPWGLSRLSNRRGGRNYVFDSSAGSGVWAYVVDSGVD VRHAEFQGRAVWGSNLVDNKNSDGTGHGTHVAGTIAGKTYGIAKKAKVVAVKVLNSEG KGPTSGIIAGINWSIRHARKHGMLQKSVLNMSLGGTYSAGLNHATAQAIKAGMFVSVS AGNDNINSNGNSPASERSVCTIAASTENDGKASFSNWGPAVDLYAPGHNILSARPGGG SQTMSGTSMAAPHAAGVAAYLIAKEGIPGNRACLRLKQLSQPTIRNPGPDTTSRLLYN GSGR TRV_00551 MLRVACEGTPFEVGYQHGHAAKDVIANTLEFSLGLIRGRSKKQE EELQRVATNLAQVIEQRWPKYFEEIRGIAQGAGREVLEIIILNIRTELAYGLVHLDGC TSVFCKTPEGSALQGQNWDFFSASKNNLIQLTIRQPDLPVIKMVTEAGIIGKVGLNSA GVAVNYNALHVPGLRPTGLPSHLALRMALESSSPTEAYDRIISQGGMATGAYIMVGNA DEAFGIEYSHADIVQQVPDAHGRLVHTNHCLLSHDNNAPEVNPLPDSFTRYERMMQLM SQTERLSKEQFSRLWEDEDNYPVGICRGYLEGKSRGETLFNIVYDHSCREATVRVGRP TEPKETFVLRFERDEITTKP TRV_00552 MSTTPVADVPRINVSPLFGDNMAEKMKVARAIDAASRGSGFFYA VGHGVDVNRLTEETRKFHLSITDEEKWQLAIRAYNKEHEKQIRSGYYLPIRGKKAVES FCYLNPNYSSDHPRIQQGTPFHEVNVWPDEDKHPGFRQFAEQYYWDVFGLSSALLRGY ALALGKEEDFFTKHFRKHDTLSSVVLIRYPYIEDYPAPAIKTASDGTKLSFEWHEDVS LITVLYQSQVQNLQVQTPEGWLDIEADDTGYLVNCGSYMAHITNNFYPAPIHRVKFVN AERQSLPFFVNLGFDDVVEPWNPGSNSQNSETARKPIPYGQYLQDGLIGLIKKNGQT TRV_00553 MTIENDIKRPSNDAITLENGKALNFRKQIPSTHERMYCNGSLDK WRLCISHISERCDLSGLAKACTKYQLALTGFSDVNTHRERQTVTVDVPEEVNTALRDR YIRQGGSLRSIVLFAVHQMLRGFGNGSHTVTASISPDSEHRLSWEVSPTIVSHKNRGQ TSVLEAIRNIDISNQRLQSSKNEEIEEETQPNRASRFEEVGSELEGGWMKSELFDLPV VFVKARLDHVMRLSSIDVDFPLAVLVHEGHNDGDLQLAVQFSTSLFAPETIQNFTDAL KILLAEASTGPGTLVKDIDLLSAQQIQQLDVWNNTDGDYESSKRLNHLVEDAARHCPD KELLYLCHFVQPEQLIGLFLEKNELLIITILAIWKSGAAYVPIDPAFPDDRVRFVLDD TKANLLIASDAHAARLQKNITSNKDIQVIRLELLLKELTSSAAGEFHDGQNLDHLPLN SRQLAYVTYTSGTTGFPKGIFKEHTSVVNSITDLSVKYGVAGSHHETILLFSAYVFEP FVRQLLMALVNGHLLAIISDVKKYDSDYLLSLMQRHQVTYLNGTASVLQEYDFSTCPS LKRIILVGENLTAARYDSLRERFKGTILNEYGFTESAFVSALNIFKPHSKRENTSLGR PVRNVKCYILNQELKRTPIGVTGELHIGGLGISRGYLNRPDLTPFKFIPNPFQTGREK ELGINRLMYKTGDLARWLPNGEVEYLGRADFQIKLRGIRIEPGEIESTLSMYPNIRTS LVVSRKLRSGGEETANEHLVGYYVCQNNVEVVPEESLLAFLETKLPRYMIPSRLVHLE YIPVNINGKADLKALPMVDLSRTAKGALNNLGHQTEIDLQKIWSDVLGIGNDSISPED SFFRLGGHSISCIQLIARIRQQFSVIISVEDVFSMKTLSRMAAFLRKRQSEIEASCTT DIATLSRASLSFPVLSGSNSTCYLANSLQQGFIYHYLKNKEPSGTYIMQSLLRYDTEL RPDVFQKAWKLTQHAFPALRLRFLWEKDVFQIIDQDQPLHFRFFSSDRDLEGLSREDK LQNLQKQDLREDYKLDAGELFRVYMIKHDDQHFSSLFSCHHAILDGWSLPLLFQHVHE EYLRLIKGGHFHFDTHIDKAYGHSQNFLQMNRDTHLEYWSGVVDQITERCDMNVLLNE QSRYKIPLANYDQVRDQRQQTLHLDCDMHLRNIHDACSERGITLHSILQFIWHTILHK YGGGSHTVTGTTISGRNLPVEGIEHSVGLYINTLPLVLDHTEYKNKSVLDAMSDVQAK VNAMNTRGHVELGRLYKSNLKHGLFDTLFVFESYPALDRVKELHHQEALHYSIQGNME KLNYPLTAIVQDINAATIDTLTFTICYASELFDTPTILELLSMVQDTIKQISSNLTRP ANSLEYLSLAQVNQLAEWNATEADFPDATLHQQFELEVDRVPSKTAIIYEDVTLTYHE LNERANRMAWQLKREVDIKPDDLVALVLDKSEHMMVSILAVWKSGGAYVPIDPSYPED RISYILQDTNAVTVIADPIYIPKVEKLTRPGVPIYPSDLATHNTSDWSSDNPPPSSIS TSLAYIFYTSGTTGRPKGVMVEHHGVVNLQTSLSRIFGLRETDDEVIFSFSNYVFDHF VEQMTDALLNGQTLLVLNDTMRGDKERLYQYMEKNRVTYLSGTPSVISMYEFSRFKAH LRRVDCIGEAFSEPVFDQIRETFQGLIINGYGPTEVSITTHKRLYPFPERRTDKSIGC QIANSTSYVLDEDMKRVPIGAVGELYLGGDGVARGYHNRPDLTADRFPLNPFQTDKEK SEGRNMRLYKTGDLVRWIPGSRGEVEYLGRNDFQVKIRGVRIELGEVEAVLSSYPEVK QSVVVPKKRAQGGQTFLVGYCVSGSTISSIDIKRFMQSRLPDYMVPSRLIFVTKLPVT PSGKLDTKALPAVDESTENEVVAPRTEIERTLREIWAELLEIPLEDISIYSDFFGLGG DSLKSTRLSFMVNEFLHRTISVAELFQHRTIEALGRLIVNGIGDIQDIALITDTNKDI SISPAQERVLFLHELEGGNSKGYNITKTLKLSSQTSPSLIEQALRELLSRHEALRTLL QKDAVSGIYHQKILNNNEAQSLFFINTSTVRDLDHLDQKISDASQHIFHLGEELPLRV YMFTENDVSLYLTFVIHHSNFDGWSWNIVFRELVMLYSRLQGKDSITLPKLTVQYKEF AHHHRHILIGDRFRVLSEFWRSKLENFEPLHLNTDKSRPPHFEYDGDDVNFIIGEPEV KELQKVARQCKASLYAVLLALYGLMLASYTHQTNIPVGVPVTHRTHPQFESVVGFFVN LLVVRVDVTRQGIVGLVKRVIRELVDAQLHQDMPFQEVTKLLNTEHDPSRHPLVQTVF NYESNQADTLRTKENKGGFAEYQPKQTYSAAKFDLSATVSEMGSKVMVNFNYAISLFT KSTIMGMLDTHRHLIYGVCRLTERRINDTMQLPLMPTCQGDGSHLPLLETQLAIPRDK EPVATLNKLFEAEVALASDCVAIVEGEKTITYGDLNVQANQLAWYLLSITDLKPDDHV ALMLDKSISMLICILAVWKAGAAYVPLDPTFPDNRIGTTLQESKPKAVILHSKYAARF ESQGMSLITIDTTENISHQSTDDVKSNVQPENLAYSIFTSGTTGKPKGVSVKQNGVIN LRNGLRMRYFGNCAPGNHSVLFLSNYIFDFSLEQIALSILSGQTLIIPPEHSFASNEF YDICNSYKLSYLSGTPSLLQQIDLRKLKFLKMVTAAGEELHASQYKKMRDSFHGTIHN AYGVTETTVYNLITAFSANDGFENCLREVLPGNRIYLLSHNLQPVPLNAVGQLYLTGD CLARGYLNQPTLTTQRFIPNPFRTDEDAASGKFSHLYKTGDLARCRLDSSGNPYIEYM GRNDLQVKLRGLRIELSEVQRVMSSVPGVEDCAVIAKYMDDNPRSRVAQYLVGYYSTE NPSGLEEIIIRHMKTKLPGYMIPDHLCYLRGPFPVTRTGKLDTQKLPDIDVTTGPRAV YSPPRNALQSKLCALWASVLGVERCGIDDDLFKMGGDSITSLHLVAQIHHRLSCKVTV RDLFEHRTIRKLYNNVLHNDLSGSTSEFRTEQGLVTGEAPLLPIQEWFLSKPLEKRDR WNHTFYIQTPELDVDRLSVAVQKLQDYHDAFRLRLKQKGETFVLSFPTEHQKIALRLL DVSSICERAAVNEALSEWQSGFNIENGPIGIIGYLYGYSDKTARVWFSIHHLAIDTVS WQILIRDLRNLYLDENLGSKGSSLRLWANSMSSYQGSKLEREYWDNLIAQSSQSVAAL PPSTGPRLKLGRVMGADKSASLLQHAGQMEASVLAAVGLALQDVEPMCCNMVTLEGHG REEGIDSTLDVSRTMGWFTSMYPFQIPHVKEANMLAAVAEIKAEVDGVPCHGAGYGAL YGYVRHPLPSVSVNYLGQLTRTSHMPDYDWNLAIGDGEYAYGLTCSPEDAKKSSSAID ITVTCIDGRVAVDVLSIWDQDKSEVLISTIERGLDHITEQLSSGSTSSTESPSCQLFT EETFTPYFEYRDPSHRQGPTLFLLPPGEGGAESYFNNIVKYLPKHSLVAFNNFYLHSK ALQTFEELAEWYTDHIRRLQPHGPYHLLGWSFGGILAMEIARRLDDGSGKVIGSLLFI DTYFDVRGATEEIGMAEKTILDKIHHVYDPEPARLAHMLSRVKDVVLFKAAKPNDKYT SDEQRLLYEYYHRTRANNLDKFIPIEHVSVMPLQGNTHFSWVQDVEQVKKVCSVIENI LDNMENGHT TRV_00554 MADLNRKAPETIDSPLLIGLPEGTLQIEYTNMEDIRCLVDKRAQ LCCLDSSKSPFIIVINIPHTFLQDFDNVYLDKGPKISTNLQDRVLILETMVAKEHEVA ARGLEGYLRERIRDMKLNYITTCSGASRATSDTFTKEPDGSFTLQDRDWPILAIEAGV YECESKLNIDARGWLESPKSETEVVITIKIERLSPEITFKKWEKSSSTPERGMCSYQP AISTETIHVKYQNDVTEITGDMVIPLAKIAGRGPQNSNENDITVTKAAFEEICKEVWT TQNLL TRV_00555 MDGRLLEAVRHKARLEEIRRLVEDEANPCDLTATDNYGKTALQC ALELQHGDTCAYLAEKMVEKGRRLPVALPAAVVDWAGREPWFPTLQQALADGAGGNGG SWSRTTSLTREGYTALLAWLAAALGLPETIMARILDEAEFWVAASARRERELYFTEND RIRPYVEVEYPALQGYLRRIDILTVSHDQGWCSQGVRDSYDGSYTWFEIRVLRDDPEQ VYENHEDEGLMLQPNVCAQRRTRTHYNQIRPRDARLAAWMAAVGPGHRIAVFPRALYQ GWINYVECIELKLWYAQWHV TRV_00556 MTEDRKDVKITLYWLEQSRAQRILWLLEELKLPYELQRFKRDKG LLADPALQKIHPLGRSPVISVEVPGREKLVLAESGLIVEYLTEHFGPSLIPRRYREGC EGSVAGETDAWLRNRYFIHYSEGSLMPVFLVQLIIDRIRNAPVPFFIKPLTRGIAGKV DDAYLRHNMKLHLGFLEGQVKSAPQGGPYLCGPDLAGADIMMSYLLEIATASKAITAD SHPALVAYLDRLRQREAYRKANDLIVELEGSCDYVPR TRV_00540 RVWSWRTRYNEFLGGGGHWVGYGDCGQGVQCGLEQRCLAAEHIE VEVDCEAGDWVSEFSRSDSSNESSLEHLRHSSSFSHSDGRDDEEPGYLRQEIEGIGGV VKQKVKKRVRVGASVEERDDDRENATYLKQETSGAVRSRCGWCSGIVPSNVERGRN TRV_00541 MDVGKASTDPTFSHVTRRNSMIPHRADSGERDTNISLDSEQDAL STKPTIGHKRRISQISDASDAIPMSSPSVPVPHSVPNSAQIPPVTPELHSLQAAHSPY LNTQLLSPPYWTSFRRASDPSIGYSSVPYSAGDESSLFSSPECSRSPSSDSSHGAHFP FSTSHITGIVIFHEPMVDPTIMGNSLPYDSSFGGFQAVGSTVAQASPLVTLPGDSDST RTVGDTSSHPKYKIITYKTY TRV_00542 MSFVEQEEQKFLQDVEQVKNWWKDSRWRYTKRPFTAEQIVAKRG TLTIDYPSNAQSKKLWKILEGRFASTPLTLVKNKDASFTYGCLEPTMLTQMVKYLDTV YVSGWQSSSTASSTDEPSPDLADYPMNTVPNKVNQLFLAQLFHDRKQREERLRAPKEQ RNSLPNIDYLRPIIADADTGHGGLTAVMKLTKLFIERGAAGIHIEDQAPGTKKCGHMA GKVLVPISEHINRLVAIRAQADIMGTDLLAIARTDSEAATLITSTIDPRDHAFIVGST NSSIEPLNDLMVAAEQAGKNGAELQQIEDEWTSKAGLKRFQDAAIEQINASPSISNKK AAIDTFLADIKGKSNSEARAIAKQLTGSDIYWNWDSPRTREGFYRYQGGCECAINRAV AYGPFADLIWMESKLPDYAQAKEFAEGVHAVWPEQKLAYNLSPSFNWKTAMPRDEQET YIRRLGELGYSWQFITLAGLHTTALISDQFAKAYAKQGMRAYGEMVQEPEMDNKVDVV THQKWSGANYVDELLKMVTGGISSTSAMGKGVTEEQFK TRV_00543 MSTSGGQHKRHSSDGVDPFSHPDIYYGEKQGAKKVNHRRAFSST LKRFNAEDLEHLVARRGSHDEHSYSRKFLIDVEPTLQALLEREDTDNNMQITIEDAGP KVLHVGTAASSGFRKSDLRGTYMLSNLLQELTIAKDYGRKQIVLDEQRLNENPVNRLS RLIQNSFWKALTRRIDGSNIEIVGRDPKDWTDDPRPRIYVPAGAPEQYEYYNKIAESQ PGLRLDVQLLPSGEITPEYVRDLNSKPGLLALAMEEYVDPDTGEKDLRGVPYIVPGGR FNELYGWDSYMESLGLLVTEHVELARDMVVNFCFCIKHYGKILNANRSYYLCRSQPPF LTDMALRVYDRIKSQPGATDFLREAILAAIKEYHSVWVSEPRLDPKTGLSRYRPSGIG VPPETEPTHFYHILLPYAKKHGVTFDEFVKAYNSGEIKCAELDEYFLHDRAVRESGHD TSYRLENVCANLATIDLNCLLYKYEMDIARVLRDHFKDKLYIPPEFRTPETESREYES SSLWDRRARRRRAAIDKYMWNEEKGMYFDYDTVKEQITNYESATTFWSMWAGVANPRQ AAVLVSKALPKFQAYGGLVSGTEESRGKVGIDRPNRQWDYPYGWAPQQILAWTGLMRY GYQEEAEELAYKWLFMVTKAFVDFNGTVVEKYDVTRPIDPHKVDAEYGNQGIDFKGVS REGFGWVNASYVYGLQFLNAHMRRALGACTPYQTFKEATTAVNF TRV_00544 MEVASAPFEDNRQPALGRDQKALPKWTLHPSSMLKFVLPLPSGR ISSCDCLDSNKNVQGKVVLVTGDAKGIGRMISEGYVVNGATVYITARDIKTCEAVCQE LNALGKGKAYAIQADLYKEEDCKKLAEEFGKRESKLNILVNNAGSNWGAPYDEYPSSA WTRVLTLNLHRVFNVTQLFTPFLEKGAGELDPARIINIGSVDGLRVPALETFAYSASK AGLHHLSRVLANHLGRRNITKMMKATLDKFQEVIEAGIPLGRIGTPQDVAGACLFLSS RAGAYINGATITVDGGSVIGSKL TRV_00545 MEELQARHRKEQRDLQSRITQKKKSATKKTRKGINDECESLQRQ LLDRQQAEISQLNGEVDVGDADTINQMNELNIENNGQAEEPEEFNTNGTTVCSVPPVN PPAPVTSTTERGPGPKRGNRQKARLARRAAEREAEINNATIEAANQTDKRANERKAMD EAFARLKLKEKDIAPDGHCLYSAVAWQLTENGICLSPEQSESVLTDAMKTNGYKGVRA TTADFILSNANDFAPFLEESIEDYARKIKSTAEWGGQLELHAIARAYKVRVNVVQGDG RIEKFLPDGEINESKTKDIWLAYYRHTYGLGEHYNALSHSST TRV_00546 MSNLNPGLSERFLNLKDKRPIEVWEELWQEEKTPWDRGVHNPAL EDTLEQKSDILGNAIIKIEGEEKRRKKALVPGCGRGVDCFLLASFGYDAYGLEYSTTA LEECQKEAEKYGDLVKPRDEEIGSGKVVFLQGDFFKSDWVEKAGLEEGCFDLIYDYTV SCLRDYEDCFWTTTDGK TRV_00547 MGAEKQQSTGNSSQVGFPATSSTLTVSGEPSIATTQGVSDYAPV ISEKGSQVSIDNGESNQPLDEEAAVQLTRTNSYIPPVVVPRGRRRGLFGSLTLLAEVE NPKHYNRKSKWFITFVVAVAAAAAPMGSAIFLPGLGEVARTYNTTATVTNLSVALYML SISIFPLWWSSFSERLGRRSIYLASFALFTLWSILSALSVSITMLIIMRVLAGGAAAS VQAVGAGTIADVWDPIDRGRAMGIFYLGPLCGPLIAPILGGILTQSLGWRSTQWFLAI YGGLILVFILFALPETLAHRKPLPPPQPENGSPEIERTLSRVSSRQVVQQTTRALNMF KIIIIDPLKIILYIRFPAVALTVYYASIAFGCLYVMNISIEITFSRDPYNFSTIIIGL LYIPGALGYIVASVFGGPWMDSIMKREARRANRVDANGKYIFIPEDRMCENAWVGALL FPSALIWYAWTAENGVHWFATIAANFFFGLGSMLIFSMSTTMLTEFMPNRSSAGVALN NFMRNIASCVGGVVAAPIIDAIGNGWLFTILGLICLASSVVIWAMKRLGPRWRITMEE QLKKENENRR TRV_00548 MAKKRKGKKGATNRLPANSVVPDIDNGSSSNLPRGDGSSALPEN VEPKLSFKYQTYDWHTGQFKDCPISSLYSSPPSDDGNGEPTADAEPKKDVPLDQQPQD APAADVDAGKDAPGTSPGITENETSEETQKTAEEEKPNEGTPSTEDRPGEETAKEAPA TEDPPSEGAPVEENAVLEEAPVKEEPATEAPATENPPSEETSTEEKAIPEEAPAKEEP TTEAPAAENPPSEEVLSEEKPAAEETAVEQEPVIETPASEGPSAKEAPAPEENTPAEA APAEENSVSGETQTEQEPPAKVPAVEESPAEETSAPGELPAEETPIDEAPAAEETPTA DEVEKEPAEEALTKEISTEEDPTEKPAAAEEPSVEETCPEEAPVGKESPVEESPAKEV PTETSTAEASSAVEEPPAEEAPTGGAPSEETAMEETPVEGTPAPEEAQPREESPVEKT ASEEAAPPEEPPAAEESPTKEDQAPKEVPATEEPSAGKENPAEEGPAPELSEEGEKLV EVTPEVPIEGEAADTDKVGIEEENKGTAEGGKNDTADAAPDTRNVKEGENAPDIDVPK PSNDHAEVTTEEKEETSVAEP TRV_00528 QQKQKQEEETMPSRLSTPVLTVDPAKIHQVDTRNAESLHGMWMD FMEEGRRLENLSWRLWNRETFCVAPQAKSRTNSDNNNSNNKDSLQQSQEHQQQQQKRT HSGSRLERLRRESKSIPDLSSSVESALSDEGLEQSRSRSTAQTSSGSSPQCPCTPRTI ELDPKPAIVGEDSVLSISRGRERHITSLGLEKMVYSIKEKKQLEPICVPKSKSQLQIE NRELDATPRASSPTPVSTQQQPQQQQQEQQQQQQQHHHHQEQTHLQQHLAPANNQSLD SCSTALERVRESDVPTPSSDTSVSSAELPRPSSSVVRGFSPSKISSSFRSHSQLSPAA STSKNSVPKQSPFKQQKPSVFTLGCSSGDEESSFEDRMAIKTHRSSLSDGLNHLASAQ HSRSRTSFIRDTAATSHPIRPIRETSASDEDAIASSDEDDESEISESAIEDEDEGDSS DGDWEDSVTESGRSSVDDKQLFQRVDSRPNLVSRRSLLTMMMHQPQQNFAPTFRGGPG PNSRSTPAMQQQRGLAPADGEEEDNDEDHSGSDSGSVGSPGLEMKRSEVPHSRPIVMT SIPPGGIVARAHSPRTTRRKMLATELTESLRRHLLWERQQKTTAATATAAFKRRHTAC DVAGLQEYPGANDSKGQGKEDKESKNSSWNHYFDYGPWEYHVKGW TRV_00529 MLSLFGYALAAILIIIPLYILYKPPRFVIRFAQRQWEDVLWNVA TSKRIVALTIDDGPSEYTSEILDILKSNDATVTFFVIGSHATPDREEILRDLIRHGNE LANHAMRDEPSYLLSEAELIDNINCVQKIISDIEATARSGANDVGLDSKMAQRALYFR PGGGFFSTKMRDILSKMGYHLALGDIYPHDPFVPFWKINNWHILSSIHPGGIIICHDG RSWTPPMLRKLLPELKSRGFQVVTLTALLKEGKCT TRV_00530 MHHYSWIPKGWLSAYMHASEDPPVPLRAPPYHACRNVAAVAHQF EAASNDIHGTVNFTPLPGTFQQESEIPGQGRNDPCETDFIPSENSPPEFKEEKGFTRQ LFGLPLSNQRPTAASEGTHFVQKPVSRAEMENPREFQINQIRRRFSPKERHDAHATHL TFTMKPSDPDFSYDLTGLDCILRVPATYPAYGEPSLEVSNGSLDASKRKLVERKFKQV SKDVKSGNLLYCMNALDRHLANLLSATPVPDTYDPPSAERLRSTMSFEYKTPSDNQKN KRVARVSEIGDPQRRMKEVGQLKSRLGTHPLFLAHSDQVSFTIAIKPFQPMQLPKALR NIKSIILIVPSNYPGEPCRIKIPDINDSSARVTEQAFYQHSMDSPGISLTAHINYLAA MMHKMSYQEPIEQEVDLHEELSALSLEEQPHDKWSPPSPLVKASQLTTVDAKPQNSEG KSHIQVIPRPPEWESPDETDSEEGDDDTTAENHAHFGSGRKVLISCPALELQGVELLE LKSLSLTLRCARCKHLQDMKNIKIGEDGHSTPHYRVESCRKCSNRLSVGFHRELMHNG SNRAGTLTLEGCIPSDLLPSNFTPTCSKCSTTYPAPGVTAFQGDASLTFCRACHQKMS LVAAQECFRVIGVMTLHPTIRTNMRIE TRV_00531 MEVDLVNYENLSGGYPDTHPTGTMEHWNSDELTSTEGVETSFAK ADDIMKNDLPYHCAFNKKATMAISPRYTDIYASPTDTYISPQNASPESLSSHPDLGKR EEFVSSDIPVDDEFTVRPPSSTHVSFALVLLTLCDLFPFCLSFHTKIFLV TRV_00532 MSYALASKKRKFHRVLDSISLGASQKPTSAQQSSSGNKLMLDGT AQINPSIKRVRLSGSDNSDDSPVLLSEKAISRHSTPSTASLRPSFVPWDRERFLERLE TFRNVERWKPQPDTINEVQWAKRGWSCTDKNRVECVGGCGHSVVVKLPDDIDELEEYD SEKIADRREDLTNILIDSIHRLPLTNAETTLRNLQTRYKNLVSAGIRLPPISVLILPE KFNLESTLAFLPPGILDSRAEISEPANSVPSSDTEDSTEKTLPALNKAAFALAFFGWD IAGEANAGLAGCKACFRRLGLWMYTPREDGSDPLYSELNIVGEHLDYCPWINPGSQSG NKSGQCGWEALGRVVESEHRRYTWSKNKPLQAEQTSSSQTENIPELLDEETRKTKDRE WWAKLLRVRQVLQPKGTKKTKLK TRV_00533 MEAPTIEANGKSSPPATSELPQTQVKETDGSTPSTIETHGDVVD GIRNTQNAQSPNEKKRKLTESEQQQDQTKQQEHQPRSKRRRQEERLQRNRKRGKTPPS AYSRRDVPVPETRRSPSPIRRTPSPDAPVRQRKRPGGGARINAANMEALRRRQEERDR QQQAEAEQAAHARGVHDVVRQHYNAVPERGREWRKTESKIKGLRSFNNWIKSSLIQKF SPSEDFLARHNDRDLAGSASVAPEEEKPLLIVDLGCGKGGDLGKWQQAPQPVELYVGL DPADISLDQARERYMQMKNQRGRGRRGNPIFHAQFTPKDCFGESLADVPIIQRVGIDE SVGPGGSMMSSRWGGGGFDVVVSMFTMHYAFENEVKTRQMLKNVAGLLKKGGRFIGVG PNSDVISAKVVEAHKKRKQKEEDAKKKANEEPEDGEVEDSTDVVEWGNSIYRVKFPGK TPEDGVFRPAFGWKYFYFMEEAVEEIPEYVVPWEAFRALTEDYNLELQYRKPFLDIWR EEKDDPVLGPLSERMGVRSRNDGSMLVNEDELEAASMFAVLHSVLDPLLTPSSRSIPC VLLLQGVAADSRRYLYTI TRV_00534 MSRTTQRASTSEAMGAPLELPPYEPQIAPLTANAKRKVDTVIKS FHTRHLKIHLSHATGALSDSVGDTNDRLTEAKGRLEKLKRRRREREERRQREAGEEKD NEPGDRNDSSAERQREAENFAQREEKLAQFELLVKNTTERLEGSMRSLIDTEVQADKM LDLLSTIVAQNSEEGPTKPHPRARRARRYRDEEDSDADDDEQGEEAEPPVEVEVIPAS RTIADGLAEKKREWESLSLAQRQFYRVLHESKYSAMDVPPLPHPSTWFKSLDASRESN SQNKRRKKKRSQLAQTEEGAMDEGGVDDEGLQPSSSGDENNNESEEDDDNEHDEDDEE DGEDGEGGEEEEPTREDMQDASSDDEVMINSEKFSITCPLTLQPFNEPVSSTKCPHSF ERSAIESMLNRSRQNTFVPLPNDSSRGRNIRCVKCPVCNVLITMHDLKRDPVLIRRVK KAIRMAAAEAEENNDEDGGEGEDLEMDEDDSVLMRRSKAANGGRRVVVKSERTRSPSR IPDTQME TRV_00535 MASPLKGTATSAAAKAATETKAAMSSPSSSSTSSFRNSPFIRAA LPFFNGGVAGMTATACIQPIDMIKVRLQLAGEGVKTGPKPSAIGVAREIIASGRVLDL YTGLSAGLLRQAVYTTARLGFFDTFMGILNGRAASRGEKVTFVQRAGAGLSAGGLAAM IGNPADLALIRMQSDGLKPAASRANYTSVVDALVRISRTEGISALWAGAFPTVVRAMA LNFGQLTFFSEAKSQLQTHTNLSAQNRTFAASAIAGFFASFMSLPFDFVKTRLQKQTK DPKTGVLPYKGVFDCAAKVIREEGWLRFYRGFGTYYVRIAPHA TRV_00536 MPDNRAAVISGTDVTIYNSSTKVQESSMTLPNLPMARSSSVLAQ SHCPKPPQTNAQAESEAEAQVESAPRPSTAPTFLTSESISQLLPPKRDLPFAKAKKRL AERSPSGVPSPKKTGRVGQGRGGQHNACPAAAENVARTAHTLMAGPSVQQASHSLTRG KEGEKEGESGRDIARPLLPSPSISPQKGGGKHGVRKDTITTTDGAVAGEKDIGCSKST GLLPFTREDLSSYASRPNAERSDLIESWVCQQLQNDSFITLCQDMEGVWKRLAFGF TRV_00537 MDTGDILPILFYYEVYQREAERSKYGQRRRRRSQQQQQHDEESN LSTSRRNQQQIWISWRFRDGLRKDISKQQKKQRDQRPETQRLGGLSSPPCSSVSQAPS SASSAPASTPASASLPPCPRCPVLIGSMHGAFVDCGFQKPSMGFPLLAVARPASLASQ RNKKQA TRV_00538 MCLSTSPAASLRLHRRPRCLAVSLPLLLPLPLLYLSSSLLSSPL LSLLFLSVCLSCERYYGPLLPDFVITAVSLSVCLWDPPSPPALPPVSPPPSSSSSSYS TAVSCKPSFSSLLLLLLLSSSRTPEQQFNSVAMLIDGEKWACDACIRGHRVSTCNHSD RPLSHINKKGRPVSQCPHCRGLRKARTSHAKCECGEKPHSKADCPLNGTAADGQKTAG GRKHCCCGHGGRCTCASKRDSNLETVPETGPVAAARRSTVSGVKRPHITTSKKQKEAS KRVHPYPLPRSRTIHGTADLAHLSMDHLNEGYGSQGVSAYPDLVTSAPRPVRRVKSEN GSPVAEVSDMKAQLSLDIPYQHFPDQMGLSMPILDYPQQSPGLYYPSDIDFGFEAAIS NGPSIDWSTFDLPYGSDAYTATYSQPASYASYDYNTTTFGHPGLARSSSGDTSDADEL LPIVGTGSEAGMYHLGSTPPSQLDLPSQFAMSQTYKPAAIEPVLHAANDGVDVNNHHQ QQTQAYPASGSHSSFVDASNAPMIIPPAAYTSAGANEPLWVSASYPGTMMSPVSISVP EQQQQQQQQQQQQQQAQIWTR TRV_00539 MDVHGSRLTLPGLRFWRRPSEGYELTVFDRLREKETLPPRIEYQ LRPDWPTMNSREHSEIPPAPSYPSPNAAQIAQGTVSYYANQRHLTADELHLSAELSRE VAGASVDGGNAASVAAAATAAAAAANGLPHSQGMVLGSSGPNADGTVGVDQQQVRQQQ RQQQQQDHQVQQPSQGQQHMLQFSSTQQSGVDPNHDLSYGDQSARRKRSKVSRACDEC RRKKVRCDATSEAGVETCSNCRRTGATCEFSRVPMKRGPSKGYIKELADRINSLETQM QPPMQPGDMHYQQMNEDGTSGRGYQDFSPSIDNQGLTRKRTLSMSEGLPNAFMQPPFI QGARPTSVGGWPVQGPTKDAAHAADLAALDEYGTVQNGSTR TRV_00522 EGKAEKEGEKEEEKEDKEERTYRDELTMANEAFDLPLAKRQKVV TEKSSHSRAPDGSRIFTPFRTLGLVSSTSVPFTSIPLGKTTFQITTSVGQSLQTYDLR RGLSLVFLTRPQTPALITATHAWRDRVFAAWGGQSAAQQSGVWVFKRGKKVAELEMPS IAPGSVAQSVDRLVVFGSWIVGCCSNRMIVWKSADYSHYTTLTPAATKEFTKLVPSTL CTMPTYLNKVFVGRSDGCVDIWNVSTGKLVYSVLPTLPGAGAVSALQPSPALSLLAIA YASGSLVIHNIRTDKPVLCLKKPGLTNSAPITSISFRTDGIGAGEDGRQDGVLATGSA DGGDIVLWDLINGGRVTGVVRNAHEPSGEDRSVQINKVEFLPGQPVMVSTGSDNALRT WIFDQTPFSPIPRPLHARSGHSAPITKVMFVPSGSDGSEVVGKWLLSAGQDRSLFGLS LRKDSQNTELSQGNVKSKAKKIGASSTSASQQTIRYEELKAPEITCLACSLNRDGGMG AAAGGPIWANSKATSAENTNMTGWESIVTGHKGDKFARTWIWGKKKAGRWALETGDST EVKSVAMSQCGTFALVGSDGGSLVMYNLQSGIRRQSFPPRLTPAQAKKAKLQRLVDGD ENELDKKKSAKHTKAITGIVVDSLNTTVVSCGLDGKVKFWNLITGQLLDELDWNPMCA ITGLRFSSTSDLLALSCDDLSIRVIDIQTKKLVRELWGCVGQVNDFTISNDGRWIVAA SMDSVIRVWDLPTGHLIDAFRLKNTCVSLSFSSTGEFLATAHAEGVGINLWNNKSLFM HVPTSHIEEDTAVAVAAPTASGEGGVAMIEAAFDDSWLSDDQKQPVLTPDQLSHNMMT LSMTPKTRWQTLLHLDTIKFHLLICLQQRNKPKEAPKKPKKAPFFLPSLESKSASGTV SIGNASADQDEDDRKSLQAERSRIARIQRMNGSAGGQLSKFTTLLHSAGQADDFTPFI EYLKLLSPANTDLEIRSLDPRSQDGQDNELALFVRALTERLRQKRDFELVNTWMAVFL RVHDDAVEAAVGPQPSEGNGAIFGPNVALQQALREWKAEQESEAKRLADLVSYCRGIV GFLRSAR TRV_00523 MRWFGWLILIIILALLAYGGWIGYSQIRARRAGLPPPPLKSYLP FTSSPASYGDANYPTPRSGGIVGWFKDKVAALRNRRTHQGSYEDHLEPRAYRGGAGRP LSEQDEPWDTRMGAAERDGGFGPPGYYEEQELGFAPHTGGYDRNASAYDGGLTPGASH EASRGRSLSRDPSPVKLGQGDDPANPFGDHAEGSNLRNMSPRPEPPAGEGSAHAQKGV FRESL TRV_00524 MPTELEEVTCENLVGYSASQPALFKRHQLLPVRDLKLLVKDYPP IAKDALTILINLSADDEVLKELAEDDAFLETLLKKVTNPKEKAATEITMLLANLAKSD SIKRIVSLERAVPEGVSTSKKAMDQLMDCFIKGGDKDKDQDKAYDYLSYLFADISKFE EGRAYFVTEQAYDAVNLLPYILLPLAGPEEFTDEESSDMLPDLQLLPPDKQRDPDPDI LTAHLETLLLLTSTRDGRDMLRRVQVYPLIRECHLHTNNEAVQEACDRLVQVIMRDEE GEGEATEAAIEKARLEIEAKKADDADEEKVVDVF TRV_00525 MSTTNDHHLTNSPTPWISWHGLQVRPCIAELIRTQCPPPKLLLR VERVIEVIVRMDEEAHNNDKHEAVYKAYRLFLSDGEYTIQALLKSELHVLVSSSKDDL TPGTVLDIQDYELRTANRLASKGARSGRVVFLAVARYRRAVPFKLDVQLKNLEDEHLL VKRDAAALSDEGAGDSPREEKRRQKTAERDKAMVFRAAVEDTNLLDEIEDENLKDDIL GVSPCYSTAPKILSSTAMSTSMKVLTLSDLLAPRIPFPKRNYPCNVIAVISWISPQVI KRTNMPPKRDLRLIDPTIIKTVDKRRSSVTTASSLPSTSPPSITANWTVGISMSVFID AAEFHPPVGTVAFFRGIKTHEWDGISLNAYEKDCRDKEWFITDPDRLASLGVDSSALK TWWLHVQTRWEDARKASTQEN TRV_00526 MGVLLFRIERWLPIRPFYFAGPFDIQNVRDQIHPPDERTKNALK GQKKTEEHRRERRAIVFLAVFCSFLLIMEPTELVRLPDEPAAATNADIAPDSELPDYT TGSWSSRCGASRPRLVGSPTNFAVGFPAAPPNVPASDSIKSPQSPAEEWEGSGTIAYI TDKSIKKFLNLPPEVHQRLQLASAQLAAEHMIARGAAEAESESLGQWQHGRRLPIHIS PNSAVHQYELARFELDRQAGLVPMAKPVPTVSKRVKPQIQSHEMAEFPYLHQVVSDIK SWSISRSRLEQLEELRILLCSWTHDAVVDKVKQNQQGVQTGPFSIALKCFGSYRSGYA LVESEMDLITITENLPAYIKQNLPLIMAESFQAHGFASYLLPSIEHDRCMFLRVCQHP SKQFCQRLEWEYQDLKNAKELKAFAISPLACCDKNTPSLHYNIYFDRIETLAYRTDLL RGYRACDDRVYEITVIVKRWAKARRINNPYNGTLSSYGYTLMVLHYLMNVVKPPVIPN LHRYALPEGATEQDTSFTRSLRVISQEAAIGNITTNTQSTASLLYGFFAYYSSVTNDP DLYPRRRHMPWFHWREGVASIRGPYTKKTSKGWVTYFKDVNGTVHNNLLAIEDPITRN INVADKVTLVGVYLIRDEFARAFRIVSLARPMPGTDLAWQTPDGARCEDLFAEPTAKA KL TRV_00527 MVRRRPKPADPLVRPKKRPIPRPTAPGQPQPQGQPQGYMQGQVR PTTANGTSTLPLQPPRHQPPASTLPQPKPIPSADDLTTNGFSGPLLSETYSDYPLVTT KRALREGLRHHIAKLISKKSVDPRDESQFTRPVRLQRRDPRATTTAPAGNEKEDANPR RGFRDPYAGLTEAERQEMEAKKEARAKEREDNLAQIAPSVNSGPKKVNAPKQRTQQVF KSIITPEEAAKSQIKYEEALPWHLEDFDNENIWVGKYEAAMSETYATFVLERSGKMRM IPIDKWYKFTAKNQFKVLTIEEAEKHMARKIRDPRWLMEKEQARAQERELQRYARQRK IYTGQSRNAPVTDRFEGDDMDFDEDRFADDEEHVGLFDDDEDAKTAEKRIKQDQLKAN IFDLKDEKDYDEEEHLEKKQKEDLKSFGKQVRKALQRREKNFDYSSGSDANPYSDDES TDESELERQKEEQKKSEEDPKRKDNQADKPSGTSTKGTNTPSGRPKHTDPLKRAQAAA RKRPGSPNLSDASGTDSSRKKPKNKHLSAQISNSQPTSRPISPVPQAQRKSSGTANAN DATSSTAQTAAGAKKRIRTGPGKAGSASDVEKAANSGAEMSDSTMAKRMKLNVPGAKS KTGTPIGSRAGSPTPAGKAVTGSRASSPESSRGISTPSGSGSRRGSVSGANPGAGAPP PASFPTASEIHAAIPANGILSNDLLRMFRSRLGNSRENHRRFIGIVKDVSVFGKEDKL LRPGVIKEA TRV_00521 MWALSSEVWSVINKITNRKPLSYTNYEVAQCSSCLDHEHYLCGD EKSVCGRFAQNVLGPVTAVAFANGVKARFGDFKVCAESQGEIDVPDFVAVHCTAKNLH ALEQLDSSEVPYLKFVGEAKTPWRHDLGKIHHDFTTRKKSTIDRALGMFLSAAIHEDE SVLIQIYEGQIAKYMYDHKMRYGFLTTYDETIFLKQECFEGSTWGLLISSPIPFDTSA NWETRTVSLRQCLYYLMCVTEEPKNRIANNTTPRHKWISDKSPKDLTQPQLHTPVSQK PQAPTELLQHLSQSPELKTDLSSLTDRIKLHHIPSSGIYSAILQFKHEDIRTEGNRSY VRVGDQWIQAEIITYGQSHRSKDAESSSLGHGFSSSPSPSARLRAQEYGGNTPSKHRK EKVSLMERMQNPKPFQYTDSNYESGPTLSVDTPTRLPSQPQGHGIPAPSLPHYPVPPA RQYRSLSRDTSGMRGTFHSPYAHFSTEQSPPRDLSQTREMTLPHRPPPFGHHQSLSRD PSMEPGQGGSPDRRVERHDSGSRDKGKGKAKERLDSTGGYNLRSRSDKGESSKGRGKD KDDDEKRGRFPGPFSRKR TRV_00520 IVPMQADVDGQPDKSPLCSKDRGAPKQEPKPGQETRREVETETG TLAAQSPNTESTLLELIPQPPAVPSSPPQPLSQSQPEPLVPPIEQPVDSSYTSTYQRN PRYLTDRDGNRVSFSSLYSLTSSIHSAPGDRERASSTAVSSVDGSGKPRSTMDDQRSV SAGLSTRPDSSLHTPSGYSGQGTSSSPGSTSPGLTPSFQHHLAKPEDSRSPAWISRPG RSSSKQPRRFSASTGASSASEAEGTTPPLFSRALGPEGLLIHTVRLPLIGRIGVCALD VKARSKPSRNILTRLQARGDFEVIVFGDKVILDEDVENWPECDFLIAFFSDGFPLDKA IAYANLRKPFCINDLPMQQVLWDRRLCLRILDQMAVPTPKRVEVNRDGGPTLASPELA QHVYNLTGVRLEGPPDGTGGGTPRTQSVTMSEDGEALIVDGKVFKKPFVEKPVNGEDH NIHIYFPNDQNYGGGGRRLFRKVGNKSSEYDPDLKIPRSITEPDVSYLYEQFLRVDNA EDVKAYTVGPAYCHAETRKSPVVDGLVRRNTHGKELRYITNLGKEEAAMASKISNGFG QRICGFDLLRVGDASYVIDVNGWSFVKDNNDYYDKTAKILRDMFTREKMRRDGKLDVT EPAKDPSSSIPPAINSGSHRHTLKSLLKSPSIAGLQSGFSSHKSPAQTPSTKSTISSK VETDDLHRKPSHSEGSEKANLSPDTQLTNEPSQPVEAPTAPPPSSKHSWKLKGMVAVI RHADRTPKQKFKFTFHTQPFVDLLKGHQEEVIIRGSTALRSVTNAVNLALKEGIEDKE KLMLLKRSLDHKVEWPGTKVQIKPMFRRRNSQELQGSRTPPPVSPLTTSEIPPAPASP PDSADPEHPRVARSDSLSGPTFSRFSAVENDLVLDKLQLVIKWGGEPTHAARYQSQDV GLTMRDDLKLMNKEALNDVRMFTSSERRVSTSAQIFASTFLDQKDLPDDFIQVRKDLL DDSNAAKDVMDKVKKKLKLLLREGNSAPPQFAWPKENFPEPSIVLSTLVELMKFHRCV MRHNFQKIEAHGNYHPGAFGSSSPTEKSPFTDIQGRWCAGEDPQLFKERWEKLFKEFC DTEKVDPSKLSELYDSMKFDALHNRQFLEWVFLPPDDFIYEGETDKERAGHVTSSHFL EPNGNAEGNGTNGTNGKPRPPAGLLAPLEDSYDSYFKLYPGSTPSKPKIDKRLSRLKH LYSLAKVLFDFVTPQEYGIADHEKLEIGLLTSLPLLQEIVMDLEEVQASPDAKSFFYF TKESHIYTLLNCILEGGLKTKIARRTIPELDYLSQICFELYEARDAEAETFAYSIRIS ISPGCHTFDPLDVQLDSRHAIGCAPRRSLTAHQDWKEVIETLKAKFDT TRV_00489 MAFPDLHIVEPKGAHMHTIILLHGRSSDGPEFAEELLDSKTSEK KTLTARFPNCRWVFPTSRDRWSSVFQEDLTAWFDIYSLSNTSEKQDLQIDGLRETILY ILGVMSQEIDLLGGRPEKVVLGGISLGMAAALWVLLCSPGRFKGRIGGFIGMCGWLPF ANEIQDLQHPREMIPKFLLDTVRCEEQVQTSTIDTETMLSTPVFLLHGSDDTWVDVEL GRAAHRGLLKLGMKPEWKEYVGAENNGHWIKQPGGFDAIVQFLEAVWAS TRV_00490 MTTVAVAIYNPSDERDPCHWALWLKSENGDSTILQVGDDKGGVG YYVEQPIRKEPGRSLKLKETIICGTIPTEKEREAEGKIQSHPVDNQSTTWNCQSWVME NLDNLEEEGFMQVSPTAKANLQSKRQNWQ TRV_00491 MEKNSRFESQIALNAKALHELSPLVVKISQDNMASHGVLLEESL PAVIQGLNRAIVKSDVLWALGSTVVLGLSEEIAMKAGCGIDIDHIPMMNYIKTRVPRL RAPHIHGVLQDGRRSFVFMTRIKGEPLDRVWKTLDNSQKESIKEQLEIMFSGIRSLTP PPSDEPRALLGGGIPRRCRDARRHVRVAEKPIESMVEFNLFLTTDPNRTETGRLKMIR SFLSSDHKFVMTHGDLHPRNIMVITSNTLPSDAFTPLVKVTGLLDWEMCGYYPDYWEY VKALHTIHPGDGFDDWWNYLPASIGVWPKEYSVDELISRWRG TRV_00492 MAKTGKTTEIRVSSNCDRAKLVEYPPIGMKQSWAKEKREEEEEG GGQAARFI TRV_00493 MATLSTPLYEPAYGCGKCSFLRRKLKACILTYFRTEHLKDIFEK DTDAATRLAENYTKLSSKGPWNLSQIRLDASKKSSSGPKFSLKPQYQCLQCSVVVADY RSKHVFCQGCNDLIYDIDLESILKNSTEPIVSRKRKVDNLEDDEYANYIAMNTNKKIC GKEGVRGLFNLGQTCYMNVILQTLFHEPVLTAYFLGNGHSTSECQKANCFGCALADAF AEFSNEEKDEGFGALNLLLASWQTSADLAGYHQQDAHEFYQFLVNQLHESTTGNLDCD PACRCFFHTAFFGKLRSTITCRNCGKVSRTEDPVMDVSLDLQVQKKKRALDGGDQSSD VAPTLQGCLEGYISPEKLAASEYGCTQCNSNMGVTKQLRLRKLPVILCMQLKRFERNR SVSEKVETKVTYPASINMMPYTTSPRSENRSQFQYDLLSVVVHIGDIDSGHYLAYCRH GEQWFKFNDDRVTVVAESEVLGADAYLLFYTLQAYGVSK TRV_00494 MADLEENFVHLQLMHESLSRFNESFASFLYGLNMNAFCVDFPES IPDAVSNQHRYRHLSQNHSHVSSKGKKQERKRMVWSIFHIITYLTIALGEIFTDRFLE LQAASEQQYQSGANDAETTFMCVA TRV_00495 MSEQQKPTGHVGNLTKEEEVKLQELWTALFKVCGVITSEGPEKE QKHEQQNGNGENATSSDTSSPDAKKSKKRLGFFSRKSESPSESPSSDSVSNSVAAMKL SDADDKYGETSQFLEALKDTSPEEIRLIFWSMVRHDHPDALLLRFLRARKYDVNRALV MLVSAFRWRSQTMNIDDIMVKGDCFMEEESKSDDLAKKQEASDFAKLLRLGESFMHGH DKFGRPICYIPVRLHRIGAHCEPSLERYTVYLIETSRLLLQPPVETAALVFDMTDFSL ANMDYTPVKFMIKCFEANYPESLGVILVHKAPWIFSSIWAVIKGWLDPVVAAKVHFTK TPEDLEAIIPSKNLIKSLGGEDEYEYKYVEPVEGENDKQKDTARRDELLKTRMEMAGA FQEATLAWTASKSNSATSEEETYIKKTRTELAEKLCENYWQLDPYIRARSMYDRLGLI PPPKEAKELSATQPLSEKVNGDAVPAAAEQTAPAV TRV_00496 MLTVSKPVAKKIAIAAGVADFNILQNNGRIAHQFVDHIPKPNEP EGLGIDWPAKEADKEDLKVLLEELKSKM TRV_00497 MLTTELSEADVAGLRANKERLQEWIHHSCQWGTGIRWGDHPTET GMARPALSQEDKQVRDWFVETTKSLGCKVTIDSMGNIFAVRPGKRADVPATFVGSHLD TQPTGGRYDGILGVCAGIEMLKVLEEKGIETEGGVGVVNWTNEEGARFPKSMISAGVW AEGISLETAHSLLEVPTVASLPSAASAPESMKSALEKIGYLGSVPCSYKSFPIAAHFE LHIEQGPHLVTAGQHVGVVTGVQAYRWYRINVTGRDCHTGSTAFQHRADAMYASARMM VRAREVADKHGCLASVGIVEVKPGSVNTVPGFVSFSLDIRGPEIEDVDVVDAELRKEF DIIAGEEGKGINKPCRAEWQLDFETPATKFHPECIECVTESAKAVVADKPNPETLYRT IRSGAGHDSVFTNKRVPTSMVFVPCKDGVSHHPEEFSSAEDCVNGASVILQAVVRYDR KRFK TRV_00498 MLQVIKACQSLTSRGYLKTRFSWQYYYYTLTPEGLDYLREWLHL PAEIVPATHIKQQRSHAPPRGMMGGEERERRPGGRGGPRGERGDRDGGYRRREGAEGK EGGAPGDFNPSFRGGFGRGRGGPAPSS TRV_00499 MAPFTVNVLLSSFPGLALPATLAIPLPSTSSIADLTDRISAHLP FPLTAQSSPLILTTTNNKQIPFSSPAKLSNLIPDTVENGVANPASTFLPLRLSVRVCG GKGGFGSQLRAAGGRMSSRRKGNQDTNTGSNRNLDGRRLRTVKEAKALAEYLALKPEM DRKEKEARKRRWEAAVAAAEKKEQEIRNGEGKGKVDGAWMEDKEEMSEKAREAVLQAM AGGAWKDNLAGLLDSAEASGSSGSGGSMDASEDSDMEDVDEEDSPNEPSASTKKPVRR FFGFDDEEDDEDLMSEDEDDEIDETDIKGKGKARV TRV_00500 MLIPIFRLQSRSIPHRSPITLRKFTSIRCLSQWRALQPYRTSFP SSTAFPLAPRQQRQHNSTESLQTTGKGLETWALPDTCHGCGALTQWVYPKEPGYYTVT RKPVKQYIRHVTQGKQAEVDASHIAAYENKHAPEPKEPEDIAIPLCDRCHNLINHGEG EPIPYPTLSYIRDLIEESPFHNNYIYHVVDAADFPLSVIPNLQRYMPIQPQRSQNRRS HTKKYGSSRYLAELNFVITRADLLSNVKPQADSLMKYMVEILRQTLDRKEHGIRLGNV HMVSSYRGWWTKEIKQDIWNQGGGVWMVGKVNVGKSKLITSVFPKTAPAYKARKLQNF KGITIVGRSTPPDKANVSAAEELEDGEDGVAVLLPPLQKEVPFPNLPIDSVRPGTTAS PIRIPFDKHRGEVIDLPGLYRSGLDEFVQDKHKSDLVMTSRPRPTQLTIKPTQSLLLG GLIGIKPLEENDIFLASPFVSLEPHITHKDKAAEMLTQQRECPTGNIAKEGIGEQITS AGIVELKYDVTARYAKPLPNGDLPSLYRIMGVDILIEGCGWVELTCQVRTRTRDPEDF PRVELFSPHGKFVGSRMPLSTYAFSLKHRAQEENKRMTRSMRPAVKRHSRNH TRV_00501 MRPKASSSMQKTYDDCYLMCSTAVYFENQNNESEALRTWKAALD ALYYHNAHKVPSTYIPKNDIERGLQDSLRELELQCKERVMLLETLRESRKEAGMDVDV KAASTQKGKLEKPRPQVNGSQSSISSGHSGWIGEGTIPSLSYPDLPRPPALPPRSTTQ SQSSLNSTIPAPLSSSGDVRGLGLDSLPSPPTLPVPAIPRDTNRVQNPEKKKTLLTTL RGKDLKSPTNSNTQPRKHSKPASKAAGLAWSFVTQSNSSKRGQTSPANESAPATPRKS TSSDSGSKRDVLPTRRSIDESSTPARASHRPDYFSGSPITRRISGDHTAASLAAGAAA AAAAAQRPMEAESSNSFLDSSPELKGGPSDKPKSTDKKNDSGYSPPQSKPPTSLKSSN TEPARSVPATQATLQSRSNKVTPDDVFQRKAVKSSNSAPSKPRSNVKDCRNVESRNSD FPPNGKMRQLNTQPSAPANRPAVGPKRTTSKSSEYSAEIPAQGDNIDDDIDLPEYEPE EDLVLKRALSNLPKGVDQESAKQIFKEIVVHGDEVHWDDVAGLELAKTALKEAVVYPF LRPDLFMGLREPARGMLLFGPPGTGKTMIARAVATESQSTFFSVSASSLASKWHGDSE KLVRALFGLAKALAPSIIFVDEIDALLSSRSRSSEAEVSRRIKTEFLIQWSDLQRAAA GREQNSKDKKMGDASRVLVLAATNMPWDIDDAARRRFVRRQYIPLPEPEVRKLQLQKL LSHQKHELSEADIDRLSSLTEGFSGSDITALAKDAAMGPLRNLGEDLLHIPMEKISPI SFKDFEASLLSIRPSVNQDGLDRYDEWAKNFGERXX TRV_00502 MNSTRSQLLRLCGIPFSHSAFRPVTSSIPSLVRHAQPLATKTIG SIASSNLLSSLPRSSPFSTSTSTQSQPPPSDAASTTTPTSTTTLPPGVSRGFGTVISA GRMQRTVRVEQIRTKFDNSLQKRFLVKKVHLVSDPRDSLREGDKIEFWSGRRVSEHVR HVVERIIVPFGTPIEDRPPVMTYAERKEEELNARKNRRLRRLERMANGQPVDIEVTGE LRMGKLKERVMKRLEAEKERQKQSNA TRV_00503 MEPPLSAKAKGEGNQDEAAAWEVEEGIPQFEDPFIQKYLQGRNA LIQEEKKQRHDAHFQKCMSASTKEACRIISHIRNRELKTVWDKEAEENLAKTQDTVLY PGMMFRLAKDKMEGTDLWKIVKRMPKGALLHGHLEAMVDLDVLVNQVLSLPGIHMASE RPLIGESLLLSPLRFQYFSKPQSAGSESGVAGIWSSAYKPQLFIPVKEAAETFPDGGV KGFSDWLKGRCTIEKETSLSHHHGCAAIWEVLDQKFQVVDAILYYEPIFRACLKHMLT ELNEDGIRYVEFRLAFGFEYRRDKCEEPDSNFESIFEAFGEEIESFKASDAGKGFHGA RMIWTTMRSHPNRDIVESMKECILTKLQFPELICGFDLVGREDDGRSLVDMIPVLFLF KKQCAVEGVEIPFMFHAGECLGDGDETDSNLYDAILLGTRRIGHAFSLYKHPLLIDLV KEKKIMVECCPISNEVLRFTSSIMSHPLPALLARGVAVSLCNDDPTMLGHGKNGLTHD FCQVLNGLENVGLSGLATMAENSLRWSCFEDQDNSAWLADIKRGIAGTGQKSEYIRNW HVEFEQFCQWVVLEFGADVPPSDD TRV_00504 MSYFVPSFFQKRLLRYALSRLEIVDTDALDLDSLGITWGQRSTF ELRDVGLRLELPPEFEITKACVSVARVTIPADIYASSIIIESEGIHLDLKHTPTKPGS KRQGRKANDGDKNSGQGDHEKILPSTTDIAQSFLESEPVEETAELEAALTSQSSHYIS QSDVLSDDGSEESALGLHDGVTLPTFVAGFIKGVVDRLRFNIRDVSVRVTMEVQQDAF QKPSSSVKMKPVTAIMRLGEVSMDGVAPPESQDPALKAGKRLIVFSQLHALLVSDETL FSNYSRFAPPGTASLVSRASLTKQESMKSQSSQASSFGYDNPLAQSGALKLSSTLDDP LERAEPLEQSRILEKSVSSSNDGRFDDADTDEEYEPEYRIEPQARALGLPNVQPGEDA IDASLNEALESSISNNLMDSTSDTPRLTVDMADSIADLLEQQAIPDIGTYEDSLQVSG RNSPALSLSVSTQSFHSFQSDEEGEEEEPSTPIAAVNPSIFSDDNQEDNDIPQESGAA SPDRRSSTSSANDSEPSHRDELSESKIFTHEEARSMYQSAMDGAESKLIGHMPGAWSD HGSESILEEKKVIIDDGHERFLQDNEDQSGATPTGSTVLSSSSVGDLGNKDVSDVGKS CTERPRPRLIDPASDIVKNILEIDKVMIWLPPMSGDPPQELSLEARVDPIQRMRESTL APGQSSVATSSPRIRFADRNRQDSVSSSSTVSGQQAGGAGLDDDSDILKNMKKEAAVE ISVSSFQINFDIACGWLLVKVGQNLAQSLNSTPASDKALDSAADEKQPIPIIVDVSNC SLKLLEHLPGCSYLPWMSNSVSNPLTDSEDALLQVTITGIAFQSLTTAHTMKTRLTVN KLKISHLSEDLLSFDEGLKMRESTRDILSSSSDIIISAVKNSQGSRLTINTLPLHMTV SLQRLDETLTWLGGLSTIIELGNSIASGTTTTGGPQESGSKPRGVRFEQTANAPKEPP STADSAAWKLDSRIGGVVIHVLGEQCSVKLETSAVKSVKRSEGIAVQVDRVRATGPHF YHYNINIQKCSPALVALDNVRFEFLFTPKESDLDRLLTLLAPSKSKYDEEDDIMIDTL LRQRRQGSVLRLTVGGLTTNVYSLSAMQPLSLLAEEASKLARVAKYLPEDDRPGMLAL ALVRELEVNITVGGKVGKFRLQSHNAEVGYVSFPSLIASRVSTATVLRNEKEELLGPA VLRKPGERKPESELPMFMVRYIADELEPAIKLKLFNTRVEYIAESIVTLMDLQEDEAT TEGIATNMAQSVLNFAELPEQPATELETSMQGSAAADSSPGTTSPIRLSVTWKDCLIG LAPRNSPAKGYVVLTNVEFFGCMQQELRSEATLKVAKASMMIVDKVESEEKTRSNGRR RRSTSLSPQIQYLEEIGYVSVCETSSAQIHLKIMQLAADGQKSLDVEVRDDLLILETC ADSTQSLISLLSGLSPPAPPSEAVKYRTEVMPISNLLQSFAAETLDTYNSNKKNNREN DGLEESDEISAAELGYISDFFPFHASVKSGKSTEEAPGEGYELLANSTHSVAHVHPEH TALNFDEDHFSKNSAVGGTAHRWDASRNTYNLSNEVNLQNSPLRLRVRDVHVIWNLYD GYDWWRTRDTISKSVKSLQTRAAERRARANNRRLSREMEEGMEPTIDDFLFNSVYIEI PSNKDPRDLTSDINRNIDGLSETGSYATTTTITGASTQDGVSRREKLRLARSQHHKMT IELKGVSADVVVFPANSGETQSSIDVRIHDLEIFDHMPTSTWRKFATYMHDMGEREIG TSMVHVEVLNVKPVADLAASEIILKATVLPLRLHVDHDALDFMARFFDFKDESASKPE TAPGDVPFLQRVEINPIPIRLDFKPKRLDYTALRSGRTTELMNIFVLDEADMVLRHVI LYGITGFERLGKMLNDIWTPDVRDNQLGGVLSGLAPVKSLVTLGSGVRDLIFIPIREY KKDGRIVRGLQKGAAAFAKTTTSELIKIGAKLAIGTQNVLQGAEGYLNGPPAQPANRA PSSGEDPEDDEPIDVGAKQQISLYADQPVGVIQGLRGAYAGLGRDLSVARDAIIAVPG EIMETENVTEAARAALRSAPTIILGPAISAAGAVGKTLLGARNTLDPENKRRADEV TRV_00505 MIFLARRKDEKDEKDDKEGQRAEQPQDEDDAEDDGQGGGPRNET SLFSRRRPVSGCDAQRGQTDVWAHDWLTVEQVVPTRCFFFCCVSGSPLAYPEMPSRPG EFIPNFRAPSSDSQLPDDGCRVP TRV_00506 MAGVALETNQIPWESLLLMDEHTGGADLHSALRRSLIPAPLRIG SPSTSPSQYQSPSPPISPAPTLLVSVQTPLHTRSMSGKLSLHEYRRKLSHSDAQDGTT TVPRRMLKRKPKTTNLNNRQGNTIPLSPPATPPASASPFEPSRPVSPILELDHLTFSS GYHNASNTATSCEVSPIDGAFLDFERATPQSTLTTQNPLFEAPAENKSQYRTLIPTRF TAHTKSASESALPRTLRHKGVSFEILTPRRHTGSSLSPTLAETGSPRKTEMPTPSPLD MDIEIPKPAKSPPPRLRRRSASLGPERRCTPSRALFEDLPTAYSSITSGSSSKNRPAN PTAYSDEHLPQVPNEATAHHDQFMVSDVEPSSSQEIQIEHPDSETSQPTAEQPIVEET YAASEVVDEVVDEAVHPSNSQTKPNKLVKRRLYGTNEPLSPGCPRLRPIISTFLNRRQ PNLHGARGRIEKPKIINDIINRGNNSRPGSALDEIEDHIQATSALTLPQPNCSHHHFS TGHQEITTGDESHEIVPQSNHDDSPAARSSPFSFTDSKRLEEWKSFILTGTTDQVSSE NLPKNMQRTSIFGPNFSRPMSSRSRHRKADHRAECRPQTSDATSPFKTCHMDLPRLST SYLPYHGDNQGQQRISSVLQRPPDQEFSLSQAERMAGAESYYEDLGPGSSQSSSSNRL SMHTSSSMALRTPQRPKSAAPCEPKDKSKSYHPFFHAHLGSPRPNKKREQSISTLAKH KGKQSISSVLDGDSDIELDEGGSEKDWETVTESQMFGSRSRIPVPNLESGSSLANYSS AGTLANRSLMDIPSQSHQGSSEMRFPFRDRSPRFRRPSWLHTTRQRRFPEISAPSFPR HLYSMSEYPRDPEMLYSTAPPLMASTAQQPAPRAASNSQYHHPSPLRDTHPNPFQSTP PSLNEIHPSERHAKSGRDSKSGFVSAIRSSKLTFQDDNDNNINNINNNSDNPFNSGHH GSGPIRSLRPLPARASMEASAWWTKPAFSSMKSQHSFHSAPFSSTIRGVRDATSLHSR NVSSSSHSHSHPHPHPYHHPHHHSHYHHHPTSSSASHEITTTDLFDSKNPLPVTPSSA SQTARPQTPSLGPRASCTTEEDIELEPLTRVCHRSTPARSRVSSLSSLVSGVTSTSRN TPGSLYLSIRAARDKARNYRHHRHNRTLTNNSTSTTGERLLSARPDTRASSRGEYRRD INSNMDEHDHPAERERVSSPRSILAMSPPAMRSSRPPTRIQSASTARKLRSPNPDDLL RERLDRIETASVVLSNHSPSLHESCVRSPWTTCQPAKQFTVNGASSFFATIDEETGSV PDAYCSTYGRHVFPEPPRLTPQPRRQYQNQNVDALGSAEQAGVHGGTNLSAQRRIGRQ LIMIFSLLVPFGWFVVAYIGFEGKLADELIRWRSNGAITEFHVKDKYWASQLAVSYGV FTLIALVVVLAICLTAL TRV_00507 MFEGFSFPAPALPAVQPEIDSLQFQCDSNLVSPLSSRCPSPRLP SPLNSRDFPRLNRRSRQFPHYHHHHHHHHQHQHLGPAPTSIPAEYSAERSQRFSIGSL TKQLHAHSLETGGGQDGGSDSDDGGRWLPITPPRCSTDAQYQNFALLDALPAQSRTEN DLVRLSSPFYPPPLDSTASPPPSPRGAHVVDHGHDRGEHGKLPLQDQDKSSEIFYSSM PHSRSSIVDNGDSINNINNIEDADRPGDVRYQREKFSMLQCASSSIADTVRLALLLDS GVDCPPDRRRSSYASAAAAAAASVAPGESCDAAAGYISDDQHPSSLPPSRTPSKRRLL RQKHPNNDNHAHTPLASGCATSSRFSGAGSKGKVEKSQHHGHSHSSTGTSTPNPSRKF GKSQYGLRRRSLLLAAVTAVLEQEVAESNRMQD TRV_00508 MDTMTSTSGVNTPYVSDNETVSSATTAPTPRDDFSAVIGMACRV PGASSPSKLWENIMTQRDVQKKMPSDRFNVDAYYHPDGTNKGTTNAKYGYFLDQDLGL FDAGFFHISGKEAEAMDPQQRLLLEVVYEALENAGITLDEIRGTQTSLYCGCFTNDYN SMITKDLEYYPKYTVTGTGDAILSNRISYFYDLHGPSVTIDTACSSSLVALHLGNQSL RSGESDLSIIVGSALHFDSNIFVTMTDLGMLSVDGRCRHGDKAGSGYVRGEGITAVVL KRQSRAEVEGNRIRSIIRASGANHDGKKQGITLPSAQAQAALIERTYKEAGLSPADTQ YVECHGTGTAAGDPRELRALSSVFASTRDEPLWIGSVKTNIGHLEGASGLAGIMKATM ALEKHQIPPNMHFNTPNPEVDFKGWKLRIPTEPVEWTVREGVARRVSINSFGYGGTNA HIILEEYNRPSSTKLALPEPYAEMTKDRPYLAPITSHSDKAGKLMADKLAAYLEANPD ISIADFATTLSIERSMHDFRSFAYGATNEAVVSNLKEPLPVAAWVSKMTSAPRLGFVF TGQGAQWWGMGRQLIEMSPLFRQSLEKCDEVLQALPDKPDWTVVGELLRSQEDSRLGE TRFSQPICTALQLALINLLASWGIRPTAVVGHSSGELAATYAAGILSFSNAMVAAYYR GLYMGNAAASSDSVPGAMMAVGLTESEVTNELKPYAGRIAVAAMNSPTSFTVSGDEDA VVELQAKLSERKVFARRLQVGQAFHSHHMLPLAPGYERAMKNHPGFSPQPPTARMFSS VTARVADYQSMGPAYYAANMTGQVKFSDALTGIVLNEDDEQNIDVLVEVGPHPALKGP SNQTLNSLNIKLPYLGVLDRKVAAYDSILSAAGQLFAMGYPVDIPAVNQDKYIDANNN LVTVDSGNKLLEFPSYAWDHQRYWSETRVIKSHRLRKFRHQILGAQMPGCLEDRPRWR NYLRLAEMPWLVDHAVSGKVVFPGAGYITMAIEAAIRLGNPEDPIKEIHLRDIAIKSA LMVSNSDLGTEVLLELRPATESAKTVYSTWKEFAIFSFDGDSMREHCTGLIQVEMGEE RPVHRIKQRAPASELRASSNRTLAHTKYYQRLATIGLHYGPAFQCLSGNVECGKGFAT GEITWEPKRVSTGDDSSASVLHPTFLDSSLHPIFAAVEGLMGHSITESFIPTFMRSLR ISGLLNRKEYKCDGFKANVAVDSWMHGPRVAISNIGIESKEGQLLADIEGLELTSLGS DADDQQKRTLFFGIQWKPAFEFLTPAQAQNLSVPEIVDLYVHQNPNLNFLHYSDSHTS TLDILNHLGGSNGERRKFGKMTVVPVGSAEEASFSPLVERWNGLVSLEAPLDEKFDVI IISSLAEAASIENLNENGLVISHPAKAPTADNLRQLWSTSEVTVLKDGVESVFTPETL TILMPSNPSAETEALAKRIESTTSAKVTRKDFLSLRNGTRMDDNVISLYALDVNIFYD EPSKALNEFKAVQSLTSDANRNIVWLSQGTFMDCPCPEQAMFPGLARSVRHETEDLRI AILDITKSAKVDLSADLILRILNPTLHEEEIALRNGQIHVSRLHANDELNSKIAGGYN HQATMQPLHQKNRPLKLVIGRPGLLETLSFADDTEITDEPLKDDELEIAVKASAINFR DIALSMGIIEDYKLGDECAGVVIRKGSKVSDEDFQIGDRVVALRPGEGAHRTIARNPA CYCAKSGSLTFAQAAAFPLVLSTAYFSLIEAARLKEGETVLIHAAAGGVGQMAIQVAQ LVGAKIIATVGSQSKRDLLKNTYGLTDDQILNSRDDSFVEGVHRLTNGWGVDVVLNSL AGKLLHATWTCLAPFGRFIEIGKRDIHQNSKIDMDPFRKNATFASVDLVTMFNLKNRS IATKLFKECCELFQQGKIRSIPTVELEYAECEKAFRMLQLGNVAGKVVLVPGDDNQVL VQPNTNDSKATLKSDKTYLLVGGLGGLGQKLAEWLYRRGARKLAFLSRSGADKPEAKA VVQWLEDRNVNVQVFKADVANFDGVNNCVLAIKDNLAGVFQAAMVLQDSPFDKMSFDQ WRICTTPKVMGTYNLHKATLDIPLDFFVCFSSLSGAVGTKGQGNYAAANSYIDSICRY RRENGLPATTMDIGMVVGIGAVSEDAQLQAVMERVGYDPVNEEELFHQIETSVSWDQY KTLDSNGFDAHQTITGLNMRRPDYYWTSGPRMKNIYQNHDFTSSGNLGKAQKSVMALL RAADTADDRLNILIEAFIEKIALILSIDIENVQPSRSLADYGLDSIVAIEIRKWFFKT VGVELALFDVLGSSSIRGLVEKVSEAVVLEEAETEDTSKQQTSSRTARSSSTKNAGDH PLGEKSFKVSPGDEVPMSTFQRRLWFIHNLIEDKSFLNLPLCATIKGKPDIQVFQMAL EELKRRNDVLRTAYFEGESFAQQMVTDDCSVELNFLDFTDAHDVEADIEDFIEEQTHE PLDIENGENIRFTLIQTGEEEFTVVTIAHHISFDRGSSESLLDQVCNLYNCLRNGDDL DGVKQPAASYSEFTMWHNERLQSEEQQKDVDFWKAKYHDLPGPTKLLPFAKAERPESN DYKRSIHQGLLKKGAHQRMKRVCARLGITPAQFLMAAFRAFIYRYTEQDDLTIHMIDG NRPHPSVSDTVGFFVNVIPVRCATNNDTDFESFLREMSGLILESLSHSNVPFDLIVDA VGVPRNPAYFPLGQVVVNYQMHGKIPTYSTDDFNMIDIRGKDVPTASEMQLEATEDPD EGLKLSLEFSSTLYGNSEMERFLDNFIAFMNSAIRDHRQPISEISMVGPKELSHLKNN FFAMDFTENTWENQSVASRIMDIARQYPNDVAIETSNGSSISYKTLVEKAERVAAAIE SKGIDSGSKIGIFSTPGVNAISAMVGTLFARCGYVALDPSFATERLSFMANDSGMKLL LTEKELEARAREISNKSNSPLDSVVMENISESSQPIQGPLKTANNDPFYMIYTSMLST LHHDYKFTTKDRFLHHSSICFDLSIVQIFSALTCGARVCVATAATRKDPVALAKYMES SQVTVTYFTPTQFALLIENAKPCLQNIRKYRIAYFAGERLPVRVARAFYDLGTPAVVL NTWSPSELVVQTTIQQVEYPSEDEVSIPIGLPMANTRHYILDKNCQPLPEGFIGEIVV GGAQVGLGYLNRPEANRSSFVADPFCSEEDRQAGWTRMFRSGDKGRFRPDGSLEFHGR IAGDKQIKLRGFRIDLGEVEQRLFVESKDESGEPQIVDLSVVARSPTTADSQDITDNR QLIAFVVTKKPFADQKSKQAFAFDLNNKAGRHLNNYMLPNGYQFLDALPVTIGGKVDR QRLLNCDLSLTFPTAATETVESQAPAPNDRPDDKLVNTVLQGFQEILKLPEDQEIGVN DSFFELGGQSILMLRLQARLKRVLKVTPTLAMMFEKPTPMGIAHAIWSKSKDATDGEI DWDKETELIDAPQYTLDQSLPDISPSQITDVLLTGVESFHGIHMLATLLRESPQLTIH VLGLEEPMTSEDVFKVLDQWDLLQFFPDRDAVTSRIQCVPGAMAHPHLGLSTPDFKKL GQTIQAIYNFASHVSLLQSYDDLRSFNVEPIRDIIELATFGRVKVHIHHLSTWSVMHI QSWQTTIRKRRGRPVTQETSASHFTPEGTSRFGYFKSRWASEMLIEKAASRGIPCTIY RASAITASTETGGIEPDDSFARRMVLGIVESNGIPKIGQRGLEFVIDFIPIDYMTKCV RALSLSDGLSRQENVATIHHITNPSPVKTPQLVDMMAEIKSDPSVKAEILSKDDWLDG MQRIDTEPGAEIRWTVLKSYFEVGHNMFALDQRKTKAILKDLGVEPCFSIGVDVLKAV YEKEKMARTPKA TRV_00509 MFVDYIRTALTQPINIIPAIIILFIVFKIRAWSKDDGIPSVKSN IPIVGNLLDYAKDMEKYVRESGKKYGQVFQINLLLTNTIWLNGPALNKEYLFAREDVW SFGDGMGVFLNKVVVPNFFDQLRVLVGSLSRGISNNAAISHYTNLAGIETEKAIANWA DRKEPLNLFKDTSYIVHKIIVQCMMGPDFYDAADELFDLLHVMEANLGSIWNFILPEW LPHPAAKKLWAARDRTKEIFNVRLAAREKEPEKWKKELDYISYTLRDPVTAHLKDKYS ALHTVLMFAAHTSTVAGVAWTIIELLKNPQYLERLRKELAANPNPEESEFLNALMKET VRHYVAFNDLRFTRQPKTLTNADSKKPITIPAGTMVSISPYITHHDPSIWENPNEYLP ERWIDEPNLQKKMNEGNNIRYLPFGAGSHRCPGEKMALMMMRTIVAKIVMTCDMDWPA GEANQNTTDLDFAKIGSPWLKGDIRVKIQPKRK TRV_00510 MRGILILVALGAATIPQASAAPNDRQQSMIDLPLKLYQNGFNTD LVTIGTPAQATRLFVDWTWIGAYTVSTKCNHTNNAYGCLAPGQKLFNETQSTSLVNQT NLYPTRTWNPNHFFMDKDLTAVFASDIYRVGDRESRLTLQLSQLNWKASFPYPFSGIF GMSPVFKSDNMSIQAPFHQMVQQQKFHSGLTSFIYCYSDEPGYKSPPKERCNGNDGIQ TLGGYHHRDIGWRGIEWINTIVFPIVNDIDFIYNPAFYNYWSIPVTKHFIGNEEQALN TTTGSAVVFDHASYGRGAAMSVASYRRLVSITNAQPVNLTMATLPNNGKQKFYSVDCD RIDSFPAVKYQFGKWRRVWSIEARHYISKAKTMDGKDVCVLNVRVIGQGENFVIGNLG ENFAKDKVILFDFEKNRVGLADFRD TRV_00511 MDTITLLQGDADSNLTPLILVHAISGLALPYFALGPLSEIEEDR PVYGISSPMFTSTLANPLDGTLSQLAEEYVATVRREIQPFGPYLLGGWSMGGMIAMEM AAILQAQGDEVPHVLLIDSVNPRYFPAFQDKKQHDIQAALTYNAIATRMNAPAIPLFQ CGLFEDDYYSSSGEESNNDSDTEEFVEDLSVPEMLGRMRKHIHQGLGVLASQKSRVDE FDFSETEVTLIRCTVRESIDSLVNDRRKILAQKRSEDNTLLWPAESFKSFKTLTIDAT HDGCFDAEHADELTFLVKDVLDNLDY TRV_00512 MTEAKPHTPERVRVAICGGGIGGLTMAAVLRRLDISYVVLERYA QITPQGAGISLAPNCLRALDQLDIFEKLAKHSQAMREVHIYKNNEFWGSQKFGMTNEA FGYYVHKIERHQFHHLLLEATGGNDVVRLGFNVNDIVDEEDAPYAIVRAEDGREVHAD IIVGADGIRSYTRRVLAEKSGMKATNNIRFTGRVHMSGYTKPLTHLTTKDEGVGHWML YDDAILTTWPCKDNRQWFIGVAAAKLKPGEQPDRSVWKGATKDTINEEYGERFHPFGE ESKMKSIIDASERVIASNVFMELDVPHMVKGRIVLVGDAIEDAVELGNALYEHFRLND PTAFDRYSEVRQKRASDLVQFSDNFAKVHTARLPYGLGPLVRKILYKYVPTSIWVWYF TWLFNYQPVIKYPLLDLPAGQAASAQ TRV_00513 MSSSSHSQYLFLQSCVNIYKDCVYDLEAAKGRPKRKHVESRDSK LGSDRDHAGKGAGSQDGKSTGQWDPSLQGPLMGDDLKAMFHECFISKVGMRATIFQGA IAAGHERLKQTGSNSASHEAPQRISYDGLMSSMTREIVEMISLRFGDLNCEVEDNFPR RYCITSLARDTTQEMFDNTVPRKNPLKSYSSHRILQLIDLWFSVHPLSSLISKTLLLS SLKNSTYDEALLATILSDACLVHENGQNYDTEGQLLFEFATYQIQNRSVLNRDIASSQ ALILMAWREICMGNARRGSCLTAYACRIVSELHRGLNQGVSSNGTKLNGIDVRYVDGE LIRNIYWVCRSTTVWSLMQIDQPYNLLLPEQTPSQFPFVDESTSMTISLDLASDNVST LRAQSRALQELWPLSQVASTAAHIYALCASPDIKDTLETVEWQARHIHQLRRLLSFQL ELPTLCGKIRHILLEAIRQVKLEVAPGSTQAVVLIAYHTMIVHMLFPQRQTSDSVIIM TPERINEFCQSANALVAISHFVISPQTTSLLPGPGLKTKNVSDIFALGLDACSRALAY IHSRANCGRDEYQAIMAKNRQLFYLAQHLHDISRGELSRASATMRTIKKRLKFAKVVF SSINESTPPLVHSDPSPIAQFRSRIQNLSQSSNTSVSGGLSQPGSPIPDDASYLSTIP NQLPLDMFLGSDTSPVSSQDGFDTLHMHTSSSSLATLDHSGMTSPFTPPGMSPLQPPR KIRRTVSPPSFENHGKANMFELSEPVMSSKPEHIPRSSGSPSLNNGSVFRNGGGMGLM GLDMTPNDGHKKFLGEPMNFSQQLFMSSENDPDFGSGPLLFGSPLDTNGHGDFNGMPD LTCVEGENGFMPLDLGYLG TRV_00514 MASLLKKPLKIALVQLASGKSWELKRATKIQRESEFGLTTCIFL GADKAVNLAHARSKVLEAARAGASLVVLPECFNSPYGTQYFSKYAETLLPSPPSQEQS PSFHALSSIAKEAKAYLVGGSIPEFAPESKKYYNTSLVFSPTGCLIASHRKTHLFDID IPGKIRFKESEVLSAGNKVTIVDLPDYGKIGLAICYDIRFPETAMIAARNGCFLLVYP GAFNLTTGPLHWSLLGRARAMDNEVYVSLCSPARDLDATYHAWGHSLVVNPKAEVIAE AAEKEEIVYADLEPQTIEEIRKGIPIYEQRRFDVYPDVSKGKIRFEE TRV_00515 MIAVGAVVITTSLQEREEEFEKKMRISFMKSTAMAPEANAVHGV SFKKRAPRAVKEIRAFAEQAMGTKDVRLDPQLNKKVWESGIKGVPFRLRVRISRKRND EEGAKEKLYSYVQAVNVKDPKGLQTTVIEDA TRV_00516 MIPTTHIRLQYHHQHPAHNEDGETTKLCIACSPLFVYAVLSSPL DEVSVCPRPVCLSCVAGWVYIFYLLLAPISNSLSLTSAKELPFHQLSQSSGSSPSSSS TSPRFPSSFTSRLNIFVILRTTPSNGFTFGQSQGFSRPATATGTSNNIPSASSSFDNN QSNTPGTFKLFGSQTTSAPPSFDFSSNNAQQVSNPFSNMSSNQNTGFQGFKGSMFNIP GASQPENKKPENQQNGTSGFFGQAPAQTSAPLFGATPTTSAPLFNSSAGPNMFGQSNN NNPPTNIFGSATMPSPTKSIGGGEAMQMSPDGPKSTAGQAMFNVSAPAPPIFKPTFST PSTGSGSIFNLGGTPATTSAGTPAFSFKPTAGTPAPPASSGSSTLFGASKPEEPKAAP AVSSGNFMFGASASTPASTPLFGQQKPTEAPQATTTTAAPATTASTTKPPSIFGANPS FSAAPSGGSLFSHLAQPNNSAASASAANGTSSIFSNLGQPKPDTTPSPTKETGSLFGH LAQPKADAAPAPAKETGSIFSHLAQPKAETVTSPAKESPPLFSTPSASKPLFGAASTA TAQPTTQPAFSFFGQTTKPAESPKPATATTGGQTPSIFASSSSNSIFQAQQQPTATQT ENKNPTQSAPMFAASTNIPPVTQPKPMFTNSVNAGTSGASKPLSPTFGAAPVPAPAAP AEAPAPPKPKYVDASTETVFEASVTMVNFRPTEAEYPPNATPEVREQFLRLWRLSALN ASFQEEIASVNCWSQDLDPIIGRYVMLRKLIGHPHPIVTQYIPATPGSKLPCKPLPPF SDYDAEYERERAQAAAKTSVSNADAAPSGPSGVSHKRKASDAGEEDSTSSDKTGKRTK FDANKEKDSDDKTDEAGEATPSKTLSLFANSFVAQKSRVSAADGDDEADAEADADGAS SEESEESDEEEESTDAEKQEEKTTTPSVSPPSTSNGGGRSLFDRIQRDENGQPIRQVQ ADELREEAKSLANEANELASSVMGGSRTGSPFNAGSLTPLTGSTNDLDSTRSPSPIDP PKAKTSTPSIFANLAPSGSSNIFGASNSFTSTSSAGGSKPATTSNIFGAPPSSASSSA ASGSAPATSIFAAPSPTSTPPPSNIFGAPPSTSAPAPTSVFATPSSAPTPTSNSSLAP PTTNIFGHLKPGNSSAQPGLSPFPLSAATSVDPSPAQSDTDATNDPSDEVEKHAQVDF TRSGPGEEDEDAVFECRSRAYQHINGQWEVKGLGVLRILKHRTNKKSRILLRADPSGS VVLNTNLMPEIDYKQNGTGVQFIVASESGFQHWLLRVKTAEGACELRNSMEQHKKRD TRV_00517 MLPPPSLLASSRHLPQLQLSTVQTQDSSIPSSISQLPPSCLSRS EPPDSFPSSAAFDLINDTLKANPKEREAAIKAAQGIFAFTLTNEAGATESWYLDFKKD GVACKGAAPEGGKADVTLVLSEKDFAGLVAGTANAQRLFMGGKLKIRGNAMKAMKIEP ILSKAKANTGVKAKL TRV_00518 MLPSASFIRFWSTDLTRDAFLSQVSKEDLANLRLVCHDFSVHVE PILFSELNVSFRSSTFTRPARMDALERIGRHVKSLKLTISHSPSTFLPPLLDPNTGEE QTFVYTPQVYPSSPFSSRLSGPKYGTWEMTDLLTKQYPPLFHAATNIGSFIRAFKALD GLTKLTLSCPNQSAPHRYRRSVVDYALISIRCAVEHSPLPCLASLTLLPMHPGALLYL RPTAVGIGTSPASCKRWRRITDLHIEMDAFPYSGGEPTDHLKFLDSYLQCFPLLKRLK FRWLGARGPSPLSLSTEPCLTTNKQNQFSSAQPKRVKALIFPSLHRLEIENVHVDASQ VSSFIRNHRNALREINFEATTLRSGTWDDALAPLAPSPKKAKPSPSPPPPGQKSVATK EVEVMDVPLVLSAAGMEIKQLQRLVLEEAGRRKKGRRNKTGSSIRLWGRGKAISTVGS GSTVSAGSGTGAGSKIGYGQVQLQKATDRTRELLGPFFCLLFFSFFLPLCRASFFVLF YFYVYNGHSLRPFFMFLLRYLVASGVVGVAASESVWGTSAVFLGGGKGK TRV_00519 MDSGEIEDMLSWSDSEDETTFSYNHTPAGSHRAPSPLPPTTSTT TQHRTAHQASSSSSSSSSRLSVAAMEAGPSRKRPRAPSSPNTSSALLHDSKTRRQASP QRNTGPSNQPASATAPDPDLLGFFGIDEDDEFIALEEEQRKAEAWLKERREQERRDEE FARTLQETWDETIHNSSRSDEQDEIVFRPPPPPAPAAMLQRHNPTPIQGYTPPHFTPQ PQLGRHASTPGPMSEQARPVLPPLGPASASRSSSGFPVPSRSSIFERKDASNSASSWQ KTSFGGRSFGPGFSQNTASRSKEPWRANSNNYIELSSDSDAEDSSNSFPNRAPSRAVG NSPRAMYIAPTYKGNPYGNSSVAGSSERLPGVHSLGQMFGQGLRTFDFNRSLEELNYP PSLRPFMTNQCPPGCLCGREASHTYLSAKSQIDSLREMYAVDGMTPDEMKKELKSLLE NIRPDQELDLNREGTPEALKFPLMEHQKLGLAWMRSMEEGSNKGGILADDMGLGKTIQ ALALMVSRLSTDPARKTNLIIAPVALIQQWKREINRMLKPGSQHQLSVFILHGERRSV KFQDLRRYDVVLTTFGTLASELKRKEQWMKFKKENPIAYQNLSISPLDDMPLLGESSK WYRIIIDEAQCIKNRGTKSAQACYELQSIYRWCMSGTPMMNNVQELYSLICFLRIGPY NKLERFNSTFTRPLKNDTNAVQSTAMKKLQAILKAILLRRTKSSKIDGKPILQLPPRV TEKVHTIFSSDEQEFYQALETQSQLQFNRYLQAGTVGRNYSNVLVLLLRLRQACCHPH LINDFAVNLVTNSGEIDLIANAKRLDNTVVERLKSQEALECPVCIDVAENAVIFFPCG HSTCAECFARISDPAQGLMQGNDGTIEVNCPSCRAKIDPKKVTDYASFQKVHVSGENT TAEDGKSGSQADDTADSDSDSDDDNRGTLNGFIVRDKDEERRKKGKGKAKPKKTLAEL KKDAQRNIKAKRKYLRTLDKRWETSAKVDKTIEILQSLRDSGDEKTIIFSQFTSLLDL IEVPINRRGWNYRRYDGSMKPADRNDSVLDFTDNPDCRIMLVSLKAGNSGLNLVAASQ VIILDPFWNPYIEDQAIDRAHRIGQLRPVMVHRLLIENTVEDRIIALQDKKRQIIEGA LDEKASSKVGRLGVQELNFLF TRV_00478 PSINVIAPWRMPEFYNRFAGRNDLIEYAQKFNIPVTSTKAKPWS MDENLAHCSYEAGILEDADHTPPDDMWKLTDDPRSAPDTPADLNIVFEKGIPVALIDP KTGNKTTGSLAIFSALNEIGRVHGIGRIDIVENRYIGLKSVSLLPSPVQATLGVCMLT SSLHSVVASKLLFPKCRSPYHPCPSSNTFSPSDSPAMTILRLAHLDLEGLVLDREVRL LRDQFVTITWSRILYNGLYFSPERKFIEQSITASQDDVNGQVRVRCFKGAVSVLGRSS ETSKLYDPELSSMDSIDDFAPTDTTGFIATQAIRLKAYGKAEKAAGVDLTKA TRV_00479 MNRSRQAQTQPTYDFLAQNSTPQMPRTPLRRSARASDSAVKPDV GNEELRVQISTLKYDLENIKQERDLTALQHEKELRELQARADADFRRAQAAETSNNKT QHKIESLTEELKSTQEAAISEKSSWERRLRSLQDENETLREESNDYQSQLADQQRQYK HQIDELEAIRSALQKSLDELRNELEEVTGNLQTAQERLEGRESEVEALETENIRLKAE GTDPEALNVLKRELSEQLAQVRRLENELRPLQKSQKRVEVVEEQKMALESQLQMMKSV EAELHNTQIRNQVLEDEKRSWDTLLQGPGQEAEFDSPESIVRALVQTRIENASLVERI GHIQSEATEKSELAARLEDEKHSLQKELEKARASGAANATSSSSSSGSESRARLRLER QRTLALKEVEYLRAQLKAFDDEETTMNVEENRFDSQKAEHIARLEDLLTQHRQELHEL HEQLSKREAEVTPTIDSAASATTTRGIKRPLSPADSDAESERIAVLTRKYRAAQESFS KSEQSVKLLTKELEATKSHLSSLQAQSRTRILEFRDNPPAQAEKIKMTTLKTLKAENV DLLNQIRGSRANIRSVPASVLESMKLELKEAEKAVAEKDKRIRRLKEIWTAKSSEFRE AVASILGYKLDFMPNGRVRVTSMFHLSPAYRHGDAGGSADGPGSMGDGEENSIIFDGE NGTMKISGGPNSLFALEIRDLIKFWVEERKDIPCFLAAMTLEFYDKTTRALRM TRV_00480 MEEQAAKLRSKLRLQVSSRREAIVRVAQKLVAAPSPCPPGNTTL AATVAIQLLEEAVPDVQITRHVTGAGIVNVVACISSGRPGKRLVFNGHLDTFPLGEDL KWTVPPAGGVVKDGRLYGRGVSDMKGGIAASIVAATILSENRNAWSGEIVLTLAGDEE SMGKQGTKWLLDHVEKATGDAMICGDAGSPRVIRFGEKGFVWIDIEAVGTPAHGAHVH RGVNAIDRLRKALDAVYELEKVPVNAPPEVSDAIDAARDISEALSGSGESDTLQRITV NTGTIKGGVSPNLIPSSAMAQCDIRIPVGVSTEFIEKRLNDMLGPMAGISWRILRTSE PNYTSPNEQICRLAEIVSAEVLGQQAVCNMRVGASDSRWYRAANVPTVVVGCTPNNMG AADEYVEIDELVNISQIHTIVAYEFLKNNA TRV_00481 MAHSHEALANGIEADGERPLREYETKNPDMKSMVTIQNDLASLA KTLEAAQKKLDKIKDKGPKQASKVPSAQAAVDDARAQWQSRAPFVLEQLQAVDEHRVN HLRDILTQYQTHETDHVERSRQAVESSLNSLLNIEAGEEIRTYVNKKSGGRLPSEAVP STPAPTSPRQDTPTSPKPTPPATTEPLPSPPPVHDDDASQRSGTSAQRRPSLQQAPEP RHTAFGGLKRLGTVMGRRKSMIVPSTGPTEKKFRSPFTTFRRTDPSRNFQQMDESEGH HSLEAVTSMESQRPPSSTMNESAFIESPPLPAPNDIPEEPAAEESTAINGQSTDAPGQ TSQVDAEGYSQRPDIEDEITRIQREAAAVDDSGINLTIRDQPIPEDESEAKQALNQVA NTLRLKAQQSGAARGPGTVRGRRDVRNTMFFPQPPLPGNGHSAENPFPALSNAVLAQP GTPEMPRSEALPEKVTQEDHMPDNTSIHSSQTLHSLSGPITHPVLPEPGLNVSIVEKL TVMLSEGVVTRSLVVGELALAYNNHTSAEYASESQVIRLNNFGILERVAANPQFVMER SITTSGGDIPHDDSSKGQYQVRLAQITGPTPTVAFKYQIHLDSSNLSSYCPVIFTPVW NEEEFQASVIVQYSLNSQFISSDSSASVVLHNLVLSVGLDISQVDETTMRPREVAKAV GAAMHPSTGAVFRRKNSSVTWKIPELEIRPGEDGKFLARFTTSTSGPRRGKVEAKFDA TCSNNTSRLGMSVLTSQSSANSDHEDKEDDPFADDGLGTSKEGDSSVESWTEPLTERR LTIAKYVSA TRV_00483 MARHGHRHRARKHPSIDDIIDNIDDIFKDNDRKSGSTITSVIYV TAKPTFSGPIGGYSTATEDRPKITPFPPLETPTRSTRRPRPTETSDEEETETPTPEPT PEPTTQRTPTTTRRPPSSSSSSSSQSSSHSTPAPTTSSDRDFTTSTLAPTRTIATNSN DAAMETTSGSSSATAVPSAGGMSPGAKAGLAIGLIALAAIIGGAIFFFLRKRKREQEE LQEIDNEKSFSNAAASFPPPPTSRPTTSTTSPVAPKLNIRPVTQFSPDFASPLPGAAK APGMLNVSGSLPTPPASAGGSRSLMDGPGPSAPVKSHTATNSDPFNDPVNPFDNPSSA PSSPPNRTLPEALQVRTPSVGSDVGRPVSPVSEGTVNTGVVASASALAAVTTSAAAVA SVASSEDARKDQPPPQQQQQQQPAPPQISAATPAPSSPAMSTASVVNVAPAPAGAPAG PPPGPNNVYRVQLDFNPSMDDELPLRAGQLVRMLHEYDDGWALCVRLDQPQQGVAPRT CLSSRPVRPRPRGPPQGPGQRTPVPGAMPPAGGLNSPGPNPNSPRFNPPVNSRPASPN AGYRPYPPPQNKFNEIPRSLSPGPGAGSPRIPQPRSMSPGPYGPGGMEKPVMPAAQRP RSNSASDAVTPSTPAPAPVAISEEPAVKPEPTRSPPPALGPANRKPVPGQGN TRV_00484 MEAGGSSTRGGEDTLETLETQVEEDDVPPTTYAQSFTPRSLFVG LAIGALITFSNIYFGLQTGWISVMTMPSSLIGFAVFRSLSRHLSFPFTPVENVLIQTV AGAVGTMPLGCGFVGVIPALEYLLRPGPDGPMDGSDGGDGEGGPLKLASWKLIVWSLG VCLFGVIFAVPLRKEVIVREKLKFPSGTATALMINVLHGATKPVDKGKGTTGRLNHSA GSPAETERLLTSNDIASVTLEHLEADRRRKHEWKAKIRMLLLAFGVSAIYTLLSYFAP VLRNLPVFGLPLANQWLWTLNPSPAYIGQGIIMGPSTSLHMLLGAVIGWAILSPLAKH NGWAPGPVNNWETGSKGWIVWVSLAIMLADSIINLAWLAIRPLVNQGPGFVVRLQYEV RRKRFWTGLFSGSTSSSHGYTAIHAREGRDLKGEDYDAPPSELISNRTVAILLPLALI LNVVCMRIAFGDIISPFLSIAATLLALLLSIMGVRALGETDLNPVSGISKLTQLIFAA ATPASHHTRRSAIVANLLAGAVSESGALQAGDMMQDLKTGHLLGASPKAQFYGQAIGS VVGAIISVGVYKLYVNVYPVPGPMFEVPTGYVWIFTARLVTGQGLPEMAWPAAGIACV IFTITTILRIVGTVSGPAGSKGPVFAPWRAWVPGGIAVAVGMYNVPSFTLARAIGGII ALIWQYRSKVIRDRLALSKAQSASSPGGEEQEASKPLDNDEDSTSSTIVILASGLILG EGIVSILNLILASAKVPHL TRV_00485 MVEQRSASTGMEQLTSSSSVVDINLAGLIGVTLKFEAKGPSRAS SRHSFTKANNTTPPYTTDDEQTTPDGHAVTAPEGFIQTSSLFMCNSPKLQAVSTALWE GIRVIAYISGNAVIILGGPQKVLQTIYLDDVEWLSAVNIDGASGRIAVCGGRTILVYN PSKIRNNVEWKLHHTFQREDADSSDEDDNDGDGEVRTLSWGGSGELLAGSKSLVLWHI DDDAPHAIWTNKLANRAKIAQFSYDAGLIASTGDYDRFVKVWRRLSFGADEARFDVTY LRHPSTVTGIHWRRPHHVEQTIDNVLYTICSDNKIRIWAPMDRHALQALQLWNVIDME ASIQPRYPLKSPNASNRYEFIVDSRDFSLATERAVQLGPATEKDKFALDHLIEAATKS PEVCVILDKNGHMCVWGLENAGCKAHAEANIFNIAHIEGLDLSTALGNHQTGNYAQFY SFAGGVSDASFTVLTHYYDGRIEWFDSRVDILFDPTPRKRRLVSQATWSGHTESVKKI VRTPSGKVLSSRTNDNNAVIWRQRSNTSTGSVLVQQSALNCDMHIHRTCLLEDGNFLV NLHHNGISMWDIRSFEAQMIAKSDLHLSSKPLCVLLIPTSESCTSYIAAIGADMTGVA WEVTLPSGNTPRGQSTKHDTPILREFSTFSLGSDEEVAYILPVDPAGSKLQASHSLDL FATDIALSYSHNGTIKTWTAKVDKSKGRVDWLLTATVETGIPNPSLASAGSVRKAALV DQNRTRLTIWDTNSAQLELEESFEEHDIIQDLDWTSTPDIQSILAVGFPHKVILLSQL RYDYLDAGPSWAQIREIKIGRHTPHPIGDSCWLGNGNLVVGAGNQLFVYDKYIEASNR WVTNLRLPYHDDTHFDLFDAVSRLNGPLPVFHPQFLAQCILSGKISLVHLILTKLHRK LKFVTDGDEVDGFLEIPLEDIYNEVSITYKEMNSAYANFTLDHEPRVLDENIATSLNE YLKKITLPQLSSKEQFRLVDIVECVATVEKHRRSMDVNAARYILFFRQYMLRKSQGFL EEYMVPWREIVWAFHSDSHEILTDLVGRQFHGKMLWENARESGIFMWITDINALRSLL EVIARNEYTKSEDKNPIDCSIFYLALKKKKVLQGLWRMATWHREQPNTHRFLSNNFQE ERWQTAALKNAFALLGKRRFEYAAAFFLLADHLRDAVNVCINQLRDIQLAITIARAYE GDDGPVLKEFLEERVLITAATEGNRWMATWAFWMLNRRDMAVRALVLRDKTLQTLKGA SRIGAREEWEFVLRNARLYDRMGCDLLALNLVREWEFLTPSHPTDTVSDRLSISFMED SRDPRKILKRRGSLVVADMHITHDKKDDARKPEANKDGSQQAKNPTQFQEPESSSLLD SFGF TRV_00486 MRPSIDFTNVLIWLANGCILHAAAQSSSPTTTAAPPTRSHQSLA SHGPYSGTPMTSGALTNTVLGTEIPAGPPAATATTYPSDGELHDPQPAPYVPAGGRGT NGTQPVYNVKSDFDYESLALALYQEWIELDLFRYGLRNFSEQEFTAAGLTSEDRYLIE FMAEQEIGHATMLSNILGAEAPMQCSYNYPFTNVREFVDFCQKLTRFGESGVYGFLGH LNSGEAATLLLQSITTEARQQMIFRQFNGMFPMPVWFEVGIPQSWAWTLLAPYISSCP PDQTRLAWQNFPALRIINQPNPARINGSAGTNETLGPGMNTLRSSNLTSSQACLNATD SSADCAPAITQNRTNPLSYPGRVVNLSWDSPGKAVGPNNSYITSSQAKAPKFVVWVSQ LNVTYSTLRMQGNSSGTTVQPNMETFQGDPAINGTMFIAVTDSDTPYTSFNLSMINPH IVAGPALYQAG TRV_00487 MADCFFLGQSRNQLNASSAESSKIVQQELLQRIRNLEDLLKKHV GAHAIVGGQAGQDEPVSPPTTNASASTEADCGSNKSFSNSNNHNSNSNSNNNSSELPQ SLLQNVGSLSISPQGHVRFEPQSSKLDFHLAQISASEYRNQVNSVNEEKPSGFPFGCD DPASRDRLLARLPPSRYCDALKDTYFRVFSPLFHILHDPTFAEEYERFRQDPGSVSLS WLALLFVVLAIGVTSLSDDDPLLPDLGREATPSANIRVISEQYRSAAMQCLAADQVLS RHSMKTLQALVLLIYALTHSSQPSWVLIGMTHHVAIAMGCHLDPDNFNLSLVEAEERR RCWAGLIMLHMIQKISFRNLDRQRLSRDVRLPLDANDLDLMKNSGLTMDQLRHPTGPT QMTYLLFKFRLYDIALAICNEIFSSEASPAVIAKLDNDITSQQELWTARYHSDTSVEP LPSQHFAHINILFGYSHQLRLLLHRPALNRYLTGDINDRTHSSRNRCLDAAKGLLSIQ KTLAESPQYAPYKWYTAGLASFHAFHAAVVLTVIMMNPESQAEYDEIHALLVDALQVF HLLSSRSSLCEKGIPILSQLLEMASPQPQHLSIPQQQQPSQPELMSNTVPSPQDTPIS LLTHGGSVSEPTFDFANTHTETIQSQLQAQYWVTASSIPWNNWGFLIHQV TRV_00488 KKKKKKKKKKKKHAQQRPNLWPQPPSLSSPSLCLLLRDHRPALR DEQHNPHKQAAASQLRALPQA TRV_00473 MAQKEKVLLAYSGGLDTSCILAWLLEQGHEVVCFLADVGQAEDF KEAERKALAVGAKKLVVEDLKKEFVEDLCFKAIQCN TRV_00474 MRDLSYAFCFSSFDASFCLACCCCAFVLLASASCSRRLDRPPAT PTRENSLSFATITSIRIYFDGSRLSLSPSLLLLRRPSSFVPSPSFVLHFSQILLSFPL FFLLLILLLLLPLPVIYFRNEPAFSLTAPAEYAATIPGQPITESAIIMNANSPSYSVP GPRRHSVAKSQNIISQGPSLREVKSSDEVLAQRRRGPSHGRQFTVANVGNNGRLYLRY VDLSLSPVEELSDSGIHSLTLIHKQHIRPITNRSYTPHTLSPAPSIPEITAPGHRASV WSNSQFSYLVDPPDTTSRGPAQTYHHLRRWKSLPTIDDVPPSPTRGALKVVIEGSEPV EKTPPKLPGPARKLEDFKFPEKHVSAYRPAPRPPRLSSPISMDKMAGSSVHKAVVDKG AGQSVFYKLQEPIEPSIFDDLLPIMHDASVVRYSKQTKQLSAATPARIVAQISSESFM DYELVSDFFLTFRSYLSPSNLLSLLLARLEWAINRREDDGRIIRIRVFAALRHWILNY FVDDFVASDNLRAQFCSRINSLYETLKRRSSRDVSDTKIILDLKRCWNGRCAQCWDDP EFVNDPRPEEPIVPGTTKPGSRPNGDGLCFSYSELVTQDTPASQTRKGSSVSVNDFNK SPPPAQPAFNQAIFNIPSSRLSLRGNSVSNQGRGAHVAPDPIPKLNGPSRPPAPPSPR SPIWRWPLHSHTQSSHSHKRSGSFSDSFRDDRAPLPQAQSNLNIESGAAGLGSLGQFP VEATNSPGGVNISIIRGSMLTPLEPDVPTTRPLSPPPDPSMPRLRPPSSSSFLPSASV AASFSRLESPTKTAPGVKTFISSLRRVLYSSASSAGNASSSTVFSKPEPRPIVSAHGK TSLLPPNVVFGSDRYREKRPGIKSPSRIDVLCDRTYQSYGTLVNQTPLATSAAPRSPS PKTQPRAEIPASVPVPNFSRGASSQITTGSQSILIVDDTRVGTSGGLSLLPEEFQMYY PGSGQADPEPEIDPLTPIPLSMPGKDKLEVMPMSTHHENVSPSKPGSRLRKYASYQSV LGGHGGQRPASDMLRTTDKTDMGACRGSFLPVHMPVARGMQLPEKRLRRRPGGDLRKM QTTMGSEEPGLNTDPDRDLNKFRFASSTTATDSTSSALYITHASNMDSISHSEDYDDD DYDDDDIDFSTSSSALDSLSRSGTRSHSSPQPPHARHIFEDAVAGFRKIPDLPDGSIE SALLKLEGRWKGDTVARPNDGSSAGFSNTARRESRQAHLDGPTNNQGVNVGPEATAYP EEPAVGNTNGTESYLGSDYSYSSIPLLERGLGDESMKTPTVPKFENPSPLGQNVVSNH PSIEVVEKTASINRIIHGATAPEPIGDKHIDDSVSELSSELSIDEGPTRKPSDPTHPL AEPSIVASSFLQPTPLPPYHQYLDPPFPNQHPDFPQNFSRPRNNSHPSIAPTTPTHAI HALPSSGHIAFILAHDSQTLAKQFTIVEQAALSEVDWKDLVDMRWTHSCPSPLNWVDY LSAGVDRKGIDMVVARFNLMVKWAVSEVVLTKNITERALVITKLIHIAVNARKLRNYA TMLQMVIALSSVDCTRLVKTWERVPETEKEILAEMEFLIQPIRNFHKLRSEMESSVQD GCIPFVAMLTVATGLYVQDLTYNAQKPAQIASTRDGEPLVNFERYHTAAAIVKSLLRL IDASTKYVFEPVPGVLERCLWIAALADDDIKAFSKAIE TRV_00475 MDPEGQDHDLARAPSVSVTAAEHESSGDEGVEKPRTLPPDLPTS LDDRRSFPSYNSETEIYDGWQGQSQFLTTPMPTKPLSFNLALDDINSEEDFYNPRLPS QSLTGGSRLRDRPSESNDARLMEMLAAQAAHRDGDNVEADEDDIVSNEKLSEQEKKEI LQRTLNMAASNGDVARVRKLVTGKAMKYIDINAPDEEGTAPLIYASCFGHHEVVSALL DAGAFVDQQDRNQWSALMWAMTNRHKTIAKNLLDHGASPDIRSSSGGTAFDFVQPGTD ISKYLYENGYKVGSTTGEADFYDSGFAHNRFEEEMAENEMKRRMMMEESAINLEVDLS SLGLNEKHDDSPDEYEEQPEFVWDRCLNDQMFVFQENELERILDIVITNMTPQRSPSQ KPVPANLLFLCARYAHYYASPDLLQTLLHSATDRINRVVEKHQWDMTILAFWISNATL LLHYLKKDAGLVEATVEFQLHLAELINEIFILIIRDAERRIDKILDTAMLDHETIPGF EDVQFQNEWKLFRTKTKVKPEPMEKRYRPPSPKKRAEIAPRNVTSLLSSTLFVLDLYD VHSIITTQIIAQLFYWLGSELFNRIMTTKHYLARTKAMQIRMNVSALEDWARTNNRQP EHYENGSATCTGENTVESARRHLEPLIELLQWLQCFSSLGEDMDSLIETLEQLQRLTP NQLLHAVKLYRSEVGEKGLSKSAMKLLISLQNDPSQLRQILDQHRQHSKPTGSPATDQ GKDETKPTEEPQTPRTPRALQPPSSPSGSNSPAPPPSSQITIPKNAPLLLDPSLTLPF QLPTSTDMLVSYGAGFGGVNRERARKYIPTVPTEFLVKFDRE TRV_00476 MAANLTDFTLHYTPMVMEANNASRRNSRDFEHHSNSASSTPSSS RSTSPAPAHRQKMSKAAEKRSSSAARASYFANR TRV_00477 MIVFVVFDVLFVVVVVADDDEQKDVFSAGFEAIFIPIGLELKPY TRV_00416 MAATLPRRSRPAIDQGHERLIYSNTSLLHGHPPVIKTRAQLSLE ENLQANVPQSQAAARPAQISNPKFRETRPRLLLMGLRRSGKSSIQSVVFHKMPPTETL FLESTTRIQKDAIHSFMDFQIWDFPGQLEYLEPSFDQEELFSNLGALVWVIDAQDDYL EAVTRLNKTILMIQQYYPHINIEVFIHKVDGLSEEYRSDTFQDIVQRISDELSDAGYE NAPIHYYLTSIYDYSVFEAFSKVIQKLIPQLSTLENLINILSNNSGMEKTYLFDVLSK IYIASDTRPVDMACYEMCSDYIDVIVDISELYSWDHPDRKAKGPQVSEAESHVILHDK CMIHLMEMNKYLCLVSVIKNPDAKEKKGLIDMNCRTFQDALNEVFSRTWDQE TRV_00417 MHEVVDNDTDRCMVLEYLDADLWSLRTRAQKLGEPFLKAAAKSI LEAVKVFSDMDGHITALHTDINPNNVLVSKADSQKPIIKLADLGAVIPSQGFDDFRLQ GTEIRAPEIWKGVLPMPPCQIWSIGVTLTHFVANRVIFGNWDQDCRVQELPLEVDRCA WAIGKIIKLVGRLERDEDPKYQLEFALAELFVQKDLIKARSLEEELAKASVPEDCVHF LRYLLHLDYKTRPTADQALQHPWLQNLG TRV_00418 MAASKQDLSSWDTFQWKRRMERFGDTDEPVIALGPKGEVDGICQ LGELTDKGRQTTLELGQRLRHLYIERLGFMPEIISNTDNMYLRSTPVPRALESLQSVF WGLYPSSARTADFATPSIIVRSAAEETLFPNEGGCHRFRQLARLFAQRAADKWNESEE MAYLNSLWSKWMPENSPRVAVDSRPRLSGILDTVASTYAHGPNTRLPAEFYDQKAREV ADRIAVDEWFSGGQESREYRKLAIGALMGDVVERMVHVASHKGWRPMAGAYQSGEKSP AVKLALSGCHDTTLASMLASIGAFKNERWPPYTSSIAVELFKDVSERGASGSPAGAIL EEFSGPPSQKPASEKSSFFSFLTGKKSQAASSSGVARTPQVNQPALSNHYVRIRYNDK PVTIPGCAANPAKHLPGDKSFCTLEAFKEIVDKFTPANWREECGLNLDKGMFPDGEEQ PAGY TRV_00419 MKSFIQFVTAPTSDTPGTTLFLHYDDKRYFFGNLSEGTQRACIE NNVRLSKLSEVFLTGKTTWAGNGGMLGMLLTLSDTMASASGAMVEAESRKIKNLEERA KAAVRPKDADELMARVKRRKLELEALPEFHGQRAISLYGGPNLTHTLATGRTFICRTG VPIEIREFGCLGCEERDATIDISKPAWSDDHIKVWALPTYPSSSPRLQPGKRTLDDFE GGGRAGAAATKAAREKDLVTRQKIVLDMFNSQWRLDTLVETPLAEVSLPATLFIRDKE TGEVKPYEGPKPGDGEALPNINVLVRQPWPGAMVESLPATTPSDCAVSYIVRGHDSRG KFDRKRAESLNIADKSDFRRLASGETVISADGKTITPDMVLGETRPGKGFAVLELPSV DYIQSIINRKEWDNAEVMKGMEVFIWILGPGVGGHPLLQEFVTKMSKYKHIVSSPDYC ADNYGFPAVSTATLELSAIDGDRYQKTFCNGEAMLPVSAFAAADTKTSVSIAQPNLTI DLEPSVKLTASPTPPSKPIKMPRRNSYSRVMGEILSQPGVQKRIEKLKASIPNGDAEI IALGTGSSCPSRYRNVSGTLLRVPGHGNYLFDAGEGTLGQLKRTFGPDELKEVLRDLR VIWISHLHADHHLGTVSVIKAWHEAVYGPLSSSPSYMDITEEDVPRILKEKRLYVVSA QHMLQWMAEYTNVENYGYDKVVPLSASSFEHPDGKTDYTYTIHRRQRNGAAIPDSDGK YLGIRLKFDDENSPLTELLKQGTGLDAILTTPVSHCQGAKAVSFIFPTGFKVSYSGDC RPSTRFIDMGRGSTVLIHEATFDDNMLSDAVAKRHSTVSEAMTVGLRMEAKVIVMTHF SQRYRKMPDIANAKEVHIQPPKGWNNKGNTAEDKKPRQAPSVVRDIPTSEDVEEDYTM AETENSGAAKVTRPRSRSRSKSPSSSTNNPPIVIAFDHMRLRVADAIQAEAHQPLLTK FLETTSDE TRV_00420 MSVPRVLLLGGHGKIALFLTPLLLARGWDVISAVRDPKHESDIL KFNKSDGSSNGKVSISLSDLEKIKSSDDAAGVIAAAKPDYVVWAAGAGGKGPVDRTYA IDQDAAKHFIHAIMARPNNIRKFLLISHIGSRRKPAPWWSSADWESVDRIKAALPDYY KAKLDADEYFSVMAAQRREKHGDTDFQAINLRPTTLSDDPATGKVEFGRTGTGDRLPI SREDVAIVADEVLACSSVRGYQDLISGQTPIKEAVEKVGRSGLCCFEGEDLEAMRERF RESL TRV_00421 MSHQATESFYDQFFLDYENNYHNNEGLKAFVREAASKLPAHAKV LDVGCGTGRPIAEALCAAELDVTGIDLSTEMVEMCKRRFPSAKFIKTDMTKFRPQQNF DAVFAVLSLFHVSHRECYSMLFKFADWLKEGGRLFITTIYSHDLVDRGAKPDSQGIID LADCQFLSHDVPLTAFSSEKWEEYLTAAGFVGFHATKYDFQPADPKLKVAHHHFITVN RGDNHPLMGPYPYPDKVLGPYSLQKTAYKSFMERLNDVESNALAGLRQPFLSFFLFAD PMNRSDSFPFSAQEVSNKFDSIVLSRVLDGLEDINAPLQKAMKATSKIVVIQAAPDND LLKQANLSSNKAPMHHGLILHRAAEILKTNGFGSLELIHISDSACRFSEEDLSARSKV AAILIADMFYSYEADLQSIKDALVPRMEALFKETPHDVGNQSVMLVARPVTQARPA TRV_00422 MKMEMEIRHAAGGEEADDGAGSEPDDVNTRTTANENPQNGADAQ SAALR TRV_00423 MDAQPPFLSLPAGALFGPLRVLLSPSPTSTSTSTSTTTLFSITS ILSTISTALDIGYKDHKTDTETAQLTAETVIMAAMGEMLPTLSPLTSQPQSYDVAADM DSFLNLEPTMYPSPSVSPANSDSKTASKHGFVQHQQQQPQQQPQQQQQQQQQSPQQPQ YTAPSHQYTHYTQQTGLPPGGLANTIALNNYGFDPMGMVHPVDGVYGRMGQPMNSLST LPLKDSTEMDLDENLADSDAYIVPSQANKTQFVDPSALGAQEIPLSAAANQTRRVYPG IHQQQAARAKAALQQRQEMLQRQQQQQQQQQQQHQHQHHQQPLHQQQSSQQRQTPEAH QNRPQSKFNRNMDPRVQEKISHILQQMRQNSVSSLEETEQTSSLPQPTKYKKDEQDMD EDERLLASEEGKKLSSKERRQLRNKVSARAFRSRRKEYIGQLEGEVAAKTNEANELRQ KNNALALENARLTDFTRMLLSSSHFAPLLSEISANGLPPNLGSQSQPQVQTHTTPAPQ LPSQPTGTKEAGIEQPHQEVSVPNLQTGLPVVPEQAFDFASIDLNDQGWNSGIDFNFT NPSVFAVVDVPTCPSLDMEAITGKSSNSVGPVTSDETKEVIPSIDTVSFGIDNSEAPK KEASIPVSAADLDIDENDPCFALYLDQPCTPPTSDQTSDKVFTTVKLDDISSKIELVV EGSSSNNTSDEISATTNRDFERLCANMEASCHYIFQATSHFV TRV_00424 MSSTNANTVDTSVESGSIPPGIIQAVNEMKPKPSRKKLHGREFY ESIGSPKMVVAPMVDRSEFAWRMLTRSFMGEGNPTPILAYSPMFHARFFNEAPAYRTQ HFEPVRQAKTVGTDETTAEPYLDGNPKHDRPLIVQFCANDPDELLKAARHVEAHCDAV DLNLGCPQGIAKKGHYGAFLQEDPDLIYKLINKLHNELSIPVTAKFRILETKEKTLEY ARMILSAGASILSVHGRRREQKGHNTGVANWEYIRYLRDNLPPETVIFANGNILNHSD ISRCLEVTGADGVMSAEGNLSDPTIFSTPPAPGEEGRMYWRGRDGRGGFRLDYVLRRY MDIIYKYVLEQDPPQRAPLYHPDDEPASESNGQIQTDEAVEDESEQPPRKKQKQGKRA KRTPSTNLSSMQGHLFQLLRHLVSHRTDIRDALARCHGGDMPNFEKVVKLVDHAVKQG MQEYEQNPDWKHVVPASKPGVVKSEESKATMEKYQRPWWVCQPYIRPLPEEALQIGSL QLKKKDKLAEEAQLIDIKAGAVKGEHGNGNGVPVSSLEPVNGKGDENNVTITPDPLVS G TRV_00425 MGKHEWHIETRSRKKPGDLERQLAAIHFPVSGSLHLRESAISNH CQPLCKSLDPDQRYCIGPSAERSWKTLVGSSVEHHSGPCRYSVTQYTTAPNLVLGDSL SEYGTDLARREITRISSSPARDYRPYNHQHCADEEITDLKNAMTIFKTLESRSADLAS ISRPTLWHTDLHMGNIFVSDNDPTEIVSIIDWQYIAIGPLFLQANWPSFLKPGDEYIY GPIQPQLPHDFEELGEAEKRLASSIRDDAIISKSYELQSFLHSQDVYRSLNLPTVFRE LFVRCGESNSEGTICLRVCLAELYQSWAAFSLTGECPVSFSSRELEKIEGLFQEYRNW HDVQEFARSYLETDADGWVSPELSFSEIQQRNRHALERYVKEMAHYMPLEAARKMWPF PDEC TRV_00426 MDGCEKFPSSARTKTPEPKSPRHSITFSSTASGPSKKDIVARDL GCGGFMGSRSGSPNLSDMIAAEQSSIREAERCRANEEFKSGASYPGFRERVCLGSQIL VEIRTNKKVLLFLSPAVDNPIDTISKLVEELKVLFDGRPVTVTLDDEVKISCQFGDEP AYIVFVTALCDLLTPRRSYRYASIIQQEIHAAMHIPPTHGIVRLLPAYEHHFGTGGTT YYQKVIDLENASKENSRVASRKASTSKKSTSSVARLLKRSTSRFSFTSQVSWKGDKQR ESKKDIDSDGGSVGKQKVREPDIKEYSAF TRV_00427 MAPVETKGKKFSRPQKYSLQTPDATKTTKKQTGQKPPLKRRSTA GEKDQTTPISTTASKRQKQTNDTPRASSSIALEDEEMSEMDDMSSSEEPDYILAEITA VDGPKQHPADNKSSDPRIDYKLITTILHEVAFKKKKTRITKDGVKLFTKYIESFATEA VSRAIEEKRMNNAASMATPATRADIEHSNYLEV TRV_00428 MNDASTALLTEHFSYTPLSLIDDVINSVNNLIYQAISSLEDGLQ STPPEKLGFRHGPGTIPDTDDDGNIQYPEARLEIENGLHQLETLLEATVDKAFDKFEI YVLRNVLTVPEDLTGWIRLSHHEGLSLEPAREGAPTEESLTLQRQRLRETKKLNRMLT HECARNDAVLSQLRSMVATVKQNHDGATVSVGANGSADMQLPNLSFLLSDPRAKKLRM GDGGAGPSHTPLTTNTTFILSQLPALRAVLTQLRTKLQTLPKSVGEMDLDQKKGERRE YINSRAKLHLERTSGTGESEHGHGVIRGKRINPEEVQALEGVATTFSK TRV_00429 MAAGAETEPSRSCLALTKIITKYITSFFWPTPVINWLIDPDPDN ASRKPSDWGKRQPFIRTLQASNLIEKHCGIAILMYLNKTEDYLSPQETQQLAVLLRER GRFVTHKELLVRQSKALNKSVVSRLEILEILVIEQRLIFGRLRCN TRV_00430 MSPTASIAGSHAPSAASDRDESTYLARSRMIHWARIALTSLSLV LAAAAVGSEGHALNYYKGTVKYSKFWLSLWPKELDLGPSTATIVCGTILLVFNLAYTV VAVMPSTDGRQPRSRVLLLNAFITIIAFSGFVASVAAVATSVIAYNPHYTDGGAQLGQ TLNSWTCTWSFGEGVDSDGATISPVVNFGRLCRETRASLAILCVLIAVQFLSCLSAGC GWWLEVEMNKKRRFAASAESQVEKHHEAV TRV_00431 MTNLLSLSKRPTGKRSRKEARKTNKRAKMARGSRISADGGADGG DAAVGADAIPQEDPQAYNSEEDSDFDEQAAAQDAAESASEAEGEGGDVDSERPRKKRR LSGEGNGADADSDEEFAEPELESGDEAMIQHAKEKKEKRRAQKTGSGAANGAAGSESD DFDTDDDDEGGEGGFVRTRRMRMRVQEEKKALAKTDGATIDVDSIWQQMNRPQTQSAA NETKSSNADIVMGETQATSSNLPLEEMITIRRTYKFAGEMITEEKVVPKDSAEAKLYF STLNAAKKKAKGAEGEADTATDTDSKADGDGEMKKPLRRPLRRFSRFDPNPPDAIKKS WEKQAAVETPGEEGNTAKGPKLNTVMKSKLDWAAYVDREGIKDDLDVHSKAKEGYMDR MDFLGRVDAKREEERRNARLKNAGY TRV_00432 MKTARLELVRITSEHLEGFHAIWSNAYTTRWSSRGQCKTLEDSR EWMSGLLLENNPLGENYAVLIRSDLPASELEEIQRDAPADSPLRPGGMIGSMGTFQSD PVPEVGYTFHQSAWGRGFATEAVIAFMQLFWANKPQFDTLEAYCDPENMGSVKVLLKS GFTEVERQLGVYEIPSMTPSKRDLIRFRATRAKLN TRV_00433 MKEDAPILYPNQPVGERVGTYSKEHSTGIPKHIIEYHEHVRETL PDTANYMISISQAQAMCFLAKTVGAKRILEVGVYVGLSSLVWSTAVGPEGKITGLEFD SNFAKLAEEAFEKYGVKNVELVVGDALETLPALVPTEPYDMIFIDAQKRGYPKYLETI LKCSQPGSQNRLLRPGGLIIGDNTLRCGFVADDSEDNPWRQHNWGEHRREYWKSEDII SLRAYNDAIVKSDRLENWLCPLWDGVNITRLLD TRV_00434 MATAVSTKPMAHPGKMKRPPPPTFVQSGANGSRPAQTSSSPIST SMSRAGAIKPFSTFSSSGTAANGTGGRPVAKVNGDTSQRPQDPSSRLQRPSTRRHAMG NGSADGRQAKKLVEPYGGDPYIFKTTSYILKKYAKFPPSLTIHLHPTHFRFDKQDGSF PYNSEMKVVIEHLKDGTVPHDMMEELIMGNVRFYEGCLIVRVIDHRSPSADPAPVTKD SSGQKLIPFSIHNYNEHMTPSSYVPYPKQSQTSSNATTTQPTTTGQAVQPSASSESTD ALDSSKFKGKQPLTEESTNSKATGPKVFTTVLHPTPRSLQAELTILSMIPDPRSPQRR QSQGLNGSRTSNSTSLAQPSTPLSATAPPLERGHPAKRQKMLVEPHEIPEVEAKLILA TAPPLYLEPVDSLEASQRLLKLLESPLHCHKPPSPKTRRRTVAELAADEALAAAEERF MLIMDERLEPAISAGANAAKSAVVDGDGGAVPFEPRFSRFKTLENIRSQHEERARREH ERKLQQDHAKRQQQEAEREKRRQFEQRQAESQSQDDARRQLYAQQAAQSQAQAQAQAQ AQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAHAHAQAQAQAQAQAQAQSQLLAS HNMRPTSQGNSGIPVTLQQQHIISASQAPHSSPIVRNLTPHAPSPHVMNQGVPMAMTS SAQGAGSPMAVSSGMQHGHPAPMGHPMATTRSQQSHSAHGTPQMTHGTPVMHGTPIMR NITPTQRMAHPSPDVSTMAQTPAMTQAMVNPPMNGTMMTPQMIQQRQNALAAQRNLNN QQMAQQQMSQFQANTLAQQGMHQQMMPGQQQQQQQPGHPGAQMQNSYQSSLIQAQLAQ MQAAQQGQQRPLPQMTQQQIMAAAAAAANGQNRQKMAVSLQYKQLYEYHLARLQSEMA QRVMPQYGPPSNYPPNIKMQYVNGLGKIAQNHVNDIMRRDAESGKNRAATQMAIARAH AFQQQQQQQQQQQQQQQQQRNNMS TRV_00435 MDKVALAIIAITSIVNGFAIKSHSYDRAVTEPKSPLSTRNIKDA ADFGWVKRWAAIGDSFTAGIGAGRPYSQSKSDRSCSRYDLAYPAVLNRLFGSVVQDFQ YIACSGDRSVQIYEQAKALKGDLNLVVLTAGGNDLCLSKIITTCIFLPTYSEESCQAV LDKAQTNIDTILKPNLKSILKALNDKMKKDGIVVFNKYAQFFNTEDEGCTKDQSWSFP QIPSAFWLPGLFLKPLPLTIERRKKFNTLVQHINKATEEVVEEISKDSGIGYSIATSD WDPWPIRVSGQFCQPGSMGYYPDPKTKELQFFKPDTHKRSFLECLITKREEPDANEIE RRRKLELESIYNSLLYKSADPRNEVLHKLGARAPDPPGCPGDGSFDWTFGFGLPDRWG RFFHPNEKGHETISAFTLETIVYLRSKQLGLLQDFCSAKSEFKCWQKEGRKAYANPDR MNENYKTFCNGIKAPDNTINWRKSQTFHEGTPDEHTFELRLSNGAQKYVKEDCLFSFN RIINGCDGNDANNPMNWKFGGRWVRGGYTYEINVKRENRPWPPIKKPHGSCEGWYKAV YSDYKLHGAGWSTYDSGRQTILPSIKGCLGLGVTAFKFKYFDEPDKDGMEWELKFHTP IWVRARCFDNNKVAFSSGGFTNGCVGND TRV_00436 MAIKVILIFFCYIFASTLNAGHPLWEYSQRDIKANNASHPLAKR WATINPNLGTFHLWPDKTVRFVFEDKKSKQALGPWIVAAMEIWYAAGLPRDFKMTEVS EKTMKKERANILVVKFTTKPALYATPAIPPKDEKNGIKGPTMLLNIRNDVGMLDIGAN IAHEIGHVFGLLHEHQIPAFWGTPGHSESLFKFNCENLQDYQKVTKGLSASEIEKACT DRATAIKLRFSASEYLPIRSTIMRTPRVPAVPTADDVDWDSIMIYPSGSGAASPDGTG PENDLRKSVLLKANGDKIKPNLAPSDQDVNALITLYDVKFNTPQPKLLNDPSHPDYSK FKEESSKNTKC TRV_00437 MESAAALRRWTEVFIVMKPEDIPLGYYTHINFAFALIDPHSFRI ASMDPQTGSLYQRVTALKDHQSDLQVWIAIGGWAMNDPGPTRTTFSDLAASESRQDAF FESLITFMLNNGFDGVDIDWEYPVADDRAGKPEDFKNFVTFLRRLRRRLNQTGRKFGL SITLATPKASRMHSCPSLTDVGLLDGTWDAGVKSIGSHAYAHTNLTEINMALELLWRN NINPARVVMGLGFYGRSFTMKDPNCLDPGCEFKSGAHGGECTGTPGVLSAGEIKKVIS QGATIKLNTEAAAKLITWDNDQWVSWDDEETLKIKMDYANKRCLGGVMVWAIDLDDGT LIDALGAAMNKTKTEVSKGPLSFLGSDLGTVWPEKEEL TRV_00438 MTCLDYILLLWVCVAVGGQAVSQTASAQKPSIPGETMPAGPTKP RTARNCNRWHTVEKGDTCPKVESIYGISHNQFLEWNPSVPKDCSREFWLGYSYCVGVN GNPVIATPIQTNLINSTMTFPSTSPTVYSIRNPVTSDEFTVSSVETAWPPKRTQPGQP SYCNKWYHVKIGDTCNTIQILHGTSMTLEEL TRV_00439 MQFKSIILSAIMAGAAMAAPASSNTKTTPGSGSPTAPDAPSAAA SSGLPGLPGLPGLPPPGSAPECDKLLGAIATCAAKGEFVQILICAGTNAPTVCTSFLR LGEVFLVQDADCAASYDRPASAMRKSTRAK TRV_00440 MSDYNRQRSSGRSALGYWVPLVITVGVATAGLAAWVLSERQSDD DDEGLSYHDDNAPITMDPGSGDSYSRGVTTATDDGSVMSRFQGAWRRTPSPKQLFDGA SRSVVAGVTAAGAMVGGALSSIREEGRSEYDDHDQQQQQQGRRDEELAARDAPRQSQP TPGALPAETTGTSQSRQGPKRVKTVAIVVSSVLPDSELDEQISGHASILAHLPEHIEP ETSRIFVLIYAPGVQPSAAGTSKPTLSITSSYSNIASEEAAGAESTEVTPLTTVDPDP TTSDETQQPTALYHTLYSQAQAIVDNETMIMPFSTPGGHVHILRHLSPEIVYIQESLT GNNGESVNQISGWVRQVVVVIGDEGGRGGLIDSDDESALADKGERWWQKEGVTGLGKR ITVVDGVRIGEEWKRRVCGHE TRV_00441 MPHLGLPQTGFQALILCGPGASLNTFTSIPEEFPKALVPVANRP MVWYPMDWCYRLGITNITLITSPTSQSVMKTALSQNPHLTSIQFPTPTLLAPADLSPT TGTAELLRLPEVQACIKTDFIVLPCDLICDMPGQSLLEAWMMTQGSLDGTSDGSSILP GSSSLLGFAGEKAGRRGGLGVWYPLPTGEEKIKDEVPDFLVTAPLSGDEAPAVKGSPA TPASVRAGLHKIAYTMPMDSLKDSIEEKKALLIRYSLLKKHGRIKILNGYRDAHIYFF PYWVKVMAQRNEKFQSISEDLLGWWAKAGWQKGLATKLNIQDLFQSQKRSDEAKAEGR ANSRIEEEIDLLGMSTTKLPREFDIPSRTIPASLASSFHAAEDQADGSSTTAAEKINT PPLLGYVAPSKPSMPLIRRVDNSALLLSISLRLAKLDAINDTHPPNTATLSPLAHASK IAYPPGIAQRCTVSKADCLLAENVTVEEKCIIKESVIGANCHIASGARLTRCLLMDGA VVGERCQLVGCIIGRRSKLGRDCVLKDCEVQNGNIVPDDTDAKNEKFMVFEGLEDDMS VTGEGGMEMGFDGGDVDMQR TRV_00442 MSNIDQSTPRFEDYLFEPNNFDAEMVDPAIPDVDLKAIADDVLT VEGSDSSDMPIDLTSCPPRSPPKLDKSSIEFIRLEAPVRSNYMYPSPVKLFVGWPPLE HQIHTHPGYGDYATTPDEEDFRCLPPAPAPTSASSYSGSDAAIQLTTPSPSLFRRNNY DSDFLSTGTTQDRLFLTRSPTSRELSPTPGARGHETFIEAASAILDLPISWRGNGTLR EFLEEEDEEGYVSDEDEMEEIDYTTDQFTAKMRQPDFHRADYQVFPDLGGPKVSLDDA SISDNSKLGAIQKPTRDDDATIKMPPTATPTKKDAMANVIKAIGPFSLASTEAEPSDN TRV_00443 MTTQRNDEPEQRTQKPPSQGEDKKKKTQEEDTRRRRREEERERE RSLGREDKRGWEKKRKKRKKRKREEERGRSSVRAVLATARRKTKRETRKGEREKGEQ TRV_00444 MAALVQTIPQQAGTITLLQPRPASSSSSATFNSSAQLHNQPQMA RNQNHARSQNGGSGSGSGSGSGSTSASTSTGYRLTQPVAPYAFTATPGLPANSHRQTQ TQSQAQNTNHNYPGTIPPRTHYATTTTTTTTTAGSVSNSSSSNSSLHSYPSKDDSSLS KRQNPSELSLRPVSAIDLSPAPPQPSVSPSPANKPSPDRYRRGQRRGDQTASPAAAVT GGSESGPAGMATAQTAKSLTPSIIDIPSRPHHVRGSSADAAVTDGSSHSSTELAKRYR RRSMGSVDPAGFLTFSDSHPSPHANEMALSEFPLSGTPPPPNSMAKAADASSLNSSSG SVKSEKGAETTTTSQPTNITNTNNTSNANNVNNNNNNNNKKATMARPSDTSKRSGTPS PLSKPVNIDADETVTPTTTSKSTPDTKNQPPKVAETPSPAAKRLIEISKKGQGKGAKS RLRRALSFSSVAELRGISNPEPTVSSPRKQQLDEELGPEQAAIAAKQEAGGLGESIYS GQGNIFTGSTDNISVSSTASSASIMLRKMGNGVKRSTRSLVGLFRPKSVYNAPTDTSR IAAPVPQVSMVTVEAQRDVAGKGPPVLPEIGAGNGAPKQEIAERRRSVESDASTGADR SGRKSIFGGDQERAEVLAAVRKGILKKSGTNSSNSSPVIRPTTDLAPVPTLQRPESQR SSSAPSSSAGDRPPRSGHRRTDSVTIEGEDYFLPGGRFTTSGQSAPGTPQSTAGRNIS FSPRIQFHDTWPSGEKWKFTNLPRSTPTSFNETFFAYLAAFPSPGRRSGVSKSKSVSN LSLVFTLSKASILPLTTLPAAPLFFTLILTLPELDPELFLELILLPSL TRV_00445 MSSTALSFASSVPPVGTELPWCRISPDGRLRSSRGSSDDSEELR GDSWVVEDLRAGGPTLAPVPSTTMPLPPGSPYMCMLDARKELSKSIQAILQRSGVTAT TFTLCYRRCVLFLNDHLVPTLLVVAEKKSPDEDWLSVSREIYQLLEANGLSQFNVEIC DERVHIQKNSSPVPSSDPIYPLWDDVLEQILNSIDRSFVLAIECFRYGANLDGDKNPT TVIVTVARSSRGPWKDTREAIVSILNRYNLHHVADLPDTAWNNQMQVGLSLGIYQSRH SSFTFGGWIEIKQEEGSAWKRLGLTCYHSVHPDLNTASMDDRSIYIHNPIGKRINLEQ PSLKDTESVLKDMKEELGQKPPSCFTAIKENIENGGDVSPRSRTLYDEITAQAAEISD NMARIEYFHRSSSPWQPYDDLLLSHLPINPSMGHFVTRETNLPTYSPSYAGYVYAASG YRQMVSSIREEKRRADWALIEVPKTRHSNNSLLTYGRIGLYINNLDLQPFPGIPEENT QVYKLGRSTRRTKGNFSGLRSVLLDTPDNRGGAPEVKSLEYAVTGSSGWLFSGPGDSG ALVFDKYANCIGIVISRNTISRVSYVTLLPDLFDDIRRVTGAVDVRIAE TRV_00446 MSRFKNESAIPLSLETLWFTHSPPTFPYPALRQLGTILNANYAW ESTPSLTPGIGTEHIFIGAVAWAEDSASTTHPPGPSGIITKIRVRWLSTSPSSATAEV KNIFPTTTTTTTHSARREVTHTDLQRASEWYAPDILAYVKSHVGLTIGDGECWTLAYK ALQHAGQKALREGREPPMLSTGRVHGCLIFEWTACAGYPITYSSGILGHVPTGIRAGD ILELSDGRFRTRYTALAGLGLVGTQHEENVRFSAHTAVIVAVKMEDSTLGVVEQNGRA RCVVVENEYNLSNMVSGVVRIYRPIGTSLVMGSNLHDVSLVGVCDEW TRV_00447 MSGFKGLVKEGWHPKGNDGGRESWRGDFKGINQVAGWVGKGKST GGGPAPAPRASRPISSLRDPASFGPPPQRGKTNTNTNTNTTAGTTASVRPAPPPIPRR STDTQGQGQTQNKPSLPPRLPPRRAEMDAAENNNEPPPAYELAATTPTSAAGISSAAS AAANRLGAAGVSVPAFGINKATTNHSQNQNNVPVSVSVSPQTTQAMASVAQSELQQRF NQMNRSVPPPPQSSSTSTPVSPLTTSTSTPPPPPPQRSSTERTSSNPSLNAFRERHND HIQAGMSKLSGLDQKYGISKKINSFIEDQKSPAYPQGQAQGQGQQGQAQAQCQCQHGA STSPPPPPPPVHPNHPYAHQHSNTSSATDLNKRKPPPPPPPMKPGSLTSNAVQANSPS PPPLPLNTKPR TRV_00448 MYIASHEVFCLLFSTYLGPTKRSSQQIRLWVEKKKKRRRRRRRK EEEDEVKEEEEEKKKKKESKEEKEEEEEESRRPQDQIPKTDKERESKEREIYHLLLFS SPLLLLNKSLACLLLACFLLPLTNRRLSPLPLLLTPLLTLFFSRSLQVFSLSPSLTSS SSLTSLSSLFTAGSPSTSTMTVDFRPPPSALFAFPPDSRPAVLPPAQQSSLSWHHPFN IPPAVYSALLRPAVPIAIAATYALTVSYINRLNRRRDHRPWAVSKTRPFKLFVVLHNV FLAVYSLWTFLGMIRAFRLSWPEPSMRSSPAAIVDCLCKINGPRGLGNAAVYDTNTNR WTMTNPDSGYHLTPEGLPDATDVGRLWNSGLAYFGFLFYLSKFYEVLDTAIILAKGKR SSTLQTYHHAGAMMCMWAGIRYMASPIWIFALFNSLIHAMMYTYYTLTALRVKVPTRI KRSLTTMQIAQFVIGTLLAAAHLFISYTVPVQESHPVALQPLTETIPSQDTTGLLPWL KKLAFRAAGADGVAANVLSANRTLFGADGSHAAHALVNHRELRKQTLQTTVPCINTSG QAFAIWLNLVYLLPLTFLFVRFFIRSYLRRTNEHQHHAAEKAIKDVTREISKAVREMH GNTSDGPSSGSSTPRPERAYEANVLDLLNRKQRIAEKEITTKPIPLTPTSPTQEESQE ENQENQENQSTATSTAIDVATVTQEQKYEANLKDVMTAEEKAIDSHPEESTALCVDYE AATPHSPSPSHSPSPSSPMSHAQRRKKKAAKRH TRV_00449 MGIRNLQLDDYLILCVVVFFTLMCVALNEIILSGGSNLVTAADI AHLTPESKKRRTRGAKWVFVAEHAMILTTWTLKACMIVLYRRIMEGLSQERLVLYLTV WLGLGFVGTELALFLTCRPLHLYWAIPPPVDQPQCSSYQVYGIVQGIFAISSDVLMLA IAIPLFMTLRLPRKQKMILLFVFGMGIFVVIAAVLTKVYCLVPWLISYVYMNWYLREA TVGILVTCLPMTWSLLRDFFPMLARWMSSTMSSGSKGGGGGGGPASQAYARSHTYIHS QTQLHSHTPSQTPSQSQPPSQSQSQSSSSSSWWPPFCRHQSDKAGGDNGMGLDLERWE SGLPRLPTIDKP TRV_00450 MQMQPSLELQQVSKAQEIPHHTSSLVFDFHPLTLLVSRTDNNNN NTHQTSPPYIPGIHQSLTPYVDLTGQDSLLLHHYTSITCHTLFHPLDQGRAYIWQSLV PQLAHIYPFVMHNILSLAAMHLASLQPHLLHKYTLLAARHQSHVIKAAQARVASQQVT SQNCSAVVICSSLLLLYELAILHPSYFVSERVVSTASTSTSAAKRVDEIVQKMLVMRH LVGLWNISMALFSQGPARCLLSTGTLDVTSPLAVEVRSSLDRVLLATTTLHVPGADAY AAAVDSLWHCFKCCVLSTPPDWLRALAWPNMVPRLFMSELLERKPLALVLVAHYCALL VLHPGSWWMHGWPQPVLLALVEAVGESGHAHWRDLFAWPVKVVTSHQPDCGE TRV_00451 MSYDNGIRVHGKGVYMLLRKTTPSPGDSPYHWEILIATSDKTGT VFHQIYNRDADAWALSVESSKNITTAPDLLCALKLGVVEDCSGTWMSAIEACVRQTEV KGEFTCRTWALAAAFELADGGFIGMEPSWEQIAKIEAEAKVLAGDACFGGTARVDTSL ASRP TRV_00452 MSYDNGARVERKGAYMLLWSTSAEFLYHWGILIATSETGGTLFH QTYNKEMWNLAVEIRNITRSRSLLCALKLGDVEDCSGTWMNAIEACLRQTKVEGDFTC RTWALAAAFELADGGFIGMEPSWDRIGKIETEAKFLAGDSWQSGTVHVEASAQKRA TRV_00453 MMKLIVWAQDRWSSPYNKSLRYLPFGLCLKIGPRVMANEANALR LVERHTQVPAPQLIGFAKDGLGDGYLLMSRIPGVPVDAVYYRMTYEERAQLTKDLKDH ILQYRQIRNTSPYLICNTLGGPTYDHRTDTGDVWGPYRTKDEFTNMLTEGLEDLRDQP PLSALYKKHHRIFFTHSDLHYSNLFIHKGRLCGIVDWECASFKPEYWEFTRAVWSFMA DRQREQNYRLAFVENYEEELEAERLIWSKNPVY TRV_00454 MMKRDDDPFWTAREAVYSTQLSAWEHLQLFFFLNHSFDRNKAGQ FLLDKLANLGPDDSREEYLRSIIDDMRSDFIPCFTELPNLTPSKVSRSTPISSNAISA VKERQNGICHISGESQGLRPIHIVSPSVIHDDDLIRGTRLREILDICVSPEVSDKLFS FLTSSESVSDNLKNLWLMSPAVAAAFQEGRISIHKNDSDPKSLYWLLRKTRPGNFDVL GVARNCKFSSMPSTPDDTKLPLPEGILLEVHHHVSEFLYYLDVEKQIQAGWEIEGECE L TRV_00455 MSIMNTVALVGLLATSATAHMMLHYPPTFGAENNPHRTDPVDPY LDNPYNCCGRKTVYPCRGYLDLLGTDQGRPVVSWEAGSSQSFSLTGRGTHWGGSCQAG FSTDQGKTWRVVRSYEGNCPHREGSNQNPEEQTFDFKIPSDMPTGDHVFAWTWFNREQ EFFMTCASVTITESGNGGNTSQPRAFVPRGSSARPTPDDDKSSSVPFDQLPDFLVANT DNGCMTPRTNAEVKYPNPGPDVAKGDGAYPLELPSPMDKCGA TRV_00456 MADEDESRRKRIKVSNGDSGSESLAAPIQGLVGATVDAGSPAPD AQAVKEAEVGITQFVSPGLPGFSGVVKKRYTDFLVNEILPSGEVLHLKDTELSTALKT AIAETATPVESASATPQDTPVTGEEIEGKTGEVEDDKTEKPAAETAGIVLADLATEDR ELLDQLFGPETTPKLLNLYSRAVAKASSRPGELGKVKSLVITDKDQRTSLHQAIRRIF KSNLDSTTDSDGTIVVSAASVQQRGGGKGKRKKQSGRDRGQGASQLPRGKLGWSELGG DYLHFTLYKENKDTMEVISFLSRQLKVAPKAFQFAGTKDRRGATVQRVSVFRIYPDRM PKLNASLRMAAIGDYEYQKQGLELGELNGNEFVITLRDCQFPEGVVDRGNISSPETIA KVTELVGKSLCDLRERGYFNYYGLQRFGTFATRTDTTGLKMLRGEFKEACESILHYSP IALAAAQDVSSEDPSQRPQISTDDILRAKAIHIFQTTGNGRDALEVLPRKFTAESNII RLLCQRKNDYFGALQSIPRNLRLMYVHAYQSLVWNFAAGERWRLYGDKVVEGDLVLVS EFKDETKAAAQQAEVDADGEEIVLPDADDSAAVDDMFERARALTAEEAASGKYSIFDI VLPLPGFDILYPANKMTDFYKEFMGSDKGGNLDPFDMRRSWRDISLSGSYRKMLSRPG ADYSFEVKPYRAEDEQFVKTDLERLRENTSKSADGNNDNNQSSLTSETLEQPEKLAVI LKFQLGASQYATMALRELMKTGGAKEYKPDFAR TRV_00457 MKFGSAFVAAVAAVAGVAAKDYGGVPGQPIQKSGKGAVFSGATN PQLDLQNPSNINGQPATDIGLVPNLKWSFSLSKTRMFHGGWIREQVISDLPASHDIAG AQVHLTKGGIRQMHWHRVAEWGYVYAGSILVFAVTEDGQYQIDKLTPGDIYYFPKGAA HSFQGIEDENEVLVAFDEGDFDKIGYVFPPYYSNIDLQLSNAFNYRTTFQVAEWIAHT PQDVLAKNFNISTGGTFDKTKSNMLEIINPTTSTHNVTGPNGALMGNSSYTFHIRDAP EIQVPGGGGTIQIVDSKNFPISKTIACAIVRLKPGALRELHWHPTAEEWLYFHSGNAR ATVYVSGGLSRTFDFTAGDTGVFPDNAGHYIENVSEDEDLIYLELYKADRVADVSLSQ WLALTPHDIAAAAINVPIDVIDKLKKDKQYIIQ TRV_00458 MESQGISRGEPRRQTYTVRRIKPSDFPAAAEILANDSSLGKTLH SGKEAHHSDPVDGFIQSIRSRYLSPGWVIYVAVAVPSRDDTTGLNEIIVGYAAWKRTG TSPAAQAWSDNNEWKLNGMNALLLFSCGWMAGSRKRLRLRSPGIPFQLTRQSNPMLSV KQKS TRV_00459 MPGQAGGGGRKNMILHEQSRNRGDIRPPSTDPLTRDMISPPLSQ ESAFDSNMIAPQVYSGLEYVSSKLQSNTLHLTLILSRSKPLPIGQGCNLTVFPIGTLS DNERHIFSKYIRRAARKYHLQPKWMALSSSSSGMDSMFNPEYLIDRSLKQNDVLISLE GLTILNIDRTYTLKQYLNALSTPWVTPNTMIDRIPSRIYTDACLYLLRQSVQSTGGRP FAKSFFYHAYNHLHFEDHLIVDIANEYFARYKQVAIVLPKPPASDPVPESDPESKSKG ESKPNINPEVIVSKPKEEKAEKQKVEKKEISASSLGTRRVSFAKALSPLRARTPHSAS DVTPITRNEWNILVSDSTVGRKKPVQLTVPLEAGCAPDIEGDGVIYDLNWEDVEE TRV_00460 MHHTRNFILKYQNLLQLIPHFSINIPYKLIMETKAQIPKESPSL SDWPNYQGFDTSYEERSPVELKVTGRIPPWAAGTLFRTGIGRGQIESDKGVYRVCHWF DGLAVVHRFQILAPDEEHPEVRVIYNSRSTCDGLIEMIRKTGERKTMTFAKKYDPCQS YFKKVMSVFQADRPRQPDEYSMAITLSVDFPGLESIGVKKKSVGGHGSGIQYLVNKTD SAVLQTLDPETLEPVGIAKQHALHPELRGPMSGAHAKSDPVTGDVYNFNLEFGMTPTY RVFTVSRSTGKTSILATITDATPAYIHSIFLTENYVVLCVWNSFFVANGMKILWTRNI LDALGEYDASRPARWYVIDRRTAENGGRGLVATFESDPFFCFHSVNAYEVPSQAGPGT DIIADLVAYDNLNALKCFYLDNLKSNSPNAGKTKAANEFSSALVRYRLQNISLEATPE AAQTARKAVLEHKSEPIQSPELPTINQRFITRPHRYVYAVNFTGSSTFFDGLVKMDTK THESKFWSRQGQSAGEPIFVPRTKGPLVDVLAGDDGSEEDDGVLLSVVLDGLSGNSYL LVLDAKTMTEVGRAALNGAIGFGFHGIHVRSSEQRGIDF TRV_00461 MGKPQRQQRQSRAKRGAGGIRKGASKRAKPMPKALKDKLRDISY SKTAHGFVPEDILLDNQPIPPGYVFVPKGNIYITRKCRSQTHDLGSPVYTIYCSTTYK QTGLYVPASVQAAVELESKETSEDRKKAVAQKDARDRQKARELLLKEFPNMPKSDLTA VLNHAFLKGSRRVGRSGKIASEKDKVRLAVEAHIRHVHTEYDDMIRRGLTRERARENI WDEVVILRDSWRK TRV_00462 MNWDYPIHSFTDPNVEPQTPTRTPTTSSFETPKLESSFYDPRVT WNTADPYASSPELVKFPPRFDLASPSPQRGQLPEAEGGDSLASSSVRKTRPLSTIFSS GPDMSDGVDATSRSAASMQTPPPTSTSRRRTLDAMNVTTDDAATPVQDPNPNRLETPS RFVGLSPNFYGLQGTPDLFRVAGASATEPSFIPRYSMPWDQENIPPPSAMMDDNPSAS SSSSSAAAATYTNNNGNFDISTQGPLEQSVEAFDADPASEIGLETPRLPVTQSIGRRR VGLGIPQDPRYLEAQQGAGINSSFSASPRVPLPPDDDPSMFLSSPARRFGFSDPSYSY TPHAPRIENRQPYHYQTEESERDKRERGLCKISRTRSVGHPRRTTANAGVGGAATNYE DSYASLPRPPGRPPPRRITTHSGAVSRQASFSSTTSGALPGSGVRKTPSKGRTSPFKQ MHSFQRSASLAAPMESLVLKVGKDGIATTEMKLAAHSQSQLPDVSPDISIEELSTESD SDISDTSERRLGRVRNPSYHFPDITPRRSRIAGTPSSSRPHSKCSSRSSTVGSSHSGY NPGWTDTSRGRGKQLDAWDGRRRHSRNYSITDSETTQEDDEEEETDDAGHAQHALKQV LQARKRQSAAAGTAAPNSATTSGYASASRASQSLAMATLRSSPPLVGYPESSWAGDSS SPTKMTDPDTAPTPVVERQSNPSTGTRCVCNSRNNGGHLMIQW TRV_00463 MAASTSTSGNRDLPASKYDLSTYWGRVRQSAELCDPRTLFVSAD GLENAKKLIESYKGGQLLSMTPELWHAKKVVDSTLHPDTGEPVFFPFRMSCFVLSNLI VTAGMLTPGLGTTGTLLWQIGNQSLNVAINNANANKSTPLSTSAMVKSYLMAVSASCS VALGLNAMVPRLKVAPNTKLILGRLVPFAAVATAGALNVFLMRGEEIRQGIDVYPAEP KDRSAGAEVKSEAGEVQSLGKSKKAATLAVGETAISRVLNSTPIMVLPPLILVRLQQM QWLKTRPRLVLPVNLGEFFKTIFVCVYSTVMLTLYLAGLILTTSIFALPLALGAFPQR QAVQASSLEEEFWGRGGSTGLVEFNRGI TRV_00464 MNRQRTNPRSKKKKKKKKESSKVKTSLTMVSLEKDDEGSLFFFS SFSLCLRCSVSLKRLSTVKISSSSPFRVVEVAKLRSCWSWSFFFFFSSSFDVEGGNPF WETRQKKAPQLDDDDEDNNNDKDNDEDDEDDDDNNNEDGEEAFILLSGAEGMPCSAWW YIRW TRV_00465 MSTPSTATATHPSHQRYGYSRHQVYHQPNPQSFPTASAASNALA NSFSYTSNHQSTTNGLSLASTPKTTAPVPSMASYSTPTVLPTAHSRRKQPDWGEFYKN GVPKEIIVIDDDDDDDNTNNDPVPVSASAVTSSTRTAPRVAPSASSSNLPANKKRRTD ASSGVDVYYERPAYSASSQKYGEGSSAAPSMSTDRTASIHTTAPTSLGSQASGSAANN AYLDDVAVGQKRKRVVTRKAARDELKRRELETVGDAFSSYIPPPKPPIKAKDVVVPVI RDYNANKNQKIDDEDGHYIVNPDTNLTDKFHSPRLDSIIRLLGQGTFGKVVEAYDRQR KTRCAVKIIRSVQKYRDASRIELRVLSTLASNDETNRNRCIHLRDCFDFRNHICIVTD LLGQSVFDFLKANSFVPFPSSQIQNFARQLFTSVAFLHDVNLIHTDLKPENILLVSNA YQTFTYNRTIPSSSHTTSRTARQRRVLLDSEIRLIDFGSATFDDEYHSSVVSTRHYRA PEIILNLGWSFPCDIWSIGCILVEFFTGDALFQTHDNLEHLAMMESVCGGKIDPKLVR QVLQGRNGHGANSAAKYFNRTKLDYPNEDTSRASRKYVKAMKQLHEFIPATTSFNKQF LDLLRRIFVYDPKVRITAKEALKHPWFKDTIIDDGTEAVRIRQEQQRGA TRV_00466 MDQVILSDNHTAIIQPGARLGHVSVELFNQGRRAIPHGTCPGRV GISGHVLHGGYGRASRTHGLTLDWLKSAKVILSDGSIAYCSATDNTDLFWAIRGAGSS FGIVTEFEFDTFMPPENVTVFAIDMPWSESGVAESLKAVQSLSLTAREELNLAFDVTA SSQAIRGLYFGDEHGLVQALQPLLTNLKTQLSDIKSVDWLEGLEYFAEGEPLVRPQPY NVINALVSTLFTNINDTNARHSWDVLFELHGGPKSAVSQTDLAATSYAQRDKVLLWQL NAFGENGKLPRESFVFLKQITDSVTQSMADGDWGMYANSIDTQLDGNTAQKLYWGDNL PRLRKIKARLDPSNVFWNPQGISPSA TRV_00467 MHPAKDGFAALPFFDDFDLSTVDILLISQYVHPPLHVRVISATT PLVSHAQMDIQTDIQTFFSLPFLHVQTCEIRQLTDGSFHLDHSGSLPYVLSKTNFKGR VFMTHATKAIYKWLIQDNVRVSNTSSSSDQRTSLYNEHDHLSTLPIIETIDFNTTHTI NSIRITPFPAGHVLGAAMFLISIAGLNILFTGDYSREEDRHLISAEVPKGVKIDVMIT ESTFGISSNPPRLEREAALMKSVTSIINRGGRVLMPVFALGRAQELLLILDEYWSRHP ELQKVPIYYIGNMARRCMVVYQTYIGAMNENIKRLFRQRMAEAEARGDKSVTAGPWDF RFVRSLRNLDRFEDVGGCVMLASPGMLQTGTSRELLERWAPNERNGVIMTGYSVEGTM GKQIINEPEQIPAVMSAKNAAGPSDDQKIMIQRRCTVDEISFAAHVDGVENREFIESV AAPVVILVHGEKHQMMRLKSKLLSLNVDKEVKVKVYTPANCDEVRIPFMVDKVARVVG RLAETSPPIGQDDSRLMDGVLVQNGFKLSMMASDDLREYAGLTATMVTCKQYITLSTA SIDLIRWALESTFGLIEEINSPSSEAVKGSNGDSSETQDDSVIKKGEEADEEIPFSEL TTYLIMGCVFVKYNPQRREVEVEWEGNMMNDGVADAVMAVLLTVESSPAAVKREYQVF PFAMPVGSSKNKQCHNHAPPLPSNPHSQLTPDERFMRLCMILEAQFGSEIAPIERPKL KYHGGRKERSPSTAEDAGKVDTPDIDEPEDEEELREMEELELARLQSLGIPVPGVEIK VDNHIARVWLETLEVECSYPVLRDRVRVVVERAVETVADMWNTKAGSAMPAKAIPNGS NTIKSEQVV TRV_00468 MAQTQSHQLPPRSFSPPASSPSPGTQQASVPGSNIPPPPKRQRL SPLPQSSQPYNSPHFAPVQLSTNGSAMHVNGMAKQAPNAPPPPGSMGPPSRPVEKATD TAELTDVLASSGIDVKEEEAFLTSGYAKPAVPVQQTHSQSISHPPRQQTSFSNSFNSI PPVGPITPSNSFSEQTPKQPGFQPAGYPYQAPVAPAPPIKSPEEISQDEQLRQDTAAS RREQYHLQSAFLHTAVMEKKLEKRAQEHGVRMPMSGVFKPLPGRPTGPVEVTGPDGSS VIRRDKTILNPESALGDIISLLSLASEERLRSVIDHSVTLSRNRRVNSHGAVPPEWAD LAIIQETAADDNTPTRKAEQPSTAETTVDNSTSTAKKTTTSSTLTLKSRRLIDRDESH EERRAAKRAKRNADAILGADGRLPTPGASTPNGLDRAPDIDKKISKKDIKKIDVKATG AVQHQHAIETARMQTTSLSSRFGKKGGYSWLKSSQPAVSRSGFSTPSRLSTAAAAGSA AGGNGKPGSNAASIKAIRKQFGDWREDKENGAGIQIRDLLFTLEVDGRGLKHLQKAYS KDAKEDFAAS TRV_00469 MASDDALDYKALFLQAEERRLQAEERARQEKERRLRAEDDRELA DEQRRQAEEARQQAEEERQQAEEERQNAEEERQRAEERNQLTSFEEFIQTCHNLLSLP IKVRDAARSTRGSIPKPTGKLCPTYLRPWSSPHILDELYRRVNHHFQPTDKLFPSLAE LEAVARRCSRPLGSEKDLEFYAHIAVEQHVQDVIMELCNKPQARDRFALGEAIVFENH ANAIDEDDDMSDQSRARRPIPDQFCVHRVDDGNYTLLTTVEYKPPHKLSVENLAAGLR PMNLWEEVVQLDAIPTEGNAKLLYNAEQMTASTLVQEFHVMINEGLEFSYITNGLAYV LLRVYDNDPTTLYYYFCNPNKEVDSDTLSRTAIARVLCLCLMSCRSPVRNQDWRNNAR QQLHVWETNLDYERGRIPDDELNKTPPSSEHAPSSPYVPSSPLSSPTADYYRPVTRSQ AGCAPQSTAAHPSEPTDSDSDSPQAAAGRKRNLSQLTASPPGQQRSRQMENRGSQSQG SYREEPHVELFCTQKCLLGLKRGDNLDITCPNVERHRSVAGGDKHSITTEQLVGLIKQ QLDTTLNRDCSPFGSSGSYGAPFKVTCRRYGYTVVGKGTTSYRWSEVSSEATIYRILR ELQGSAVPVFLGLIDLKMIYYLHGVGAIQHMLLMGWGGEHTSGHKPTKELLRQIRRSE KEIRALGVHHGDFRRENILWNEELRRALIIDFHRCKLVRPDRKKRSVDGNGLLESKSK KKKAISCGTPTAGNVPNAV TRV_00470 MVRFHKAVVGLLLSSSGLVTAATEPCAQVAEEQRKQKAGNPNAT NFTVSAELAHACLTSVPFKSNDSLQLIDGLKYFWDWQTTKDFLKNPPQGYAVPGTDLE GGIAKIRQKAASNGYKNEFEFQFELDALVRTVHDGHFNLAMDLITTFAFTRSDIGSLV SLSDDGKNLPKIYSLNDLQGRSMNASALKTIDGQDATEWMKKFSFSGFFQDPDALYNG MLFNLPLTRVSGTGGFFTSRGLYTGTKMSVTFENGTTKEFGHTATSTADFSGVKDGNT FYEKFCSGKQQATAASLTDYEHTAFRDHRFLTANPISYPPFPLAQRTVPPVTPLRESM DGAAAGYFLEGKDSKVAVLNLRSFVGASENNDPLWDFSYTVTKFLEDCRKAGKEKLIV DVSGNRGGTIFLGYDTFKQLKTQLLPTGRIETPFNLRAIEQFDIIGTKVNYLLKHPRD PKAPAAEEMRDDIFDTNSYVDPNGRKYRSWDSYFGPETVEAGNFSRLAIWDFHNIPMS LKAGGLVVSGYGNRSHVAPQAFRKENIVLVTDGICASTCAIFSDLMNRNGIKSIAVGG QPRTGRMQAVGGVKGTQVLTFRELWSIAELVIKKYSTPREQRELEKTQLGQMYNKGKY VLSRLLNNGAGGRVNYRNAVFTDDRKRVPRQFVYEPAHCRMFLTKDALLDVKRWWGAV ANSWWGDKGRCIEGST TRV_00471 MVSILYSERIFPRTKQDSEETIALSLLDATTVHFAHTCSTWLCE APETAAGPEFDLADHLRQSLRVVLDAYPQWCGRINAITTLDGAAGPHTQRFGRVYVQY GTPQDPGVEFRVAETTATLDTVCPALRTVDQPVWNRQEIPPEKFVPSTALSNALQPDI LDDAGLLPPLLAIQLTNLACGGFILTVKIVHPLADIQSLVYFVKDWASVSRSVLSNTP CPTLNPLFEPGLLDSLAAGDIDAVEPDMAIIQRAKSMPLHRYDWWASSPNSPWPVKVP EALAGKMLSPAGKPMPWSEWNITEPVSHYVVHLNRHQVEAILKGATCGLSRDMGPTRI SQHDAILAHVWSCINRARNLEEDSQPVHCDLVYGVRPAFKLDKSFLGSPMLMINVEMS SADVTAGSRPCNIPALQSIAQRIRQTIGEVSQPDLLAAHLHSVAYEESPQRIWQAFLG RRHILVTSWARAGLYEIDFGLGSSPIIRYADSFIPDMDGTIVIKEAPPLKKEDTSDGS LPSSWTANGVDISLRLRSEDMDRLLSDSMLFPQNTSNE TRV_00472 MMIEDLLRFVSDRTLLLAGCCIILIIKAFFGIGPNFEMLFDRMQ MFWDWVSTIPWKIIEAFQPSDERAREATWAIEISNLARKSHTWMQRQLFLRETIIEYA IQGKLEKTGLSQINGMKSISTSLVAHQRGYLIFLSLEYVKPFAITLPNTFYGKIFIRH ARYEMVAVREHVSATLKGSESYKEPSPRGNGPRSMGEGELGGNINNKRKNSSLLLSSF PTYNVCSGLLRFHLPSSQAVTIGSYNISPPVSSSLPLSVTAATAAKDTNLCLDGGYFT IYFVCT TRV_00402 MAAPSSPVSKKQKRAHEADGGLVADRQQQQQQQQQQTERLTPPP SPSQDTQENAQANAQVNTQKNKIDTDGIADDIVVAVIQQLEKTGNRPHLIKELAQVLS ATTDSIAKLSLYLKRPWTPLAPCPIAKELIPVHPRKVFFYLTNTPRQPLPASSQDILS PAPSPSVEKEVTPCPSLSLPDLDRDGEDDDEEDRSRMSLSPEVDLDYSLDMMMASDSF ASSLPLRQQQHSHSHGHRISHNHRAASPPLEGDEREFTLTASMVRERTTGDDGQAGKL RGLLLDSPPASLDLQVDMDASPYLDGQAASDQGEYGHHQYDDYFSRPIDIPTATTTTT SAASAASTSTSPSEAPEMLYSTSPSPSVASEISFSSLTTTATSDCDSDEDVKPATARL RAHKRTYDMSFPLDVIDLDPRKQYSQLSLDCAFSFSLDSWADFRSPETVEVDELDAIF ADI TRV_00403 MFYLPSHLLPYTRYDFHGVTALFFLSSFFFPAARCLFDVQHVFP FTASTLIYPISTTYNKACSMPGRRAEKTRLPSNETKKKKERTYSASLYLFFFLFFSSS FSVSILFHFDCAASLRKKKVSPFLCPAQYRCISLA TRV_00404 MPVPSVAAASGKEEAPAPAASTSASTSAYTAPTPPPAKPVPSPS NPVLASKEAQWLFTDSDLRYTPSLLDGMSMETEHTQRSKGVNFITQVGILLKLPQLTL CTASVYMHRFFMRYSMVDLPQRPGRHPYPIAATALFLATKVEENCRKMKELIVACCRV ALKQPNVIVDEQSKEFWKWRDTILHNEDLLLEALCFDLQLEQPYRLLYDFICFFQVQD DKRLRNSAWAFVNDSTFTVLCVQFSARTIAASALYAAAMHCDAAFADDELGRPWWEQI DVDVREVRRACNRMAEIYDNYPLPKPGQKYAPAPSPVNGEEATDSTRRVFAQNAGRRD SQGGQQVNGRKHDREEEHEPGEIVSNGTTDSPKRQRRESEEPASRPRSAVSVSGSPAA NPTSTSTATATATATTATANANANATTNSGGRQVSNSFTNAASNLNLSSANSSQQKQE EAEKEEGEADEGELEEGEELEDGEREDGEADPAPPRSQSRVPASYQKHLHQHPQPARM EHPLPPPPPPPSQAQSIPSRER TRV_00405 MATISAPQTPNLNGAQMVDPTRPGEYPILLGDKLAGRDNARSRQ FVNVTYNYKSKGNTPQQKTTIYPAGAPDRYKLTIQSKAGNAEQTDLTYVYSGGVEPES SSSTASKSETSNLVLIFDPKRKAFILEPVSTRLNFNLKSAPGKTDRQVSEQYPQLSTS FSNNDQAAGDKQGENESEEEDVGPADEENPYDYRHFLPKKKAEQSSGSGAGSKQNAVE ASSAPGTPDPHQAASSSRPAAPRSVPAAQVPAAAPAAAKAKPKPKPKPAPKSKANPLR PPKQRNPKAASSAATTTTTTASTTTTTTTSSANTSSGNANNASTKAREPEEPAAPPVV ALALPPKPELVEDTIIPSVETPDLVGTYSASDDEPKRLAGSPGSNIIVDGDLIIDMGS PPPQRPAFKIDPSHFASNNTSANEAGYNSDDEEDVEEPRPSFFGRRPVQEEEEEEEEE DEDKDEAMEDAQAADHADGDEEPADFEDDLVAEMEAALEESAREEEARLAMEQQQQQQ QQHRYANHVESEDESEVSEEE TRV_00406 MADALYELLVPHFDAAEGSPRLSSPPPPPATSSTTAQYLNHIPT LDTASLTSTELQSLSQVSHSNLVSLQALANRSHKTFITSADNHRSLQKSLPQLARETQ RLRDAIPKLDEEAVRFSSTYSKTGDSQILERRKKALQLARNVDRLSDILELPTLLSTA VSSSSGASSAGAGSGAGSGTGGTLSSSSLSSTSNYSAALDLFAHIKRLQTLYPESPLA RDITNQAEDAMKDMTTNLISDLRVQNIRLAPAMRIIGWLRRIAPDLEEPSSTKSASGG STSEGAYGALFLVCRLANLLNMLSALEPLRELADQETLRRRQNTTKGETSEGSKNNNT WSGGQQTERFLKKYIEIYREQSFAIVSLYKNIFAPGTLDPATKQQETEEEELSEASML SQLPPALATFPMHLAQLLAETLEEYLPNVRDKSSRESLLTQVLYCAASLGRLGGDFTM MLSLLSTTGGTDQDDEDGDETEAVPEWEEAIRKHRILAERLDLLTAGSEAAGGAGAKG SSPSK TRV_00407 MGKPRVSTEKTPLLYIEEYKKLTALSTSSEGNKNREIHQTVPDH RIKLTPEGHRQALEAGRRLREMLRPDDKIHFFTSPYQRTRETTEGILKSLTSDDPSPS PFPRQGIQVYEEPRLREQDFGNFQPCSDEMERMWQERADYGHFFYRIPNGESAADAYD RVSGFNESLWRLFGDDDFASVCVLVTHGLMTRIFLMKWYHFSVEYFEDLRNINHCEFV VMQKNPDNGKYILRNKLRTWSELKKEREREREANNMPLTSPISPRRVWGGCPDGCDHA RHKHRVTKRLLHQSTSTTGLVTGGEKTGSTEKEMELDDRYQNDDGDEEEDQDEGDSAL AELCDQPVLQIKSTVSTSQGARADKTPRTVEVPHLGGRDAGGSNSGVSTGHTSPSPEK HAGSSAPTNNGDGNVRQGSGLKYTHQRDFDRDDDYPNEDDEDSEDERARLEEQSVQGS VY TRV_00408 MISRKPPAEVGAVKQALVTGYRHYHSASERMRESMSSLDTLKLE PLLASALMLVPFATASQQINHWLSEKGRVEEPQKPLSSTPRDIIVIMRGIRATLQTLD CESPVSGIAPSPITDPGSDDHLILPEARTRASGIGTPCNHTMGPIISSTSEEAYSKLQ KRLDYALYHCNDADGTLAACGAAFDILKDIRSNAFSSHYSSKPSPSSFSPLDDVSQDL FEPQSMSLPQVASWLRSFANRSVVPQPTEPLTRYFLTFLVQVPQAYLDLVLPLLDKRL ESPVDAELYDTSTGLTMEQALALDIYAHWSVLMFLVEEESWWIGNLPFVTLSGMVNRF GNNFVTILWPEEERGRWWPGGMLNILREIKRYR TRV_00409 MAVAFATVGLLLAHCVSSAAVHTSKHNHGYCKELDIPVFATSES AIYDIPRVDSNVDAIAWAIYSDTWSTPAGAARIIKNTTTSDTFKIHAQLCVPKVSGGK NDILHIATHGVHYDSRYWDPTLDREKQSYVEATLRAGYPILTYDRLGVGKSDKPDAYD VVQAPLELEILRQLTLMARNGTLYDFAGKAVPPNAAFNMAAKPVKKVVHVGHSFGSFL TSAFIAKHSSLSDGAIITGYLLNKYLGKAGMASFSAQFAPTSSTPFNRPSGYVVCQKS GIQTIFFAGDTKTAFTKEMLDYGDAIKQPVPIGEFASAYHIVGLPGPDFKGPIQYMLP EFDFYICGGDCKGLADMKELRKTYSQASEIEVAIQPNTGHAFTLHNNATAGYQISYDF LSRNGL TRV_00410 MRGEEDAEDDCNRPDIEEVVSHIPAMKQHCLDISPHGGWHFDPK NAVSHLYDSRVDIVDVFSSVDRVVAVEPSRVFDIFKLNQERIHNAKTSYSGLQGTMKN GGYGAETGDTLSKAQHLSHKQASYRLWYTRKKHKSSAMNDKFSSEVLLAYGYKYIFIF QSLSYHHHHIQSPKGISQTATYALTPSSSSNKHFSHLQIPYQPANNQQINKQTTSHLA RMAAIVLALGAAIYITAEKIQDHREKKRALKAKNATKQAVLEDDDSVMAADDLPAYKT EKPPAYDFEQYQQQQHPTLASSKLMESSSQRYYIQARST TRV_00411 MKGWEKKRKDSRHLTNWQRRRKNARCTHKKDILINEPPFAITMQ VDKKKKMNEMEVDIKSEETKARQPNTKIKRKGRKKE TRV_00412 MPSFFASGGLNTFPPTPPHTNVAPRIETDPSFYASAGASATTGG HAGFAFGRYGAGAEYEKYQPTYPNPYHGRGDLNHKNSSGKQQLMAQQMLYNNPLAHGL GLGLGHGHHGLMAMHGSKLAPMDSAVPPRYRRHDPMIHQDQHHIQQHLQHQHQQRKQQ QQQHHQQQQQQQQQAKEQKTTGGVAAHLDYDMDQMTDFVAEMAHGMYALYQSKLVLAD IDMLRSVHPGTTPPSQFRKYVSQILSSTRLPSSTILLGLYYLASRMRMLSSADVYPTA VKTSSSSTPATTQVYRMLTTGLLLGSKFLDDNTFQNRSWAEVSSIPVADLNSMELEWL FGFEWKIHERIHTKNDGFMSWKAHWDSWRAKADARAAAAAAVAASSNESRAKLAPIDT NIIHRRHPLYHHGSGHHSALPKAMLSPDGPIPPQYQRSACPWLPTSNASTAATTPLAA SDYSPPSAPHTGPSTPDYFHSVGGSGSNAAWPYNAPPPYCATWLPQQQQQQQTSRPGP TALPLPLPRSQPPSYVHTPMYANAFSPINNNINGNVWTGHGPGCGCLYCAKSHDLYFP VSSFGMQPVAG TRV_00413 MVEKMVRMEVGGWRLEVEGEEEEEEEEKREDGEKRQNNEVSKEG KKKKERKGKGKKKARKKAKKRKKRKKRRASGEQEEKEEKEGKSQPFS TRV_00414 MEIESTPQQLEESDTEMTGVASPQKDTREDEADDDAEGEGEGEG EEVAEDEEVDEDERDTPRTPIEGEEGMTPTADNESEGVAQDSPSRPSSTAGRGGSSML GRPPRRRRIGRPPKNKPADWDADEGTGTPTGTGRTGTPDTPGARSGANTPVKRGRGRG RPYGGGAGGSSGGTGAGGGGVGRWGPKSRGSSAHVSHLPLDKDGNVLEVVDDEVDLPE DPEGETKVDKLGNLLGGREFRVRTFKVLDRGDRQYMLSTEPARCIGFRDSYLFFQKHR LLYKIIIDDEAKRDLIERDIIPHSYKGRAIGIVTARSVFREFGARIIIGGRKVVDDYH AQEARDRGDVEGELAVPEDRLPAPGEKYNKNQYVAWHGASSVYHSGVPSVPMPVSKAV ESKKRKIVVTGDNWMVQHAREASRFNSELASLRRENINGVYDIHTNLMMYPKTMQPTH ARWEQIEPSEDSSSSSTSTTIFPSVRGIFSRNFRIHDIAYESAPNSSLNLIPGPDGDY YDLGSNGLISLDNEASSNDHDGGSEGINFKMTPEVLAELPEDCRQAYLDAAAREWQWK NKWKGERVDGARANLAMNFSWTP TRV_00415 MRETVEMTVSRAEPSSSPSSFVFFVCRGWPSLSSTAFCSLLDGL VMSDARLPVVAQLGGELDHPTVFFSSAANFSGSSASSMYIFICSVFSLSLSLPPTFNP HLDLIFKRPIDCPPPRRTSFAACLCWLRLLLWVRNTNHQNKKEKKKQPEGNKNRKLAI RRRRRRRPLLSSPLLYLYILKKRERLPPLVT TRV_00366 MEKKNCLLILTIIDNDPCLVLQAPAEKELAWIRTYGKPRFIFQR AYRETLGYKKQDPEEHSNSLLEYLQLAPYLAPTCPKLNLPILRHPDLQPSNIFISEDF KITGLIDWQHSLVLPMFLAAGIPRSFQNYGDEQSMYFIPPQLPEDLGTMDADEHAIAC EQFGRRHVHFFYLGFTQKLNEPHSEALEQEFSLLRRRIFDNAGSPWEGLNTPLQVDIA QVSQNWSKIAAVRSDRSLPACPVVISEQDVQKRAALDDSLRDVDTELEQINGFLGVGS DGWTSNELFKQAKERARSIKVEGLAAVNDDPWLRQMTEQHWPFDDYNEDE TRV_00367 MMIRPFTLFLCILICSSSGLSFTPCPLLGPAFPEFTLDTGSEVL TSALKNLTKAFDKLVLEGNGDHGEIFPNTTSFSLSLFSVNEGNASDQPYFFDYHYTAP PLKFHPVGKDTIYRIGGLTEIFTVWTILIEAGDRIWNTPVTEYIPELADAVSYRNGKK NPVSYVDWETITVGQLASHMAGISRGSSMPDLSKQSAFPFADLPPFPAPDTPPCISKS SCNRTGYIVESIAGKPFASVLNQRILEPLKLGKTSLLLTEDPSPRVLPADTNSHGWMQ GGAETAAISMLSTVTDLAVAGKSILAATLLSRAQTNRWLKPVAHTSNPANSVGYPWVI YSAGDYPDTPIIDVYTTLSTVGLYSSYIGLVPDFNVGFTILATDSNTSPDLNPHTGVI GDNLLPALTKIAAIQASTNFGGLYSSHIANSSITVSADSSPGLVIDTWISNGTDFRAT LAALNGVEEVDALSMRLYPAHLISKRTPISRQAFRAVFQDKNEFADYGTPTCVTWLSV DSLKYGGASLDQLIFEVDTNGKALDVEIPALQITLEKQK TRV_00368 MGARHALLVPPANTAAAGLSADDDDDIISKDVVDRGFLTIEDAE CFLSTFKVRMIEHFPFVVVPQHTMAEQLRRDRPFLFLSIISAASYSSMPLQRKLGEEV RSAIASRMIINGEVSFDLLQGLLLAIGLIIELRLDRPPQTKVWKSGIRFTSNYDPDDD TFTRPSFGRDEQRAVAGCYYLSSTISGLLQKQLTFPYTAYLEDCCKSIYDAAEYPYDK SILYIVQLQHIVEKINRITFQHGMELNNSGSAMELYVSSLKSELEAFLVRSGFTSADE TTLTTMQFHTAELSLYQLSLLDKRRQQSGSRPTTLSDDMLYAGGLAARSILELYLSLP PRAETSFNNTEWVQIGFALIVACRLAGTAPTAEPAVFRRHKESLSNTLTKLKMRVSEL STDMVDFNGDKDVFTDYVDRVARLQVWLDTRLEKTGSSGIINNAASGELRTIEGTPSL ENQPLAADASGVMHDFSPSDLFATMANGYPSIEEDDFAYTIDQMLNNWV TRV_00369 MPILSAGFSLKATILIIPRYLRAHNVSPVFYNICTTQVRCSRIL SQWPNNHPRHRGCVSENFYQLFISVTSLPARSTLTDVPGVKVNTQEVHGDDGAINTGV TCILPRDNWSEEFCYAGPFSFNSAGELTGANVVNETGLLISPIVLTGTLEIVKPEHVV AGLENASAERVKEGNTGGGTGMICHRFKAGTGSSSRVVPRGDGKPGVKGYTIGALVQT NYGKMKDLRIGGVPIGRILAADAAKDEARKAALEDLAREKDRKDGSIIVVLATDAPLH PLQLQRIAKRATVGLARVGGNGHNTSGDIFLAFSTGNSIPNMDVLGPRKGPTPLAIEV IDDKTIDNLFDATADVTEEAIYNALCMAETMTGYLGRKVEALPLDRVKEIVTKSDVSS EYLG TRV_00370 MSSSLLRLGQILRGSLGKYAITKQIQETVWLAKDQTETTVVIKG VRAHPRVENERDVLKRFQDRTPFLRRLTDEIQDPSDPTIIVLKHLDDHLLNASIEKTL NRKEVKYISNRVLKALQTLHEDGYVHTDVKLDNIFVNYNKHASNDVRFSDIRLGDLGG AYPADSEWAKKGTPVGAPIWSSPEVIMETPWNTATDIWSFGAILISLIYGGNLNLFRP KTVPYGHEEYGIEVLKQQFRYFGPFPGKYEDIVSPITVRAILWLMQEIPQSETTPFCH TTEKEVCKKDKQFIGKIMMMDWRDRPTAKELLEDEWFKDDD TRV_00371 MSSTSQFTDAQLLGQSVVLLPRGSDAFDLDVVLDQKRRIVTLSE DQSTVTFPDGDTYAIPKNTKLTNSAGGTTTNSMRVETGTDLASMLDTSASFSASYAGV SASTSSQYSYSHSLSTAKVYGVMSVDHRSFFLELDYDGSPVVLKVNNELTKTETKENF ELHVKAEYNGIADVSGDVSIKQSSDYKAYRQTRENQVYVRGGTDASRVELSTSQPDNN PEEYRKTFNEWAQTLNNSNTASLVNIRVDSIGNSLRKSGNPDYEPAARKLIDALGYIS ALRVIQGQISVESFGTTEQPEYTCSLHNVPGMQLKYISAGLGNLSPVNQEPTSLKLRL QANPTPSSEPDVIVPQSGTSAWANVQVTTPQEASVVHLEKPTSKGWYSLVLDLQPGGP KVQSTVDDKQTTRDIPVDSLAISGTYGT TRV_00372 MKFFSSGFSCALAVIYATAVTASPYSNISSVSDFINLLDVSNAT GAKIADTLQDLDTKKIRSLSTQGKNSLGCQVSRLVFGRDFLDSRSSNYDKRVEVNWSA ACWLRPRCIIQPRSTEDVAKAMKIVTFLATKFSVRSGGNNPNPRYSSIDNEGILIEMI NLNEITLSDDGSVAHLGPGNLFRSVYSRLAEAGRTVVGPRVGDVAVGGYFLGGGISFF SSMYGIGADNILNYEVVLPDATITNANATHNRELWWALKGSGTNYGIVTRYDVKTVPN SKVWFEAPLYSPEQSKLLPEAIRKYAAAAENDPHAAIIMYITPQSGLVGMVYGKPTPR PDVYKSFYDIPATQNYINSTTGTWADMYNAFTGVPPAAKRKMIATIACKWDAKVLEES FATYLDISARVADEFGAVLSYSSQPITTAAVKYGSENGGNPMGLEEISQNWFVSTIEY ADSAHDEAALKAIQALGESVKSAAVSRGAHLSFLFMNDANYEQDVLSSYGQNNLARLR AMSRKGCFKSSKAAEVNHISICEKHMFMAIQAQGSITANLVLPGLRLNWACSSILNNL KSTVSSSQLSFVLHPPSTDPSCSLALSHTMSIDPSQAAPLNPGDLGRGPVIMGVTWAL TGVSLIIVSTRVYFRWKRPRTLAWDDAFIVAAMGFVSEAYRWGLGKRDENLAIPELIM VIKWLWISTTPAIVVSILARISAALMLIRIFGGRAWFKWFLIYFTALQTVAGLVNLIA CWTQASPIQAVWDPRVTATRKMSPQFQNITANVSQALFALSDLSYVLLPTIFIWKLNM PLRQKVGLCITMSLSLISMVGAILKAVTSNTVVTQYDSSIVILWSGIEQALVIFINCV PALHKVFLSELLWVRKLSSSIVKFVSLDRNGRGSSSAYSRSNQGVDSRSEAGSWTRRK ASAQEEGRDSSSTSDVTYAVHMHELDTHKTRGDYAV TRV_00373 MKIIQNWKTYFPTAKKCPPLIYLDVWPFISQPIIMVIAPELCAQ LTQETPQPRHPMFGWAMTPVTDGIDIISMNMADHKVWRSRLNPGFSSRNVIQNMPAIL EEVSLFAQRLKDTIDGDSGEWGRMFTLYDRAVARPLLAPVFKESASLFADRTYIHSDL HLNEQTSGPGPLLKALRNLITRVKLKNIKNQLERYTPGFRRDVSQNAATMRDILLPQI QSRFNESPSSKNQKTVIDLAIKDLKESGYQPTSRLMNIIVANLKAFLFAGHDTTAQTL CWVFYEINKYPDILEKLRAEHNQVLGLDPKLVTEILQQTPHKINQLEYTAAVIKETLR IHSLANTFRQANPSFTFSLDGIQYPTYNCMIQTTPTMTHIHPDLWPRPMEFIPDRFLV PDGHPLYPIKNAWRPFELGSTKCIGQELALLELKLALVFTVRELDFDFNYDLWEGMKT EKTTGAVPDTVNGERTYRCGDGIGSVKDDLPMRVRLR TRV_00374 MGVQHAELAGVADLGTNARLLIKRSFKDHDPRYGNGSMSCTTYD TAWVSLVTKTVGEQKHWLFPECFRSLLRTQSDDGGWRRETTSQVDCIVNTAAALLSLK RHAVEPLQLREEIHPDDLERRIDRAAILLRSQLEEWRVSETMHVGFEIIVPKLLTLLE EADAKFSFCFEGRDELVKINAAKLARFKPEALYGTVKMTPLHSLEAFVGEIDFDRVAH HKVVGSMMGSPSSTAAYLMNSTNWDDEAESYLRHVIHSAAGKGSGAVPSAFPSTYFEY TWILSTLLEAGFSASDLRCPELEQIYEILTRAFSNGDGTIGYGSYQQPALHLSTGINY ISPDFQSDMDDTARGMIALNLLGGAVRPEPMIKAFEAATHFRTYQCERNPSFSANCNA LLTILHQPDISLYTPQILKVVNFLCDSWWNTDGAIADKWSLSPLYPSVLLVKSLVRLL ALAEQGDSSPEQLLRRDLASRAAITLFQACLRAMLSQHEDGSWNGSTEQTAYGVLILT EARTLCFLDDIRDSLDSAIGRGVSFLQANRGSQVGNFIWIEKVTYASPMLAEAYELAA IKAATSLSSSTSSVGGSLWYISTENTTKLIELFQQTPLFTSLPEWQIRASMTEARLFQ PLLQARRLEVFPRKDMEKDKYFEIIPFTWTACNNRNRAFASTSFLYDMMIISFLNYQA DEFLEAVAGPHYTGRTPELRRVIDTLFAGKSSNSELSRGVKRPYPEEDEEHSNGNNGK PQNNREVVVPLTKFTTFVLNHPSVKSASAWDRNGLRRRLKEFLLAHVTQIEDNARFQL EHPSSGGAYSTATDSFSHWVRTTSAEHTSCPYSFQFVSCLLGASLGQGKDCFGTAEEK YMAASVCKHLSTMCRMYNDYGSVARDKAEGNVNSVNFPELQMLAGSTGPATMEEKKKA LFRLAEYERSCLDDAFKRLQEEGQRATSHMARKLHERKMEVWRMFCDVTDLYGQIYVV RDIASRMKVPAVNGKK TRV_00375 MNEEAQFWLAQRIPIDKPSSAVLGHNTLLGVVAGISSRVLVGLP MGRDQDWLRTVVGYTIDVMQASAGLRPYPTLLRPLVAPWLGCIKRLQRHLDTAHRCFG DVFAQRLADPSRTEGEQNVIQWMAEAATGDDRNPEVLVKKLLFITLAAVHTSTMAATH ALFDLCVMPEYMPLLRKELDEVIAKHGWTLAAINNMPVLDSFLKESQRINHPGLRSFI SMATSSIARDPEHYPEPERFDGLRFYNMRKASNGDSSKRHQFVSTGPENLAFGFGKFA CPGRFFAAAQIKVIIATIILRYDVSFPDGQKTRPRNTFVGESIGPDRRQVIVFRRRSD V TRV_00376 METTNVSSGLLGPVYTALPEIEWNGRQGFALFIVAILSLHLFNV FKESLFGVKAPMVGYRSFFEPKWLLRLRFVRGSMSIIRGGYSKYKDSMFKISRNDADI LVISNKYVDELRNLPEEELSGIEAHIRNLLGSISTTHVMLETNLHTRVLQTKLTPALG LIFDDMKDELNFALETELPECKDKWVPISINHLMLRLLARVSARIFVGRANCRNEEWL SASIDYTENIFITVMTLRMMPRYLHPFVAPLLPWYWRIRSNLATAKRFIGPIIRERRE AEARQGKDYQKHEDLLQWMMDGANEKESNPDMIAHIELLLTLASIHTSSMATSNVMYD LCAHPEYFEPLREEMLSARREDGGWRKTTLTKLRKLDSFLKESQRMNPPSQLAFNRVV RSTLKLSDGTVLPAGTHFNIASDAIMNDPAKLPGGGDPEVFDGFRYERLRSDPAHPEN ANRFQLAMTDSNNLHFGHGKYACPGRFFASNEIKMIITELLLRYDFKYPEGQERPRSL SADENLYPDPDARVLIRRREESVLLLAGVTVNPTILSLNKLQDCIWLARYIYNYMTSG FRREYYVINDNCRNQNEETVVVKSVGHFGIHNEQDIFLHFQDKTNVLRPLLDEIKDSS APHSIILRYLDDDILHAADWATMQLISLLYGEGFHIFRPDVPVDHDDYSVKILMKHHR CFGPFPV TRV_00377 MNWDVLCFNAAALIAGVFVLDYGADKFIDHTVIVGQRLGVSQTV IALLTAGAEWEELAVVVAAVLQHRSPLALGNVTGSAISNILGAFSLGLLFYPQGLEFD RSAKVYSALLLAVTTLFTVLAFFNLLNRLVGGILIAIFGLYIASIIYAIYKGVASPPE LSDSDSDGESSDGDDHGPLSETAPLIENNEHEHEHEHAPSSNDKGSKRNRGLPYHIFH LIMGFLSLSLSGYILSHSAVTIADSLHLSGTVFGLTVVSFATTLPEKLVAVLSGSRGQ AGIMAATTAGSNIFLLTLCLGVVAAAGYQAQGVDNFVLFELLAVWVSSLAFCAVVSLG LGRNSGILLLAAYIVFLCLEFTIYRR TRV_00378 MDVECPPRLSIGDEANTSCCLSHPFTVLVTDQDVHLQVCYYEHW LQGLSSTHHDRTQIPGHRYCQTKPSSISTVSASESSNVLSFCSLAVPKFPFSRPRGAE PPAEYARLRATDPVSKVELWDGSQAWLVVKHKDICSVLTDQRLSKQRNRPGFPELDAG GKEAAKRKPTFVDMDPPEHMQQRSMVEPLFTREHIDGMRPHIQQTVDTLIDEMIKGGG KPAVDIVEKLALPTASLLSVAMAAQLRLAASAANQELLEYIGGLVDQRIVEPRNDLIS KLVVEQLKPGHLQRDDVIQMAFLMLVAGNATMVNMINLGIVTLFENPSQLADLKKDLS LVPQFVEELCRFHTASAMATRRVAKVDIELGGKTIKAGEGIIAATQSGNRDADVFPDP DTFNMHRKRGAESAFGFGYGEHRCVAEWLARAELEIVFTTLFRRLPDLKLAVPLDEVK YSDPSKDVGITELPITW TRV_00379 MQYITIYSGFDHGHISAWSRSSIILCCSHWPDAMDSFTRFYTAY SRPVKVAISISLALVILFAYILLIVLFTSPQALRIDSLLYVGDNPASRTRFTPQVAVA LLSAILTGATIALATRCVDESLWNHLTPSTAADRITAAESRNLALWSVSAVARIRYLF VGGSWTLRLSAVLLLAGAAVNPILVSGISQTPDSTFETAFQPRNRSYNDFSGFLDDVN TWYASGTTRDLLGEAAFLTSLHSLNAPAAHVCNTPLCRANARMAGFQAICTSDKIPNP DRIGLKPSSFKNIRRQLFCSSKRSSRDRDVCVDLESSDPETAAMFTNQRATDTDGDFT TIFGAYVYNWYTGQEERSIYTVDCRVRYGWVNVTQVGSNPPEVIRSSFQAVHQDELPR SAGYLPRIYGGDLMESSPWNFSGGAYGANGEKIVKYPIGVALLGWKETTDGPTVAQRI ERAWDMNNIFAFGRSIDRIDLSTTIETRVNKYVYNKLALFILIVPFLASIFGVWNRWH VLSDELMLGYDPVRIVRCGPLYGVDPSTTGEELDKMVVARYMQADMDGEQRYQFVASS VEFVAEPRTPKHG TRV_00380 MLSLNTPSYSAPSGYQLSELPKPVLEDSNDVIIRVHAASINPID VKKANGMLKMAMADKFPYKIGYDCAGTVEEAGSSVSHLKAGDEIYTRLPEASRAKDVA LKPPSLSFADAASIPLAAMTALQALRRYDGDLAGKTVLVPAGLGGTGMFACQLAKHAF KAGKVITTVSTSKIPKVKELLGDDTVDEIIDYTKVNPKDAIPPGTVDFLFDTVANAME YLSLMRPKTGHIISVSTAPSGDQLQASSLMQLPHRPALPMPIKLALNAMDSIRKFRAR RYGVTYSYMFLESSCKDLEELRDLVESGKLRTVVGTTASLRDLQAVREACQIVYSGRG GLGKVVIKVVD TRV_00381 MEQNSPASVVKKVCYHALHRCMAYMYASLKFIDHIPCIDATLAQ FLCCVGGVYQSKLVPQETPPRYPSKMTKEYVEPANIPVIDFRALTSENPQERKDALNQ LDEAFQTYGFIYLSNHSIGQKLVDEAFSWSRRFFHLPDETKALCKHQTVAADHRGWAA VGTGFVSQDVWDKDEIEKLRKTAPIEQKEILEMGNPHSKGDPGESIVNRLLPEDVFPG FRAFIEKWWDACFKQQLQVLRALCEILEYTDLDYLGKQQDPSRSRNHMSWLYYLSQPV APLRSGESNRLNTHTDFTQLTLLFQDMVGGLEVHDYNAGIFRPVLPKPGTMIVHVGDM LEKQSNGKWKSALHHVSAPSHLKYGDEKTNEDTVVERFFMSFYGTPQHDVMIEPIPGC EAAGKWQTLQWEPNMTAGAWIQKRVALEYGDGQQAPQVVSAA TRV_00382 MQLISAEYPTSSLKLPVENDCQVGGYSSLAGQAQTDSTPVDLSS EELEGLCKNGITCSVVNLNTGPISVESRTDKALAIPGLIAAAQREAQKGADAIVIDCF GDPGLDTLREVVDIPVLGVAQISMNICASLADTFGVVTILKESIPIIKDSVKAYGHEG RFVGCNAIDMRSLEIKGEVNEMTNRLAEHALALVREKGAHSIILGCTGFIGCAEEIKK RLELSGLDVPVVDPMPATVFSAIPLLRLGLRQSRESYPRSTIKETKGYIEF TRV_00383 MPFVVGLASLSASTGLFALARSLPVLVIARALQGLSAAAVWIVG LSIIADNVPTERVGEAMSYTTVALAWGSLLGPAVGGVMYEKVGFYGAFVVPMGLLAVD IAMRFAMIERKKSTQVNDDSPISKCSFASPASEFSNESYSTFTARGESSTRSISTVKR GDEEAPLLASSKQKADNVQQTEIRASATVFSLLCSPRLPLALVSIVMISLVVSSLDTT LPLFVMERFHWSSGGAGLIFMVPAIASFSTIYIAALASKIGYRIIAAAAFMLAGVSCF IMQLVQHDTTSDKVLLAGILFVLGACIATAEMIAMTQVIYAIEEHEAEFPGTFGATLP IAQAYALFNMALAAGQLLGPVATGIIRVHAGWHALMITLGVCSVLMSLPYMLIRERKT EGCA TRV_00384 MAIPTDIANLVIGEDAKLSIQFDEIPLYNDGTRNGSRFYMLSTN RALKDHEEKEDDMRYLSKTRQSAIRLSQLLNGPHVVKERETTAQDEGKAVMRLGDTTM VVDETFDTQPIHSHIWNSFMSIEFHFPNADFTSLQYLQTSWKGLKSGDTLFTKEGTPT FEEVSIVSGVCLYNARLLEMSATSPKTGGIDTDLLMNSIPTYNELDYIARASSAIADI AAINILRACEQRSGQRLKIKPDIPSWHYYHTVATKFSSKKCTSTEALQWMDTVDQRHD KIGQTFVEAIRDGLEQRGIRDSTGYDIGITSRTNLPAILIRTAIEHEEIPSLDSILAA LDSEEDGCWKRFYEMIPIKERPSNLDQLGYLFYVYEAIRPSLVERPTPVPPASEKTKK ANLSKKALKRRKPRRLIISVDDSAERRIYSRAQRVLSQIRQSNEKPETYLVESYVCRR FLINGNEGRARLGRLDPLPDIPVRTGSHHETMLPLDVVRQLYGENSALNLRRWLQNVG LSI TRV_00385 MVGIDIVEPAEIPVVDFQALSAGTLQERKTALKQLDDAFQSVGL IHLSNHSIGQDLVDEAFAWSKRFFDLPDDVKKLVCHPHDASDHRGWVAAGTTVSSQGV WDQEVIERMHKTGPVEKRESLETGDPYPSRIPNPNFIPNRILPEEIFPGFEAFTKKWW DACVEQELQLLRCLCEILDIPDKDFLGKQQNPDFNRSATGWNHYLSIPFQSLASGQSI RLNAHTDYGQLTLLFQDTTGGLEVEDNEAGIFRPVLPRPGMVIVQIADMLERQSNGRW KSSLHRVTTPHHLKHSDVGDSLLIERFSIGFFIQPDFDLVIKPLPGCEAKGRWSSLEW EDEITAGEWLTRRVALEYRPTDK TRV_00386 MDSTSRRVVFFSNEFPNDDLKELFRRLDQHSKDRRFRLLSIFLE ESTAVLKDEVSKLPRPLKELVPPFDSVLGLVDVDFRQGPLGAAMESSMLTILELGLFI GYVSLLCLLDQVKMLTSLVYTSHYESEDTEWDLVPGESVLAGLSIGILAAAAVALSSS LADVAKTGAEAVRVSFRLGVYVADISTKLETPQSDGTLSSWAHVVTEMTEAGVQDELR QFNTDTHSPELTKVFISAADKTSVSVSGPPSRIKAAFQHSPVLRYSKSLPLPVYDGLC HASHLYTQSDIDSIINSAESVIVADRSVRLALLSSQTGKPFIAKTASELFLEIGTELL TGTIYLDNVTAGIVQHLQPQSKEISSWQIDSFRTSLVLRGIHSAVEAKLSGEQRQLIR RDLVNWVNKDFGPRQPRSHASSKLAIVGMACRLPGGANDLDLFWKLLEEGRDTLTTVP PDRFDLNTHYDPTGKTENATQTPYGNFIDRPGFFDAGFFNMSPREAEQTDPMQRLALV TAYEALEMAGVVPGRTPSTHPSRIGTFYGQASDDWRELNASQNISTYAVPGGERAFGN GRINYFFKFSGPSFNLDTACSSGLAAVQAACSALWAGEVDTAIAGGLNVITDPDNYCG LGNAHFLSKTGQCKVWDKDADGYCRADGIGSVVIKRLEDAEADNDNILAVVLGASTNH SAEAISITHPHAGAQKANYRQVLNQAGVNPIDVSYIELHGTGTQAGDAVESESVSDIF APVTPRRRPDQRLYLGAVKSNIGHGEAAAGIASLLKALLVYQKNLIPMHIGIKSVINP TIPKDLERRNVGLAMQNTPWPRPAGKKRLAVVNSFGAHGGNTTLLLEDAPERVKIQGT EDRITHSILLSAKSKKSLQANMESLLSYLDQHPETSLADLAYTTSSRRMHHNMRFGTS VSCISGLQKVLRSQLDNVNFASEVRPVPNEAPSVILAFTSQGAYYHGMGRELFAEFPY FRAQVQQLDRLAQRLGFPSVVPVIENSIEDTPSSPILTQLSVVILEIALARFWSLLGV SISAVIGHSLGEYAALAVAGVISATDAIYLVGRRAQLVEERCAQGSHSMLSVRAPEDE IQKMLAAEPETASIAYEVSCCNTNQDTVIGGLTGEINDIRRTLEAKSIKCTILDVPYA FHTAQVNPILDDLETLAKAVPFKAPSIPVISPLLATVIYDVKSLNANYLRRATRETVD FAAAIEAAQDMGLVDSKTIWIDVGPHPICAGLVRSMIPSAPAMSSCRRNEDSIATISK SLVTLYLAGINPCWAEFFKPREREYSLLHLPKYRWNEIDYWIPYLGTWTLDKAHLKHG TKPTTPFSVSMSRPSALRTSLVHQITAETVEATTATLHTISDMQHPDFLEAIHGHTMN KCGVATSSIWSDMAFTVGEYLYRRLVPNTKDVHMNLTDVEVLHAQVASKTKGSVQPLV LRAHLDLSTSSMSLSWFNANGETGECAAESFATAMIRFEDPMAWRKEWARLAHLVRGR IEVLEQRASEGKASRLSKPLAYALFKNVVDYADRYRGMDSVVLDELEAMAEVTLVPER YGTWHTPPHWIDSVSHLAGLVMNGSDASNTRDYFFVTPGCDSFRLLKKLEPGAQYRSY VRMFPLPEDPNMHGGDVYILQGEEIVGMVGMIRIRRVPRLLMDRFFSPPTTTSVAGPV PPLSGETTKYHDIAQTAPALPAPTLPIVVPNPVASSTMASKAPEPAPLLATSSESSTP KESPIVTPAESEREDPVDNNMISQCLRLMARETGLEVEALTADASFVQLGVDSLMSLV LSEKFRAELGVEIKSSLFLECPTIGEMTAWIEEYC TRV_00387 MSITTTMTSVPIFESVSRFLPPGNEDEQFWWKITGRHMARMMHE AGYPEDRQVECLLFHRFKVVPCLGPRPHSDTPWYKSRVGGGAADGCPINYSWRFGTSD RRPHNRNFIEPLGALTNTSADPLNEVATKALLHDYSMTLPNVDLEAFWTFAPHYRPRI IEKADMEKLAGASLLVGAEMSPDSRTIDIKAYMYPRVPSQTSQLLTTILPQAMRDAYG EDVCLDSLNFVHDFMTKDPQGCQLVLTGTTGIDCCKLQDTRVKIYVITRNTSFDHIAA IMTLGGRRPISEELLGQLKALWYELKGAPAELPSSEQLPVQTKPDRSKNPIVVPFYFD IQPRLELPDVKAYIDVSTSPVSDLAAANAVVCHLEQHGSGQNPKAYLNVLKDITPVEA LETQKGALAFYSVAVKKNELDITSYFNPQVYKRYFAHEVQLNGQRRSVFE TRV_00388 MGKQQETILIIGAGIAGLTTSRLLTNNGIPNIVFEASTPDRSQG FAISLQEFGYSALLAALGDLPLSSLIRGVAPDRKIGGSGWIDQALRDNRTGEVLVAPD LTTTKQTIVRANRNALRHWIVDCGEDELDVRYGHKLQRIEGKLGDVTAVFENNAKYKG SLIIAADGVNSTARSQILPNVVPEAIPLIHYHGEFQISHSAFDELIRPHSGHSNILVG VGDRFNTPLSICNITKSQVHLDWSYSRTVKGENDILYCPNVPSEEAKQIPPALLEELD TLSLAEPWKTFLNSESLKAHRVFHWTTRCVYITQDDARHAGEQGVVFVGDSWHAMPIF GGEGGNHALLDGVELANAIITSTESSGRGSWDNVAKNYYGGAWKRSQDAVRRSTQRFF LLHRPATEWKEISEKKKTLA TRV_00389 MHLQGTNTYLVGTGKSRILIDTAQKNCKLANQYQKGLPVWINRI SSFLYTHKIELSYVLLTHWHGDHTGGVPDLISRNSSLADKIYKNRPDSGQNPITHGQI FSVDGATVRAIFTPGHSVDHMCFLLEEENALFTGDNVLGHGFSVAQDLGRYMDSLRDM ASLGCRIGYPAHGAVIEYLPGKLEEYIQHREGRERMMLSALTRQRVRGEGVREEGVKC GLTLNEIVMAIYGKLPPEVIEKALAPSLLQVLWKLTEDRMVGFKPGDPLKRQWFALEQ RKRNKVRGCPS TRV_00390 MEKRGPKRRQEAAHLSCELCRERKVKCDKLDPCTNCSSAGVICV PVRRPRLPRGAHAQRLRRISPEDPEAPIQIDIASPADAGTIADDDLKERIRRLEALVD SMRSSNHVSKQLIKNFQTNKDQEAQDTIESTLNRIDEDSLLIKGPRVHPSDGGLRILG LSGSSSPETGWASIIEDREVSMQLCQVYLLNVDPVIKILHRPSLEKWMLEGQRYLGLP EGHAAVESLGAAICYVAATSLTETQSWARFHTTKSSIVARARRACETTLEKSSPLLSP DVTTLQAFVLYLVARRSEDPSRAVWTLMAFAVRIAKALDLPRGIDDNFFGQQMRKRLW LAICLLDFQTSLSQPSEPLITVAEATSLFSPPRHINDSDFDPTTSHDIPDREGLTDTT FSLVSHHVQAAGRLLNFEPSVKDDGSRQQHVQNFEQRTLRLLLYCDPESTPYAWFTWH RIQCFVSGARLSAIRPLIHQHGGHPIPILDANEGTSILSLALNILEKVQLVHTDPRGE GFRWFVTVPWQPLAIAISECYICQDRSLVQRAWPIVEAAFQQHEATVSGSSKAISITL ERLMCRVRGKLLPSLELSRPGEDLALVTEAPISTSPQKVDPLVFSLDSPLLIAGQEQL LDADQSWAAWEEVIASLHYDETGRADMFLS TRV_00391 MTYLHSDYSNRSPFAEPTIFEKVSQRLPAIFRWGADRIRTRVAF FGNIPSLATRRNSRPGVKAVLQALFTVRTALILLWGLTLWWGERTVFKESLKACNWSH WEKWPANAKPHHIAFIADPQLVDAHTYPGRPWPLSSLTEFYVDLYLYRTHSLLQKYLR PDSTFFLGDLFDGGREWGTDGYSSPDPSFKSYGHDYWMKEYKRFSRIFFETWELGGKG SSLSHSGRRMVAGLPGNHDIGFGNGVQTPVVHRFRSFFGESNRVDIVGNHTIVSIDSI SYSARDQENPETGGSNLNNGETAQVWRETQQFLDNMKTLKQEALRKELSTLTGKDSPA TDPDIQLPTILLTHVPLYREPHTPCGPLREHWPPSSTNPLPDKDERNSIHIGKGYQYQ NVLTPVISNEIVAKTGRVMQVYSGDDHDYCEIIHTEFSGAPKEITVKSMSLAMSVRVP AVQLATLWNPIDTETGSPLDSGGSSTTLQNQMCLLPNQISIFIVYGYTFALTILALFL HSIYLSFHHTAGSSANTDSILPLAYRRPIDEYYPCSTEFPAASPSTTSSNSIGGAYSV TRSRAASTTIPHEARGFKQTQTVGGSGEGNNGVPDSAASTAPPGVNTRSRRPGWSVLD NNDYSEIADPSLERGLSSRARRPFQVFRDELVSSMKWVGGISLGWYLWLLWTW TRV_00392 MAASLWKGLAVLYFLLCTAIPVFATVTIKGVQEGVNQGTGQRPA RQNLATWEFSGPAFDLYIQALREFQYTDQKELRSHYSIASVHGLPYGPWDGGETTSRQ QGYCLHDSTLFPLWHRPYLALHEEMIWTHAQKIAASYPEKDRAKYVTAAKTLRIPYWD WASNPDIPRSMTTAKINVNTPTGMQSIDNPLYQYKFDPSVEKGFPEGDSFTSNPHSTR FPDANGESQNDRATRAMRSNGASLRSSIYQLLSSESDYSTFSTQALPDRSGYNNVETV HGYVHGLVGGQGHMTYIPWSSYDPIFWLHHANVDRLIALWQAIYPDSYVAQVPNGGGA YMTERGTVEDGNTPLYPFHADDSTYYTANSARYTKTFGYTYPEIEDWGVSKADLQKNV RRRVNELYNDPSKQAAKRSTIHRRAQRYDSYIQGSNKSQSPSTTESRFEAVLDDAFDM VEAIGDSISQSLDRFDEWGVNNMKKQWVIKIRVNNIHFFMGNPPKDSNTWRYAPNLIG SYGTFVHGMGMPNPSMVGGEVPLSPALAALLSTSTILGLDDTVVVPVLTKFLTWRIQD QDGKVIPTEDVAKSNGGKGLEIRVAKRDVQPLTKGDLDNFPQFGEWDMYDSITKGKVG GY TRV_00393 MQNYRQARDDLPSPVSEIMTGYPRRLSVNANGSSEIPSSNLGKT MSPKIGPLFLNFLPPNWTDNSQIASARIQLFSGEGGSNRQDVSVVSLEAVENLVTPFG PTLLEKYFRHFHPTFPIIMEGGFRSSYKERNGISALLLGAMYLVGLKWLEPESGVQTL RPPDAIGLEALVCRNLNESLSRPGLATVQAGLLLSQKSSLFTPRLMAQLVTAGFDLGL HQDCSTWQIQCWEKGLRKRLAWALYMQDKWCALVHSRPSHIFASNWAVKDLTIDDFEN TTRSAASAASSASITTLSSLSSHKFGCLFFRQFVKLTRILSDIIESFYTLEATQQLSA SHENGTHIILSKAKPLQIQLKDWFSQLPAELKVDTDCGVEAGPSGGIHLAYFATEIML HRCIVRSLSPDTADQYLTHICRSAAKTRLISAMEFVNRLRPIHLRAFWPAAAMSNFAL IGAFGILLQTTAPTQEEEEFYRSRLREYRWTLSVSRRDAGFLDFAIDTLGEVTKLVRN QPQKPAFQEFMNHSFLYRPPDSGLINRLDCHSGVRLREQSMASSPISQQGDAYNGSDE YENLPGCTRMHSRSSLPDTKSGLMSPTASASSASTSRRR TRV_00394 MEYSLDHEERTRKRIARESHKQSQDSQNLKGLRAKLFHKKRHAE KIQMRKRIKAQEERNVKSSGEPSEPSSTPLPNYLLDRSQPTTAKALSSAIKDKRAEKA AKFSVPLPKVKGISEEEMFKVVKTGKKIQKKGWKRMVTKPTFVGADFTRRPVKYERFI RPMGLRYKKANVTHPELAVTVQLPIISVKKNPQSPMYTQLGVLTKGTIIEVNVSELGL VTTGGKVVWGKWAQITNNCENDGCVNA TRV_00395 MTYLCPKFLSTKTSDNEEAEEQRRSIADSIEHPRLQPGADKMFS RAVLRSARELRTTSSIPPSASLLFASNRCLHQATAGSQFKATQPEIPPQGQTQNTERF SHLPTGSIPEVASISIPSAKPAVPPTFTSPLELTPAITALLPDLATQPSHYITAHLHA RPYLLTAGDTLRLPFRMPNVQAGDVLRLNRASNIGSRDFTLKGAPYLDERLFECRVRV MGVEAEPLRIKEKTKRRQRHVQRVKSKHKYTILKVVNVRVKQLDELLAEGAKIVGQ TRV_00396 MASPTPLPTGHRRFVPSSRVASHPSYETGSQWNYNSEHPSILQS RTDTKSRAAHADTIALGDSSDDEAPEPIAFSKSVLAILTDSLPKEKRNGASILQKDSS SRKWDGNSPRGSETSSSSRKGESQGGASSATSTSRNDGSDLITPAPRQRVVRVPRSRS SNQTPSPRASPDREYRTKHDESQYESHSPLRHRTHASKDHAGVSGERAGPRSMFRPRK SDEVVGMQSSIRVKRVGKLTGEFLNGPARRGMLPRRSEERQCFENEEQAGDSDAERGE RLQGHSPSLAALENSVGRKHSPAGLDQRQPSPVEIHTPPHQPPYHDGSYNRESKPKAP RVSSPVYPTPGLGVMSRSNPKESVPPADIQSYHIPPPSQFPANREQENEPPPTFKKSK AQHFDMLDRVDKPAIKQDLYRHSHKSTPQDPDRKPLGSMSTNVPTPHRAPPPPPKMTV LETATAAAGAASSSSSRRKKAIVTVNHKAYTRLDCIGRGGSSRVYRVMAENCKIFALK RVNLEHVDPTNLAGYKGEIDLLKKLEKVERVVRLLDYEINEEKETLSVLMEMGESDLH TVIRLKVNAEDSVFDPAFARFYWKEMLECVQAVHEYDIVHADLKPANFLLVKGKLKLI DFGIADTIEDHTVNVHREHQVGTPNYMAPEAIIDYNATIGLPSSAGKIMKIGKPSDVW SLSCILYQMAYGRAPFGHLAKQMERVLCIANPKVAIEYPSTGVGGAVIPPSLIRTLKK CLQRDPTLRPTTTQLLDRSDPFIYPPAYPEGAVPVTQEALGMAMTKIVSHCRLHGIPK DEEVIGWSAGLFQKLQASAEQGYNS TRV_00397 MEQWPFDKKGITMEKADSSSPEIRAKKIFACGFSQKQGGCFDLS MFCSFDSRNFGSMADLVSLEWDIENSRSFVSPPLTWNRWNWVDGSNPFPWQIPKSAKR QQKDNHRDKQQRRRDRSEKELQRRRQRAAIMDAKLLKTTKFPPEFAKKVDMTKVNIEV MKKWIAERISDILGNEDDVVIELCFNLLEGSRFVRGQTDSRLLVSLLRVEFDGLTINL TGFLEKDTAKFCKDLWNLCLSAQDSPQGVPKELLEAKKLELMQEQRESGGERERIVAV AEEAAVALLVELIGTTAEILPRLIDGDEAHRFTEIHLAGEQISTFPAVVEAIDEMIIE GAARRDSPLLRRPGHHHALSRQFGTVDGIGGLPKEGGGVRPAALAHLIEVTVTVTVTV AGNEPAARPMMTTPGPQAARRPEEDGEDREAEALSLHPLLVIGLRDPVVHLHYDLRRG QVARVIGEVGALGGDPLCTNKGLDVMYLELILLKIAEVTTTAGLAAAVAAVAAAVAIE REAGVEAAEDTAEDSGRPAAGHRRAAHVAAAAAAAAVAGGGINLLKDMPQLDAGRART VLPLHHLLKSDRE TRV_00398 MLKQGLYHLLASQLFGALVLANGRVSIDQAEGYGSQRECSQNLC FEGSLGSNRIGSELGCHPPLMDDCFCRLDLQASATSFLSSCVNKYCESNTIDLTHAIN IYTGYCANAGYPSGGATPSSSSSRQTTTESIVFIYLNLHILPPIRFKSRVTDCYTIRN PNTDPHCASSLLFMGDTTIHRHHINPPAKDEDKLRSGDIAGIVVGILGFLATVAGVYF SYRMLKNKKQRQAMSPEVAYVY TRV_00399 MLLHVSMQFNELCRSLNVLVLRPAMSLLDFMGVDQSERICVILC TSASGSTCCPACPVEAPWKLGLASAIGSPQLQGVNEKAIRPAMASIRANCRKIVCIGR NYADHIAELNNSKPKQPFFFLKPPSSLLIPGAGPVLRPKGVKLHYEVELGLIMGSRLR DHDPKDVKGALDSIAGYVVAIDMTARNVQDSAKRAGLPWSIAKGFDTFSPISSLISKS AIPDPHNATLHLEVDGVSKQLDNTGLMIYKIPRQLADISRVMTLDPGDLVLTGTPKGV GEVTNGQVMTAGIRVDGKEIEEGRLKVMVKDREGRYEFAEN TRV_00400 MSSQGRQPSSLAPVIQSKQSPKNPNVSSPASLLWAHQLHREQNA LSTQILELESSLKSSIAVINDSVLAKLDAFTGQINHLRTEIEQLRRDRAAEAEKVVRS VEDRLSEKLGIIDERLSTAFARDYEMTSEMVREEIGRLRDEIVEAFKVAVAESRQQQQ QQQVDILQPRQRSLSPVLQEEACLNPETEQSTLVEPNPLPQRETQPVIVWQDDVQPLS TLPCTSIEKPLVQPRNAVNIDIDTRGTDRLVHTGNDTHPDPPELIMQGPILLSDFLEL GPAYITLGYDESQVAMALWKGLNDPLLRRLVAKEMPEKYDRWTCTKFGEVVRRLDRHK DGIDKEAEMIAVKPTEGRKKKRRRVISVVWPTDEESG TRV_00401 MADKGLEEIPDGQIESNYDEVTDSFDAMNLNSELLRGVYAYGFE RPSAIQQRAIMPVIKGSDVIAQAQSGTGKTATFSISALQKVDTNLKACQALILAPTRE LAQQIQKVVVAIGDFMNVDCHACIGGTNVREDMKALQDGPQVVVGTPGRVHDMIQRRV LKTDHIKMFVLDEADEMLSRGFTEQIYDIFQFLPQSTQVVLLSATMPPDVLEVTTKFM RDPVRILVKKAELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRKVD WLTDKLQARDFTVSAMHGDMEQAQRDVIMKEFRSGSSRVLIATDLLARGIDVQQVSLV INYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYSTQIEEMPMNV AGKLFPSNSMRRRQRKKTNNYLSRSYLITSLFFTFGIPTPFQNSFFLSSLESIMPFPY GISDE TRV_00331 MQQKKQRRTRKKQRADAMRQPVEVHERRAWPCSVVQRRASSTSQ RARRQGKPDSRQGLDLMPTASLPPALALALCSLLLALALTLLLCAMIQPHQALAWLFL TQNLLLLLVSSAARRFLSRLFPTHSPATRTADTPPARRRDCQRHRQRQRDAQHQCSLP FCRLVDRRALPGLLCSLTYFLTSSARLLPYYGLLITSTTFTTNQPATSSTAATITTDT NTFPAPALLMNSFTPLSSPSPSSVSSSTSHSQHEDPQLTRALEDSRQPAPPTTSAPAP APGPPNTASSTATTASLLSAASSIVPQKPPKAKMTNRLSRMFSQTRSSAPSASVSPNR QSLDPSPRQNGDSTIASTMMMPPPSSSPATGPTDRKADQKVGEKKPDGKPADKRAEKG KDAVQPIKRFELLPDGTHKHTLKSARRQEKLSDMLRDMIGAGKKKEDAAAAHAHPPGQ ADDQQQLSLVSTWVDQLKNEKEKMAADKKGGPNSTASLVDKYGKCHEIVGRGAFGIVR ISHKIDPKDSKTEHVYAVKEFRRRPQETVKKYQKRLTSEFCISSSLRHPNIIHTLDLM QDAKGDYCEVMEYCAGGDLYTLILAAGKLEVGEADCYFKQLMRGVEYMHEMGVAHRDL KPENLLLTTHGALKITDFGNGECFRMAWEKEAHMTAGLCGSAPYIAPEEYVDKEFDPR AVDLWATGVIYMAMRTGRHLWRQAQKEEDEFYDRYLEGRRDEDGYAPIETLHRARCRN VIYSILDPNPGRRITASQVLKSEWVRDIKLCKAGEEGF TRV_00332 MLDTLLPHAYVKETLNAQSTYTNSQDDNTAEHRINQYLIKQEIG RGSFGAVHLATDQYGQEYAIKEFSKSRLRKRAQSHGLRRRPGRHPGVQPAGVGFNSPL HRHPSGIDEGENGNSLYLIKEEIAIMKKLNHSNLVSLIEVLDDPTEDSLYMVMEMCKK GVIMKVGLGEQADPYSEENCRCWFRDLILGIEYLHAQGIVHRDIKPDNCLLTNDDVLK VVDFGVSEMFEKTSDMFIAKSAGSPAFIPPELCVAKHGEISGRAVDVWSMGVTLYCLR YGRIPFHTSNIFDLYNAIRNDKVELPGETNEHFKDLMTKILEKDPAKRITMPQLRAHP WVTKDGTDPLLSEEENTATLVGPPTEEEMDTAITRNLGHALIVVKAVQKFKRLINDGS PLQMESILGEGEESHFVQPPLLMGEEEEEEFSAVLHHRNMQEHVYPRRPTQPKRPSQA TTDNSPLVSTPPLEICTSPSPQLQSTPRADTPMRQTGSEGTRGHARDPLEEEMPFLHI GPSNFGPEAELNQTKQDDSPLESGGIPIPARPTLTESPMVVSESPPAADIDIYETAYK EIIERITSRSRAAQSPTPRVYLNRRVDKKATASSGLWSQVRRNSKLAGVPSPSTATPV PGSPPPRPSPIKRFTAAAASFAVSSGRQSPSPSPLATSVKVNDVVDSDKVVESSPLDT SAAVIETTASPTALSPVDDAKKDI TRV_00333 MEYRDRREKRGSSRTERSRSPERRLVQEKDGAQPTPRCASQVNK KLISSILKRIPSCSEGFLLQGTDVPPLKAEECPGFTGVKIRVLEKDPLDAAVELANNT RSGSDTSSNAVTAAGDTPATIPDHGRTCLLNTAIAQLPKDGCGFVEKLKQEKSLYERS TLSKTLNGDIYPVPRKTAVYSPSVVIFGDSQRPTRELKSIEDPASLPLVSVISTPAIV HPNIRAVRTYVVKADRKGVVRRIPSYEQLYHFKSHRRAVKESIRLLLRISASKKHRRI VVSVLGFDEYMHPKEDSANCWAEVFGEPEFQGGWWTDVVFAVLDPPPHPERNYGQGHI YINKLDGLAVSPP TRV_00334 MPDEGDIPDADIDVSTDGQYSSLAPGEETTNAGSTKSLSEHAYQ VVEENGRQYCDNTYFMPNDEREQTRLNIVHQMYLIILEGRLTKCALPQSIKRVLDVGS GPGDWALAMGDEFPNAEIIATDISVFDIQSVGICPPNVSFQIDDAQGEWTFNEPFDFI HVRGLGGGISDWSLFYQQAYQHLAPGGFIQVADSALLTGDITLPNSPPNSYLSIYLGA LGSAAEMAGYPRSFNHLRSAAFEAAGFTDIRIYDTNIPVGTWPTDPQEKTLGKMTLIV LFESLEATSMRLLTKYLGWTAEEVIDLCKKVQLEILASEGMGGLVKIVVARKPQQLLR PC TRV_00335 MELARLLLSFHAPVRPKQRCLVAAGLCAVRRASFRPNPWQRRSK RPIQRHNPSNTPSSRGQPGKSSAGSQAGGRGDASSTSSGTNPQYNTLLAPVHIPEDPD GLLKENHPSSQILANSGLVVQRQLEMMNVLLLVILYPFLTKYRKICDLNQWLTHVPLS SGFEQANRYVILDAHGNHVGYMAEEEKGMGSMLSRQWLHTHRPFVTHVFDRNQNEVLR FHRPFSWINSTIFVFDPHNNTAGTHAPLIDLQHNVPGSQAGSVKVSPLEHSQMRVIGA AQQRWAPLRRKYNLFLSHPNTPARRIPAGIQQPAAPPEKSLHQFAHVDEPFLSWDFSV RSAESQLLGSVNRNFAGFAREIFTDTGVYALRMDSASMAEEIQTNGTESLKSTPAPSM TLDQRAVLLATAVTIDFDYFSRHSSGPGIVPIPLFGLGEGGAGAAAGTAAGGAGAMEG AAAGAVGAGSIAGYEAMRRGSSAPQDPAQEPQDMEGQQAQRHGTTEQGAGEDVWGEVD QYPWEQRNESPFPTKEQDAWPSGGSDLWGDGGDGSDGSDVDDFFLMPACCILDKDGGF GSFQHASNDTFNNVNLQRQTRENDTEDTKLIASIS TRV_00336 MKKTVDSEQRISISTAPRSPKVEERVRSSSSSRTTGYTMLKPQL RAPVRLLEGVFAQCTAQTSSRSHLQVSRALTSSLLQSRLRPQPSSIISQLGQVRYASH NSQGSGANKHAKNSPGRRLGAKKTGGQAVVTGNIIFRQRGTKWFPGENVGMGRDHTIF ALEKGYVQYYRDPERHPKRKYIGVVFNKDDKLPTPKNAVTRRRLSMVAVPRKTEEAPV EEPADSSKPVKLTLVASSSTGTYRSGYMHREANWEIGRAADKAGITVQEWKRKDRWTA WRRKLEKIKRVAQMKELKKKKKKIKVKA TRV_00337 MLSNRSLAVAEKPANSSSSSLIQQSGQSLYKTAMSSMKSSGVQR PNTTPEVQDREMSPTGSALGDTQPVTQSVYQGLLNGKDIDGSPSEGPSINAIEAAEGG TTQMTLHEGDTGHVDLFSDADIIADAANPDEDRGSQASDSGPSSPTRYEPFPESQRFN TDTPAIHHGHMSNLASTTTPSTLRNPFGPDNKTPGSVMALSQLFNATQATSSPSTHMP RLELPSDMPSPNIPIQRPMRSTVPFSPSFLGSSMSRPDPIEPEANYISMKESQAEREK QLSRLKSNSNLVNGDDDLFQAEESAIERRIRQMQSKNEVRKQFENIKAPARVSTRKNS KRDVSSSPPLTSSHTTASRLKALQSVMEDNGREEKVLDTDRGEDSEVETEQEDVCMIQ PLRSSQRTQSFAEEDKENVDSGPVQHSTLAVSTHSALSSVLDLDQSPLNNRRSNVGGL RSSAETSSVQPIPNTKRGGRPPWVFQPEKNGNPREGVLKSGTLRNEPENNLLSRRSTM IETDMEPRKLSEQIPSSPPLKAINNVINSQHDAEYGSPDSQHSPSPLPKAISLKKYST PHNRDTDTPNINNESSITSRIFETPSQSAPERRKLSQAIPETSSTGKRHDFVEPTSNT VNPAKFDDDLDDIDQDNNLPDPPRFRNSAILKSLGSQELAASRTNRRVQTILSSPSGR QRRSMTAIASDESPRPAVSDIPINEIRLLNTDDRVFQSMMATVDSPIKRRRGNDGKRI QEILQNRRHNLTNELNNDIPAYRPISPIGPIDDDPPKTLQRATKQLHEPSKPPVDVDT NDIWDFQPSPQRPVEKPEPTPSTGLPILRKKAQKAEAPHSRNKRTVIDAVVIHLPSNP SSSTPLEPLSHTPSSSSLRAHTERSDSILDLNSDTGNLNENVIAANHIFAFFNGRPAG YYPAVCLGSSDGVTSQQLLVRFDDSEEVEKIDIRGAKSLELRIGDIVKVNHPDVPKAP HYVVGFGRKLQSPSEGAEVSAWDRQMSDIYGHTTVRLKRKLTKVVSKGKIPQAITVPI SKVYLDQNLWSRFVERPYSTESLPKNPQAAVPQAMEQSMHTRGTQAEQTPVVEPGRAG IFSGMTFALSYTGNEKRGKHIESMLSENGGTILKNGFDQLFETSSWELILPTAKDEEL HTEGPLMLTQRAKEMGFTCLITDQHSRRAKYMQALALNLPCLASQWVFACIEKQEIVE WEPYLLAAGSSIFLDNAVKSRILRPYHPSESKFLDVFTTRPKVFSDQYMLFVMGRKQV AQQRQAYAFLTCALGAEHVYPVQTLQTALDILSQADELHPKPKGWVHGRSKWDWIYVG DESAATTARTALSKLPSTILKPPARGRARSVKPSQGQDGNDRKRTDESVKNIKILDNE FLCQILILGRLFER TRV_00338 MTSSTSELKTVCPEKSVPHNGAAKGRPRRPNYKHIHRFPLPITV HPLPPLIPHNPLSLLSIALSYLTFYISPPQPITCSAYFDACTSSIQVTDSKTIRILWE MGFFGKGSLSRSEPSWLEREKKRRGLIGQSTSEEATRMRRVERRQFKLERARKEKEAI AEQLRAEGKLPEGPASDISTSALDDEAARETKTAEGSTSAPNDELAGKTATNRAKSVR FSPTVEVADINDDVEVEDEEHLQLSSEDAFFLSYGLGVLEVYDDTRSTVLKPQALLAL LRQHSYFPPRAPSAAPEPDDRFMISYVAYHHFRSLGWVVRSGVKFGVDLLLYNKGPVF SHAEFAVNLLPSYSHPYWKETEERREYVAAKLNHTWWWLHCVNRVQAQVKKSFVMCFV EIPPPATGSKPDEQDIGELLKTYQVRELAVKRWVPNRSRD TRV_00339 MQYCLRLSTTLEQEKTCWKEIIMSEKVDTKEPEKPVHTIILDAG PLIKNIPSVSTLLSQSHVLVTTPSIVSEIRDPEARRRIETLYLPFLTQRTPKPTSLKV VSEFAKKTGDREVLSKNDLEILALAYEVECERNCGDWRLRREPGQKGINGSPPAHLIA ARDAAKDGEKQPEIQEKQEDIEENQDVAATVEEQQPDIAVEEVTQKIENTTIEPSLEA APEESASHLPDKDAETSGNDNGEPIDDDDEEQDAIPIDESDSDPDGWITPSNLKKRQI RDAALGTAAPETKVMQVATITGDFAMQNVLLQMNLNILSPSNMQQIRQLKSYVMRCHG CFTVTRDMSKHFCPRCGQPTLNRVSCSTSGKGQFRIHLKKNMQWNNRGNKYSIPKPIA GTANTKWSGVGGGKGGWGMGLILAEDQKEHIRAVTEEERRKKKGRDLMDDDYLPSILS GDRQRAGGRIKVGAGRNVNSRKRR TRV_00340 MTKKVPSIASFGSSSEYLSQSQASLQYRPRPLDESIHHEHTEFN PGAYTRPFCEFMTKNPTIFHAVNAFAEKLEAKGYIKLTEREPWCLARGKKYYVTRNDS AIISFAIGKHYTPGNGMAIVGGHVDALCTKLKPLPTVPNKAGYVQLGVAPYAGAMNST WWDRDLGIGGRVLVRDPKTNKVERKLVKLDWPIARIPTLAPHFGSAAVGPFNKETQMT PIIGVDNSDLFKGPELSTKDSYGGDLGTDNFTSTQPKGLVTAIAKQLDVDHSTIVNWE LELFDIQPAQVGGLNKDLIFAGRIDDKLCCYSAHEAVLASPDHMSSGIIKMVGMFDDE EIGSLLRGGADSNFMSSVMERIIQSLCRESYGPNILSQTVANSFFVSSDVTHAVNPNF LNAYLENHSPRLNYGVAVSTDPNGHMTTEGVSTALFQRLAVKGGCKLQVFQIRNDSRS GGTIGPMTSARIGMRAIDVGIPQLSMHSIRATTGSLDPGLGVQMFKAFFDHFEEVDKE FADF TRV_00341 MAFTRQVWSLVKKNFLLALVRPYVTTPLRAFVLPVIFIAFLSFA RNLFVVPSKYGIAPSTPILSLDEALKAVSSSRTNVVFVNNGFEDGDIARVIERVSIPV RASGKSVTVLSSTNAVQDICKSSILGTSSCVAVAVFASSPTEGNGGRWNYTIKADGAL GKNIDVESTTNDAQTYILPFQHEIDWAIASVNGTHGPVNKQVFQYPYTSKSEEERIKD NRIRYTGTIISILGIAFLVGSIGITYQLTGIVATERELGLSQLIDCMIPTTSPWKSQA ARFLSIHLALDLIYLPGWIAMAIILKAVVFTKTSVGIQIIFQLLSGLSLASFALFGAS FFKKAQLSGITVVITSLGLGIIAQVVHPSSSAAVAILSLLFPPMNFIHFVVFMARWER QDQATNLVKSAPESPWSIPGIVFWIFAIIHIILFPILAAVVERLLHGTGSKCRKSIRS DLAPYAVILNGFTKEYKPSWFHRTIAPIFGSRRETVLAVDNLNLNVNKGEIMVLLGAN GSGKSTTLDAISGVSKTTSGEVSVAYPEHAGGFGMCPQRNVIWDKLTVQEHISIFNGL KTLGKADSKADIAELVAACDLDKKLNARAGTLSGGQKRKLQLAMMFTGGSSVCCVDEV SSGLDPISRRKIWDILLAERGKRTILLTTHFLDEADVIADSIAILSKGVLKAEGSSVE LKHWLGSGYRIYVYQGLTSEKLHLPETLRTEILHDQVIYTVADSSQAARFVSQLEENG ITEYRVSGPTIEDVFLKVAEEIYKEAENWEDDGKAGKGSDQTPHLLTGRRIGMAQQAW VLLCKRAIILRRNYLPTIAAFLLPIIAAGLVSLLMKDYELPVCTGPAAFSQSNVNSIL NQVKLKLVIGPSSKLSAGSLLSLGGGLGGAGGGSLPANVTDALREGTHIVNSLREFND YIAMNFRNVTPGGFYLGDDTSPPTFAWQGNSGPALASILQNQMNNLLNNVSISTQYQI LEVPWISSASSTLQLVIYLGLALSAYPAFFSLYLTIEKLRHVRDLHYSNGVRSLPLWL SYLSFDFGIALTSTVIMVVIFRAVSASWYHLEYLFVVFFLYAITSTLFAYIISVFAAS QLAAFAFAAGGQAILFLVYLIAYMSVVTYAPTDQVQNLLLVVHFAIAAISPIGNVIRA LFVALNVFSTICRDKEIVSYPGDITAYGGPILYLILQALVLFGVLLFWDSGLSFRRFT KKKQSDGEKEVNGDPNLGNELQRVSSSNDGLRAVNLTKSFGKFTAVEDVTFEVPRGEV FALLGPNGAGKSTTISLIRGDIQPSNSSGEIFIENIPVTTQRATARSHMGVCPQFDAI DQLTVLEHLRFYARIRGIPDVEHNVREVIRAVGLTPYGNRMAMQLSGGNKRKLSLGIA LMGNPTVLLLDEPSSGMDAASKRVMWRTLESVVPGRSLVLTTHSMEEADALASRAGIM AKRMLAIGTTDDLRRRHGNAYHVHIVHKNAPHTSMADMEEIRDWVVSTLPGASVERKT YHGQLRFSLSNSSSLSSNGTSHSPMHQPDEDDNIYTDSPATRRSNEDNDSGKISTSRL FSLLEQSKERLGFAYYSVSQTTLDQVFLTIVGEHNIKEEGE TRV_00342 MKSKQGDRNEAWASEIENAGPLEAPQSKWKVSKSGDGDTALALF TNPEDFHEYVDPKETRKLLWKIDLMILPYLAVCYAFFYIDKDLKLSWWLVDDFELCSS LWNSRRSKSQRDPIQLAQQSLLLWIFGMGTSYKFHVAEVSGWGFFLILQAACKNFTTL AVLRTLAGAAEACSDPGFLLVTSMWYTRKEQPVRLGLWYTANGFGIAIGGLLGYGIGS IKGALPSWKYEFIIIGALCCAWGIVIFLFLPDSPVTAPFLNQRDRRRAVERVRSNQTG IENK TRV_00343 MASNTVGANPSKSENPSETSDSDTIFASSDYERERENLRNANRQ GLTQPTGGVDIQKAEEEFAVLSKELSRISEKSKRPFIQEQNGLNEKGSYDVESGSESK SAFDLEAALHGSREAEAAAGIRPKRIGEEIKILKNFRGVAKPGEMVLVLGKPSSGCTT FLKVIANQRFGYTGVDGEVLYGPFDSEKFAKRYRGEAVYNQEDDVHHPSLTVEQTLGF ALDTKTPGKRPAGLSNLAFKKKVIDLLLKMFNIEHTANTVVGNQFIRGVSGGERKRVS IAEMMITAATVLAWDNTTRGLDASTALDFAKSLRIMTNIYKTTTFVSLYQASENIYNQ FDKVMVLDQGHQVFFGPIHAARAYFEGLGFKEKPRQTTPDYLTGCTDPFEREYKDGRN ETNAPSTPAELVKAFDESRFSEDLDKEMALYRSTLEVEKHIQEDFEIAHHEAKRKFTS KSSVYSVPFHLQIFALMKRQFLIKWQDKFSLTVSWVTSISIAIIIGTVWLKLPATSSG AFTRGGLLFVSLLFNAFNAFGELASTMVGRPIINKQRAFTFYRPSALWIAQVVVDMAF SSVQIFVFSIIVYFMCGLVLEAGAFFTFVLIIITGYLAMTLFFRTVGCLCPDFDYALK GVSVLISFYVLTSGYLIQWHSQKVWLRWIFYINPLGLGFSPMMINEFRRLTMKCESDS LIPAGPGYSDIAHQVCTLPGSNPGAATIPGSSYIGLAFNYQTADQWRNWGIIVVLIAA FLFANAFLGEVLTFGAGGKTVTFFAKESNDLKELNEKLMKQKENRQQKRSDNSGSDLQ VTSKSVLTWEDLCYEVPVPGGTRRLLNSIYGYVEPGKLTALMGASGAGKTTLLDVLAS RKNIGVITGDVLVDGRPRGTAFQRGTSYAEQLDVHEATQTVREALRFSATLRQPYATP ESEKFAYVEEIISLLELENLADAIIGTPETGLSVEERKRVTIGVELAAKPQLLLFLDE PTSGLDSQSAFNIVRFLRKLAAAGQAILCTIHQPNSALFENFDRLLLLQRGGECVYFG DIGKDANVLIDYFHRNGADCPPKANPAEWMLDAIGAGQAPRIGNRDWGDIWRTSPELA NIKAEIVNMKSDRIRITDGQAVDPESEKEYATPLWHQIKVVCRRTNLSFWRSPNYGFT RLYSHVAVALITGLTFLNLNNSRTSLQYRVFVIFQVTVLPALILAQVEPKYDLSRLIF YRESAAKAYRQFPFALAMVLAELPYSILCAVCFYLPLYFMPGLSNESSRAGYQFLMVL ITEIFSVTLGQVISALTPSTFTAVLLNPPIIVIFVLLCGVAIPKPQIPKFWRVWLHEL VPFTRLVSGMVVTELHGQEVTCTGLEMNRFTAPSGETCGSYMEKFFANNGPGYLVNNT TNMCEYCAYKVGDEFYRTFGMSFDNRWRDLGIFAAFIGSNLLLLFIGVSIMSFVKHGE DLSLLMSILVSLDISISTDDKWFPPIDTPRAKSFHLLFGCIYSSSSFIDCLILRLFV TRV_00344 MASAVFFLDLKGKSIHQTLLARNYRGDIPMSAVEKFPILLSEAE EESSAVPPCFSSEGVNYLYIRHSNLYLLALTKRNTNAAEILLFLHKIVEVFTEYFKEL EEESIRDNFVIIYELLDEMMDFGHPQTTESKILQEYDYISHFRIYDWRAVTNAVSWRS EGIRYRKNEVFLDVVESLNLLVSASGNVLRSEILGAVKMKCYLSGMPELRLGLNDKVM FETTGRATRGKAVEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECLVESHSGSRIEYMLKAKAQFKRRSTANNVEILVPVPEDADSPRFRTNVGTVHY APEKSAIIWKIKQFGGGKEFLMRAELGLPSVKGDDEHGGGMTGGFGGSMGGAGQGGKG KRPINVKFEIPYFTTSGIQVRYLKITEPKVRLPLFISTSILLYRPLYLSGRTHICVTT CHPLVGFVQHSVPRADCQAPWPRLTCCTFPRSRAPCVPSVTFTSSHELWLFVIKCVAF SLLANTDLKSPHNDNFEEKYNAVYEWWMQSIQGIPSLNYFIDLSGYKHNSISYELRVT ENPTNEVCASDSCQDAKVAGNNQGNVHSSKGAGGEWDGRERERRKEKREKRKLITCRG LAPLVVT TRV_00345 MKGNERKIWLANSIYTTGKQIILLQASLALVQNPTAYAQPLKPD PFERLPYDSAALKGLEVLSQNTRSQLTRKKEMSALARHYGVNEVMRWVPRMQHDLTES GVDTIYTHAMYAIIGAIALEHGSKVANEIVRDRILKPLGFQIGGNA TRV_00346 MPVTMNFSSGPRGIQRRTSGRSSAQGKPATANINTYAEPEAFNQ DNFSVTPLSPRPTPPNPPPSPV TRV_00347 MFSNRLAQQSLRRLATQHPSAFRNSVSKFARPAAIATGNYAQFR PATSSAAPSGTAEPNNILAKQRLNRPVSPHLSIYRPQITWYLSSLNRITGAILSGGLY IFASAYLVSPLLGWHLESASLAAAFAALPIAAKVVMKFTMALPFTFHCFNGVRHLVWD LGKQLTNQQVITTGWTVVGLTLSSALALALL TRV_00348 MTKNPFGVNLTFLPALTPPDYPAYAKVIIEEGVRIVETAGNNPG PIITQLKKAGCTVLHKCTTIRHAKSAVKLGVDFLSIDGFECAGHVGETDITNFILLSR ARQDLGVPFIASGGFADGNGLAAALALGACGINMGTRFMCTVEAPIHNNIKEAIVKAD ETDTQLLLRRWRNTSRLFNNKVAAEAYKIEKESQTGEFSELAHLVSGKRGRQVFINGD VDYGVWTAGQVIGLIRDIPTCAELLTRIEKEAAEVMAATNKLYTPATQSKL TRV_00349 MPLFASLFSRRRSRQTREKEAASSESASNDAVEASAGQKNGTNH KAPRVELDFPHINPSSATSQPAAENEPRTPARGDDDKPVNPASVELPVSPQNEQPGSP GIVVSKKQSLMSVRSDGQQPQPQFFKEGKRRARRMSVFSSLRSRPSTAMSTATEPAPE LQMSPVTSHVNRPYFPPDPKFQLDLKPDAFGKAFTGSSLPFVEEMFGNNGGENNNSVG LSGTSTTTTAATTTTSSLDPGNNVSRGWLLPDLKSVMDGDTLNTNHTNATLNQSSCSA DNDGTANQTTPHANSEKIEETSSESLPSPSSLPPLPASPEPGSPKSPSRSSLKRLLSK RISPETQQGSTLADTNTAAPNGVTA TRV_00350 MKFSIAAAVLALASAVVAHPGAGYVSTPEKEANFQQNFQKFVTA CGNGNQVSCCNTETKKVGAPLTAGGLIPILDNLNLEDFSLLKGCSKVDVAAVIGVQDL LNSNCKTQVSCCKVGDTNQVGLVNANVDLKCAAQNII TRV_00351 MAEDAPAEPTRPADEQSRSGDEPDSLKTEPTPKKLEDDEVPGKS EEYEKKKDEIEEGEVNPGDGKDGSHPPLPDEEVPPLPDEPVPGVVEDDGWDAMWDDNS QAYYFYNRVTGVSQWENPRVPDATASQPGASGANEDKMQQAPKQPRAAGGYDPSIHGD YDPTAWYAQQTEEGPSASTAAGAEDPNILYTATGTFNRFTGQWQSAGLRPENFNDENK SYRQLNAFFDVDAAANSHEGKSLKAERSGKKLTKKELKAFREKRRERKEEKRRAWLRD TRV_00352 MATTDVVSLPFEAVDDLIYDARAGDLESLKADITKFSQEYNCSP ADIIKAAIDTEDESEGGTGSCLLHWPAANGNAEILNYLLSLLKTENGAQEPSNLQLIN HKNHSGNTPLHWAALNTHLECVKALVEAGADIILKNNAGHDAVFVAEQSDWNATATTQ EEDKEKDVAEDEANVEEAESSTPRETTKGQQVVEWLLTCEKGSDMEKSAVDDADVPMA EDDAGPSKQ TRV_00353 MVAESALVNAVTTCSQHGQSLWLVAAGWLAIGCSPVKVAINANK LFWYRFRRYSRPLPKATRAPSDPNLPHVTIIRPIKGLEPYLYENLSSSFQQDYPKDKL SISLCLSSRDDPAYPVIEKVLARFPDIDARLYLEPKYEDHELGPNPKIRNMSQAYREL KGDVVWVVDCNVWLGKGVCGRMVERLCGLDSKGQKYKFVHHLPVVVDVDSEDAARDFE KNAIFPPAAAMNGNGALKSAPGSVVATKPAPVSPIEYISSIGGGRLEEIFLASSHAKM YCAINTILVAPCVVGKSTMFRRSHLDQVTKNHVIPSRPYPRKPGLDSLSDNICEDHLL GERMWNGKLEEEEEGQPSEWGKHDLVFGDLAIQPVTKMATSSYINRRVRWIRVRKFIV ILATIVEPGIECFVCSAYLAFGITTGIPPLLSGSQYCSTYLSTWSAFGLVWGLSAFVW MLTDFFLYRTLLSFVTIEVDENTPRFARPPAPESIARRPFLEWFLAWLGRETLALPIW VWSVFGGATIVWRDREFRVGMDNVAHAVGPASKQRSCNGSLNNDPNGQSQRSYGSDDS CEVRRRTPIENLTKMGMKTTAAAMDLDETSRLI TRV_00354 MTVGDDAEKAGVDSDMRIRYADEEQPQESRRRFLHRKSSVGSLY IRSARRIVPPETVLPIAYRTLSYNVEESIQKANETPLKGATQAVDGISELDWHLIPID NIVSRLNTSVSQGLSADQARKRILEHGKNAPTRPHTEWFRKIMGYFFGGFGILLLTGC ILVFIAWKPLGDPPALANLALAIVLLAVFFIQAGFNAWQDWSSSRVMASITTMLPDDC TAIRDSATVVMSAVELVPGDIIKIKQGNKLPCDIRFVEVSSDAKFDRSILTGESEPVD GTVESTDKNFLETRCIGLQGTHCVSGTATGICIATGDKTVFGRIANLTSKPNHGLTPI QKEILRFVLIIVSFIASVVILVVVVWAAWLRKSHPNWINVPLLIVNCVSVGIAFVPEG LPVAVALSLTIGAKIMRKNNILCKSLATVETLGSVSVICSDKTGTLTKNEMFVTDCFA GGHEYRADDVKKFLGTACEGSNSPNNALERTQVLGALCNAAEIDASTLKLPAQSMKIH GDPTDQAILRFSESLEPTKELKMKWKKVFEIAFSSKNKYMIRIMAPVPSADTENAEKH TEIDGSIAALSDMDRESIERAKDKWSREGKRVILLARRDVTQAIEDSLKMAQPEKSIM DYARSDLTFVGMWALIDPLVTGDFKLTAQAIAVDCGIIKSSNTVVHSIDNLRRDFEME QHDQREQRSPLVISGPELITLNDKQWDQLCTYEEIVFARTTPDQKLRIVKEFQSREKI VAMTGDGVNDAPALKAADVGVALGSGSDIAIEAADMVLLDSFAAIVEAVKYGRVTFVL TFCKGPVLANTFLGIPQALSSFLMPEMDVLLRPPRNQKTDRLVNGRFILHAYGFIGVY ECLLSFVMAFWYMSRRGIPFSAMLLKFGKMDPQYDPAYVTEISNKASSIYFVNLVILQ FFNLLATRTRRLSIFQQPPIFNKETQNPLLFAAMLFSLMIIFIFCYIPGIQNTVATTT VPVEHFFLPIAFGLGLLLLDETRKYFVRNYPKGLLAKLSW TRV_00356 MSPVTLEEALSQAVRKDVNLVVRHVAGAPTPCPPIFSAPIGEPD EPTICKQHFLAVSINPGAEKETKQDTDNEDSANSDELLVFAIEVLVYTTDKLSTLFVS KADSTGYLSLLNHDQNSPTAYSLTRNVLSTFLYHLVRIYQKPGITLVLSLFARAQDQY LFPGSSEHKGKHILDDRGLIKWWCRVIDPIMRSLEQGNNDRSPVNSPDAATVPTKQSV ATHLIVPGCDTFETKNFIPKSGGPVNTPGSRWRLDYPLHQICSYPNAPPRCLVPRFPD DPKARFLIDLDDEITEENPPHELKNSGRWHSVKTLDQFWEMMSYRQECSAGRLVGFLW MVVKPSTDPVKVSDPTSPKGSSTVENQTVKSDPLANRESPAEKLSANHKHGIDNSIIE ISNSDYKALADFLLDLDFSNQSDATANTMLWIEELSSLSGCNKKGLRITGTAAPAGPP PPTVTSAPPVSTNVLGDGLIRKRKRQPSDTAVVPGDNTVMPAHGPSSSQTARDGSKEH TDIPATTVTQEQISNEPSVNVLGGNLIRKKKK TRV_00357 MPLPPTIRRIVTGHNANGEAIFDSDSELCPYNPMTPGTPEPAKE GEMGFVTVFRTAGIPDDNTSPWVDMHNKIVNLVEKDNATLRIVDFAPGLPGLMHRTIS VDFGMVLNGEIELELDNGVTKVMKQHDIVVQRGTIHSWNNKGTETVRMLFVLLPADPV KVGDKELPDTPLPVSFSKDAGEQ TRV_00358 MKSFILASAAILAASLEPFILTTTTGEIFLSILLALSAGCISIE ADVFLFNDTLYVGHEESALTKERTLQSLYIEPLMNVLKKTNPKSPFVSGPTRHGVFDT SSGQTLYLWIDVKNDGEKAWPHIVKALQPLRDANYLTKVQNNETFVPGPVTVIGTGGT PLSQVVSAADRDYFYDGPLKDLTGFTSLISPIASTSLMEVVGDIKSDSENPLNPTQLE AVRKQIKAAKDKSIGVRYWETPGWPIRLRNELWRTLWKEGVALLNADDVNAAKGYF TRV_00359 MGASESKLVFKQGIFRLSEQANIPPDDHYWTGFWELPESVEDVF SLFSPTDIRRTRDGSLSNLETLLLAICSRLVALRHHPSFPDPELAPARDALNCIRILT RLLPYVYEADNLEEWEDEFFWRRRRKMSRKAQLSSRVLFDESRSQEEDDDEGGVQTGR RGLSEEDFEDVKPLAEELLDTLLDLLFFSGFTIPQIPPSKGKVVYSIWQSGVGCNTAM GSNKELESNRTEVLRLLLTMTGQSMYMQPSTYLLPLPFSNCTIITNASQGLLPVKGVK SLTYLVTCGDKKLVMSLLCSLLNTTIKYNPTPWRLPYDHVVWKDPKQILVIYCLQFLL ALLLYPIPEDGRGSLPKNYYQHFLGRLHQPQDFQFLVEGMTRILNQPMQATSSYLPGS QKSIKWAPEMLMLFWELVQCNKRFRSFIVDSNRAHDFIIICIFYAIEYKTDPSKNGLV KMCIFLLQTLSVEPNFGKNLFRRFEAQDTLPPSIRLENFGGTYGDFLIISIHTLINTS KGKLDAVYPALLAIINNVAAYAQRLSPACASKVLQLFVSMSAPSFLLANETNHLLLSS LLEFINTVLEHQFSKNAYLVYVVLKSRRRFESLRAFTLESGQQEIEQQNQLRKATSSS SGDRTHSRDSDSNETGSGRRPLNHVPEEEDGTFAIGDDDSDEDGDGEQQTSRITSPTA EGFRSPPISPLDESVPLQLRGLSEKARGKMPAGQPTFSRQNSMTSLSSYAPTVTANAR GFVPTSSWIESWVPELPLHTILTIISSISHRIPAAALESSLNPEARTLITELPSFAAA PQIRAILAEPSPIRVHMFEWSPLSLGWYESLLWGFVFASEMVVGSAANATPGTVGVWN GTAIKLFRVQETAAQGPTLLAPKGAVDAVGSSLVQRIGSLNITGRSSSASQEGREESN TARVREREV TRV_00360 MPAGFVTKPATAARKETAGKQCNPDFSGTNILRCYACRRPWFVS QPASQTELTGWVWSSFHHRLAQSFSSVPTFARWTNQRLTHDPCAPLQQTLFQPHLFDF ISSSSSSSFHPIFFVFIFIFIFTIFCRLDYSLSAASSVQVLASRRWSSRTPRRRSELP VTASLTSLDLPRLPRSSGCGVFHIIYIGFQVFFLDSEPFCP TRV_00361 MVYPLPTFAKYYKNPEKLLARCVKGHKNGPRLIQPQPPETNDVS KSDPPASLLNSHLPPEELDPEELDNYDNASYLDSDSDNIVIDLEDDDSFHKHSICSYV SVTSDEIVCNLDDGSLMPQLIEAYDMLFPDELEIGIADGTISLDISLEEDDDDDSEED EDSSSASSDEMGPEDINQDFPRPGIGEKKRFVERIFTWMKRVPATDESELSVSLSEKN PSEKSAESDLKRKSSMSDLHHAYEATEGQSS TRV_00362 MPSEEPEFDVNYMIASLGFRKELPKRPVNQDTIRSALLAFQSIL EHRISPSTRNLIKKSSGHARRWLKDSGDTPPGDNLSGFITQMIDTYFTLCHPEIHMIE KISRIARKAAQLADDYPDFSPLYSMLFEYVDVMVPISKVLKCKKCFGPNAYNISLPVV DNDTFWIKVKQCLLLNEKNRNQLPLNYIPMDRHRLHDRASQMPPGESSRIKSWLARVC SIVGWDVKDIEWQIINYADFHESPCGPRMFFRTARWSHLAKHTLQIREQVDDLKHTSG RDSDFSSEVGPYASRVVDLVEKKWFRTLSGTGSYEFSDVALSSMERSRRGEYNYTPSV CRDFRQRQLFDPAELIVTEPLMVSRPFRYGCQQRGSRRARKRRAK TRV_00363 MTSPDSRKSVDSLPLSSPKSAASQQTPFQQADSNSKSVSRHRLG SRRSSNASSITSLGSTFHPPLLQQQHQQHGSIAEAGQNAISTLLQPPIVRTGLLPHTS APPSGYKQPSSRDIPPVTLTNIPHVDSASFQPYLLQVGSLYDALHHAKENGDQDTSII RRNTPSLASPELDRILSKALDGRQSGSLSSSEPPTQRSRPSSSHRSALSPTPLSTIPN VYFDENFQLENPRTFDIVSERSEVISSPKGPARPVSDDLAAERRPSRKALATNAILQE KLSWYLDTVEIHLLSSISTASKSFFSALGSLRDLHTEAATSVNNIKVLRADLAKLDAD MAQGGLKVVKLQRRRENVRKLADAVCQLQEVISSVSKCEKLIDSGDLEDAIDELDDAE RLLVGERPVRPRQYITDTDRIIDLRGVDALDRASDDFAQLRSYIGAGYESRFLNILLE DLRQHVENSSPEATLLRLGIAFQRSRRGQRNTVSSTPAYLTIDSEMRSKLQRELSGLG RARYTMTGATSFKNAVLREMKNIIRRNLPSSTDEDNESIMSASTHGGRQLNQQEKSSI LARNLRSLGADDAFSMLTTIYTGISEALRRLSVQVKVLLDITSNLGNPSASVKSPTRS QSTQSLDTMANVPSPNTLARDEILQVLDMSSLLGQAVDIVQSQIVKVSKVRGGQIEFF TLEQFLQYFTLNRLFAEECEAISGRSGTALKTVVDTQIKNYISSFGDAWKHRIVQVMD LDKWDAKDFGDREHTFLSRVLDASTHDAEVWSASSRIWDTGENDLEQAAGSKEAPANG SGANKERVRSATLDEQKFILPESAFLILQATEEFQHFMAGIPNVVPDIAPILLECLKL FNSRTSQLILGAGATKSAGLKNITTKHLALASQSLSFITALIPYVREFIRRHCPSTPV MGEFDKVKRLYQEHQSGIHEKLVDIMSSRASVHVTSMKKMDWEAELNTDTTSPYMEVL AKETGTLHRVLSKHLPDSTVAMIMGPVFASYKDQWTDAFQQAPLKSEKARQRMLSDVQ FLRSKLEKLDGGNELASHLTSIIEAKHLAASSSSPTPSSGPPAVPSRDASISPSTREG NDSHDS TRV_00364 MGQRLDDELQMYKRMEKGSRSHPGRNAVRSLIDSFDINGPEEKH RCLVHPPLWENLLTFLHRNPVRRLPPPLLACVLQRLFSALDYLHTECRIIHADIKADN IMFSTGDDTIFREFEQEELTTPSPRKELDGRTIYVSRELGMPEALGPPVLCDFGSAVP GDVEHSEDIQPNIYRAPEVILEAPWTYSVDIWNAGCMIWDIFEGESLFTGHDPEFQTY RSRAHLAEMIRLLGPPPHSLLAQGNLRSKFFSAEGEFCAGIPLLERVQLEERETTFEG HEKLAFLRMVRKILLWQPENRSSARELERDEWIQSYFPKS TRV_00365 MKELASFRLQILSTVRRQGIAIEQSAEYTPEQNGAAERSGGILT ANAGTWLEVTIDLPARAYREQGLYEPYAANINVTSSFTNYRLQGLRKGPQVHCAFFTG TLRLPEPLNEPSPTLSTFPPPPKNWHEMLKHPYKKEFIEAAEVEWNKIVGRNTFKPVP TPKDEQVLPLCWMLTYKFDAKGGLKKDTGN TRV_00326 MLSQIVTAARGLFKRPEGTEDTASEQEQSADMVTIIENSTSSQE TPVSQEFSPVSSGKRKDTSSSVPESVENRPQKRRKGEPSSKAADPNDTIKGEGDAAKQ RKLQVLESVTIINPPNTNSAPTLQADEKHALPNPRKIRFGSEEPNDTHLDDDEQHEDA ELENGTAAEAEDDEDDSSDDEAPEAVSNAAQLLQIREAERKKEETRQIHEQLRREKRK EHEKRLQQQAASKAAIQKAADARREAKAKQAEAKISKHDEHQSESSMTLSAGTRESKH GLSAPLPALLPAEILNAEPSQPLITALDVEKLKMNTPRHIRITDTADRQPKDLKVGST AIRVLGDSGRSSSPILPPKSSNSSRRVKENWVGGRRKMGATGALRHSTGGPKSFAKK TRV_00327 MADPFEVRMRFTTQLQHLSASFTSSQKAANYVLKHRDMGEDLHS CILEQLERSNMNTRANIMYFIEHLADMATNENHPEFVHMIKRDILQIVDAVAPADGSG AANVKHVRRVLNGLQSKEILSHETVSEIDACLKERESYPAHLLDLEPLEEPATTDTAK TGSDATKSRVTVDRKQIEQRVEEDRERNKRLRENMWAVPPHDMKEFEKMWDEVSDVGD DDYLQAAEEAEERRRTAEASGLYN TRV_00328 MHVPKKNKRANAANLASSTTGTLGTSILSGVMASLSEPRSSSAY PPPSGSATPSEGLPVHQIPSKFIACVRRPESVKRIQHILSPYNLPLTILQNENVKGVT DGDVIVLGCKPYMLSEVLNVPGMREALKGKLLISILAGVPAEQIEEVLYKDSDLDMAD RCRVVRAMPNTAAIVRESMTVIASTNPPLSHFQSTIVSWIFTRVGRVITLPPHIMDAS TALAGSGTAFVALVLEALADGAVAMGLPRAESQLMAAQVMRGTAGMVLNGEHPALIRE KTSTPGGCTIGGLLVLEEGRVRGAIARSVREATVVASQLGKGVQGVNGTRF TRV_00329 MEVPGELSIDMADTINTDQPDDGLSQSFSQGQESSEEPIFGSVN DSPISSHSRLRSIDESPRGSRPGTANQNTSREPGNGSGASNKPGAPTDWYIEGPGRRV GYDDLTAIDWIFEYTKERQRIRHLNANNPGLLGTFRQLLDASQVWMVLVMTGLAVGLL AGCIDITSRWLADIKVGYCKSGVEGGKFYLNRSFCCWGYDDPANCKHWISWHDAFKIS SKAGGFVAEYMVFIMYSILFATCAAVLVTSYATHAKHSGIPEIKTILGGFVIKKFMGL WTLMIKSVGLCLSVASARKREVLSAAAAAGISVAFGSPIGGVLFSLEQLSYYFPDKTM WQSFVCAMAAAISLRAVNPFRTGNIVLYQVTDSQRWHPIEILLFILLGIFGGLYGGLF IKLNMQISKWRKSRNFSFPVLEVLFVALLTGLINFPNSFMKAQLSDLLQALFAECSKT PADEFGLCKGNSDFAGVFWALVFAGTLGFLLASITFGLDIPAGVILPSLAIGALYGRA LGTMVSVWQKSHPNSLLFSDCEPGAPCVTPGTYAIVGAAAALGGATRMTVSIVVIMFE LTGALTHVIPIMIAVMLSKWCGDIFGKRGIYESWIHLNEYPFLDQKDDTPPPDVPVSQ VMTSINDLTVITAVGHTVESLRNLLSSTSYRGFPVVSDMANPTLLGYISRNELSYALN VSSSRHSGSLSPETQTFFSHQPFADPAETLDLRPWMDQTPITMNIHTNLLIVLNMFQR LGLRGRLEGFLTKKDIWYILNESSKADPTSGIGGGILQADGTGEARGLLSRADNPMLV SPVDDGNML TRV_00330 METLPAVPEFSIEPGLLHQLPEELAQSILKAPANTQQDQLVLAA LDPSYTATLFPLLEPVFVDIAARWLLLDLNVHFEHVISAFSLILPHSPYLRPLAERVL QAQNVGLPLFSEQCPSDVSHINDGSLLSLLLSAFRLLSFDADTFSPYVFPNQLQALFS HSNKIIRCMAVRCFCLHIKAADAVLENMLHRYCGDGPLDNTLEGQLIDFRLISFWEEQ RYKELETALETARQNRNGSFFDLWIKNFRSLDYTAEIGGILVPSLRQKTSSQAFKLVQ TPAVRANLRKVGEALLSQDPLLLVGQPGAGKTSLVMEAASEMGNLSSMITLHLNEQTD SKSLLGVYSTSGQSGSFKWQPGVLTQAAREGRWILIEDLDRAPAEVISVILPLIENRE LVIPSRREHIRCAEGFRIIATMRSFLNNRGDDVAPGMTMLGGRLWNTIRISPLPVEEV SQIIKNEFPLLNITRYADTFLTLYFRVISTFLGSGASRRVQGRPIGLRDLMKFCNRVE TRLRKLGIKSGNESVPARIDDEIFMDAVDCFAAHIPNNELHLALASSIAEEMHISPQK WKFCLSERVPNYSDELSELLIGREVCSKIQSRSMAGARTNQAKSFAATKSSLRLMEQA AAALQVSEPILLVGETGIGKTAVVQQLASLLNQKLTVVNLSQQSEASDLLGGYKPVNL RSIAVPLVDEFNALFESTFSVKKNQKFLSSVAKSVTSGNWPRLVNVLNEAVKMVSDLF GTSKKTQTDIKEAAEQPAKKRKLDSPKYSSLLAKWSSFTSDFREFEARVLQGDAKFSF AFVQGKIVKALRNGEWVLLDEINLASPDTLESIASLLHHGRDGNPSVLLSEAGEMERV IGHPNFRIFGAMNPATDAGKRDLAPGLRSRFTELYVRSPDSDIDDLLSLIRTYLGPLL NHDSRVASDLANIYLETKRLAMENQLTDGAGHKPHFSIRTLVRTLMYVTDQAHIYGVR RAIYEGFSMSFLTLLSKDSERQVIPLLEKHIFGKVGNARSILSQTPREFKDGAEYVQY KHYWMQKGDFPPESQPHYIITPFIERNLMNLVRASSTRRFPILLQGPTSSGKTSMVEH LAKLSGNRFVRINNHEHTDLQEYLGSYATSEDGTLKYQDGVLVEALKRGYWIVLDELN LAPTDVLEALNRLLDDNRELFIPETQEVVRPHPNFMLFATQNPAGLYGGRKVLSRAFR NRFLELHFDDIPEDELEFILKERSQIPPSFCTRIVSVYRQLSILRQSNRLFEQRNSFA TLRDLFRWAMRRADDREQLAVHGFMLLAERVRNRQERSAVKKVIEKVMGVKLDENEIY SKSAVETRLKHLSAAVPSNIVWTPAMRRLFILVSEAVEHNEPVLLVGETGCGKTQICQ AIAEVYGKELFIVNAHVNLETGDLIGSQRPIRNRSSIIHQLERDITLALEQAHQIHTG PFSSLEDLKNAFYAVEKLSSGHCDPDLIHKIKTNITRANSLFEWSDGSLVTAMKTGQH FLLDELSLADDSVLERLNSVLETTRTVLLAEKGPVDSLVTATDGFQFLGTMNPGGDYG KRELSAALRNRLTEIWVPELLEDDDILPILEANVKPSLRNVPQGMVSFAKWFKDKFRG SAQSSISVRDLLAWAQFINSCTQLDDQSAVIHGACLVYIDGLGANPSALLASTSGDLE RDRQSSLEKLGELFSVDALSIYSQNSSMQLDGHTLKIGQFSLPLGTNSNPDSKFALDA PTTLRNTIRVARGLQSSKPILLEGSPGVGKTTLVAALAQIIGVPLTRINLSEQTDLTD LFGSDIPVDGGDIGSFAWSDAPFLRAMQHGGWVLLDEMNLASQSVLEGLNSCLDHRQQ VYIAELGQTFQRHPDFVLFAAQNPHHQGGGRKGLPASFVNRFTVVYADSFTSHDLQII CQRLSPACPEDKIIKLVDFVTMLNTKLLTDRRLGAVGAPWEVNLRDISRWLKLLTSSP AEISPSQYLDVVISHRFRTAPDRLSISQLYHDIFGTVPDTKNYFHNLSPYSYQVGLGK LDRNQQLYEFSVNDSKDFPRSLHLVESMMLCIENAWPCLLVGPSGCGKTSSIRRLAAL SGAKLVELALNSDTDAMDLIGGFEQRDRHRQYLSFASELISLLRYHIVIAFSQAEDSS AALGPELIQLYEMTMHSSFSPQELLGPLSKLAQHHSDTIFQDILEQCKQICVDEAAGE TGFEWTEGILIHAMKQGSWVVLDNANLCNATVLDRLNSLLEPNGCLIVNERKSPDGLA QVITPHPDFRLFLTVDPRHGELSRAMRNRSVEIFFLKEDEINRSCLTGTRHLNESAIY RIRECHNLVCVPKPLESDSKSLEVGLDHLSPQDVACLQHSIKRVAQLWSKASPVTPEK AECLVESYSTLLCRNALVGRL TRV_00263 MDRLIENIPGMGFDIWCDDSFLSQTGPPDAPLPPQPHENPHGDL IYWDNRPLEVNGQAWVLMPVPKCDEDLQAFHMDGGSREIIVLCPKMFEHYSDLGDYQI DMTSRPPTFSKGIHIDSFATSLMFVLVHEVSHCDFFLQNLVTSDIPADVDGYGWQEAV RHADNNHLYALKNAGTSGCLTISMMQHADPSRLDNFALFVLGSYDPSDRHSFYRLIVT DNPKPCFCPKTTGAPG TRV_00264 MSTAVGEDMSAPTRASVLIANLSAVSKRIAAASNSRNNHNETSP SPSAGPVAATSTSDSNNNNSSAAPPVRLVAVSKLKPVSDILALHSPPTSHLHFGENYM QELLEKSKALPPEIRWHFIGGLQSNKCVTLAREVRGLWAVESVDTEKKASLLDKGWGE RAEFKQKENDANQPLDRRLRVFVQVNTSGEENKSGIQPGEPTLELCRFIRENCPRLKL QGLMTIGAIARSKATTPENENEDFACLRDTRDMVVEKLSLKGEETLELSMGMSNDFEG AIAMGSNQVRVGSTIFGARPPKGEAKS TRV_00265 MSSMRGLVQFIADLRNARARELEEKRINKELANIRQKFKDGSLN GYQKKKYVCKLLYVYIQGYDVDFGHLEAVNLISATKYSEKQIGYLAVTLFLHEQHELL HLVVNSIRKDLLDHHELNNCLALHAVANVGGREMGEALSADVHRLLISPASKAFVKKK AALTLLRLYRKYPGIVQQEWAERIVSLMDDPDMGVALSVTSLVMALVQDNPDQYKGSY VKAAQRLKRIVIDHDIAPDYIYYNVGCPWMQVKLLRLLQYYPPSEDVHVRDLIRQSIQ QIMNSAMDMPKNVQQNNAQNAVLFEAINLLIHLDSEHTLMMQISSRLGKFIQSRETNV RYLGLDAMTHFAARAETLDPIQKHQNIILGSLRDRDISVRRKGLDLLYSMCDTSNARP IVNELLKHLQSADFAIREEMVLKIAILTEKYATDAQWYIDISLKLLSVAGDHVSDEVW QRVVQVVTNNEELQAYAAQHILGYIKGECHDSLVKIGGYILGEFGHLIADNKGCSPIE QFLALYSKMAFCSDNTRALLLSSFIKFVNLFPEIKPQILRVFQVYSHSPDSEMQQRAY EYLMLATLPTDDLLRTVCDEMPPFSERISVLLSRLHQKSSGTSDKRTWVVGGKDANAD DKEFMLTQNTGLKRTFTSAANGPVAAQQTGAKGTTSSDLEGLDFASSGETAPNLASAA HLSPDWEPGFNRLFFATEGVLFEDAQIQVGLRSEYRAHLGVCKLYFANKSAYSIGSFT ITLDNPSPESLKIDTKDIPDPDVVASGQTHQTVCFECLGPFTKAPTIRISYLAGALQA YTLQLPILMHRYIEASSLTSDDFFKRWRQIGGGPLESQSTFGLVKKGRPLSERDLQSI VESFKWKILANVDPNQKNLVGCAVYQTQSSKTGCLLRLEPNYEKQMYRITIRATQEGV PRALVELMEDRISRGTVGDIFGD TRV_00266 MLKRRAKLKRLSLDDRERVLKSRALPDDFDMAQSLQSSYATEHH GYTTPLVSPGTFFPPEENDPYGSARAGTAAADDYTTSPLSSTSAYGSYFGRDSSAYSR GSDSISSMSTSSDSIGSFGSMPSANPSIHGRMNFVPRSFGEQQGAMRPSVPQLHMYNT SLRARAGSLNLPMRGTIPCHTPPLEYTDTDSSTNINNAYGSRSLDASTRRDPFGLGSV AEEFKQKTVGQPVTATPRIGEGSPPKADHSRAAAVALQSAPLQSTQEEDQLSGLGPQF NLSSFGITYPRQSPSTSPNHPTSHPSSKSSDMQGAYNNHGTAWLQQTIQQKLSCYPEP DYSAPRQRGYFYQSNTETI TRV_00267 MSTHEVYTDVSGWTNTRIGDQLPSSQLHNYHPSTMIMQFCESPI TDSLKQEPDATPGQCDIRGLAYLKAAEQGHSSQDPSDSCYMGSHKGSQGDLSCSDLTD ALQSSSASSCPGSPQTPGDGEQQSDSGHIDSKQEIYSPATEDVEIHDADDEPKPTGGE KIDRKKMKRFRY TRV_00268 MDDCFFSRFQAQGIRRRGRDKKRTKQQQLVSTNRGGLRWTSQPR IEAKCAGCKRGEGLLRAGNRDIETVHVTYQVVLLLFFFFFLLRLFIFLAIDVCSSSRR PQKPDIPPNLVGDGWRWRLDG TRV_00269 MCGKAPGQKSQRGQKEKATTFDSQPQHTLCASSPWSTAHIFAGR SRNKSVLRMGGKDVGAVKVYRDVIHSLLEKAAAFKNAPTIEPPVTEANLGDVIERVLA GDYGVSVGANQQTQYAAVETAFREIFYDLLATTSIDEPSFMQIWNLLDIVSIFSDNEE LLDSQTIDGCRIVFDYLESRRERNTAKHFKQKSLIILRSCNELLRRLSRAEDTVFCGR VFIFLFQSFPLGDKSSVNLRGEYHTENVTTFDAQPKTQAESDEAMDIDIQEDETLVSN AKSTEQELTTAQTPLESTNDATPQRGNAPSIQPELELDTLYAAFWSLQESFSKPTRLF DTAHFASLKSGLEATLSSFRKVSSKLEVRSTTKGSDELRRGTKRKRGEGGADLPNSFN PKYLTSRDLFELEINDVSFRRHILVQALILLDFLLSLTPKSKAKLADSTNKSVLYGYV LSDEDAKWATQMRSSIASYLQKGADGKFYFRMVDTVLTRDKNWVRWKAEGCPPIERPP VPVQSHLDTQSSAAKITANRRLRSTPMGSLDLGFLSVESNLGDLNRLKEPGSLDFYMS GIADDDFNIDMAKDDEEKEQSIKAKASKTWRTLRIASRSKLSQLDKIEDGKNLKVLFE ATPAPTSTSGKQQPETENTADISKEDETKGSNVDTTAPEPQPTQT TRV_00270 MARNLDVRPTVNDFQDENPWVSLAKAHWLKASKVRKARPEVVKN EIWDPLQRDGFPLHSLLILENLHILERNKEKGAFTHLERILSMNLDNTLPLPSKIHLL SFVIGAFQSLECSQIRKECAPLVSIAIWDNLFSKESRDNLLQQSAALKKAWRLAGKRY DSADEQGKSRIRFERSWLYSMLIEFLQRINPAQVERNSEDNIRFCERFLELLVDLESQ LPTRRYVNELLKDLNILAVLRKSKLYNDDKNTLFRDFYVLLRRFINFSIDDQTGQHLS AQDAYNIHCQELARLQRTALKHFKDDLTLLALANYGSLEQRSELAEHLRSIDDSQLKQ LCHLLGFRTSYPEHTNIVLDRELLLEILLLYFERRPTFQEATADVSILPTEESLYDPA LVRNETYDGSRPLAIPKLNLQYLSLGDFLWRSFLLYRSESFYEIKSDLESIVKRMQPK SGQDGKVSFDGFSRMALPISKPAIIDVAQARVGSLHPAYVRAEIALEVGRLGDTIRQE WESLRPDDVVFLLSVTPKQVDKSSLAASQRSESRNSINISHIRTGTVVQILDENGRQL RDTSQGRANGYPQRPRLMRLIVNLDAVSYKADLDRVQKGKPDIYGFINVLVRRKSREN NFRPILETMRSLTITDAELPSWLQEVFLGYGDPASARYTELESRVKSVDYRDTFLDWH HLVESFPGQKMEPPEGSTTIFGPPYVLEMVDESPKAETLNPSKKRRRDQAESVQPISN SIKVSTYKPPNPGPYPMDAPKLNQVRFTPAQVEAITSGTQPGLTVIVGPPGTGKTDVI TQIINNIYHNFPQERTLLIAHSNQALNQLFQKIVALDIDERHLLRLGHGEEELETESN FGKFGRVESFLENRVKFLSEVDRLAASIGAEGAHGSTCETAGYFDTVYIRPAWIKFWD KVRSDGSTSEEIIKHFPFHGFFSNAPQPVFPADASKDTLIDIAEGCERHIRKLFSELE DIRPFEILRQQRDKANYLLIKEARIIAMTSTHAAMRRQEISDLGFHYDSVVMEEAAQI TEIESVIPCMMQKTKNGEFPLKRIVLCGDHLQNSPIVQNIAFRQYANFEQTLFLRLVR LGVPTINLDQQGRARASIAELFKWRYEKLGNLPIVENQEEFKLANAGFRYDYQLINVP DYQGQGEREPTPHFIQNLGEAEYAVGIYQYMRLLGYPASKISILATYAGQKALIKDVL SHRCAKNSLFGMPKIVTTVDKYQGEQNDYIILSLTRTKSVGYLRDVRRLTVALSRARL GLYILGRREVFESCFELKPAFDILGQRPDKLMLIPNEMFPATRAVDSADNEGTPMENL EHLGQYVFEMTQAKVKALGVETTVVEAGDENDVPARESDDEDDTAREGAIE TRV_00271 MVSSSFLQRLEVPHPPGQPRPTWLLNNDIKPIEKARRTWSWYEY FSFWCVGAFNITNFQLGSALLATGLNWWQTTVATLIGHILASLLIIITSYPGLEYQIS FPVAMRISWAPFSDSLSFFSPGFYGSAFVVLNRILLSIVWFGVQSWQGGQMAYVCIRA IWPSIDKIPNTIPASTGMTLPMFVGFIVFSLIQVPFLILGPGRLRYMLHVGAIGGFIC QLVLVSWAGGTKGPQGFGEILDSTNKLSGSDAGWMFVLGIGSTMSSITAGTLSIGDYA RFARKRSDGIWSQGMGAFPAWIANVIGMLTIAATQKRYGAALWSVASLLMAIQDADPS SKTRVGVFFCAFVFGITQLVLNISGNSFCGGTDMSALLPKYINIRRGQALTAILGTVI NPWYLLSGALVFISVLSSYVVFLQPFVGIAAAHYFVIQKRRLKVPELYITGKQSIYWY DFGVNWRTVLAWLVGVTPHMPGMVHSVNPKLPVTAGASHLYYIASITGFFIPLDYLVP VKVQKDFIQSVSVREAQDIASEMAESNEKSAEGAARLSPDRESSVEHKIPEANSHSV TRV_00272 MVTEPFSTSLRQALMGEDQQGSFGVISVTPDKYPVSVADLDEYA RQQWEAVLGYMVGMSSLSGGRETITLSKGVKQLLQACHLVEVRDRRVEITKEGFAFVL QDVNTQVWHILILYVENAEAIGMESVEVLSFVFLLSSLELGQSYEKKDLTPTQLRTLA DLTDFGIVYQHTPASGSTRFYPTRLATTLTSDSLAMSGPISGEPAVPTTTAGTTTSGD AGTGFIIVETNYRLYAYTSSPLQISLISLFTTLKFRFPNLITGKITRQSVRRAIEMGI TADQIISYLTTHAHPQMRKTKKSTSNVSSSVLPPTVVDQIRLWQLERDRIKATSGFLF KEFDTFAEFEAPCKYAEEIGVLVWKSDSRRMFFVTRHEQVAAFLRSRAASRG TRV_00273 MPRARYMRETPSPASSRPLLQGDKDAGKSGRKSSLTNSFHHFAR AAFQPLIRRQPDEAEGDVKPIVAGAAASRAATRSSSLPVRSQGTLNSKQSFGFQPAGV KSPEPPLPPLPKYLARGTTSNIPKPVQPAANTTLRWPLSDDDKKENCAPSEPGVKPFI GYQDLKALRGAANVKGKEAKGHETPLNPSPDSDTSSQATVKATYGPGLLLPKSAARYF PWPESIAAQKAAGRSLYALADDEGYNENTTQMPQEMQRTIDECEKGSVEAFSRKPNLV RPRQLPKSKTMGAIHQKSDFEPEPPMYPIREGAQCYCEDPHADTLSVDKFGRVSQRSA SIETPASSDTIDIKVVKIAKPYGYWLGRLVTLTNSFRYEGAFNKPDPFTGYGIPGNTL EGKASMSVNLEDYHIKRAFMVLERACLTSEASASLAEFKEAYSRRFGRKFSQRFATEI EKGCPKSEKAGGKGEAGVMDILRSVRKSFG TRV_00274 MSASLQYVTLDVFTSKRFKGNSLAVVFLSDSNPITQEQKQTLAT EFNYSETIFFHPGNSDPGQPRKIDIFTTEQELPFAGHPTIGAASWLLVHSKDKASSGQ VGESTSLTTKAGNIPISLVPENPSQVSASIPHNVRIHSTRMALSELLRLHPSLGSSLD AQAYQAGFPVVSVVKGMTAVHVHLPSLEVLAQVTTATESIPAKGIAAGGYLDEGWDVE GHVSVYFYVRDVWDEELQKKVIRSRMMAGNLEDAATGSAASGLASYLTLTDPEILAKG KETYTIIQGVEMGKRSEIGLQVTLKDSKKEIETVHLRGSAVKVAEGTIMTCSE TRV_00275 MTVNHHRHTPYLQHAQVSYKTGILAHPSNSILRSIIRIGLPSIT TPREERSSRDEGILKLVLYLFRNVVILGATPNLAVEGDEDEASRSMTINAFHDQDVFA LILTLCSNIGEEFTFQDVILLEILFHLVKGVDVRQIFMDDNQRSATRKDELKGLMAAE SNLNRDRLKNAPTRHGRFGTMIWVKRDAAKVSSVSGQDVLLNDQAAFDKMDKTKKWNK PRGRRPVIDETSNNFNVQVHLTSSALNYLRLFVEEFLDSGFNPLMTSIRKAMERESDR LSESSSRHFFFVSSWFLSAERARREQQEKARRNATGSARDIDPDSFALVASVLNQETF IALNRYMQASLDNKEWQDLSAGMLSFTQTLLTVQEMSKSSLEEDQEIAENILSRIFYE ESTHDRILSTLRGYKGQGFWYLDACTELAHVFLRMLEQYSKQNVDMQVRSKRISRRKK KAQNKAQGNQEDQEGEFDNSEDEEEKDRAEAVRNVAERSFDFKRFCNKFCTQNSVNTF VALTSYYRDLNTEQLKRAHRFFYRVAFKQELSVLLFRLDIIALFTRMIKGPEGLLSSS PAFGEWEELVRQILKKMFKKIDERPELITELLFSKIPATVHYLEYGQEKQTVSVASRP AAELEVKQNYTRTADEKIRIVVSVLVADNCEELVRWLCEVLDNALKDRKSWDLADLAR GIQDAADIADIAPSTPTIEVSAPDEKRKTALFKNGRLRLLFTLAGLELVGEDVLGTPW SIPGSIPSTELEETRNSIQKHCDDPATEIDGVDPHKLILRKRIAAKSMNDEATTQVNF GNDSEGEDLGLDEILFPPNPRSKSKALKELKQKRRKKTDADEDNQLDEETLNARRLAR EQNALERQRKIKSSLFVNASDDETDDEADQEFFAREAERRKRQAQLVEEAMNIDGLGS TVLGDGTKKATSGKRKQAISSESDGEDIGTSQRKRARSEDLGSGNEEEDELGAPPRRK VQTPPTSTEDDLILDVGEKASAPDFQWASTAVDRPSKPTATNKQNIDDDDEDDEPVTT TRPRRPKMMAGFVVDSDSE TRV_00276 MFRSAVAGRLLATTRSIGGARAFSSTPAAQVAAEVNSVGVIGAG QMGLGIALVAAQKANIPVTLVDNSQASLDKGLKFAEKLLAKDVAKERITQETADKVRS MLTPTTKLEDLSSSDFIIEAVPEIPDLKTSIFSKLVKIAPAHAILATNTSSISITRIA AATTEDPKDLSGPSRVISTHFMNPVPVQKGVEIITGLQTSQDTIDTSLELMKRMGKIA ARSTDSPGFLANRILMPYINEAISCLENGIGTREDIDSIMKYGTNVPMGPLTLADFIG IDTCLAIMNVLHQETGDSKYRPAGLLKRMVDAGWVGKKAGKGFYDY TRV_00277 MSVPQLTRDLEAPLQGLVDDADRLAEWPELVGLEDLLLDNGQLP SHRADRPCPQELHVFPDDVSPELLQIISQPLDTERPPKSAGQHSENGGGRSPPPPSST YSHGTTMGDDRHSLPVRTQAPAVKDHTGDHQLDRCFSPESTATGATFSRMGVKATGAR RTSNFGSWNATVFETAMNNESKFPPKCCLEDIPTKLILHNVDSLLREEYKLKVQEYAI PRTSRWYCPSPSCGKWIPPKKIKLGAPTQKCPICKWSICTACQRTAHQSNEHCPQAPY PERVDSIASNGWRRCYKCQAMVDLTADSGFVVCHCGAEFWYDTLVPASVNAENERSER REVEERLRKENTQIHPDGDGQRRQDDGRRRVQHDKEMKRLISIANQIQRLQAELAKIN KIQQSMIINRHQDSAQQIQVAAVSKQVEFDQTRVKLEKAYESNFKLRKSALEASQSAT KLELRTRQEEDEDDNFVQMQRHLKGKPNREEREKVILDKLNASHKREQDALEKTHKEE MSELEYHGSLESSALQSGIMKKLGDLQRETNYTLYELSSTVISDRRWFKIAVEKRYGL LEEHRMFLINGPDAIKDTVTSSSRYSSANNSSHSGTTYSVTSGRETPQSPLSPPPVFT EFGYRRRGSDARKSGATMATGSRARSRSRNGLGRVPNQSQFVYR TRV_00278 MAPNKPPRATKAAPPASEKLPERTFIIDNGGYSLKAGYSSTTSS DPLASCVVVPNALAKTRDNQIYTGLELETHISDWNEVSFRRPVEKGYLVNWEAQREIW EHTFFDEKTARRPDIQCSDFLGTTLILTEAPNALSSLQKNMDEMIMEEWGFGGYVRYL APCLNAWNDVRSLFGDPPSQDTNLPNLPVECMLVVDSGYSQTTVTPVYQGRPIQRAIR RLELGGKHLTNYLKELVSIRQYNMLDETHIVNSVKEAVCYVSQDFNTDMEKTWKGRKG GPTDIVVDYVLPDPNAHKKGFMRPHDSLLAAEKRKGLITGVNPSAAEDVLVLGNERFT VPEILFNPQDIGLQQPGIPDMIIQCLSVIPTGLHPSFLANIFVVGGNALIPGFIERL TRV_00279 MAGDKKLLVILESTLGLSTQFISSLSLSAASTSSAPRSPEGNDN NKNLPLPLLSAAAQTLKSNTGKISLFAINTPFTPSAITSVLTQINDSALPSLVTAAFL LHPEHCTKTFHAEINTLVKSALREYITLINDIKKIAVENGKSLTDEDKAAVTTSAGRV WKVCDQLVAASTDGVVGMVIQKANEFLELVRDGIKELEEWDPEESDDDDWGLVDDLAD ETKEEKDEDDDEDREEMVAMLSGEKKDLLRLLTPIAKLYTAVTTQRLKPLRHQPILPK YASNLDKLMDNLKQIPDLVDEAAGSLYEHDIQAVASYTSKLKSCAISTVEIVKKPWYP ETGVNTKTDGQVEAEDKFSKWATVWLNVLNELGKPGVANGK TRV_00280 MYIKSPAASIRNGMLRTSEEDVEETESTSSLPPHKPIVPAAKAA ATRQPPKSQSMISRKPRTMPSLSQVFGEAPDSEPTGIIEPLSIPKTRKPPSTISSNFT SPTSTTSKQSPRTSITSDGYSATDIPPKEPEPKKTAKSSSALRESIAKAKAARKAAQQ QQLQQKRESSLKSPDALEEDSLKDPFNQLPGMEPTGAALQSRTASARKSGLLNISAMG LTAIPNEVMTMYTFDPNSNDVWYENVDLVKFMAADNELTSLPDEAFPDVDLAEFNADE PGPNAQFGGLEHIDLHGNKLSSLPMGLRRLQRLRTLNLSKNKLDSMDALDVVFSIESL TELKLAENNLSGMLTSDIGRLVKLEVLDLHGNCLTGLPDSIADLTALRILNVSENRLP SLPLMAMKDLPLTELNVWKNRLDGHFFPKAFKRHDTLQVLNIATNNLDELSAEDTIEL PNLQQLFVEQNCLKFFPNISSWKSLLTIIASENRLSALPEGLADLENIKHLDFTTNDI RLIDDNVGSMESLVTLRISNNPLREKKFLGMDTDDLKRELNSRREVKEPSQDEEEGSV QTELTLAPESPTATITHAWRVKQRGVLDRSSTNLSDLEPLDIEPLIAKSDIRCLYLQR NHLEKFPVPALSLVAHSLIDLDLSNNPIGRTELSTPLSLPNLQNLTLSSSALTSLEPL IANLSAPSLSFLDVSINRLKGPVPVMRATYPNLVTFLASENAFDSLSYEAVEGLQVLD VANNDIDALPPRAGLLGVDDVPPGKNALRRFEVAGNKFRVPRWQVVSKGTEAILDHLK NRISEAEMKEWKASA TRV_00281 MEDEVDAVGVSLRLRLRLRLCAFLLVVLVEKKVEGWGNRHVTCI FGCWMGESEGEEEDGEVEADDMHKVGDQVDAEEEDYGARQMEKWCSRRAVEAPSGGEP LSMSSLRPPLRINTRDICIRRLDKYRQSPVHYISLLFSSVSAVVCCGINFYYLDLAQK SKVRLIFSQRRDQISKQIYDRGN TRV_00282 MDKQGDTAARDGQTRPSLSPAVEIAVPSTPTPELSSSSSPAPDS QATQIQSQPDRVDSEKPTILSSAAGQSSPSMTPPPSVQAPANASTPAQRARSQSHPFL ASPPSTVNQSLCDAYGISERLPTVSEIDDADEPALRKIANELLVVAQDFRMSAAHFKL QNSLLSLTSNEAVKRAEVEQQLAKREVEILQSDEYRVRRGIAQEESARSFQNHELSGA RARIQDLEQMNATLDRRLHRAKQIIEEKSDQYEMLLEENGRLKKRIRDNREHFTMMMD GASLPSSPRTDIHTAQRKAAAQPPHHAPLENSRSFNGKLGSQDLLATLLAADQVLHGD NSRTQTPSQPKPRGHRNNHGHTRGAHSMSSLTPISHARMSESTQPRFFTPVNKKMRES RIAPTPVSGLLDEEDEGRRDRDSTLSASDIEAVTDEDVPASQASSLATSMLRRYPGTS QEEPSIPTNLGKSSAMLQTKLFGHVKKAGLERPSDHMKRKSSNSQSKPVSPKKARGSN PLHLNVAV TRV_00283 MKREERKSNGWEARDKQNFHKDWDRRVRVHFDQPGRKHRRREAR LAKAAALAPRPVDKLRPIVRCPTIKYNSRVRAGRGFSLQELKEAGIPRKLAPTIGITV DHRRGNVSAESLSVNVARLKAYKARLILFPRKSGQFKKLDSSAEEIKAAKEGLVKTIN SVLPVTNPARENAVSEIKKSDLPKGEENAYRKMRTARSDARLVGVRAKRAKAKAEEAA AAKK TRV_00284 MTDCPGLTARYQGLVLGEVKAPDTLGEKRGSGSNSPTGSHDAGQ GLSLSPPEADPPPRGTIAHFNGSPSQRSNVKRKQQPKKEGFYPIFKNKNTKATDPKRS AGESRPSIGIEPDDDLPKEIREKKKVRDSLREQLERLKEEVEFLEKEAGQLETTEHES VDESSLRRLIGLLLSKNPSCAPPPPKPPAPPPLSSMLSYLLPFTVQQSIPLSEPEPPP SPLPENPYAMKPLENSIPYLTVFAPLTLKTETVTSTVRNPSRALGVPSDIVQTYHFTL QPPGSFPASLYQIPLTLKTDPERQSVLSISISETDSKLPGPLEGWIASRLSNSLLDHD VSGLCWGICRYWEAAISRSKFWVKLQALSEKLEENPRYLEQAKKAYRKQGEADTSRSA TPDMDGHQYATKDLVQHFERTSYRFSTSTGPNKLELLVSCPLTLDLWTSEPQLEPSIC ISGSSLKGTAASKIEKEAKRVFQAMIKRGNDGDVDVDIGSLVKAVEAVIVILFGLV TRV_00285 MEELDLRRFEEVEEELLSAIVSPVWKLVKLASASVSAFELSLGI NKKGRDEDGCLHCLHTHPTGGLNNTEKVALLPYNFQANDNYSKEQMGSLFLSEGGRIP FGAAVCSRCLLILSAGGSQFRAIPPWKKTHEPNRQATTRYQHTDARNQGSSGGKVADQ IYPLKGFYSELLNSPSQPLNPSRTPQSQATNSATTAAVAETTQSEPQREQTPQEKFGI VFGTRLAGPGYSSTRYNPSTAPKSAWRTINGVPIPPRPEEPDNCCMSGCVHCVWDDYR DDVEAWAQRINEAKKRDAPRRGSKKKKHPLPDMRQSPRSEVDSASTSMDDDGGGSQAS WNTDISTEADADALFADIPVGIREFMKTEKKLKEKRKKNEDKA TRV_00286 MNYTLPIIQWITHLSLVHVAIPVIYNICIDYVPAQAQVAQNGIS IVLLKLLETGKLRGSALLGVTYELLEMASEQSQSIDTTPDDLLPLIIHAVSSSESHGE GYGCLLNALNGYLQKDKFQQLSIINGHVEELLSIFLDTFKGEDSIMGPLRLKLNHSLA DISALAAYPKYYPLRCSVTETLISWLSSEKDDLKICGCIILGNVARDDEVCKSMLHDF KVHLPLISMLQREDSKATVLHCSLGFLKNLAIAGDNRECLGEAGVIKAVSRLWAIDTL PHAQLMAASLTRQTILTSVTNITRLLEPISSDSDSPASRRTYLSLLLALFGKTDSSPI KTEIGRTIAAICRTLMRLKENSELPPGTEELVNRLFELHKDVARPIGIMITQTEWPIV QSEGWFALALIASHPAGSQAVIDCLESMCVIQSLCDTVRTPISRPEPGEPAKDKTDRL KKTKDRENALFLLHGLMRNNVSFSFPSLIVTHG TRV_00287 MDENMENTAGPPVNPAQEAGSDLDKYPSKSAQKMDDQTPKSPVA ASTKDLPKLPQEEEENMIRSLRTKFKGGDAGELHNLLSSLELAEKERRSGSPTLDGLE AVLSQIDMMWRSNSTYMAEVAEMLADASRDGKVASLRYETVYIYTDNV TRV_00288 MVEKVYVTYNQVHKLCQASAGRILEEFRPNLMIAIGGGGYVPAR MLRYGSNIILAETHGTHLFFFSFPISVSNWGCVQYRSFLKKKDSPNIPIQAIGLSLYE DLGRGDPEEVPGTKVTRTQWLDLSSLEMANLIGKNVLIVDEVDDTRTTLEYAVRELEK DVELAQKQLGRQGEKTTFSIFVLHNKDKPKKGQLPPEMLAENRYLAAVTSGDVWICYP WEATLVPPLPTPIHMSIPLCFAPGYWILTLASK TRV_00289 MRTGGGDGRFAQEELQRALQQGRDDRRRRRRARTRQDGSKGRLS QDSQDLQDSRSAVSTGVDGLLVLFWGGRQCQWKRSRRDDEEKKKKKKKKKKKKQKKKR KEKKMTDIRW TRV_00290 MNRMLDPPLRNPFHAPPVSDLTAKSRTIPLLSPSGPAGDSVSPS DPMDMSPSASTSTSTSMAPPLQNGADADAANPNNANSNADSNSNANNANANANANHTN GTAMDSAQSQSTSSNHPIGAAAAGQQPKVVQTAFIHKLYSMLQDPSIQHLISWSSSNE SFVMSPSSDFSKVLSQYFKHTNISSFVRQLNMYGFHKVSDVFHTGSPDSPMWEFRHGN GSFRKGDVAGLRDIKRRASRHALIHRDSFSTHKANQSQPGTPAEAAMDGLDPRMASLE QSLYDMHNRLARMEDHNALLSSHCHVLAEGLARCHQWTSTMSSFIVTMVPDTENSIHK EAANMQREITRQLEYIRTLENPQEAMLAGRQPYFSMSMDPGPPPLSPRQVCQDDGRRP SGIRHPLPPHITISPHRQGSLGGNPTPIYSKPQIPHQSNLHPLSSVTSPPGPNLARRH TSADIRQHGWPPSAGPSPNSQYGPNPSMQWPSSPGRNHNSSDQHVRDALARYELGGPR RQQEQSRHVTPPFLDPAQPDNGWAIGGPKFPRPVESMPATRRSSMASNVHSLLNPSSA AERVDDPDGPLGEDRKRKRLQ TRV_00291 MKDSLSNSPPSKLQKANTIGQLDDVGGGYTSDSAGSSNDSLFFS ETSRSTKRQRQMATRKKNSNTSETPEKGGKKRVASASAARQEVIPEKRQKTVEPVEPV ERVDETAALPVRSMFSFVYIFSGFDYIFFFIFFLDLTTMRETQKHADKMNRNPFKTTV RFIIGPDEEEFQVHSFLLESTGLSGFFDLGKSTGPVKRPDLDSKAFTDLVEWLYGAHM RPGVAVDTAAKYNNLIDLYSIGQKMGGESWMRLVMKQMLELFDQTGRKVPAELASVVY EKFAWGSGMRRLWVTLNVVGEMEGECANVDFLRDVNRMQRSVIQKEKIDMENLLEPFR QQKKPPGPKPQPVSGESSTSQNGTTAQLAKGLVEKQRQQQEKQSQPRSQPETASQLNG EGRTQASSASTPQSQRSQKSRGLTTCEDLLRKFQSGQPKDDAA TRV_00292 MPATSSEADVIFNRANVALARSQRLIASWLPPKTADETANAKSE EELQKEEDEIFTAVPEKLGLGAPIPQKEADGSIKRTELSSNDKLREQLLGKNFQKTEA SRLKNANARTKQTGPTPSQKKEIVEESDEEDGRGGSWWEKES TRV_00293 MFKLARSKPIAAAFRSAVESPLRTRFAQSQRRNLSIHEYLSANL LKSYGVGVPKGEVARSGEEAEAIAKNIGGEDMVIKAQVLAGGRGKGTFDNGLKGGVRV IYSPTEARMFADQMIGHKLITKQTGAQGRLCNAVYICERKFARREFYLAILMDRQTQA PVIVSSSQGGMDIEAVAKDTPDAITTTPIDIHTGVTDEIARNIATGLGFSEQCVPEAV ETIKKLYKVFMEKDATQIEINPLSETSDHQVLAMDAKLGFDDNADFRQKEVFSWRDTT QEDADEVKAAESGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGSPANFLDVGGGAT PAAIRSAFELITSDPKVTAIFVNIFGGIVRCDAIAQGLINVVQEMNLRTPIVARLQGT NMEKAHALISESGLKIFSIEDLQVAAEKSVQFSKVVKMARDIDVGVEFTLGI TRV_00294 MSSPDNRKSVLITGCSPGGIGHSLALEFQRNGLRVFATARNKEV LVDLEEKGIEALDLVVDNEKSILACFDEVKSRLGESKGLDILVNNA TRV_00295 MDLTHILERTCSKSDCRFHAAVFTAANRGRTGKFWIVEMIMITK GVLDQVLHSIPYGTQNVDGSGDAVTERSMFWCASMTKIVTAVAVMVAVEKGLVGLDDD VGAILPELAEPDIIVGFEDGNNAVNSSVKRWAEYHNHTATTDVTSRETYRLPLAFEPG KSWGYGPGVDWAGCVVEKVSNQKLGDFMQENIFQKLGITATTFHPENHPEFNARRVEL CQRAPDGSLSMTPVPYTIPAKDDLGGIGLYSTPKEFTRFLQMILRSGENVLRPESINT ILSSQLECNKEINAIRDRGPKIMSRLVNPGKMIDMGLSASINLDRVPGARYPGSISWA GAANAFWWLDMKAGICGTLFMHSFPPFDIAALDLLDELEAAVYTIEGL TRV_00296 MTYTFGCIFSLFSHCFLIRRDECRSKAQPNQLAQDTIIMPFEKR LAWALRCQGYRRPANWYPIFKLHPNSQNLYQGPPLPPEFWFFLSFLSPSNQLPSPFPL HQPKAQRLPFNMGFITKAIPLALAAASVINGAEIMETRAGVQTLADKYIVVMNDGMTD KDFDSHRSWVNRTHRRRLIRRGAKAMGGMKHTYRFPTGLKGYSGHFDEQMINEISKRA DVKYIERDARVQINAIEQQDNVPSWGLARVGSKEPGGTTYYYDGTAGEGSTAYVIDTG TDIQHEEFEGRATWGANFVDDMDMDCNGHGTHVSGTIGGKTFGVAKKSNVVAVKVLDC NGSGSNSGVIMGMEWATKDAQQKGADKAVANMSLGGAFSQASNDAAAAIAQGGVFLAV AAGNDNVDAADSSPASEPSICTVAASTEQDGKADFSNFGQVVDVYAPGDSITSAKPGG GSQVLSGTSMATPHVAGLGAYLIGLGKGGGPGLCDTIKQMAIDVIQNPGASTTSKLIN NGSGIGFLSFPLNIYEEQWSKLFDL TRV_00297 MAIPRYLRRPGDEGYRTPTIEDTEKNLSLSFVFPSQPPTPRCPT PPVLSQFRQRPPLAHNLPKIHGDGDGNGTITPVQLLESQLPSLSWRERIQHLTWSFFT LTMATGGLANVIHSIPVEARWLEIIGLVIFLVNLAFFVAISTLMCVRFYLYPYTFKAS FLHPTESLFIPSVIVSFGTILINVAQYGPSHAGEWLAHATVVLFWFDAALAVILSAGI YLILWSTQTFTIAKMTPIWIFPAYPMLIIGPHAGILSASVPQNHALRIIIGGWTIQGI GFLVSLMVYSAFIYRLMTQKLPNESLRPGMFVSVGPSAFTVAGIISMAENAARSLPAD FMGDGDLTAKIIYVVSNFAALWLWGLAVFFFFIAVFAHWTPARRGRMTFSMTWFSFVF PNTALTTATFAVGRAFNSNGIQIVGYALTILLFITYFFVFFMMVRAIRGRQILWPQKG EDKDEGGFKIKEHKPDANGVLPTHS TRV_00298 MKLVPPGKFCSSLIRQRPALRTPSAITPRYLASCSSIFTPAPSP SCPSSTRRLSQWCSGARWRTFQRRTPGYNYGGNDSHNRESWKRSRHSRSSYVLLVATI GAATLTPAALLELAEKKNQEDESSTREREMLHASRAEARSIRRTGRGIEDDSDGGDTE RQRARDVLWRIYGFLDEYIFEVIATGFRLVHLVVLFIPVIASVPIVFLGRRVKDRDNH RRGALLWYALVVYTMERAGSAFIKLGQWAASRSDIFPPELCAMMSSLHSHAPAHPLHI TKRTISRAFNGLPFDEIFEEFDEKPLGVGAIAQVYKAKLRPDLAGSLDRASKPTNLRE KIRRNVNVLVKSTPESVPSSHIAIKVLHPNVDRTVRRDLRIMGFFATLIHWIPTMEWL SLPDEVEKFGEMMKLQLDLRIEAANLAFFRENFKSRTTARFPYPYTEYTTREVLVEEF AQGIPLAAFLENGGGVFQHDIAQEGLDAFLHMLLIDNFVHSDLHPGNIMVRFYKPSQL DLSLSVQSPHGALVSESGNSATESVLSRLRKHRKDPKAWNETLKQIDAEGYRPQLIFI DTGLVTELNAVNRRDFLDLFRAIADFDGYKAGYLMIERSRQPDTVIDAEIFALRMQHL VLSIKGRTFALGNVKLGDVLSDVLYMVRNHHVRLEGDFINVVISALLLEGIGRKLDPD LDIFKNALPILRQLGSKSTLLKSVREGDTSMLRVWVGLEARSFLKASISSVEKCVKYD LLSPNI TRV_00299 MNSSPTESVIYPEPYSRLTETYARENAALPSSESLLALLTLLSK PESSLHTLSQMGIVEIDTDVAKLSDIGAVMCKLYSAENAAYVFLVSALHTKGYLNKIA QSFKTLWDMRAHRFDLSDVYHYASRFASLPEIHYRSTPEPGFTSYAQHEVRIELPGQN IKSVATGDFLPQVITEASRSFIAQTPRQGIPGNHGRIKNDSTLTVDVAPNLLNFYLSK CDQSSEIESRCTQENFGSSMVYYGTLYIDGKPVSKPVMADSAKSAKSLARLAGAVHLI SKNPSLWPDFINEMRETSPVVHSVSVSMSPETLSAIQTSLENVYYPSPRGQDNTKETC PNNIESLFPNVLASAVRQAESVDLPFLPFSNRTLEESLDARNSLPARKHSKQILDTIN EHTVSIIVGSTGSGKTSQIPQMVLEHFPSSNIMVTQPRRVTTTTMARRVAFERGEALG KSVGYEIRWDAIKPSSNNGITYRTTGVMLLDMLRRGDEILDTVSHIFVDETHERDTPT DLLLALLRREINRRSNASMPIPKIIIMTADPETAALETYMGAVSENGEPNPATRMVIP NSSHPVQRYFLEDILHSLFSKHSAEDLMPLLSDTSTSQHIAAEKDLITPEPSEGNDEA KLPIPNALLEEATANAPINEKEHFVPLGLTAATIAHIAKTEEEGVILALFPGLDVMKR LETLLYDHPLGVDFSDRTKFQIFKLHSGLGDIDENVLRPAKNGCRKIILSTNIAENSI NIPEVRYVVDSGKKHDSVYDPSTGLRKVVGGWVDKLAIHQREACASRTGNGSYYATFT QSRYDALTRSTPPRIYVDEIETESLQLRATLPDLPLRDFMRQVPNPPSLDRLNSTTAN LQSIGAIDNNGDITALGRILSLLPVPLKAGKMVMLGILLRCFEPALILGAATHARSLF IPSRSERWYNSPRRKFAGETNSDHIAILRAYRKINQISNKHGQEAARKYCLQQSMSHA TYLDMELFISRITLTLRHLNLIPATESESGENVLNENSGDHSVLRALITATVTPNIAI KPSDSGGQWHTKRFPAAVVSNKSTNSFQKSWAGRMLAFNEALNFHSEADAIMMDTTSV HPLMACLFGGNLSREDNALFLDSHLAVKIKSPDGSMESGSRAASAVVKARKLIDKASE DFFNDLASNRSWAEAESDRRRLATEIKSIIDTLPDTRQSGPLIRKIP TRV_00300 MFRQSALQTLSKAARPITTPVSRSFSSTAIRDHARLTVVGRLGA QPEVATSSNGREYVKYSVGTNYGPRDNQQTSWFRVTSFVPEGPGRDHLLNLPKGTLML VEGDATMRVSEDNEGRKTSMLGFVQREPIRSIYGIFNIYIINDLISFLS TRV_00301 MWILTQNLFNFINPLIWPDDDFNSRWMGYGYCDIQSKLITGAGV GIAGPLVCIFRSLAKVLDTERTTLVPTKGDRRWNITFDVIYCVIIPVVIMVLHFIIQD SRYYIYSIVGCMPAYHSSWVSFVVGYIWPPIILIIACFYCALVLYRLFKYKREFSQLV SSDSSTSKSKFVRLLTISLVLLLGSLPAQFFVFYTNITSYKPWAPYSWSEVHGPHWGE IIKFPMHGQVYYDRWIQAAAGFLLFFFFGIGHDATMMYRSILLRLGFGDCFPALRHPH IMINQRQGSSSTRFGSFSSTVKMALGRKKPLTSTIDSDVTLNEKTRNMSLDGCLFNEL EPSFSMDNQNSAQKPYQMPTVPTPINHPSRLNMSRKQRRQSGDSIFLEFLTETPSSSA VKGGREAKSGPDMV TRV_00302 MVALSTERDQEPSSSLATSRVKSQATEQLRRRQNASARLNLDDS APSTSPPGKLRRNSTFSDTVTETRRSIKSSTDDLFFPRASNSMGRTSPDDTDSHWHSS PLVLALLPGLGGLLFHNGAAVFTDVALLSISAVFLNWEWYFAAQERVQLVTDASDGEY LDESDTENCVPLDNSVNGENSHSSPPRKASAIACQNAKSELHIHELSALASCFLFPVL AAYLLHSIRFKLSRPSEGLVSNYSLTLFLLAAEIRPVSHLLKMVQARTLYLQRVVECN QPRSLSDKVPGSDAIAELSNRLDELESRFSTASLELNSVEESQSKLHPPKELVEEARK AMKSDIYTLDKLVRSQEKRYTTISAKLDAHTTHEFFDEQYNTYCFVFCDFTLTDRLVH DQITHTLLCVDIPRSEDKSGACK TRV_00303 MAVPGDEAQIVSNTTSTTNAEGLPGTFPDPQLEEPVVVSLNDLS LSAGVRSRKSEYIRQRKLRIRIGSWNVAALSGPEEDLKRWLIADPVAGGKATENGDTG VGDREDATDDEAAHSVSFKTYGEGPDLYILGLQEIVDVASATEAIKTYVDPGPSAKWN AALQEALPPGYTCIASQQLVGMLLLVYAAPSLEPHISSVSSTSVGTGFLGYTGNKGAV ATRIVIGETTRLVFVNSHLAAGAEKANLERRNWDAAQIISRSNFAPIEEQDLISGEMN HQFGEEEFSFWFGDLNYRLDGIPGNDVRRLLHLHVQDEFRPAKRNPPLQSIGNSQPEE SRKSFESDITSLPSEEGIQMDDNDLDPTEDPAFLLNTLSSLLPHDQLQAQQKSGKSFH QGWREGPITFLPTYKYDVGQIGVLDSSEKQRSPSWCDRILFRTKSDHMRHKRKVKEAE EAKKRDEEIKSLGLDKAAEDDNVLFDYDPDQDAADYDPEQDDITEDATNNDTTGENII SLLEYTSHQHIVSSDHKPIHADFDVTLDVVIPSLKTKIHQEVARELDKAENEARPDIT IVVDELSEARRSSSGGVALPTQPDTVHFGKVRYRDPTSRSLTLANTGGVPATFAFHYN PSIDMAGPCSSPPWLNIRVDWPANNPNQDDKSPKEYTFQPGDSANVRLTLCISDIDFV RKLNEGKAKVDDILVLRVTDGRDHFLSIQGHWLPTSFGFSLEELTCIPEDGAIAEHTK RSIAEWGMVSNDTNPESSGAPWEADKKPGWPFDSQTWSLNDTKEREKLLIDVREAIDT NSPFSEHFPPNFPWKYRTELLAETLITFLRSLRDGIITEHLWCALDERLKLLRDKSRQ QEPPSSEQMQSSILETLSTSPSHSVSFTFLTFMLNRIANEIAPITPTPTPSATSPPAE SPSTPTATSSEQPSIPTPAAQSTLLSRQASLSFPFRLRGRNSTLAGNSDADHSPSIPR KNQSVARREALNDAYASLFASVMFSSSIPLPEKDKDRRLLEERKKGVITPFLYSDTS TRV_00304 MGGSASLVDVYIVYMAGETSVVCRSKVKDEVGCCLQEEKLTLFT YDPEAWRDDGQKRQLLTSSQRTPQKIQAQGPSLQAHYLSSKAAPAPAAGPAPTRTNAE INLSVLRRHNPSISSILSLAQYAVIYHFNARTQLWEKIGVEGTLFVCQLTPGELGEDR YSVFLLNRRGMNNFDVKLSTGDDIEITEEYVILKVDEEDNTAPQTPEKGAVMASPGIK AMIYGIWIFSEPPPSSTADARTLNAQVIKECAVYAGESRKAAEERLAEERRQAAAQGS YYPSDTGDGEGLQGGIPMGRQISLKELFGQQRAQDDEWSVKVHSPGAGNAGPQHTSFP LPPHQPAPPLPQQHGGWNQTMPFPSGGNNVLGELFRSASMNYQTGNNN TRV_00305 MKSIFRRTRLFKPRSMSPGININLNPHITPNSFLSHVSPGGQGG GTTSLYPSTTLIYFISGNPGLIAYYHLFFSLLSSELSQANATSDGNGSYIIRGRSLGG FEVPAQGEEGNSAAATALYSLNDQISFMERDLESFVHAWQDAAMRERKLDEQPRANVI VMGHSVGAYMAMEIMRRRREKAGLQRKIQTARDANGNGDANGLGLDIIGGIMLFPTVV DIAKSPNGRILTVCLRARLEYHGDAN TRV_00306 MDGLPSAIMLPPPDLTNLAEIEHLIVGASATQPGRDAIARFVIQ EQYILKLLPLVAVAEDLEGLADLHRLCNIMKALILLNDNTIIEQVVSDPVISAVVGAL EYDPDFPTHKANHRQYLNDRSRYKEVVPIKDPIILRKIRHTWRLQYLKDVVLARILDD PTFSVLNSLIFFNQVDIVQHLQTNTAFLCELFSLFAPESPPAEARKREDAVQFLHQCM SIAKNLQAQSRGNLFANLINHGLFSVIAFAVKHPTPALRTTGIDILVVLLDHDPITMR GYMLKAFTDKKVSLTDTLIDLLHSEADLGVKNQLADALKVLLDPQPQMQELRSGEVVK MRQGQQGGQGQAQIQPHPVADAFMQNHFDSSAKRLFAPLTHLAEQEDHILTVIIVSGL SFQQVSLFAHLVEILTFFVRQHPIRSRSFIREESLIPRVAQLFTVTQKHLKLMALKFF RTLVSLHDTFYHAQLTHNNTFDLILNIVYETMPRDNLLNSACLDLFEFIKRENIKPII GHVVERYREKIQNITYVDTFQNLILRYDQMQGYGTNAATGAESSDAASTVFSQDEASS TPTRMIISGGAQRWGAMGVRDLTAAEEEYFETSDDEEDEVGFFSWEGEVAAAAAAAAA TSCGRGSGHRTVQLMPKLSSQVKPENTNSGAPNGTPNKPLVDYPDDDDDDDDAMDEQD ASAQTPQLQEQEQEQEQEQQQQQQQKIERFSFSEKRRREEDDDDDELIKLSSGSKRRN SVNPSNSVSPLFQRGNGNGNGSLRTKKGQGRSPSASPSPSPSSKGNGAEKDGSKEPQT AGKKIAITLNVSPSTKMALKSQNQSQENLTDSNSESKPEPAGEKHTDGAGIVDGTPTP ATAAISDGSDADTGSADSGGGGSQDSTEHNEPLPETASATPTTATTPVAATATAS TRV_00307 MTKKRKKKRKKPREEDEDEASQQGTATRRRAAGLVREDAGREEA EEEEEEKKKIKKMDYSTIKREEEEEEEEKRIREALAGVFSLFVFVFFFTAGFFSSSLL LLPLTLPLTALLLPTIDCSLFLSLSLSASSSLLLLFFLFFFFNFTFSYFFTFFYFFFL AFFLFFAVDFSITRRRWTLGLQDIKQTDRQTDEDEDEERPRIPLCGLFSDSLDSLASL EQTTSGSFASASAYKAPSSSAATTTTTIYTLDISLYITTISLYINI TRV_00308 MKSADASVQPVVADAETDSDSEKKGYFAYFRTRQFYIVLLLGQI LALCITATNTFSGLLSSAGTSIPAFQTLFNYVLLTLVYTSFTLYRYGARKWWSQLVLR DWWKYLIFAFCDVQGNYFIVLAYRYTTILSAQLINFWAIVMVVLISFTLLRVRYHWAQ YAGILVCIGGMGVLFGSDHITGANSGGPDKSRGDLIKGDLFALLGATFYGLTNVAEEY LVSKRPMYEVLGQLGMYATVIMGVQAAIFDRASFQQAVWNGAVAGYLVGYTLCLFLFY SLAPLLFRLASAAFFNISLLTSNFWGVVIGVKVFGLRIHFLYPIAFVLIIIGQFVYYL GRQVLGEAMKPWLGENQEQGVIGIGTARRRRDHGTNDATAASADSNV TRV_00309 MALTTSIRILNRTQARTHTINTRKIDKKSKRQTSSKRENKRNIP GRSLQPSFPMTVYKPQQTDRQTDRQTDEATKNGKWRIKELSGLLCIPLKLNEMR TRV_00310 MQALARPSRENPSPTPLPLNSMNDDANCDGLNHEEVERAIDNLS KSGKTFGGLPRRDSMPMMMGRPYDFTDPRAMGPMAASRHHSIGDYDAMRPHSASNLQG FYAAQRFQGRPNETEQMIQAKRRLAAQRERELRNYHQEQQYNRSLLADISGDKSDRSM SPAAMTEESRRDLIARQHRALYGNDSALQTPSAGPGDDSHSQAAGTPTTGLPSGGRGA SPRGVDPFLGGQPHADSQTQQATSGANVPCSVAPRTRSPPSTASPPSNTNPGSAATTT TYGGVFEPSQPPTSSPTGKGTTDSSPSRVGPIGSRPAQPQQQQQQSQQQHQPQQQQQQ QQPPTNQSPNPSLSLRSTTPLPSPLSYGFSPNEDGGIGSSTAAAATSATGGANNGSNG NNGSGNGTGTDAGAGSATQSSSAAATSRSASGNNSTSQSMKESSPTVGLGWGWRGKNQ LGVQASVWG TRV_00311 MMSTSTQVVVVFVEKKRTTDETTQEGRNNKKKERKLQQETPFKL PLPQLRVKTKTKNEAGESIVEEDSQRVTHPTTTTTPSSKQKRKKRDGRDKDEDEAEET LKQEKGREDEAGKEREKGEREREKKKIREEEKKRLENAANGRTEGGVL TRV_00312 MDQVVRAGWLVVYIIFSVAFSRDLENEERWLGEGGGYQEGRKGV RPLFQRRVSSWRLEAREPTQSATREQQAKHHWQRRTLQQPRSKRRERESRSVKDAGRR NAVSSREETGGQCQKHLDLQSIEPPGGRTARSSRERDDNGLCAGRETASRTGREEDAA AGLVVERWVEVEERLKLRNESRRSESSRKVERVWEGASGLVAVGGRRRSTSRPTQRHR RQRRRRHDSNGDGDGDDGDGYGDGDGDETDRCGLREDGREAERESGRGGTG TRV_00313 MGAGVNGHVASLHSKGDVSPVASDRSPAMAWAVPTEIPNYQPPF RPTLPTQQSSSLPSTPYQLPRDLSFRSRSPSPHRGSISPRSAHSEFNHTLPAVRKEYG GGCKYETGMAHFRRRMPYSLGSDMLPDEPGPLKEKLEPEKEVKLSRDIKELYQKLLPS PESEERRVKFVRKLEKLLDTQWPGNQIKVNVFGSSGNKLCTSDSDADFLAKSEHSSLF YSSSTRPFFANSSYTGGMERVVCVSHAKVPIVKIWDPELQVACDMNVNNTLALENTRM IKTYVELDDRIRPLAMLVKHWTKRRILNDAALGGTLSSYTWICLIINFLQTRIPPIVP SLQKRVAQSEGSTDGSSITSTTSCTSTYSSFDDDVEKLGGFGDDNKSTLGELLFQFFR YYAHEVDYEKNVMSVRHGKLISKEEKGWHLLQNNRLCVEEPFNTSRNLGNTADDTSFR GLHQELRRAFKAISEGNLDECCEQYEYPPEEERVWERPAPQPRPVITPVPPAHSNTRG GRGGGRGGRNQNHYNNRGSHGGRRASSAANRSNMARHQNAAFAAEIALQAQQAQHAQY LLHDHLYQQIQILQAQEQELRMKQAMISSRGNASLLRQQYIQFPMVPQQDSGSPDENS QNRANIMHGQGPLTTPVRQNIFFSPSFVTPVNVAAPQPQPQQPPSNTTNPPSPSPSTV TPDTRRNHRRSSITNSSPRSSLRAQSQPARPISACVPNNFSTFYATAMAQPEAQYVQQ QSARQSPDSPQRTPTEKDYGFPATGPYFVKTGPVDENGISPEYISYYVGDSPQTQGTY SRRSMASSYPGHAGLAIRNTGLYNIFYTPTEYRPIPMPTFDQSNIPLEQLDHMNVHTR VPQQQHYHQQATQPKTHSGQGPLIIDGSIPANDFRRQSTQDEHSEQFFTPQHSSVHSQ RTSRQDTPNNGSREPSPTEQLVYDEADSVFGTPHHETQQHHPQLNGWTQNIRTSNKPA INDHIGRLETLSEQLQRFQLSDPAYLPHVDASQLVKENGIHTHEQHHHANGSGNGNGN GNGVHNAPVQSQKPATNPKAAPAKETGRGSSPTVKRRGNGPGADTEHHPNGVSQKQKP RWHSVSGIASSAIPNATESRESPKPNGVHTNMKPVSNGGGHDHTSTSNTNNGGNGGWQ TTTTKKKNKKGANKPATGAEPLPADESLRKGG TRV_00314 MVVEAPPCPQSGNGFANGSAKPKAYRDEAERRRYEHHEADVVII GAGIAGCTLAVALGNQGRSVILLERSLKEPDRIVGELLQPGGVRALEQLGLRDCLEGI DAVRTYGYDVIYFGNGVKIPFPSDANDKILEGRCFHHGRFIMRLREAAAANPNVTIVE TKAVSTIKSTHTEDVLGVQCQTDGKQDFYFAPLTVVADGYASTFRKEYLPIQPVAKSK FWGLELIDAKLPIPGHGHVVLGDFPPILIYQIGEHETRILIDIPDNLPSASVANGGVK GHMRNVVLPSLPECIQPSFEAALEKGGFRSMPNSFLRPVTNRIPGLMFLGDSLNMRHP LTGGGMTVAFNDVVLLRDLLSPEAVPDLSDTKLVLRQLSKFHWQRKSLISVINILAQA LYSIFAAGGKHIFFPPFVTG TRV_00315 MMEAAEAGEFLGLDSMKRKSSTENRARNRNTVRTGTLANEGRED ATAPATYTHEKKSEISSSTSNIGILPENRRQRSLDLSARYSHPRGVMKFGGSSLNISP SDQYQNWKSRIENHLKQGQPIEAAHHFLRPPGDFVEENMETLKELSTSIFNENLKAGN TSLAGGIFRWDERNGQVTSDSWEALLLAQGSRWSADTLASLYLRHADRFELSYQLRSL VLRSLVDSFRLGEAKEFIFRYLKDDSSCGLCAIYLGGLYTKSRNMELVEVQAGKLASA LLGLGIPPTERLFNPLLKAYIESGYDEKAEALIEEMKDIYGITIGLRPLGLLAYGKAL KSDWPAVEEYLQQIHELGLDKSGRKNFTKIFDRIFLEYFLGNSGESIRTFIFKAIDEY GLEMDDVLFEHILIAFIQKGSMEMVDEFLQAAKTRQWNVTSNKEHFIHLLRNHRMTCE KSTVGLWRMFRSSQQKNGRAGASQRILGFDRDSFPLEEAYKLPWTGERTTWSDKVKYA STSGRDVDKFVALRKRMIHSINSGRMDEALELFTVAKASGKVMKQIHIELAVVASLMH NGSANEAQKILEEASRLFPDFDRTKLGTFYKQIFEVRSKEKALKMAVFLFYRTLEENM LPIKHHMTVSASAMLIKHGKPGAALRLFRAVNKGKYGATVPFDAVAVKMISRAAAASG SRRGLRWAILTAMSRKSALHRDLVVELQRLVAHLKYLLEQHSETSTPNAADDALYDEL EYLEYLVKLLDQKEAAQSGRVDTANVAPTDEMPTKAQPTPSYDTPVPFPDPALRASGL AHTLANWCERSEFETASGKERKGPIEMDGFVGSRGSRKLAEPELQLSHG TRV_00316 MAIAKPVSSSVELGLEESMSDNMTAGRRTPFEFASEPHLGTDIS DERTTLLAHGLDETEVEDFSSLPWWKRPSVLWLLPPLLPFTLAFGGVAVPKINLMLTL ICREYFSDRAAKDNTFTYAPVIFGAENPQCRIPEVHALVSRFQLVMNLIAGILSAVVS PKLGELSDGYGRTKIIGLCTLGTFLGEVITALVATFPDTLPVNLFLLGSFFDGMFGSI TAAVALTQSYAADCTPANKRNVSFGYFHGVLFIEIALGPLTASYPIKTTGDVRVVFYA VMACQVIMPIFISFIAPESLTKERQKRNREKRNIKPLDINKLRLQDFNPLHLLKPLAV LLPAADKTVSKTGAGKTRVKLVQRNLIILAAIDTALFGVSMGTMNTLILYVEYVFGWG NIESSLFVSITSTVRVLVLLGVLPLLTRWIRNRRNNTLSEAQTNCGSDKLDIGIIRVS ILFEFLGYAGFCLVKTGPLMVLCGVLNAMSAMASPTINSTLTKHLPSDRTGQLLGAVG LLHALARVIAPTVLGFVYSWTVGKLTQTVWILLASLLFLSFISCWFLLPHKQPSEHLE ENM TRV_00317 MCKILDKISPETAPHKPYVAFRYAAPLTEEMYTQLLNDGFGKGK GGRAVAFTQYPQYSCSTTGSSLNDLWRWKNKLEGRRGAEGSEDVSGNIQWSVIDRWPT HPGLIEAFAQNIEAQLATYPEDKRDNVVLLFSAHSLPMTVVNRGDPYPAEVAATVYAV MQRLKFSNPYRLCWQSQVGPQAWLGAQTSDTVQNYVSRGQTDLLLIPVAFTSDHIETL YELDKEVIHEANNPGVKRVESLNGNPVFIQGLADLAAEHLRSGAKCTHQMMLRCQNCT SERCLEQKKFFAGPEGRDLVR TRV_00318 MASNWVRDEDDVEEEEDDIDDTDYKSSKDAVLFAIEVSESMLTP PPDFKSKKADKDAPITAALKSAYHIMQQRIISNPQDMMGVLLYGTKDSKFYDEDEEGR GTLPYPHCYLYTDLDVPAASDVKDLRALAEDEDSAAEILIPSEEPVTMANVLFCANQI FTSKAANFSSRRLFIITDNDNPHQNEKALRSAATVRAKDLYDLGVIIELFPISRPGEE FDRSKFYADIIYKASPTDPEAPAFPQAATKTATSGGDGITLLNSLISSINSKSVPRRA LFSNVPLEIGPGLKISVTGYLIFKRQEPARSCYIWLAGEQPQIAKGLTTQLADDSARE VEKWEIRKAYKFGGEQVSFTQEEQAEIRNFGEPTIRILGFKPLSSLPIWASMKHPTFL YPSEEGYVGSTRTFSALHQTLLKQKKMALVWFVPRRNAAPVMAAMIAGEEKLDENGEQ TIPPGMWILPLPFADDIRQNPETNHITAPDSLINKMRPIIRPLQLQNAQYDPQRYPNP SLQWHYKILQALALDEDLPEEPEDKTKPKYKAIDKANDMQRTGDLVIEWGEELEAQYR ALEKSQPATSTLVKRPAPSAKGVKEEPASKRAKTEEPEDIKAYYEKGTLNKLTVAVLK DFLTSHSLPTSGKKADLVERVEEHFGG TRV_00320 MLSGILIFNQKGENLIFRSFRNDCRPRLADIFRIQVISNAQVRS PILTLGSTTFSHVKHENIYLVAVTKSNANAALVFEFLYKLIMLGKGYFGKFDEEAVKN NFVLIYELLDEILDFGYPQNTETDTLKMYITTEGVKSSIVNSATDSSRITMQATGALS WRRSDIKYRKNEAFVDVIEDVNLLMSATGTVLRADVNGHIVMRTYLSGTPECKFGLND RLLLDNDDANGLPGKPRTTRAAAGSVTLEDCQFHQCVKLGQFDADRIISFIPPDGEFE LMRYRATENVNLPFKVHPIVREVGTTKVEYSIAIKANYGPKLFATNVVVRIPTPLNTA KITERTTQGRAKYEPEHNNIVWKIARFSGQSEFVLTAEATLTSMTQQKTWSRPPLSLA FSLLMFTSSGLLVRYLKVFEKGNYSSVKWVRYMTRAGSYEIR TRV_00321 MERTEPWSVGAGLGDLYLGQPIPQLSLRRALSFLLPPSGCTQLL LLPWTHHVTPRPRGHATASYISQYKRTVSGGTDDTTIRLNTDLADLLSISFSLPLSLF PPILSLLSFLTLQPASSPTPLSIFCCLFIINYFSLRDTNDVPPSAEESPVRTTKTAFS TARRSQTVSSREAEGDESHTYIPLVPVLTPEYSADLEASFPARPEPLYIRKTSSPLPQ GRIHCHRQQSHEQPSPLLPLFKYARRSPSSSLWNPVNYIPRSATRSSRQQRSALTGDS RPKRDADPLLTLPERRRILSQRSSVASIPLKTDVDEPDAVNRTSVGLPRRHRRADTWG GIEMVNYSGASAGQSNHLRPPERPYAVRNGNLFSMNGSPAAQQHPDDPPETPRHVNSH LSIRPQTFIAVPPVNANNASTNPQSPSGPPNGDATEELSWGPAHPCFPHMNTHVSLNS EDYVHTRVIRIRRDWMVKGDLAPTFSNLYPEILDPLLGEQEFRTIITKINQELINAFD PYAARNWFDGIMGFLTGWVWDDLGASRIKSRIKGLEAWIDKWNREVGAAEGVKIWGPR RTGFLSLDIQIPDPKIGIVESDGGSDLGTRTRPSTANTRLHERPQHV TRV_00322 MLFADLPAKQMAKLEIYTFGSAADHFNNPLNDTGTGGVIRHIEH YVNKNDPVPQWGVLRSISNPKNKYAGRVFICGDGHGHLFNQHYLSQMFPLGAEISPSR AYFVNHTVSVDKRVSEARHRFVCQEANKLTPNEDGPSSPSGTAARGAIGFLTGMLVRE VSRLSQYMAGREPDADHPPEMRDSERLATPSR TRV_00323 MNRETILRKVRECDPYGRVTLKQLEWHPEDNSNYYATDQAFNGS SWQCYLCPSCFKTRHGLNQHLNSPVHKQKVYRCPNDRSQCGKEFVTLAALFHHLESES CSVVRFETAQKGVNDMFLKGKMITF TRV_00324 MRNNLTYIYQSRCPVQHGQPMNTNATPIPEGSCPVRAKDSPFYV PPSTSAKPQNATPSAPEPEQKSVLSMLNPLNYMFADISQSRAPNQTVELSVDREPSSI PRGDSSGAKWEYPSPQQMYNAMLRKGYTDTPQDAVESMVSVHNFLNEGAWQEIVDWER TFSKGLVQGWQKCRRGSENLAMDLERERMRSGAGGETDDEPRLARFMGRPQDLTPKAQ FFQLLGRVYPSKFGTAPPFDRHDWYVLRKTPQGDKEIRYVIDYYSGPPEPTGEPVFFL DIRPAVDTPTAAAERLLKWGGDIWWRASGGAARENQ TRV_00325 MSKAKGSPLSTKWKPRGSQKSALSLKEKAKVLSQLGSITWQLSQ LRFEKIGSLFEEEDGHFRVGSCLSRGLVDFDRDSLDELPRGPFSCENEYVDALVLGFL QHAECLQLHHHCFFAPLPTRKRYNNDREYRRACEQWNNFVTLGSKIDGSDNRTDYIVV GNFLRAIASKWTMKGAAAGPRDTNLEFTLHHPDLNVNNIFVDDDCTITCIIDWAFCSS VPLSVLLMAPGLPQSRDELEAPLIAAFEDGLSRSISTGDIISSRLRAFPSRRFLWLFY RLVSFDSSGDYSQFKEIWRLSDQNNLDFSTFFQSQQRSDSYIKKHDEMKQDDESIDRV MRREAAYFQRDMVGLTVSRKLTLVSEWTSRYRKNDNKGIRRSGSIFVADDRLWKWVLR SLEQVEGLE TRV_00203 MLTIRAGSKQCMVLIYLDLKTGVIPSASGSAYFELHPSNSSSGG SLIPPSSSLKLICSVNGPKPLSRSTPFSPNLLLSAHVKFAPFANRRRRAHVRDMNERD LGVHLENALRGAIIGDRWPKSGLDITIMILEGEDDRWWGDMSTAETLTGSDGWGMMNV LAHCVTVASAAIADARIDCLDLVAGGVAAVVDTCSSGEGATSSTKTVVLDPDPSEHLN ISSSCVVGYMPSLDEITELWLKGDMPGASSDGTYDSPNHDALMGGAIAAAKASHSVLI EAVKESAVQAISKTANNKPHDSSSEMEI TRV_00204 MESKEFGTAIPTPGVDIPISSSTVNVRVIDTNTLVHLNPKLFWE PMIEGFTGFHAPSYCFLVSSGTRNAVFDLGIRTDWRNYAPKVVSVIEETTVITVGSDV ASIIESDAGGLNLRREDIQAVIWSHNHFDHIGDMSVFPPTTDLVVGPGARDIALSGWP QNPDSFVLESDTSGRVVREISFDNCPSIGRFRAKDFFGNGSFYLLDAPGHAQGHLCAL ARTTADPPSFIFMGADACHHPGVLRPSSYLPLPVSVKQGEDKSTGHRVLVRDYARTRC PTKSIFEVSHGFLFPDRDAAMETVGKVQEFDALDNVFVIISHDVSLSGVIPLFPQTIN SWKTDDLKGKTRWRFCGY TRV_00205 MSSPRRTRRHVDVLEAIFSKQSLYHAPTVVPLTTTSYNEDIAER NLAGGGQEHGSCPSRYSHVISAIYQEDVADRNMVPFSPPILISPPPGEEQVAGNNQKR AVARRVKKSQRESDSSLCEETAALRTIASDQDIRRHPSCSSNRNELSSGKKLRADMDE NNPLRSRKSAPNFSVHPAEDEEQNPNEASTASKKLPQARRTQDISQAEQGCEQTIERP LSKSSNDDPKTARESTSKSESQENSSSPAHSSSNRLLSPNSARRRVKRNVRDLSINTQ LAAPGKKFAKISKKSPLRPVPVSPCREPSSTLDEIVNSPIALTSPINPSPRLTGFTAS EMLNLFKQAYASSQTNATRPTFESLQDAIIREINSHDAFSHLNPQSPSPVSPVPSLTP DLSTNGKQTEKYTTRPMTALSATRSISSREGSVRSKRSFCCDHQSRPDSQGREVSFPA IKELDPENSNSVALKRRHSCSQPAPSPRVRIFEPNDCLHGRRQQVSVATGQVSDMYQT KDPIGHSSLHFRQQLSNGYISKAVSIFDTPQTMKPSMSINGRDGVHMGDISPPVVTIF SDVEEKPYSGLRRKRSTTMKSSKLHLFIPCRKSSRPKHIPIVISNTTTNNVLYDPPSS GTKKSPISPLSTSSGRKRMPFRIIGS TRV_00206 MGEPTSPSSIPETTTQHTNGSLAAEKVEGDAQLERVEANQQSPD PNIVDWDGPDDPENPLNWSSTRRILIIIFVSSYTFTSNLAATIFAPGVKQMTHEFHIT NPTVEYMIISIYVLGFALGPIIFGPLSELYGRLVIYYTCILVFLAFTAGCTFSTNVEM FLAFRFICGCAASGPMSIGGGTLADIIPQEERGRAISIFTLGPMLGPAGIISIGTFLF LKETYAPTILQNKAKRLRKKTGNPNLKPKLVKKGAPHQMILQAIIRPMKLLIFSPIVL LLALYAGLVYGFFFLLFATFPSVFQNTYGFSSGTSGLAYLGLGVGMMIGLAVFSVVSD RVLVKKPGESMAKPEQRLIPMKWFGLATPIGCFIYGWAAHYHTHWTIPILGTVIMGFS SLFIMTPAQIYCVDAFGPQAAASALAANLLIRSPFGAFLDLAAGPLYGKLGLGWGNSV LGFIILAFTPVPWLFYRYGEYLRTRFSVEL TRV_00207 MSGQESAAPAAATEPTSLPERSANPAGDAAAPQPAEISKNAAKK AAKKEKLAQGKAGKAEKAEKGIGHSGTKHAAVKPAKKKGDGPALIGIDVAKEDDFAAW YQQVLLKGEMLDYYDVSGCFVLKPHSFFIWEQIQSWFDAKIKQMNVKNCSFPLFVSED VLKKEKDHIEGFAAEVAWVTHAGNSPLEKKIAIRPTSETVMYPYYAKWIRSHRDLPLK LNQWNSVVRWEFKNPQPFLRTREFLWQEGHTAHLTREEAHTEVLQILQHYAHVYEELL AVPVIQGQKTDKEKFAGGLYTTTVEGYIPATGRGIQGGTSHGLGQNFSKMFGITVEDP SARPDEKKPPLHVWQNSWGLSTRTIGVMVMIHGDNRGLVLPPRVADIQTVIVPVGLGA KTPDEERAAIMAEIEAIATVLSAAGVRVEVDKRDGYTPGWKFNDWELKGIPLRLEFGP GESAGSFVTTSRRDIAGKEGKSSIQIKDLGTAVPALLETIQADLYSRAKASFDENLKK ITNWDDFCPALNSKNICMIPHCLTEECEDQIKEMSARKAEEESGEPQDAKAPSMGAKS LCIPFDQPEGIELGVTKCTNPKCEKTAEKWCMFGPIEFNEFLYFISQ TRV_00208 MDVTVTNDGATILSLLDVEHPAGKILVDLAQQQDKEVGDGTTSV VLIAAELLRRGNELMKNRIHPTTIITGYRLALREAVKYMNENISIKVENLEKDSMLNI AKTSMSSKIIGSDMDFFAKMVVDAMLSVKTTSPKGEVKYPVKAVNLLKAHGKSATESI LVNGYALNCTIASQAMPTRITDAKIACLDMNLQKERMKLGVHITVEDPTQLEKIRERE AGIVIDRIEMILKAGANVIFTTKGIDDMCLKMFVEKGAMAVRRCKKEDLRRIAKATGA TLLSTLSDLNGDEKFETSSLGYADEVVQERISDDECILVKGTKVFSSASIILRGSNDF QLDEMERSVHDSLCAVKRTLESGSIVPGGGAVETALHIYLEEFASTVSSREQLAIGEF AQSLLIIPKTLAVNAAKDASELVAQLRSRHAHALRVQEGVANEADKAIAKQKNYRNYG LELLKGRVTDSVKAGVLEPSMSKVKQLKSAVEACIAIMRIDTMIKLDPEKREDDGHGH TRV_00209 MVDVHEDDAVPSSPPSLNATEDTLPSSPPPMKPKKKPPVTPRSF RRFFTPRSSLNAPNTRSRSIRNALQEITSSPALNRLGPAFPGVPDSSDCAIASSSPCQ DSFRTPSKKRRLSFCGLSSPPLQSSPLRRVRIAPPIFDDASSPKRGNIFAPADEVDEP EAEEPIETLQMPAPLRRSKVLGQTSSSLHVRSFGLSRRSRTTIRASSGINWQDETASF YSKSEDSHACWKGEYPVTPYCTASCNTNSLVAVGDEAGGIRLLDTSPDVENGFSSAYL SFPSMHKNSIMDLEFSSDDKLLATASGDQTSHIIDMTTQTPIYSLSKHTCSVKRVQFQ PGSNNNVVATCSRDGSVNIWDLRYKAYDKPAFRLQCSLGDDDDDTLRPPAKMKYAQTS KTITGAHLERARTKSEQESQLRRDDTTVTSVSFLNPGREHLFVTSSESNACVRLWDLR TAYTLRRAAVPLASTRQPDSHSRFRQFGLTSLTFNTDGSRLYTLCRDGTIYAYSTPHL LLGNSPEMSQPNNTPGRRYPAEEAKPGLGPLYGFRHPRLMVGSFFVKLGLRRPADDKT ELLSVGSSDNCAILFPTNERYLTPSLASSTNQPPSTTPTTNTSLPPIQSAAEHRLRPC LRRSNSSSSLSDRLEHTIPIYQHGTALVEGHNKEVTAVSWAHGGELVTVSDDLHARCW REGPAARKLRRDGDINGQRWQCGWADVKDPSYDDDEA TRV_00210 MAQIKRKGHGQDEPSSSMPKKKAKVDAEELNGASKPKKKSKEPK EQKEQKETVKANKKDTSAVSKAAPISMLRDEQPAFPRGGNNVLTPLERKQIQIQATKD VLFEQKGKNGAEFANSEDEGSLGAAEDKKDAGTKSKKRKAKASKTKEAPAAAKQGVKV ESLTYKVRSDATTVVKSDHQLIDNSVLRKVEAMLGDDKSEDEEDEDDDLELKNYVKVG QYLRAAVTATIRNEDDRKVKNKKHIELSIEPHAANSGLTKADMVVNATVQASVVSVED HGLVMDLGLEENEAKGFISSRELPAGVDISTVKEGSVFLCIVTGQNASGTVIKLSADL ASAGSVKKAHFLNTAPTINAFLPGTAAEILITEVTPRGMIGKIMGMLDAVVDVIHSGA SDGKKDLTTKYHAGAKIKGRLITTYPSSDPIKLGFSILDSVLKFSPTATLVNGADDDL PSISDIIPEVKVTYVDSSLGLYVQLGSTKHQGFVHLSRLADGRVDSISSIEGPYKVGS THEGRIIGFSAMDNLFLVSLEPKIIEQPFFRLEDVTVGSVVKGKIEKLLIKPEGINGL IVSLTDSISGLVPEMHMADTKLQHPEKKFREGLKVSVRVLSVDLQKRQLRLTLKKSLL NSDSAPWKDYESISAGSRSPGTLIKIQNNGAIVQFYGSVKGFLPVSEMSEAYIKDPSQ HFTVGQVVNVHALTVDAENERLVVSCKDPSTVTADYQSAFENVHPGLVVSGTVFEKSS DDLLIKLQDSGLIARLTSNHISDGSATKRTSTLNRIRVGQKMDGLLVYRVKKSHRLIE VTNKATLKEAAAEGRLPAKFEDIKHGLKVTGIVKNIIPEGIFVEFLDNLTGLIPKRLV DDDHTSRPDFGYSRFQVISATVCAVQEDSDRFLLSLKPVSKPKQQSDSSSASEKKAPA VVLNNPIDEELKAMEDIVVGKITKAKITSVKDTQLNVVLADNVQGRIDISEIFDSWED IKDRKRPLKSFHSKEILPVRVLGIHDARNHKFLPISHRAGKVSVYELSTKRSCLQASE FKPLHLEQLKVGERYIGFVNNIAEDCLWLNISPNVRGRLRITDISDDISIRGNIQKNF PIGSALQVAVTGIDAEKNRLDLSARIGSSAKTLTVADLSKGMILVGRVTKITDRQVLV QINDNVVGAVSLIDMADDYTKVNPTNFHKNEALRVCVVDVDIPNKKICFSVRPSKVLS SSLPVVDPEITSIDNLKVGQIVRGFVRRVDNIGVFVTLGHDVTAYIRVSDLSDSFLKE WKDEFQVDQLVQGRLTVVDKDNNKVQMTLKKSALDPNYKPPFTLKDLKVGQIVTGKVR KVEEYGAFIAIDGSANLSGLCHRSEMAEKRVEDATQLYEKDDIVKAKVLKVDLEKGQI ALGLKASYFRDLPEEEESDANSSDDEAGGIKLDVGSDSDDDVSMGGVDLEGEDDEEEE EDSDEDIEMENAPDSTKKSGLVTSGFDWTGDGDKDMNEAADESTEDDGATKRKKRRKA EIQVDRTGDLDANGPQTVADYERLLLGEPDSSLLWLKYMAFQLELGEVDKAREIADRA LRTMSIGQDTEKLNVWVARLNLENTFGNDDTLDEVFKSACEYNDAHEIYDRMTSIFIQ SGKAEKADELFQTALKKKVSSTPDFFLNYANFLFDTMEAPQRGRDLLPRALQSLPPHT HVEVTSRFGQLEFRSPNGGIERGRTVFEGLLSSFPKRIDLWNVLLDLEIKVGDEEQVR RLFERVLGIGHGVVAADGTKGGPKKKLKEKQAKFLFKKWLAFEEKIAPEGDTKMVDEV KARAADYVRSIKGDA TRV_00211 MPHKHKRRGNGHDDYDLPPTSIAKSLPTRLEKADAKSKGGQDTK KGPKQKKSTTKALQDDTPKAFARLMRVYQESNGKRKQGDRDAELDSSEHNSRKRKRQN DTNQQKTQNKNSKAKAAADAKDANIPKILPGERISEFAARVDRALPFSELAKRASLSK GGKDAVLGKIRDTRQTKHEKRLLRLQSQWREEDRKFREKRQAAIEEAEGEEEEINDLW KEWEREAGAGVKGKSKKTSLAQKKKKKKKKGIDDGDGDDHAISSDDDDDPWAKLNKRA NITKPINPADVVQAPPEKLAKPREIFKVRGMGGAKVHVADVPAAAGSLRRREELATER QSIVEQYRKLMASKRE TRV_00212 MNSLLRERWSKGEMIKRVGSYTYSDLRGNKPGRRGLYKPRQNPS MKISKQARQQRRKQQQQQSQPNSQFQPPFKSTHSSIKMRSAIFISAISFLPAALAAPM QISARDCVGPDVNPATIALIKEFEGFVPAPAPDPVGLPTVGYGHLCQSKNCGEVGFPF PLTEDTATQLLIQDVKAPQQTITLKTADGVHLNENQYGALVSWTFNVGPGNVATSSLL QRLNALEDVNTVLREELPQWKYGGGKVLPGLVRRRAAEVALGETASDVPALPVAC TRV_00213 MPILNSPFAPSAFANSSGRGEVVLTVLPPAIPSLSTVSYNYPLK LLSRTPEGELKSRYPATATPHLHLYLLTYGGGLLPGDHIDVSVTVKPRGRLVVTTPQG STKIYKTEAQGGRKRPIPKTDNDRSKQTLKVQLGRESGLCLLPDPSAPFADSRYDQFQ TFTLLHGDHSKAAGSSQGDEGVTKPAHKGSLCVLDWVTEGRSARGENWSFDSWTGRNE VWLEDCKTGKRRLLLRDAVILNNETAAQKQLDANDPDSSAESHMSSTQSQTSVRSRVH PHGVLGTLIIHGPLFESLADFFMEAFTSQPRIGGQNWSSSSTYYPTHTNEHVNNNEKY DNHDDTTKPAEMRHRNVTWTAARVRNGFVLVKFGAPDFENARDWLGHMLRAEGSIQRE FGEEALGSL TRV_00214 MEAPEERGSSPLSEVGSEAALQEDQGPSRRQSGRVRRKPEIFSS QTFNSSRPKRKRQSEGHDNEDDASNDESDQAQQEDEVDEDEEDDESEESEGEADDEDF RARRQAKKRDPSSRKQQNKKSKAKPAAKKQKIMNGISTELALRPIMNGQKPKPPSRKK KPRMRQSELGDEEGLYAEVFGRGHTTEAVAAEWLTKYEEHNINAMRDLINFVLRCSGT DIKVESTDIEDVDNVSNRLTDIQEEYQAQDITDYPLVSKAKNLKSFQSVLTSFFDDLI RTIHSASILYSDPALFENIQAWISSMSSAPIRPFRHTATIISLTIMTTLCHIAKEVSS SVSNSRTQLETERKKKSANKGRISALQTKIKEGQKRLEAIDDMLRDSFDAVFVHRYRD VDPKIRQECMGLLGLWISLYKDMFFDGQYLRYLGWVLSDTVAATRSVVIHQLHKLFQN KDNIPGLRGFTERFRPRIVEMAVRDAEPGVRAATVELLDVIRDAGLLEPDDIDSIGRL VFDIEPRVRKAAGKFFVANIVDVYESSTESMEEEVNEFFGEEDEENHDTPNRSWIKFK CLVDMLQVYDAQETELHDENDQSTSRYAPFGNSIGSRFVLATESIYPHFKEFEHWESL AGYLLYDHSQISEPTDDNDTTAAIKCLYKLNEGQETILLEVLECAVKLHIQVVSKSDV DKKGRKTKQSVQLVEERLESIAHNLSQIIPRLLNKFGAVPEAASPVLRLEHLVNLDLM QEIQKDAAAYSDLLNNINQQFLTHSDQAVLAEATVAFLRARSSDELKEAMEGKIQELW DDTVDSLNNILENKKEPESVKLPKGALATLSSTVIRISNLASISDCTSTLETAPRPTS KKQNKRAEAPVDLLLSLVKRSLREEDDDDDVNKLEQDIALNIFKTLLVYFMWKIQNLT AAVTTGSNKGAYDAEYFESLSQRRESFVATLSALMQPHGSGLKHVKLAATTTLLDLQT AFGTLRHAAPPGKNVTSMTKDDLAFRVQELPQEISPEIRNVLSRLHDSAEQTFAKKSK RKLDVAVDAEPAESESEMDLNSDDEEGDASDADENEEEAKRSILLAEQRLCELTGKIV LAIIGRVLDVSGPQAGKLRRKLLRNKSRLGQNYKEVLSYLEDSQKDGPATRRRVAPKG KEPEGQEDTAANGVAPNTRGGKIKSTELVEDDDEEEEDAIVGDEDDEENLRARGLIED EEEEPAVSDGEEERDAASPSPDEDDIMGD TRV_00215 MSAQIPNTLTGGCLCKEIQYTIDVPKDISWPPDCRKATGSIIAH LITFDPSAVKWNGTPTEFESSAQGRRGFCSKCGTSLYFRDIGLPAEVEICTGSLDEEI LENPQLANDLCDPSCGRFWCKREIKSTIYENSAGKRWLEGSKSRPMYDGE TRV_00216 MKRKNGSVRKRASRQSLNLHTNGHANAGGKMDSQIEMRKKGVSL ESTSTHTSRVMDRPEFSLDDSPPPTPQSAAAVSTSFNDLPAPDKRNFLLLCMLYFLQG VPMGLAMGSVPFLLKPNLSYGQIGVFSLASYPYSLKLLWSPIVDAVWSQRFGRRKSWI MPIQTISGLAMVYLGSHIANMMTAAADKGGDGIWGFTKWWFFLVFLCATQDIAVDGWA ISLISPQNIAFASTAQTVGLTAGHFLSYTVFLAFNSPHFANTWFRSTPSNEGLISLGG YLKFWGWAYLLVTIGLAVLKKEEISKDRDGIVEVYKSMWKILKLSNIQTIIIIHLIAK IGFQANEAVTSLKLIDKGFGQDNMALVVLVDFPFELALGYYAGKWSTEYTPMRLWCWS FVGRLVAALLAQAVVMIYPSAPGAAVPGWYMFAVIGEHLLSTSMNTIMFVACSAFHAK ISDPTIGGTYMTLLATVSNLGGTFPKYFVLKLVDSLHSATCVPPSKAPQGVQSPITTS FSCSLEADKNRCLSGGGVCRVDRDGFYYTNILCVAVGALTFWFFIRGAVMKLQRLPLR AWRVAPEDTVR TRV_00217 MAEENQSAALDEEISAVREESRSILGFIRPVGPANSDLVQKLTA RRQVLSASLLSSSTVRNSLESHLLSQVDSDTPSAIVESKRHAETNHHRIVFSATSFPF KDPSPHTDSPDLLGFRIDVNVRDGTFVKPYYLLLRRTGGDRKLLQIHRHTIPVFIPLK HLEQKYLPIQASEDGSGGGLKPWKRKKQNLKGLVRGLRRELVAWHLRRDVITLLQEQL GIANLNSTDIPANTTAFKLGILSVTAASIEARYIRFQWQDGRIGRVKVCNRGLVERVI IIGDNGRDKVTEMTIAGGDTRAESIVQRLLDSET TRV_00218 MKVTSSIAAVVALVFASSAGAWRLNAYQLRNSEGPKFTAGGPGG SGSACHSVGDLNNKISSIEWYSDDPTHHTRCCFVPFNGLGCTGDLGQSWCRNIRVSDL GQIGMDNKISSFRTDCYKPTTKRMEEFQF TRV_00219 MANQEGFPTANSDTLLHEPNYRTYVLQLSEKMEEEELTALRQKA LDIGLTIKDDSEESKGRLEIASESYDSSTPTPSTLMSPSQVTIPSSVSSSTQRLPSGA HSVSSMATCLSTCNEGKTHQKLPIISPTPSRHSFDASYYQDHRFTSGFRQSILSRFPY FEKRLSVPNALPSGSSSPLIPSQIFRQDYTVEARIEVAPSSESPSPVHLEIPKLEEPI DMESVARSLSCSILTTLRDIHESQKRRHLEFQSKIINSIKTKHDALIEVRRKQNQENE AALESRNTAKAAKIEERDLVAELSLVAELQREKQSLQTSIRHMEAYFSTPPPSLSSDK NDFYASLQPREYTDQKRNRLFQSYHELATLDALHQSKIKVLRDRQARAFQESLQDMEL DSIELARKNSEALSDLEKRKQEETKIVLAWLDGKKQGLIYRWALEEGIARRQLEDETR LTYGPLPTISFSEGEYDGLTLEN TRV_00220 MVQKRAPPKDEEEQDEEDSPAFLPLESIHETGKTTSHSDPAATL REMPQQLKHQGRQVSGGVTPLAKTKQDLPESSTTSSSSGMATGSPANEPSTSRRPTGP VGPLSPRRTAELSGRSPHGRGSEGTPSMGSSFSDLDDASVSQSALEEALLSNMRSGVT SRMSTISQALRSRYL TRV_00221 MSQAAHIASSTQTLTPEEAESYYFKINPPPPSLQEHHDLAKEFI DRHVQTGRRLVLVTSGGTTVPLETQTVRFVDNFSAGTRGATSAEYFLQEGYAVIFLHR QFSLLPYSRHFSHSTNCFLDFMDEGASSSDSSGTNNNGDGPIIVRSEYQDEMRKVLRQ YRYAKDNNLLLVLPFVTVTEYLYELRSLANLMKPLGPNALFYLAAAVSDFFIPRDRMV EHKIQSSNEPVTNKEKSTEKANSDIDPDTIYTGFNDPKPPTHGKKLIIDLDPVPKFLH RLVDGWAPTGSMVVSFKLETDPDLLISKSEQALQRYAHHMVIGNLLSTRKWEVVFVSR DKTTGKFGLEWVRVPKSTTRMRKGSVAASGGTAQPQPEVEIESLIIPELKKAHTEMIE TFRKSHESK TRV_00222 MGPSNWFQLLASMAISSVILMNANSSLAAPVAKYDPLVIDTFRS PETNDLGFWHGVDEGMPSEYGEDFLRLSPTNADMNFYTQIATSCLDMTAYKDSYLHVV FKGSDKFTISVTQHNAECDQERAPFPETWDSVEASRYSRGGHIYVPLSHFYIDFMRPN SIGFHGFYTRKDVTLFKVEIVSSLPKGIKLPDKLPTGTLALRCKRPNSFAFGIDDGQP QYAQEVMKMIAEENILVTFFTVGNGLLDEAANFTNVYKDMLDRGHQVALHTYSHPMME GLPTTEKIDEEFKKNMEVFKEKLGIETLVTDPYIINWSVDIEDWLWAGSPTPEKQLEA FKRDLEKGGDIAVMHYLNPTTISYFREVFQLVKKSGRRIMRVDQCMEDPSAPPLK TRV_00223 MLLPKMPKLNDITPFLCHVLFYIFYHHPHCVLFCSPGQKLCFVS EEICRFSAKDIKKEEISASSSSSSSFFFSFCYCFSPYCVDFLCFSASSYFITLTVSST GTPTLHQILNQVWLSRHLLYFFFFEAIVMPPGPLEDMSNVADALPEAEEAAAMAREKG WVVPQRYNYEAYNALNRDDYADALPWASNSAKYEWKEEYGDIGPPNEELEQMLFKDEY IPRVGKLLENIRQIQVTAETTERPDPIKSFEDAGLHPIMLNNIKLCGYIVPTPVQAYC IPAVLTGHDLIAVAQTGSGKTAAFLIPVLSKLMGKAKKLAAPRPDLGNGFNESLDAVR AEPLVLIVVPTRELATQIFDEARRLCYRSMLRPCVIYGGGPSRDQRMELQKGCDILIA TPGRLIDFMEKPNILSLCRVRYTIIDEADELLKSDWDVEFTKILAGGDVNEDADHRYM MFSATFNKGCRQVARKFLANDYVRIRIGRVGSTHLNVTQQFVWAEENMKKKCLYDLLM AMPPSRTIVFVNNKTQADLIDDFLFNMGLPSTSIHSDRTQREREDAIRAFKTAKSPIL IATAVSARGLDIKNVMHVVNYDLPSVNHGGIDEYIHRIGRTARIGNPGLATSFYNDKN SDIASDLVKILVESKQVIPDFLQSEVPPDGVLDFNDDTDDEAGNDDNAGDDGWGAAAL PSVAAGFAEAANGKSEAETQGGWGSGPADGEW TRV_00224 MKGILLALLVCVAEAHIIFKHAPLSCDPILPAFQGCLRGQRCSR HGQCESSHRPEDEIQPPQLDATVEVVQRDSEIQYAPGKCRGGAGEGEAGIAVDCFMKH PSHLIDDGDVAQKAARPYLRRPFWRPHFRPKPTVSITGTNRMKLPASTASATPTSTIQ PQPSQPSSPTGNVTTDGTCGSSHGDTVCGDWSFGPCCSPYGFCGNSTAHCGLGCQSGP CINGPALKPIAPLSYHPFKIPGKFTTVGRSGVPAMMAILMPNGKVVFADKIENYTELI LPNGQFAYSSEYDPVTNEVVALEYKTNAFCAGGTVLADGRALSVGGNGPLNFIDPTVK DGFKGIRYLERKFDDPKGEEGWIEPGHTLSTARWYPSVQTMPDGKIFVASGSLNGLNP TNSDNNNPTYEILDREGYPHGDSIVMSILEKNQPYYMYPFLHLLKDGNLFVFVSKSAQ IFNVETDTIVKTLPDLRGDFRTYPNTGGSVMFPLSSANGWEPEIMICGGGAYPDINSP TDASCGRIKPLSENPTWDVESMPSGRVMVEGTLLPDGTIIWLNGCSRGAQGFGIAKDP VYDPWIYNPRASNVERWAVGGSSTIARMYHSVALLLLDGTVMVAGSNPVEQPVLVPNP KDPKTAYVTEFRVEVYVPHYLSGKKADQRPLNVILSSRHLVANGGNFTVKFNVHKEAI ELHVVLYQGGFVTHSLHMGHRMLYLDHTGWKAGQSEQVIEVTMPPDSNVAPPGAYVIY IVVDGVPSMGQFVMVENPMPPGDKPKGDKLNGKQTGMQGKPEKQQPDKPEDNKKIENG GDVPKNDTSKDDKLDKGKEKQGTDDGKEKGGGKEEKKDKEDDKYEEDDDDMENED TRV_00225 MSAAPGSCAGVESAASRRRRAQTENDVSVERLRETISNPGSVKI NVKGAFILDDEERAAAHGRDDADGVHYEHKDIRLPHHTDVVSHVAVDIGGTLAKLVYF SPEISQSGSGGRLNFINFETSRIELCIDFLKKLKENHMKRNGSAPYELCVMATGGGAY KFYDMLKDALGVNVLREDEMECLIIGLDFFITEIPNEVFTYSETTPMQFAEARADVYP YLLVNIGSGVSMVKVSGPRQFERVGGTSLGGGTFWGLMALLTGARTFDEMLAMAERGD NSGVDMLVGDIYGTDYGKIGLKSTAIASTFGKVLKLKRLEERNAESSGSESPTSEQDI SHFSHEDMSQSLLFAISNSNNIGQIAYLQSEKHKIKHIYFGGSFIRGHRQTMNTLSYA IRFWSKGEKQAYFLRHEGYLGAVGAFLKRQPQHWGRRNSVENATAARFNQREGLSG TRV_00226 MASSRSPRPSTPSLKSQQPPNSPPTLAAPVPPPVPVETHPNRAG IKSRPVRYLSPDPSRLAPEDAYFTSPPRLRPGNVAAGARRDGGNTTNETARLLNRSVA TPAAVAALRIPPAVPGLESNPVKDRRRDRGRRKRKGQWKKLLWVKQSYPDNYTDTETF LDHLQRNPRLQPYDFWPLVADFTVIVQHVCSVIIFVCCFTVIFQERVNPITVVSWGSI CTVLGWVLWDYWVAKEGMEAAEAMEAMKLLKESSGAARNHSTSSCSNSESLDGKENDV NGGLGLSLDTSSVGSVPSREGSDSGSGTRSHSISTSATSLHSDQSATLSLSPVSLNPA DCALGSKSDTSCTKSSGATTPPSPLQAFSPTAAFIASRHRQMFTTVKSALLIFCTLRG LSPILKSLTKSTTSDSIWAMSCWLMAINIFFFDYGSEEKNTPVGGAATANFPASLSTN AALMASTVLASRLKSTSHVFSLTLFSIEVFGLFPVFRRHLRSYSWRGHVALTVALVLL ASASVGITLRGGLSGAIIGILIGSPSTALIMGCCSWWLISLQRYKNVVIGPWDPAKPI IRRHWD TRV_00227 MSAMRRYLTAEKTAHIMEWVDKVNSQPCIGMKPRESSEAAGPKD ITLPAAIPAKKTAEKGRPPKYRQLTLKSTRLRRVRTKPRESRKEDEQENEKTEARAKE EERQEQEHVKKPEIVAQTEKKYGEEKKDREVKKGVSEQALPSNPIMSSIIDSNLRMFC VEIVIPSSGKQQHNEEKQASGDTSQANSEKRKRGGATRGRGRRTKCPKLAVEKG TRV_00228 MQFSAVILLGLASAVSARPFNWFNMFNFSSSTDLPLPTATGVPT GTGTTFTVIPTGTGTGVPTPTGTGTGVPMPTGTGTGIPIPTGTGTLPYPTGTVTDTVA PSGTYHFPTAIPTPYI TRV_00229 MALPKTIMAFIAFISLLVSTTFAMDVFSTTNVVTYWGQGHNQKR LSHYCQQVEHDIIVIGFVNVFPDQGKGGWPGTNFGNQCFMGTYITPAGEETELLSSCH KIIEDIPICKAAGKTIMLSLGGQAVDGSKTYSVKTRESAVYFADFLWRAFGPVSPEWD GPRPFGDNVIDGFDFDIEANGGANYEYMVERLRSNFATDSSRQYYLSAAPQCVLPDGN LGNVISSSAFDFIFVQFYNTPSCSAFNWAQNPSKSGFTFDSWVQFIRKGASRNAKLFI GLVGDHTRVSPHGEYTKDDSNYLALPDADKLIKAYMNKYRANFGGVMIWDALTSDENK LVTGTYASNIKRLLLNNDPSRPTTTSKAMSSTKTSMPTTTSISTATTSTISSTSKISS STGPIPTMTTSTRNTSSTATRSSTMATPSTSPSPTSSTSTTSGHQNTTATTTETETQT SKTFITTTSIWSSGTGIGTGTGIPTITTTPRYPNTTFTSDTTGSPTMSDTTITLTTTS SMHQSSDTTVTIPTFTTTPVQTSDISISMPSGITTSSKHQSSEITIPGPPHMSTTIVS PSPTKPGHSTTTAIVTTTFTSVCPTGITTVTTTYTTIYCPEATPMPTAGNSSPPPGME WTTIVTTCTKCSSTSAIMTVTYPVTVPSEPVTPTQVPGTLPPPGAPGTGSGIPPPKTP NNEPGSPGTLTGIFPPKPTMSVPPEMGGDGGDTTPVYTGGAGVVSPSFSVVVMILGAI VYHIMQ TRV_00230 MTALDTSYASGFQKETWTLYSFGILVLVLRTIARVRRLGLGSLQ YDDYLMITGGIWYTLLCISLNQVVAVGGSNLMDEEDIRNLTPEIKAQRVKGSKWVFVS EHAFVMTIWTLKACMIILYRRIMDGLSQQRLVKYLTIWVFLGFVGSELALFLICRPLP NYWAVPTPDYQCSSYQYYEIIQGVLAISSDILMLLIAIPLLMAVRLPQKQKLILVFIF GLGIFIIIAAVLTKVYCLVPSLISYVYLNWYFREATVAMLVTCLPMTWSLLRDIFPAL KSWTGGSRQYGTGKGTSGRRTGSRPPFQSTKDHMQLNSFSRGQLSHGNTTESEIAYES SHGLPHGHGTKYQDDAEPLKSIHVRQDVTVTVQDERDSDETLSSSYPRHQRKAAGWDL A TRV_00231 MAESEKQVESPLASPKDKMKEVGATVTTTESPDSPGIDPMINSS GHKQQLDRNFSLISMCAIGVTAGNTWIAMGGSVTTAIFNGGPPGVIYEYIVVSFFYWF ISASIAELASAIPSAAGGKLFVIIARSQQYLRLNRKANLSFSAVYHWASATAGRYGRP VGWFAGYWNALAWLFGAASISSILGNQVMSMYLIFHPDHTPQAWQVFVCYLICTWMCC LTVLFANKALPIISNIGMFLILAGVFVTIIVCAVMPRYNGKGFATSDFVWRNWSNTTG YKSDGLVFLLGMLNGAYSVGTSDLTSHMAEEIPNPSKNIPRAIMAQTVTGFITAVPYM IALFYSINDLDAVLGTFTTFPLATIYHQATGTRAGAFGLLIIAFLPTFITCIGCYITA GRVLWTLARDNATPFSDFIARVHPTYHNPFNSTAVCVAVVTVLGCIYVGSTTAFNAFV GCYVQLSTLSYLAAILPHLLRGRKGITPGPFFMKGWVGYVVNTISCLYIVTFVIIFCF PFSMPTSAATMNYASLMTGGVTIFVVIWYLIRQKSYVGPHVISIHDVKLDRME TRV_00232 MKAHAALWIYVLPLAFSAPILVPGGDGLRGTPRVTAGSQPCTAV FSFITSSRARHTLKGCDNILLEKYAYLADRWSSLPSFSPSTKGRENPRCKSPILPDHL PTPALREELAALPGSISTSTSASTALPAPIAASLPPARSQISAYADRMRLEDIIASRD FSVFLDWLSARPIMAWTLITAVLIIVFFVSAVIVEIAVALWRIITPSDAEKQLSTRSD IEVLDFGEIYLFGPEKRLVAAMVVDDREKILPAYTK TRV_00233 MTIDVKPACHVSESISEDPETGDSDTAHDPDALHTLGYAPKLKR NRSLLTLLAQSLAIATVPYGEGGPLLSAIYGGGPLAIFIGWLVVLVLDQCVALSLGEL VSRYPTSAGPYYWTFQLSKKHKVLLSFINGWVWLVGTWTITLSVNFGFAGIINATVSI YHPGWSASSWQLLLTFYFLCVLVFIICAFGNRYLPKVDMICATCTAIVIIVVLVTLFV KTGARRHSISYALAHYDTTMSGWGGFSFCIGLLPAAYTFSALGMISSMAEEVYNPSIT VPKAISLCIPIAGTAGLLFILPICFTLPPLLDIINNSPSGQALPYVFSIVMDSPHGGL ALMVLIFILVLLCDISVTVAASRTTWAFARDEAIPMSNIWARIDDRLGTPLNALTLLT GVQMLLGLINIGSTSAFTAFVSVGVIALAVSYAIPIGISLYYKRSEVRKAKWNCGPLL GLVSNVVALVWIAFELVLFSMPTVLPVTPASMNYSSVVFIGFLVICTVWYFLYGKRSE SPPPFSCCSNTKILNQLAYKGPPTAEGI TRV_00234 MIESASIKSKLNLIDKARARGAGNHISLPQLVVCGDQSAGKSSV LEGITEIPFPRKDGLCTRFATEIVLRHFTGVETITATIIPHSSRDEATIIEAVGALMG LRGFVDQKDAPPFGLDVLRIEVVGETGLHLTIVDLPGLVSGAEGDDRSVVESLVNSYL ENPRTIILAVVPATSDVETQPIIRAARQFDNEGTRTVGIVTKVDLINDGTEERIVAVA KNQGPIKLKLGYYLLKNPSPKEIEFGITAEERKKKELSWFQKPGWKICSEITKLLEDA QKEVTELGEERPNAQAQRLFLSKLSMQFQGLVQAATGGTYQGVYSQFFNLKANNVLVN RVRSRAHELNSTFARNEDNVDTAFPLEWGNPETLIDGVDTWILTVSMQLYAHAAFLFA LNSHAHTKRVHKLISTFVEQALCHILIEDRARNELWRSIKASLQKNLAAALAELHNIC EDEKMPPITYNHSYADNVEKARQKGTKNAIQSALKKAKGSLGSTWGQDYDEREHHRRQ VQEALEEEVIFDMERRACEEAKTALDAYYKVAMKTFVDNVCRQVIERHLMSKLPTIFS PTSVLEMTDLEITRIAGEPADRTRRRNELMGMIQTLGESLEDLQN TRV_00235 MPLEPPFPPLYRQSGVDTALDAPGDGKTQAGPSSAGRLRIKLDP IGTLPTIARVKEPYTAHETRHGSHSVYLVEDEGIDRFVAGDLSVKRLNDIHGLLWMAG RPLNARKMQRYKMIGFEFLPTEQADLHLIHFSNKIYLKTLPDYILDYDFWEKYLCKDE ALHKLACGFLLSWVWLICSPIDLQLAQDAHLVSPQITWPWWKQFVKDFNRHVDFNALD KVNKRYHFGELRLGRINTIFRLRFFRSHFIRGYLYSYNRYQVFFERNFGWLIGAFVYI SVVLSAMQVGTAIHPLSESIAFHRASYGVVVLSIVAVAACLAFVVVLFSWIYLYNMVA AIWHSRSERQKRKKLAARLAQKSELADGAS TRV_00236 MASLSSRSARFASSSSSSSTLPAIDHWNESGGRRKRCMTLACQT LRQHPPLRDLQAPAKGPSCLAARPQLDMQLRVSPVKSAAVTGGITDGEWQGWVVGGET PSLPLSEDSTMDLRTYRWISWLRSISLQEKKLRITVVFDIGSCSSWLHRYIYGAWMKW PAINRDVYSRRPSIIPGAPPTIDSVGGCMLRPEWTLETFIA TRV_00237 MLKDHDDFEYLILDGDQLREHPCREPLLKLINKAFYEGSRAIYS SSLSRFESLEELLNTVDDHGRCCVLFRRTPGQTTNDWTDPVATAMLRPFREAIVGLPH IKHEPVDASGDVLTGYHDDIKSEYCEQPVRCKGNTQDILSIAMWEPVSVAVKHDPSLL HSGLASRCLALLEADLCSRVNEAKLTTRRQEAAGANHARCEAGPLTMRLRATWEINGD YWSRRGFTPVEVRRVPAGVWGAKVPFRLMTMTKTIHCSSDRNDA TRV_00238 MALFIFTVDHLLFRLLFECFILRLHDVRETIILEFSLTPVPSAS TSNDDEETRKQDSDVGLFFYRLKRYCCTVIRSIGRHRLSVRSIKSTTKMADILTFYHF NDVYHISDASLISKFAHVFARARPDSKSSDIQPLTIFSGDVFSPSTESSVLKGEHMVP VLNHLDIGLACYGNHDFDFGESRLIELSGKTNFPWILSNVVHATPHKNPDSHVRDGSL LALAQEYVVKTMGQYKIGFIGLAGTDWPSNCQHLPPARFLSPAEVAHKLAVRLRTVEG CDFVIAATHMRLAEDLSVSNATLDGDGRIDLLLGGHDHDVVCRILGDTDDNPGAILQG ITDSAARIRNGEIVHVEGNVRVVKSGTDWRSYSVVQLKVRKGGDSKAQLDNIEVTQYL DLTRMQTYPKIPKCSKTLSILGSVQNQIMDAVRHPLLHTCVPLDGRSAIIRSQETNLG NMLADAVRAFYDTDIAFINSGGVRCDRIIAETNGNNALKVKDIIGENCISSIISITMA HNLQCTDIMPFDNAFVVKRVGGATLLSALENSVSDSHTDGRFLQVSGMRFKASWQRPE GSRVLEAFFEPKAGELEEINPGRTYTVAMVSFIATGFDGYDCFKAEETLVDAESAMTD TSLMLQIFRHSRKSNSVESRVTNNAEENVEARSDAAGDDKTEMGVKRAMEAIITGYDD IDGLPIVSPAIDNRLTFVSTTGVDL TRV_00239 MQSPSTPTSILPIPTPAGEDYDVTVDEESANRRKVLVTVLGPFF GICVLIIAVSLAIRYWKEKRKQNNVKSAAEMIQCPDDASSVPYSPTFSKYSRDIEASP WKLKPARNELT TRV_00240 MDDPSLLHFDSFVNNEWVTASDGGRFEVVDPGTGKPWASCPTNT AKDVPGAVEAAQAAFEKFRKVNPRARAQLLMKWDGLIREARSDLAKILTHETGKPIAE SLGEIDYALGFTWWFAGEAERIHGTVAVPAAPNRRVFTIKQPIGVVAALVPWNFPVAM ILRKAGAAFAAGCSMIVKPSPETPITTLVMALLAKRAGYEPGIFNVLTTDLDNTPSLS EALCKHPHVKKVTFTGSTRVGKLVAAHCAQGLKKVTLELGGNCPFIVFDDADLDQALT QLMALKWRHAGQACITANRIYVQSGVYDKFAQMFKERTSALVVGHGAKDGTTMGPVTT PRGLDKALSQVEDARNLGGNVILGGKPMTGCEGYFFEPTIITDMKPEMLISREETFAP IAALYKFDTEDEAVKWANDTSMGLASYAFTKNVDRVWRMLENLEAGNSSAAESPFGGI KESGYGKESGKDVAVAEFMITKTGTLTIDGQY TRV_00241 MNSLVLILQYSKFLFSSLLSYKRTGQQHQNAVFAGKRDVLPTSI KDAQPKRHTKRDQPYTSPLCTIFFGDSKTGYPIHNLLVDGYPTLAKLFRGSQGTIWLN DVHESVAHTFITYLYTKEFSHDTSGDNIKDFEFALRLIYAAGTYGLSELSEKAHELRE EAMDSGKNPEILNVAKAMHMYFRGSGWYPDMIKSAIEADLKFGHPTCLDPIIDALGEN REFEKLVMNVMAEILMEKLRQKPTE TRV_00242 MAHPKEEPKEDAWIEVETEPEPPGTFLESPYASKPAMFRTSDGT IFSVPEGFISKLPALTELRKENQDGVTDLSFDKEVAHVFFHCLCAGTYDVGCGEYYHS TWNDPGYCKALLELKRSLRVHAVAKQYEFFSLKIGAETHMKDHYKWLPLAIFMDHIRE NLAYFKDDMDWLHKQVQIVLEATFEGNSSFYESSAFLENIGHSPEFDRLLAQVMGKMY RSMHTDDTEEIAWTQSKENWGW TRV_00243 MQQANAPGDGREEGARQGAPPVFPILSSQSSAGRFDVCSRETQD EDGHPAASHTGERAADGTPDRELRLPVQQCTNVSKCASVRALYSCTSQALVEFERDET RPRKRKEKKRRKKKIGPGRDERESQSQRRPRESHRMGLGDPPSARRAPRSQLDSNGVF L TRV_00244 MYILYIVVVLYFNTSSLALEALDKELSKDAFITAFAPIQLLCSG EFLCLKYLKCRDTAFELDFLLDPEWSKDEDIKTPLYASMATITHELGYDEEWLNEDMG LFVTKSCRTRLLECAKKQGIVLFRGESVIIYGAPMEWALERKIRRIYASGKLDKSEPD VGDALAMMKWIRERDGKQLEREFIRTLNLNTFDMVPDGQTMELLAEAYLERYGEEIFR PGSHLHYDYHHHLTYDQIYPNHPNNQTNFSILYGIPGRSSLG TRV_00245 MADVQALRRLIQTHPLIDNHAHNILSAEYAADYGRYPLESIASE AQGDSLLKDGCKSLPHFRAISQLAELYGCPVELNAVKAAREAAIAADYEELVKKCLHG THLLLIDDGLDISHSESFEWHDRFTPGSTRRIVRIESLAASILKILLQRTSGDELLFD TPETGDNGDLIFGKYPSSQLLTIFEVAFKSRIKGYLDDVDIAGFKSIICYRSGLAVKK PSTKDLLQSFSSCFQSISTDGSSRIDSKPLNDHLVVTVLSILHQYHLTTGKSKPIQFH TGLGDSDIELSQSDPALLQPVIEEFEAVDFVLLHSSYPFTRQAGYLASSYKNVYLDLG EVFPMISRDGQISVVRQALELVPTSKLLWSTDGHFHPETFWLANLQFRQALESVFTEY VYKGDFTVDQAMTSVADILFNNSNELYNLDQTVSYETIMDLQRATESSFPELFAHRPS ETIMQTGQRFMAVYNTIDYYWLQFVDYTATVRVRMLPARQFYRMLQGKSIGITMALMN LLQNDTLGEPGALTAGQFLLRPDVTTLSPNLKRSGNPNSATVMTFWKNSNGKPLEGCP RHTLKNLTDKCKSEFGISLLIGFEVEVVLFMKPSGAKRDHCYNYLEDEDYFTPWVLNH SWSNMTSDTRTALPLVEEIASELLSLGIEIEQFHAESSPGQFEFVLPPSRPLASVDLL IRARQVIVTVAERYGVRATLYPRPSPNHAGTASHAHISVSPTTHENSFLAGMLEHFPS VLAFTFPQDACYARVLPGIWAGGIWVAWGDQNRETPIRKISEGHWEIKSMDGMSNPYL AMAAVIGAGYLGLKNRMELKVKACNVDPMDLNDDGRKELGITTAMPTSIDESIAALKA DTEFQELLGKVFVKRYIAVRQGEQRLLNSMSETKRRNWLIEKH TRV_00246 MDRGSQRVQMADEVQAGASPAQSDLSKEEEAAPKPGGIKWTRYL GLKGKDSQALEERWAHREKWSMGILSDKHTDEVPGTILLLAAKRNEPLGLRNTPARTS ATSLPSPYPPSRGSSRGPAPPKKRTADGAIVLDPQPEESMNDPLNWPVWRRDLAMLCL GFYCMLGGGMTPILAAAYNEVSAEYSISFHKVALTTGLYMLGLGLGSVVMSPTAILYG KRPVYIAGATMFIISAVWCAVSPSYVSLVIARIFQGLAVSPVECLPSATIAEIYFLHE RAYRVGVYTLLLLGGKNLIPLVSAAITNSLGWRWIFWMVAITTGFSAILVFLFVPETF WDRTPRPRAKRPHMRRSMSDIVHTLRGRSSQPVTPGAVTPSALTPGIEEVDRQLGAIT PRKHKNAHVGFAEDEKAEIEKDTPEVMAEKDIAQSPIPAIVVDPEAQRSIPASRDQAD PTIQLSQQQIYTSNLRAKPQVSFIQSLKPWNGRIAKDNWFRVMARPLILFAYPAVLWS SMVYALSVGWLIVLSESVAEIYAKRDTYNFTPLQVGLVYISPFVAGILGTIVAGRVSD VIVRFMSRRNGGVYEPEFRLVMGLPSTLATTIGLMGFGWSAQEKDNWIVPTVFFGILS FGCTLGSTTSITYCVDSYRQYAGEALVTLNFSKNVLHGFVFSLFFVQWLQADGAKDVF VALGGIHLALMLFTIPLYIYGKRARMWTVRKHLMEKF TRV_00247 MSSTFFLGALDSSYGTISWLVMTTSIMSEEKGFIKFLFSCNFQP DILHLLSSFVYFFQTTYLVSRAEFSKNNHIENIQTLVYIKMTRARDIDEIFNVEALEG INLSISAPFRKLSNRLFNRKKKKKNREERAYDETPGEEVAGESTPDKLMKSASDEEEN DYLPTLKKLAPPVDSVHPLRIIEGPDGPILPHKGPAGYRPGVHRAVTRVIIEAHQRPD PGPSYPTLPGSNLPFPRLPRPPVTATSARAAAVGPTSATSAVSTPSPPSSPEVPQAIK VVSEHPPVLDLPLPGSAFSMQDLSDIFRALD TRV_00248 MAQLEVPKQRSSGSPKKKAEYSKEFPSFSSSFSSSSSSSSLDIP IIFSVFYGRPQRAPTSQSTSSTPSHPLKHVSFESKINAAFIEHARKKTEEPRGRIWER RKHRRECDSIQFKEIATLASTHHDQILELWGSKHCAVCDNQPATAVLYLPICCTYDGY YTLADGDRLFGLMLSIAKLVAELDSDVKVRSAIGSNVHMPYINGLAVPVCSAEDNCRK EANLEVARFLKAFGFDDTPAPEEIKTDDNDYDSDERTKDEQNRSAGPLLHRRSTCSSK FATDMAMFRDAIEADEWEDDDVTDSGDEAVTNEPPVPSIPGHSPTRMHLHERVPLRYT VLCGQPILNRSQGATATGVDAGRLTCLVFTSRWEAGLLESAANASHDPEPYQMIASFH ERFIMASAEFRCCICPKRVLATTLVHCPISFRRDAENSPCNQPHRQSMIRLLQYTKGK WNYPETKAALGYDGVWHIDDFVVPICQGGSVCEETARIAAAQFIDGILATGDKRCYTG LMPDTDLLGAFWVENGGKIPKLVVERIGLGLFTDSRSRRSPGAEVILKNKQAIEAIRR SVKAKRDSISSPEGSVAFVPNDNNNIDNDNCPRPPEKLNEELIQDGGKTYTYSLEDED AMCFAEAEEGGIPTWGGYPLSEESAKLVDAGLHESALMKPMITMEVLKTLETTKEFIK GRYGLQEGEDEADDEVEEVDSMTDKMNS TRV_00249 MRVQPFVSSALLLLSISTAIAGPVSNPDAKKKEPSKGTRESDSS KDVADTVSSDDPATWEFNSPFDGKDGKPHAGPFVETNAERGRKKSSKNGGSKQEDEED DTFSEDTEHIAPDGTKIPHSNDGVMDDKNRSGPKEGTTGTEGGVSAKSKGKDPSTNRK PDSPKELPPLPHSEQTKIPGQDDQPEDSDDEKKGSKVLEKPDDLPESPHSVSSGKSDK SRGPDLSTKPGSSRSPDTKIPSKQPGNEIIHPSDSFFLSLTMIVFSEIGDKTFLVAAL MAMRHPRMLVFSAAFSALAVMTVLSAVLGHAVPTLLPAHFTSALASILFFVFGFKMMV EARNMSPDENVSQEMKEVEMELEEKEHEQLRMGRGRSGISAYALESGHGGLPRKSRSS NHMLPSPESLSSSSSRDNSPSRANTLANMMSGVSNLFSFLLSPAWVQTFVMTFLGEWG DRSQIATIAMAAGADYWWVTCGAVIGHALCTAGAVIGGRAIAGKVSIRVVTFGGAVCF IIFGLLYLFESIY TRV_00250 MANVRWVKLRSEEPPVWLRLQIRLAAFFDNYRGETRSRRVKRLP FKRIIKFYSRPIELEALQYIQKHTTIPIPRVRKVYDYGGERQHMVMDAIDGQTLDSAW PGMTDEQRENVVQEFTAYVQQLRSLVPPKEGAVGSSLLGPGYDHRLGDRLFGPFDDIS HFHYYVRRGMPLESWDESVKQVHGRSRSYTIKYAHGDMCPNNVLVKDGRIVAIVDWEF AGWYPEYWEYTKIHYGYRPYREEFFNALEKTMTTYPEELKAETAIWRVFSTFHYDDPI PDYVPKWEGSLEEESVGKSPEGGLSAESREKADLHSGSRQSGPAEE TRV_00251 MAQTRSAVTPTTQSQPPKSRSVSAKRAQGTSSRVTRSRSRELGE SPAPASFKVPSLPPKRDEVGGQKPVPKTPRASDASTGRNNLSPITEDCADGPRIGIEF SPNHQSYISQTYVAESHTDHGNISGTTMLQTDESGYETDEPDLHLLLDTLPDLLNAST KALDLLAPPGISYANISKYFQTPKFSARLNRTKVTFETQKKCITKANFIPVDRSVKAL SSIKKLDWQSRGVYQKANLAQLALDLINPRLTTLTATVDDSFPLAFMDSRSLGDSRNS ADDGLRKETFQIGLELRTQRFISSLLSGSPGQYSELEELLADVFYNPSLGEVNTELKG WEMDSLMDEDDQLPEEFHGAVQERIDLIRKLVVQEGGLEARFPWSEFIVSIANWIRRR VNAIDQQLRSQIPVAEAMKILQSELDKRSSFGSGNPMEPISDPTIEEVEPPVERNTTP DRTMEIRREDREEPPAAISPTTMPRRRFRGRGALQQVLAAGPARDAPSIPKQANTAEL VEPLQAPENPITPPRRRFRGKGALQKVLATEPVQDAPSMSEQTTSTEITDAPQAPASP ALHPPRRFQRKAAIRYLLGIGATDESSSGIPADGTNIAPSVPQIKEGPETQLIPVQDS LFDDEVLGDGLQSPPLQNTSPRPTPGVRTQESPRKNGPSNSRSEELPPLSAADIWRLS EEGNIARKSKQVAKKLGAFIDRQANAHRVSPISFSPSPRASAAPVSSPQKRGAEALDY GSDESESDDDEFESDNRPVKKRRVISAAAPPRPTPGSIYRGDRTNNSRAATRTRSPST TAPPPQRFESVNAEVQRAGNWAPRRAWSLEEETCLRELIEVCGGPSWAEIKRRDSMAG NILSKRTQVQIKDKGAQMHYDDLMTGRPLTEGMANVPIKAKMRDRLIKEGKIEASSGT L TRV_00252 MSTQYREVSPSDDERYRPSRSSKYSTQVPNDHYYLSDEHALAPT DRYSSSSKPSKSKRSSYIYDSRSDIDAAPATKPPAVAYPSPRPSRLHEPAYYSESEDD HLAYGEPYDYPLARNHHRRLRSRSGSRGRRPANPKVTYDSDSSYEYTKEYTADRRRYS RSRTRESPRHGSLFEPEQAPAPAGSSPRDEMPPMIQKTQNFGLSSTLEKLKSQLYPSS DTKDRQFDEKPSDIDLNAWAEIPECEREGYVPPTAEKQSAAAPQPHNDNHNNHSEVPN IYANLTQYYQLQQQPMVASTAPVATAPELPPRPQTSTYTRPIPSVIPGATVASPATST TQYPSIPAARYVPPQYSQTPATVQTLGPKPEHKCATSTGHIPTKQTYSKPDVYQYSVP ASQIRYSTQTPAPTAAATTTTNSNYTAPPPATAATAAAAATNPSKVQYAPAPVTQPKQ PSYLEIKPRRQTVSSKPSNLNLAPDNQMKIPGSFPDGANPPASPLLEPYKGTYQSISP IQWPTSLGDDALSDMEPLNGKESRTLGRKRSKKDKKKMEDPGLELEIRRRLSTSLGPS VIKEIAPKEAQVYVYDPKDDAKLVHSALNHSKINAKTLIKILPYLTSDEILHLRGAYK NLRVMTRKGVNMAKHIKMVVPGNFGKVCYATALGQWESEALWANFWYQSSRTRHELLI ESLIGRPNAEIKEIKACFRDKRYQDNLELCMQTELKADKFRVVILLALQEKRQPDNAP LNVDLVRRDVYDLHRAVTSRDGGETAMIQIIIVRGNAHLREVLQAYEMTYRENFTRAM LSKSRNLVGETLGHILNGVRNRPMRDALLIHQAITESGTGRDRAELLISRLVRLHWEP HHLERVKSEYRRKYGRFIEDHIEEEIIEVGGAGAHEKKTEWADFCVELVKSSSFHVEN EEP TRV_00253 MLIRYVSSVGDIVDLKVNGAVQKGMPHKDFHGKTGVVYNVTKSA VGVIIYKRVGNRYIEKRVNVRIEHINHSRSREEFLNRVKENAVKKRKAKEEGVHVHLK RQPVGPREARVVSLEGNAPETLAPLPYETTI TRV_00254 MSASPETPKKTSRTFSFLRFLPVRIDKFWCAAQPSTTNSPWLRT LMSPRRREMEEIEGAGCVANMSVFIAGAHTPRPTRSHVVVSIELFSKLRFEYSAGKKN DSELKLVGEYGLRNKREVWRVNLTLSKIRRAARELLTLDEKDPKRLFEGNALIRRLVR VGVLDESRMKLDYVLALKIEDFLERRLQTCVYRLGLAKSIHHARVLIRQRHIRVGKQI VNVPSFVVRLDSQKHIDFSLTSPLGGGRPGRVKRKKMRAAEKGDDEEEEEDEE TRV_00255 MVDTVYSDALAQIQALDKEIPTDSLAIIRISEPIGTSDGNAASE RSPSKRTSDVSTDAYDNPTPATLEADLTHYKELFSKLRFSYLEQVTKEKFLRAIVGDP PLVVAHSDNVELEAELAQIKEELQRRKEEVRISVEEMEKMSRDLAKTPYITGYENIQL QTTQLSELPASITNLEETVSRLRDAQSAQLQERSSLSPSQNLPLEATLRLLANKDAEL TSLKRTLASSETALVRKTGEAEALERELAALEKKRNEVVAQAKEAQRRKLQGESDGLE EMGRWYAGAEQILKSLV TRV_00256 MSRSRNEGIDADYNDDDYVAQLLAKDARDCSRRYSALGVYGPSK RSINGAPKPNTRFLKHILRETDSHNENLKRKEEEEARHRMRTLLDGRGKPSRSSRSDG RDDREHKRRRVESPERRGQNGVRPHHRDRDGKSRIRKGEKEYRHSDGDGDTISRARKR RHLEDRPDKEDTVRESGPSGHDRKGERDHHRRHQRAQELSKYEYDHPPRDQRENSSPR QSRGDRRAHDRHERSRSRSKSPSRTHRQRRRSRNREEKIGKHKHVEDKGGKLRADTHA SADKKPPPLPPQTCAEDVRSRHMSPNDGSSTYSDDSDPLSDLIGPAPPTALADNSQPI QSRGRGVYRTTNSSNIDAHFSSNYDPSLDIHLEDDNAAKDDHPGHIRPVPGLLNPKEA DKHANDDWDMALEALRDREIWKRKGAERLREAGFDDKVVEKWEANKSFAGLGSNDYKE IESVRWTKKGESREWDRGKFVDENGHISVKAPW TRV_00257 MAPPPVGTPLRSRLTLGLEVEFYAALKSPVFNGILVHGCIASLL SGRLRHLRLSGPDGFGSKFKVVVESKKYPCDAKYIDYAQWTLTTDATAQPQNPEWMGR YNPISAREISLYSELNNTTSIHVHVGNGVDPDSSFPFHTVRNLAMILLVYEPALDRLL DAKLYPPPTLIGNRDRSQDPESISSIETPYFQPPNLPAQSPRRVLARHLFNTCMDIRS VIRAMSPLPPDETLTPDCPWYYKFNFNPLLDSLNIPPPVFSDPEPEPTAEVKVGKGTS NGHAEPTMPAPPAEPQSPPRPKSYPAPKRKSPTIEFRQQIGTLDPDTMVYWIKFLTAL VEFSSKLSDQAVVEFLGLEDIDIEYLSSTDEGPPMEDEERTPYVTIRPPTDPSISLSA LALLTSMQPVGSLSRLLTAMESVNIDLDSESTRHWRRKVSP TRV_00258 MKNALEEKKIVKIDFNDEIREFFSTFSSFPLRRRIEADEEDEKT TRMGEREERETDTKGEREEKTERNTMSPSFSFSPFA TRV_00259 MGKCGPSHCAWPALAALILGLGIGNISAASVYIAAEQCLAPAPS ATVPDYVMKYAPLIWIHSQDPYQPSDIGAQLKNSIPMINHVAIPNVPTDITLNNLDQL NKLGGNKVCLTSKEGIQALPAWFRGVKPNKDGKTEGAVSSAIVVREHGDEGKTVDAFY FYFYAYNQGNTVLGIEFGDHVGDCQHAAGQAFQYSATDKRGVRPIGFSGNGTHAVYAT AGLLVQQKTMCANLGRTHDHTIPGLDLPAGFLEDETDEGTLWDPTLSAYVYSYSSTTG LFTPYDPSTPTNWLYYQGHWGDEQLPDDAPGQIELFGQRKYSTGPDGPMFKELVREKV CPSGVKLCLVLPWVTAVKEEKRKQMLADLVKEELERESKKHSKPLE TRV_00260 MNAHLQSARRKQEAQTRRPGEGAEAVAVFEGEKRRKEKREDGED EDNEEEEEEEEEEEEEEKSRGEMKGAPRRTR TRV_00261 MQASLPSRPRTPSDSNGITTTTTNTTTTTANANANRSAAGPRRP SLGFLRRSKSTEHVTERKSSNKISKKMLREQAREEEARRQREAAAVSKHAPRLPALSP TPQLNSFGGERAASSYQNLQHLQHLQQPQAMSTSQLRSVMDAPPVPPLPPGARAALSR DPYAASDSMAHRGRYSYASSAVSTINSPRRVRRRKDPTPYNILVVGGKNCGKTSFLEF LRTSLALPPSKRPTKPVEEDEPRPQSPGTPFTSHYLESEIDNEGIGLTLWDSEGLQKN IVDLQLREITGFLESKFEDTFAEEMKVVRAPGVRDTHIHCAFLILDPVRLDANIEAAK KAITRGQAKEASQYIGGLDVELDIQVIRTMQSMTTVIPIISKADTITSAHMAFLKKTV RNSLKQAGINPLEVLALDEQEDSASEEFDEHDEDELLKQMEDPDADNGGKEDAAGAAG DNNAEEAQKQKPARAAAKEPEEESAADQIFPLSILSPDPHSLNNKNEKVGRRFPWGFA DPYNPEHCDFVKLKDNVFSEWRGDLREASREVWYERWRTTRLNRTARKRPQQRQLHPY PNSGRMTR TRV_00262 MSHRLSRFWTKNWKNRLSFSWLMEAERPARTIAIVEGGKSPETG RNLYMAAKALNVSVVVLDKPGHWLEGPEYADWRAAFLPVDRSPNARLPDRIVEALEKY SREKGALKIDGLVTFFDPLFVGVAAAAKKLGLTTPSPEAYAIATDKFKTSIAEGRPAY RASSPDEVAKNNSRYDPELSTHSQALQRLGLRGRLPCR TRV_00202 KSKVKTSPISFSFSKESNPFQALEVEDIEMELEDQPSPLLTPIP LSILPRKRPSKGSPKASSSEENKEKEAKNQKTGLAPSKKTSQNNLEKIEEKIQSLEKS LGKEGEEKLEEEPPQKPSYASIIKWDKEAKKSKEVSKEISKKAPKLSDIPPS TRV_00191 VYAGPISESIPSSVASFVRRRSRRESNVSFTYFQENNGSFVWPD DRDEEAVIEPDQLENGDLRIHRIRSDEHDDHTLERDHEDDQEADVETPFRPSSYFGPT PSHDSAEDPLLWRRASSTDHHRGGKTSDGIISQKIYIASEDLTAAIAGFSTSMAGLAT YLAICVFTCGLGYLIFRWVPKWRVSLIGTPTPLYKCQWVAIENQWGQFNIQDIQNQPY GRQLSTVFGRGNKEGTATNYEYDDDPIMPNLRYLDYHYVRFFYQPVQDRFSISGTWAD PAWADVKLLRRGLDADERESREQVFGANIIDIQAKTIPQILLDEVCISYNFDHFLKFI TRV_00192 MLTAQLNLAATSVDPGVARHFLFCGTKLIRARRPQDPADDEAAA LAMVVRTGFNTTKGALVRSMLFPKPSGFKFYQDSFRYISVMATVAAVGFIVSFVNFIR LKAQIPADILHKSTKVPSRQELLCIHVTNFNRVNVAGKLDVVCFDKTGTLTEDGLDDY GPNTAILYTMATCHSLRMIDGELIGDPLDVKMFEFTGWSYEEGSHNTAEVYEDYENIS PSIARSPLNFAPPNDTGPTLEASNATELSILRIFEFVSQLRRASVVVRQPGSDGVDIF VKGAPECMKDICIPKSLPPDFSELLNYYTHRGFRVIACATKHIPQFSLRDIFSMSRAD AESDLEFIGFIIFENKLKPTSKGVITELHEAGIRSVMCTGDNILTAVSVARECGFVEG AAPCFVPYFVEGKLPLRTI TRV_00193 MNNAAKIEQMLVRGQVFARMSPDEKHELVEKLQSLDYGCGFCGD GANDCGALKAADVGISLSEAEASVAAPFTSRIFDISCVPKLIREGRAALVTSFCCFKY MSLYSAIQFTSVSFLYATASNLGDFQFLYIDLVIILPVAIFILCRKRPTANLVSRKVL TPLLGQILICILIQATAYETVQIPECHEDTNIKNSQNTALFLVSCYQYVFSGVVLSAG RPFRKPATSNVPFVATIIIILLFSSYMLFQPAKWLYKLMELTYMSPEFKTWILILALG GFAAAWVCENHIFPKLARGIGRLNRWLRPHTKKQRRRYKVLLEGGQ TRV_00194 MLLLSVFTVILQSTLLVVASAIPPGTNGRHTAAQKGRGISIASE SQYCNDKAVELFKNNLEATAADAIIATVFCIGTVAMYHSGIGGGGFALVKHPKGFEAI DFRTTAPSLATDEYYDDPRHDVHVGGASVKIYKYAKLDWKKLFGPAIQAAKGDFKANE DLMYFVKRVARDLPRDRDALPPDDSCGWFKQYPWNETFCPGGQLISEGTPLNRSKYAE TLEAIANSGNEGTFYKGTIADHTYETLKGRSSLMSKEDLENYEAKTRKHVSINYRGHT VTSTPIPTSGTIVLSILKLLEKFPGRHGTFQPGTDGPSTHWLLEAIRYGYAQRSRLGD PDPKFFPTIDMDKYQEWLLNADSGFPHEVTIKDRTLRDILEYLVPYIGADQAISGLSN DTVKYSGGTSHVVALDNDGLAISLTTSVGEWFGSRVMDPTTGIIFGDDMSDFQRKKHP ENPLEFANNHIQGGKRPLSGMSPTIVTNKQGEVYFVTGSAGGTRIPTATLQTIVNVID RGMSAGDAISSPRLHDQLNPNVTEFDLSLTNDKRMYDKQIVRDMRDRDHPFTWVEPGF SSAQAIRRVSGTAPEASGEEWQSDSGGCIWWDGVKDCKFKSS TRV_00195 MYMVTPYMEHDLSGLLENPDVHFSEPQIKCYMIQLLKGLQYLHE RILVLPGPMTKRHLLLVREAEKQRENIRRWLSPAGIGRRNFFSNYVAILPRLTCGVLG NVNPSYPNVPIVDLQNANYRDRCVFGEMFKGKPILAGTSDLNQAQLIFNLVGSPTEEN MPGWSSLPGAEPIRSFGFKRPTLATVFHEQGPVAISLLTELLRLDWRKRINAIDALKH PYFTTPPLPARPGDLPSFEDSHELDRRKFRGQKAALPPAPAGGSVGMGAHGEWTSGSS RGAPTTDPKKSRVPQAARSGYGNNMHPSSRPPYDSRMPDPVHGHKRKASGEPHSHLPA WQRDANLSPKPPAPANPQWQTGSRRGGGPGQDSRRDRPPIPRGGGGISDSGRDSYVPN YVGGGNDRYRSGVDGPMPPRRDNIDPAARDRYWRPSRSRSPEMRDRSRSGDPGSLSHH LYRR TRV_00196 MSWIAAFAAVGTGVIAACAVSSEFRAWRAEMEKKKEKEKNKELE EREEKIVGWEQELASQWSDVYIERRELTGRERVLLQREIAVSQRNEASIRLSAESRRV CEEATRAMKTISEWEEALEERDTLLRLEQRRQTSIRRDVQQNRG TRV_00197 MAKKRAFFIPRPGLFFSASSSSSSSSSFSSALAISITGNSPPTM SPPTEISGAARNLSDQVSTSVNLSVSPSAARPSPLSSFDARTARQTSVSRPKLLKPFA TKDIKVLLLENVNITGRSMLSEQGYQVEFLKSSLPEDQLIEKIRDVHVIGIRSKTKLT ERVLKEAHNLLVIGCFCIGTNQVDLQYAANNGIAVFNSPFSNSRSVAELMIAEIITLA RQLGDRSLEMHNGTWNKLSNKCWEVRGKVLGIIGYGHIGSQLSVLAEAMGMTVIYYDV VNLMAMGTARQVPTMQQLLNTADFVTLHVPELPETKNMFSTQQFEQMKDGSYLLNASR GSVVDIPALIHAMRTEKIAGAALDVYPSEPRGNGDYFNKDLAPWASDLRSLKNIILTP HIGGSTEEAQSAIGIEVGQALIRYVNEGTTLGAVNMPEVTLRSLTIDEPNHARVIFIH NNVPGVLRKVNEILGDHNVDKQMTDSRGDVAYLMADVSNVDSENIKDLYDQLEGLSCM CT TRV_00198 MLDIADLIPERGGDPKKVKESQRRRFASEELVDEVVALYEDARR TRYEATQVGSRINAVQKEIGMKKRNKEDATDLLAEKAKLEEEKKAIEDRAVQCEQLRD RRLKTIGNYVDDSVPVDKNEDNNAVLRTWAPPDVKVEKRDCLSHHEVLYRLDGYDPER GVKIVGHRGYCLTGYGLFLNLALVNYGLEFLFNKGYKPNQPPHFMLRNYMAKTAQLEQ FDEELYKVTESEDPSTDKYLIATSEQPLSALHDGEWILEKELPINTCYRKEAGAHGKD AWGIFRVHQFEKIEQFLITKPEESWKAFDEMIDTSEEFYKSLKIPYQVIAIVSGALNN AASKKLDLEAWFPFQGEYKELVSCSNCTDYQTRELDIRFGSKKLTDVKKNYCHALNAT LCATERALCCILENYQQEDGIIVPEVLRKYIPGNPEFLPYVRELPKDTTSQKVKAKGG KADAAKADLAKGVENMKV TRV_00199 MALRCDLLELRRVTFHPILDQTIEQRTNKSNPSTKPVTITAYPR DLFLPLDRHLLDTKLLGSLSTGCNMVIPFVSLSHFLFSLSLVFVPFISALTLLLFLGS VGSASLLALLSFLLHTPLLLLSLAGFNASFSSPFLPLPGLVADADDDEHTPFSLLLFP FLPLHLFSFVSWDYGLIDQR TRV_00200 MAGKSSLTVRFVEGTFVENYYPTIENTFNRTIKHKGQDYMTEIV DTAGQDEYSILNSKHFIGIHGYMIVYSVASRQSFEMVTVIRDKILNHLGQDWVPLVLV GNKCDLPADVRTVSAEEGKKLAESFNCAWAEASARNDTNVSSTFELMIAEIEKSQTPS EPANNGKCLVM TRV_00201 MAYISPASSCFSFLLRLLPRPFCLEPQIRSRDSTELEIQTQTLT TQEKYLVLLFSLSLLFFFFLFSSSPSLLLLYLHLRLYPAPFASFILPAVHPQSAAVCY TRV_00186 MGGYNTRLPRPFFLVPLSAAGAAAAISVVVVVVVVVVVVVVVVA KYTCGRACDPGVKG TRV_00187 MASALLPKLYLLLSLLSVVFADAKTGPYLAYIDSDDKPVTVTSI KGSDEYRAFMEDTERNEGVIVTKEEVLNILGEPRNITAISVPQYFNDSSMGMIFSAAS DIENSIRYWQVKKQFYLGRFAYGLSSCEGFGLDPKNCNVEDDLPPIIFVDYNEEYLQI MLAEVGEFTFGDLYSVRLPSLGAKRLLDNNLDEYRLELQSAIQDFKDRVSVVYIDSVG EIVMSGEAPKPAFEIIRDAIAAVLPHHVGMIRDSIDPLFTDAVGAAEFAKILVLDPED SHVDVCNLHDEL TRV_00188 MSCFSITNFVSSLPLLFLFSSSSFPSQPTPAPTRYLPVYRSSVS PSLQHTISHTGQQEYPARMGPQTLGLFLIVLFVATRTSRVMSAPALTARDSPTAGGAA TGETNGQIAARWMVYFSPLVGLIISVLSVTVVPKLVLWFKNLGKTGDEIIKSQEEQIE TIRRDVSALKSVVDNIKSHVEPNQQNYNDLKTSVDEIKTSINSHLKAVEARLSSINTR LAILGRKCEALKAGQDTIRSTLDNLMQKVKDGEPFRGTTSDSLQKVIEKQDGFKKGLD AFNDSQKRTLETLDRLIEFIPALVGQPANPQVAGQGPD TRV_00189 MKFSGLKSTAALWICRQLWASCCGLSDDEEVEIPHESHIFENES NLTEVQLEPVIAEPQSPVHSLSADILYYLVVSFLPLVDTASLALICKAAFVAVDGTRV LQELRAEPSYRINFLQRLELEFPDHILCYLCVKFHRRLRDIWQPWLPCESGRGLIHFG QWKFLFPYTYAKEVVNHHRFGPRHGRSEHDLVPQTQYPMFEPDKDIKGVDCMDIKCVD NGGGVNLKFRRIEGKGNSSSVDKLSTSLESI TRV_00190 SNWWMGREGEEEEEEELLISLRRAGLPLFLSFFFLFFLFPFSLF FFFFFFFFFQFLLILLLLLSFSSGSEERPE TRV_00163 MSQLNKWMLGGKISKLVIVITDKDTGEHVERWQFDVEIFKQSKN KAAKAAADNENANPGAQASSPAPVEKSEKEIQDEIQAIFRQITASVTFLPMLDGNCTF NVLVYADADSEVPLEWGDSDAKEIENGEKVQLRSFSTSNHRVDTMVSYR TRV_00164 MRRPPLTCQRFALPTPRYKPSPFPRLFHHAPVFQARQSNRPLSP VEERIDAIPIERYRNFCIVAHVDHGKSTLSDRLLELTGTIKPGENKQVLDKLDVERER GITVKAQTCTMLYNYKGEDYLLHLIDTPGHVDFRTEVSRSYASCGGALLLVDASQGVQ AQTVANFYLAFAQGLTLVPVINKVDLPSADPDRALEQMRTSFELDVDKAVRVSAKTGL NVQELLPTIVENIPALLLVDSWYSSYKGVIILTRVFDGEVKAGDQLVSFATGLKYTVG EVGIMYPNQTPQTVLRAGQVGYIYFNPGMKRSQEAKIGDTFTRVGCETQVEPLPGFEE PKSMVFVAAYPVDSNDFHHLEESINQLLLNDRSVTMQKESSEALGAGFRLGFLGTLHC SVFEDRLRQEHGASIILTPPTVPCKVIWKDGKETIFSNPSHFPDEDTLRVKVAELQEP YVLATITFPEEYLGRVMELCEANRGEQVSMEFFTATQVILKYQLPLAQLVDDFFSKLK GSTKGYASIDYEESEWRKSNVVKLQLLVNKTPVDAVSRIVHSSQANRLGRQWVTKFKE HVERQMFEVIIQAAVGRNVLARETIKPYRKDVLAKLHASDITRRKKLLEKQKEGRKRL KAVGNVVIDHKAFQAFLAK TRV_00165 MLSATELFTEWPRLAIISVILLLIFRCIIYPVFLSPLSKVPAAH PLAPITGVWIKWHRWHGTSYKTIQTAFERCGPYIRLGPAEIATNCKEGFDSAYGIGKR NFDKASVYNYFAHFKTRALFSALEAKQHSHIRGRMAAVLTRAYVQSSHTRAIFKAVLL ERLIPLLGQASQTGSGSVNILPLCYSYSIDFMSAFVFGLSGGHRLLEDAEDREKWLTL YYTIFMSNAPTLLGEFKWITRALTAFGIRLLPNDLSNLRKHSEDWTSQNVKLAESRLQ SHYALGEPWAPGDLPVLYSALRSSIAREAGVEETFTPSDVQFRELASECLDQIALVLT YLLYQLSRHPAHQDELHRELLSIASPFHLDLDQEPSLYELPPARDLQRLPFLNAIIQE GLRLRNTPPGMDPRVTPKHCLSDVGPYKNLPPGIRVGAYIHLIHRSSEYFDDPLVWDP YRWLIKDCQGQSTGRKRRVFLAFSGGSRTCIGQHLAVECKPCPNPPVCHTIGPVIDHP PVIRNAVAAVYTNYRTMVFDESQYPGDRGFLSGDLKKDKLWIQFKRRD TRV_00166 MSLLLGPRAARLRCSPPFSSLLSPKPRLIGAQQSVRFSSAKASS DRGTSSESVWGLKENNPGYWEGYLSTRPVYGDKFYNRIYEYHAAHAGSSPASFSTAHD VGAGPGQVSVQLARKFSHVVVSDPSENYLEYASHYLSAQEGLSPSQFTYSVSTGEELG ANFPPASADLVVCPLMFPLMDCNAALTSFQSLLKPNGTLAIWFYSRVHFSEPEYAQTC QPLLDRIINHHYGREIQGNGPEHSAKWKPVADQIASWLDNVPFAEAEWHSVERWKWNR SWTDMGFFTQGACDFKVEPTSCVGEKEKVIEVEDRNFWRKDWDVSQLRKFVYHMCPFR DMEREAMGETWAELATRMGGAQAQRAFSWPVVLILVSKK TRV_00167 MITAEKKKGREYERLVSDEAEDIYPELIQSQRRWGARRVMEITV YTAVISVIALALGLFIGTSWPSSRHIGLDGYLGEEYTYLSVEYWPSGHADSYSSINYW QSPQAQFRGHGIATTHSRRFPPRSQRKHGTA TRV_00168 MTAVRASPGITAMRAQLPYVDYERYTHIPNEENAGPVRNGAAMP VSPQWKTLMPTYGSQHINDVADFSLWCEETTESKHLRREQQLWVLCHYHAKEVGFEYP LIIVITDTPPGPLTLTIAGIAALFVPDAPLCTGFNVNTAYASPRVPDPSPVLVKRWMA PTRRETDLILKSLAGLCNVKAINWFGLYCFIELHTNDGRSYKRHSLPGRVAGKTTTYH HSADRFWDEPQNLELIRRVDPRLSAVISPLQDRTDYLREGPGVLRPGVRIAAGHPDSS ATPSMPELGTSCGVRLRHSTGRLAVTIASQAQDCQDIYHPDTTNGSKIGTVVERWPRL SIAMVDLASSLRFSKSGYFQAASPKWLVRSDNVPNGTWCSCDGMSTGLVFLHCQGLRI SNIHGKGGYPGECSYLAEKLYSTFRPVGGRLSEGIMGAPIVKEMSRTADSGGDVCGMF CSGDESVAIASVLDDIIDAGWKLY TRV_00169 MASSGYAASDAALSSLNTELRPKLSSSALITASTDPDWEEYARW SAYNSPIPKAIVSPASEQDVAVIVQHCISHGVPFLAQSGGHGWSSTLNLGRDGIIISL RKLNTVTFNRDGTEVTIEGGALTSEVMEAASRKGSLVITGNCNSVGALGAALGGGYGF LTGLQGLAVDNILSLTVVSGTGTLKTVTAESDPDLFWGLRGAAPNLGIVTSVVMKAYP VEASGQYAWLGALVYPADKVEPVLRAVSELTLTPKMSVFFYFLTSGAPDYSPLVAVAP WYYGTEAEGRAAFASVIDIGPIADTTGVLHYTRWNDKAAAFCIRGGYKPSFGVGLSSI VPETWIKVWDEFVSWAALSGTGSSVVLMEAYCLDKVRAVPDHSSSFPWRSNIAINAIV IPWFTDKKLEEEALSLGRRIRRLLQSTDGLKAPAMYINFAHGDEDPAEIYGSNLTRLR DIKAREDPNNFFCHWFGVGSMP TRV_00170 MPLRSKRIRANIEWKEIYETDIHPRISEILTKYGLSFGVDTLDR VQPWDDSYEIKDVITITTHDASPRKDWQDAADTVLAMVKEKVPSHVSHPIQVEIINLD KMYQDVSSPLPNDRSIVGPLEQVKGRIVEEVQVSMQDAWLSIAFHLRHHRNSFDEPMK PTILVICRPHSVCDFAEAEDRLLDILNELDISVYLELLPGRTVLANPGPKPTPMYTHV EDLPEKPTNGSSIGVKGNETSAGTLGGWLILNLPKEQRQIKCALTCYHVIRGDDSSTT DHTDTHGVHWNDPRGQLTIQYPAAIDARAALDNLDKLCHNFPGDQRLEKQRNMVSDLL LGPGIGKVILASGSQVRNNHRVDWALIESPETFSKNKPPSIRQGNFMSPPAGHRYAPH PDTKIRQFDYVHEDDWVVKLGRSTLTSGIINGMKTVEWGPNFVTEEIQVMSHYADVAV DGDSGAFVVNEHGHLVGMLYAVTKESTSFNTAYITPFDAIQAHIKEVTNGGFLSFD TRV_00171 MAPNYSPKHFLVTFPVEYVAHVEINRADKLNSFFEAMWLELRDI FGQLSHDPNVRAVVLSGAGSRAFTAGLDVKAASKSLLGPKPDGDAARHAAIFRRHIAS FQECITAVEKCEKPVIAAMHGINFGLGIDLSAATDIRYCAADTKFSVKEVDIGLAADI GTLSRLPKAVGSYSWVKEVCLTARVFDAKEAKEVGYVSAVFDNKEVMIEKALATAKLI ASKSPVAVQGTKELLNWSIDHSIQDGVWNSAALQTSDITTALLSGLEKRTPTFEKL TRV_00172 MLASKLLSSAPHIATQQALLVLDLQNDFVRQHGNLFVSNTADFL SLIPDLARIFRASGEVIWVQSVFEGRRPVVNEGLGGDTVVLEDGPPVTSTPAPKGLSK KKQAAEQARQSLFSDDPEAFLSVSDKACAGRRCCLPNSSGFHFPAPILAAIDPNEDTV LVKSEYSAFETQGLLLSLRSRFVTEIFVCGSLSNASVYATAVDAVRHGLTVTLIEDCL GYRSSLRHREAMRRMADIMGANGITSRELMEGQDFAAASPVLERADSSLLTGIEEKIG TLGLSSPNYQNPDGQIATHHFIEGGADKPQDILETSQRPVDPPEEKDARKPQDGDQPI ESPSPSQKQNSSQPLVCTPGDRIASGDSRILYDLAIPADSFQRLRDEVEWQKMYHMSG QVPRLVAVQGDVAQDGSIPIYRHPADESPPLSPFSKTVDKIRVVVEKELGHPLNHALI QLYRDGEDRISEHSDKTLDIVRGSNICNVSLGALRTMTLRTKADAKKSTHSSPENSRQ TQRVPMPHNSLFILGEKSNMEWLHGIRPDKRPEKEKSKEEKAFNGERISLTFRHIGTF VNPISYTIWGQGALSKKKGKAGKIVHGDSPETERMIRAFGQENHQSDFDWDANYGRGF DVVNFVTTPSLTFLPGACDKPTDLRIRLALTENGIRYEIRGVKDPPSNDEADTKASPA PKGEPSLLVDSDGSHVETGSMAILQYIAETAYCRIADIAPDPDMKVDGLTLEERLNAV DELYEAWTTSSDSDVEQVAAKLSAFNSLIHGKLYINGKLFGVDDCAFWSVLREIVEAK AGSKVVEGKFPLLMGYYSRVRKRACVKQVMDEMAVASR TRV_00173 MAARPLARAVARHLSQSASAVSRRSFVAAASSRPTLVAAGRAAI ASPATQQIRGVKTIDFAGTKETVYEREDWPREKLLEYFKNDTLALIGYGSQGHGQGLN LRDNGLNVIVGVRKDGASWKEAIQDGWIPGKNLFDVTEAIKKGTIVMNLLSDAAQSET WPTIKPLLTKGKQTLYFSHGFSPVFKDLTKVDVPKDIDVILVAPKGSGRTVRSLFREG RGINSSVAVFQDVTGKAEEKAVALGVAVGSGYLYKTTFEKEVYSDLYGERGCLMGGIH GMFLAQYEVLRERGHSPSEAFNETVEEATQSLYPLIGANGMDWMYAACSTTARRGAID WSSKFKDTLKPVFNELYDSVKDGKETKRSLDYNSQKDYREKYEKEMQEIRDLEIWRAG KAVR TRV_00174 MENISPRALHHKLTKRSTMAEKFKMIPEGWKPPADPYVPDADAL NIGTPGYFEKYHFFVHGNLMDKELLAEVLDLPSDDVKLNLRPAQVLGYQAKFGGGCPV LQCARLHFFVDGMAYYVETQEEENRLTAYKANEYTLRDCIIRFSDILNGDNEQVHGKT FVWNGNSAELTEENPSQMETNYKIGIEVELFLQPNDNVYTSKEDFAKELVSSYRQIKE NVHLMHLDLDECPYFGPNRLTEWTMEEDCSLETDRPGQIDAEFSSPVMYHSNPLWRRS VGSVFKHLRTLASLQVNHSCGFHVHISKQERPWTLEDLKRVSRAILYFESSIELVVPE HRRGSIWGKNNCCDNPRFEGKSDKASFDLVDLMNSGNNRNYGWNFTNLLEGDNYTVEF RRGPGLTAEIDAFRWVEFVVRFINSARNIGTRWNLSLYRRDVLGLHKFLCDYPVPNSN PGLLEPLFAGKTGVLEPRRLGQLTPEQARRLRDKDDEAKNKNTIKEKWSLIPM TRV_00175 MTDKQHWLPVTRENIQTAHSMIRPYIYETPVLTSKTLNSLASTP QPAEALIGTPFEGQQPACPKIKFFFKCENFQRIGAFKVRGAFHSILRVIKTKGEEEAV ALAASTLSIPAYIIMPSISIPSKIEATKGYGGKVVFSGLTSEQREEVMRKVQEETGAI LIHPYDHPDTIIGQGTAGLELDTQVNKLVSENPELSVRETEDGKGCGLDAVITPVGGG GLNSGTATVFADPRADGRKIHVFGAEPSFQGADDARRGLKAGVRIPEVKSLTIADGLR VPIGEIAFSILSDPAKSRGVFSVTEEQIKSAMRLVVERMKIVIEPSAAVPLAVCLYNE EFRRIVEQEGGEAGWNIGVIFSGGNTTIDAMSGLFSAS TRV_00176 MLRIFHRPPHSRPGGPDHHKVLEIAGPRRGLGRSSGKSSLLYYI AAVGILPASFNGIRIGGQNGVVVFLDSQNHFNATRLRDVALHYAREKMYGQKQKQRGA GPPPRKRLREDEEAELRKMVTDCLQHVHVFKPSSSESVVATLRSLEEYLLDVTRHRSA PRKLHSIMLDSTSSFYFKDKRQALVDSLPTELPKFPWTEPPQLYQIVHSAKYIVWCLR RLQDRFACTVIYTVIGRRRADLHIPKPSGFGYPVELLYPRPKVMSFMPHLPHAWRSYS TMRLVVRRDAVRKFSSRSIKDALHLGHQRSLVVAQGKFSAWIDPYGKEDWPSWIGPSI QKSKCKGRFNYFVHKRGINLVE TRV_00177 MAQTNPAQMPPSPQSSTKRPSFDADGASPAKRQRRSYRRHHCLQ RSHDLLAAGAETLNDSTSIDAQLNASIARVLDEAGFDNVEPVVLDSFRNNVEEHSLQP HLKAFPRRMQPTTTTTPSSKPSLPTPPPEGADDEDKPIPARNYAFLGPELNSGVGDLK KASYIPKNFPSFPSKHTYQETPVFATKREINPEKIRERATEEGRLGEEALRKLTRAAR EGQGSGRAHRDKLLWGRKNESMDSMFDKTLKALAKSTSASKQADGSLVLDLGPIVNSN RVYWRKPSAPATRPDPQRMTMAAAREAE TRV_00178 MLKIWSMKQKQQQAENSEGGGGAVETKKKKKVTASQLRVQRDLA ELSLGSTMKMTFPNPDDILNFILIIEPDEGMYRGGTFSFTFTINQNFPHDPPKVKGQQ KIYHPNIDLEGNVCLNILREDWKPVLNLNAVIVGLQFLFLEPNASDPLNKEAADDLRL NHEGFKRNVRTAMAGGTIKNIQYDRVTR TRV_00179 MAQYPQDQMQNPAAGFGVPGRVPSPYTRSETSSTEAWRQRQAPQ QGNLRRYATRKVKLVQGSVLSIDYPVPSAIQNAVQAKYRNDLEGGSEEFTHMRYTAAT CDPNEFTLHNGYNLRPAMYNRHTELLIAITYYNEDKVLTARTLHGVMQNIRDIVNLKK SEFWNKGGPAWQKIVVALIFDGIDPCDKDTLDLLATVGVYQDGVMKKDVDGKETVAHI FEYTTQLSVTANQQLIRPHDDSPSTLPPVQMMFCLKQKNSKKINSHRWLFNAFGRILN PEVCILLDAGTKPGSKSLLALWEAFYNDKDLGGSCGEIHAMLGKGWKNLLNPLVAAQN FEYKISNILDKPLESSFGYVSVLPGAFSAYRFRAIMGRPLEQYFHGDHTLSKQLGPKG IEGMNIFKKNMFLAEDRILCFELVAKAGSKWHLTYVKSSKGETDVPEGAPEFIGQRRR WLNGSFAASIYALMHFGRMYKSGHNILRMFFFHIQMLYNTFTVFMTWFALASYWLTTA VIMDLVGNPGPSNGNRAFPFGNKVTPILNTLLKYAYLGFLLLQFILALGNRPKGSKHS YITSFVLFGVIQLYIIILSMYLVARAFSGGTSLSFETDHGPVEFLKYFFGSSGPGIIV IALAATFGLYFIASFMYCDPWHMFTSFPAYLLIMSSYINILMVYAFSNWHDVSWGTKG SDKADALPSVQTVKEDGKSATIEEVDKPQADIDSQFESTVKRALTPYVEPKVDEKKTL EDSYKSFRTRLVSSWLFSNAILAVAITSDKLTALGFTSQATERTSRFFQSLLWATAAL SLIRFIGACWFLGKSVSLFFQFFSIFSAFSFFFSFFFFFYFLCLLFSSVTSPISFPVF AQLMPNSILMPIPDLAGDVSCILDEYSLLFMYLTISSPCFNPPFSRGHSVRLHPWLSI YPLEAPQSEVWSSISSGFHENMPNCILPPYYLSKRLSYISIYIS TRV_00180 MTEIETLPQRDRALPNEPFFGKLLGLLQLGDDHVAIDDPYSGIK ASASQFVNDVLATRAAVRRTAPAGMLDQHGMVYEQKPYILLLAPCSYRYFVGFFAILA LGAAVVPLSANVSVDEATRFKNKSEATCLVFAANLESKALEIQQSTSLGLVPISFAAD TTDGEHACHIDITINEGLTIEPTRPSLVLGTSGSTGPPKGVVLTRDFFNIPMPDGGPD DVFITMITAMNWIGGVWPPTKLLLNGVRIEIFDSQPSRPEVAWKRLRQGGVTFLMAHS NVWMSMMKYYINELSSLSPAELEPYRHGARDVKLAMAGAIPIFPPVLKFWREIFGHPL MNLYSAAEMGGCGLRTAADVEEDVERSVGTPYGQLEVKLSEGDHGELLIKGPTVVSHY LGDPKATEDVFDAEGFYKTGDRGHIANGVYIIDGRLKHDFIKHHAYKVPIPELEMQLL RLHYVDEAYAVPAPEASVGEHIAVVVRLKPEAEGEGLTLAKLREDLLSGSSLPVGYLP TVLRVLGRGETIPRSYTDKPLRKQIVTKFFLGPGGTVEQGNLEIEVWESKVIPSARDF DQGSDRRLTTASI TRV_00181 MNPESGMSGRHRLASLALLTSVDTAIYGRSPSTLFRRCVVNCPT EAPPCPTCKEKEICTLTAKSCEACATAICVPDPLANQPSDDSGSPTGAIAGGVVGGIA LLAAILLTWWFCVRRRRKNTEIWHEKTNASSVDVDGNSQRRQSAVGSIASTVLTRASN VIQIAYIPGVTNRSAPGSPDLMVPPVPPIPSAVANGQNQHFFMPGDIRDSMWSGMTDD DGKSISPSLARSSVATTIYRHNAIVSPVPAQEAYQARANIVSVKSGTSSSSPQSSPKV PAITNSQINKANAVAAKLGVSSIVARSAVAKPINVTKGGRSKTTTTTTTASSTTALSD NNSSQNTPKVTVESPERNPSTDSSSSDSSSSKNDATSTTDKNGTAKVKSRQSVQSLAV TEIDDSPDQKQSPFADPIVEEEPIPSGLTSGPTSQSNRSASPFDDKHEVKG TRV_00182 MAIIISVRSSQDQATKPVYLGDSHQTKGGDSTMLPASTLLRMNM LESGEDRALAAASHFLPCALLANSFQTSHQLSGVAIRLANMGDHQDRQFEQDKSRYVI PGGSVERDRLRVQHEWIKGTAGGLIKAPLDLTAQGMKVLDSATADGFWIHDVRLVLPD DTEYVGFDIAVTEPFPPAWENSFDLINQRLLFAFFQRQEINQIVKRLVGCLKPGGWIQ FFEYDHYTMVTDPQATTYLLFYKFAEQKVRNLHVKRDIMDALADAGCEHIQSECLEMV AGSAHVDREQGMRGRRVSRVLFHSFGSLVKLEDIGLSEDRRPSLAAELEKDMDMYKTG VAGNFIWAQKPEGI TRV_00183 MVSPIRLQFSDPEPAANMRLLNTRSLRVEEFFDDSALPDYAILS HRWQDEEVSLQQLRDGQATAMRGYKKLADSCSQARRDGFDYVWIDTCCIDKTSSAELS EALNSMYQWYQRANICYAYLFDVDETLVAEQSSFYRSAWFTRGWTLQELLAPATVEFF NGTWQRLGSKLKLKDAICEVTGIHPGVLTGELELQSFSVAQRMSWAARRTTAKVEDRA YSLLGIFGINMPMLYGEGERAFLRLQEEIMKQSDDHSLFAWKSADPNHRGLFARSPEA FAESGHLVQAASKWNIKPYSLTNMGLSIELPMVEWSMGVYLAALDCETEGVQNSRVGI FLSDLPEKNQYARVMLDGVDLPRFATSRQSQYRHIYVRQQIRGSLRPVEREYGFWLRR IPRPSLSLDATFDVTAWNNKWTRQNMVFTIPKGECGTAVVIRYKLEKGRTTNIKLGFD PKFNPVCQFGGQYYSPKTFGSPFRDTFQGIMATDWMNSRLEGVHVGDKQTGLNVDDFH RILILKETIKGKEMWVVYIADYDEEAVWYQDRVCDGCNLVS TRV_00184 MEEVFSGIKYAFDYLFRSRAARGLPDDRKCRVAYWLMDYVQCAL CHFRSEVKTRYPHRVDIIDIAEKVERSIIYEHGGMEPKTLLRTLRGETPRSKPLTPEG LKTCDQILARWQDHDAIKKEFYFLKLDRIIEEGFMKGSFVDDDDVLLSTYLYDTEKHA SQTANGMKKWLLARHGTLEF TRV_00185 MEVAKAGGEQAKANKATAAALQELVAAAAAITDDPGPAGPPRRR PRPHQKAKMRARRAERRRMKKEKEKKEEKKEEKEEEEGEKEEEEGEKEEEEGEKEEGE KEEGGRGGEGIAFEAKTVVDSRY TRV_00159 MDLAMLEKTKNDKILSRFIKKGGSTIKELAQSIMENATAATKKK AEAAKSSAKDSSAPGSTTSQATKQREATNSSSQPIVGIKRAREDDSSTTLTKRVVSPS FVKGTTRVVSGGASAAAKKMTAPAKDGRSTPNGTSQASTKPKANIVIPKPTPSLFSSL MSASKKPGTSNAARAAAAAAAKDKPTSVVTEVKTTPQPAPPPVAKPAFSFSETMANLN KPRERSPSKQEEDSPPETDEERKKRLRKEARRKLRVTWKPDSSLTEVRLFTHDPEEEI GYDDSMMRDVGDVAGEGRTLKLHHGLDDLDDEDEGWNEESLAPYTALSAIDFSDLLEE DRERNFIKTGGSKTADSPEKTAQDQREATTLSVFYTSPSDIPNTPKEPPPPDNDDEPY SPLVPFGEPDHFVKARSQRYFDSRSPPVQAAPQATPGAQPNNAAPLEISSLLKILQQN QQPQPVQQQQQPAMPPNVPDLQQTLAQFTANAQSPQLQQTSQSGQAPSAQGLDFQKLL AVMNAQKKMQQATAFPQITTPPTPNLAAILSQLSNPASQLQQQQQQQNQGQNTDSQAF NQTFYEDGDNKRSYEGDDSYDHNKRAKIKKH TRV_00160 MDSTTDPNPPPPSDPGVGHFHGEFWGGMSTLYGTGPTNDQPFGI GWDHPVFRPSPHSPSPQTNQNIYSLPQQSQSQQQHQQPPPQQHQQQHQQQQPQAQQQP QQVQSWQQTSIQHQPVALDSQQQFSMPSPYRVSPYHTQQTPTFDAAQAASSYHPYTYD PQTFYATQSQVQQQSAYDQHPASVPAQRVAQASPIATNTLDRQQQSSYLVPSTLQLGL QHGGPASFPGDFTTRHSHSPYHNTIDPHFLSTPDQQPQSQQQQPQQLYAINPAELERP TSLKTSELQIANGLQTVVPQTSTGPVGLAPVVYSNGLQTILPKAPTAAKPRKKKGVEQ KTQTLLNKFITKPAVQQPLNQTQSESSSDYSSDESDDDLEVEEPPAEPSPIPAVRPDD VEGRTGYDTIKVVWAPRNRHAKAADVRNAMIQFSDLVKGVRDTWKARSEALKAAENQN LEGKIPSLKKEVVLQRRLLDLIINNTLEFGHPSYVRSHVKRHLGDSCTIYALFHQELE AMVTFSNKWEGISFAAYGNCLRGNLPKLP TRV_00161 MASSPLSLRQRQIASIEHILNLNHEIPPQNELQGESAAGKNGLI PRAAPLLNEDGDPVWKILVFDNLGRDVISSVLRVNDLRSWGVTIHLNLNSRRYPIPDV PVIYLVEPTIENIKMITSDLSKGLYSPAYVNFLSSVPRPILEDFAAEIAATGTADKVA QIYDQYLNFTVAEPELFSLGMGKDTYWKINSATTKDEELDVVVDRIVSGLFSVSVTMG SIPIIRCPKGGAAELIAAKLDRKLRDHILNSKDNLFSGGSQRQGIGLPSSRPVLIIVD RNVDLVPMLSHSWTYQSLIHDVLKMHLNRITVQSPIDESDLSKGMTTKSYDLNVNDFF WNRNAGVPFPQVAEDIDAELTRYKDDANEITKKTGASSIEDLNIDAGASAQHLKTAIT LLPELRERKALLDMHMNIATALLKGIKDRQLDNFFQLEENINKQNKTQMLEIISDPER GKNPVDKLRLFIIWFLSTESDLSRAELNRFEEALKQAGCTDISPIAYVKRVREITRMT MMSTSTSTAPQQPSSDFFRGFSSLSNRLTDRITAGALGANFDSLISGVKNFLPANKDL TITKITESIMDPSAASSSTIALTENYLYFDPRSANARGAMPPSIASTRNAQSGGSATS GTNATFGQRRQAFNEGIVFTVGGGSMDEYGNLQDWVHRTGGGQAGAGISVGGSGTNAG AGGTAHSSALGSGRRVVYGSTELLNADDFLTEALGKLGHES TRV_00154 MAASARSNVSDDLVWAIARTQNSYLVKRKCGGGVQFSRDPLNLV NKHSRKYAGFVNSKAIGVQGAENGGVAVTSKRPNNCNKPGSNTYTATHGSGSSNRKVY KAVASRAVSGGYRADLRAEAVCRASAIRLSQRPKKDSPEKKLRGAKARKAAAEKDA TRV_00155 MSGARHWEQDKEATVYIGNLDERVTDKLVWELMLQAGRIVNVHL PKDRVTQTHQGYGFVEFNSEEDAEYASRIMNQVRLYGKPIRVNKASADKQKAVEVGAE LFVGNLDPMVTEQVLYDTFSRFGSLISLPKVARDDSNLSKGYGFISFSNFEASDDAIA NMNGQYLMNKEISVQYAYKKDGKGERHGDQAERMLAAQARKHNVQPQPQQPIPPQFAG GAPAAPGAAMNAGVQNVPSAVPVAAGMDAEQQRPPIDVGPAAPDFGAGRGMPPVMNGG YPNMNMAGPLPHHRAPHTPHTPHPPTHPPQPLVTPPAGLPARPPPSQAGYGGPHPGFP AQAPRFGQPPPAGFAPPPAGGPPGPGGPPGQLPPGFQQQGYGRGR TRV_00156 MNDKNGLSPSLVETIAGFTAGVCSTLVVHPLDIVKTRLQVDRFS SSKFGSSLRIIRGISRNEGGIQAFYRGLTPNLVGNSVSWGLYFLWYGEVKELLSVSRG SGGLTSLDYFVASGTSGVLTTILTNPIWVIKTRMLSTGAHVPGAYRSMMSGFQQIYRR EGFTGFYQGLIPAMFGVCHGALQFMAYEQLKRCRTRMTQASSSDRLSTTNDTPSTQLK TLSNMDYLLLSGTSKIFAGGVTYPYQVLRARLQTYDARGTYKGVRDAFVQILRTEGLS GFYKGLGPNLVRVLPSTWVTFLVYENARVYLMVDK TRV_00157 MHGGAPEWAQPNYGAPMSQEQAQQIQQPGYAPHQQTRGASKQKS RRKYECTLPDCRKNFTQKTHLDIHMRAHTGDKPFTHERRHTGEKPYSCDICHKRFAQR GNVRAHKITHDQAKPFTCRLDDCGKQFTQLGNLKSHQNKFHAQTLRNLTVRFAMITEP DRMSPQDAELWEYFAMLYKNSNKGIKGRGKDRRVSSTAKTRANSTKTTTSDAAANNII SSAPAPAPAPPSSSRMPAHQLPLGSEEEAKLMRERSYHRETEHSYGYLEYIKEGLEHL AEVLPHQKKYDEKALGSANSSLFFFFFCSCQQKGRTNAFLFLRSHPTARGIIA TRV_00158 MRETPFFACRGSWIGCCKVANAAEVASAVVDAAVAVAVVGEDDA VGVARAEEAAAAVAAVGAAGGADEVDAVAAGAVDVADAMGEVDEMGEADGVGAAGAAD VVDGADEGAGVGVVDGVADGVADGAVDDAGAAAVAAAAAVAAVVAAANGSVQRIRGRK RPDAGWYSEYGRSRARRTGSELRMKAPGSSIDDVFWTSTGSSWLSDAEGTNNDPTAWG TRV_00150 TSSSSSLYLLLLLLLLQLQLQLQLQSLQLHDQLHLVTVLGASGG IGQVGSPVGWEAPPLSLLLKICPLVDELVLYDVVNSPGVTADLSHISTAAAVNSSSFQ LEFLVRNPKHADTSPPPPFFGVLFRLVFVSNNPAKGKPGMTRDDLFTVNAGIVRDLVQ GVAEVCPKAFVLIISNPVNSTVPIAAEVLKKAGVFDAKRLFGVTTLDILRAETFAQKY TGEKNPSDATIHVIGGHSGETIVPVYSLAKPAAEIPENEYSEIIKRVQFGGDEVVKAK DGAGSATLSMAYAGYRFALSVMKAAKGEKGIVEPTFVHLSGINGGDVIAKETGLEYFS MPVELGPSGAENIVNILPEVNEREKALLEVCKTGLQGNIAKGISFVQNPPQK TRV_00151 MSFDTPTPPFSASDPHARFLNASCLDLLLIELVPMAERIVQELE LGEKDSPVKQDEALDIKNKEREKEKEKDSKSSVAVMDDEEYREAIYFRLESLGYRVGL GLGER TRV_00152 MPVSHSFHRRLLFLTSGAFVLLFIYYFGTVYRHRLGVVPKHGST VHEEPEEIEEICMGNDPIKALSDKADNAWRKYDSSRSMTFSDAVVEYRVRYGRHPPPG FKEWYIFARENDVRNVDDFDQINDDLRPFWSAEPKTIRRRVAAACQDHSGNSIAAIHI RDGAIANITGDGWRIDTLKSLVARFAQKLPDMDIAMNTLDQPRLVTKWEDMQAMLNKE IASRVTPPDATYSFTKNMEGFFSLTSKNNVTEEDKVKWAGIPSKQYMEIAATACPPES PARNPILSIQEADSRYKIPGAGLVSNFNTSSDLCVVGPAIKNLHGFLFSSSSISHSDA LIPIFSECKVNINNDILFPANMYWKDDERYTYNGAEDIDWKMKNETLFWRGATSGGIQ TADNWSRMHRQRLEFKMNGTKLIGKTERVLPCNDQSLSSGDSASSNFQPTDFANKHFD FGFTDPMACVPANCEFYNDTYTYKEKIPLSHQFVNKYLVDIDGHSFSGRWRAFLLSKS LGIKATIFREWHDSRLFAWRHFVPLDNRYDDFYALMTYFIGTGTNNTTSSNPHVARHD KTAQNLARQGREWAEKVLRNEDIEAYMYRLLLEYGRVIDDNRDSIGYHGDGSELKEFD EHLTR TRV_00153 SHCADIRSRSGPTVTSALDSIITALPSGSPAAVFACITTIPDNS SSSSPKSYGYVGVDPFITRAWLMELYRPLDLQEKPVLYHSRTFDFARDSSRDTVCLRV VLTSETASRLLSNPNLRIMVYGAADNGLTHYSPSDIAFPHQVELKVNHDDVKANLRGL KNKPGTTRPADITNFIRKKVGYVNTVTMTYALTQKVVPHSSMQIAVKQLT TRV_00140 MKLTFRDLKQQKFVIDAEPSDKILDVKEKIASEKGWPASQQKLI YSGKILQDDNTVESYNIEEKGFIVCMVSKPKAAPSASAASSSQTPAAAPSAPAPTTPS APTRANAPASETPATPSPAGGASSGATFNDPSALLMGNQGQEAITQMLAMGFSRGDID RAMRAAYFNPDRAIEYLLNGIPEESERAPSAPAAAGGAARPSAASEEPSDAQVQESLN LFEQAAAQASGGGGARGRGAGAGAGAGAGAEETAGSLGSLEFLRNNPHFQQLRQLVQQ QPQMLEPILQQVGAGNPQLAQLIGQNQEQFLQLLSEDVDDETQLPPGAQSISVTEEER DAIERLCRLGFSRDSVIQAYFACDKNEELAANFLFDQPDENEDQQ TRV_00141 MTILTTGWFSCGRLQLVRSKTALLLCFFSGMALVYLFYFYDNYT PVPPPALQEAVRIPQAVVLPKTKWDRVGWVKQLEPDHANTMARWNPFVYSVDGDERYT LRTPKNVGREAMVYLSFIIDYYHSLPEVIAFTHSANKQWHNDFKGRKTVKILSALQIQ AVKRKGYANLRCLWEPGCPTSLNPLSPTEIDIRGQNERAHLPEIYMELFNVSRSEVPE HIGGVCCAQFAVTRERVQKRPLEDYVRMREWALDARYTSFGVGWVFEQIWHIIFMEEA IHQNKRPREQHIQNQWIQSGSYLAATAQQRITQGKTIEGPWEDLQLSSRRTREGLGST GVQALHGIAGSFA TRV_00142 MLLLLDLLVLYQAKENILLVVIKRRFILMRDKEFLFFQQSPFSS LLDLTSLLTKNRKQADRCTT TRV_00143 MDEYETRARYNIAETCSSPISLDDLCAFAGDTRPADLFNASQKL GYGVIRGSEELRSNIAQIHVGSGDVAPASDNVLITPGAISANFLLLYTLVHAAAYSVP ESLGAEVTLWKTSVEKDWELNVDELKDLIKQNTKLIILNNPQNPTGKTIPTEDLQQII NVARERNIYVFCDEVYRPLFHSLDTNQQPASAFSLGYDKAIVTGSAFKAYALAGIRVG WIIASKDIIEQCLHARDYTTISVSQLDDRVASFALGEKCVSKLLARNTTIARHNLNIL TAFVEEFSSVCDWCEPLAGTTAFVRFSKKRGAG TRV_00144 MVLHQFDYIFAIGIIFAFLDAWNIGANDVANSFATSVSSRSLTM MQAMMIATVMEFGGAVLVGSRVSDTIRNGIISTSKFTKEPAALMLGMMCALVGSSMWL TFATKMGMPVSTTHSIVGAIIGVGIATLGKDGVQWAYNDGKGVAGIVSAWFIAPAIAG GFAIIVFLITKYGVLERKRPLRAGFMMVPFYFAVTAGILTMVIVFKGAPSLNLDELST GQVLGAIFGVAGGVVLLYGIFFLPFLYRKLELEDWQLKTWEIIYGPLLWKRGPVPPRP EGTAIVQDYYRGHKTKADLTTARGAADDIEHAAAPQTDAQSSEDGIKRGSSEASPAEK NGEQTLEAHEQEALGPWYTPRNLFVKAKYYFLRGVDRDVVSEQNATDATNFLAGDLDK MHAEVKHYDNKTEHLYSFLQVLTAATASFAHGSNDVSNAIGPLTTIYLVWDTNTIAKK ASVPIWILVFGGAAISIGLWTYGYNMMRQLGNRLTLHSPSRGFSMELGAAITVILASQ LGLPISTTQCITGATVGVGFCSGTWKAVNWRMIAWIYLGWFITMPVAGIISGCLMGII INAPRWSGVGA TRV_00145 MIPIRRKDCVGSGAKANVHKANETIVVKVPNSSTIAEGNSPFSQ EAKFYNCVLGEKERCPDIVECFIASPDFIFLSYCCNSTLAHRFFEYQEREIRPNGRPG KLIGVKEYEDPALIARWIQQLTSALAFMEKLGYTHNDLHPRNCLLDENLNLKLSDFDQ ATTIGQFLESLMEPWAIKLTSGPLRNTYGLTSARTEQFAVGTFLFTMVYGHEPYEDIH LEEDPIELRRRFRAFDFPELNRHEVFDEFISACWHNVYPNMALVAYDIKRKTKDIASP PRNKAVDHLKEKNACIALIQGGLLGPEQALSYQPSWQRYLHAVIGRFLYFWKRSFNLL GTLFCR TRV_00146 MAPAIASATVTPSTTAHSTWKAPLRRTGAIDQYEREDVTPIIGT EFPTAKLADWIKAPNADDLLRDLAILISERGVVFFRQQDGLTNTKQKELMQRLGELTG KPPTSRLSIHPIFEQGANDPEINTISAAQDNKLRSIDYSVSIPKKQSSAHWHSDVAFE PIPAEYTTLRLTQVPKTGGDTLWASGYELYDRISKPYQRFLETLTATCAQPGYNKVAA SGKFKLFDGQRGAPENIGSNFSSVHPVVRTNPVTGWKSIYSAGFHVQKINDVTEAESK ALLDWFLRLINENNDLQVRFKWKNANDMAIWDNRCVFHTATFDLEGKEDRYGVRAVGV GEKPFFDERSMSRREALKEPVQAL TRV_00147 MDILADESGAFEDLHLSGSQFKLDMMPPLISSAKQPYEDANIML NNGQGFPMENIPNGRHTPFLDRQNPQIAQEFTLADENTADCVRKLTDLGLAQYNQLRQ LKRMRSCTNRDKQGLLTLLHNYPIQEIMETARQLTELVDQFIQVPVIGGDLFSSSPDS AGEPVPDLRSPFFGDPLEITDFSDLLSQPFIDVSATPTSTGSNSSNSQLSSSISAGSG IQMDTSTTLHTISCYLRLARLFVLFFTDLHDFLLFPNLADPMLDDKSRLFPGLKLGSF QPYVGMELEISIVVQVSEHILNRLCNSLGLPQGQDTGQSRLRSEMITPAMLQAVQVQE RLDAQDEKGDTFTQLPLVINSVKKMIKVRPFL TRV_00148 MEDGDSSSDQQGYPSPSYMDYFIAGCRRIRPGNEIDWGKDPQVE RNEAKSFVKKAYDDGVPLFTKNALREIQKQLTSDLQAGQKVSIKGIDGVTVEFDVKTG ELVLSGINSEYVASLAAILACRYGIDMGYCTMGIRHPVFLRHDVTKEFINIKPTKDYD SVKKSFMDIQRRWECSDTCLQLRTALKDIKLPQDLIVNKIVAFACGSISGDRNGPSGA YRAAKLRETSLYQHAMLCTLQDTLKTRKGCHEVQCLAQDPIYTSVDSKVLGEAGITIV EDPEGFLQVDDTTVVVSLYPNAPVKQVVADISRPAVIIWDVFTHDGDGLTDPVSSRVE AFMQGFCQAYKFPSDDDNMMDLALFTRIDILSMASWSSAPGFFWGVTQGKVLEFSDNY MPNSFQVTVVTEKPT TRV_00149 MAVRAQFENSNESVCFFPFFCVFLLWTTILTVVYYDSVGVFATL TNSYAIVAIGGSENFYSIFESELQDVIPICHASIAGTRIVGRLTAGNRKGLLVPTTTT DQELQHLRNSIPDSVKVQRTEERLSALGNVICCNDHVALVHPDLERETEEIIADVLGV EVFRQTIADNVLTGSYMALSNQGGIVHPKTSIQDQDELSSLLQVPLVAGSVNRGSAVV GAGMVVNDWLAITGLDTTATELSVVEGVFKLGEGASGAGTATKETIVESFY TRV_00131 MILPGHALVSRKIINGLVSPSLQVQPCGVDLTLKRVLTWTSAGT VDLDNSHRQPASTKEIPFTKTKSSSSSSSGRKDDDDDNDDDNDDDDDHEAMMIDLPPG SYLVEFNETVSVPLDVMGQLFVRSSLFRSGALLSAGVMDAGYTGAVGAMLQVVNPAGL RVYKEARLGQFVFHQMSEPVEG TRV_00136 MAPKGKNSFTLKTPKGTKDWSGPDALLRDNIFSTITNVFKRHGG MALDTPVFELREILAGKYGEDSKLIYDLQDQGGEICSLRYDLTVPFARWLAMNPDVRN IKRYHLAKVYRRDQPAMTKGRMREFYQCDFDIAGAKFDPMVADSEVLKIVTEVFEDLG WKGKYTIKVNHRKILDGLFEVCGVPQDKIRTISSAVDKLDKLTWEDVRKEMVDEKGLD GAVADSIQTYVVRKGGRDLLESLLTDEKLTANASAKAGLDDMALLLEYLEDFGVVDKI SFDMSLARGLDYYTGLIYEVITEGSAPATATSAPEAQALQRSSKKDKKVDPDEDRSND PSVGVGSVAAGGRYDDLVGMFSPKAQIPCVGVSFGVDRIFSITKARMQRESNANALRS SEVDVYVMAFGGKGFTGLLKERMQICRSLWEAGIKAEFSYKVKPKLPAQFKAAETNGI PFAVILGEDELAAGQVRVKEMGLPDGHPEKEGVLVDVDSLTTEIKQRLEKKASGADAP AEAPVDEPADLAQKVKDLL TRV_00137 MRSLLGGALRPYICTSCKRGLQAQRRRFASSLSQQPDIYDIVCV GGGPAGLALLTALRSSPITSKLKVALVESQDITKAKSWNLDSENFSNRVSSLTPSSVA FLKSIGAWQHIDSSRVQPYEEMEVWDGVTGSNISFNWPPGLIDHDASSKTIATMTENA NLIRGLLTRIESLGNDVSIFSSTKVTSINNGTDIPDGPDLSAWPVLTLSPSSSTETTS KLPTIAARLLVGADGINSPVRSFAGISTDGWDYDRHGVVATLKLSHSAASSSRPVTAY QRFLPGIGGPVAMLPLPNNFATLVWSTTVQNAAYLKSLSPEAFTAMVNAAFRLSITDL KYMFSLPSSPNQTSSETIYGHADELSWRLQHTPVPSYVPPEVETVHSNTVAGFPLRFR HASTYISPRVALVGDAAHVIHPLGGLGLNLGVGDVISLHRAIEYAVQHGMDIGSLLSL EQYAADRWITNAGIGGACDLLHKAYNVPGYGPFAWARGVGLSAVNSLSALKEVIMKRA STA TRV_00138 MDHNDFDSVSWRNDGDSDPSRPATSYTDIDNASSSRDDPNGKRR ISSTGDEVLSPVQDGAFAAALDNGMLECTVDTPLKENEGTKDVYVSYLVSTHTNFKSF QKTDFSVRRRFTDFYFLYNTLFREYPACAIPPLPDKHKMEYVRGDRFGPEFTQRRAWS LHRFIKRITLHPVLRRAPILVTFLESGEWNQHMRMRPTRSATNASDGGSNIFDNFADT FVNAFTKVHKPDKRFTEVREKADKLDEDLGHVEKIVARVARRESDLEVDYADLAVQFR KLVPLEPELEVPLQIFAGCVEETSYGIQTLKEHTDQNYLGSLRDMEAYILSLKTLLKT REQKQLDHEALVDYLNKAVAERENLTNNPSSYYATNPLTSSPASFIRSKMKDIRGVDH EQSRREKVRKLEVRIDELTREVESAKTTSEMFDEEVVREVSDFERIKAIEFRDTLGAM TQKHVDFYQGVLATWERFIVEMEADGDDVGGKHDLKAKKPQVRPA TRV_00139 MPVDKAFILSQRPFGFLAFFSRWLRAFTARLSGRQNYRPLAEPE STQEHPISVVCISDTHNWQPELPDGDILLHAGDLTVNGTFEELQAQLTWLSAQPHTYK ILVAGEHDVLLDPPFAQRNPDRYPSVPGRTVCDLNFGSVIYLRDSSVTLQFPEHGNGR EVAIHGSPSISSSSRVGAFHVPRGTDVWTRAVPEGTDVLLTHEPPSKHLDGDLQSGCA FLGQEIERVKPRLVVFGHVHDGYGVKTTNFDEKVVPVEEEATNRQRKGWGPLLRATWE QAGHLFEYIFPAVASSPTPEVEKPKPAVTTFVNACLIGDLLDGNDNINEPIVTHI TRV_00104 MPGGYVEAKETDVYATCDDNSIPEDCHLRQWESNCIKACSLIGQ TLTAPENVKKWMIEAGFVDVKEEQFKLPINPWPKDPELKLAGRYQQVQYSDALQPYAL GLLVEVLGWSREEMELFLVGLRKDLANRAFHGYNIVSRVITGRKPGMGKRKFSDTE TRV_00105 MPQTSRPGEQPPAVSGPVEEQRLRAGWTSLFRFTSKRHIAPLAL ALAFSIARGLAVPLLAWILGKLFNVFSSFGSHSISAGQLLSTTSLECTYILALGSGVW FLDWAYFSLWVIFGELQAKNARRWAFEELLHKEMRWFDGVTDGLPAILPRIQAYIRDL QLATSQPLGATLYNLTAAIAALVLALYMSWSLTLVCLASVPLCAIIIAFFSSKVQPKI EGQQVALTKASKIATTAISSVDVVKHFNSQDTEAEKYKTAIGIAAHWYYKEALYSASQ IGLISFLTFGMFVQGFWYGSYLVAKGSLNAGQVLTTFWACLQATQSIEEIIPRLIILE KGRTASAAIKHIFNDTCHTCTLRELGGSTLSPVFCEGDIRFSEVTFAYPSQPGRRVLD NCSFFFPAGDTTFVVGKSGSGKSTIGNLLMRFYAPTFGEIHIDDRLIQTLDISWIRNN ITLVQQESILFNETILKNITFGSRNTEETTAQDIYAATKLAGLQDTILSFPLGLDTVV GLGGRSLSGGQRQRMALARARLRDTPILILDESTSALDYSSRISLMNAIRAWRQGKTT IIITHDLSQIRPQDFVYVIDKGKIAHQGYRHILEKKAAGVFDLNNLATLPTDTTPTTS NWSVKSPGPMSDNRRRSSFSSIERSMTERRSLTTPISPFFHNSFSLERTFSEAEQSSQ SRRSSMVERLIDHTSPRFRKSPLDALDDKRVEKDLEQPQPATRDNQTPSPLKPNNEPL QKSYSMSQILLTVIPSLSPSNRMSLLFGFVAAFFYAAATPCFSYVFSQLLTTFFVVEN RSRLAMQWALTVLGVAVVNGIASFFMHYLLERCGQAWVDQFRYKAIRRILQQCKSWFE RDENSLSNLTHCLDRNPEEMRNLIGRFACFMFVAVVMTLIGFIWGVAVCWKLALVGAA TAPPLYGLTRLYEKISGFWENKSNEASEIMAGVFTETFLDIRTVRSLTLESYFHTKHN KSNGKALTVGIKRGCYSGLLFGFSECSILFVYALIFYYGAILVSSSQYSAKDVLMVFS MLLFSMANVRGVLSLVNMPEDQSHEGQGNLRIHDLTPLNFKDVDFSYPNRPGKKVLNN FNLKIPKGSCTAIVGPSGSGKSTIASLLLALYPSPPSAGNIGTITLGGVNIRNIHVPT LRSLISVVPQDPTLFPASIKENIVYGLPENSSLNTSTNIQAAATAAGIHDFISSLPSG YDTLVGDGGVGVSGGQAQRIVIARALVRRPQLLILDEATSSLDVESATVIKQTVTRLM ASGRSLTVIIITHAKDMMELADNVVVVDGGVVVEEGSFPDLSSRSGGRLRRLLRMQL TRV_00106 MWEPQKKSPKRPVELADTSIAMKPSTIGDRVRFFGLVAVLFFSI FLTFLEAAILAALAPQISSNFNDTEHAGWYNYAYILTFASLQPSWGKIYRCFSLKPAF LISFLILGLGSIICGTAATPKTFIAGRAISGVAGGGLITGAYTVVGHTIKNGRRRPLY IGILGAAYGTSNIAGAYIGTLFLGSVSYRWIFYTNTAIGSLCFIALLFCFAIVPTVIP LPVSRKEMFLLLDLPGLVTIWPAMVCYVISMQSRKTTDLWSDTITTVTRFAFGVLLVI FFLSQWWSGKYANIKLRLFATRDTAVCTAFTFFLAGALATHLYILPTYAQALDGASVP ESRIRMMPLMIAISSSSILSGYLIGKFGYYTPMLVIGGIFTIIGTGILYTLDAATTPY KCATFQVITGIGIGAACQVPIIVNMSLVEIEDLPTIITATFFLQSLGGFFLNQASQAA FIGKIRKLTATSTDPKVVTVIQLSATSLRDEFTGESLKLVLNAYHGGIEIVSIIGIVA ASMALILSISPQWKKLSEAN TRV_00107 MAGLLEAYPTIHLWLAVLLLFAVLWICLKATTGTRKVPATGFPV IKLKSNDMEPGIIEGSKLYPDKPYEIQVPAKQMIILPRKYLDEIKRFPESQMSFKALV KDAMAGEYTMIATHDHSLVTALRRDLTQNIVHAHELLQEEAISVVKTKLGFCGNDYAP VKLLPTLLDMVSSMTSRIKLGCILKYTEDAFKAGMILHMTPSIIHPLLNMFLPQLWAV RRHYATVKRLVTAYLLVRLLKPILEERIEKLKDPAYKPPRDMIQSFININPQKGMSLD FQATNQLMAAFTSMHTTSMNACHALFHLAAAPEHVAPLREEIETVLAEDGSLTSKAAM QKLRKLDSFLRETQRLNPSSFVGMERKVLATTKLSDGTVLPAGSILGFNSFQINYDTQ LWENPEKFDGFRFERLRAAEGNDHKYQATSIGLESLSFGLGTHACPGRFFAINETKIL LAHLIMNYDWAFPDGQGRPKNFTLISALLINPESEVLCRRRQ TRV_00108 MDTLELQGEEKLIEVSHLLKTLRSDLDQKSLQTSQLIETLQKLR VHGRKLENADPIYEKEGIATLSTYGFDEPDAIIYREALRCLANALLLEKATRQRFVDL GNGRKAAEKLKEENSDDEFLCSRLLFLTTYDSDLDFDKLFSECSLGESINNHIYRHSK QFSKGKKKKLTPIDELALSETLKLMFNITNYYPHQVAAFSPSIPHILKILSRIEIPTP PLQAPVNYLVNSLLNLDLAAEKSKPFSTNPLFPKFDQNCNVDKLINILDQAVAMHKPS DLETLAVPLLTLLRKIYSFAPEGPRKYMEWLLLPEDNDRDLPIGQSNTLSSRLLRLST SPVAPSLRDGISALMFELSGSNASEFVRNVGYGFAAGFLMSHDMAIPESAKEAYSSKG NKEFNSAINPITGQRFDAEPVDNGPEMTEEEKEREAERLFVLFERYCLLDSNTL TRV_00109 MASGLGSFDPETAQNLEDQMSTYWAILERAPGSKLRLTKLDDEI YESFKTEFPDFDPAATINEDEMKSKAGKEKWRNWMKQYEERVADYNFGTLLRANPKFE YGEKETIFVVRMQFYAIEIARFVISQEHEVIAESNLIL TRV_00110 MFKSKAPPSKEAAGAPPAAAATEVEMASYPAGTVNTPIPRLTWR SAVMGVFVSMGGFLFGYDTGQISGILEMEDFKRRFGEPDCKDYMFSNVRAGLIVALLS VGTLIGALIAGPLADRVGRKWSISFWCGILSAGIIVQITSEEPRWYQVAIGRWVTGLG VGSLSLLVPLYQGESAPRHIRGALVRYAVSLYVSANYHKLTCPLTTSTYQLFITLGIF IANCINYGTEARPDSSSWRIPMGVTFIWAAILGFGIIFFPETPRYDYRHNRIEKAKRT MMKLNGVPENHEKLHEEFNEIKRQHEEDQLTKDQPWYQIFFAPTMRSRLLLGITLQAF QQLTGANYFFYYGTFVFRGAGLSNSYVTQMILGAVNFVATFIGLYNIEHFGRRKSLIG GALWMFVCFIIYASVGHFVLNRDEPALTPGAGKVMVAFSCLFIVGFACTWGPMVWAII AELYPSRYRATAMAMATASNWLWNFLLAFFTPFIVGDIDFLYGFVFAGCLIIAAALVY FGVIEGAGRTLEEIDLMYHLHVKPWKSSKYVIPPSFQRNGDSNGENGTSAPAPQSQPA ELATASQGQPAELAT TRV_00111 MKLSLLTVAAAAGAAVAAPAAEIDTRAGSVQGFDISGYQPNVDF RAAYNGGARFVMIKATEGTTFKSSTFNSQYTGATNNKFIRGGYHFAHPDTSATAQCDY FLANGGGWSNDGITLPGMIDLEGTSGKPKCYGLSASAMIAWIKAFSDRYNAKTGRYPM IYTSPDWWQSCTGNTKTFGTTIPLVLARWASSPGTPPGGWPYHTFWQNADTYRFGGDS EIFNGGMDQLQRFAKGG TRV_00112 MVDQEIRPPTPPRASSSAIGDASQSADDSIPIVAPTTAFSPSDA SPLPLSSSRSKRVNFSPMASYIKPPEFTDHSMKPPTRLRSLPPSSNQSVPAKSILKSR TVISDNIPSDELRSSPSTDLSGLLSSIIEQLSGSSHILRRDAYSQLVGSLSAYCDQPD SNSIIDNIDLFVGWIKRDINTTPSSHDDSDYSLPHQALNLLNMLVFKPETSPRIPDDF KAYILDHAISSIQHNSTPKNIVIDYMRLLSIQNFSPKILTSSRATKMLETISSGPDRV GGKAVTALRLNIYDRLFSQARSIFISHANFWMDNLIAGLLHKVKDVRLKALQLGFRIT DSLGPSTPVFKAIDEVLNLPTDKGKDTKFVNDFCDRLASMIANRETSLHVPQIWSVVT LLLRGPRWQVEKWPHFQAWMLVIQKCFNCSDLTTNSQALLAWNRLVYVVQQSSETNRG MAKFLFKPIDSQLERRKTSKRGTHNDHAFSSYCNLLYYSFKPGTPFERLDLHWTEYML APASKVLKWSSGNALGLCRILAMLLWDSPKIWDEKKALEASKVGPEDLPRLDCKWVRS RVSKILPVFETLFDLLSEQSTSIEDSTAGLAWVHLSRSLADASSKEIQPSTETMEAVG SILESLQRIWKKTGISAVSRAKDRNSIFFNQFLFLVKTAVHSLGSVAFTEKMLLKTST ETFSIAQTPTHRRPHPNGVIRTPLLHLLQYVCLSTYPVSIPRYKSLLHGIIETGIRSR TSRSSRLEALRQFTESLLGPTSEALELNHDSVEEVWKCISAFTKETLANSTPDTNVMG KDETSARDYDKVLAILERGTRYGIKSLEWSSLFRSLVAVVRDEKGDAQVSHFIESFVS HSIRNGVSIGFGEMGLFMEPISFPREQATQVQSINLPTTTKSSPKPQPFCEKVVSLTN DILLHLYHAQSWECIPDTLAFLDAVSQWLERCPEHYRITLLERLQTGIVPWLSDPSEK LTAESCIDKSILNSARTMTSVAICNITSLRNTNNETLEKLASLMTAGFESRHKSIVNK FISMWNASFGLERTLFYPASLESILRRLKPYIEIQLPGFPERTKTKSISPPPEYLSSQ DDVVTPKPVQEPKKDGSKLSSSTPDSNTRSRKRKSGQKHKTTTPKRPRHDDSQIQFVT VEPEGEIGGPLESQFMTENQKAVRERQRKDAAIIFPEMHSSRPSSGCKTLDPLDSNIP TPTIPPEAPNVIEEVQSSPTPASRSPRTSYTNLDLTSFPSNVEWSFSTNGDPPSSPPQ TVPSSQGEPAAHELSCPHEAQSAPTKEPSQLLHNEDEDADSTPRPNSRSKHTVQADSG KEDELVPDSFNDPLQEQIASQLEQDLELSMDLSVPGDKPSKTKKKLGSRKRKRNDSSS NPEPVRVPTIEVAIEEKLPEPIQAVAATTLSTTKKPSASQRRSGRKRSNPSMEEETSQ ETQESAQSSQPASKKRRSLRLRGRTPADKEIEAKSNDIVEPEQERSEAAAALSGQTTE AKGNAEAECTQIEPERSGTPPPPESQAREQVSPGSSILQSLKAILGSLRNVSFGRSTL RELDDVMFDIKMEAHNAFKRSE TRV_00113 MGIAGSRRIDHHYGREKHKQKGDTVLYMWHLRDHSYPPFQMLRI LDEDSGTESGSNDCVADDDGGSGVSIDSSLAIETRRQRRSAREKKAVLLGVVVGTAVA TTMTALLALLKDRMSLGQMLDRLSNLGCGVLDAILGLVGHGSDHGSQEEDGSGNGREL HVGG TRV_00114 MERSKKPTAIPVSSSAPGPSVSVLENRVEASLATGESITVNLFG ATVTSWKLADGSEQLFLSEGAHLDGSKPIRGGIPLVFPSKVFGPPPKEHATSALPQHG FARNAYWEFLGKSTSETEGDASVKLDFGLSKGMLSDKFQKDWPFEFGLVYSVTLSPRS LITSLQVQNKGTTSFEFQSLLHTYFRVDDISKMCIAGLQSKSFVDKLRGASTFTEESD AITISSEVDRVYQSLDPATPVIVTSSGKPVFSITREALTDMVVWNPWIEKAKGMADIT PDEAYKNMVCVEAGSVSGWQTLEPGDMWEGGQTIRCRL TRV_00115 MKKNKNKKRITKLNTLESSEELLLPVLSKGSHGRYQLCLPCCPT PAGQGGTKGRSKEASNAMPATSTATTTPAPGRPSGRPHDYEDGRRRSSIPSLDTQGGD EEEARKDKVNNDNRPASWSSLPKRNQLIILTIARLSEPLAQTSLQAYMFYQLKSFDPS LPDSTISAQTGILQAAFTGAQFVTAVIWGRLADAESIGRKRVLLIGLLGAGISTLGFG FSKSFAMAAFFRTLGGALNSNAGVMRTMISEIVVEKKYQSRAFLLLPMCFNVGVIIGP IMGGILADPISNYPSTFGPGSWLGGADGVSWMVKWPFALPNLVTAGFILCSAIAISLG LEETHEIARSRRDLGLRIGKAISRHLGFSRYSDYQALDGLADPDTPDSFDMGPNGQPV SAQRLLSNENASPRRRKRLPFRQIWTRNVLLTLVAHIFLNFHTSAFTALCFVFLPTPR APGSQSSFFQFGGGLGMSSSKVGLATAIIGLIGLPIQIFIYPRVQWRLGTLRSFRIFL PFSPLAYLLAPFLVLLPDHPYIVWPALSAVIFLQVVSRTFSLPATVILVNNSVPDRSV LGTLHGVAQSASSASRTLGPLIAGWGLGLGLKHNIVGAIWWALAIEAFLGWLVTWTIF EGAGIEKPS TRV_00116 MSAKKGSETPQDDNGLSAEAGASELPTNAEDRAAAAALSSLNAP KTAAEGGEAEEDGAKKPSTADQEALGRAMSRLEMIAGGGKKGAAAAGGDKKAKTASTA AVVAAAAAGGEVVKKKAVKVAAEDVNLLVDQLDLTKPKATELLREHDGNAVKAIRAFI SPPTAAAA TRV_00117 MPSFRGANVNGFEATPESRKPDPSRLVDAYFHSAATLNYIRAAL ASGLADLHSPLDWGLGHVKTPAIKEKYEKITSRVTDSLRFMRTVGLDTAHGIETADIY TSHEGLILEYEETLTRASRNPVEPAGSRSLPQAVQEEKEFYYDLSGHFLWIGDRTRQL DGGHVEFFRGISNPIGIKVGPSMQPDELVALLDIVNPDKELGKVTLISRYGGSKIADH LPGHIKAVQASGHIPIWQCDPMHGNTRSTPSGVKTRNFSDILSELRQALEIHRAHNSY LGGMHLELTGEAVTECVGGAAGLTEDGLSERYTTFCDPRLNEKQALELAFLVAGFYRD HAAANGTESPL TRV_00118 MARRAIETEPSAPTSPPRRATRTRTRSTTTKAKEESKVPTTTNT TTSTAKRGRPRKNPEAAVEPKAKTDAAPEGPKKRSQRATRTKTTASHLDEDNSSDDEM NIVPTRATAATRSSTRRMATSTSSNSSVASEPKKRATRMAKKKSEPALDTTEPQDINY DDDDELAETQPVQQKTVQITTTAARRAKAPASARATKASTRSSAISSAAADRSILVSK ARSCVPKKKVTFLDITEHSDKENQPLLDATKSKGKADTGMTAKPVRKGTAGKQKSSDA GEDKQARKKEPLSPKKPTQVTKTGSSGSSEEDEDDVFGPKSPSKSPQRSPLKSSPVPP SFASPAKRIDFTQATRPASRDPTANEKEPGISRSNEQTESISSPAKRPPPSPFQSAIK ESPRKAPIFHSEPQPGQDMMGQHKVSPLKVSPKKGAGMCFIQASLDSPLSPTKTRMSP LKSPARRPHYTMKMPPPPLTLDGRSAEVRKSNIHDDISPNLHGKDCQEEFMDEMADLN ISTVDDSDENLPHESEEATKSQPYASRHQSSNDDIFMEEGNMPNRRDTILRHDVLGSM PGAIDQFSTAAPISQQDFIYRDEMIYEDSDVEFEPSPTKGQPRRGNLRPESPEIIPKP QGTLGTELGFTPLADKLSQWAASSPEKQRPRKYQRKGLFSPDVHGDSRKSSERQSSSR MHQTQASREPMKHIGEDEVPAIEDLSIHTDRSISPDEESVADYEDVDSPIRPFVSHRS PSDNMSEASEIYGDENAPPTVAINPTPLNEGNRAGLVPHVPTAPAPVSMSVTPVRRDP GYPRVIHTVSKVPLKGDGDGSLKVSRKRTRSLCSNTTSPPSTLTRSRTLPSPSKDRTL LKTFAPTSPGDDSTTNSVMLPERPKSGTWSGRISPVKSRSPAKDDDSESEVLKGAVVF VDVHTAEGADASGIFIELLTQMGARCVKSWKWNPRTSLSPVDGAEPQENPKVGITHVI HKDGGVRTLQKVKEANGLVKCVGVGWVLDCERENRWVDEANYTVDISMIPRGGNKRRK SMEPRVLSNQHGTLSVSDSCTSPGSSRRSGADRETLQELRRLSPIPKLHPSQRDSIGP TAFEDNSCEEDSETITTPRRHQLRPTTFEAVEPQTPDVDYGYSFNFDDTAPPSPTTPY HLSEGAKLVQQTCPPKQSRQGLFPIDGITDEHQSEKLRIRLEAARRKSLIWKPRIGSP LGKGYM TRV_00119 MMFIPATLGTFVLASLLPATVGAGIPNAAADVAVRALPNAPDGY APAEVDCPSTKPAVRSAAKLSQQEQDWLKKRRMKTTGAMADFFSRVKIEGFDAVAYLV GNADNVAKLPNVAIAVSGGGYRALIIGAGALKAFDSRTDNSTEPGQLGGLLQSATYLS GLSGGGWLLGSMYVNNDSTITELQKGGSNSLWKFNRSILEGPDDGSSGVVDTAEYYKE MIKEISRKKAAGFETSITDIWFFIDNANVVYRGRALSYQLINAPKGGPAYTWSSISQN SKFQSGDVPFPLLVADGRNPGEKLIGGNATIFEFNPYEFGTWDPTIFGFVPTQYIGSK FEAGTLPSDEKCVRGMDNAGFIMGTSSSLFNQFALHLDSQDLPKVVKDSLRDFLSSLD EANNDIAEYKPNPFFGYAKSTSPFAGVKSLPVVDGGEDKQNIPFHPLIQPARHVDVIF AIDSSADTELAWPNGDSIIATYQRSLNSTGIANGTSFPAIPDNNTFINLGLNHNPTFF GCDSSNTTNPTPLIVYIPNSPYVTHSNVSTFNLKYNTTQRDAIILNGYNVATMANATR DGNWPTCVGCAMLSRSLERTKTAVPDACKQCFKMYCWDGTLNSTKPDVYDPKLFLTEV DLQSAAKGLHASGKLSLVAAVVTLLSILLV TRV_00120 MWKDSGTKRQNIQRESASDDSDDSGRILPAQHRKRLRRNTIVPK TSDNHSVGCGDSDDVKSGGGDIDLRDRRMPEKRFLVLTAAGTACDPELIPSPETSSDV RDEVLDREDQGQSTSTPSEQQVDEDSMAHSSDQRIAEGEELESSLEDFKESSGSEDED NSGSLPLEPEEASDSNFDLESESEAASTDTSESKLLTQASRRKIFRKRGSVPQIKRRS RSAEDHYHKRWHSGKPSREAQQNIVEKLRYVFFSFAATSDGG TRV_00121 MQLTNMADSKQILAVSAPGAKPPVLLGTKERLPEFQLANKVVLV SGAARGLGLTQAEALLEAGAVVYALDRLEEPSEDFHRVKIRATKELNTEFHYRRIDVR DTEDLERIVQEIADKHGRIDGLVAAAGIQQETPALEYTAKDANTMFEVNITGVFMTAK AVAKQMIRFGTKGSIVMIASMSGTIANRGLICPAYNASKAGVIQLARNLASEWGQYGI RVNTISPGYIVTAMVENLFVEFPERKTQWPKENMLGRLSEPSEYRGAAVFLISDASSF MTGSDLRIDGGHAAW TRV_00122 MAEQTKSHIADPPTGLYFFYGTLMDPTLLVQLLHLDKLPDLRPA SIKGYKFKLWGPYPALLDDEHDDSAVIEGAVYNVESVEHAHALANYETKNYTTGTTTA YYSDGQQPETDQVTIYVFAGNRRDLTEGDFNLERWMKMMGRGKVLDDLAAKKAGSAKK PESS TRV_00123 MSERGGYRGRGRGGGDRGDRGGSRGRGGGGRGGRGGRGGGDFDG GRGRATFTGGRGGRGGGGRGRGGSPSIYGEGHPVPPPDAEVQKIEDTYIKQRKGLSLD TLKLSQAFPLRPAYGTRGNPVVLWANYFPLVPQTGITLYRYTVNVGVEGKQPPGYRKL KRIFEILLEDHLSAFQGHIATDFKATLISTTSLDLTSESLEVAYRDEFDAVAEPNAPR YPLRIVEIAPLVLDELLKFLKSPGADAFGQSKEEYLQALNIVTGHFPKISLDTFNVGP NKHFKTKTKDIFDLGGGLNAYRGYFVSTRAATSRLLVNVQVKHTTCFYDGPLVNLMTS THNLFGLKQMNNLLKGVKISVTHLPPKTNKKGESVNRTKTILGLATAKDGASCEFPPK VEHSGAGPADVQFYISGSEHFETGYHSVYDYFKVRYKIELDMRSPVVNIGTMANPSYL PAEVCIIEPGQSIRSKLSPAQTQKMISFAVRRPKENAEAIVNHGAQIIGATLQPQATD TMGISFTPKLITVPGRVLSNPSVRYKGQGVAAIRSGSWNLNNLTFRVPGAPLKDWAYV MIGDGHIPSDPDRAVTDFINTARAQGLTLSPPLKAGGNTDGLAWVVNYRDPGNVEKNV DEMFRRIKSNANLKNARLLLVILPNDNPTVYKRIKLNGEVFHGIQTVCVIMSKFTKSS NVQYHANVAMKFNLKLGGTNHVLDDSKMGIIAGGKTMVVGIDVTHPAPGSSETAPSVA GMVASIDKTLGQWPAILRLQREAKQEMVDDLTDMLKSRLRLWHKKNGVYPENILVYRD GVSEGQYGKVLHEEHPRLLRACKELYTGGVTAKLPRMTIIVVGKRHNTRFYPTKAEDS EKDNARCGTVVDRGVTETRNWDFFLQAHTALQGTARPAHYYVVLDEIFSRRQNPAYPT VADELEDLTHNMCYLFARATKAVSICPPAYYADLVCERARAYLNYFYDENLSQKSGSA SVASAKHLQPHPNVIDTMFYM TRV_00124 MGTSASKPARAAASAASRRQYPLRPSPSTTSTPAASQPPSQTQP QAPTTNRANTQGQEKASTTRSEAPTPGAISEEVKANPSLLTLMSRTRISAEAEADMES LGRKDHAGRRFVDVVSLKQIISMRDGQGVSEEVIETQFGLKKGTLTSLGPKGVVADVR TRV_00125 MRLFAALQLGLLALAAPGLCASSGWGFADGTLSIHQKGAGIGGA EKHKLSQDKPLAKTITLNDASTLKLLLTIQEDEVAARPHQAFLTLREIDSGLDISYPL NVKGNGKAVVELNQKDLPVQFLTAKTPVEASLLIASFGSSSGYYKSVFKLSVEHKPDT PLSTSKALRYGKLEEIHHTFREDPRSPPMIVSLFFVLAVLATLPLLAISWLYMGANAN HLSVALKSAPLPHIVFVGSIVGLELTFFMYYTSWNLFQTLPVAAAIGVVSVISGSRAL GEVQQRRLAGHR TRV_00126 MESEKKPAATTARTSARTRKPTSKAREAELNRVTKPKARPRKRA VKKDATAPKGSKQEPEKSQPKLESPEPVKPDTGKEAAIPTILVTDETGRITRLPQTPP RLSKEDEQAVAILLELAAAAMAPDFIPEVEVDLVAYSHQFYSQFPTAAEATTMGRLAD PSELSCPDLPRPHTDNQGWTHTGRVNEHGEEYIVIPPSFARWSPAEPTDPSPAMEA TRV_00127 MPGSTAQKAQTQAVSSNPKKRRNRSVQAVKETAASSRPKRVATA VKKAEAEDAIDDAKKRPKSSKVKSESAKDKKAAVRSLKSGSKPVPGRKERPAKKSNGE ATMGEEWATKKATIDAKPKSTGKVESKDEGKSYWLMKAEPETRLEKGVDVRFSIDDLR AATEPEGWDAPINTHVIAARNHMRAMKKGDLAFFYHSNCKVPGIAGTMEIVRESSVDE SAFDPAHPYYDPKSSRDNPKWDWVHVQFRSKFKNLVTLADIKSHAKPGGALENLQMVK QSRLSVSPVTAEQWDFLMSLAEEEEPVKE TRV_00128 MDRSDRKTADGPGDDGGGDGQLPPDRLVDNAGAVEYWTTVEPNI NGMLGGFPEISRADLLSSRSFLAKVRRLLPSIGGGTALSATAHLPPLQLGVDCGAGIG RVTEGLLSKVCEVVDIVEPVEAFAKVLIEGKLKAEGKVGDVYITGLENWVPEKRYDLI WIQWCLLYLTDDQVVQLLTRCKDALSPSGVVIVKENLNTKPHDTFDPQDKSVTRTEEK YKHLFQGSGYSIIREEDQLGFPRHLNLLPVKLFALYCEPNTPST TRV_00129 MDETPNIVTSNNNQRPTTSASTFVLTTLYTQYMFRPWPLVGHFC SRLARVSRSTLLLQRDTQLRQLYQLAPRQKQTDHRFFSSNPVSCNMSGLSAELTAPNG RKYTQPLGLFINNEFVAAKSGQTIVSVNPTDETEIATVHAAGTEDVDIAVNAARAALK HPSWKDLSGSDRGRMMVKLSELVEQHAETLATIETWDNGKPYLVSLNDDVTEVASVLR YYGGFADKIEGRTISTTANKFAYTLRQPIGVVGQIIPWNFPLAMAAWKLGPALACGNT VILKAAEQTPLSVLYLAGLVKEAGFPPSVVNILNGYGKEAGTAIASHTGIDKVAFTGS TATGRAIMKMAAANLKNITLETGGKSPLIVFDDADIDQAAKWAHTGIMYNMGQVCTAT SRLLVHEGIYDQFVTKFKEVIASTSKIGDPFAEDTFQGPQVTKAQYDRVLSYIEAGKS EGATLATGGVPHQKVGNGKGYFIEPTVFTNVTDNMTIYREEVFGPFAVVTSFKTEEEA LAKANDTTYGLGAAVFTQNIERGHRVASGIEAGMVWINSSNDSDFRVPFGGVKQSGVG RELGEAGLEAYSQVKAVHLNMGTRL TRV_00130 MLFFTFGLNNQPAVGPWSLSKTMIPSSRAAEGVCQANAHENKQW DRYTMQELAAGVSSDPETGLYDFYIYSLRESGDER TRV_00088 MNRKLQDPDPMKAVDDTAIDIVTGLISATLTLALFEEARVHWQG MKKIVDYRGGILNMAAQGSRGIGAVLTERIEPPPNSQLRHLNKTLCANKHLSPKLISL MQEIRHVFFFEIFNRTDASGLSDIEYEMFLMKAHEMEYELLDYPYRAPEAQGVADKDI LKDNPIESVARLTALSYFNSCFVVSPPEFGTGRAMTKHLKDALAKCVVKPLSEHSNED RSLLAWAAFISISGAWDRTLRKWLVELLHDIIVLQHWRSWEEVESVMHGYLYAGQLHG HIWRKIWLEAQSFSSICEIVG TRV_00089 MRDVRRRERIAGLKRVSKKASKKHEVGAALGSTASVQRQTDDQE ESATGAVADVRPPPSWVAFKSKDESKVLYIFNNPLTTAVYQTLDPMDTIKGFEGIGNV VGNLVHYINHCLIPMTFPIEARKEEETKKRLAIMRVAALSSSASFFCPDDPQRRAQSD L TRV_00090 MHTPSGRFMPSTRLCLSISDFHPKSFNPAWEVSTILIGLLSFMN SEEMTTGSVSASDAERRLFAARSRWWNSTGGGSYTKAVPGVTSTIKGIANIKAGDGGK KFRAEWPELDQENWKWMKDNRVDPATGQILPDPNEALLAENSSSGNGSNNSACSPETN ALRRRPGGGSATGLGVVVEGGQRARDAGESWFRRNKVWICIGLVLGYALLSRLFDDLR AW TRV_00091 MVSSSNGGDEIFDRLRNPEVDPKVLEERKKAVNERISNIYQKAQ ARLAELIDQNSTLPCTISSVQVLNAPHTRRGFLEKALSPLLKENKDRTLTLAETLAEV SKCTDRLSQFDIFEHPIGIHLDKPSQTDPSTTPTDISIYLATKEKSRLLVKTGTDLGN AEGSAYANVLWRNILGGAETLNLNASLGTRTRSSYQAIFETPILSDPNYRWETGVVAS DTKKPWSSHEEVLKGGWTKLRWLNGHGHRQELALNGFWRQVTGLATDASLGIRENAGD SVKTSISHTWVNDRRDNPILPTRGFYAKTLNELAGWGPLKGDVSFWKSEVEAQTAIPV PIPLVKKDCGISFTSSFRAGLLYPLGLDSDPKPQLSRINDRFQLGGPTDVRGFRQCGL GPRGGSDALGGDVYAAGSANLFFPLPRVGADKPFRLQAFVNGGRLLALKTPSGAAPTT HAEVRESVFHTFSELQNGLPSVAAGVGLVYAHPAARFELNFSLPLVVRKGEEGRKGLQ LGVGISFL TRV_00092 MAGFYQQQQHQPYGVPPQQPSAQNLQFYPSSYSSVSGHTTPAQV SYGGYGGSSSSSAYPPLGSGGASFGGSAGFGGGADAVSGRMGEQGGLRMGWLAAFGTE GYEDEPPLLEELGHLMDDNDLYGALLYILLYGTFLLLSGKVFYGYIYGVAVFGSVIIH LILSLMSPTLDPSTNGVSHDSTGMNMNMNPSDAGHGHGAQGGHFSSTLTFPRSASVLG YCFLPLVLTSLVGILIPMDTVFGYLLTTAAVGWCTYSSSGMFCAVARMRGMRFLVAYP LALFYVVFGIMGIFSSRGGGTLVAKATGG TRV_00093 MKFFYATYLVSFYFYLSLVTASPVRPGSTTLSPVAESQARAFNA PRARNVTSEAVPVPLSTGAAETGTEEQASSEATPTQASAALSEGQATPEISATATETQ DASETQAASEAQTTSESQTSETQTPSETSVSVTDMTSAAPEMTGTATENQAASQMTAA ATESQAAPEMTSAASGMASQSEASPTQAEASPQETMGSSPSPSPSVSEDASNSEAHRV HKASPKQGNLCKTGDSYCCDEKDGSMSSIHPVSTIHSSMLTSMFS TRV_00094 MQLTQVLAVAILAAGVSAGHRPHRPHSNKLEIQDIKCQSGAPYC CSPEKTKGSTCTKLTGSSVNCDSVVVCCNNNGDKHSPQTCSASVAHPITFVDVDAKFR IDHNKVSHNRVNAKQRRDDKKDYGKNDYGKNDYGKNDYGKKDYGKKDYGKKEYDPKDH KDYGYKDYGHKDYGHKDYGHKDYGHDDYGYKGYDDKEYGYRGYDDYY TRV_00095 MEGLSWSRKLAVSPPNKTPKLTGDKITLPQTALEQILAAVRTIP SPTQENPLSVDLNSHSSIGSNDARQRELPHPLTFRLVNPINGRVVHSGIREFSADDNE VSLSPFLRESLGIEDHSFDVELDATQRDPLPTITVHAAQLPKGSYVRLRPLEAGYDVE DWKALLERQLRDNYTTLSVGEVLTVVANRSETMQFLIDEVQPEGNAICIVDTDLEVDI EPMDEDQARESLKRRLAKKTRAPENGAQSSIGGKITDGQEINGQVLPGDYVDYELEAW ERSKRLILELDLDNDEVAVDIFASPFSARQRARPRNDQHVWGNFSTNFPKVIEIKPTN VELHDADCLYVSIHASHTQLRGQNGSTEPSHTQPIGFQLRVTTSAIPSAAAEADGLDQ SARGSDETQCTNCRQWVPKATMILHENFCLRNNVVCPKCKKVFKKRSPEWENHWHCPH DDSSGTGDASEDRHNTVFHSAHTCRDCKYVCKNLPDLAQHRTTVCPEKLILCQFCHLV VPQKGESDPDVLDPEVVLSNLTPHELVDGGRTTDCHLCHKTVRLRDMNTHLRHHELDR VSRPSPQICLNVNCSRTINGPSYKQRDLTNDTLGLCKVCFGPLYVDVYDPEGKALRRR IERKYLSQMLTGCGKPWCRNKYCKTGRASCKIEPGTTLASKEILTMIKPLVESVSIGP TVVNTSPLYFCTDEATERRRELAEILAAEVVADAGSRTESYEIEWCIAAMESASGDPF KAREWLQNWAPKKGETYMNSS TRV_00096 MKTSQFLSLLLLAGIAQAIVPPREPRPPTGGGNKLLTYKECVPR ATISPRSTSLAWINSDEDGQYISQSDDGALILQNIVTNTNKTLVAADKVPKGYYDYWF KPDLSAVLWATNYTKQYRHSYFANYFILDIEKGSLTPLAQDQAGDIQYAQWSPMDNSI AYVRGNDLYIWNNGKTKRITENGGPDIFNGVPDWVYEEEIFGDRFALWFSPDGEYLAY LRFNETGVPTYTIPYYKNKQKIAPAYPRELEIRYPKVSAKNPTVQFHLLNIASSQETT IPVTAFPENDLVIGEVAWLSSGHDSVAYRAFNRVQDREKIVSVKVESKESKVIRERDG TDGWIDNLLSMSYIGDVNGKEYYVDISDASGWAHIYLYPVDGGKEIALTTGEWEVVAI LKVDTMKKLIYFTSTKYHSTTRHVYSVSYDTKVMTPLVNDKEAAYYTASFSAKGGYYI LSYQGPNVPYQELYSTKDSKKPLKTITSNDALLEKLKEYKLPKVSFFEIKLPSGETLN VKQRLPPNFNPHKKYPVLFTPYGGPGAQEVSQAWNSLDFKSYITSDPELEYVTWTVDN RGTGYKGRKFRSAVAKRLGFLEPQDQVFAAKELLKNRWADKDHIGIWGWSYGGFLTAK TLETDSGVFTFGISTAPVSDFRLYDSMYTERYMKTVELNADGCDDNVHFQNAAVLSNT LMNGGVTADKLTTQWFTDSDHGIRYDMDSTYQYKQLAKMVYDQKQRRPERPPMHQWSK RVLAALFGERAEE TRV_00097 MGLFKVIFTAVAALSAVDAAELLSSAKSKDIIPNSYLVVMKDSV SSAELDSHVSWVTDLHREGVAKRGAENLGGFKHSYKINGWHAYSGSFDSETLASILDN DKVDFVEHDRHVYISGFVTQKDAPSWGLGRVSHRMNGTRDYVYDESAGSGITFYGVDT GIDIHHPDFGGRAVWGINVVNDTKDNDRHGHGTHTAATAAGTKYGLAKKANVVAVKAL NDYGAGLWSNIMKALEWCVNDAREKKILGKAVLNLSISGGKVVAANQAITNAAKAGIF VSVAAGNDNQDATNKSPASAENVCCAAATTIRDDKAKFSNYGSVVKLYAPGQGITSAT PNNQTGVMSGTSMAAPHVGGVGATLMASKGIAPAAVCAELIKMASGPVLNPGANTTNK LLYNRSGK TRV_00098 MDTFNWSYHSIAGAYVLGLAPHFVFFLKTLKTGKYSNLTPRNTL DSLRGVIPAEQWNRLFKLRSIHINAMESIPIFAAAILAGNIAKLPVSELNYLAAEYLG CRVAFAALYLGAGSELTSYARTGVWFYSLGRLLFTLIKSGNAVSGLL TRV_00099 MAGPQGALATSFKAVRILQAICLISIIGMTANFIAQMVNANATP PDVLIGTLSVTVIAVLYCAITFILFLDGALPYLINLGLDGAHLIAVVVVAVTVGKPLS YLNCNVIGQVTGGHSSVYDFANALGQSLAKDGGKISYTHWIGASKLTCYEMKSIWGLS IALW TRV_00100 MDDRMRELIEQDMKRTDDIRTYLRKWIEKQPKTLDPIMEFNGTA VTPSTQKVGGMFLDNSVLSDSLPELLGSSDLEGDPLPFLRPGDLVQLRHQNGTSSGQL AVYLRTVNDQQQFYTTRGKWRIARPSEVNYITKHRFSPELLEPIFPYLPTTVIAKGNM PQLAMEGGVPRHIGATLLNSILEFEGAASKTFAENATILNSVHDRLAKDTEPVTLTLE QIASQLLGRDPNTLSKADRYAIHQAIDQNPVSIIANQSLSVVESYTFRPKAQTELINR VIKWMREYQTLRSSGMGKKEKRSSNRNPIGQFVKTARQWIYRSRASRKPTQAYSLSPR IDSVDDLQHQAEQLKESSKPSTPSFSETDRDIIEFLRLWILPPLFVRDSSLRSTGSFI LFHIGLYDNFNLNEATGYLALQELGILTPWENIHVLNEQVALPGHGLSPVSDAIVEEC NRFCEMPSCIDTLVDSMKDFRKDWGETPVFCVDSASAAEIDDGFSLERIPGSSDRYWV HIHVANPSAFIPHDHLLAKGASHFKRSFYTPERVYPMFPPNLTSEKFSLGPGKPTITF SAVVNMEGEILDTKITHGYINNVVYITPDRLRKLFGIDINENPSMSLEVGGTPKGPER PELQEHISEEHKAILETMERLLAGRREKRMKKGAIEFFPNYPSSPLVSGGEEGVRSYT GDISGRYDYNDDPTIGVSGQFTQSDGSLEATKTDFVAHAMLLGGEIAAQWCKERNIPV IFSAAAYNLDKVTTEDQGEFVPGSSPYSQLPRAFSSSKPTPHITLGMDQYSKCTSPLR RYSDLIVHWQVEAALRHEARQSMADQSERYPNQVDETVLPFSREDIKAIIVRSNWQNK AADRAQVLSREFWVLQALFRAHYFGEANLPEGFQCVIVSQLPASSNSASEPDETRYTA NLLPFRVRCVVTTDKSCPEFRPGDLVEATISNVNLYTVLLGLRAVRLVHRPEKSRATV PLGFLH TRV_00101 MSSIDDIFKKPSHPSNIKRKLEPARDPTEIYKAAKLDANGDTIR GKEPTVEDAEDDDVAGPELPPDFEEDIPDDEEGRFFGGGVTKDTTNALDYIDKHENEG AASLIVHLYSYMDEPSNGLARFMASEADLDVEIKTLSILSEHPKLYNEFAELGCAGSL VSLLTHENTDISIDAIEILGELIDEDVEAEEDQWDAIVNSMITTAFLTQLDILESLSS RPSIADRIGQESGIMPWLHERISRKEKRVSQNKQYSAEMLAILLQSSSKNRLRFISLE GVDTLLQLLSVYRKLDPQKDSDEEEYFENLFDCLTCVVDEDEGKDKFIEAEGIELAQI MLREGTLSKPRALRVLNHALGGRGGLRACEKFVEAGLLRTAFGMFMKQDKSLTEHLLG IFSSLLRLLPGDTSGRIRTLAKFVEKDYEKVTRLIKLRREYKSKLSVVEQDISQEKSS LSQDGLDALEGEWLSRRLDAGLFSLQVRFLLI TRV_00102 MEYADEYGDDVYYETEEGITSEDCWTVISAFFESKGLVSQQLDS FDEFISTTMQELVEEQGQVTLDQTVPPSDDEPDPVVLRRYELKFGTVMLARPSMTEGD GATSIMLPQEARLRNLTYASPLYLGISKKIMEGRERLLSERDEEEEEIEEKGDEERRN RGTYLHWERKPTMEDEAEEENIFIGKMPIMLKSKYCILKDLGEQALYNWNECPYDSGG YFVINGSEKVLIAQERSAGNIVQVFKKAPPSPTPYVAEIRSAVEKGSRILSQLSIKLF SKGDSAKGGFGPTIRSTLPYIKTDIPIVVVFRALGVVSDEDILNHICYDRNDTPMLEM LKPCIEEGFVIQDREVALDFISKRGSSPMSMNQEKRVRYARDIIQKEFLPHISQSEGS ETRKAFFLGYMVHRLLQCALGRRDVDDRDHFGKKRLDLAGPLLANLFRVLFMRLTKDL YKYVQRCVETGRQLYLNIGVKASTLTGGLKYALATGNWGEQKKAASSKAGVSQVLNRY TYASTLSHLRRTNTPIGRDGKIAKPRQLHNTHWGLVCPAETPEGQACGLVKNLALMCC ITVGTPSEPIIDFMIQRNMEVLEEFEPQVSPNSTKVFVNGVWVGVHRDPAHLVGTVQS LRRRNMISHEVSLVWDIRDREFKIFTDAGRVCRPLFVVDNDPKSENSGSLVLVKEHIH KLEADKELPPDLDPEERRERHFGWDGLVKSGVIEYVDAEEEETIMIVMTPEDLEASKQ YQAGYGMPEEDTTDRNRRVKSNLSQKAHTWTHCEIHPSMILGICASIIPFPDHNQSPR NTYQSAMGKQAMGVFLTNFEQRMETMANILYYPQKPLATTRSMEFLKFRELPAGQNAI VAIACYSGYNQEDSVIMNQSSIDRGLFRSLFYRTYTDSEKMIGLTVVERFEKPMRSDT LRMKHGTYDKVDDDGIVSPGVRVSGEDIIIGKTSPLAPEAEELGQRTKQHTKLDVSTP LRSTESGIVDQVLVSTSNDDLKFVKVRMRTTKIPQIGDKFASRHGQKGTIGITYRHED MPFTREGVTPDLIINPHAIPSRMTIAHLIECQLSKVSSLRGYEGDATPFTDVTVDSVS SLLREHGYQSRGFEVMYNGHTGRKLVAQVFLGPTYYQRLRHMVDDKIHARARGPTQIL TRQPVEGRARDGGLRFGEMERDCMIAHGASSFLKERLFDVSDPFRVHICEICGLMTPI A TRV_00103 MSSTAIQLNFSLRTSSNVKTVHLLGSWDNYAGQLPLSSKSKPGS WQGAFRFQTTMLHPGSRYWYYYIMDGYHVSHDPAAEFTVEPTTGRKLNILDVPRTPSA KSAPTSKPRRDSVDVPVGRALSPSRILHPRPSKPYASRQIREANYQDLPTVDALTARF RATDLSDDDSDISSSPPSSSGSSLSSRSNNTSPSSVSSFSDLDSACSCQRFGITRSGE KVKIDCGGRRCGYNTLSDSSDDSDEACSSDEEYKHVRTQLRRQNIAVRR TRV_00060 MAKPQLASFWHRLMGAVGFKKTYNFILFFIFAGGLLGFTLSRLQ YLDINRFRRSSIPGEWYYYQQDLYKTGIMLHLGAILPCSLLIVLQFIPIIRYKATLFH RLNGYLIILLFMISNAGVIIITPHAFGGDPATRFSNGLLVLVSTVSIIIAYINIRRKQ IEQHRAWMLRAMFYMGSIITMRPLIEIFSPIFRSLEYKFYVSWPCDQIDFTWKFYNIP VPYTSAYPMCNATIYPWLGNVERYAPVRADTGSPDPVAIGASIQLQSALAGSVATFLH FVGIELYLRLTPREHERLRNVSYERQAAAKYKNPGSAGLVVQKIGDANPWIPHQQEVD AEDN TRV_00061 MKLSSIFQKPPPRYVVASILCSLGGFLFGVDTAIIGPVTVMDSF TGAFGHPSPTLHGLIVSSILIPAAISSFLAGRVADVLGRPPAIAIGSAIFGLGAAIEA AAVHLGMFVAGRVVAGPQLLITSGLVAGFFTCYRSVKIVTTLSWRLPFILLASYSATF AVVAYVFLPPSPRWLAATYGQNTAAIDAAWDRLSVLHADREVMPSNQEEEPKIRSKTL DVFSAEARPGFLLAIFLMGMQQLSGIDGVLYYAPLLFQQAGLTSAGDTFLASGVSAIV ICAVTIPATIWADSWSRRRNTIYGGLGMATTMFIIGGLYAGDAVHSYGAGRWVVVISI YLYTVIFSISWAVAVKIYAAEIQPQRTRASATSLAHGSNWITNFLVALVTPTLLANTS YGAYFLFGSCTFATAIVCWFFMPETRGRTLAEIQQALHTSRSSGVDTPAKTLWRRLRR APAIAVTRN TRV_00062 MFAKMFGTIRYDKDTNSRKHVELQSASGFKARGLDHFFQHYGLG HTGRGLIFTSLLRNDEDEYLLTSPQLKCSGDKDGCGKCQAASVTCMYRDILSIKDSRK TSKRVRPSNNHLPTPNSCQASYGSQQLSIGAEIDQESTQSMSDSEPLNLPCSSSEEFA ELNNIHSSTNAENIFDAVLNIQVPYHHTNTPSQPTRFNENTQERRSEWSSFPGGNSPS PLGTNREPASKCDCLRDVALFLEAIGVESTETRADILLKVRGDNGMFLAVVLQQLVTL TRTASDKLLEWNHEQSNRRGSSSETHIPIVCIYQYRIEIPDVKVSLILHVALLHFFGL QGLSNALKEKLRSNSFAAQLVTDCESIIVDTIKIIQEKASNSASMGSAF TRV_00063 MTSRDLEGQDARHANRAGPAQVGETETMGDDGPFKHLPEHERLI LKRQLDLPATNVNYMALYRYATRNDRIVLVLASVAAIIGGALMPMMTVLFGGLAGTFR SFLLGEISGSKFNSDLASFSLYFLYLAIGEFAMVYMATIGFVYAGEHVTSKIRERFLA AILRQNIAFFDELGAGEITTRITADTNLVQEGISEKVGLTLTAIATFVAAIVISFTRH WKLALIMCSTVVAIVVTLGFVGTFVAKLSKTYLGQFAKGGTVAEEVISSIRNPVAFNT QEKLAQRYDGYLVEAEKSGFKLKSTTSSMLGFLFLYIYLNYGLSFWMGSRFLVDGSVG LAQILTIQMAIMMGAFALGNITPNVQAITTAVAAANKIYATIDRVSPLDPLSTEGQKL EKIQGDVELKNIRHIYPSRPDVVVMDDVSLIFPAGKSTALVGASGSGKSTIVGLIERF YNPVGGSLYIDGHDIKDLNLRWLRQQISLVSQEPALFATTIFGNIKHGLIGTPHEHAS DKAITELVERAARIANAHDFISSLPERYETNIGERGLLLSGGQKQRIAIARAIVSDPK ILLLDEATSALDTKSEGVVQAALDKAAQGRTTVIIAHRLSTIKNADNIVVMSHGRVVE QGTHYELLQKKAAYHKLVEAQRIATKQQSRSQDNDHILPETDYDLLQTGYDEKCDSFG KLDEEEEPQDPTTDKTQSEKSRTTLSRKGKEQQDDIADNYTFFELIRFVAGLNKQEWK YMVFGILLGVVCGGGNPTQAVFFSKCITVLSLPLSESSEIRRQVNFWSLMYLMLAFVQ LLALTTQGIMFSHCAERLIHRVRDQAFRHILRQDIAYFDKRSAGALTSFLSTETSQLA GLSGITMMTIILMVTTLVAACAIALAVGWKLGLVCISIIPLLLACGYFRLVMLVRLER EKKKAYADSASYACEATSAIRTVASLTREDDICSHYHAQLLSQGRSLVWSVLKSSILY AASQSLQFLCMALGFWYGGTLFGRREYSISVIFGAQSAGTIFSYVPDFAKARHAAASV KALFERTPEIDSWSDDGEKVQSIEGYIEFRDVHFRYPSRPNQPVLQGLNLQVKPGQYV AFVGASGCGKSTAISLLERFYNPTFGGIYVDSKEISSFNVKNYRSHIALVGQEPTLYQ GTIRENIMLGTDRDDISEDEIVACCKNANIYDFIIGLPSGFDTLVGSKGSMLSGGQKQ RLAIARALLRNPKILLLDEATSALDSESEKFVQAALDTAAKGRTTIAVAHRLSTVQKA DMIYVFNQGRVIEAGTHSELMQMGSAYFELVGLQNLGEM TRV_00064 MAPTQKIKLDALDHIAAWNIPQSVIYLSLKQGVTVQDAFRRLQE GLRRTFVQIPWLNGRVHWQSEDTSEWRPGQLEIRFEALSSKEPPHQLHFNQLETDLEL SDLRDLGFPLDKFEENDLLWTTPFQPDFENGADVLVAQANFLPGGCALALSVASPASD GTAMLSVTQVWAHHCNSLIANAEMENIVRNHGGLGAGFERVALRNACKEDRRGTVSSL LTEDICRLVGLDSEHAFVEETSTTPDASPGVLKAMRPRLFYMSQGAYTALRKDCIAEL GPTEVTGNDLICALIWRSIVRAWMATQDAQQNTNKLHSAMSEVSIPFDARPQLQGLLP AGYLGNVNFENRLALSSGSIVAKDTSIPHLASTIRTRAANFTDSDSLLDAYRLLDSVS DYTRLPQLRAARMKSPSVGILAPTTLPFNETCFGTQTFGAGGRPEAFRPLMGDCNSAF RTCFVIPRKTYGGIEFVMTLSDKEADFLDKDAEFTKYSLRMA TRV_00065 MRILALHGLGSSSSLLKEQLTPFSKALGREYRFIFLDGGIPCER GPVEMRQALNYLDNFIREHGPFDGVFGFSLGAALAITYMLDQQNKQASSPFCFAVMSS SIFVVSPDDSFCEQLLRRWLADDHAAFRSKFPNGDFMTELEDPSEQKLAQYLQQVLSM QSMGVGLILPNTNVDFLGTKKTEEVPRLVHPDLLNARIKIPTVHVTGRHDGGHDVPFK ISDVNAIVSLIKEMAEEGTQIRDLYDD TRV_00066 MALMITHIVVGLTLIYIIRKLLFPSVAHLPKGLPIIGAREGDWF PFSQAAWRNALNLRGNLLEAYAKYKDQATILPIAGPGGQMFVLLPASETKLVTDQPKD VLSLREVIVQGLLYEYTISDSMLVSHPTHTKLITTTLTNQVGNLLPGLSNETIQAYEE QWGIDTESFRDVGIWDSMGSIVCRATNYAFVGLPYCRDSALLNAGLGFARALPFSQLL LSFTWKPLRPLASLLFTLPCRYYERRFTQLLIPEIENRLHNIDNPASSLSAGKSPDEA ERKNDFLQWCIEQARESGNPRMCRPRTLAGRILLMNLVSIHTSSLTITNVMLDLASST SEVLEELRAEVEQVLDECGGQWTKLALNKMEKLDSVLRESARINTLIAVGLRRRVVAK EGFTTTSGVHLAYGNFCAVHNLGVVHDPDVYEQPDIFKPFRFVGLRRDINSDDPNQDH VDRARLTFAATGSDYLAFGNGRQACPGRFFAASELKLMLAYALVYYDLEIMDSRPPDR WIGVLRMPSSNAKLRVRRRKR TRV_00067 MDIPKTFKQAIFKEKGAPLVLEEVPMTPPGNGEVLVKVQACGVC HSDVYVQNDGLGAGLPRVPGHEIIGHVAAIGEGVTQWKVGDRIGGAWHGGHDDAEYCL LRAEAGVRVPADVDAAVYAPILCAGVTVFNSMRNMKLMPGSTVAIQGLGGLGHLAIQY ANKFGYRVVALSRGSDKEKFAKELGAHIYIDGGKGDVGEQLQAIGGADMIVSTAPSRR AVEPLLKGLGMLGKLLVLSIPGDITVNTGLMCWPSGHATDSEDAIEFTKLENINCMME KFPLAKVQEAYDAMVKGTVRFRAVITME TRV_00068 MLTRQSAAEKAARSCCRPGPDDTSRDRVRDQPSALRFFFAPNSS ISSHITNALNYSPSTLPPSTRSSASCAFITVAMASASAALAQAVPEKKPEGVALYARF AFAGAVCCSVTHGAFTPVDVVKTRIQLDPATYNRGMIGGFRQVIQNEGAGALLTGVGP TFAGYFLQGAFKFGGYEFFKKQSIEMLGLETARQNRALVYSASAASAEFFASIALCPL EATRIRLVSQPTFANGLISGFGKILKNEGVGAFYSGFGPILLKQIPYTVTKFVAFEKV SETAFSFLDKSKLSDAAQTGVNLGSGLMAGFAAAIVSQPADTMLSKINKTKGLPGEGI VSRLIKIAGELGFRGAFAGLPTRLFMVGGLTAGQFAIYGDIKKALGATNGVEIAKNEG GYIFSHFHFFFFKLERRAPFLSFQSPSLFSRCGALHILRNFSV TRV_00069 MTVYAKPYEGLTKSLQNAARDVSSLNLRVLIEGPYGGVSSGSMA RFDSILIITGGSGAAFSFPIVEDVLRTCATADSADQVEGKTLKIIYAARSSLMAQWYE ERIRALVSCYPSSSIVSVLIHTTSITSPLQSCISMSKEYASSGMDQTLNGEKDSSIIH EHGRPCLAGAVASLAEQAAGKSAGIVVCGPPSMLHDIRNAAAEAQKKVLAGDVKELYL HTECFS TRV_00070 MFSTTLKSKSPSISSSIAIVCDKQATTYLSAPNINFRRSSILPS YQRSIHSRQFQPFVPPSPESLGKPGRAKTYPRTLKWLRRLFYTGASLGALYILDSQFN ASCITRTTRTFALGLFVALDYKINFRPNPPLAKSIAAVHARSAERLSVLLRENGGLYL KIGQAIAMQAAVLPPEFQKMFSRMFDDAPQNDWKDVEKVIKEDFGKSVEEVFGVSFDG DPSRGVMERTARASASVAQVHWARLADGREVAVKIQKREIARQVEWDLWAFKVISYLY SKAFDIPFYSLVPFVSERLFLETDFENEADNAERMAKLISSEPRLRDRVYIPKVYREL SSKRVMTAEWIEGVRLWDKDALTRPWRGGWYQGSPGCHGAALKASDGKAPPRRAGGPA IIDKIKPDRDYWKGKNGRGGLGLSLKEVMTTMVDLFSAQMFLWGWLHCDPHPGNWFVR RQPSGKAELVLIDHGLYVHMDPKFRHEYARFWKSLLTFDNAAISEIVQGWGVRNPDMF ASLTLLRPYEGGEHTTFKQLEGLSKRERAERHYAAQQAMSQAIRDFLGDETKWPHELI FISRNFRIVQGNNQYLGSPVNRIKITGTWASRALIESPYLTLSERLKNYGRHIIFKCV LFTSDVFFYFTKIKQFLGLSGGMEDEIEAQLQNMAKDMGVDLSQPVFEG TRV_00071 MMATGPASFHDEDSRPSSRFTYRHFQLLRSFSTRTPLRVIAHID LDAFYAQCEMIRLNTPRDQPLAVQQWQSLIAVNYAARPFGVSRMITAAEARKLCPQLL TPHVATFREGEGENWAYREGDYSVQKDKVSLDPYRAESRKILAVMKTTLLTWAEGIYE GCRGQFSDPSDMVRLEKAGIDEVFVDLSALVFGTLLHRYEILRRAATPDGSKDGPNTF LPRPETTALIWGEDDELIDLDTGESEEDDPEWDDIVIQVGAEIVKFVRTAVWDQLKYT CSGGIARNKMMAKLGSACNKPNRQTIVRNRAIQQFLSGYKFTKIRSLGGKLGKKISSE FETDKISDLLTIPLDRLKNKLDDDTGMWLYQIIRGEDDSEVTPRTEIKSMISAKSFNP KLASLDQAEKWMRIFVAELYGRLVDEGVLENKRRPKMITVHHYGPNQDKSRQTHIPTG GAIDQVMLFELAKNLLQQVVSWPCSHLSLTVSGFESGITGNKSLDSFFIRGAGETSVS QRDGSMPNGNCQPELPDDNSGHQRKKQKVGEVHSQPPSKGVGFFSRYKTSAKQTFIEP CSENTGESLANLQTNPTSYYSTQDVCSRCGEAVPEFMQSEHDDWHLAKDLESQEQQSL NAARARSTSTGKTRQTRLAFG TRV_00072 MAIKTRFHEDYPRGRDEILDTLHRRGGFSPPSDGVRGEWHTRRR RRGRDEEYEEEFEIEVDRDRHTGHRRPPRHYSCPRETRRPPPRVVEREDLVVRREESP GWKRREKNDLVARREKDIDIHFEGSVDPVLPRHRPSHVYEEDIFEHQRYGRHGMPQIT LLHKKHHRDHEDERVLEVDVDIHEELDDRHYRGRKHDPLVLRPRELVPAHIREEDEHY SRHVTERPLSEERYRDTSQDWSIMDVPSGTRRAVADRLGGVSEEISWRKDNGVRRSRH LSDKYDREVHGTVAKRYVGVKEKSEELWTEITKDLVVKEAIEEAGYDYEETADFFYVF SYLEYDDVAELVKFTEDYRRRRRDRVLEIQHERSKAHHLPPPLPQSRMLLETESPGLV CDDRRVEETEILIDESRRSRRRSPILRERVI TRV_00073 MAPNPHGNLISLLFSLLILYPALAAAVDLDYCAEFNTGSTFNSV VDGFQSIGACQKTCGTQYAFAVLQGKTCWCTNAAPKDTIPNGRCNQNCPGYPDDKCGN TSEGLFAYIMLDKKPSTTIGNPSSTTTSSVSSTQFSSSSSTDMSTTAAMGGNRSSSSS SSSLSPSPSPSSSSSTLQSQSPAPEQTSQSTQMILTPSVQTTSRASVSTETRTTTLSP TSTSSSSSSSSSSFSSTSSSSSTKTSKTTTSPASTTTDPTASITTVEGRVTTITVPNA PAQTHPPASNEGSSLSGGAIAGIIIGTLAVFAIFTGIILWLFCFRRRQQAKIDDSYRS PFHESPVPQPIFENTLSSRVPAMRVAPVANGNGTTAGSRGSTARLSIPAFTDHRMKKD ALVYSHGGRHSNVSLQDNQDYSRPVLRVSRPSSPPFTSIPRK TRV_00074 MLSSIKENSNLKEENNNNGFQVDLENPGSLDQKAEAHNGDSVRS DDSSSETPPIKTLKIERSLRQFELWLGRKFHIETRGIERVKEEDKQPPPLRNTFLMWW SMFCNPGGLPIGVLGAQWGLSLQESVIATVVGTIIGALLVAWCGVLGAKLGLRSMATC RYSFGYYGAKLISVLNLLLGIGYAVISTTVCGQLLPAAADYRISTSVGIYIVSALSLF ISFFGFSLIHKFESYSWILAFILFCVLLGQTVPHLTPEMIQFNPHREGFAPLFLSYTS FTAAGTSGWATAIGDYYCHYPKSVPHWKIMLLTLLGFSASSAFVAIVGSCVGLVAIHG EAHFQESYAVHGLGGIVAEISHPVGWSKFLVVMMLFTVIGGLIANYYSAGLSIQILGQ HFRYIPRFVWSLLIAVLITVLSLLGKDHIVELVGNLGNMLGYLTAGYSVIVFIEDQWF RRRDGYDLQAWDNKNGLPWGVAAVGAMAVSYGTGAVPGMNTTWYIGPIAAMFGNPAGD VGLILNTVFTAFTYFIFRTIEKRFVGR TRV_00075 MSHHRADASALLDTRGYSGPLIRGVNPATLFEKAVRDRITDSYY WKEQCFGLNAATLCDRAVELTYIGGTYGVGQKPTPFLCLAFKLLQLAPEKEVILEYLN FHDPEADEEDPNVKRDSTDADGGAEDAQDKADAAILKATGDFKYLRALAAFYIRLTFE PVEIYKTLEPLLTDYRKLKRRTKEGFLLTYMDQFVDDLLTKDRVCGTSLWKIPTRTML EDLDILDERISPLNDELEDLDEESEQEDDKEEGENGEENTASP TRV_00076 MVLLSSSTVGVALSSSIFGFFTLILFLSGYALQQQSVKHIQVAL QRSPAANPKFQPIYPSEQTWDDANLSSEPVANPDTKRKGHILGRRTKLSQGVDEYALD GLAEENEEFEGEIVKSKHAFLQILTKPVASDICSTILLFERLASNSSQTSERILLYPK AWDASSPTKSVSSALAILKKSSTRLNAVVQGVDTSNIRPKALSETQMMKKASEQLLDY ERVLFLRSPGHIVDIDKLDQMLISDIVRTMRVGSSSMIAPTWVSTHLSITQRQLPPAL LVASETSISTSGKAPSKPHILNSETMQQMNYVMESSESPGRHPGPAYVYFESGMVHRG GRADMHYTEWKKQIQSVCEGVNLGL TRV_00077 MPSILDDDDDRDLIGSQDGSSDGGMDDTMQDMDDMEVDVEPEPE PEPEPNSPSNSSVVDSEHHARNAQNPEVIITAPALDPVPDQPFMYRPQVRPEVLTAAT YDIVPTTAAPHSTSINAVTSTADMRWVFTGGSDGYVRRFNWVDSINSKLMLTVAQRHP FVDSVVKAGVLVNYWENMDGTAMSPVYSLAAQAEGLWLLTGLESGFIRLQSVRHDEGK EIAVLKQHTSAVSVLSLTHDERSLLSGSWDKRALEWDLDTGKVKRTFGPSIGQVSAIE FRPESSLPVPREIVEKPLTNGTYSSNNRAAPSTNQSFVNGGGNNKDGQIGDQPGSPAD SLFEADSLFGDAEGGGDADAPSGGAFAAEDDEFSQALANGIKQKQQVPRKDADDSTSN AMPNGIVSNSESLIESIPESGSTSQLQTTKQEPEDSQVNGISDSVMSGLPHAEDMESS AATMEKGATASDMNSLGNSNSTFLSASIDGTIRVWDRRQSDPIARITPRNSPPWCMSA CWSPDGNYIYAGRRNGTVEEYSLHKGLRDPQRTFKFPHGSGAVSSVKIMPNGRHLICA SHDILRLYDLKEEQSSRSSVPFLIIPGHRTGTISQLYMDNACKYLISTGGNRGWEGST TEVLLGYEISSLQS TRV_00078 MRGRAIQRGRGRSRGRGRSWPANSHALSEVHPTVHIDKEIKEYV TSSDHSGTPGTWTANPELPSSEEILGLDDEEVISLGVNQIYGPWPSKDTYLKTHYELL REDAVAPLRDAVAYFRHDPKMSDSSQCCIYEKVHIVGVTFAQAGIAARIQFSTFRAGK KIVWEYSKRLMTGNIVALSPASDSFQNKCIVATVAARPLDGLKASPPEVDIFFPRHED FEFDYQQEWVMVEARTGYFEASRHTLSALQKMSGESFPLSEHICDLKPSIEPPQYIKD DPLVKMSSVLPSKDGDLQKVNTSIGWPAHPDTLDSSQWNALQQILTKKLSIVQGPPGT GKTHVSVVAVKVMLENFRQGDPPIIIAAQTNHALDQLLRHISKFEQSYVRLGGRSTDS EIRTRTVFELRKKNNLPSVIGGCLVPARKELRDLTDQIIELLSPFRAENSHSPLQPSL FLKLGIISIAQHDSLIKGAAGWIRAGDQTDPMSVWLGDALTKFELVYRQENFGFSEEE IDMEYEQLKELEAEHGLDDDDYEVLKGQFLALRELFTTRGPRTYSEKSIETGYMKYDD MWKIPSAVRAATYSVLQKRAKEAIREKVCHILKKYNVACKNAKIGKWERDSLILRDSR VVGMTTTGLSKYRALVSSLRPRIILIEEAAEVLEAPVAAACMESLQHLILVGDHQQLR GSCSMQELEGEPFYLNISMFERLVRNKIPFKRLTSQRRMAPEIRRILSPIYDDLNDHP SVLDRKDVPGMGGINSYFFTHEWTESSDSLSSRYNQKEAKMIVGFYVYLHMNGVPLED ITILTFYNGQRKLILKALKENKLFQGQYTKVVTVDSYQGEENEVVILSLVRSNEADNI GFLANENRVCVALSRAKRGFYIFGNAESLAITNGLWWEVSQIMRKTPKRLGYFLPLMC KKHGTKTLISSMMPHPLNISSNPNHANAYL TRV_00079 MLAKRYQAFASGGAQKEDARLAKEAEKLALQENLRKLDKEAFTD LFGDESSNNPLTIDKEEKSEMISDESGGIRRKYTQFYSNSLPVIKNTSGRKSSNLLD TRV_00080 MEDCGGRPIWDVDDLSECFQRKYLGFIFPIAACAISLFFVLAHI LLYRIAEYRRKQYKSVPSDIVDVHTNGAEEVGYRDDGDEESDLMLNKVISRTADILIE PDKPRWKTLVNTVEILALVGQVVTNGIAIAAVANATGFQISAIARLASWTYILALAVG RIASSPSRCPSLPKIWNHTASLYSLQWLLIILPFRSILIHHPKSIVLPFFATDFSLSS ILFLIALTTRKGEETILVQPEDGLTPPKDQFASLFSLASFSWMDTFIAMGYKKSLEIK DVWNLKPEGHATAVLANFRQYKKACTMAWNLLGYFSRDLFIQGLWAILAGLFTFLPTW LLKFILEYVENPDEVPVSAAWLYVILIFVCGAVMAVGDGQSLWLGRELSVKLRAIIVG ELYAKALRRKAGAFVGSAHKDEDDTKDKLKGSKKAKSKDKEDGKPETEKQANLGKIIN LMAIDSFKVSEICAYLHFLWASVPSQFIVAVYFLYQVMGVSSLAGVAMTILISPLNVY IASRFRAVQYQILAATDARIHATNEVLQNIRIIKYFAWEHRFEGIIDEKRRTELKYIR SRYLLWVWAVTLFYGTPLVTSLCTFYIFTHIENKKLIPSIAFPALSMFSLLRVPLDRL ADMAAHVLEAKVSIDRVEEFLTEEETEKYQQLKDCDDEDPKICLENATLSWGSSRMDS NNILGSTAPAFRLIDVNVNFQLGKLNIIAGPTGSGKTSLLMALLGEMSLIKGSVSLPG ATSDRADLRPDPVTSLTESVAYCAQEAWLVNNTIKENIIFASSYDENRYEAVIKACSL ERDLEILDAGDQTLVGEKGISLSGGQKQRISLARAMYSSARHLLLDDCLSAVDSHTAK HIFNNAILGPLMMNRTCILVTHNVSLVLPQAEYAVILKNGRVTAQGSPKDLIEAGAIE DDILKAGSGSHGPSQLASRVPSNLEEIVAAQAKDTAAAGTNGAARPLKKKKATAASPP TNEEMKVTGSVPMSTIYMYLRAMGPWYYWALAMIFFVLHQLASLAPNVWVRQWANAYE IRNNTQSVSVAGFDVPSLNFGRTAATSLMSQAPNESLLSIARRKYADIDESYYLWMYL LLTIAYIIITFIREWIFFWGSLHASRKVHGWLLRAVMRAKFKFFDSTPLGRIMNRFSK DIQSIDQDVAVTAIGVIHCAAAIAMIVILISVITPQFLIAGVFITIMYIALGAFYINT SRDLKRLESIQRSPLYQQFGETLNGVVTIRAYGDGARFILDNHGLINTYNRPYIYLWA ANRWLAFRIDIIGALVSFFAAVFLILSARKVDAGAAGLAMTYAITFSENILWLVRLYS ENEQNMNSVERVEEYMKVEQEAPALIAETKPAGVWPSKGAVKFVDYSTRYRPDLDRVL KNVSFEIQPGQRVGIVGRTGAGKSSLALALFRGLEAETGKILIDDVDIGLIGLRDLRQ NITIVPQDPTLFTGTIRTNLDPFGLFTDEEIFTALRQVHLIGPAGSEEIEPPSSSSAA ENAEPAQLLLEDDTTANTPQDSNTLVGTANGSTTDTDFGAAHLQDNKNIFLNLESAIT ESGSNLSQGQRQLLCLARALLKSPKVLLMDEATASIDYATDSKIQDTLRELRGNNTIL TIAHRLQTIIDYDKVLVLDHGEVKEYDGPWQLINQEGGIFRSMCENSGNMESLLEAAK KAWEQHRLVDDS TRV_00081 MHGLLLAGLLALPLNVFAHPTESHSSGVSRRAIDITSYRLPQIS KYTKSDAVPKQDGESFTTSSTGDDNVSSGDYVTTATNWLKKTLPKATYRLVNDHYIGD SGIGHVHFRQTAHGIDIDNTDFNVNIGRDGKVFSFGNSFYDGEIPKANPMVKRDFSDP VNALHGAIQTLNLPVTAKPENVKAKPVEGKENFKFEGTSGALSDPKAQLVYLQKDGGL VLSWKVETDVGDNWLLTYVDANKNDQVHSVVDYVSAAEYQVYPWGINDPTEGNRTTIH LPWLKTLSTDWHIDGKGWYPTTRGNNAIAQENPTGHPEYENNYRPKSPLFIFKYPYSP AMTPPSSYRDASITQLFYTTNVYHDVLYILGFNEKAGNFQINNWNKGGVGGDFAILNS QDGSGVNNANFATPPDGQPGRMRMYTWNASTPERDGCFEAGIVIHEYTHGVSNRLTGG PENSRCLAALESGGMGEGWSDFFATAIRLKAGDTRATDYTMGEWASNRPNGIRKYRYS TSLTTNPHMYVDADGLTSVHAIGTIWASMLYELLWNLIDKHGKGDVTKIRPVLKNGVP TDGRHLAMKIVLDGMALQPCLPNFVQARDAILDADKNLTQGSNKCEIWKAFAKRGLGV GAAFNQTKRTGSNELPAGC TRV_00082 MAERILMNEYKSLVKEKWLNIELSNDDIFNWDIALIVLNPDSLY HGGYFKGKITFPRNYPYSPPQFRFTPSLWHPNIYDNGYVCISILHKPGEDEMSGELAS ERWSPAQRVESVLISIISLLDDAEVSSPANVEAGVQLRSNPAAYKKRVKAEVERSLKD IPDGYEMPTSHEASIAPPTKPVERDEDFWVDSGDEDSFEEMFGGSDSDCELDDDQDTG SEPETGSPM TRV_00083 MDTQTYPHAPPSYQDAAGPSAGIYGTQRTEDDNVPDDFKYGGSV AEAAMPIRMQFIRKVYSILTVQLILTTALSSVSFFNAKYKSWVQSHSWLMIGSLIGAI VFMLLTYWKRKSYPTNLLFLSGFTLLEGYAISVITSFYDSRIVMQALVITMGLFIGLT LFACQTKYDFTGWMPYLFGGLWFLVIFGFVAAFFPVGKTMDLIYGAVGALIFSGYILV DTQLVMRHYHVEEEIAAAISLYLDIINLFLSILRILNNQSNN TRV_00084 MPPPAQSSWQRPAAGQPRPPADPLAYASSPSPSPPPPFAFLSSS LWLVCLEFIFINTQKYKYLIERKKNRQATLCLSNHVLFPFLLPSKRSLTATTEQPQQV AVLCELAIYIKSTHAHLTTATALLDAAVFLSSYSVPPGSSLTSSPSPSTLSRPPPHPL LRNRPSLLAAQIQAERHVLGLKPALPRLKHILLRQWPNKKDGGVVGQAGWTSSVINLV NTIVGAGALAMPHAISRMGMFLGVTVVLWAGLTSAFGLYLQTRCARYLERGTSSFFAL SQITYPNAAVIFDAAIAIKCFGVGVSYLIIIGDLMPGVIEGFVGGTSGVDFLYDRHFW VTAFIIVALISIGYLVILVVAHFIKGDTMADRGPIHFIEWQGLISALSVFPVIVFAYT CHQNVRGKKKPGSAVQILILMLVFFMQMFSILNEIANDSHYRTTSVIVTSIGSAAATY VLVGVTGYLSFGDTIGGNIVGMYAPSLTSTIARAAIVILVIFSYPLQIHPCRASLDAV LKWRPNSNKSPANTHSPNRNPLIPRTSPPSEGMSDMRFAIITTVIIVLSYIVAMTVSS LEAVLAYVGATGSTSISFILPGLFYYKISSPESAAHQRLMKEDDELEAEEHSNEIDPS ADASQGLLGQSYARFMSRSTSQWRRGLLRKLSLGLVVYGVVVMVVCLVTNTFFLASDI KG TRV_00085 MALKTALCVALCGLASLASAAGRPIPSNLMALYDHAKVPLIPSR KFSGFLYCGDYEGVVYLKGPKGRLGDIDVDCDGANRSKGGCANDLTGQGQTSFKDEVQ GYGLDDLDANLHGYVVFGNEGKRPSYLPSDSGIEPLSVIAVVCNNRLFYGIWGDTNGN TDTGEVSISLARACFPENNLTGNNGHEDHDVLFVAFKGEGAKPGANGADWKADNFNTF EESLAHIGDGLVAKIGPKASESTGVAMPTPSSPHGGLITITVVLVTIVVITGC TRV_00086 MRLDAASLLWASLVPSALAILADEAYHIDYHHALLGQPGAANTF FHRSSPSSVASLLYTHSDKNILGAVNPKDGSVVWRQNVTEYFPQAVGSSNEAFLRAAD NDDALVSAFGSGVSAWDAIDGRFRWIQRVTDGVVKDLELVPDRPEEIMYRHDVLVLSG EKKGVLRRLDIDYGSVLWEYKDESDDVTFQVSVASKSTGYYVALQHASRSGYKIKVTT LDLAKGKQTGSQYTLNSETEVSGPESILLVGRNAAAPLIAWTDKANTVLKVNVLGSNT IESIKVEHEGVRHIKIHVSPSSSGPAHFLVEYRTDTASWADVYHINIKTTSITKAYSL PKIQGHSVITAGTSTNNENLYFTRITPTEVIIYSSISDKAQGTWETSEVLPENSQHAV SEVVALESGVSVRFAQVEESGDWSLVLNGKLQWTRPESLTEAIAAVWTDLNDGVTLAR ELEVEGHQSVPMAYAHRLIRHLKAVQQGLPDWLMEMPMRVLTSFMPSDISDLIQFGLG QQIILATRTGRIAALDSGRQGKVMWNIKAAENDLDWGVKAITTQLGLATVHVDDGSTF QVNITTGEVTSRTPPTQKVASIAFVPDGAADFKVGVEEHGVPTESAYVNGIDAFLVTR SGDKRILGWNTSRSKAPMWEFTVPDGQKIIHATARPAHDPVASVGKVLGDRSVLYKYL NHNLALITATGESTVDFYLLDGVSGQILHTARYTDVDTTQPIASVISENWFAYSFWAD TSERSAAKGYQLIVSELYESSTPNDRGVLGDAANYSSITNITLPHVISQAYMIPEAIS NMAVTQTRQGISIRQLLCTLPASRSIVGIPRPFLDPRRPVGRDPTAQEAEEGLMRYNP NLEFDPKWHLTHSRDVMGIQHIESSPTLLESTTLIFSYGFDIFGTRLAPSQPFDILGK GFSKVQLLLTVVALMAGVSALAPLVSYFIFPT TRV_00087 MADDAAATPARGRGRPPKAPGSASTPKAAASTAADGQPRKRGRP PKNGGPVTPKPVVLDANGQPRKRGRPPKNGIAAQSKSKTDASGASASAKKRGRPRKDA SDGDGADASPPARGRPKKDADAKSNGAKGTTGTGTPGRGRGRPPANPLQKFLGSFALE CAAVSDEWPDQCDSMDMSISASDLDPCGMVASFNLGIVEGTMLLALTQDELNAFHSKV EGGSGACYSIKLCHDYPPVQLLTTLNSTDSDSEEDAECDEPPAKKVKSAADEDSLRLY FKWKGRNTTDTEIHDGSRDVGTIDFLDSKAIQFKGIGSFPALGSKCEFTGTRYDKEPT TVPLPWSTFSDEAAQLANENRW TRV_00023 MAPALTVDTPSSAPLAEFFWIAGVDGSEILDIYSKLGEEYKASR SPAPPVTDTIEEDADAEVEEESLSTGTSPAVSNRNSVQFPKTGDEARLSFRSSTFEPA SSSAAEPHSNRSSLTIKAASSTNGASTGSSFLSEADFDDALRKFASERDSFLTDLTLS AGAVVPNRPKPRPRTQKIVAEDVNSLKSSIGTVRRHMSFRDMSSMKRQPSIARQCSSP SPHHPLFFLLTRSYFFYYVQLTWLLLASVRTSRRLSNYNSVIPVPQPLEMPANMHPLK RKFEPVLLDRYPPKGAPNENQRRGKFPDYIPMFAFPNDINIVSSDQRPRSTWHGFAMT GGDGSKLHGVCVTVWIPLNHNAADELEKRCEEWRRDNMTNEERELAASLGERLGLERA KLSRLLAQLPTVPSGSASRENLEDEISAVEEKIGLMADLLRPVRHGAASKIDGLTDGD TGFWIPRAYGILGKDASMVTFWKEWLKAVTVPMMDGAILRVPPTSPRVGRWQPLERYV VNLCMEAFSPISSKTQVELSIRELRLFARKEALNELPGSRNTDLYPLFRALSVSNIII LFEYALAESRIIFLSSYISMLNLASKALIELLFPFQWTGVFIPILPARLIQAIEAPCP YIVGIERRYENIEFPADDFVLVDLDQDLIESTSRPTPLPRHQRRKLQSLLQAAAPLHH RYGVSAGPPAYAMETFPYDCVPAEIPSIYSPKAPSTNLPKFVALNSASFGQQSSVNPA PIYNTFLCSKGDASLSRGNERPSTSSTSKNSNPSSPRTASPTSIKFPHPSTPISRNDS GFALQASLREKRSGHFDQASRRSSSLAIDRRQIPRRPSVPFLGHSSNLSVTTLNTDAG TSVYAPSIYAQSTIAASTIMPQHFQPIRSPAGSTMIEGHCLQLLRPVDERSTCDICDE RADEATYRCTGCTTIVHHRCAGQICLVCPVAFHPDQIRAAFVRCFASLLYTYKKFLRP ATGDKKKNGLTYDFQMEAFLKSLPHEHAAYMTVLQQTQGFNEFISERERAKQKSKDPR IILFDEIVLSKRNRGRSTFFSGRMTTDFLSDTSNHLWRSASASSFSPTTRKEIPTSLD WKSVVTRG TRV_00024 MKKKKRTKEKEKKKKKMMMMKTKMRKMRTISGKSTTPQSNARDR TRV_00025 MQTEEQTMWMWKGNFRVGGDRLERKSKRRHPWTEYGAYFEVNPK KIVLADDLMKRGESKRYTYSVRKTPLSPLWRNGWLLIFFDPRKVISRSPFAVDMNFGP ACWSSSWNREANQCPAGLGKGVVFFAADRL TRV_00026 MQTPSQTIPLELLPADEEPTKPTGTSTAASVQKIIHFDLKEEGN HLLAVSVNYTETMMAPNKDAPSGFQASGGRARTSRKLYQFVAQPCLSVRTKATELAPR EIEDRSAGPFGKTRLLRFALEAQLENVGDGMIVLGVPTLNSKPPFKSTSLNWDFYEKD GDQKKIAPTLAPRDVVQVAFLVEQEEGQQEGLEATQRDISRDGRTALGQLSIQWRSAM GEKGYLTTGNLMTKRRA TRV_00027 MARQSSILTPTSASLPDTFRIPSSTNQLVKTLSKLSRASLISLA LQWLEKKNLASCSPYLLSDQSKSQGHDDDTSPYSPAQSVEDLKIIYNDFQGQKGGKRE VIDRILEGDWRHGLTLRQLAMADVRHIEEHPSSHRWTAFRVDASSASASSLPSDSRDN EDYATALPHFQASSFIKAMQREISPLVKAHYHIHRFHSLPLTLVRILAIDSPYQFPRQ SPHTFIDSSRLIYLAFPDSTPFIYSSLVLTSNSKRDGNNNMYSTDARTLRRIINDAVP KALSRPQQRYSLTSTSLAAKSLHTLLTLRGPWRTPAAIGAFSVFADAVVETGPLEPRI SNAVPFKNGNARTTNNNTNKSSFDDKENLGHSPTKRQKRPVNEPRPDSQSLKKRKQAI MSRFGTSGDPNRALPSFNLTPQPLPDQNKTTLSSATDQSLPQITPNPALDRLEIQLQD SPTLEPGSSPHQTVVSLTFSGSDVVAGLRQLAELGVIDPNRMPSWMTGEDGVSSAVIK GGVTVTP TRV_00028 MISKSQNNGRCLCFRVHGVCVLVASSEVAYLQCEGKRGDNDEDG KKKKKKKKKKKRTRRRKIDAGSIFIPFYVVVFMPLRKVQAKHGDFYGFTARDDYPTLC LYSMSGLRLSTSIKHPSTLALQSHNAKPAVRPNGGTRTQRENAENTAEDAYPASQRQP TPDDEPSAVSSIEQRAQDSSAMAEFNGRRAPNFSQYLNELNTLPSPFEQTAQPEDLGF DVDAELALFTNAEFLDFDPAGNVGGIDEQQPVSSGTVSPANGRQDMNYVGILNDIPMM ASGSCLLDMFPVNADFNLSNFPYFQPQQPTAPVQSTTYPSAQPLPANTSLPQSTPIYQ PQQQQQQQQPQQQHQHLPSQPQLQTPPQPAVTQSQPATVSSKRKSDATTTSEADRLAQ EEDKRRRNTAASARFRIKKKEREKNLEKTVKDVTSKNSALESRVSQLEMENRWLRNLI VEKNGSAISEGDLSGMFHKYQEATGQHQNQKQASTASPSSELKSSP TRV_00029 MKQYISTKYDQHPDMFAEDFIIIDQMRSDAISLQEPHESGIARL VIYAAQLKWIGGKFPIDNNLRFELVNILFNLAALYSQLAVSLIPANSETLKTACKYFC QAAGVIEHIRTDILPDLRTSPPEDMDEMTLRSLEELLLAQSQECFWQKAVKDGLKDVS IARLAAKVSDYYINAGGYAVKSNCISTDWIHHMTAKHHHFAAAAQYRQSLDCLEKRKY GEEVARLRDSLLCANEALKEKRWINKVVLSDLNGLKSRVSEDLKRAEKDNDMIYLDHV PPKSELKLLDRANMVAAKAPPEVINGLSMIGEGAPLGRALFAKLVPYAVHVAASIYAD RRDRRVNETIGELESMTTKLRDLLQSLNLPGSLQALEKPLGLPPSLVSHAEEIRQQDG LNRILTSLDDTSKLKATDKATFTEAVDLLNAEKEEDQRARLKYGTDRWTREPSEKAAP NLYAQTSEIEGYLSSANTSDNFIQSKLNEHGRVLQVLAGTNRDLEAFVPSSRRPVLSP QVGREISRLRSALNEVTRLENRRRRLIEDLRESSQADNINSALLEETARLEREFPMQK IQPSQFESLFEKHLRRYDSDRNMLAEEQRKHDELGEQLREANKAFTAARRGDSSTKER EKALQDLEIGYLKYKEIVSNIDTGRKFYNDLARIVGRFREDCKKFVNQRRLEASQMET TRV_00030 MLKHEGPRGFMAVRVTNFTVYQKCKHAISDFVERQTGTSPLAVY NTPGSLPTFTGVSCFMASGMLAGLCAAPIACPFELAKNVVQTSVLMASSQRGPTGKGL KYTSLQNVPRLSTIQAIKQIISRHGFSGLYTGMGLHVARDTVGTGLYFAVYETSKQLM SKYLGDNHSPFGPQLVGGALCGTLPWLFTYPLDTRKTRRQSMILGQSKEVGAAVAKNS VYTGLSVIILRTAVQNMLLLTMFEYFKREIDKLEV TRV_00031 MAESERGKKSSRTEQPGASLSAAGSKGEGEGRAGGREGENQDGD AVLSTKQPAAAAASFRSTSQPASQLRPT TRV_00032 MGKRTQSPAYVLGVGMTKFIKPRGKVDYHELGFEAGVKAMLDAH ITYDDVDQGVACYCYGDSTCGQRVFYQFGLTKIPIYNVNNNCSTGSTGLAMARTMVSH GAADCVLVVGFEKMSPGSLSSKFDDRENPTGKLGEMMFATRGVTNAPGAAQMFGNAGV EYIEKYGAKAEDFAEIGRINHEHSTRNPYSQFQTEYTHEQISKSPMIHFPLTKLQCCP TSDGGAAAVVVSQAFLDARPHLKDQAILIAGQCLATDTPSVYNESSISLMGFEMTRYA CQTAAAEAGINVKDVKVCELHDCFSANEMITIDALELCEPGKAHEMVRKGDITYGGKM VINPSGGLISKGHPLGATGIAQCAELVWHLRGWANNRLVKGTDVALQHNLGLGGAVVV TVYKRADGKVSQPVTSEEVGKINQLGYNPAVEAKGFTAEQAARVLSKENRSDWAMGDT QEKVLARF TRV_00033 MKKRKRSSKNKTLTQEEIWDDSALLESWEEAAEEYRLYHSIQAR GQKVEDVLREAAAAEGSNANEDEMQIETNAETENLSEKSTVNALETVVVEESDGKIKQ VDACTTSQVEDEAAPELTAEADQADTAAPASANLASMPQEVLNGAPNPPNSEYIDNRS NHEHVPED TRV_00034 MAPEKKATKRKAPATTTAAPAVNEHPPSKKSKKTQEKPAKPEAA TVKASGTKSKKEKVIVNGAKSEKLSVKVDKKPTKELKPRKRAADFLSDDDDEGEEPKQ EIIPKPKAEKKETQPKKKAKSEKPGVSAESKEKIKKAKSKAKEVEKEPEEAPELVEEH FSPNSNADSDEEAEDDQTLALIRGFESSGDEDPSEDEGFEPGQEVPKIPDSMKAMKAI RKKKKESSAPEEPGTVYVGRIPHGFYEDEMRAYFSQFGDISRLRLSRNRTTGKSKHYA FIEFTSSSVAKVVAATMQNYLMFGHILKCMYIPQDKVHADMWKGANRRFKKTPWNQIE KRRLDAGKSREGWSKAISKENSKRAKKAEKMKALGYEYEIPKLKSVKEVPVALAVQDQ IEEGTSALVAAIEDKKEETPKKKESKSKDEVEVEAKPVTNGKATKEEKAEAGNLEAEK KSAEKKELKKSKKKAVSEAPAISEKPTKDEKQSKSASKNKKAEEKPKKVKKAKA TRV_00035 MAKEAVTAVAERGQTGITNVNACVSTTEASRASKDNASWREWMV ANQIALRAYTTPYLELPHYHPETGKYTQGLDDVYFIISSMLGFTATRAIVIDWLFYPI ASQLGLRHKQSIRFAEQGWLLVYYLAFWAYGMHIWYHSSYWYNFRAIWADWPTREVSG SVKLYCLLQLSFWVQQIFVIHIEAKRKDHAQMFIHHIITSTLLGSAYVYSFYNVANVV LCLMDIVDYMLPFAKMLKYLGFERACTVAFGVFVTTWIVARHFIYMGLWWSIHKTVPT DVPFGCYSGITGKQLSTGSPDLLAHIFNPFLDLNGPICMTGTIKVIFLGMLLLLQVLS LIWLGMIIKVALGVLWTGSSAEDTRSDDEEDVDAGEPKEKAGTSSARIVSPSSGSEGS AWSRSVMPNGSNHQSHPVRIRTTRGRVTLSDHNDRKALLGRIGCDKPT TRV_00036 MKTMKMKTFNDVDDDKEEEEEEEEEEAKKCSEVKEEGGRPVTRA MRGCTYV TRV_00037 MRLEHDGGSLAGDSDREELNRLGKKQVLRAFLINNQRGFRFLSI LGFSCAVLITWEASLVLFLTGLRNGGHAGIIYGYIVIWVGNLAVFTTLSELVSMAPTS GGQYHWVAMLAPKSMAKFLSYITGWLTVAGWQAGFASACFLTGSMIQGLIIFTNPSYS PSSWHTTLLLCAVALYCVFVNVVTSRLLPAFEKIALALHVLGFLAVLIPLVTFGEKND ANLVFKNFINEGNWSSQGVSFLVGLIGNAFAFLGQFTVLGLFLMYPIFFWLTILIGLD GAYHMSEEIQNPSITVPRSIILTLVINGSLGLSMLIATLFSIVDLSASIESPTGLPFI EIFRQSTGSIAGSATMASVIVTLALFANCNYLASTSRMTWSFARDRGLPGWKSLTKVE KRTEVPLMSILVTATITILLSLIVIGSTTAFNIIVSLTVACLYLSYLLATSMLLYHRC VGNVSYPSSNTGMGQTLANTTGARLIWGPWHLRGAMGIATNIFGVIYLTVILIFSFFP ADASPTAEGMNYSVAIWSFVIVFSIVYYLAYARRVYDGPVVEIDTTPSIEPALKQ TRV_00038 MLSYDNIKALAIFFAPIIIPKAIALFRSVYSHLTNRPPPQALPT LASRALNSLFFSTALFLALSLTPGSNILSSTSSGTNDIFKLTGSRFNTPTELLFSRLR RLGGRFEVESEHDSTLKKLFSSHTARGVYLQLGSEPLIACPFCSLDSAVTYLLYYLPF NTLVPHLFHFLVIGIATSSSIVGEKTARWRNKFLVGAVALLVIELCVILRATSPLFSR PRSSSDSQRNKWTQLYAQYPDAPTSLHVQLVTLRPLAFTVFDAICAALIYLSATNRLF YTLPTPAEQAQQILSLSTATMLATMSKLQALSVTRSVIARDPELSAKYDAYHRGEGKD GDDWVWQEEEVINAVAKVMNDKALQAGNRTDAKEHEIIDSSEYVDKLTAGLEDPIIS TRV_00039 MPSQAPSLAAHSLAPSSNYSSYTHNNTEEKRPLQMEVPKFPLAG PGYVILNLVRVMNIISLLVVIAANIILLIKIVLVTNFFFFEAVTHVASASVCSFLIVS ELSVFRAYFDRNWPLLGEDSGFVTLGATMVLLGISTLGELNNQSTRQKEIGLPFWKLI LGAGVVSIVIGTLNIGLSYVFRDPDIGISARHVRARGAVATQEVVTRKDSYRSFKLSH KASLNTYKSSSTTPRIGSIRHKRHPQPPNGYPVHISSPLDAFSTPPANASRSPAPMPV PMPMPSAEIAAPNLAHHPAMYSNYI TRV_00040 MKVEGQRREEEKEEGLAAERESDESNISQVSHQTFDRRLLADSR HCILIDMVFLNNRYKKKKGKPTQERDERKAKMETGEEEEEGNA TRV_00041 MSAPATDADSTSTALDARIYDTLASVGERENTVEIEILPDGLGP LLTDGDGSSLGVTKKALVQAFTTARRAFFTSNPTSEDGSDDDVVVAAASTVILVFDSE HLTACNWRKRRIVRSLSKQGSDGADRPWSPTPPPPLLSIECNFTASLLGSPLHRHAKS PTLWYHRLWLMQVCLRHHQQQQQAKAGLLKREVELVLRAAEHHPMNYYAFSYLRQTLA LLGGGGGGGGGLDDRSELVTTYEVDVKVEPEHGRGAGANAAVPETGVTTSRLISDTHL IITMRDWCLRNPGDNSGWMFLLHILTLVRDGGNDDEVHDVVQQVIRFGRAVQWQREAL WTFVELAGSKFGVTRVEETESGLEGWYDRGQGHGQGPAALRLELEGPVANEIPANVPG PRSWKRWAQHLP TRV_00042 MEEEEKEEKKKKKTAKKKTPTTTTTG TRV_00043 MASKSSILHPPNETEHSSSRITREGKKITYSLRVMQQPERARAC GAGAKSAADRRPVDPPPIVEMRIYESDPNNDMQKTDITFSYNANFFLFATLEWARPYA HGRVQGQPPTCPVLTGVPVAGIAYLDRPSQAGYFIFPDLSVRHEGLYRLNFSLYEEPK DNKDEDKVSPSPVGTVSTTGMTMPMKSRQPMKNMYFRLEVKTIAFTVFSAKKFPGLSE STTLSRIVAEQGCRVRIRRDVRMRRREPKSNKDYGGYDERHVTPDSYHPGTPIERPRS ASNSGMEGGYYCPTPQRAQSVAQGYGYPAPPPPPGPVSQQYQQQQPPPHPSAGQMALP GPPVQSQAQSHLSFGAPQPQYCTPQLPPQQQQQQQPPPPPPPQHPPSQMPYSSPMPSY TPLRHPSTTTEYESHAKYPSYQQPQQPQQPQQPQPSRQPSDPNVKASLPPISIPHDSA SSGPRYSSDPKAYQSTTSQATQMPPPSSLTAASPSTSYNPPTTNDVVEPATPTEQTQQ NSSNSTSQQPPTYDPTPGKGWSFRPEPLLSSKRGHGDVFGTAQHTQPLHHGKRADSIP TSSSNTSRSTLLSRLTRLQGMDDEDNGIIGMTYKRADGRVATRVAGLARAS TRV_00044 MKEQQDNDNKMTNNNNSRRRRRRANVKSNVRQSAGEEDAKLSNA QTAETQAAFKPTSAARRPDRHPIQVQPPRPDKRKTKEGIEPEEKQQAEKKKKKKKMAT DRWASEYYTRSAGGETAVAHFLFFLYIPICSILDKEERLARGKALRLHLSNPTWHFLA FHYSFQSIGLELSAAPVTLREKEAKEKEKEEYQIYSKKSSKKGGNKQVGEKAYRTSGR RKGAENEVQKGKLPPVPTLPKVF TRV_00045 MSRRAKTGDVSDYLFCLSGSRYQNPWLPQNQTARLDVDRQLEDT LQQSAAQAAAADASLLEDGHGSPYYDRRYGSSSSRGRAVVTGPSKRKTYPYPYPETAA GRDGALSASTPSHRRRESSRDRYSVDVAGSYHSSSPQKRVVTGELPEQPTLRSRRGQD VTELRDRQERQSRFLEGSMNDKVSTRPPSVFIGEEQEYLLSTYMDEHNGGKGVNGWQP TAGGRASTAPLLQGKKGYLSSTKTNNTDATDAKSSGVFRFGKAIVSAINPMGVWGNVS EIWKGHDSSSKGSGSREMPVDTPHAARIEKAYQEYKASAAYRSTTPSTAPLHRYGYSG DDTGSPAKLLPVQTPQPQPSHHPSALIQSSISKELPPTPLEWQGLPVSTVSKRSSFQT IKGSRSFLNLSHSLRKEQSHKDLARENKLRKKVSNLEEKLLRYKRELSTFTHEEDKKV DELEGDAHAGLAVQVNNRVTHHLYPHTTAGPSVPSVPSPSPSPAPPSHDVRYVRMRPK KFVPGALPSLPSERLLDDGEPPTIREVSRQEASPEKPIYTRRSEPRLRRAVPRIREED VTRTPAATTTKLTKEGASTPPSRKRKSPSPDHASVRRRRISATYSKNIRSNNNSNNAN DSNDGASPPPSPQPNRRILTEKLTGPRPYPVNARTETEGRRNQPSRRAKEIKPVYYPN YDLTGRSVPVPERSESRPKHDQTPAPAPAKTPIPKSTPALPPQHGGPYASVEVAIPIS VAPPIPSSTKPPPTPTSRQKSNQKQTADATANTASIPPPRPESVPATKDIISRSGSPR KHHNIPPRRKAAEKPISITPGKGGDENIPPVPPLPVEHGGSPLIEDGEFEWPEDIF TRV_00046 MTLNIAYQPTAEVADLKALYVGRMLQDVDGPKPVIDREVARRNC QVMLDAALALDVDFRAHVKTHKTTELTRFQVGEKSDTVRLVASTLVEAEQLVPFMKEC QTKGRKVDLIYGLPVQPSCFPRLAELGKALGHGAVTCLVDSVEIIPFLSRYHALCGKR LGVFIKLDTGYGRAGVTYSSAQFNAIVSELYALEGREPHLFTLRGFYSHMGHSYGSNN PSEAMDYLRAEIEGCKLAADRASAIPPPTPFDGETNYSQRRFVLSVGATPSTTAAQNL TEHGTLSLPGADKAKDLIDQTKQTYDIELHAGAYVTLDMQQLAARARPNSSHLSFDDL ALTVLAEVGSLYMHREHPEALVACGSLAIGREPCRSYEGWGVVTPWREQQQQQQQAND AAPAADEGTGFYDPDGDKTGWILDRVSQEHGILRWHGSRQNMRTLRIGEKLRIWPNHC CICLAGFTYVLVVDSTAQGSEKDRIVDVWQSWRGW TRV_00047 MSAKRAVFTDKAPAPLPVFSQAIVHNGIVYCSGQVGTDPATREL VEGTVKDRTAQIFRNITAVLEAAGSSLEKLLKVNIFLTNMDDFAAVNEVYAQVLNFEP KPVNDDLISPASSIRLLLLLLLSFFFFFVSFLFRAACLDATGQSLTPSTQVRTCVAVK TLPRNTDVEIECSAYI TRV_00048 MPDAMLLKEEKKRKNKQEEEKKERKGKAEKKERRRQQFPESPIP DPS TRV_00049 MPPMHNGDDVMDRSSLQPVTKGIRTLIPGFYVPTVCFFDPSTED VDLSATAKHAVRLAEAGVTGITVHGSNGEAIHLSPEERKLVTENTRQALDSAGYTSMP LMVGCSAQSTRETIQLCCDAYASGGDFALVLAPSYYRGLFAADTIMQFFTDVADASPV PIVIYNYPVAASGLDLDSDTIIALSKHPNIVGCKFTCGNTGKLNRVATAQRAMHKSTK MASINNGNASSNTFLCFGGSGDFTLQTMIGGGSGIIGGIANLAPKTCMLLLSLYADGK VDEAREVQDILSRGDWAAIKSGVVGIKSAMQSHFGYGGYARRPLPRPTKETRDTYSAQ FKELVDFERAL TRV_00050 MADTILPRHSPRADIELANDSHPEKSCETPAKDGQAPAAVDDGD DGDGAMVQAVEYEEERILCSRLDIRLLPVLAVMCMFAVPSASSADRRRLIEADLFNAL DKANIGNAQTNGLSEDLDFADGEYNLLLSIFFIPYVIFAPSIAMIGKKYGPARVLPIC MFCFGSLTLLSAAVHNFGGLFAIRWLLGMAESAFLPLVIYYLTTFYRRGELARRLAIF YAASNIASAFSGLVAFAAFQLPSTRLEGWRYLFLIEGACTVCFSIFAFWYLPHTPAQA RFLTAQEKTLAFHRIQVDSSSVVNEKFSFRDALGVFKQPTSYCFILIEICLGVPLQSV ALFLPQIIQRLGYGTVKTNLYTVAPNCTGAVMLLILAFSSDAARLRSPFIVLGFLLSF SGFMIYASIDDVKNNIRLAYFGAFIMTWGTSAPSVLLSTWYNNNVAHEGRRILLTSVG VPVANLMGLVSSNIFRGKDSPKFEPALITTAVFGATGCRSSRSLATSIWSQTSNILPD SSSFSYFDALMLKLPKKKVNEKEKKKPIKVIYVT TRV_00051 MSLNDHARFLRSLHRKGSPLVLCNVYDGRTADIVLSHKGSNGEH SAKALATSSFAVAAVHGKPDEELEPEMLAMAAKDIVGVINRHVSARNNSDPIPLSIDM RDGWGDRLEETITSLIEAGVVGCNLEDEDNETGQCMPLPQAVDRIKRVMAKAAELGVP DFVINARTDVLGHGGTIEEAISRGKAFMEAGAFCIFIWGGNHGRGVSKEEGQMCVNAF DGLWNARLKTGPGYLTVKELTDMGVRRISLGKELGDIAMEAYRAAVEKVLA TRV_00052 MSVRERVSHVAGIMASGWKSPNELPWDPDNTKFPTRKDLPNIPG APKGAAWVWGKDDNIGRLNLLTPARVKTASTEIKTGELIPLDLPFNVPETPGFAREKF VHTIKPIVEGIAYDDLYEFNTQCGTQWDGFRHAKGEDIVGPSASNKCSIHHWAEHGIA GRGVLLDYRSYAKANGIDYDPYSAHAITYEELVKCGKAQGIDIRPEAQGGDIKVGDIL LIRSGWVESYHERSPEERKDLALRPHSAGPGDGQKYAGVAQEDDMLDWLHDCYFAAVA GDAPAFERWPTPVSYYLHEYILALWGMPLGEMWDLERLAKKCRETGRWFFFLTSAPFN VPVPSKKD TRV_00053 MSTASWALGKVGITCLRTARSSTIPNFARIKLYIFTDRLIEYWD PILKEPYVKNLSQGLCLEKSDRNIKNEANALLAVKKITTINAPRLIDFVTIDATRAAQ LVTIDFQENPEALLISEFIDCLTRGVTKSRNEPPLSLLYERKYDLCFTPLDLHRSNLF VTRGRLSGIIGWKNAGFKPEYWEFTRSLWPYGGERKLCYIHTYAFDGKYDDELEAEVS ILYNSPFVF TRV_00054 MLSTREWAPLMSFIDGWLTLVGNWTVTLSINFSGGQLILSAISL WKEDFVPNQWQTILMFWAVMLVCALVNIFGSRYLDLINKICIFWTASSVLIIMVTLLS LADHRRSGKFVFTHYDATASGWPTGWAFFVGLLQPAYTLTGYGMVAAMCEETQNPHRE VPKAIVLSVVAAGITGLVYLITLLFVLPEVKMLLSVANGQPIGLLFKTVTGSAAGGFG MLFLIMGIQIFAGIGALTAASRCTYAFARDGAIPGSRVWKQVSSRFGVPLWGIILSTL VDCLLGLIYFGSAAAFNSFTGVATICLSTSYGLPILISLVRRRKMFENAPFSLGKFGF LINMTTICWICFSTFLFCLPVSLPVTPSSMNYASVVFAGFATISVVWYFVRARKAFTG PPMSMDDARKDEAGVFSGKMAPHMEDGVTPLDLSKPAEVHVEHQAKFNR TRV_00055 MSFFRSTIFRHRRGNNNNTGPPDDEGRDSPHENQRHRATEGGST ELGLPAPEEHPEDIKQSINAVQSFLAARLAMKCYSCASNITENFSVRNWIRRWSEGAK GNPAKGCYLCAATCSSCGALTCLGCGNKVRNSRNPHEIEGYYMDWCCDDGRVFGIWLL LARYDRVEIRWQAPSSVTQNKQPPARNSHSPSQRKTQDARGIGYADDSMGRYSPFTDF FHEGSWFQPVYSNRPLQFHGSDEKEDEFVAKVFDFVGQMIPGPMSKNLPSELHAMLQL GLLLDKVADLLRNDSLDDVTKRSDVYKAAFGLVSKLSSHPELIDLAKCARYHKQQTPG LEILSSSKPQQYDSPPLILGERIASVGERLKQLARQSKIVLESVESQDLNTRSGEDLL LCCESILSLYSVISAGQEGIGMRTRASPQEKWEAFHQEHGVSRDEGVFDRTHAYYSKA ITMTYSSPGRIKRMVTEVANMSTSLPIGIFVKVSESRPDFMKCLIMGPPDSPYGYALF ETAVGKTINPNLHPDGKVCLSLLGTWRDGDAAAQWQPGKSTILSVLISIQAMIFTEDP WRNEPAYTSAVGTMADIRARRYVEKIQPQIVTAGMLNWLTQPRHRYGIWQKVIRAHFK YNKANILAKVDKWANENPVLKFRGIVQELKLAIEDINRR TRV_00056 MAEQHSVPGGNTAASMTPPASADGEKMHLHGINSCSASPRPSIE DDGTSPILEDIQPDHYYEGGRVPVFKPTMDQFRDFRAFIRCIDKYGMKSGIVKVVPPK EWTDSLPALDEAVKTIRIKNPIVQEFTGSHGTFTQANIEKQRSYNLPQWKALSEEISH QPPARRGERRWTQGKTRGTVRSHGNKGESQKRKTTSSKRKASEELPERQDDGQGSKPE SPPTPVSPVSKPVKAKSEELSDGEPLPAPKPKGRQPKSVSARRKNNRDEQDDYIDEEA FIDFDYRLSSNEEFTAERCEELETAYWKSLMYNNPMYGADMPGSLFDDAVTSWNVANL PNLLDVLGQKVPGVNTAYVYLGMWKATFAWHLEDVDLYSINYIHFGAPKQWYSISQED LPRFEAAMRSIWPTDSKNCSQFLRHKTYLISPTVLKSQYGITANKLVHYEGEFVITFP YGYHSGFNLGYNCAESVNFATESWLDYARIAKKCHCETDTVWIDVEEIERKLRGETTP EYYDETRSEQYEGASDLLTPPRSVPEKSTRPRKRKVDGIEPKSKRAKLHLDSPKKPPC VLCPNSMDYEELLPTEGGQSQAHRRCALFIEETSILKDDLGREIVCDIDKIPKARMGL KCLFCREVKGACFQCMYGKCARSYHPTCALLAGVQVEFGETSVVADDGQEYSVPAIDL KCKYHRQKRFGSLPSQTLDMDSKVLETAIKLKPGDLMQFQADKEINGAVVLENRPLER SLMLKVLPRGDVIELPYRWTLIVKKTNFPPLPPNILPLPAHLSRKPDSRNDSNSSMPS HGMIFGDTSSSYRWEDFICEQPPFNPDSCTVDLTKPETLWYYIGKTSTDCRAQYTHNV DISIHNPRSNFLESVRTTRAPTVPAKALARPVYNSNAVVSGSPSVTRPLLGSTPTTAI APAVQSTRSVSPAKSQASNPQTNDGPPVWSPSDAAMKSTMARRLIASITEHANATAGY TIVNPDFVVQTLLGDDTSPIPKNGLEKLRTSMSESQVPVRSLDGTVTYQPLNMEADDV DHLIRMLRFAVSSLTSVVNMPEKPKAPEQQQVVAPEPVKVLQPQPQEPAAQSVTGKWA YLELQRRQAPPVYCSPYAPGFGFSDYAKGEYGLVGTPQLSRKEPLAADYFAKLSPEDQ EKIVQACGPVPPASENFSTLDGSIIATHGPANQNLLFPSTETAAPNISAAMAPDSHLH PLSAFDMTLRADSPASSFNRVPLHYQSPQEYGSHLDNESSLLPRHLNDHHDLFGDQQA NTRFWQRSVPWNDVDTPSHDEEHRPFFGPHLRPPGHEYASSDMEFGKGPGSLHSMDMA GFGFDGPDDLLPDPSP TRV_00057 MAFQVLSHIKHLRDPLDVDDRTGCSTSTIPKLSPTIRSMASKGE NMATSSGNPSDGGVGKEDDTVESKGITFANQNSLPKLPIPDLESTCSKYLEALAPLQS TKEHETTRVAVKEFMEAEGPGLQEKLKKYATSKSSYIEQFSVVLNLNPFFLLADDPTP ARNNQVTRAASLVVSALSFVRAVRKEELAPDTVRGKPLCMFQYSRLFGTARVPTPNGC VIGQDSASRHLVVLCRGQFYWFDVLDEDNNHLMSEKDISMNLRVIIDDAERTPTQEAA KGAVGVLSTESRKVWSKLRDILTQDGNSNNAECLNIVDTALFVLCLDSTEPSSVAELC GNMLCGTSQIVRGVQIGTCTNRWYDKLQIIVCKNGSAGINFEHTGVDGHTVLRFASDV YTDTILRFARTINGQAPSLWASSSPPPCKRDTTDGLDDASTTPHKLEWDMGPELSTAL RFAESHLADLLRQHEFETLDFAGYGKNFITSMGFSPDAFVQMAFQAAYYGLYGRIETT YEPAMTKFFLHGRTEAIRTVSAESTEFVKTFWGDNPAAEKVRALKRACEKHVDITRTC SKGLGQDRHLYALYCLWQRSLGDGAAVLVSDGESSAEADIAVGVQSSTPGSRSRQTPT TPTLFSDPGWDRINNTILSTSNCGNPALRHFGFGPTSGDGFGIGYIIKDDTISICASS KHRQTSRFMDTLDSYLVEIRKLLRATIQSRARGKAAVSDSAHKQAGTKSERKGRVIRT ESLGDMDGIESGDDDGMGGYGFFDAGMLLHALKGVRTDEREDSKASSPRRLVGKSLKF SEY TRV_00058 MTGERKGKHKRTANPFWRALLSLFSSSNQLETSHSFILFISSSL FSHFPCWFSFLPFFETTFLRTNFKMRFSIVFSAVMASSAMAAPTIVGGVGNNVPVSKG AVGTVNKVAPGVASRDVVNGIAGGAVGTVHGVASGAAGLKTGSIVNRDLVNNAAGGAV GVAGGAVNQAAGDVVHGATNSKRDIAGQAVGGATGIANNAVAGTVGGTVGSALNGKRD VVNHAAGGALHVAGNAVNGAAGNTVDGATGGALKRDVVGQAANDATGITNGAVKNTAG ETTGQVVGNVKRDTLPNLNGVTGVVGTAKNLVGGATGGVAGGIVKRVEGVNNMVSGVT DRTIGGVGSIHQKRELPVVGGVTGAVQGVTGNLLGGAASPVAGIAGRRV TRV_00059 MDMDASASTESPDDQDDFPIHCKGCGEILEEGKAFELAGNRWHI DCFRCHTCGAYLDSDVNLLMVGDGSLVCRNCAYSCSSCGSKIDDVAIVTGEQAFCAGC FKCRNCKKKIENLRYARTSQGIFCMDCHESLMSRRRKRTARNAAQRQKPPAPSMHLDK SLPSLPPSMVAPENNPPPPSSSDIYSDSTSEHLPPSRQEDDRAARSYSSHQATHRSDG SAGGEEFLIPVAFDPTPSEHASPHLGSPHSSMTLSDGQKDYFGRAPSNALGISADGPP VLSPTHIAYQDKRQMNPNPQQWIQETVTPPQSQTTNNAIQRTGSHSARTSMNIDSSRL SQEGSRGKDQATLGGSVHLTPSERSSNRHSVPGKDAIISQSSTFNPRKKVSNEQSNPG TISSSNQLQYPPKRGDSLESKLHHNIQRKEIPQPSQDHAEKPEGTVRSSTSSDYASVA SKAVETTPPSHSNTTTAGAGAVSPSHARSVSGGVSGNVLPPDSQRQNSSGSSPGLLRY SAVGDFSLDEDMARILGDDPQAQDSFLRKVSNSVRHGRSFSDKGSRLSRDSKWPAKSP LEAGNSGNDISSPTSSGLDPKDELTWLKNELKRERQKVVEKDQKIVELEASLNATVNI KQVNTELREKRSTVIFLDAQKEIILRELEVWKEHIAAEKKNNKPLDLGKMSNSVLRDL AESLENLKNTFAPQIEDLIQKRNDLVEELSNLGKMKDKSFQEFEQLSLKNAQLAELNN QLVHQIQELYKANSNTSGGSVRQPPPNGLGIYSHNKDKPNTSLEARSTPYEAVGSSSS VTVPEEAEPATVIQGPHVVNIRKGQPKKFTWKKGQNVAKGVTKGLKGAFLYQRDGQLN ENSSFVSTPQQENNGSAMPRSQTQDPSRQGFGFFGSNQKTKPTPWRGQSNGSSPGLDT TTSLFGGELEQRLEIEKAVIPSIVTRCIEEVELRGMDVEGIYRKSGGSSQVQTIREGF ERSRDYDISDPDLDINAITSTLKQYFRMLPTPLVTYPVYDILIEATNVTPVSARIEII QQALQELPRVHRDVLEFLVFHLKRVVDREKENLMTSLNIAVVFAPTILRPESLSREMT DVQKKNETIQFMVENCQDIFMGIEE TRV_00001 AIGILFTLNGVVGMIVQFFIFPPTAKRFGVLRCFKISALMFPVL YFLTPFLALFPTDSTRQLATVILMAIKLSAVVFAFPSSIILLTNSASSVSVLGTLNGV ATSASAIGRAIGPAALGAIFSIGVKAGYMIIPWWTLAFIAAISALPAFWIIETDGFTG DTGEQDNEDTVVVVGDAEQDSPPLLEVGNVRPENTAGPIEASNSDSKAAGDEPTLSAP SSS TRV_00002 MQYRHETTPLLYIEVTRPPPRYPHRRIRRLCTTCLGSILALSVI VLLLPYALLPRGYGSISDHFPWTSSNGLDYASLQHLLQTVPNADKLRGWSHHYTSGPH LAGKNLSLAIWTKEKWEEFGVPDVQLTTYEVYLNYPVSHRLALLESKNEGHAFKVMYE ASLEEDELDKDTTSELRDRIPTFHGYSASGNVTAQYVYVNNGDYDDYEALLKANVTLE GKIALVKYSGILRGLKVKRAQELGMVGVIIYTDPQEDGDMTELNGYKPYPDGPAREPK FCAERECWIFEQNPKEFLPSIPSLPISYKDAIPLLKALNGHGPKPSEFGKEWEGGLVH KGVDYNIGPSPENVMINLYNEQEYVTTPIWNVIGVIKGSIPDEVIVLGNHRDAWIAGG AADPNSGSAVINEVIRSFGEALKGGWKPKRTIVFASWDAEEYALIGSTEWVEENLSWL SSANVAYLNVDVSTSGKKFQANASPLLNKAIYNAAGLVLSPNQTIEGQTILDLWDGEI GVMGSGSDFTAFQDFAGIPSLDYAFTAGAGDPVYQYHSNYDSFDWMDRFGDVGFKYHV AMAKMWALTAAYLAESPVLALNVTDYAFALRKYLDSVKDKVSPDIMSQFDFSPMDDAI SAFHQNAVKFDAYAESLATELEKGGHWWSRLILYFKIRFANQKYKYIERKFLYDKGLD NRGWYRHVIFAPGRWTGYAGATFPGLIESFEDNDLKNAEKWRDIIKSKIDDATELLG TRV_00003 MGKKKRGHPDLEELLARPWCYYCERDFDDLKILISHQKAKHFKC ERCGRRLNTAGESLSQVDNALQNRSSLDIEIFGMEGVPEDVLQAHNQRVLQQYQQAEA ERRAATGNPAPGTSGSSGQSKKPKFESPSELKKRLAEHKARLAEQAAGGSSGDTTPVG AGQQSQSTPGGTPYQQPSTPQYNAPQQPANGSGQHYAAPYGQAPAAFPQQPQQPGFVP PAGPQSYPMHQYPPSTNMPTSPYPQAGVPPIVSPVGASPTLPYHHQQHHQAMRTHTPP QTISPSYPTRTPSLPPAPGLPQRPSFGAPQVNAFQMQQLHQGQVSGPPAVPMPTDAQG NRAHPLPDANFESLSASADKLISEAAKQAKELPAKPSPAPGTPEEGAAGKSSKKEKAK SIRLVYSDNEISPEEKLARLPRYAFVPQHENSSGETAAEPVAGAEEVVNLPA TRV_00004 MAGRCINCHITASSSSSRPGGKKDVRLALLRTQFTFDAYFTVLY IFLFHVAVSSHSCPPSLPDHEREEPSSRWFPFPFSFFFFFFVLLVLLVLLLVFCATMS STSAPKDDIDIGMPLINTPDSPLTQRVQRGLSEPRSLPSASSQHTPATDLPPVKCTWC GLEFPSGTSESEATTGNSLDSLKQHMRDEHPDIAKDLFNNLVAEENLTPSLESDQGSR LPVASQSEGTPNVEEELERHWTMHDVRNFTEDYEGKRDDIKSRWEGAFDGFERPQPYE SASTAPGKFLTLTDPNIYIDIMKDPSTLSTKELYAITVNAAHALRVWQDEHMALEKLI KRATRSSLKKTSNPRELEDPQVFEDKKEAMLYGYKHDPKASQIGYQDPFAQGGFIPTA DQMRKMKLSGTEPWKMNRWATVKENGVECVPKLRPPPVIKPKKRAPNVAKAEAEAKAL LVPKRVTRYGGSKPSSTGDTSQAPSEPGSPGGPSRSQSRHATPQSSVPTPVRKSTRPL AQALYALSTSAPRSAPVKSPKSPKSPAPTSAGTSKASTPFYPDPLQDPKNQLKIKNSK HPKRTEAMILHWAKFNQEGRTRNPKRTKAQIEAARVAEREASLDPSVASLASRKRTAA NSAERTDSHPPSIKKMRRDANGKTEPVTPISEHGEGSSFHYHMHTASHR TRV_00005 MLLLLLLANFSPSNSKGAPTTSNRPPWLCRVLEPTKAFSSSCVL VLSCSASFSDVNHQPSSLSLFTVTLASAPLLLSDLDRPRQPLLERKVPIIGFFFISLL PLAEITII TRV_00006 MRLSYTSNPPTTATPDETAILERIQARRGPNGLLPLDLTLLHSF PVADGWNSFFGAIRTRTSIPAAARELAICRVAAINQAWFEWKQHFPLLKAAGVSDQAI ELIKLDTCDEAELARELDSKELMAVYRYSSAMTRNVKVPEDVFQEIKGVFSEKEVVEI TATTAAYNCVSRFLVALNVGEMND TRV_00007 MKTALPENAKIAKEAKECMQECVSEFISFITSEASEKCQGEKRK TVNGEDILFAMTSLGFENYAEALKIYLTKYRENRPSSSGYGSGGPVGGPAPVPAGMAG AAPAQQPPAGFAVPPPPEASNNLLTGSLDPNEQDGAAYGYPTMVGTAHNGAGGETY TRV_00008 MSSASPPKEPEVEPVTQSGDEAEPMEREHHDIQTQGQGEFEVKE QDRWLPIANGLFIPFSLLALLLSIPSSSFVEVTHLPYLTTFSSLNSFRTQKKPRLARK KDTPKGLDTNTSRRQYTNSLLSSPKSLLQGKDSLMSMLSPPLLPKASYMRIQSISLKL MRCNLESGCSLVQSPKTSISPMLLLPHVYQ TRV_00009 MSTAVLLHDRLGPGKASFLLQTKTRRRSRKEEQEQQTQKKQNRD SERGRDSEKGGGYLGYLEPYPRAMGGRGTSKDRAAGRTAQQRQGKAKKKKTTREKEK TRV_00010 MAAQVDPKRQQDAVLQLQDHAAPARTEDRRHRDRSRRTQVLTRT NPNTCSAYSSTAHPRHTDTLTPSRLVIESLQPLPLDRKCFRMINGVLVERTVKDVIPS LKTNADGLKQVLDELMKQYKSKQDEMDNWKKKNHIQVVQQ TRV_00011 MSVLDITAQHQHQHQQHHHHQQQQQQQQHRQRQQQQHEAQLEQD RDQKEGSYPAASVMDYRDISPDEEESSERDKQLAKLIDDESTHRKIQKNVRVIYVGQD VSNLNFLLRQQHVDRDDEVYHFATNEISRKYIECGFEQVPRDAFVLPEPALADELVDA YFKHVNPGFPILAEDIFMAQYKGRDSSDAPSLLILQAVLLAGAHVSRPRPMRDTLKAA FFRRAKLLFEARVERNRDIMVQAALLLTWYSDPVDDDVAANAHYWVGVAARIATGLGM HRNSGSSIFVPHDKRMWRRAWWILVQFDVMVSLQYGRPQAINLDDCDVEPLREADFEG CGNNIQKDYVIQFTELCCMISFIVRERFGLRIAPERRKSILSEADKALANWSIKLPDT VRMSTSDMHAWPALLHLTYNNFLILLHRPHPRASADTYAHNDAEICSAAAGVIVSIFE ELREKDRVKYLWSSAVNTLFTAMIQIRVELRFFNPVLAINALRRFDSTLVSLRALSEY WHNAESILHLFESSKRLKYDMQMVKSKQTNASLPHKEGDSVSKSTEGPSPQVEAPLPP PQLHTWWPKAQLGERLTYFSHSEPMGPVSAPHHPHPQPQPQPHPQRQSQAQAQPQPQP QQQQPQQPRARASEHIPSPGQGPDWRQLFTFESDACGAVVPENLNDMEDEWRELYLHD PGLTDYFQESTWLQS TRV_00012 MAPHAAAGAAAGTAGDAAQKAAVVEGTLTVANVAANRTRCALPK GVAAFSDSSMFKSAVSPLPLCLAFLRRRPVGIAGLPVHTPTGISVTAESEEMGPLRPL TSLAALDPEAGGSLHGSHYLYAQIYASRIKLTPIIDVISLGGGLPSQEYFPFHEISVK APSPRQFADPAPANPECVFSSTKHDVADGTSDFDLEVALNYGQSVGYAALVRWITEHV EIVHNPPYGDWEITMAPGSTQGWDFVLRMLCEPGDMILTEEYTFCSAMEGAAAQGVTP FPVKVDAEGLLATSLDEILTNWDPAAHGGARKPIVLYTVPSGQNPTGATQSAERRREV YKVAQKHDIIVVEDEPYYFLQMEPYTGLNTPTPPPPATTDEFLKTLIPSYLSMDTDGR VIRLDSFSKVLSPGSRVSFLVSSAQFTERMIRQAETSTQAPSGMAQIILYKLLDESWG HEGYLKWLIHIRIEYTKRRNCIMDACEEFLPKAVASCNPPTAGMFNWMRVDWKKHPAY QQGKDHKAIEEMIFLAAVDAGVLVSRGSWFLADQSATEEDMFFRSTFAAAPADKIREA IRRFGEVLKAQFNIQ TRV_00013 MGSSADENAQTRDAPWGDFPSPLRARGFTGSREDIQAASAYLFC SAAARLQNLDRVEETVTNPAASDSALAQAPRTQYPVPPPLRERDLNEGNPEVTSYLQS ESYEEFSAQANPVDNTQYLSSFETYHSNNSHLTFASGQDRHPDPSPSTPLPSTRLPEE TTVPQNTPTSHHHQPNRVVDPTPVRETYRAFPRPREPQVQTSSTHKFDPHSRPFPLRR VCTTENYHYHEAQPVKSSRYRQYPRPQRLYTRGEFQARTRELLKHDTTPGGFNDFDPD FSNRKPAGTSTLKQVRSFPDPRSLTDPLPKSGRDFEPNSRQRSSTATKRSQPHPPKNK PYEFKTDSFPQPHHFSTLNPKSPYNPLNFIPGGVNSSGYLYLPSPASQPAARPEPDPA PPADVPAEPRAFHAQGDFDFPFNKPCSAEPAPDTCPAPPRPTFRLELPSAQEIKDRKR KKSGARRFTSSLKKLFFRKTKSESENTTAAPGQANADYSLAPQAEDTSKGRPSATRLG RFREELGEADSTQAAQLEEQLKGVDSAQAERFKEQLRKPFITVTVDPPPENDSTPPRD TPNMFSTGHLDPSSAVMAITKQKAEAMRLAREQGFIVREMCRRAKTDVPPYTFEELIG KGSYGRVYKGHHALTKSVVAIKVMDIDNLDYETTRDFKDESIKDFIHETKVMSQVKEA GAKNINIFIEAVSIHSQLWLVSEYCPGGSIKTLMRATGDKLEEKFIIPIAREVAVGLK AIHDAGIIHRDVKAGNILIHEEGNIQICDFGVAGVLQSQRDKRSTWIGTPHWMPPELF PNKPGDEVHPYGNEIDVWAYGCTLFECATGNPPNATLRERMQIGRQLNRFTPRLDGEN YSEELCGIVSYALDADPRSRPAMGDILNHPYIAGSEQSHPTEGLRELVKIYYQWSQRG GQRISLFNPGGAVAAEMPGARGPLDDEAWNFSTTEGFEKRFSIIDLDQISASLAALEN EQSLEPNYTSFDQFNMEPEERELTAEEKVNFDERVKRGAAAMEGLFDEAKPKYKYETK NDFVPIEQARKFNDLPLRTDTDRSSVASTFIDINLGVYDSSHYASASGSANPPFQLAD ADTIRANRSSSRSYRASSSAGSEPHDEFPQNRGPRPPTMDWKFPTTATSIEESESPNL ADDEIAPHDAEPLESREEKRDTRAWTFPVMTAEEDGPGDEPIDETAHVETPGAWSSDN ESTTAQAMFPLQHSPSVLSRAIGKSDALPMAKSPDHHALASESRPSTAESKQSSVSDA DYNPFRLDREGSVSGPSTTTQRRGLAKAPPIREPGYPYFPQDDEYGNSLSRSNIANGF DEHHVSSLPESETLRNGSVTAAPLSRSDSSSMYSQNGNSNGNIRGSLNATPAAENSSL TQFPELKPPSIESLTEGASDEVVTAELDRLFGELMHGLAATGEAIQSTDSAKAAESDV RPGTP TRV_00014 MSVGIWTPPSPKTRTTTRILSNPSEQWKLSLQEVKLLHLRCRYK QCAARSMEVLTKYEDQLHKIHEAYFQYYIAASYENLGRTSHNFSGNKIPLLRIAMDSF IACKSSLESAFTDPARTEELEPFPDFNNAVGRYPECEPYVGSQGYIFYQIARENSRPP ALFQVRCCDEPSLAGTQPRAKRGMRQCIDVKADLIPQPLQIRKNRKDIMPQYNQAFKK PFATTSGRPLPEPPISISVSPAPEAINGTAAFTPPPTPKFMDSFPEELESKEKPTPRM MSLIASLSSQIDENVRELSMLISRTMELQRIHKARKMNRLASYWSFTPTYPNGPSTIN GDTEVNDAQLDQCTNGRANGQSTLSSEGKQQRIARLRAEGWNTVGIKSIERGWKGTLH YDRLCSEALSELYES TRV_00015 MLNKLQGQPESYDKKAQYRFGKTLGAGTYGIVREADSPNGKVAV KIILKKNVKGNEQMVYDELDMLQRLHHPNIVRFHDWFESKDKYYIVTQLATGGELFDR ICDYGRFTEKDASQTIRQVLDAVNYLHERNVVHRDLKPENLLYLTTAQESPLVLADFG IAKMLDSDTEVLTSMAGSFGYAAPEVMLKQGHGKAVDMWSMGVITYTLLCGYSPFRSE SLNDLIEECRSGRIVFHERYWKDVSKDAKDFILTLLQPDPTLRSTSEQALQHRWLKGE TATDHNILPELKTYIARNRLKRGIEIIKLANRIEALKMQDEGDDIPGTTLDEAEEKKA EDKPAADVKPSEGAAPANKGRLSRLARGAIFREVVLAKVREMKQQEQQQQVEKEALEK IEKDKRK TRV_00016 MFAAPGWSVSSSELKLQTETPAAKDVSKSRDTDGSSKKRKRAPG TDDDGGKVTKSNVNEMWKRYIEGEIPKQKKPRPEKKVAQPVQGKQKKGEGDGNIKSDI PASKGSKKAKKSKKDKTSKKEQQTGSNMTPLGTREPSTASPTMTSSLPPPPPPLPENS KLTPLQQSMRQKLISARFRHLNETLYTTPSTEAMELFTNNPEMFAEYHAGFSRQVKES WPSNPVDEYIELVQTRGEVRPQHKRQGKKPAQSSSSLQPLPRKAQGLCTIADMGCGDA QFARALSSSKKAMKLKIHSFDLHAPDSVITKADIANVPLEDGKVDVVIFCLSLMGTNW VSFVEEAWRILRSDGLGECWVSEVKSRFGKPNKQKSARGSIGKVKKDKSKKKQKGDEE EEDAGEDIFAEDQVKKADDDDQTDISAFVEVFASRGFVLKQESVDKSNKMFVKMEFTK YGEPKKGKWANPNGHVEKKIPYKKWGAASIPSDTGMTAEEESKVLKPCVYKLR TRV_00017 MFIARSEYATYSTFSPEGRLFQVEYSLEAIKLGSTAIGVATSEG VVLGVEKRVTSTLLETSSVEKIVEIDQHIGCAMSGLQADARSMVEHARVETQNHRFHY SEPLRVESCTQAICDLALRFGEGADGEESIMSRPFGVALLIAGYDEDGPQLYHAEPSG TFYRYDAKAIGSGSEGAQAELQNEYHRSLTLAEAETLVLKTLKQVMEEKLDEKNVQLA SVTKEKGFQIYSDEDMGRVVSTLGSN TRV_00018 MNSPSSAKSRVAQERAASYSNPLSRPGVPSTRAHGRATSRQESP FSRETTPVAEGRPTIKSINKSHVTSSEVRTERTSVVTREKMQSKQRSAKENIAPGSPR DWDKSRMNRDQHRSNSIAMVTEKPQVDDAQESAILQDLLFVFMGYEGQYIHYANAYNP SVETDRLVGPSFQITPGLDPSLRDLTIAMLKMATHYSAMEAFVEVQSRSEFGAVNHAL CAAIRKLLKDYLIMVAQLETQYINNPNFTLHVMHLHTMPTSQTLAQLYSLGQELLKKN SLLAQDPDESIDDFDDVDNILEQPREGGELAPGSMSKKLCKGGNVLGLISQRLATFSG DPATGVLLQTLLREASRPYMAMLNEWLHHGGIRDPHAEFLVKEQKGIKRDKLEEDYTD EYWEKRYTIRDHEVPPQLDAVRDKVLLAGKYLNVVRECGGVDISKEVKDVPKTFDDPR FLDNINGAYAHANASLLHLLLTKNSLTTRFRSLKHYFFLDRSDFFSYFLELGASELRK PAKHVNEGKLQSLLDIVLRQPGSIAAQDPFKEDVKVRMNQMGLTKWLMRVVSVSGIDQ DNPEGGMEKYQTPAPQSSENEKDIIGFDALELDYLVPFPLSLVISRKTVLRYQLVFRH LLSLRHLETLLVNSWQEHSKSTSWKHKSSDRKVELWKRRAWTLRAKMLVFVQQLLYFC TVEVMEPNWQILMNRVNGDGTEATEVTVNGTKQVNRTVDELMQDHVDFLDTCLKECML TQGKLLKVTSQAPTA TRV_00019 MPSTYKRDKPWDTDDIDKWKIEEFKPSDNVGGTFTEESSFVSLF PKYREIYLREVWPLVTKALEKSGIACTLDLVEGNMTVKTTRKTFDPAAILKARDLIKL LARSVPAPQALKILEDDVACDIIKIRNLVRNKERFVKRRQRILGPSGSTLKALELLTG TYLLVQGNTVAAMGPFKGLKEVRRIVEDCMNNIHPIYHIKELMIKRELAKDPQLAQES WDRFLPQLKKRTLSKRRKPFKVTDKSKKVYTPFPPAQEKSKVDLQIESGEYFLSKQAK ERARKEEIMEKQREKRVEKMKEQEKDFIPPKEDTGEKKKKKRKRLEEGEERKIEDDAE AKVKVKKRSKKEKA TRV_00020 MVEVYFNVQSDNGALTESNCLRKWSTSYIAALEDVKDLRSGMKL AGLMASAGLVDIESKIIPLPLSGWSTDPRMKAIGEANRKNVHLLLESLGLYPLMHGLD MSEVEFQELLTGARQEADDPSLKAYIPL TRV_00021 MTLCETIHNFRFEPPAGWASIASTFVAAVGGLFLASQLFGVLRA FFSIFVLPGKPLRSFGPKGSWALVTGASDGIGKEYAHQLARAGFNILLVSRSADKLAA VAGEICEKNASVQTKTMAMDFSHNDDDDYEKLKKVIKGMDISILINNVGLSHSIPVPF VLTDPEEMEDIITINCLGTLRVTQLVAPGMMERKRGLILTMGSFGGLFPTPLLATYSG SKAFLQQWSSSLGSELEPYGITVQLTQSYLVTSAMSKIRKTSMTIPNPRDFVRATLGH VGRSGGLFSYAYTSVPYWSHALMAWSIVTFLGPISKTVIGFNKSMHESIRKRALKKRE RESGKKGL TRV_00022 YDKVFVFVNDYSAVKESQAGYETDDKGQFEDCIHTIDTIGVCIA CILLLNKSVASLSSTRFLQAQPVKGRCYVLTFSAKHNLTLADLLPQEIVPIIEVWTDI YALHLSPKSCLYKSDAIAGISTDSPARQISSPREQYRYMQIFENKGATMGCSNPHPHG QIWTTSTLPEEPSKELLQMLRYCKEHEGSHMLEDYASLEMEKKERIVFENNTFLVLCP WWAVWPFETMIVSKQHKRALIDLNQEEKEHLAEAIAELTRRYDNLFKTHFPYSMGIHQ APLDARKELKANIHSYFRYELLAEPQRDITPEQAAARLRDCAGELYRKKL