-- dump date 20171216_103925 -- class Genbank::CDS -- table cds_translation -- id translation MYCTH_2114025 MDRLKDILLYIWNFRYLPLKYRWSLIKWRRRLLPTRSGLGLRNA LRNARSLKNPPVPHPYLFVLRLLWPFPTWYYPAVLPPPPREIMANPRRVSCQARDLIY LRSMPLWRARDTPQRSFYRLYEALCAADEHMITYETEYFWRQSSPRWATANIPDPQCE DLEQYAVMASLAEVLVKSFMWRLELGLRRHDTAIMNRNVDPPPFVPEVCPSWTAKVPP LTEKLAIDPEEADRYFDSPFHHRNIYTATGSFYTV MYCTH_2293935 MAMTRQSPSQRLVAPIRLISIGGALAVRVCHNREASYDIDCMLD PNIAASSE MYCTH_2293936 MDKVTKLLASNPSTTAYVAGVAVLVLAPQIVAVPALGAIGFGAK GVAAGSCSPSVDFRVSALVGNRLGDELALLTYVPL MYCTH_2051335 MAAFLPRGYRSVTEYRFREDQTDAIVRAAAYHRKDYCRSVIWFS PREHVGIRPSIATPFPRTPDTGLGSLCRLPLELLHDVLLHLDIRSLFNFRQVNLSSRQ TVDSLHQYQMVVSHGLNLLCALLRTRLAIGISLLDFYHALCTKACTLCGEFGGFVSLL TWTRCCFACLQGALETQVQTLAGIRKQFHLTKAELGRLRSFKTLPGIYSMEESVHKSR ITVVSVHQAKLVSGQQPHAAAAQAQPANWGRNRKFNFMGACALPYYDRRTGRVEYEMS CAGCQLALEKDIIGSRGEKWAFEARDKVYAQDSFLEHFRWCEQAQILWRSSAEGKSQP AELPEAARRGGFFNNRE MYCTH_2121898 MSIGTLSPASYTRSSASTRPAPVPINFGRSYTRRSSSPVERIAR LVRDRDDPTFAAVTIILEGEDKEEEVVE MYCTH_2293939 MKSTVASATALLLALSGSPGAAKPVVDTAYPYTGPDVPVGDWVN PTVKGYGKGFPRLIEPPAVKPASANPSNNINVISLSYLPGGINIHFQTPFGLGEAPSV LWGTRPDRLYRRATGTSHTYDRTPPCSAAAVTQCSQFFHEVQLRHLRPGTRYYYQIQA ANGTTESGVLSFDTARAAGDPTPYSMAVLADMGYTNAGGTYKQVLRTVDDDDVAFVWH GGDLSYADDWFSGILPCADDWPVCYNGTSTHLPGAGPVPDEYKTPLPAGEIPNQGGPL GGDMSVLYESNWDLWQQWMNKITKRVPYMVMPGNHEASCAEFDGPGNVLTAYLNHNKA NATAPRTNLTYYSCPESQRNFTAFQHRFHMPGAETGGVGNFWYSFDYGLVHFIALDGE TDYANSPQKPFARDLKPGETHPTPGETSVTDSGPFGTVKGSYDDNKAYQQYKWLAADL AKVDRRKTPWIIAMSHRPMYSSEVSSYQPRIRAAFEDLLLQHGVDVYLAGHIHWYERL WPMGRNGTIDRKAIVDDHTYMTNPGKSMTHLINGMAGNIESHSTLSEDEILDITAVVD QENYGFNKLTVHNATALTWTFVRGDGGIGDELTLIKKKPGRRGGGDSKHRYGGPGVLF Q MYCTH_2293942 MPSTSSSETAYTTDDSPRSSKTPHTRSSSSASDISYVKEKKPSH SHSPSSASAASDTSVTPLVRDKEKRESYSSVWSDLEAQRGEIDDFSRKRFGFLRYTIL TVYRRLFSLAFIGNAIAFVVLMIKGAAPLDLVNASAVNLAVCGLCRHPLVINALFLTF GAVPRSAPMRLRRLACKIFHLGGVHSGTGVASCVWYIGFAATYTYHFEASPASVAVLV LIWLVLLLLLAIIAVAHPAFRVRHHDWFELTHRFSNWAILALFWVLLFLLANQEPSVS AFLVNLPAFWILILLTAATIHPWLLLRRVPVRAEPLSAHAVRLHFSHAAVRFGQGISV ARHPLADWHSFASFTDQFDTPDTKFSCLVSKAGDWTRQAIADPPTYLWKRGVPTYGFG YVFRMFDRIIVVTTGSGIGPCLSFIEDAHRPAMRVVWQTKSPLKTYGQRTLDLVARMD PDPVVIDTSISGRVDMLPIVLKLYKDFDAQAVCVISNPAMTRSLVYGLETRGIAAYGP IFDS MYCTH_61543 MTTTGEFRYLDPESISPGTKPWSKVDSAATSFRLASRRRSVANI RDSLRPDQPLRGNFGTDIDVSGFAVYHAPAREKTFTDDAAVRGGYYAEVEALLRAKLP GKVARVEIFDHTIRKHDPASPRQPVQQVHVDQTPAAAEARVRRHLPAAEVDGLLARRF QIINVWRPISHPAADFPLAVVDWRTTKRDDLVPVDLLYPLRNRTIGDANDDRGKEVLP DPATAAGTDGYEVRGETYGVLPSDSHKFYYVKDLTPDEALFIKCFDSWGEGLPGGKKG VAALTPHTAFIDPATPPGTKGRESIEVRCLVFYDE MYCTH_2293944 MALQSLLLRSCHSPARLALEGYPLAASATCRTTTPPRDPSCPKR AAVVLDCEMAGTTDGDHAITLSLVDFFTGAALVHALVKPASGIKITNWRTNITGVTPG AMAVAVARGEALRGRDAATARLLDFVDADTVVVGHSVKYDLKVLGLAHNRIVDSAILA AEASGRFGSGEDGNSGGGQKAIKQGVALERLCRELTGVRIRGGGGVAAGPGGRSQHDS LEDVLATREVVIWCLTHPVELRAWAAKNWEVQDGAKGNKGGAKGSKGDRDRTTKHRPE SVEDDFEWDEDDDDDDDGEMLRWEDVIDYDTWPKSPPDWSD MYCTH_98562 MSSPIKVAVLDDYQGISEPKFRALDPAKFEVSFFKDTLRPYNHP DTPQEVKDELVARLEPFTVISTMRERTPFTAELIARLPNLKLLLTTGNRNRGLDLDAF QARGIPVAGAVDRNSSVGSESTTEHCVAMILAAARNIAQDDLSVKTGGWQTVPAVALG GKVFGTVGLGRLGTAVGRIMHLAFGMRVVAWSENLTQERADEKAREAGLPVEDARGEK TFKVVSKEELFRTADVVSLQLVLSDRSRGCITAKDLELMKPTAIFVNTSRGPLVVEKD LLEVLEQGKIRAAALDVFDLEPLPLDSRWRTTKWGEDGRSRVLLTPHMGYVEEATLNG WYDMQVENLLRWEKGEELLLRLC MYCTH_2105956 MRCYHTHAASSPNQNNDRLSQIRRFNPVWLIRRSANGRVMCDVK LHNHVVEADSDFDHTQAAKIAVAKKALSVVQSWPVGCFPPPPSQQTSEPPRSANAPPP RTVGTGGSRAVHGRGRSLLALNQEGGSQTMAPGLGRSAATATELDRGRRENTMTAARG DRGREQVELLEHVRRVMGISVPDTTRDNPEAARAFLEGLAVGARLAGARVSGFSLKSR SRSPLRSSPEAYRARSPLGRSGRLSPPPGHRRRVAAGTVSLRRQSNGG MYCTH_2293947 MKIQGRTFVVSGGASGLGRACVRDICAAGGNAVILDMNEELGAS LVSELGPSSAKFLPCDVSDTSSIASAVDSAVAWIKETGKPLGGIIPAAGVGNPGLILD KKLRPVSLESVDFVLSINLRGTIDLVRQFLPHLAGAPPEGSDGERGVVIMVASAAAFE GQMGQVAYAASKGAVAAMTLPMARDLSRFGIRVVTIAPSMFESNMTAQLSDTVRKGLY KAMEFPLRPGQPEEFSSLVRHSIENIMLNGVVIRLDGATRMPSKL MYCTH_2293949 MSGYELPGMTRPRGSSAASEDSTGTVREQELGSMYDYLAKVILL GPSGTGKSCLLHRFVKNEWRVLSSQTIGVEFASKIIKVGTGARRKRIKLQLWDTAGTE RFRSVSRSYYRGAAGAILVYDITSRASFQGLQPFLNDARALASPNLSLLLVGNKLDLA GDPLVDTGVPPPTPGGSVSSASYASTPVPSSYSSTSTSTIHPGLGAQLKATVAPDGRE VSAVEASRWASTVQIPVAMEVSAFSGEGVDEVFGRLARMILTKIELGEIDPDDPMSGI QYGDAGALWNAGASDGGSIKSTITADDVGVGGRRRRRGRRTGQGLREWEEVFTLTGRR RNRGCC MYCTH_90655 MAPRVVIPLDQNWEFRQADKPDSKFLPVSHFPTNVHLDLQHHGL IPDPFIGKNELLVQWVGEAQWTYRTVFAAPPVPEGARAVIAFDGLDTFATVVLNGTTI LESDNMFLPHRVEVTSVLKAEGNELVITFDSAYLRGCKLVEQHPNHKWGCWNGDVSRL AVRKAQYHWGWDWGPTLLTCGPWRPVHLEIYESRLSDLYAETVVDKSLKRASVKVTAV AERRADRVRFDIALDGQQVATETAELDATSGEATVSFLIDSPALWYPVRYGKQPLYDI RATLLAGDDEVDTLSKRIGLRRAELIQRPLEGQPGTSFFFEVNNIRIYCGGSDWIPAD NFIPRISRRRYYDWVRLVAEGNQFMIRVWGGGIYEEQAFYDACDELGILVWQDFMFGC GNYPAWPALLESIRREATENVKRLRHHPSIVIWAGNNEDYQYQESEGLTYDYANKDAE SWLKTDFPARYIYEKILADVCADLVPSTPYHPGSPWGAGLNTHDATVGDIHQWNVWHG TQEKWQNLDRLVGRFVSEFGMQAFPAVKTIDAYLPLGRDDPDRYPQSSTVDFHNKAEG HERRIALYLVENLRYAPDPLEHFVYCTQLMQGECLASAYRLWKREWRGPGREYCGGAL VWQTNDCWPVTSWSIVDYYLRPKLAYFTVKREMAPVSIGITRRTHLHPRDRHTRVNVD VKTQIEVWASNLTLEDLTVDCVLKAWDVESGEETFSETVAAALLLRENRSTEIAALDV PVRQKNVGEEGRIVVAAYLVDKEGRQMARYVNWPEPLKYVHLQKPRALRAQLTADYSA VEVSAEVPVKGVALECEDDGVRFDDNLVDIVPGEVVTIGVSGAGKDTKIETRYLDMI MYCTH_2293953 MASSNLSFVLNKPNDVSFEERPVPKLQNPHDVLVAVNYTGICGS DVHYWQHGAIGHFVVKDPMVLGHESAGTVVEVGSAVKTLKKGDRIALEPGYPCRRCPA CLSGHYNLCPDMVFAATPPYDGTLTGFWVAPVDFCYKLPDNVSTQEGALIEPLAVAVH IVKQARVQPGQSVVVMGAGPVGLLCAAVARSFGATKVVSVDIVQSKLDFARSYAATHT YASQRVSPEENARKLLAVADLPDGADAVIDASGAEPSIQTSLHVVRMGGTYVQGGMGK SDITFPIMALCLKEVTARGSFRYGSGDYKLAIDLVASGKVDVKALINGVVPFRDAEQA FKKVKEGQVIKILIAGPNEKVDKAIDTTVDENKLKAAPVTGSTGCC MYCTH_2293954 MATTTPSPTRSATTRTTRTTRTTTRTYNDAIDALNSLQTPYAAI EARRRAGIRPDATSVREMRAYLARIGYTPRDLDRLNIVHVAGTKGKGSTCAFVDSVLS RYQRRGGSPRRVGLFTSPHLIAVRERIRINSAPISEELFAKYFFDVWDRLEANADVAA DDAAPGSKPIYARYLTLMSFHVFLSEGVDAAVYETGIGGEYDATNVVEHPVASGISTL GIDHVFVLGDTVDKIAWHKAGIMKPGSPAFTVEQVPRAAEVLKARAAEKGVGLRVLDI DPRLAAVKIRPDATFQKKNATLAVALAETALRRLDPSFSPDPDALPREFVDGLEQVVW RGRCEVKVEDHVIWHVDGAHTVDSLKMAARWFVGECAGRAGGGPRVLIFNQQGRAEAV DFLDGLCNTVKDTGRGFEHVIFCTNVTYAATGYKRDFVNHQHNPADIEKMTQQRVFAD RWKKLDPSANVMLIPTIEEAINAARGLASGEHKVQALITGSLHLVGGALGILESADAL MYCTH_2049934 MAAPSVPDDAYATALSRLAQLQSNRAVTSLFDPAPATAAASAGG AGGGGGGGGGKPADLNALAIPEMLAWLGRAGYTPASLAALPVRFVHVAGTKGKGSVSA LIASVLAQYPGAGRVGLYTSPHVLSVRERIVLDGAPIAPDRFARYGVTATVVTQLGID HVGMLGDTVNKIAWHKSGIFKEGVKAFTRRLPEAQEHQRGVMEVLRRRAREKGAVLVE VPDEEVERWGGVDGARLQGPFQKYNMALAVHAAREHLLRVGVRFEGRFGTDEWLLGDM PPEFVRGLREAALRGRCEVLRDAQQGTIIEWHVDGAHTDDSLAGVGRWFADRAGGDDN DGGGGGSVRVLLFNQQERDPAPLLRALLSGAQSGGRTAFDHAIFTRNEEEEPADGEER DLSTQIRAQETMQEAADGTTTTTVHNAVRPAVEQIRHIAAQAREQGKPCRVLVTGSFH LAGAVLKIIDHAEY MYCTH_2293957 MLRTSLRSVRALGTRPAAAAAGRQWQVAAARRAVVSGQVQNRFY ADNSKRPDEVKIPASETLTAPSTPAPAPAPPAVEKAPPKPPAPGPAATPATATKTPPP PPPPPTTTMPPPPVPPRKKGFFRRLRNFVLTLTLLSALGFAGGVWYARINDNFHDFFT EYIPYGEQAVLYFEEMDFKKRFPNVAGRVTGRRPEGEQVKIPAQSGASWRVADGRETG GRQSSAVQKVEAAKEPSRKAEPAVVAQAKQETAKQPSAETTTKAAEEKPVPVPTPAPG PTPAPAPEPAAIDPAPAKQSFKAPEVDEPSRWPPASPIDPLAVPDAAEPVVQAMVRML NDIITVINHDGASEKYGATIGKAKGEISKLGQKVRDLRAAVEKEAAQQVKERVDGFDK AANELVSRLEAAMAAQEQQFRREFEAEMERLRKSYDSKVQLIQERERQLAEEKLNNRL LEQAIQLQRQFASDIKRHVEEEREGRLGKLEELKAAVADLERLTAGWNEVVDSNLRTQ QLHVAVEAVRASLEDARHPRPFVKELIALKEIAAQDPVIDAAIASIHPSAYQRGVSTP AELIDRFRRVAAEVRKASLLPEDAGVASHASSYVLSKVLFKKQGLAAGDDVESILTRT QTFLEEGDLDNAAREMNGLTGWSKTLSRDWLAEVRKVLEVRQALDVIQTEARLQSLKA E MYCTH_2293959 MATRGPPGARGMSNRFAQFKLVLLGESAVGKSSIVLRFVKDQFD SYRESTIGAAFLTQTISLDENTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDIT QATSLDKAKSWVKELQRQANENIIIALAGNKLDLVTEQPDKRAIETADAEAYAREAGL LFFETSAKTAENVQELFTAIAKKLPLDQVGPRHARPGQRPGVSLAPEGANTQVGGPCA C MYCTH_2293961 MALPFRDINVQTASDSYIFSSPSSPNAPALSIDRPTGDIRLNDA ALLAGKRVTRVTSIAGILGMIQLRLDKYIIVITKAKPVGRLRGQMVYKIVATELLPLR ERQVHDPDEDRFLALLRNFIKSGPMYFSYAVDLTNSFQRQAEHDNSVPLWKRADDRFF WNRFIQSDLINFRNLGSRGQPAPQPGIDPYILPVIFGMLEIHPTTFKGTPLTLALITR RSRHRAGTRYFTRGLDDQGHAANYNETEQILVLNDSAAGLGGGFAGSSSGPGGLQRGG AAGKEMQILSYVQTRGSVPAYWAEVNMLKYTPKLQVRAIEAALPAARAHFEEQIRIYG DNYLVNLVNQKGREMRIKQAYEQVVDMLVSSPQEHAQADRRTEEKFRTIEKGGGGPAR SPFDRLHYIYFDFHAETKGLQMHRAQLLIDRMRDALVAQQYFRAAEAPGSRIDGRLEV RSLQTSVVRSNCMDCLDRTNVVQSMLARWTLDRMLIDLGLLPRGSRFADEDDAFELLF RNMWADNADVVSKAYSGTGAMKTDLTRLGKRTKGGALQDGNVALTRYWKNNFLDGPRQ DAFDLFLGAYQVPAGGIGSAFIFADRRPVWIQSVPYIAAFGFFFVLVALYTPRLPDAA VWPLRLFTIFWAAVALWGVHFILSNGMLYVNWPKLNPRPWATEGYHETINRVRKDRIL GPLVARHERGLSTARYINAEEGKKRIE MYCTH_2293962 MTDGNASPSSNLPPQRPTRHSSSPYREANAPDSSVLTATTSSAA DAETHDQQPPTSTSTSTSANRALVENRLSGTYRAHKHRSSGGFLLADPLNRQGSTRHR DTEKYPAEKRQRISVEQHASAGAQHGQRVPQSTVASSSRQGPAFEAPRPSVPPDEGSG LLTTMTKRNGSLAGNATIESSPQTGMAQLDVESAQIVSMALNLSESRRLASRRNISQP APPRLAPFPDSTPGGSLRYHLQQQRKMSRTASPKPDRSPRIGAGGRFTPLQPAFDPGA GFRYHFSTSTLARAQKAKEYLELMAQYRRLLELVPPLEPRPAARPWSPTPPVSPNNSA PVSRASTNNDPEPKIGRPYNPLQYVRNRKVRARERRTLDGEALGFNDVMKVSEWVDEV AKWVATGQARQPGNPALPPFAGAQTAELQASPPAANARAPTSKPKRPRVDWVIDPADL LADLYWLELDDNKKLVEDRHWRRVFPQGPEPPRPASHDDALRLTTPNSAKGSLDAHAL GERATPDPPSSSTTTRHEHEHVLEAARDRAQQKFRALRGSHHRHTSSFTNRDLLRLRR GSLSESSDTDSDRRRRAKGAPTNAVRSVLEKQMEEMIAREQREAESHPLYDHEARRLR PAASMTPEREPQPPASRDASQRRPADTHPELSETEVSGFGLKPLPLPRSPEQATGRTS LDVPRAGRASADDDYTSQPNSPGLRPSPDIGRVPALGMDLSPMSSRAGSPHRNPLTRV KSIFRDRSKERSTEPPGEDIAATLTTPADSGMGGMPESASAVSGHHQRRPSRSPNGER PLGHRPHKSLGNVKLRGEDGGISLRSLLRGPRIDTVLRSGVSKVSEMLWRKEGDDSSS TSSSDSEAESRGRSRGPRPVRSFSLEQDPKRLLDAPQQLVPDYKLPQRQLQHPPARPI SRRSSRFELLKPPRIDVQQASPSTSPPPVQVRTTHEASVGSAAAAAAAATAAAAAAAA ADSQGGRDGTRAASANLNAALALPHRPVSPSSRQWSITDRSSASGPAAVSRREIARLR ALVLSSGVHAAEMDRRAKARKLLPSPTISRTAAAAAPNHANPAGSSSSLTWTEIANLA PDAATRQRLLTTPLAQADLYPVAARTLAGSVQASTAQLQAATSRFATETGPALTHRVE SLRSKVAEELTDLAQLAIDAADEANHDLVTSQRLKVKMVGDAMDKMLRRRRRRFRWVR RAGWLVVEWVLVGCMWYVWFLVMIVRVALGIGKGVVRGVRWLLWL MYCTH_2293963 MSLFSAQLHPGRALGFLVLGASLHDVLTRLKAEPQRFPKLDLTY NPADPVREPVILGLPANGIRMRFDGPEQRLRLVEVIDFTRNHIFLKPANDKERDLVRP PTQDPPAADAAPGPTFRHIYQRFLGPTYDGEYIADAETYVLSYPGVAFAFPMKKKDYS DAKDVVSLLSSTAVPNSMAVFSGDSWAQARDTMWTEVLPSLKTFAPLAKGKDVYPDEI SLVKIHGGGKLQLFRKWTNNSFWILLGETTPQQLVAELGPPDAIYRKNDQRMYIHKLR AASNNTMARPTNGNELRRQDELTDTDQSSHPASDDYDSQDEAVEDDIVANVSGECFYN YFYLGFDVLVSMPTAPSRPPPSQDGSAYAADAAAPKPAATDRLVATKVVLHGNVPGSY PFNRHRRCRWEISYLSPSPDSPATTSETPFPEIEERLRRAWRSIYSSEAEAQQKQRGM VLNRGWGDSPGSSCELLGGWEESGGTNPGVGKGRGEDSTTTLFGFPGLVFEVLKNGWV SAVTVF MYCTH_2293965 MVRAASPAESIASVATSTAGAKRKERDFDPDDGEATNINVVVRC RGRNEREVKENSAVVVRTEATKGKLVQLSMGPNAVSDKTYNFDRVFSQAADQSMIFEE VVKPVLDEMLSGYNCTIFAYGQTGTGKTYTMSGDLTESMGMLSDNAGIIPRVLQALFN KLELEEREHSVRCSFIELYNEELRDLLSSDDSAKLKIYDDTSRKGHSTTMVQGMEERF ILNAGEGLKWLREGSVKRQVAATKCNDLSSRSHTVFTITVYAKQKTENGKTESGEDYL MLGKLNLVDLAGSENIQRSGAENKRAAEAGLINKSLLTLGRVINALVDRSPHIPYRES KLTRLLQDSLGGRTKTCIIATISPAKSNLEETISTLDYAFRAKNIRNKPQLNALTNKK TLLRDYAIEIERLKAELIATRQRNGVYLSNEMYEELTVQNESRRILTEEQGAKIETLE TNLKNKLQELLSVTSNFMGLKKEHEGTLARFDETKELLEQTEMVLARTRQALAEESHL RKAHETTEEQLALLGGDLISTLERTVGDIDGLRAKDERRVDLHTLNRSTWEASQARVA DITELVEGRIEEFRQGQEEHISSVSQRMQAFVKEELEKLSSTQTFLDENLARFAESRA QLVRQQERSREEMDTVLEEIKVVRDNVKQRVGESLQAIAAAAERIAGDVLTELSTFHD QLHASYSSLGKDFKSAFEELLRHMRAQKAESDRLRHELEEATHAMVESNESVATRIQE VLDEERAQAAAERQALLAQIARLVNSQAEQQESRLADRAAQIQDAILDSNKTLKESVG EYSAGMNAWAERDSKALEEASTSRDALKTRLKDDWTTASKHSTSIQSTTKSVHAETVR VVEEQMQDLDAQMRDLDDFVSRAKAQNASHQERHAESVQNLDTAVEQSFSNISGHFKE TFDRVQHLGEEMDAEAQSLGEALEPLEEEVCRPLAELREEVRNTELREYEPTGQTPEK TEYSYPTNLPRTGPHEALLAALRESPTPKASPAVLPDQDSTPVRSPSRPVGAETPCSG GLREVDPNLGSGTKASSILFDSAASILSPPPPSSDAAMPLPLLKSAANTRTRSSRLSK RAAGLAVGDGAENLPPPPALRSSTRRKSPRLH MYCTH_2293966 MSAHPDLTGFSALSFDCYGTLIDWESGLAADLQPLIDALPSSHP FRSDPSLAVRRFNDHSEHLWATQPKLAYDANLTESFRRLAREEGVAADGLDDGAAARR IGDGPGRWPAFGDTVDALRRLGRHYKLVVLSNVDEANIARARAGPLAGARFDAVYTAE AIGSYKPSLDNFRYLFEHVRRDLGVDKDRGGLLHVARSLTADHVPAKQVGLRSVWIAR GGDKPEGYGTGGDYEKLKAEGKLGFEWLFQTLGDFADEVDRQFAEKAAAK MYCTH_2293968 MSQPVQPKHGYGSAEPEISHQYWDRDAGPDDGDGDALSHTSLDS DELHETRPNRWRGHPSTWKTWTESDRRTWAALENARRGDLSAHLFNAFALRRGFRVGP EFEVPEDGDAAGDRGAGWDIGKYWTAWPMKANEVPDGGLLPRTADLNEPFTYRREQRR PFAGCNLEDEISATILRHAKERFRARGLQAQPASAEHVVQSIEKTDTTATEGETDASG VGDTTGNDERTDKAARRTARRARRAASPTFTPVISADDERSYRLLRPVTRQIMSKLDD TLMILHNQRMAGLGNTSDSSVCGGDVDNDKDETDAEAVPERRPRARSKSPSAPAPRSR GGRPRKVHVPLEGETEQEMLIRVARQSKRKLPTFSSGPESEGEGIRRRSRSRSLGSAR RSTSASSRASSRRSSVSSEANREKLLSRWGLRDWRDVLGAAALAGFSPTVIARAAQRC STLFREEMAMHTLHERSAASENAGMETAVYVPGLALVSSGDEDESEEELAQLRTVSRQ SSVRGPSSSPEPAQPRSRSGTPASLVCPHPQCPRAVEPFPKKSNLQRHLKTVHGNREP DLTTEPEQTEAEAPPPPPRHCRRRSGTPGASHLCPYPHCPRAVEGFTKRKNLTRHLQA VHGKRGVPLTEDEEDSADEMDGGVHVDRFLRPIKIRKGWRGDDIQQRSSRVGKKARAG SEELDSFL MYCTH_2293969 MGKLKKKGKAGQAKNYMTRTQAVKKLQLSLPDFRKLCIWKGIYP REPSNRKKVSKSATASTTFYYTKDIQYLLHEPLLQKFREQKVLEKKISRALGRGDVSD AARLERNAARSEKTGKPRYTLNHIIRERYPTFVDALRDLDDCLSMLFLFANLPSTTAV PAKMISRCERLCLEFEHYLIASHSLRKSFLSIKGIYYQATIQGEDILWLVPYKFNQRV VGDIDFRIMGTFVEFYMTLLGFVNYRLYTSIGLKYPPKFDQLKDDQGAELGAFALEGA NIATKDETKAITNGESEHGQPDPKVQAEVDKIVRQLRHESDEKATAEGAAEGAAEGAE GEGEGEGEGDDKPSDAIDKFEPVAPGGDVLPQPSYSSSDPSRLFSKFTFFLSRETPRQ PLEFILRAFGCKRIGWDAVLGEGAFTHDESDPSITHQIVDRPVVRAVASEGGDGEDNQ TSQKVGPNQRYPGRVYVQPQWVWDSINDEELKSPELYAPGASLPPHLSPFVKPTQGQY DPTRPLEEQQTEGEAIDAELEDANEEGDGSDVENDMDVASDGEEEEEDEEEEEDEGDQ DKKQDGDFGGFSEGEEEEASEYEEEEEEATLQRQRELEAEISGKAVQGGKKVDPKTKA KLEAKKALERKKKQEAEELERAKGMLSKKKRKLFEQMQYSNNKKSAEDMKLRQKRRKL EKEKKAAASAAA MYCTH_2293970 MDGAKATPGQTAALYAGAAALRLAIFTLLPALPDLLTGRVEIST PVTSFKRLQEGLFLYNHNVSPYDGGVYHQAPLLLPLFSLLPSYANFPIFTYLLYTAVD LLSARALWTIADSGEAGSSALFTSPRRAKRWSGFVIAAVFLFNPFTVATCLGRSTSVF TTCAILHAIARAVSGAPFGAMAALSFASYLSMYPLLLLPPLILLGYDRQRPERATKSA LTFAAICAATVAGILAILFQMSYLVTGSWEFLPSTYGVQLTLSDLTPNVGLWWYFFIE MFDSFRSFFLAVFWLHLSSYVGGLTVRIRRQPLVVLTLLLGILSIFKPYPSISDTALF FALVPLYRHVFPLMRYTFLSVAVILYATCLGPAFYHLWIYAGSGNANFFYAITLVWNL GLSLLVCDLMFAVLRDEWEVERPEMAGKEVRQI MYCTH_2293973 MAPIRRYLRITKYSVLECRIYLDNPALAQSWLLNPRDPVLPKVI ESVRPLVLPKLREEQERERSRKKNKKRSIKDVVVQDDFEVSIFLTETDTRHSLLYKRK LFRDKIQTRLTSNSSKLMGASRDVPIDVEDQFPQGAAAVPNLRQEEDDQDAIDLADIP LANEAAANDVEVTTRRPKRRRRRGGGAGEGTREGSDASDGEMVVVGDSDFSADELPEG SRESEESAYDEDEDSAADRRPPKRRKEKAAALGGDTAERDDKKKLAMDISYEGFAIYG RVLCLVVKRRGDGAAKGGTSAVSSGNRALPSRPGGQAMMENWIASTQAPDAAAEEDTD TL MYCTH_2293977 MAVQLHVWGPAFGLPSIDAECLAAIAYLAQTLGSADYQLIQSSP SAVPTQHLPTLYDSRTSTWIGGFTSITAHLHTHPPPTFQSAPQPTDGSSSTTTTTTTT TTAASATADGTAYTAFLSAHAAPLLALSLYVSSANYGAATRPAYSAVLPLPLPWTEPP AVRAAMARRAAHLGLSSLDADAAAERARAEERRAAADGWVAVPPHATAGRAAGGGGGG GGGGGKGGGVAAVLTPEQKSRIRLEEAAREVLDVLAEVDWAAGGGGRQVAAEVRCLAF GYLALMLLPDVPRPWLREIMEGRYPALCTFVRDFRARVFPQGGKLLPWADGGAQASAS ASASASAVALRFVRAVMAEVPLVGEWWSRWWTARKKREVLASKGAKPAPSNDLLLLLG AGLGLTVVGAGVFFYRGLPPFGEAVQVWRKPVVGLSSFGAAGAMFSGALYGLD MYCTH_2293982 MSKSWASTLRLPKSTFPPRPLPQNRDQYIRRCADDLYKWQAANR PADDEFVLHDGPPYANGSLHAGHALNKILKDMILRVKIQQGRRVSYVPGWDCHGLPIE LKAVDVAAGKSMSPGAIRKAARKLAAKTVTEQMKAFRTYGVMADWDARWTTMDMAYEV RQLRLFQRMARRGLVYRRYKPVYWSPSSGTALAEAELEYNEAHVSKAAYVRFPVVGGH EGLPGLDRLEGRLYAVIWTTTPWTLPANRAIAVHDELTYHLVRAGQDAFLVAENCLER VAKVLPGAPEVEVLATVKGCELTRLRYVNVLRGRSAEPQPFMHGDFVTDGSGSGLVHC APGHGFDDYLACLPRGIPVSAPVDGDGRFTDEAFPDQPDRLRGISVLDGGSSAVLEIL GDDVLDVHDYRHKYPYDWRTKQPIIIRATAQWFADVGTIKDAALAAIGKVRFVPDNAK NRLEAFVKGRSEWCISRQRAWGVPIPALYEPDGNAVMTDEVIDHIISVIQERGTDAWW TDAPDDPAWIPEALRGRGQYSRGRDTMDVWFDSGTSWTMMGDKQADLYLEGSDQHRGW FQSSLLTRVAAAADADHDGGSDGGRRTTGSTTTDTLGLSPFKTLITHGFTLDKDGKKM SKSLGNIISADQVMDGSLLPPLKPKKKSGNAGPVKDALGPDALRLWVASSDYTRDIVL GEPVLKTIHQALLKYRTTIKMLTGSMHRSARTAPLTAVDEIALLQLGDVMDEVGRHYD AHEFNKAFGALNRWLANDLSAFYLEALKDRLYCADGGGVLEPIFVGFLRMLAPVAPLL VEEAWEHRPQWLKDDDPDALHPLRQLYRAPLIDPARLTRDPAELREAVPVLMAAHAAI KAASEPARADKVLGSSLQCHVVLEVPEGGRALRILRAHEAELPAMFVVSSVELNGAVG EEEKEEGWKYCQQFELDGQQCKAWVLPPRQAKCPRCWRYVAPSEDELCGRCEEVVGQS VVQ MYCTH_31411 MDILKVLSRGIKPSQKNKAQVPGAAVQQLPSAGALPHPQLFHDP VSTRGKKRKRRRDTQDEQAGNDDEEEDLSDVDYFAPKKPATEAAPADADAEQDAPKKR KVKLLDEDECRQILRSHRLKFTVLSGGRQAEAKAEAGAEVKEEEKMSKKKKEAEDKKS EEKKEDHKKQIFPQPLTSFGELRHVYDVSQQVAANISAQGFRVPTEVQMGSLPLLLRP ATALKKSTGANLPDVADGVDFLAVAPTGSGKTITFLIPAIDGVLRRRAEQQRSNDEHV LEAVVVAPTRELATQIVSEGRKLAIGTGVRVVLMKRNLRLAVEDAAAAAEGPKNNKDE EKESESEEDSESESESESESESESESESEDEESKGPDREHRRANDRVKPPARVDILVT TPKILLNFLSGKLDKSGARKVLPTVRSLILDEADVLLDPIFRKQTMAIWRACTHRDVS LTCWSATMASSIEALVIKQLSRRASRQGGSGAPRPLIRLALHDELKYDIPIEAGGSAR VAVLHSSMTDAARSRIMARFRAGEVWVLITTDVLARGVDFAGVNGVVNYDVPTSAAAY VHRAGRTGRAGREGGVAVTFYTKDDIPFVKSVANVIAASEKQAGKAGTDAAAVQKWLL DALPDVAKEDRRKLKVRGVESRRTGGKASITTKSSWERRREHKRAQAIEASKRRKRQR REEEKRGGGGGGGGG MYCTH_2293986 MCFGSKKDVGDGEAPRPAQNQQQQDAPEHKIASVPPQYHPQQQQ SQFQQPAGPPPSHRPPASSGGEDYAPPPGPPPGQRHGDGYGPPPGPPPGQRPAEAPLV PSNRPGDDFAPPPGPPPSHRAQQGGDDFAPPPGPPPGHGASGAEDYAPPPGPPPSHLN NLSYVAPPPGPPPADNKPQHAWEAVVPDTSLFPPPPSFFSGFDRSPANNATEAEAEAG EQWCAQHPLIAPITLDDHAVAALNAHNPRLMQPDVYRGTLTCTAPGVWEGRTNSQATD STIIAYPPLYSVMLHSPLAPSNAARRKTIYYEVQLTQANPRRDICLAMGFTALPYPSF RMPGWHRGSLAVHGDDGHKFINDRWGGKSFTTPFAPGERLGIGMTFWDAGGGRVEADV FFTRQGREVGRWNLHEETDAEQDLPVTGLEGYHDLSCAIGTCGETGFQVIFDPARWLH RPAGY MYCTH_75421 MKEASSPQPKSHGGTHRSSRRPDSNPFPVSGPSSGLQPTKTSNG SPAAASLNGAPGDVGPGLASAQSAPATGTHDRSSGRPVSTPPVARSGSVIGADAETQS KDQNEKKPILERFWVTVKSILVSSKINVLLVFVPVGIAVAQFHNLSPAIIFAMNAVAI VPLAGLLSFATESVAHRLGDSLGALLNVTFGNAVELIIFSIALVKNEIRIVQASLLGS ILANLLLILGMSFFLGGLRFREQIYNSTVTQMSACLLSLSVISLVLPTAFHASFRNND LADRQSLKVSRGTSVILLLVYILYLLFQLISHSYLYESTPQHIIDEEATPGPAANWLD SSSSDSSSSGDSDSSDSDHSRNTMSKRMRRVMHRRRRSSAVSSETGELSHASPTTPSV DDPNAEDASSHPRVLHQQSSSGASEETEDRRGHGKRHRRRLPRRKHRKHRHRHISESP EAVVEENNNAAASAHEPRRIDFAPDTTIQQQTTPGEPRTRSPLQGLRSMSFRPVVSSL APSVFTQPPETSMPAIPAGPVPRVRYGIRRTNSLPDRLNQYYRPPGAMMPSQIPLAHR PMVAITEEKHAVHMSRTAAVILLLASTALVAVCAEFMVDSINGLVETSNVNEVFIGLI ILPIVGNAAEHVTAITVAMKNKMDLSIGVAVGSSIQIALFITPLVVIIGWAMNREMSL YFTLFETVCLFVSTFITNFLVLDGRSNYLEGALLMSTYVIIAVASFFYPEVKDASAWG A MYCTH_2293995 MSAPYWGQLPPPDAGQTRARRLSDDQAQDPLPGRRQSLDAPAQA SRLNRISVQTTKSDATTDSTRSPLTSPTASSFHGHGLTPRPPSLPYGTDQYPPELIEK RRRRRSRAQEQDAEYASLTRPPPPAAPDAPRPPPPSSQYPYPYASGAQPSSPPQGPFL ARGTGRQDSQKENEGRRNQKNQPSDHARNMHEESIKLAPQRVATDPAPGRMSPLPNGR QRRPSAAEQSLQRSTRRTSTTSSSAARPKTFADVRSPLQRLELTLDGISKGDKRARVE AAERAARERAAAKEAAAQHHHPDGNHQQARARERRPSVAAGDAPTTTPIAPTRPAHHA AAKDGPLSQNPPDPTTGGSTAGPAPKASPPDSRIPVPVQSSGLPQRNLSFRDRAARND MKIPNGLESLPSEEAAAPPAGPNGRTPPRSGSNKLKKNPPADAWPNRISESDEIYKTW ETAARSPQAAGGPAKAVPPGAANPPGHYPSDRAFRNAPTADSNSLDDDFAEEFMLYSS AGKLTMAPGQRKADQVLGRAPTQTAATSGDAPTAVSFRAMSPPPASSDPAAYPRAAPP ADNLGPGAPTESGGQNDANGTRHHHHHHMSDMVYHARDKFQPGQGVFKPTTFLDEWKK GTVGVLSGNLLDLDQAQPPAVEKNTAWWEGPQTRRRSDSLSSRPKKAEAFEGEYDEKS NGTRTPNQQAVYPSIREVQSGDHLLLSTSDFGGGLPFEPQHLAPRRAKARAKRWDGLR PFSPSPSANDSQDSLGCFSLSSNDAAFSRIALPYSSPTRFKPPLYLKCGPLLRFCGIR RERVPARSARAGAVIDREIWRGSIMIVTTDADSSYDIAPILRLFVQPIELLPPPPREV RGDLAPEYIDPIAGHPKLGRKGETLYVRPVDHLEEAKDLSRDETDDGLFEKSRSPPDV PLPEGVVDPPGSFAARRKRAEIDGEKVGKYKDIRGFRLHAERGYTFWRFNIEIELREK QQRIAYRINRGPSTGFWVPAKGQSMNIMFYSCNGFSLSADPNQFSGPDPMWRDVLNAH QSQPFHLMIGGGDQIYNDRCMQDTTVFKEWLMIKNPLHKHNAPFTPEMQDELERFYLE RYAMWFSQGLFGMANAQIPMVNMWDDHDIIDGFGSYPHHFMNSPVFSGLGNVAFKYYM LFQHQSVVAETEKTEPSWLLGVRPGPYINELSRSLFMFLGGKVALLAVDARTERTREE VVREDTWKKIMDRCYAEIDKGEIEHLLVLLGVPIAYPRLVWLENILTSRVMDPVKALA KLGMFGGLLNRFDGGVEVLDDLDDHWTAKSHKQERSIVIEDLQDLAADKSVRVTILSG DVHLAAVGQFYSNPKLGLAKHKDFRYIPNVISSAIVNTPPPDLLADVLNKRNKVHHFD KETDEDMIPLFAHGVDGKPRNNKHLLPHRNWCAIREYVPGHTPPSSPGQSTYDVASLG SVPGTPGGEVRRSSSIFRRLTRSKTIGPDGRPKDRSRPPVSGGLLRSLSRRAGAASAD EVGRSGPPKQGLLTRTLSSASVSGRFGGLFRRRSTSERARDDGGINGTWGPDTDDEDP RFQQQQQQQQEQQEQHQFEQYGRPGGSAGPGAVNLRGGLASHPGGYNNEYDQTDESHS SVRAPYPHPGDDDYDDDDDYRPPVPPKPIMTGGAGAGPAAQPGSRQPQAQEQDGDFVP RPFHRAPTTLSVNQRKALGGRLPEDHFAVNLEGALEVTLNVEISPRDPGGSTVPYRLV VPRLWYEYEAEETEDGLHPEGAEREGPEAGEAAGEKKAGIKRLLSLKKRG MYCTH_2293998 MKIWSGAALLGLAALATASHILPRDWEANDYYVLHLDADTSPQE VARSLGLSHEGPLGELRDHHVFVAKRAEHDVVKRELARRRKKRSLGLGGRDVLDGVLF SQKQRLRKPWEKRVVPRLFGPLPRRSVDEPVESLVQRQTEVARKLDIKDPIFHEQWHL FNTVQAGHDVNVTDVWLQGVTGKNATVAIVDDGLDMYSDDLRDNYYALGSYDFNDKAD EPRPRLANDNHGTRCAGEVAAGRNNACGVGVAYDSNIAGLRILSKLISDADEAVALNY DFQHNQIYSCSWGPPDDGKSMDAPGILIRRAMLNAVQNGRGGLGSIYVFASGNGAHNE DNCNFDGYTNSIYSITVGALDRKGQHPYYSESCSAGLVVTYSSGSGDAIHTTDVGQNT CTSSHGGTSAAAPLAAGIFALVLQVRPDLSWRDMQYLAMDTAVPVNVDTGDYQDTTIG KKFSHTYGYGKLDSYAIVEAAKKWKKVKPQAWFYSPWIHVNQPIPQGDKGVVVEFEVT KEMLEEANLDRLEHVTVTMNVEHGRRGDLSVDLISPNKIVSHLSVTRKNDDSDKGYND WTFMSVAHWGESGVGTWTIVVKDTEINQYTGKFIDWHLKLWGETRDASKAQLLPMPTE EDDDDHDVIATTTATAATTTVSKPEATGSVPADATDQPNRPVNSKPTDTSPAETSSSS SSSSSSAETDKTNTWLPSFLPTFGVSAATQAWIYGSLVLIVLFCAGLGIYLYLARRKR LRNKTRTDYEFELLDDDDDDDEEAAALTRGGGGGEKGVVGGGGGGGGKRGRRTRGGEL YDAFAGESDEDSDDNDFAGGGGGGGGGGYRDRSDSRSRSRSDGSGSPIGISEKLPGRR DSLSGEEEHHVVGDDDDDDEDGTGNDQARPLQGGAR MYCTH_2293999 MAVLDQDNFSNISWHSEQNPDAAGPSTSASSHDRSHEARTGDGR HVGHGHLGHEILECVVSDPHKENDGTKDAYVSYLITTNTTFPTFQRPTTTVRRRFTDF VFLYKVLTREYQGCAVPPLPDKQRMEYVRGDRFGPDFTARRAYSLQRFLSRLALHPTL RRASILHTFLESPDWNATMRSRAGRRASAGAGGDPGQNQSGSGSGSGSGGVFDSFADS FMNAFTKVHKPDRRFVEVKEKADKLDEDLIHVEKVVARVARREADLETDLRDLAEQFQ KLITLEPGVEAAVHAFAASVEDTSSGLKKLKEHTDQDYLSSLRDMVAYSGALKNLLKA REQKQLDYEQLTEYLNRSTADRDMLASGHGYTSGPFGSGALGGAGGFIRSKIEDVRGV DHEQARRDRQRKLELRIDELTREVENARLEADQFADQVVREVESFEWIKKVEFKRQFG GLVDAHIEFYDGVINVWEQYVREMEREGVVLPA MYCTH_2294001 MSASTRVARRALVVNPFSGTGRALPRSALPAASIYLARNAQNLG PASALLIPIRTLTTSSTTTHGPPGVPPPGFNPEEAKKPLPREPASATKPTAKVPEKAK TEVKTEEAKQASADSDASLTPLAAEKEDAVDKSEGKKEEKKLTLGQKIKKEAQHYWDG TKLLAAEVKISSRLALKMAAGYELTRRENRQLKRTVQDLARLVPFSVFVIVPFAELLL PVALKMFPNMLPSTYEGQKSKDKKASTLRATRKEVSDFLRQTLKETGLPLTQATAQKE EFTNFFRKVRSTGEKPTAEDVIKVCKVFKDDVTLDNLSRPQLVSMCRYLNLNTFGTDM MLRYQIRHRMRQIKRDDRAISYEGVDSLSVAELQVACASRGIKSYGVSPARLREDLQT WLDLRLRDGVPSTLLVLSNAYMYGQTQTEEGMSSQIEALTGVLSSIPEELFHEIELEV HNAEGAATNKQRLEVLKEQQELIDEELEQNQENQATGFATPRDTENIDDKEERHAHAE ADPTIEKAQVGEAVDAEKDMLDAARIEQQQQQQQQQREAAQPKSGDK MYCTH_2294003 MRLRRAARVILFGAPGVGKGTQSERLLRRFPQLSSISSGDLLRH NVKQRTPLGIKVESTMKAGGLVPDDIILRLISNELNQRGWIHWGHPSGNVMTLSSSAV DAEMAAFVSSPAHARGYGQPRVNEDPSASFLLDGFPRTAAQADRLDEIVPINLAVSIK TPFEVIMERISGRWVHEPSGRVYNTTFNAPKVPGRDDVTGEPLVRRADDSEEVYRARW NKFQETSEPLLEHYARKGVLWEVEGTTSDEITPKLFREFERRFVEV MYCTH_2294005 MTDTGPRNILADGHDKHSLGRSCEETLQPSSCSGNSQFMVLSSW EGPGGNEYLKHALTVGMEL MYCTH_2294006 MLLTWTVVVALAMAVRAMSPRSYPPSLLRDGLSTRAGGPSIPDD IVFRDMWMALSDLQETYFERWLGTWPDGIDWTRAVMGTHVAATLRTISDELRLSEPTQ DAGCVTRKEDVVGGYFADVIAYYFAEDTFAIRNQAYDDMLWVVLAWLESIRFIDEHSR VVASGSSCSTESGAWPGAETWYGSRWIPAFAHRARIFWELAAKGWDTKLCGGGMIWNP RLMPYKNAITNQLFISASISMYLHFPGDTNSSPFSAGVGSALAGGVGTADWPPHDPIY GKAARDAHDWLASSNMTNAQGLYADGFHVSGQSTGSNNTRCDDRDEMVYTYNQGVLLS GLMGLYRATGQERYLREGHTLIRNVISATGYDLEQDKPVDPIHPDRVPPWRGLGRVGV LEEACDASGTCSQDAQTFKGIWMHHFAAFCAPAALRFTGSDSLGSQRPQPHGEAGAGA GAGTIRARHERECRRYIPWLRHNVRAATGTRDENGLFGMWWTAGLLTLTSGEAIEAAG DLHPLPPGGDGGEVVDYRNTGVPLDPKWTRAAGDGWSPAVPVPDAKRTGQAPLGHGQG VVVGGGSGSGEVKRAGSEKRAEALEESGAYDPNLRGRGRTVETQGGGLAVLRALWVVS RLEG MYCTH_2294008 MASEAMDLDEPVRQGRGDGSASNNIIINNNNNNNNNNSSSNDVS SAKLAAMQTATKATAVRSIEGWIVMVTNVHEEADEEAIHDKFGEFGEIRNVHLNLDRR TGYVKGYALIEYTTLEEARAAIDGANNTDLLGQTVKVDFAFVRPPPGKATNNTRPGGG GGGAARGSNRGARSGRSRSRSPGAGRDD MYCTH_2121938 MDKLLGKVVDKVVGDDDERPHGLHQGGGNLTHGGSYPAGGGYAH PGDDDLRGAASVAAKEAPEDEDFFANAIAKLLDNKKHESDDDIDEQGAVESHRQFFGF GDSSSSSSSSSHNQPASTSSLGSAAAVQALKLFTSSSSSSSSSEPQHGQSQNAFVGLA MAQAAKLFDAQAAQGKVEPGADKQSVVMKAGELALKMYLKSHGGAQQQEGGGGAAAAG LLNLAGKFLK MYCTH_2294022 MSLRRSLSSGHMGYGMPMGMGSETSTLQMNPDQSDALFPLDTSF LYPFDGTTSMSLDPGGPLPASFDTGPDPDSSDALVAATGRAPYSPDDAAAGTNAPREP LGPSNQGNQSNQNMLTEFTKRRNWPARVVEELQDLLHILDANGRIKHVSPSAERLTGY KPSELGDLFMRDLLHPDDVGVFTSEFNESIASGVPLRVFYRMRKKDGSYGVFEAVGHA HIAAAKFAPNPNNQTPFCQAVFMMSRPYPTKNAALLDSFLEHKMENERLKRKIAELQK EEQEEAEEAGRSWQQSQETVSPEGSLRFGPTSFSPGDASTTSRERRTSMDSGAAENLP GTRRASIGTTHADTIEMLTGLRYQEGERSRGITTGNPSAALIAGDVGIAVPMDRDQRS GDKKKKLKMAEEYVCTDCGKSSRASQSSIGSRP MYCTH_2141262 MSRLAGRMPKGLGQSLAARSAVAAAAAAAAAAGAGRPACLALRT VPVRLSSTENSKQPRPSGASFQGQISNSIMQRLQRERAELERVARTRPESSMTRNFSL TFVIFFVGSVCWVLGYQYPRDADPSSTLPLNATRPPTYNLNPAHLEAAWADFVEIVGQ ENVSTLEDDIKQHATSEWSSHRSDPAHKPFCVVYPATTEQVAAIMKVCHTRRIPVVGY SGGTSLEGHYTPTRGGICVDFGRMDKIVALHKDDLDVVVQPGIGWEDLNEQLAEHNLF FPPDPGPGARIGGMIGTGCSGTNAYRYGTMRDWVLSLTVVLADGTVIKTRQRPRKSSA GYDLTRLFIGSEGTLGLVTEATLKLCVKPAAASVAVASFPSIRHAADCVARVVRDGVG VAAVEILDDDQMRFINAAGTTTRKWPEAPTLFFKFAGAPAGVKEQIDLVRLMAKRAGG QSFDFARDESEQAELWSARKDALFGTMAQRRPGDHVWTGDVAVPVSRLPDIIEETKRD LKASGLTSSIVGHVGDGNFHIILLYNDAERKLAEDCVHRMVKRAVEMEGTVTGEHGVG LVKRDYLPHELGETTVDAMRKIKAAFDPLCLLNCDKVVRVQKPARGEVAEW MYCTH_2053489 MGYSWEQHRQTCYRLYVEENRPLDEVVQYMREHHDFTPSRRAFQ GAFARWGFPNKLNPAYKNERLVARVKELWERNLSQKEMLSLLAEEGYKVGEREVARIR ARNGWLMRGRSGLTAIGTLGRQRTESSEGGADVQDGGGGGGGVPGGASTEGNQDQTNY WDYGASGLGPADAQVQEETLNAMRDARREYRKRVLEAEAHERWVTKKRRRHTRPYGGL PADPPGPPRFPSETTLSEAKEILQMDRSAYMATREKFYDLCQTAGVYKKTLVGPERWE ALKDQLVRESMHLRAVMWDRADMEKKKLAIEIICCDVTKRIRTEATAVKVADAKVMLG LNPEEGRSVRKQLYNILASEKFTSMLEEGLEYFEELKQRWIAESPELSRSIAAGTADP DYQRKVKAINVLCRDAVRRYRSDRSSRQVPAEPAAGADRQVADGPLGSSTSAAAETQN PLLAMQSHGNATAQRGQTSQQGPPPPQQQQQQQQQQQQQQAGQQQQGTPPRASSSGIA AFFRLSPAAQLMFPGVHAQWIAPLSERTMAELRGAALQKTPGGLCYKIEGVVKDGKGG ELPLPVSDEVELETYLQHVQSEGPGAPTFHVHVVPGGW MYCTH_2294026 MTSFSAGQLGVSANKITKARGKMVKPILKKLAQSDKKNSLDLDR GWDEQQVEQLDAGPWDGGSGYAGQARDAVGFGFASGPGLGAGADGVAGIGGGSAAIRG NFKYQHGRSGSQTSAGSGPRATFVHPFAQTPRTSTPPLSYANSLASFDNGRDCSPTIT ENEDDDGFETGIGAHPLAHAAHAHASQPPSAAASTSQSNLGRPSFGSQRTASFPETPA SNPPSLRIHTGGRSFSGTTATSSRLAHGSFPSPSHSDAQIDSHTLSVSFSGTLDSPTG SLGAGSTINSPQQSSQLSPLRTSLDMAGFPRLRSHSEIDTATRIENIRAARRKFEERE REKEEKYDREMIRKRERRDNKEASRIEKGEAPSRPSMHRRKTPTGLSTVSEPAGRSSG VFDRSLAFLGPSGSSSSSGTVLGIGRRRHTDIPTSSAAESEKQMGFAARRYESTSLVP QTPPSFGVTVDDVHFEPAPPRRGSGAKRKTQGYWQGFLLWLRTKLLRMSTR MYCTH_2294033 MATLMDLMFPRLDFLWSTRFWAIFHTVLWLWRYVRLIVHCISHW AYKSKEPNWENPRYTSQDVTVVIPTIHNRPEELRPSLESILACKPAKLVLVTTWNKHE ALSRVAATLRMPDPASPVEIEVLHVDKANKRLQVCKALEDDHVRTSITVMADDDVEWP STLMPWLLAPFEDDRMGGVGTCQRVKRVVDGDIMTRIFNWLGAAYIERRNFEISATHN IDGGTSCMSGRTGAYRTEILKSYSFLDNFKNEKWGRYILNADDDNFVTRWLVAHQWET WIQYNSECEIETTLENSTKFLYQCSRWARSNWRSNWTSLVRERYVWTQQPWCTYALHL TTFTSLAFVVDPLLLFSCWWGTENWEPRNRHILLWAEIAFMFGFTKVVKLVGLFRKNP RDIVFLPVSIVFGYFHGLIKLWALFTLKKTSWGSREDGDEHNTFRLQEKPRPSQSMAT PPYGPDLPKAIKYPRLSQPRRVAACSEKRKPIGCMSPAEAPYQQTAKLPVH MYCTH_2121946 MPDVAPAGAKSSRHAMGCMRCHLIKHVGGLSDAALPAAAPWPRQ AKCTPAPAPTAVRSWLEEESCSFMTNEGEWRAVVHGEGNDQGEKNAGRKRQVTNDEAY TVGMGKRARHENHRRDTTLPPSRAPAGSIGTLLPSVTE MYCTH_2294035 MSGVPNRRPPLVASRSAPDIFRRPMDPAPAPLVYINGWHGVGKE TVAECLTLLLGKDKSLLIDVRSVGRETTGGGDNRYGDAGRMSRHNYHRRDEHCPPLLT PEHPRYHSFDLDPDADTLGPLPSSPSFSYFSSAPPVYSSATSSSGSISSDTTLATPTS PASAARPAIKTTATSPVPISVTDLMPASATVISPSKNHVSFCPVAFPTMMTKPLNTTT TTTPTTTTNTTNTTNITTSPLLPSLPLTSETAALPTEEALPVPTPCSTENLAALLASP ANRRRIAILPACAPDTPAGRAALRTFEAAAARAGRPFAGVVLRCGEGPRRPRRGTMPA RMSSTTSMPTAAAAGGGYGGGGGRGGRGGRGGRGGRGGRGGRGSSPPPPPPAGGEAVS GTEGRREDDDGSGDGGRMRSRSSPSPGADASAGAGAGPGLVEKEECRAHRHAPELARP AGPGLTVDVTYVPAFEAALQIVEFVKGLEAEREAELYKDGGGGARETGGLGGERLVA MYCTH_2294036 MPSNSGMETQTRARPELPPVLTGRGDQELSCPRTMPDSATNPLY SASLTLPSPLYPASPSYDHIMRAHERALVDRLGRLDHRGRRGSQQSPTTARLLSPISE QQALSARHPSTTSSPLPRSPAPSPRSPGFLQSPETQTIASQTREILLKGVPGQLSQQT LTSASAADGHRQSLAPALANGRRNSQQAREALRNWGHLYLDNGAIADCFVAAVALRRH SDNFLTDQATAVTEQPSGGGSKVTIRALVKPCAPNRKPFLLRREFDMDSLRATIPEPS PVSAGSQRSSTEITNRWPLPTGRRRSSTGESARSTLGVGKSPVRNTYTMPIRERFQGC YDYLMDKH MYCTH_2294037 MASLANNLANDLAEAGQAPSYKQLKEDFVSNLTGGSVAEIAQVC AVAPVVSLLWSVLQTRQDFFKQYSALPFAVDFLLNVGALLLSVTLYSSVPLLLNILLL LPAALVYLGFADSPSARRKKPQLPPNAQSKTSPGLPGVVSTKPFLTHYRGNMLVVTCI CILAVDFRLFPRRFAKVETWGTSLMDMGVGSFVFSAGIVASRAVLKERADGKATPLGT RLMRSLRHSVPLLALGVVRLLSVKGLDYAEHVTEYGVHWNFFFTLGFLPPFVALFQAA LRLVPSYAGLALLLGISYQAALEGTGLKAYVLTAPRTDLLSMNREGIFSFWGYLAIFL AGQDMGMLVLPRTLSPRGGIWTTRSKRTTLVVNLAGWSAVWMILYLLCTNYKYGAGLT VSRRLANLPYILWVVAFNSTLVLAFCLVDVFLFPGFYRAQDVKSEREAYETATSRVLR AYNRNGLPIFLLANLLTGLVNMTVPTLDVGRLGTMGILLGYMAILTSVAVGLDMRDIT IKL MYCTH_2294039 MSSRAAAVASPVSFSAASATGSPDLQFADRGRNMPAAVPPRTSS SRRAQPSERPADPHRRTYADSSRDANGRIESPDQGSRSRRNQPPHDQYGTNGTNGTSG TRDPRQNPSSTAQPRSPPPNNSHSTNGPSRETSEILNSILISQPEVDIEREKQRMALA QPQHQSTDDQEQDDDAAPPPVVATADPADETRRGGRSRHDHSRREKQTKFGEFILGNT IGEGEFGKVKLGWKQEGGVQVAIKLIKKDQVGNNPTRMAKIMREVAILKQLTHPNIVR LHKMEESDRHYGIVLEYASGGELFDYILNHRYLKDNAARRLFAQLVSGVGYLHKKGIV HRDLKLENLLLDRNRNIIITDFGFANTFDPNEELTEEEEMNLTDREFVKRMGLDKIKP NGTRKGDLMQTSCGSPCYAAPELVVSDSLYTGRKVDVWSCGVILYAMLAGYLPFDDDP ANPEGDNINLLYKYIVNTPLTFPEYVTPHARDLLRRILVPNPRKRADLFEVARHSWLS EYAHVVEFITSSTTSPKDIQNTTVPPEDDDIPTLARSASVRESSRKTTVSPAFGGLLS KQGTIDSDAEAAAYAKQQRDNKRRTVQVEYVAPSTATQRGEPSGTQASTSRAGAASGT RGDADIADAGSLLSSPKEKPLPPQSISTGADPYGKTPVASRKPPSTHQNANSAAAAPA AAAGPPPAIRTGREGPSPSTVPGSSTNRPPTEGSLQSAGSRGPGAGKRSSYSQPVPPE VADTNAQGRIQQPQRSGSSYGIPTSTSQPQTREFGRPSISQPSKFARLSGFPSETTGA GISSAQGGEVKGHKRSSTMGEIGGKLFGRSGSLFGGRGRKRAEQQAGGEKGKKYPPIS MNNTLAGGDESRQSVDSKRSRRSFSIGLGKKTSGSLAGSQKSHEKHARRFSFIPASFS LKAIGIGKEDTNPQLDSQQDLPIQEPPTAGDDQHGHYGEQDIGEDQHVEASTLDGMYA QLHEPRMSADLYGRYQQYDSNGFGQPSASQGYIPATMLSGDSDASVDNVRRPAGSAPQ LPPLSHLGQEAGYDARRVAGGTKTGRGVLQKNKRFVDAWETDAYARGHDHSGSSGPAR KVMDFFRRRGKARAGEMS MYCTH_2072958 MDYSAWPELLPTVLARIEKIAHTEFPIPAIPPTSNQPARPPSPR FLAPLPSSDPFEATNQAETSSSQGTNKENVGPSSPAASRGASSATASSRPAQQQPAAP AALPKPIADMLDEILTVLRTDFSQYPPHTIQRLAELVLRPRQHYRHLVPYLHALDRVV HVTSGANIYPLPPALPDVGAMSLLANGAGGSGTGDLSNATVAASTLGSDEALGGALLT PIPWLVGTANGSDSDEDSDAGGSSPLSTTGNPAEQLQLQQQQQQQQQQQQQQQQQQQQ QQRQNGHLDGRVRTESTETIEGPNGIGSIETVSISVNGIPSTGAGAGVALVTQRSVTQ GELLRQEQRAGLVPLTQLNRQQHRASIQAQHGPGGTAAEDATMTEGADEDEDDEEEEV PHARGPQEIGPADTGPQSAATASYIAGAGGISPMDVQGIDVAAAVGRRVQSPPSQQER GNRASGSPEGSEIVPRSPKREAGEHLEPESPAKRRKEGEQPPASASKGQGRDQWGAAE QQQQQQQQHSGQGTGRTLSDEPEPEAKVDPNRDAEGDVLLSDAGDGARKEEPLAATGD TTTTDGASRRADGPAAAAPEAGGGKPSTAKRGDPESGTEKKQAGG MYCTH_2313252 MASAVPPSSARKTRLFSSEFLASKWAKLFFFIVGLQALICVAFE SYVFGRFQFSLEYQHDSEIDDTLKSQYRTIPTFLTLFIFGFLYILVLTWDALRLKNTI QIIGLCVANLAIFIYAILQIDQIDKSIARLLEAGALKPDEEDRNVWALCKPFLITVPA VVGVVTIIMGGLAYQLYREFAWDILKQIGADYRMKKRFLHYQIYIALLKFDFFFFLGF TVQFLVIVNGLNNVELGLHIAAIPITIAILLCAAFFTQRENRLGVCFVILLYFGGLAY FFFKLVRIYQPGHSQDYFAVRRSLTAFAVLTIILIILTITNGFICMQNFGNGLKAHLT KPSGLDMEKEGDAGSYQLNDKAPTLPSRMTID MYCTH_2294048 MEAKQKLESLLDELSNAKNFPRLSAAVQDVDQVIQLLSDARDQI AGAMDPHIASLTMTMLQNPVKFAFEKVNNDLKAASSAHKKVGKLLDKYFPLKQLPTEN DTMGDHLPLINRAIAMHLLREGQFSVASTFISEVQGGSPQTRSTGFDEPMDEDDEDDD DDDDDDDDEETGEGDGQEQGKDSHRANATGSPAAHADEYDLSSLHSQELQDKFAQMYT ILQHIKAKNLLPAIEWARANSAELEARGSNLEFELSKLQYVWLFEGPAVNNLPDDEHN GRAGALAYARQHFGRFQARHLREIQQLASAMVFAPNLRDSPYHNTFAISDAFTDLATS FTREFCSLLGLSAESPLYLAVTAGALALPRLMKYMLATRSKGTEWTSADELPFETPLP ESMLFHSIFVCPVSKEQTTEDNPPMVIPCGHMLAEETLKKLAKGTKGTRFKCPYCPSE ASIKDARRVIL MYCTH_2294051 MSLRIPFPTAVDPRVHREEELTLARKASYQRSARSAVPETPDDA AMGSVPAPPPPPNTNRRRIVLPDPVAFRFLEEDPSVSVVERRHVLPGYELYLVEQWAC SRQSPTLVIAAYTGDPRHSVVVGVLEVPADEREWSPRLRVYYKAIHQYHARPKETEVG ELMVTNLSSFPSALTVIPVPEGDIRKHRREFIVNENLKRLGCAGRSGLTLAEPTSATQ AKFMQMYKTSDRIPFYQAVIELVKLCQVALFLFGMLDQEYIDGLLCDITETAINDWWT AIGSEHFNIEPTDGILGPTTVAALLGTLLGARNRLSYWGAPVSKDAFDVESMKKGIGS FQKSMRMERTRRLDRQTLLKLHSVTAKAAAGDGGWGVQKAVKSTVAEIGGKRGELVIG MVGGRDKANIGDVETLDLNKVISLVSGERPKWLWHGKPRRTLQGDEQDHAPSAFGREL RDEKGLQGGGRRTHSAPMEEEGGELRRNEDSSASFSPLQATHSLPVAAETAGGDRDAL RRTVFKSVAGKVNDARSGLGRIRDAVGGGLRGHVSRPSKDDTPDTAASGYSSPSIATL AQSSAAVTSPVAVGKAFSWKVRPEDYLPILKDKEGGGPASAGPPLGANESGGRLAAAN GDQPFAEPYRTLDDKERLELALVGIGKEVRSTNASAAGSVVADGDLEGPVLAIERNSD GLLLSLQRRHSIDCLASLRPHPHEARYPHRLSFSAAEDAVLSWEDLVSLTTDPKPDSN DLASLRSQAELSFNLYARLQQIQAGLAPWTSSKLASVTALDHSLEEQQTELQALHDAV NEAYERARHTSNELVVDERARLAETVKDIDMLAAKLEYEIGALVSRVNEVEDGVAQFE AQVEDVERRAEELKEVLETESWLHWLVRTITGIGTGPNITSGVPPRMEGTR MYCTH_2121955 MSFLGFGRPQPTSAEKIAAVENELKVIAEMHSRMLKVCSAKCID KTYREGELSKGEAVCLDRCSAKFFEAHTAISEQLQKEGAARGFGAGM MYCTH_2294054 MRPTPSLQFLRPYTWKPAGPPNPAYLRFFHLARQQELEQANALK YPRLRHHGPPMRIPDFRKKYDGIQQGDVADEEVTLHGRVESIRRAGSKLVFIDLRGEF ERVQGICNLGKLLDGTTVGRLKELAKLLSRGDIISVRGRATRTPTGELTIQATHLPEL LTPSLVPLPTKLIDEESRKQHRHIDMLVNRRTTDILRLRSYVIKYLRDFLHERDFVEF QTPILAGDAGGANARPFTVSAKAVSRDLSLRIAPELWLKRLVIGGVDRVFEIGPAFRN EGIDQTHNPEFTICEFYHAYANLQDLIRLTEDLIRGVAAHCQGLIATKLTSLPPIDLT PFERPFQQAEFIPTLESALGFTFPDLTSPTALADLQSLLRKHNVSLEPSDESDSSDSS GSSHPSADTLPQLLDRLAAAYIEPLSAGGPLFVTHHPVCLSPLAKSFTCPRTGQAVSA RTELFVAGRELANMYEEENDPFAQRSKFVDQALAKLAPTQQVRSPTAAADEEAVVIDE SYVQALGSGLPPTGGWGCGVERLVMLFGGTRRIGDCLSFGTLKNVVGLSAATGKGGHG PGQEEQEESGHKDKGDGSSSPAAGH MYCTH_2054076 DAGTINPANDQSVVIRLLQVTELAQRVLNFISPSIGDITALAMT CKRAAALTRASYDFWDFNLGSFPTDDYVEKRDGRDRVLQGDGVRSTTLIIAPASDEPK NQEKPYMADFKNMLRLCKCVAITEIPSTFSSIIIDRLQFFDLALFEMMVNTMPNLKVV TITRCPMLDVTKLRPLLELIKRHPLRSGTGKGSRDPPDDSWTHKAAQSPPPLVPATQV DAPQGWGESPERGAKAYIRLDFFPFFFHGPPSGPRLGSYGVTHNEPTFNTPKAVFALI LQCQDLAKEVGLDLLSDSSSFWSFIRQLPGPDVLWALKAREAVITRERELAEGKKTRK EIENDFADDLTAALTGDNQKHPKVPPAMMRYLPSSFETKGKYWRQQERCGMCRFTYPV SLFPLRRDACWSCKMTLFVLNMEDSHLRLWQETALKHWRIGLDPASDKLDQLLANGPV ALSKAFYEVQYADWTWEYFLNFAPEPDLGPEGKANGNASVDDWATGTEQKVPYCPPTP RSLDPTRASLARWRWAHSPATKAFDYREGGPQRAHPCMFPLSPSNVQDCDFGAEEKEH FNRRWVWSWLSENLLIRVLIETRRETRARGGHLERPDVDESNHRHPFIQKQLDRARRD PAWRAVVRDRERRLQNKQDKTVYMDQLAHVEDCLHSMSTLARKPFNIDKPIPDPALDR AAYKKLLEEESRLPGYGFRRNGEWQ MYCTH_2294062 MADTQKPAEVPQETPATEPAAETKPAEATAAAAETEAAPAAEAA QAAATETPAAAATTETEAAAAPAEEAKKDEEAKKEEPKPVEEGHLEHKGQGANFPKNF LYTKQLFWFGSEPVDLKSIASFKADKVTDVAHHVTAWAAETGKGLLFYSEKGDKAAPH GAIHLADASEPAVDGPNKFHFTAKGHKHTFKASSTAERDNWVAQLKTKIAEAKELAAT VTESETYKKTLESLKPAPTKKEEKAPAAETVAAEPATTTTEEAAPAAEAPAAEAAKEE PRKEEDKAEKEEPKRRSASRKRASIFGNLLGKKEEKKAAETEAKPVEEAPAAETSAAA ESSEAPAAEAATEAAAEPATEAAATTEAAAEAKPEEQTEEAKEGEKKEEKKETTEARP AHAKRTSIFSNLSFGKKKTAAPAEAPAKEAPATTEAVAETAPVIPAVETTEPLSAEVS APANAPAETTEGAPATNGETKKEVKSEKRKSSLPFAFGKKKDAASSDEEAEKPKSPSA FSKLRATIKGKGKAEKPEKTEETPAAPAAETEAGEAKAEAKAEEPAAEEAAKPAEAET TAAAPAAAEEETPAKPLESTPAVTAAA MYCTH_2294065 MMGGNEPGDGVTTLRLPKSQVSVASPAGSSVTSRSWSSTELPSL SLSLDGWPQPSVVQLLQGIGAIELLEEDERPTFIVYLDDPRNAQPGPLNILYANVVLR TAPGLLELLQHVSEQSQPDPEFSEFRAWAVSSVHEKSSPNAPVPSVTYAGLLWTCSTL RKRFRIIRGYQNTLFNTPTSSPSQTREVQQPSPTPDLASNGPWAGRTPELPRESSDYF GQVGRTQLRSRSEPPSRAESAADTVVRPLDDLALSSPPLRTTFDWTRIPLDDPNLPAH YLFARSIDWAATSLGPIELWPFELRIMSSMVLGSPHPAALYWGRDHVAIYNEAYVSLA GQKHPRLMGSRYQDAWPEIWDEVKPVFDAAWESGYATMKNDDTLFISRNGFTEETFFN WAMIPLVGGDGTVVAIYNPAFENTRRRIVERRMLTLREIGIRTAQARDVKGFWAQLQK GLEFNELDAPFALLYSIGEDGGIEISSLHPGSLAHPPQIVLEGSIGVPDGHPCAVTAI DLQTSDEGFAPYMRECLTNSASPIVLSEADGTLPAELIQGLSWAGYGDPCRTIVVFPV HPTTGGDGVVVGFIVLGTNPRRPFDADYQLFVSLLSRQLVTSLASVVLFEEEIRRGQK AARLAALDHQELSLQLHLRTLEAVESEYRFTRMAELGPVGLFIADGHGKINYCNEMCS RISGLPRNASTFAAWMQSIRDEDRHGTELAWRRVVEEKTAVTHEFRFEGSRELIDGHL VDVWVLMSAYPERDELGELKSIFGCITDISQQKWAESVQKRRRDEAVELKRQQENFID ITSHEMRNPLNAILQSADEISSGLSRFRQAGASARAPGAIDALVDSCVEAASIVSLCA NHQKRIVDDILTLSKLDSNLLLVTPVDVQPITVVQDVLKMFEIELGSHKIDGHMNIEQ SYQDLTVDWVKLDPSRLRQVLINLMTNAIKFTQGRPTRSIVISLGASKDALKDSFSYI PSRKPTREDFTDEADWADGEKVNLHFAVTDTGPGLDENEKRILFQRFGQASPRTHVQY GGSGLGLFICRTLTELQGGQIAVQSEKGQGSTFAFYIKGRKSDHPPDTSRLRTPSPNE DLPLPSPIQSVPKQETPSPVPPATPTTEAAQHPLPPFPLPQSPKPQPETRRLDVLVVE DNLVNQKVLKRQLELAGSNTYVANHGGEALAALRRSRFWSETAAAPAEDTATESSPPT PEPEAVGDSNSHNISVILMDLEMPVMDGISCTREIRRLERQGAITQHVPIIAVTAYAR PEQVENAKAAGVDGVISKPFRISELIPEIEELALKYGDMAPKPC MYCTH_2294067 MLTIIGSHPPAVSSSLSEASLSAVVVGGGGGGGGGLGLVPKRHA SIINHNNERTTGASHRRLSPFLPVIRRCGAGTGLILTYTTRYRGQKRQRWR MYCTH_2085599 MAFTYRILRRPWRAKAPLYWGMVPELAGIVPLLVLFGLQQPDGF RSLFWRIGFENKQNSNPNMILYAYANYQPLPTVPFVWSKTLTNYNVAISILSLFILLA KMIATIMKVFYPIFGTIISLVLVVLYTVSIYGQAGPDYADPRYPSPTPWHLRIGCSLA EPYGAAKTCRMVQATLGVTVYLLTVYLCQLGFAIWAMLPNKELDMYDSDAEDDDDDGH PAKAKNKAAASVVQLQPAPAPAPAPAADKTPFTPRTQAFHALERKLPLRNS MYCTH_2294074 MFPSMKQVALLCALAGLVLAAPEYPVPGSAESNDVFDGYVSYSI EFASFPDFAGNKTHPNTFSDVLLDNLGQLQGHKPYIRVGGNTQDYALYNQSLPYALNG TTNPSRAVDYPTTVIIGPSYFESYDTWKDVKFSHGFNLGLGGNRSSGWQALLDTVPLA CKALGNGKLYVWEYGNEPDLFSTSAQGPVRPANWSEATYVSQWHNGTRQIKALVQEHC PETLESGNHGYMAPSFAGVNNYLKEPAAWAAGLDGDQDIKLFSTHNYISGATSPGVTL QGTLMNHAVTKRAVDVHITEYNKILSQSSDPVPPLVFGETNSLYNQGRPGLSNTFGAA LWGVDFNLYSASVGFKRVHMHMGTNFRVCVVSLFSL MYCTH_2294077 MAPKLRDPSLFKQDVCYVNGEWVKARSAKTFEVHDPATGQLIGT CPEFDAQDTEKAIAAAAAAFEEFRHKTGRERSKLLRKWYDLVVENADDLATLISWENG KPTADAKGEVTYAANFFEWFSEEAPRIYGDTIPSSVPGNRVFTIKEPVGVCGLITPWN FPAAMITRKVGPALAVGCTVVCKAPGETPYTPLALAELAHRAGIPKGVVNVITALGNT PEVGEVLTTNPTVRKVSFTGSTPVGKLLMKQCSGTLKKLSLELGGNAPFIVFDDADVD QAVAGAVASKFRSSGQTCVCANRIFVQRGIYDEFATKFAAKVATFSVGNPFDTGVTHG PLIHSRAIDKVEQHVRDAQQKGARVLLGGHRLPDLGANFYQPTVLVDMTTDMAMASEE TFGPVAGLFPFDTEEEVVRIANSTPVGLAGYFFSRDLERVHRVAEHLEVGMVGVNTGL ISDPAAPFGGVKESGFGREGSMYGIGEYQVTKMVTYGGMGKKLQS MYCTH_2051419 MASLFKVGTALRGRLSTYSIVKELHRAADEGAVNQNNEKCIVKS IRGHWRLQNEADILKRYQDQTPFLRPLLDEIVEPSDPPSIVLKHLDSDLLTESNRKRL SRPEIKQVAKCVLQALHVLHRDGLVHTDIKLDNIFVNYGQNQRFSTIQLGDCGGVVSE NSDFAKEGHLIGAGFTRSPEATFQLPWGTATDIWSFGNAILSLLYGGDYHLFNPGIEG FKPDDNEYELTVLKRMYKFFGPFPQSYDDFHDRETITIINYINNLGPPEKPFHRVTTR EVPPADNKFIRRIMKLDPRDRPTTEQLLADEWFTEESEDTRDPVPEKPQAADA MYCTH_2294085 MSFNRVIAKKFLGTVLTSSGKLINISAATETDTWRRPDWAAEKV KPDLEEAVPANEHVLPPDTVEVCAREGVHSSASDKRSHITAVAYNGTGKAQTVHLATQ KK MYCTH_2294086 MAGTGKSTIALTIAREYSDKKRLGASFFSRGGGDLASTRKFAAT VAVQLAETSPELCRHIADAAASSRRIHGLGLYDQWEKLVLQPLAQLDREALPHPLVVV VDALDECDNNDDVSLLIRCLAAAVTVEHVNLKVFVTTHL MYCTH_2054510 KKKVKLDLPNKFDRSKEKLVRFLTTIRAYLCYYNDKFLDNKAKV LYIATRLEGKALRWFEPM MYCTH_2054216 KIELPGKYRGTKEDLVGFLTNLRSYFWLNNDKFPDKKAKVLYVA TRLEASEGFWRQRQENLYIRKTRQSLTDQVSSLLYYILNNKALIQLFYNGLKEKVKDK LYKYDRPKTLDKYIVQAIRIDDRLYKQGQTNGTIVKANDKKKRTYANTSYETYPGAID IDVITKDKSNVTYYNCGKKGHYKQECRSPKKE MYCTH_2052384 EELKQYLEENLRRGYICLSTLLAGYLILFIPKKDGKLWLYIDYW QLNEQTMKN MYCTH_61649 MRLRTDVFHISLLEPAPKDAKIPINIEAEDEEEEWDVEEILDLY IVNGKL MYCTH_2052509 QNEELKRYLEENLRRGYIRLSTSLAGYLILFVPKKDRKLRLYVD YRQLNK MYCTH_36219 FTVYYLDNILIFSKTIDEYRKYVRVVLDVLYVYKLLVNKEKSEF YIRKTVFLGYKISLGQIRIEPSKVKAIKE MYCTH_2294088 MLSRPAFSIRKLIPSPQKKQHDGPEDYEGSYFYHDDDDEMDTLS RIKTWRPPMGLMKRSKASVDVRRSEDLHQIICIPPLPDTPQSSTTSLCSVDNGSGYVV NANPRSSPPRRPDRSPLASPWMSPQRSPQRSPQRSPLLLPQQFEDQQHPTRGRATEPT HTLQPPQLTPPSSPPQQADMAMALETPVTSPDTLPSTPATASTGQPTSPEAVTVTPPP VTPRGANNSTVSSQDVRTLTPQAGEGSGLGQTVSHRKLSDLELDKFPKPKNPSPVSAP ARRKSQRRSQGSVKSVKSPTKTSMKDPLLPAQARLPSGSRPKRAKSKKSRRKPRVAAR QSSVWQLTESAKDLFTIRIFHRIEVDEMLPESTLQEIRMSRAARWTRSPELGVTHIKE KPKGKEGKEGKESAATTPIEPLSLDDSLDAAMAEDMVTPRASVINQATATQGEDCKTP TAPSKGQLKPAGEAAIGQASTEPQVEEPPLPVQQPDSPTAKSCDGGEDEGNLPIMMVV EGEPAAPPRPAPSPQLAASPMPPSLKSPTHRRMPSRQLPPLPTIPEVITTGPEEATLS PTSTPLPPGTAAKANTDDYIFLDSNPYTLTMPTFRHGQIRLAKADLPIGKLAAAVDDT LDWTAFQMAILGGAGDFFSEPTDYSRPSEAELDELEELTSWFGGFGFGGPGSLIGPSE PRTPIQPWTPVFPRTPTLSPPSPTSTPNSSPRTVVNGRSPVMRRIDPPAATGAECSNN HNNNGFSLGDSIAGRFFPSRSLVPPGPGYSPGHRRSVSSTTFGQAPSQPKLTHSASFE SRNHAGLSIDATRRPSVDSIQSLPQSPMMDLVVSHDVDGNEYMVPMGFNLSHDLGDYL TWQAEHVTGAGFAGDGGSHI MYCTH_2294089 MESQGSAPTPPILRAVASSTRPLYQLLKAINFTNKVHVDVTEHG LRFAADHARVMQGVAHWSKSLFTTYTTNLPSAPNAGEDAEADDDPMFPTFQISLPALL ETLQIFGAADAAARQAKADADPYRSNLRNYRPDAFSNHTLGMSGTCSLSYTREGEPFS IVLDEAGVSTTCNLTTYVPEAPDDIPFDIEDLSFKIITSARWLLDALTELAPTSPEKL TIVASRTEPYLRLTSSGGPLGSSSVDFAKGRDLLETFSVRGRWAQTFKFNIIKSASEA MRIASKVSMRGDGQGVLSMQFMVEVEGSGPSFLDFRFVPYAVCEDDGDDDREGEEEGD GTG MYCTH_2294092 MDSSLHEVWQAAAGSPFVPTVGKDSQFIVAFVLLLTGLSLGGAF TLNRSVLNIPLLAVPASLALAYVDFPLLLHPQQDSN MYCTH_61659 MKDENGKRKASDEPSSPVASKRVKHDNSAEPEQKPANIPPIPYP EKPAVIEERNGEIEFRVVNNDNQRESLIILTGLKCIFQKQLPKMPKDYIARLVYDRTH LSIAIVKKPLEVVGGITYRPFKGRKFAEIVFCAISSDQQVKGYGAHLMSHLKDYVKAT SDVMHFLTYADNYAIGYFKKQGFTKEITLDKSVWMGYIKDYEGGTIMQCTMLPRIRYL EMGRMLLKQKECVQAKIRAYSKSHIVHQPPKQWQKHGVSPIDPLSIEAIRASGWSPDM DELARQPRHGPNYNQLLHLLNDLQNHPSSWPFLVPVNKDEVLDYYDVIKEPMDLSTME SKLEADQYNTPEDFIRDAKLIFDNCRKYNNESTPYAKSANKLEKFMWQQIRAIPEWSH LGDS MYCTH_75490 MALRHAATAALAGLSSSAAALYINGSVTAPCDSPIYCHGELLKG VELAHPFVDSKTFVDMPTLKPVDEVLAAFSKLRQPLSNNSELNNFLAEYFAPAGHELE EVPKGELQIDPKFLNKLEDRTIKEFVSKVIDIWPDLTRRYAGPGDCSGCANSFIPVNR TFVVAGGRFREPYYWDSYWILEGLLRTGGAFTQISKNIIENFLDFIDTIGFIPNGARI YYLNRSQPPLLTRMVKSYVDYTNDTSILERALPLLIKEHDFFTNNRSVSVTASNGKTY TLHRYHVENNQPRPESYREDYITANNGSYYAASGIIYPVKTPLNETEKAVLYSNLASG AESGWDYTARWLRVPDDAARDVYFPLRSLNVREMVPVDLNSILYENEVIIAEYLEKAG NSSEAKRFASAAKQRSEAMYNLMWNATHWSYFDYNLTSNAQNIFVPADEDTASFDRYA APPGQQVLFHVAQLYPFWTGAAPAHLKSNPLAVQKAYARVSRRLDTKKGAIAATNYRT GQQWDQPNVWPPLQHVLMQGLLNTPATFGESDPAYQGVQKLALRLAQRYLDSTFCTWY ATGGSTSDFPQLQGVSPDATGIMFEKYADSATNVAGGGGEYEVVEGFGWTNGVLIWAA DVFGNKLKRPDCGNITAAHTHSEAKRSLGDGGLARRAVELDPWDAAWTKMFGRSKLRR REAEDVRKRWSS MYCTH_2294099 MSATNVNTAEVTGAPKHNAWVGSAGAGGYDLRSDTMTTPTPSML AAIQSCTLLDDVFKEDPTTTDLENYCASLAGKEAGLFVLSGTMGNQVALRSLLTQPPH GVVCDYRSHIVNYEAGGVSVLTGALAKPIVPKNGVYLTLEDIKANVHLDDDEHTCPTR VISLENTLNGMIMPLDEVRRISAFAREHGIKMHCDGARLWEVVAAGAGSLVDYAACFD TVTLCFSKGLGAPVGSILLGTKDLIKQARWVRKFIGGGLRQPGVITAAARVAVDETFG KGPNGEGGKLRNTHILAKEVAKLWTDLGGKLVYPVHTNMVWLDLEDARCPEPRFEQLG KEAGLKLMGGRLVIHYQIYERRDVVVPKLASIFKAVFESKKAGADPVSRDRVGEASMY RSR MYCTH_2294100 MNLHCQLCIDLAAQVGGPLKSDEDKAKAARRAKEVVENWIENLP AEYAVEKPEMRWDDEFDWVVFQRRYLHLIAYMSLFSQLRPFLARSSAEPMSELEASLR AAGVDAALGLMEASWRLFENLVSVGAKFHYAIFCIFDAATVMCSAFIQDEARNLPQRE TILEAIKKSLGMLAEVASQSKTTSALYRILKGLLAKLPLNARELGVIGSTKRMKGERV TPSIVRAHVPTKSPTGSSSPSQGPRAEAQQNINPRHRSTSASSDSNSTPDSSNFQPHS ESSISLPESGRSIGSQSLSSSPPSAEGPPQPRQVLRSNGVASTDRHLESNAFALPTPA HPAYPVSTGSFMSPTSAMSTTVYVPSSGFPTTPYVQGDGFSFGPAGSIPYSQPGWQSA QGAMDGLGNTLNMYEDGGLSAFNSAAPEVLQYWEWQTLGFDNPVSWSQIQAQSGIRQH QAVFPDGFVGRGVANDCGASTSTEDMSR MYCTH_2294101 MDDNVRVRLETELATNVLTLANRMHAAAHKISSSIPLGKGGLVH VQQLFLTAFWFKSAEKWNESWHALGRAVHAANEIGMPPSHIS MYCTH_2141282 MDINTNSRGSHASSKPKHISRLRKEMLQHRMHQNPFSSPPSSTG SHDTVSTTTEELSRNLSDFSFSPDGEGTRRLSQELPNPSKRHASRSGRFGARQTDTVL NTSVIARTFPEWTGLLSKADVSLTKTDDMAAALEPVSASPGGKENMPPNQERADENPF GNVADAKRKRVRADLQARVENESDCSTVLSLSPGRPASASRRSRFAPTQPGAVLSPDA AKRSLQDMASKIRTEKHTTRHDATPKRSLSDAQPDHATPAHAVGLDNSVITPTSRSFF LPPLRHLPDWTSGTLKFSTMKNGVPVFVRSGKSGVRLDKHGRDHDEIHEVGISKEDEE IFVSMDKLQEEVRELHDHDAMLQREAERLQREVDLLQAELKRLKGRKLSDSAIGSESD ASFRRSGGPNEDLEREIAQLRDRLDQASRQVGVNDIHTAALTAERDEALHQASVARER ATKLQAELEATQRDLESSLHLLQEKEELQLENENLLAENQTLKNQRDSALQNNKSLTA ENDKLRRELSGVQKDLRMTREELVSVRKQYEALQEEKRQLAQDHASMERNNDNYYKEN KKLQAQVAARDQHIADLKKGISSRDKMLENIEGLTTNTAVLELNAELQAEAERLQTKT EKLAAELQRKDSELSVREGRIRSLKEENLDLSIENERLREENQRLRSEQEETHGQWVN ERLKAARHNQSRSNKAVDDDTDDCVRLDDDFKQREAALRKKLERREAAVQKVKRLSNR ISEIAEQEFTGKSAKVTRIVEPRESQTAMDDMTGKSSAVNVDDDPTRELHLTDGDSDF ASVMEGEIVKLKHTYRELQKRAQQQDLEVTDHLPPSLPPTLQRSKSDSGIPSKSAQQK AQPPGILKKSSQFEDTGRFSVKSALSVASLQTEEEAPNTVRNRRSSQGNTQDLPRPGS RLRRNSEADRDATEPNMTSAFFMPDITLDGPKQGTAKDLPQQPLPSLSRDARRVLDGI CKHNSVNCNICVRIAAHGSGGNNSFEKTTSAPAGHRTTSTAAPASNKILITPEDVRRG KKIVSAEKPIPVSDRMHQPPLSGQEDPTVRPAMPPGEALAVLIKETQDEIDHLQMELK KLNEIYFGLDKSIGMRERRRVMSEIKRLQGELEAKSGHLYRLHDVLEGQKQAGQLMEG DGVDVTVLSGLLRAELEGDGEEIGGTETGRSGEVWEGFE MYCTH_2294104 MRLIQSITTALLLLAAGVAQAASAWGFDDGSVQVAAKKGDSIKE SLSQKGPLANPISLGSADTLKLILTAKENGKGKRPHQAFLVLQERESGLEAPFPLTVK ETGKAVVQISQKDLPIQLRVATEPLKASVVLASFGSVQGLDAPVFDLKIEQDAAIPPP TYEKPLRYGKKPEIHHIFRPDPKNPPKLVSLVFGFAVIATLPALLIGWVALGGNLNHL SKAFGAAPLSHATFFGSVLAMEFVFFLYYTTWNLFQVLPVMGAVAAVTLLSGTRALGE VQSRRLAGER MYCTH_2313262 MGASGPTLDNSCDPETLTYGCVCSDGKQPNVSEYTLTLPYYVCM AWGEQCVEGCGDDNQCQSDCREKHPCGAQSPKKANTTQTSSSTTASATAAPTDQVFDG LGDGSDDSDSDSSNKGNAAGALRLGDSYGVALLAGSLFAGFALVL MYCTH_2294109 MRARDVLEAAAASTERWAQGKPLSYLDGVPFGVKDDVDVEGYVS LMGMKVNDAHKYFNTLPERSAWPVRKLKEAGAIMMGKLNQHEIGMDTTGCNPTTGTPR NWYNKSYYPGGSSSGCASAVSAGLVPIAVGSDAGGSIRIPSAFCGVYGLKPTHNRVCH MSSSVCALGPIASTAADLTIAYRFMAQPNPEDPVQSLFAVSTPPEPSAKKYLGICREW IGGTVDQDVLRILDQSLDHLVALGYEVIDIRLPFLREGQLAHSAICVTEAAADARNRA ETPSSFLDMLNYPNRVVIGTGSQTPAIDFLKYGQIRQVIMQHLAFLWEKYPGMMILSP ATPMAGWPITEGDDKYGCFDGNMSIRNMTYAWLANLSGCPAVSFPAGYVEPKQGEGML PVGLMAMGEWGAEEQLLAFARERESYLNDVYPGGRRRPEQWADPIGEARKWADLARAS RQNVAEAQEARAAALAAGKNVDKDGNIEGEEDQQKEEGEH MYCTH_2294110 MDFYSSKQAAAECVSDEALIHLKSYKYSAVDKSPISNYILRPYW NAFVELLPLWLAPNMVTLLGFMCILFNVGLMVIMVPDLEGPAPSWVYYSFALGLFMYQ TFDNVDGKQARRTGTSSGLGELFDHGIDSLNCTLASLLETAALGLGTSQSGVFTALCP CLPMFFSTWETYHTHTLYLGVINGPTEGLLLACTFMILSGYYGPGIWTEPLVKLFGPR IEALRILGLTDETLAHLSIRDFWVAFIVLSLLFTHIPFCIYHVVKARRARNLPVAPVF LEWTPMVVYTVSIGAWLYSPYSTICSENHLVLFCFIMSFVFGRMTTKMILAHLTRQPF PYWTVMLWPLVGGAVLGNLPRLGLPAVSAKVEYMYLLGYLVFAAVVYFRWAWLVTTSI CRFLGINCLTIPYEKQRLVHQQSQGILNGMPANGRKVD MYCTH_2294115 MASEHESEQHPQQSVQRAHPPEPSPNGGGSGSGSAARDGDEEPA KQTPPEQTPPEDGIAQPVASTSHERGCGPDPDPDPESNRAPLFPEDGDGGNDAASDVS IPSDDAASHEVVSPSASIPPYWTNPRLLERQQQKQQQQNGQQPRPHTATASSSSSSSS SGRLLGQHGHARTVSSASAESVLPPGAITLQDNEREEEGGGEGVNGSGGAVGNGRASV GPAGAVAGGGRRSNGGDRHGRERNRACWARSVQVTDYVLVNGSTTNIGAFVVWIIKVE TLNGSRMNIRKRYSEFDDLRRRLVQTFPGFEAAVPALPPKSVLKRFHPRFLEKRRAGL QYFLNCILLNPEFSGSPVLKDFLFS MYCTH_2294116 MRHLFTSLLLLALTIIQARPSFAYTPLSDATLRHLPRLFSSSSS SPSSSSSPSSSSSSSENYSDGFDPDFDPTHGALLAPILRPRVPGTPGHAAVQEHFAAF ARDRLHAGWAAEWHNTTSATPATGDRAVPFRNLVLRRDPPWTTAPAPAPASASASREG DVARLTLAAHYDSLYRPEGFVGAVDSAVPCALLLFVARAVDAALTRRWEAAERSGEAG LDGEEKGLQILLLDGEEAWVEWSERDSLYGSRALAEAWERTRYEAGSSFSTPLEAISL FVLLDLLGAAEPNIPSYFPKTHWAYQNLAKIEERLRKLDLLETKPRKPFLAESGKEAT RFRGFVQDDHVPFMRRGVDILHIIPSPFPSVWHTMDDDGPHLDVPTIRDWAKIMTAFV AEWMDLEGYFPDMAPSDAGDKYSEKEEL MYCTH_2294124 MADRIPRNRRDLKRERHAIAIKIEREGYEVAMPCERCWNAKPRK RCVMMEGLNKCQNCVRMGKKCSSPNVTDAYRFLVVFLCSLGLLTVLVLSNLSEQEKVS KEIAETEALLAQMLSRLSRLRRL MYCTH_2114100 MSLRPVFLITGASSGFGEAIAHEALSRGHHVIATARNAARLSAL RSAGATVFDLDVTSDDATLADIFAKAHAVHGRITHVVNCAGYLLEGAVEEASAKEVFD LFNTNVLETCNIARAAAPYLRESAGTPGQTAALATFGSLGSWWLGAAVAHYCSTKFAV SGLTEGLAEELRPFGVDVYCFEPGYTRTGFLKNGAADGGDHRIKTARRMDVYHETEAE KMRGAMDAYNGKQPGDVVKCAHVIVDVLTKEGVAKGREVQVRVVLGSDTIEAVRAKCN DTLKLVEEWEDISRSVMH MYCTH_2313270 MDTSNPATDVEHPGTASLVPPSSQDPPGQRPAGPDAERRGSQGS ISSTRSSRSHQEYLDSLTVPSKEQISRIAEKQAEREAEHKRAHRHKQHQSIDAVLAPA IPAEPQPEQSHRIPLANRFGFNFGCRPSLSDDGLRTHAATVIQRTYRGYRARREVKGL CINASTRWVHAVREAQWRELTTPHARSDLVGDGSEGPERPAAGRRSSTARQNWKKVSA IARHAGG MYCTH_2136371 MTEVPPTATSQRGSHRGRGWRGSRFRRGRQHGPRRSDPPAEGSQ PVQPAQPAQPEPAVSSREPVATASQAVDVAPRLDPQPGRGSGGRGRRGGGRRGTGQRS IVVSHRGGRGPHPVPGSGGSGPSGPGLSAAAPEFVPGQPVSISSLGPETASQVLPKST AEDLPTRIHEDIDHRQYECVICTNEVLRNSKVWSCSVCWTVTHLHCVKKWHTNQMKER RENPGPNQPEGWRCPGCNSTLHEEPGPYRCWCGKDVDPKPIAGLPPHTCGQTCSKPRA TCPHQCSLMCHAGPCPPCTLMGPTQTCFCGKNTFTKRCSETDYAKGWSCGEPCGDLLP CGEHYCTQTCHAGLCGSCEVPVWATCYCGKESREISCSQRNDILESFNYGQVTPRSTP SGEEHTSDAWFEGSFQCDNVCGRPFDCGHHTCQKPCHVQDEGAAHCPLSPDVVTHCPC GKTSLDSMPLEPRKSCQDPVPHCKKPCNKVLACGHLCPDECHIGPCAPCTQYADISCR CGRTTVRSVCHQGNVSHPLCFRICRAQLNCGRHECGEHCCPGEKAAAERRKQKRSVNE NYEPEHICLQVCGRRLKCGKHTCQQLCHKGPCMSCPEAIFDEISCACGRTVLQPPQPC GTRPPECRFLCTRPRPCGHPSVEHQCHPDDASCPRCPFLMEKPCICGKKVLKNQPCWF EEGRCGLPCGKKLKCGIHECGKSCHKPGECEDAGISGSHCSQPCGKVRKSCEHTCADQ CHAPYPCMEDKPCQSKTFITCPCQHRKQEVRCQATLLNPFPTKESTLKCDDECLRLQR NRKLAEALNIDPATHTDDHIPYSDTTLRRFRENVSWAQEQERQLRLFAADPEEKRIRF KPMPSHQRAFIHALAEDFGLETESQDPEPHRHVCVFKTPRFVSAPQKTLAQCIRIANT AAKLGTGTSTVKSAQATPAQKPQPPSFNALLLKDPRFGLTIDELEAALSPELAAASRF GPALSFTTSFLPSTDEIVIKATPNLTAAAVATSLASTPQAVEAVLNKLKSEAAKKVVS QQRLVGTVALCHVDASGAITRREKDTGAANSGGWSAVASRGSWRKIASKAPAEATAAE QRAPSAFVALRRLEARKKKEATAPVEEDWLAAVEKEKEEQGGEAGSSNGSEIVDTAGD GTWREEELTQDVAHTDQRRLVPDGE MYCTH_2053555 MEPVPETERMEEFRSSPLPSLRLSPTTALGAHEPDILRKPPTVR RSTDPPSPPPISPSWMPPALPYRPRASSPLSSSHVRSRSAASLAPPMARTQSMPSTNA TGHLLYSPHIRPQSPSGTPSRIRLPRKPVDETFPSSPTRISIFDHERKTPEGSSSPNL AMGITPLASVPKLRRPSSPLCQVSHAPTTPSSLATSPSHRPYDSLSGGNYGYSGTYPS SSVPSTPTSARSRSPSISSLETIPDSPDAEEAALEAERIAQLKAAADAADAGDTKGRS SLDVPIRGRTLSGFSSRDKRKRWSVCGAERRQDLDLETIWED MYCTH_2294137 MMTSTSPPTVPEKKDQNPDSGAAPRPGPSGAIPNLRDRIPKLEP RRRKAEPANPMPVPETPPAPPRPDPATLNFTVPTRRILSQSDHQLFLSSPTYTLILSF IFGLSDSVVDRPISSVKDADLSPTVRAILAILDEVEALCADSPPEDQGGSRFGNKAFR VFLDKVKKRAPAWHTTLLRVPHPAAVPELSAYLCHSFGNRARIDYGSGHELNFFMWLL CLHQLSLLSPAPDFPALVLRVFARYLTVMRRVQGAYYLEPAGSHGVWGLDDYQFLPFL FGASQLLHHPFLTPRAVHHELTLEEFGPEYLYLGQVAFVNSTKTVKGLRWHSPMLDDI SGAKNWAKIEAGMRRMFVTEVLGKLPVMQHFLFGSLIPAAEGMSEPPPPPPRRSSKEG GAGEEGAEEEDGDEEEGGEVEVFDDQNGVRHVHQPSGWGDCCGIRVPSSIAAAAEMKK KGAGEQLRRIPFD MYCTH_2294138 MTATTAPEAALGVLPRSDGSAKYSHAGYTVTASVNGPIEAQRRD EHAYEAHVDVVVRPAAGVGGTRERHLESLLQSSLTQLILVKNFPRSLIQIVLQIEDSP ENDHVNTKLVQASLNFAIMPALVQAAILALLSAGVPMRATATATAVAIVRQDGGKRTI VDPSPREVETAESVHVLGFTSHDGLLLAESEGDFTVSEWDQVYEIARAICCRSAPAKE GMAMVLDDEQTSGPDMRQFLRSAMESKVAADLHWK MYCTH_2294143 MSGSIHPVPGIPADIPHPLRQERYALDGVNKPSSAISETSLAPN GFLPLTLAPPDNGDPSSSSSPADLDDDATVTATSSSAADAAAAPPAAPPATITTTTTE NRDDGFVAAPQSTLPITGALVPPDSDFSQQQQQKQQQQQQQYSPYSSRPGSAATACPA PARGHRKNVPSTESVPRQTILKALASVARNNKPQALSLTGMLSAHSASNGADDRPESA SSQQLCDALNGLAKAQNARSISAVTPTAAFPALQSPCFYHNGFDDAVNLDKVLEEIKD DESMSHSRLVQTARSVREVSKQLQRRPFKRAFKNVMIVTKARDNQLVLLTRELAMFLL LTPRYGSDVGVNVYVDAKLRHSKRFDARGITDLDPRFKEMLKYWTPDLCWSQPETFDL VLTLGGDGTVLYTSWLFQRIVPPVLSFSLGSLGFLTKFEFEQYKDHLNRVMGDEGIRV NFRMRFTCTVYRDGKGQEVEEGEQFEVLNELVIDRGPSPYVSNLELYGDDELLTVVQA DGCIFSTPTGSTAYSLSAGGSLVHPDIPAILLTPICPHTLSFRPMVLSDTMCLRVSVP RNSRATAYCAFDGKGRIELKQGDHVTITASQYPFPTVLRTPTEWFDSVSRTLRWNVRA ATQKGFDLGGGNKGGKADGNNGESADGSEDDEGWDIDTDSACYASEENSTAASPLRRQ MSMLGL MYCTH_2294145 MASESSPQSSSQASPQASPQASSLASSQSSSQASPPPAASPAGS LQSSATTPGASQQSLQTNSDSVSPSATREDRPKSQHPKGKRNRTSPADKAVLMQAYKQ NPQPSQDEIAEITSRVSMSKQAVRIWFQNRRQNDRRKARPLSPQLIETITQGRISVSR FAADPAGNNPCNPESFPADSKGLSPPELRAGSSGLPDSTGCRSDVGPGGASQARPEGG SFTSQGRELVTSADPAPPVPSWSTSGTPGYLANRRNAASAPSFASNPSHHEPLKSQPL PSPSSQPNPSCTGPDASAWKPSSQPSQIRLSMSITGQAEIVEAVDSPPRPIPPPTPVE PVPWPDLSKSSVPRRSSLSAYSSRRVPRLLRGRSSDVHVWEDCASATSQEDPLIAQAK HESSGSATAEISLLRSLSSVSANSFDQSIGSRAKRRSHLLAHTAESRHAVLKRPRLER AWSSQARIETTSALSQRHNIQRVPSYTTSKPKPMSGLDHLSLLASESDKENLSPDEDG NPRPFRRTLSSVTNPSGRRRLPSGPPSDKNGEKNPRRTLLDPQQHRPSASLSRSATSP VSSSSWAAYSKQFQTGGSRQRRSPVKPDVPLIYEDDNDDRDEGGDADEVQRFMAAAAA EISPSKKKEVDAAANLIALKFAR MYCTH_2050335 GACEPALNASFLQVKKEGANKGRWFYTCQKPREEQCRFFLWEDA ARAREKSARNEPSVFNRPRTRRPASSGGTPQWDIASTVTSSGPLYSPASSIPFTPGPR QRIFRGVPRAGAASWSSDEDEDEDGDDSGADDDRRAGTTTPTPKRKRSDVDDAAAPDA PSPSRNAATDLSDLDAEMADELVEVTNQAERLHRPRLAQPPHHRSQISGPHTTPSRAG LGRGDLSTPESGNSFASVTSGGPDAKRLRTARGVPVTPTPARTRNALAGAAAQRQSTL SSPATATTTTTTATTPTATTPTAALSPPGNSHEGDAEITTTVLSLLRAEPVSAAARRA VREELNRHARRARGVEQARDSLRQTLRARETALAELRARVAQLENERRMRREVLRSDL LALSQEDLLLSSSLEGEGEGDGEGEGEGQGQGSGLVRVRARALTSGRSGGVTSDL MYCTH_2294147 MDQADIPALLARLASDEDAARKMAVFKLQSSINDPAFADVFISS GGLAILRRLIMVTGGNTLAYSLQSLTRLLEVDMGWDIFEGPGAGELVERIVELIVKNP LVNILRGAMSILVALVGRSQSSSTSSASPPPPPPPAGAPGGENGNGAGRPPPPRAPPP GTFGFRALKPAVAVYPHFFELVIQQLQSADHALCANALMLINALIRDAVSGDGSSNNG TGEEWSKFIKRLQDLGLIRAVYNLLQSSALQDLALPLLEFQSLTKVLLRKWREVRVDL ERPEHRRALKGLHLASAPDRRHVNGVAAGLAAEEGDASRKGSRKHNPEKWRRLGFETE SPAAEFETAGFLGMMDLTDYVRKNEDGFQKLLLEQSTRPLSERCPVAKASLAVTMILY DHFEVDKSDNEDIRVYLTLGDAKSHDKLFRPLLLQWSRLHTAGLHAFFRLWKSTGARR DDFDKVAELVRVLVEQVVGQASRTKDVLEVEDELHEYDAGRLREIQMELLELSFDDQW GPHLVQVREELKHEALQFVKEQRIRCLLQGSWFTKPMPHVRQESQTKRPLYQPWRFAK LSHNRRYLHYADFPEKLPYDPGLDALTEKIDLGTISSVVSNVSANPEADCAGSAAATE ADGDNQSHNSSTTTFRHHHAAARPTTKITIYSFVEDIMAPPSSNTPHSLDNNTTATST PAPNRNSNSNSTGTGTGEPREQPVLTLYPPNHSLASEWLDGLLMLLNQAPITAETNKL VTLISEYGLKIRLLNVRLEHMYAGPPPQGSGVGVVPSREGLDEDYYYDI MYCTH_2294148 MATTAAANELKQQGAIEASRDPNSNVTAEDAERRIVEDSMGAGV TAFTFDPDASPEEKKAQAREAIPEGFHHRPKGVAIVSDIDGGTTADVDLPSPSKAGAV EVVKDESGKLVADGQAIEEEFDWSKAGWAPRFGWPDEPADEGEDMLDHSTWVESQLSE KFFGDWYHNAAVIAFACLSSWLVAVLGGGLAWVFIIMAICATYYRTSIRRVRRNFRDD ITRELSLKKLETDNESVEWINSFLVKFWPIYQPVLAQTVINTVDQVLSNATPAFLDSL KLKSFTLGSKPPRMEHVKTYPKAEDDVVIMDWMFSFTPNDTADMTARQIKNKVNPKVI LEIRVGKSMVSKGLDVIVEDMAFTGLMRLKIKLQIPFPHVEKIEMSFLERPTIDYVCK PLGGETFGFDINFIPGLEKFIMEQIHGTLAPMMYAPNVFPIEVAKMLAGTPVDQAIGV IAITLHGAQGLKNTDKFAGTPDPYAVVSLNKRQPLAQTKVVKENANPRWNETHYVIIT SFNDSLDIEVFDYNDIRKDKKLGSASFALENVEEVYDHENERLELKHDGKARGVVLAD IRFFPVLEPREGEDGAAEPAPESNQGILRFTVEQAKELDGSKSLVGLLNPYAMLLLNG KEVHTTKKLKRTNNPIWDNGSKEILITDKKNAKLGVAIKDDRDIAGDQLVGTYQIKLE DMLELMAKGREWYNLAGVKTGRVKMMAQWRPVAIAGIATGTGGYRTPIGVLRVHFKYA RQLRNVEALGKSDPYARIVSAGIERGRTVTFKNNLDPDWDEVLYIPLQSPKGRMQLEV MDAENVGKDRSLGLTEIDKADYVIQGENGEWLVHDEKVEHHDGLRMHGKGTAKGVLTY TVAFYPTLNVADPEEEEEKEKEKEKGDEKQQEQENGQEKKEAGSDKDKSSLSRPSLDA RASVRQSLDVRSNDGKELSAPATPTSPTSVISPRRSRDEREPPKVYLTPEELLRHDCG VVIFRLMEAELPRSQCRIEVYVDDMAFPSYVSSTAKSRNNKFDEIGDCFIRELEFSKL TIVVTEKGDKHDDAHEKRALARLSGNTLDTLKQCLNNPTVLKMRGEDGEMYSVRVSLK YVPVRMQLDPSESINNMGNLRVDVLDAQDLPAADSNGKSDPFVRFELNGQEVFKTKTQ KKTLNPTWGEVFNVSIPSRTAAKFRATVWDWDFADKPDYLGGVDINLAQLESFRAQEF RYVLDGKSGVLRVRMLFTPDYVTRTRQGTSTLAGTFSVPTRIVTGVAGVPIKGGVAVA GAVGHGVGKGASFLFRGIRGKKDDDSGSESDRNSVDVPRIVTSGGADETSNGAAADGS VSPGRHSRTKSVGASSIHSAIVPGAASGTASFTIISASGFPPSADVYVVVTQLRDGKS KQVGKTKHRKSSSGTVKFDETFRIQCTPDAQFKVEAKEHHTFGSDDALGETLYFVDDS NTGMERTLAVGSGTVVIKSSFTPSEDGGGSRLIPDSPKSTKSIAGGVRRSILNKRELR LPSRDGAPPS MYCTH_75536 MTENEPPALAAHDDGRGTRSRRIMGKLFGRDRDRKAARDDGDLD DFLHGSTDKLQAAHPGPPALTKIDTRTASRYPNAHEVGTVAAEPQRAEYPVRPRDPAK RAKKDVAVHFTNEEPEVIGDGGDECDVPTIEVSKRKKLRPKPSPSAAPSQPASFGGSP QNPFADPSDHTAAIEAQLRRGLRRTQTGLPADPDAPGSGLSPGPNSGGRFLGAPAGPH DERRKSFIEIQQAQMREAEGRAFANAVRAASGDRSRQQHQRMNSSSASLDQEPESPVT PSPDSMQPSQLQSPATFTKQPPPIPAKAQRPQLPPMQPPPPPEPRDSPPSQNAYTPTS QHSDSPELVKRAQKVENSPATLYSAASSSFHHPFASSRQGTKIAERDYASPTQQQAAA AAAATSLQDVVAAASEDAMNAFVTRTRHLFELFRLHAESVRPLVSSTPQQLARASLWW FLTGRTALEVAIRDRPSTPESQMKNDMAKQQAYADLAKSYWLLEEAMPEVVRSGRSPP DKEADSVRSTIGSSLRKLAGSMKRNGFLPPEEAFLPQTVDRTVWIDYPPLSQDITSLL CGSNSALAQSHATSGMTILESLPLADSATTFCFTRFKVDAFLMEQGRESRRLYLPCFL SITRPQTQPDIVFILASQNGSVQLRISGNKNTGPVWDEVRWHNENCNFEVRLPRGFLL LVQCAQQAYSTLRSMYDFSAKVHSSLYPRQDESCIFRSTLRAFQYIDNDPQSRQFPKE STPNCEVALFERLFREGAATGPRTYHKGYRIAVVTGPRTKTLSGVNQVYSPQTPIRFG FLRSETNDPALSLKFDNGRFKGNMVMSFADEAERLRMHSLLIGTALDRGESVSGEAAL QGVWFSERFGDARDKGLMALSTLTWNKVRVINYDNDGDNNPSCVLADRLRVVYEFRDG TLTDRINVAPGELKIRLDVQNPSCLMVFRQPQSDMTVAVTEANSSRSLSAGLAMALET LRQSPTIRTFMFPNLNDLHTFETAITGFKILFDGTASAFAISRRRMVVPIHKKWEAGA TRIQVVQQDGVSQLLAFFEDFSHGQCMGFHLKGTDVFEAFSRSGKAGLKIDDAKFPLP KTLAPDADGAQQAADAAFLCLDLPELPGEHDDISILFDSEEERDKLIACLPAPVNRPR LPKLKGMS MYCTH_2141297 MPWMLYAHDGRRALFAQKNLGKNDGTSVRSAPDHFECHRSSGEG TDPQEDDTLVVEPEQGNVLSHIISQLRPGADLSRVVLPTFILEPRSMLERITNFMCHP EMLLPIPEIDDPVQRFVAVVKFYLSGWHIRPPGVKKPLNPVLGEIFTCYWDFPDNKRA YYIAEQTSHHPPKSSYFYMVPGHNIRVDGTLKPRSKFLGNSAASMMEGTAILSILNRG SDPSKGERYILTQPNMYARGILFGKMKYELGDHSFVRCPETGLSADIEFKTKGWVSGT YNAIGGVIKNDLTGEVLYELSGLWSEEMFLKDVKTGQKEMFFNALKSKPSPPLCRPIE EQEERESRRLWAKTAQAVKERNHELATEEKTKIEEVQREEAAKRAQDGVEWHPRLFRA VKGGPGGPDEGQEDLEWIINATINGSTTEEQAAQIMAIYPIVKGQKPNQKNAIPPRAS LGESSAGHTAPQSGEADLIDLGDDGSTSQRPSQKQPRALASRSQDGPLLDFHKDMEKD LPGSIKRTDSTESDDDFVDAQG MYCTH_105575 MSTNDGSSEHVGTDPTVDNLNTPFDAGDQAYIIISAAMVLLMVP GIAFLYSGLARRKSALSLIWVVMMSFSVVVFQWYFWGYSLALSSTATNGFIGNLDKFG LRNTLGEPSPGSPLIPELLYSFYQMQFCAVTAALVIGATAERGRVVPAMIFTFFWATL VYCPLAHWVWGANGWAFKYGVLDYAGGGPVEIGSGVSALAYSWVLGRRNEKMMLNFRP HNISLITLGTILLWFGWLGFNGGSAFGANLRATMACWNSCLTAMFAAMTWCLLDFRLA RKWSLVGWCSGTISGLVAATPASGVITPWASIVLGVVSGVACNFGTKIKFMIGIDDAL DVFAEHAIGGIVGLIFNALFAADYIIGLDGVNLGATGGWLNHHYRQLYVQVAFVVAAC GYSFVMSALIAKIIDLIPGLHLRASSEAELLGMDDDQHGEFAYDYVEVRRDYLAWTPA ERDPKEDGVVVIPQHGIAGHQDLVDSARLRAPGTGSEKGQTSSSAPATPPPERDLSHP DSTDAAAKPVAVPDTISEKPPAAEATQ MYCTH_2294164 MPSSASSSSNLHEVTVFAFALKTRTTDLFALLVQEPSPRTTSGL PALQLQKHLQQRSRTWRIILPTGRGGNNIPNICFLDDETGNADKVVAVDIIGTVWILD IWNVGTQPIRWPDAYTREPQMAHGVRGWGVLVLPYSSFQPVKTVREALGLPAGEVIPV TKSESTSRLWLDVTCSLYYVKGFSPNPDNIFRQRHTRLDYARTHAAKSECQEDDFTDA WVSDSEDDEGICMTDPREAEGEGPLHSVAAGQEPDRWLTITQPVGIPDSCFHDLSGDT QMSRSIIPSFGETPPLGDNLAAHAYFNGQYSDRQRRTKHVDFAKADLPSHVVKGFCLL RTSPTDVELQPFDREAPCVECKYLITHSNHFGGAGAPWDLHPAYSERLSMLIHVPELS LVVAGSPTGRVALLTLTRTARRLHMATVRHGFRVECVLPRKEEEDKKLRPACTLIGVA MSPAPSRRSQALELRPETEASVPSASTVYRLILHYKDHTILMYDVARGKDDEALLIF MYCTH_2294165 MVRVGLESFPGAGVPFEGIRHRVFAFVDELLVIFLVHVGLIVFF KPHVGFMGHLGDEDFEVVENIGAELAQAARRVSQPGPQRRERCVSSRWFKMSKAEKQQ LGPSPKLGMLRIDTLPEASSMSLV MYCTH_43356 MLALLLTVAALGAAALFFLQLYRTESRLRTPWTPDRSSRLFAQR PLPPPVEGTFLSSPHYTLPLRTRGRDVVDARGRRFKLASVNWYGASDELMVPGGLDVR HRREIARAVRRLGFNSVRLPYSDEMVATNPPVDARLVAANPDLAGARALDVYVAVVEA LTAEGVAVVVNNHITSATWCCGADPCDAGWANDHLPAALCRVRQTEDQWIEHWETVMA RLADNPLVIGADLRNEVRGLWGTMPWARWAAAAERAGNRLLRMNPDWLIIVGGTESQN DLTGVATRPVVLDLPDRVVYSAHVYSWSGWGSWGGRYATRSYPSFVQSARKNWAYLVE GDVAPVWVGEFGAPRSPGRGDANYWNNLLRYLKTIDADFGYWALNPRKPRHNETETYA LLEDDWVTPVLDYRLKDLTELMRAGTTT MYCTH_2294168 MTTAANWVAVASTICCVFLLLSWAVLPVDKTYRHYLSISLTAGV VCMNLGFVIPLAGNPPQCYDDITPNSMSTGSICAASGFFLIFGGWAGVMWVFLRALSL HLQICWQLVVGRNFMWFAQAVGWGIPVIGVIIAFILSGVSFRFGPTCHINHKNSLADL WIPLLVFASLTIVIQFATFGYCIKVYLASLADNSASTEGSGMPSYTNSIRTMTPKQAY RRVRRVIALQWRGIAIVLIIVADVIFFSIVFVFQDNTVEAVMRDKSLAWDWVSCLVMN GGDKVPCLDEASALAVNMATTGAVLFLLGMNGIWLLLLLGRWSMVTGWRDMLISVPKR SQREFVSVDARLDDLKKDTLTPISPIQARSTTTGSPQNHEYVQMPTPDGRRTPDYFGN TARYHTPARSFSSPRPPQQQTVTWDARETYARPTSPYTQQDRYMNPLGMNRI MYCTH_2122013 MSLRRAKSLGVPDMQQQQQQQQEEEEEGETQSESGSMARLIFDF GSGAGRVIPDNAETSSNTGLDKRPQCEPTMTHDAAAAAAAAAAAAAGTEWPGFEVPTR HPEPVSNTTEFASVRV MYCTH_2294173 MLGELERVLSLSSASLADDCAVHIVADNSTKRAANHHRHSTKAI TFHNEEAGDVVSWLTRSTAATGGKCIIASAYTVYNVLTATRPDVIRTLARSDWPFALP RFQCRPVIFYQDSKLIMNFGRAALMGSEAHPRPRNLPSLSARQIEALDAIEAIARATQ LEIQTQAGDMHFINNLAILHRREGFANGQAPTEKRHLVRMRLRSAEKGWPIPRELETE WDAAFRKHGVKHWHVEPMPSYFFPMRNQPN MYCTH_2294181 MPTQSYSDEQEKDTTVAQADDGLNSGCGSQGLFPGTDSGSGWTG ARLADCFASFSMCPPFEPQSSYQPLLARTSYEYDDNGSPGLDTTVVSVQPQAQVALVT PPVISEPSSLFPCDDPALMAQYLPNYPPLQPERITGLQPATSREEMIYPIVSAAGNAD GAHCVSALRGQPQHYAPVQTGAVPVTYAGSSDASPFNGHGIVMNPPPRFHSVQCFDVV SRIENASTQNDSPVLDFFPAQRTAPVKRGPFKDQDSREKTALTRKMGSCIRCRMQRIR CNLDPENEKGPCLSCKKIASSAKVYRLNCLRLKITDVKLFKPGQVKGQEWTSRWKDSV MDDIGSWEPSQPRVIRVTEGYTGRSVELQVRQFKPQQGDKVKRSWVSKDGRRHEVQIP TYAIVNMDDAKASFDKYIRTSLGACCKHLLSSRDELLSRTYFLAIKLAQNRSTPQSER SLLVATFELWMSVRLTTKSFEIVGDDTLGMPRNIIDDKDSPLHGKIPLPPVMGAQIDS VIIHQIQPRLRRKTLEELQKMTQEKKQKTWLTTFLVTFILLHNIALITKHDADYARKH GLPTRFAREANVKEYNLGANTLLAYFHYCNKAIYPFSRECKDQDLQTLAELDDEALAF VHFVRESVAKKKEEWEKLWAHDEYEHEYYYVSQLFEHNWQPRTMA MYCTH_2294188 MELNSALIHDVIHPTAAFTQNPLVKDGSRARPEFVLWEDSQLNP KNRLDSLEFPQPALFRIDGCTGLGTQYYAVPLFLSHVPPMRLDVFIPEQAVASPVLRE LLDLNVAFHTKDATRLRRLGISRYIVRALQNWIAERGYDTYSSLVPGLPFGSRIIFEA LHFDIRKTKISVVPTYYVERQLLGVANLSESLGLAPELLPEAVDIASLSTVQELYDSV CLVRMRKRKACQGGDGLWIFKALTSNTKYLFVELRNLLLMEPHPNIISRPQYLVTKRC LFGGKTAVAGFLVPYHEHGSVRDKLPLMRIHGHLKLEQQVRWASQLASAVLHIHERGG MFYSDLRLDNVVLSATNDLVMIDFEQRGVWCEFASPEVNAIEYVRILASDEPDDANPG IPEETRGHFAARLNRILPDWDVLQASEDYSAPRPHGYSNYNIAWLALDEAEQESSMVY MLGRVLWCIFEGQSAPNKAAVWQSYRYEPDIEFPSFRLTPSGLRDLIDRCTRGRREVL SSSIVRQAGRLVLRGKESSTAEDVLRVAREWWQAEVKAAEEFLDMREERKTRGTWDGN YFGRPKLREVLAELERFQEGYDAASRPASPD MYCTH_2294189 MWDRPEEINLITLNCWGLKYVSKLRRERLAEIGRQISIASPQPH IVALQECWTQEDYRSIRRQTRFILPYGKFYHSAVLGGGLAILSRWPIEESTMYRYPLN GRPTAFWRGDWYVGKGVACAKIRYGPGPKQVVEVFNTHTHAPYEAGKPDDSYLCHRTA QSWEIAKLLRGAAERGHLVLAMGDFNMIPMSPEYQLITGLAPVSDVWRVLHPDSSVGP AYHPAEEARRRPIPTAEFNILENGATSDGPYNTWRWTKAQQKLLGPGKDPVTVPPDTP DPLGKRLDYIFASSGDVLALGGAWVVKRASVGMMMRHPELGCSLSDHFAVEATLAFHP SRQASTSPSPPPPLPEPGSNDNTVSNGGSTLGAATAAAAAGAGAGAGVGGIPKPPDEP TPPTSPEEAARKADSALHNGAYLQLHSPAPSLHNSITTATGPAATAAADATEQQHPPS SSLPPAAYDAILALIASYTARSRGQRTWRARHFFLSLLVTVACLVGVWFTPSGKTYVA FILTLVAALGLVAGTVDGLMALLFFGSELKALREFEWEVRNARKRALLEARRDEDGGG GGEAADDAGEEEW MYCTH_2294191 MAGVSCLAWAPDSNTLATGSDDKSIRLWDRVTGSPAHAVAHRET AAVDGMGGADVAASSRGPAGRGAARRAARTGVSPDGVRGGRTGKGPLLGHHNYVYCLA FSPKGNILASGSYDEAVFLWDVRAGRLMRSLPAHSDPVSGVDFCRDGTLVVSCSTDGL IRIWDTYTGQCLRTLVHEDNPAVTNVCFSPNGRFVLAFSLDSSIRLWDYVSGSVKKTY QGHTNQKYSIGGCFGVLTDRDDDLAAEDNADGEHVRQQAFITSASEDGDIVMWDVKSK EVLQRIKAAHKGVCFWVDVHGGTMVSAGQDGSIKVFRHRPRRGGHVKREDGDSDRYGA VNGHLLDGEMPDAAAADEELQRQVEAEAEAAAAAAAVSPGQHVKEEQL MYCTH_2294192 MATDTSAGFPCALTLDVPFPDARLASVALQALRVDKELSSLVRR ELSAVATEGSSDPTVLRVEYKAATNRMLRVAVNSFLDSLALVLEVQEELDVDAVEQRR RQGTSA MYCTH_2294193 MAPSARYSSNLGQIIEYIPDRLYLASYVEPPDANTVFPYPDPPP RSPSKRSQRALETQSRRKQPFYFTVDDTLLYNAFHHDFGPLHIGHLYRFALQFHEILG AEENKDRPIVFWSRADPRSRANASCLLACYMVLIQSWPPHLALAPIAQVDPPLMPFRD AGYSQADYGITVQDVVYGVWKAKEEGCCVLETFDLDEYERFERVEQGDFNWITPHFLA FASPQSTPSARTMEGTEAWEALPKTLAAVDAHPTLGQPFKNVLTHFKERNIGLVVRLN SVLYDAAYFEALGIQHVDMIFEDGTCPPLSMVRKFIRMAHEMITVKKKGIAVHCKAGL GRTGCLIGAYLIYRYGFTANEIISYMRFMRPGMVVGPQQHWLHLNQGTFREWWVEERV ERKLRKEAALAAAAAQANGNHQPSTPVRAMQKTHLGRSSSKNGTSTPPNRGGVRTPLG EIEHDQARDNSIGVQEDYLPAPTPGQPRKTQRGGVDRHHPYGRSTNAVPTVEEESVDH EIEPEVASSTRRSHAAESDEEWNLRMRSHRKASASVSPGRRERSVSHHTTTMTTTTTT TTTATATAMYQVIDNDASNDIENIGMATPKTKSYDQAQRATSASGVLAKVRGSSGSPK RAAGGSGVREAGVRKTSGRVGSVGNVSPMAATARKVSGAQ MYCTH_2051994 MSRLSLECAASTTGSQTRMQSVRANTPMPYSEHPSDTSDWEMEG DDDEEEEEEETDIVPSPTGFRYNISRLSPRARQMVKGLFNQARVKEPPQISLELCGIR EEDPEGNGFFYAFQMHEVVPCSVRIGSRRSGEFSTPRCECPDARYQGARPCKHLVWLF DRISKQALFDHDPDSELMLTEFGYPEELGDPFDQISQIGLDILADDLRCDASEPDSDV IAPNPARVREAREMVAAVAGIQPGELDEYRPDLEDSYSRDALIRRGDLGATLFSLILA SHSLAEWVRSELNPSDPAVDPFRSIQHRVMHIIRQLDACSSTGGAPEEVAEKGWWRRA DGPRNADWACVQIQRSVKKIEKLVSRGPSPLTESARSSAARSLVVILKAVVSHRDLYA PLIGDRDTGFVHSALDMLADQSQFIDALEEIMDRVGVRGAPPSYVANMRRLIERMRSH CTTEQHVDEASGLRGVRRSETPPLLPPDQQPPPPGISGNASVPFLTPVRAASTIRGRG RGGGGSGSGSGSSQIGGGGRGRGGKGGRGAKRTVSPSSPPLDGPEIAAKRARGS MYCTH_2294197 MPPKAGRFVARALGIKLQENDPFKELERPGASMLSNETGHTFVE EPPNVLEYLNDLIPSGKEVYDYILSLFPFLSWIGRYNLQWFIGDLVAGITIGAVVVPQ GMAYAKLANLDVQFGLYSSFMGVLIYWFFATSKDITIGPVAVMSQLTGGIVADLAVTL PDVPGHVIASALAILAGAIVLFIGLIRCGWIVDVISLTALSAFMTGSAINILVGQIPT MMGITGFSTREAPYIVFIHTLQGLPRTTLDAAMGLTALTMLYLLRAACSYSAKRWPQH QRLFFFLSTLRTVFVILLYTMISWLVNRGLPEDEVKFKILLHVPRGFQNAAVPVLNKR IASNLAGYLPATVIVLLIEHIAISKSFGRVNNYTINPSQEMVAIGVTNMLGPFLGGYA ATGSFSRTAIKSKAGVRTPFAGVITAVVVLLAIYALPAVFYYIPNASLAAVIIHAVGD LITPPNTVYHFWLVSPLEVFIFFVGVIVTVFSTIENGIYCTVCLSAAMLLYRILRSKG RFLGRVRVASMLGDHVVGGDTQRGAEYGTFTGTPEAPFRNVFLPITHADGSNPEVELD NPYPGIFIYRFSEGFNYTNASHSLSYMTDYIFAHTRRTNLASFERPGDRPWNNPGPSR RELKAAAAAAAAGTNAVADNVDPKLPTLKAIILDFSSVNHVDITSVQQLIDVRNQLDR YAAPDTVDWHIACISNRWTKRALAAAGFGYPTMRPDVPHLRWKSIFSVAEIGGAQSAA AAAEFEDNEKELESSNRLETLHSHSHASAHHHHPTTADDLEAALSKKATVPEGADEEE PADAVGAVNLASRRTPALNAGRTVAVHGINRPLFHVDLTSALQSAIANVEARREYSSD SGAGGKNGGAAAAAASAKADSDMVTTSGGSGTSSPR MYCTH_2294200 MTAATATRRSALSKRSLAADAMSLDRLLREGPPDGDVEGALESA RLKILDQGIKSDSDGMSSLRIYVWLILLNAPMLETDAYLALIHRGASPAYSKIRNDTF RTLSTDPLFRRRVSEASLIRLLNAFAWTLHDAREVRTRDPSVASSTRRSLDQSSSRPG TGYGSDYGSPASSPAAKRARALTLTTEGSVASVTAEPGTYVQGMNVLAAPFLYAARSE AEAFVAFHQLLTKECPGYIRGAMDGVHKGLALVDKVLAIVDPKLSQHLLSKNLSAEIY AFPSVLTLCACTPPLPEVLRLWDFLFAYGPHLNILCIVAQLIMIRSKIMESPRYVNPP VTFFLC MYCTH_2294202 MDGEEGLLLKLDLLLTDLERRLEYWENYGELSLDSSISAAFDTL KAVRTRCSQVSEEFMGAGRRKLQVVVDTLESGYHEALAAAGSLNEKAKVSIELLDGML QEMEDQAARFREKGLTNAAETLMDEAHRVVDEGIERAKRAAESLEDHIQRAIARAREH GLLRYEDLPVPWRTNPHIIRGYRFSETKLACVRSVFSFSNELVNIWSHTIGLVLVLAV AFYFYPTSTNFSLSSKADVFIAAVFFFAACQCLVCSVIWHTMNSVADVSLISMFACVD YTGISLLIAASIMTTEYTAFYCEPVSRWVYMVTTALLGVGGVILPWHPRFNGADMAWA RVAFYVALSATGFLPILQLSLTRSPGAVLEFYTPIAKSLAVYFLGALVYASKVPERWC PGMFDYVGGSHNLWHVAVLGGILFHYTAMQDFFSHAFRLAKDGCAAY MYCTH_86520 MTVDAHITDPALASVVETSRAAREQAQALVDLIAQATAANPDGA IPADALTEISRQQKLLNTNIAHLRGLHRAAHFRARETKSRTAEARHEVDVLHLQLQNL YYEQRHLEGEIAACEGFDHTYRLLPLIPVEEFLAQHPEHADADENALMVARINHERAE REALEQQRLELQKRKQKLIAENKKRRDDLANLDRDLEKFIDAAKPIQKMFEKVV MYCTH_2294206 MHVAHPDVATTTLYSAPIIFDRNAHDVPAIVRVADILWSAAGMS GLYEGSSVAPPTSVYSVPLELVDRVGGWDCDSEAIGEDLHMYLKCFFALNGNLTVRTV LSPVSQTNVTGGGRGKGVPGIVADVRARYKQALRHMWGALDTGYALRKVVEVWRERKH TSRAFRPLHTSMNDESDNYVPQSQIDGVDAEAVPESGIFSDVVTDTLKEPDWERIAIL FHRLFEAHFLPVQMTILVIASTLYMWAADGTEDVHGVAWIYSVCNILRTLGFMEVALY LFLYESFHRICVETREKEMGNAGLLKGMHFSRRKAKTNFIDYVMVPLVAPIFGSIPCA QAQLCHFWTLDLVYTVSKKVTRRRAKSITADALV MYCTH_2049865 MKGTDSRETIRIGVFIPTECQLLDMACIDVFGTMSHEYFALLGD MVPAPIANLAPSVQIFYISTVQPGELIGMTSSARIACTHHLSDPAVQPGRLDIVLVPG PDPTTDFSKLTEATDWLAAHGARPETDILCVCTGIYLCGAAGLLNGKRASGPRGLQRD LATKFEAVQWVGEELRWVRDGNLWTSGTYLTLVARVRVPSRRLSCTKEKKKKEKREER RKEK MYCTH_2294210 MSPLLPVAVYGLEVPPGGVMVPAEIEFPATIRITMAAIDPTAAP ETDDQGNVPSVPRSTLKIHKANVDENDEEGGDYLDSLLGKDDEDDESDEDDEEANGGP SDPSKSKKARRDAAIKKLLEATKEDSDDEMEDADSKPNGVKNKNKNKGKGKASDEDEE QSDDEEDDGEDLNLEEYVVCTLDTERTYQQPIDITVGEGEKIFFSVKGTHTVYLTGNY VVPENEEEDDEEDDEEYSDDEYDLPPGIEDEDSDEMSDELDHIDGAARVEEVDTDEEE APKLVEAKKGKKRAAEEEADGLDDMMAKDEKKLSKKQQKKLKNNQGEAVAAESKAKDS PAAKGDKKVQFAKELEQGPTGPAKDRAEGKGDKAENKGDKKGLGVKVVQGVTIDDRKI GTGRTVKNGDKVGMRYIGKLQNGKVFDANKKGAPFTFKVGKGEVIKGWDIGIQGMAIG GERRLTIPPHLAYGSRALPGIPPNSTLIFDVKLLEIK MYCTH_61755 MRRQMLETELFNRARELREQQQSEGSPRSSTRGSGGNKGTEARA AAEARARFCRREAEHLREVRAMKAGAIRLLGSGGLAVAAGRYETVKVLGKGSFGVVKL VRERGREGRVYAMKVIRKSKMLKTNQEGHLRAERDLLVASEGSRIVPLVASFQDLSNL YLVMEYMPGGDFLSLLIRENVLHESVARFYVAEIVLCVEAAHSLKCIHRDIKPDNFLI SASGHLKISDFGLAFDGHWSHDTTYYNSHRHDKKDLYDGEPLLNWRNRCGTRHSARSV VGTSQYMAPEVIEGRRYDARCDWWSVGIILFECIYGHTPFLSEAGRQQTKENILRHHE TFGFPPRPTVSRRCQHLMLSLITDKEYRGSKMRDLAGRYVFPYDAEDIKAHKWFRHIP WERLHELEPPLVPQLRSVDDTHYFED MYCTH_2294213 METPMSTQEQQLCQLLLELPARHRYRYTDEAARELLTALFWCMA GGKSEYMNLFFPAGGPLRNGTLKLREAQGAVEGAEYTEAARGKACGHIFKQGEASYAC RTCSADDTCCLCSRCFDATDHTGHMVRISISPGNSGCCDCGDPEAWNRPMFCTIHSMW EGEGKGKGKEAPALPEDLVANIRMTIGRVFDYLCDVISCSPEQLRQTKTVESIEQDER MSRLSSTYCGGDTESPGEYAVLLWNDEKHTITDVQHQVARACSTTMANGLAKAYETDG IGRSILMYDKSIEKLIEVANTLERIRVTVTIRSARDTFREEMCGTMIEWLRDISGCSV GEDSNILRQIVCEEMLKPWRRGSPATHAIVGKGGIDDEELLDQEDREAHEDFYIQQVR ILMQARRAARAEAAADDASEDDEEEDEEDGRHTPGSDMEDDGEDEEDVMMLDSREDGD VPMQDWRQDTALEEDEATVAGYPPPPPPPPPAARRTARERELTPSDSDTAEPLIAPTV YAKAHLEIPKTPGQPGAHTLPPPKPGSYWLATPQGYVEQENIPVAEDLFERVRLDWMI LFDLRMWKKVRNDLRSLYISTVVTIPEFKRVLGLRFAGLYTTLAQLYLIGDREPDHSI INISLQMLTTPSITAEIVERGNFLTSLMAILYTFLTSRQVGHPWDVASNAVLSFDTGS VTNRRMYHFFLDLKYLFGSPHVQDRLRTEERYMMQFLDLVKLHQGICPNVRAVGEHVE YEADGWISASLVTREINRLCRQFAESFRNVKEQDSLYVSRAIRLAAKTVIINSIGAER ARFSQSEIKDEVRFKTLDNFEFEAPSRTFRVVKFVVEEEPISFHHALHYTLSWLIECG KNMPVEQLRALLSFTTQELMMKPRSMGKKMMPRHDYGPEDYLMAAFDFPLRVCAWLAQ MKAGMWVRNGLSLRHQAGTYRGVGQRDVSHQRDIFLLQTALVVCDPSRVLASIVDRFG MEKWVKGFFEQKSKAQDDIQHLDVVEDMIHLLIVLLSDRTLLIPTDDTQDPPHIASIR RDITHVLCFKPLSFSDICLKLPDKFQEQEDFHKVLDEMTTFKPPEGLTDVGTFELKPE YIEDVDPYIAHYTKNQREEAELAWRKCVAKKTGKSVEDVVYEPRLRPITSGVFAGLSE FTRTGMFAQIIHYSLLYTLVYPRLTPQVPSTRVETFLQVVLHLILIAIAEDNTEEEDQ PQPSFVATALTQQALSVVPEAPQARTIVALMNLIASKDEFKACHPRVATILRRMQQKR PKAFESTCERLGLSVDRMGTASPATNSADEERERKKKAALDRQARVMAQFQAQQKSFM ENQGDINWGEMDDLEDDDVPPMEEHRDFWKYPSGTCILCQEDTDERRLYGTFAYFTES NILRQTDFQDPDFVREAFNTPENLDRSAESIRPFGVASENRKKVRKVNQQGQIFETER QVIGKGFPAKLSRLGPVSIGCGHIMHYHCFEAYFEATVRRHNQQIARHAPEKISRLEF VCPLCKALGNAFLPIIWKGQEESYPGPLVPSTPFSSFLDSQLHSAYYTLGAARPPDRI QNEFAAYTSRHLINSLAEKSAQLLDDAWVDVGAQSISTGTPFSETFSMMSAPDQGGRG ASADANTPMRELVNVYRRLRDTLRKNGIVSRHQFEPPELGANELYGSDVLARSVGFSI SAVEIQQRGVEAEYGMTFLEKIPEQVLTQLRILSETVTSYIAVGGLRESGENKIDTEY RRDSERQHCQLFIAQYLGEETEHTRFPANSYPPLLSQDPFIFLCECVFGVIPAQGFEI AHMVRLCYLAEITKVAYHLARNMPAPLWFQFVTRREEMDPPTAAFVSFCEALYEIDVK CASEPVPTVDRPEWENKAFEQPGIDTWESWYILLRKYALTFLRKCVILLHVKFGVNFN SRVSPNPEQRELERLTEALRVPSLNEMLTALTPALGVEHGWPADTPRLVAGWVKHQAM WPYSGDDERLPQSAVLSHPGIFELVGLPKNYDALIEECTRRKCPTKGKDLTEPAICLF CGEIFCSQAVCCAREDRIGPDRISQIGGAQQHMLRCQKNIGLFINIRKCCVFYLSRRS GSFSNAPYIDKYGEVDNGLRYGRQLFLHQKRYDSMLRNLWLSHGIPSFIARKLEADIN TGGWETI MYCTH_2294215 MASAIYNALFRRNFTMLGVVFAGAFAFQLGYDGLMNKIWDNHNR GRQWKDIRHKYIEGGDDE MYCTH_2294216 MSTRPTASGIVTDEVSGERIIPASKRADGSTRKAIKIRPGYRPP EDVEVYRNQAAETYRNRGKGPVPGAEGLKDDKPAAQSSSAAASKNAKRREARKRAKAA EEVQGHSSPATEPKKEEVDPEAEKEKKVRNLKKKLRQAKELKEKKETGQSLLPEQIAK VIKINELIRELDALGFDADGEPKKKAEDTAADTEAKEAAD MYCTH_2131445 MSQNTQLPASQPQYPLLSVLNPASATPLPTTAQTAAATTTTTTT PTSPSAPNTPPVPSVLGLDALFNNPVFAGGIGLAGLGAAAAFGRRALISGAALLRRQL LVNIEISKRDPSYNWVLAWLAQPRDNSGFLAQRLTRLRNLSVTTSTRSLSPRGVPDES GGGGGGGGKIHADFRVQPGFGRHIVRHAPGVYIAVNREKAGTATTATGEPHETLTLTL LWRHRHVLADVFTQAHALAQSFQQGKTVVYTARKMEWAVLGKPRLKRPLGSVILDEGV KERLVDDVKEFLGAQQWGYLLYGPPGTGKTSFIQALAGELDYSVAMINLSEIGMTDDL LAQLLTQLPEKSILVLEDVDAAMVNRRQRDPDGYSGRTVTASGLLNALDGLAAGEDRI AFLTTNHIDRLDPALIRPGRVDVMVRIGEATRYQAAQMWDRYYGDIDKDHSGRERFLK RLDELGLFGGDSQNPDVPKRHTSTAAIQGLFQFNKGDMEGAINMAEGLIPRTFEPDHS ASEGSIKAPA MYCTH_2294218 MNRFTVGRLLAPDLVRPLCRIICPAATTTTNTTVPQWTRLFSQS HAARNVSPTPPQQPTQQQTQQQGGAAAMNRLVQQVMRGTLRPGEPGPESLTDTLDASE EENNPEPYHFHIYSHKHNTHVTVTKPNRDAIISLSCGNLGFRKSGRKHYDSAYQLGAY VIDKMHQKGLVKSIHKLEVVLRGFGPGREAVVKVLMGNEGRLLRPKIVRVSDATRLKF GGTRSKKPRRLG MYCTH_2294220 MNSARQFQRFAPGASLRASALRSSRYTPTSHYRFLSSSRRAPLS VSESATCSASVLIQRQPLLRSALPTSAIRLDRPSQTDARSFHVSARLKQQEPADKEGK ARAEAKEGEQTKDSKTKEDTKEEKASEGEEEAGRKKKEKKDDLPPPPPHGDKTPWQVF LDTMQKEFQQSKEWNESTKALASSANEFVESESVRKARQAYEATSGAVTSTAAKVVKT TAGAVGKGASWTWETPVMKGVRKGASKTGEVLDKATKPIRETEAYKNVKNVIDDGSSS RYGGWVDKEERRRLRELREQMNKKNGANNPVYQEDPNAGTNLTVHKDAAWKEAWREFR DQNKFVQSLFGMKSIYQESDNPLISTARSITDKVAGFFAENETAMVIKKLRSMDPSFK LEPFLQELREYILPEVLDAYVKGDTETLKLWLSEAQYSVYEALTKQYLQAGLKSDGKI LDIRGVDILRARMLDPGEIPVFIVTCRTQEVHVYRNAKTNQLAAGMEDKVQLVTYAIG ITRIAEDVNNPETRGWRLIEMQKSGRDYI MYCTH_2122038 MPPKRSKPQHQKSKRIRVARPETGPPAESQSPTAKSEADSPVYF WRISDPAGYLSQWYPCAFSDDKDPSIIYPTAEHYMMYQKAVLFSDLDVGAEILATPDP REVKALGRKVSNFSDAVWNARREGIVRRGNLLKFTRPVDPEDGWWMVHLDGEGGESVS IRELLLRTGDREIVEASPLDRIWGIGFSAAKAESMRKRWGLNLLGKALMAVREEL MYCTH_2294223 MAYPYDADAASGLVRPPLRQVLAGLHYAYPTVVFVYYMATSTVA VCTLQTRSSDPAHPRRRPITWLLVSVIATYFAQLLALGIQGAVRHVFPFADQDIIIGL MSCALVFGVVVAGLSDAANPVWYPYIGSFAIALVLEPVLAILSLMVRPAGSLRFIDFF DISAVAVRYFAVLLAVVLYFAGSWDHRKEKGTDSERQSLLKSNGHASHDSDSDGQSDG TRQNGYGSNSDSSADGNLSSDTDEDDNPYERRQRQASEQMEKRLKEKGNWVTYAKSFM IFFPYIWPVNRRSLQVRVFLVGLCLLAMNFINLLIPRQLGIIMDALAGTNGRNPWNEV LLFAGLKLVASEAGLTLLRQWLWIPVEYYSFDAISTAAYSHVLNLSSDFHDSKSSSDI MMAISCGQSISNILESICFRAVPMLIDMVVAFVYLSATFGPYEGFITIATATTFMYIA TRMISALKTARRNEVGAWYKEHYVRQAGIQGWSTVASFNQIGHEEDRYSVAVKDRVNK TQKVYFGYVLAYALQFLVLLSGLLAGAFLAVYQVTHDEATPGDFIMLLTYWAQLVAPL TFFAGLGKNISRDLLQAEQLLEILQTKPTVLSKEGAPPLHFNSGEVRFDHVYFSYDNK KEILKDINFTATPGMTVAFVGATGAGKSTILKLLDRFYDVSKGSIKIDGQDIRDVDLY SLRAQIGVVPQAPILFDDTIMNNVRYAKLTATDEEVYEACKAASIHEQILTFSDGKIC SPHLVG MYCTH_2294224 MNADRIIVVTGGEIVEQGSHEELIRADGKYAELWSKQIFVKPRD KELCEDGKPATRGRKTPNIVNDLSAEATSSELAKVKSNPTTSGKPNGQVNGHADGSGS TAKGTTADNPTTPGPKKEV MYCTH_2294226 MTDPSATKSFLEQTVSLMSSAAGYMRLPAIASTGIAAVLTALLY FKQKALIYPSNVPPNARTDVPRPSQYNFRDYEELIIPTNDGEKLSAFYIRGPRGGPNS KLTVLMFHGNAGNIGHRLPIARMLIAASGCNVFMLEYRGYGISTGEPDEAGLNIDAQT ALDYLRDRAETRDHKIVVYGQSLGGAVAIRLVAKNQSTANISGLILENTFLSMRKLIP SIMPPAKYLAYLCHQVWPSDSLIPSIKVPTLFLSGLQDEIIPPIHMKRLHDLSRAPIK VWKPLPGGDHNSSVVEEGYFEAIAEFLERLMDEEKKEKE MYCTH_2294230 MVYFPGTEYRSHTSCISEAQKYQGALYRDKKNKGQPAQATHQNQ SNQSNQSSSNTNPNAMVQAAYVEDVAEDRDSWRNYEQRSDDDNHCIADPPPEAPTPPS ALETAQAQANVNVFDFLVTNPTPTASHVSLPATAPAQLSEDTQLVRFDPEANGMAETP GDANAMVQYGTGPVPSKFETPAPKAARKKVKDGDRDAKKDKKRKRLHIETDHVMTDAP PVLHSGLTGGLNRLMSRPTVFPPSPDYSGGDVAETPASPLKKSKSKHHKSSRTGESLG NSLMAMIAAGSKPKSSSKKRTKSKSSSTPSKKKKGSSKSLEAPKEPKLLEFRPVAKDS KDEGGTMVVYKPPAEHFLSFVTKGPESERGCSVNKALKRYHRERSASGTSVSKLVKEK ELWKSLRMRRNDRGEIVLFSLDS MYCTH_2294231 MATQPPPARPPPPLTSSPYPYAAAPDIIRAHQKDAYFQGVLANR LSDLHRRLRGARSAHAWAAETRTFAAALYLCLTTLLGNRTLGEEYCDLVQVEEAPSKL FASSSSKAADDHIYENGLGGGGDGGPLLPSLPRRAGYILTAIVLPHLASRALPSVRSA IRKRLQSRLATLSRRRQQTGTKSGSGRGGRGGGGGITEYRVLRYLLTHLTPLTSGAHF RAATLAVFYFTGAYYELSKWVWGLRYVFTTRAGRVVDDDHNRHHHSPQHGGGNGGRAG YEVLGVLLVVQMAVRAWLHVREQLSSGSVAGGGGEEEEDGEDGFRERTAFGPGTNVDV SLDEHAFTSNNELLGGGGGGGGSSSQRSLGEIGAMAHTPVLKAGRARYDLGTSDKVMG WIKGAQQRKCTLCLEELKDPAATQCGHVFCWACIGDWVREKPECPLCRREAMVQHILP LRAA MYCTH_2294232 MDGDPAVESGLDSFSLTFPLPYRVAFIIILAVWGWGVNLHFLYR RRIDVPALIRYPGRSSPAQITHHQSTYRLASFLSLTSAASILTFWLFTRRDPRRVIDY DWLPMTNLLVVAVLFCVPLRRFSLPHAGRGRLLQTLRRISVGGFAEAKDGKFGDIILA DVLTSYAKVLADLFVCVCMFLFGGRDGSGSATARPDRGCGGAVLVPLIMALPSAIRLR QCLIEFVRVRRAPYREATGWGGQHLANAAKYSTAFPVIVLGAMLRAREGGSPGLFRAW VAASLLNSLYSFYWDVAKDWDLTLFSKDRDLPDHPYGLRRTLLVHRPGVYYAVIVMDL VLRCTWMIKLSPRMDQFSDFESSIFLIQFLEVFRRWVWIFFRVETEWIRTSVTSLGHD DMVLLDDYQGNKYEDED MYCTH_2294233 MSPPQTVGISSSTLGRSRPNLRTQRSLPPPPPEEIARHEKRALP PLPSRRNSVLSAFSKEVDEALATPEPVVSPDDGSTIHLIFCDETLPGDAHAEISCQAD TCLDKSRHREDLRIDTELVRSVESDTPTFPHPQSKLQLHGGVSPLSDDSGDYSPGEPR TAVSDVDGDVEGYGWSLSSGVAWDYLGSSFSHRRSIRSSAIPSPLRVAKPPKPSSTPE GELSGFSTYDGHSSRLVPSPRIENERGGLWRDTASTKSTLDMNLETAKELSQGDCWAA QQAQSTKQAHVAALQVPQAKRASHASDHHRLGVKHLPPPQHRVHRQPEHSPNPRPSWS TEQLGPAWRPTTVHDTPGAVNASTFGGRLPQQQQKSVLPSPSPSSSGPRSRFSWDSDT SPHDAVGSPETGGSISISTGSNDMTGDARSNTSARHHHRRHSSGIMTKVFRRTSSSCL TTPPPPPSPQPNSISQHQQQQQQQQQQQQLPSCHFHPQHDGAQQPSRASQHRFQHPGP SPAVLKTKSSMSALVTKTADLVDAAAEFWNRSAASIMDGTLGGGKRRKRKLKSSIRVL GDGGQLLAPKSS MYCTH_2294236 MRAPPVAEEQPPVHAQVGSPEYQEEAETATHSIQSIQSINHQDS SASDTVLQPPAELIDEKANSEDSDEDEGEDLEGATDKDEGSYYWNASTMAPLNAASAA AIARLRAYKPPPFPLWDRLPLSRRAAVLMLLYADRRGDLRVVITMRAASLRSFSGHAA LPGGKADTLQETPYQIARREAWEEIGLPLDDSKLPPPFRIEHLCYLPMNLARTEIVVR PCVALLHTGDTTVINPAPATNRSSSSSSSSSLSSSTPAAARSSPTVDESLIPRLDAKE VAAVFSAPFHNFLRATDEVPPSKSGRKKLPPGPWYEGSWTTWHDSQWRMHFFYVPVTD QMVVKPRVREGGLAALSEHEADDHRDGRGGSNKDNDNNKGLERYKVWGMTARILVDAA TVAYGEEPEFEHNQHFGDEALIEKLAREGRLGEKKRTGSLLVSEDLKKVREAVQEAGE RGKETEKGKGEASKM MYCTH_2294238 MDHSSHDHQEHHLMMDQSAHSGHGDMGGGHGGDGGPMCKMNMLF TWDTTDLCVVFRQWHITSPFGLVVSLVAIVAICAGYEALREGIRRYEAVLARRVDTAP PPRYPRSPSPSPSPRYSEESATERAPFLSSLGAGQNREQIAWRAHVVKAILYGIQNFY AFMIMLIFMTYNGWVMIAVSVGAGLGYLLFGGKTAVTKETACH MYCTH_2294240 MIVGGPNARTDYHINETPEWFYQYKGAMLLKVVDEGGEFRDIVI GEGDMFLLPAGTPHNPVRFADTVGLVLEQRRPPGSLDRMRWYCPACRAVVHEAAFHCT DLGTQIKDAVERFRQDEDARRCKSCGQLADWRPEPGSVRNPNLE MYCTH_2294243 MASLKKAGKVVCIGRNYADHIAELNSARPKQPFFFLKPTTSILL PGEGPVIRPRGIDLHYEVELALILGKRLKDLNAQDEQAAMDAIESYALSIDMTARNAQ NEAKKKGLPWDISKGFDTFLPLSNVISKAAIPDPHKIELYLSVNGKVRQDDSTELMLF RIPRVLSEISKVMTLEPGDIVLTGTPKGVGPVVPGDVMRAGIRVDGKELEEAKIEVAV EESTSSYEYAET MYCTH_2050447 MESIQLAQMLADLSDLNAAESPAASALVNANKAGPTSATTESGS SADQVPARSGPRHHKRTGSGGSAASAGSAFMSRTASPAKFDKYGRRILTPPNTRTNSA YGSIPGTPRRESEPIDDDVDRANSLMALYEIRAKLRDQHNSQNLTRLREKIAALHAKQ VQAEKKDGDAGRTKFSYPKSP MYCTH_2294247 MATGVDAKLLKSTKFPPEFNQKVDMQKVNVHVMKKWIANRVTEI LGNEDDVVIELIFNLVEGARHPDIKSIQIQLTGFLDKDTPAFCKELWKLLLSAQASPQ GVPKELLEAKKLELMQEKMEADRAAEEARQRREEFERRDRGRGGRGGGGGGGGPGRGG DSWRGRDDRDRGGLGRGRSRSPPRFRERGGRGGRGEPRGGGWTRDVYVPRGSPPRQRG GGRRDGRRWRSRSRSRSRSRSRSRSRTRSVSSARSTSSRSLSRTPSVDGSRRSYTRSP TPPRRRRHGRSRSRSRTPLSRRDSHKRYRSPRGRSRSVSSDRGSPSPKRRRYSRSRSW SRGRSRSRSRDESRSLSRTPTRRYSRSVSMSSSRYSRSPSRTPPRRSRRDSRSSSRGG GGGGGGGGGGRRRRSRSRTRSRGRSASPASSYDSRRRSPRRRPRGGRGDSRRRGGEGD GSPRGGYRDRRASSASSHSSTRNRSADGSGAESRRRSSLDDPPASGAKQDARTANELR EMELKEKIKKMRSSKSGDDHVEANAA MYCTH_2294249 MAESTAAAAGNAPKAAAGPNGTTATTAATDTAASSSSAGIPFYE SQRKQLRELISRRRALEKKLAAIEEHIAVKEANYLESTPAGNIIIGFDNYVKGCNAAA AQRRKTGLTDQNKVFSRSSVSYNPAAAAAAAATDAQTPASTPAPTPMSSSFGNGNGPS GAPTPTSAAGGGRANASKKSKRNTPAAAAGAEDSETDGREAKKVRTSFGARK MYCTH_2294250 MTLTATKFTPEVMLSAPRRSPGVPNPSGTLALYTVSTYSFKDHE KTTNIRVLDINTGTATTPVDEAGASNPVWLNDDEILYVRSGDKGSSTLVVRNVTASAS SSFYEAGTIQGPIGDLKVKALADGTVALACSASATPDGNLFNPETEVKPHSTARVYTS LFVRHWDTWNSEKRSSIFYGVLDKKDGKYALRDGRLTNALAGTRLSSPVPPFGGTGDF DIGPEGLVFVAKDPDLDPAIYTKTDLYFIPLKTFAESSPPPPKIVKTPGLEGYSNSPV FSHCGRKVAFTRMRSNQYESDKPRLLLVRDIGNPDETEEFFATPDGDGAWDSRPESIA WGHDDTQLYVTAEHRARTLIFTLPSTPSRATSLPTPLPTPDGSVADFRLYAASSPSSS SKTDHLFVTTTSLIDNSCYSLTHPATATSTVISSASKQGRSFGLSRAQVDSITVPGPD YDVHALVVRPSTWVSDAATGSTTGSRRATKKYPLCMLVHGGPQGAWQDSWSTRWNPAV FAEQGYVVVCPNPTGSTGYGMALEDGIRGQWGGRPYEDLERCFDWVVANMPEVDGERA VALGASYGGFMINWIQGHPLGRKFKALVCHDGVFSTLNQWATEELFFPIHDFEGTLYE NREGYEKWDPARFINEWATPQLIIHSELDYRLPVTEGLAAFNVLQAKKIPSKLLVFPD ENHWVLKHENSLVWHREVLGWINKYSGIDDEQKLAEETEALKV MYCTH_2294252 MFWSGTLLSATGPLAKAWLSANQERKISKVQILQHNLQDSVDAI IAPNDAPLALRLSGQLLLGVVRIYSRKARYLLDDCNEALIKIKMAFRSTGNHDIPTNL HATTKESLMLPDTITPYDNLDLLPPPSSEFLTSQLEEVTATPISSRKAAVRPNNRDIN LQEDFNNSQYLQDTTGDDEELALANMDDLDLELDFGMDIDERPTKLMDKSVEMGRDAP AARPVEEDMLSELDMGGPSKDRKARVPSLALDLDFGDGVRVADGDGDIQMGDDDLRFD VGEESAMPGAARPDVSRARISESPLSDIDEQFAKEMETEYSRHMGSDMYEPGEDATAS TIRAPQRQKKKKLLQPDDQTMLSNSQIKEQQAKRDNILKPQSFLTHDPYVAGLMDLHK NGGFVNNILFEGRSAGWAPELKGLLSLSSIRPNELKRKRDSGVADMDSEDEQGASKSP RLELPEDETVLGPDGAIAGNQSVAADGTVLEIPADDTAVFHNDDENERPGSSREGGPG SPVPNFEDTTMPIVHPADSGPVSVGTKHAVHVLRDLFGAEAATDAEKRRKTAVVFQDL LPEHKTSKADATKMFFECLVLATKDAIKVEQPDGSLGGPIRVRAKRGLWGDWAEREAG GEPAREQQQTQQNQPESAVRSSAAVAVEA MYCTH_2294253 MDRFTRKDDGDALPLYQNPPESRSAGVAAELERSWSTSPRLGPR TTSAQSRTVADEYSCLTPAEVASRLKTSLTHGLTPAEALSRLRDYGPNEIPHDEPEPI WLRFLKQFQEPLIVLLLVSAGASLLVGNMDDSVSITVAVTIVVSVGFIQEYRSEKSIE ALNHLVPNHAHLIRKQAPNSSPGARSPNWPGDKTPDSTPGSATPQEEVLEATSSKVMA AQLVPGDLVLFTTGDRIPADIRVTKATDLTIDASNLTGENEPVRVTANTRPRRAIGVS SLGNATLQLPQPSVGGASNGHAAGHAKGDHDGLHNIAYMGTLVKSGHGQGIVFATGGD THFGTIATSVSGTESPRSPLQLSMDELGSQLSKVSFVIIGVISLLGWFQGKKLLEIFT ISISLAVAAIPEGLPIIVTVTLALGVHRMARHNAIVRRMPKVETLGSVNVVCTDKTGT LTMNHMTTAKMWYFGADKVVDVESDDEAENKLDAATLRILRIGNIANNARLARKSNEN GAAARAVLSSTENRGHASTYTRWVGQPTDVAMLDMLDRFKEHDIRDAIGPRVSETPFS SERKWMGVTIGSEGGKGDKEFAYMKGAIDKVLDACDTYVTKDGREVVLDSARRQEALQ AAEEMASTGLRVLAFASGPVSKPRSGRPGARSNTPGSDRAESPVHHGSEEQYKGLTFA GLVGMSDPPRPGVGRSIRKLMRGRVKVIMITGDAETTALAIGKQLGMAIATPTAHASN QVTIKPVLRGDEIDAMSDEELARAMEHTTIFARTNPDHKLKIIRALQSRGDIVAMTGD GVNDAPALKKADIGIAMGMHGTDVAKEAADMILTDDDFSTILHAIEEGKGIFNNIQNF LTFQLSTSAAGLSLVLLCTALGFKSPLNAMQILWINIIMDGPPAQSLGVEAVDKDVMN RPPRRRGDAVLTRPLITRVLTQAAVIMAGTMLVYTHEMLDDGEVTRRDTTMTFTCFVL FDMFNALTCRSESKSVLRGEVGLASNTLFNWAVAGSLIGQLLVIYFPWLQDVFQTEAL GWSDLLKLLLLCSSVFWVDEARKWWRYRNGRRGFVGGGYSQAV MYCTH_113715 MAQVQMQELLVSASLVGKIDKLREINVGKHVPLPQLVVVGDQSS GKSSLLESLTGIPFPRDVELCTRFATQITQRRDDISRVEVSIIPGPNASEAHRKHVEG YRTDGLSPDDFRAKFPTVLQEVNVRMGIQMGRRPDSGYATDEYASDGDASNGDTTAAA PAAKQAGAQGGSVFSEDVLKIEICGPSVDYLTVIDVPGIFRTPTPGMTTAEDMAMVRR MVRSYIQDSRTVILAVLPSNVDVSTQEILTIAEEYDPKGERTLGILTKPDLVVEASAK EAVCNIVRGKRKKLTLGYYLVRGRGADQGDSDFARREDLFKEKPWNTLPPERVGIRAL KARLAELLGHIARREFPKLRKDIGDMLQAAEREMTALGPSRRDEHEQRRFLSGIAGRF QELVRQTLEAQYAGESAFENSTELRLVTQIVNLADEFNQEFEDKSVLRRFQDTMDEEE DDEAGRWQSKTGTNAILEFAQHIDPEDFPELERIISSDFDVDEPDDDIIYWLGELYAR SRAMELGSFSSAVWASAWREQSSKWPNLSKAFMSRVVVAIHRFIMAALREACPDPNVR EELWSAMLEELLRRYQEGMAQAELLVSAERDTKPYTLDHHFNERRQRSRGNRIADRLY HLSVKDDGKRWVTVDQVQNSTEKMSNKDDVIEKLHDDLGAYYEIAQKRFVDNLLNQAV NYRLLFGPRTPLRVLNHDWVIGLRADELEAIAGESPSNKECSATVTTAASMSALSNGV ASTTAIKMSAVNNSNGSSSNSNSGSSNNRSSIPSRTRAATMTTTAAAAAAAATATATA ASRKDDADPSAALRAATTPSEIRAALSALHARESALTARLDALVSAQADLARSLSRLD NLRAGLGAQLLAVRNISNTMLSPAADTAARLSGRVRALDLEKQRVEDTLRVVEQVAEL KACVAGVVGSMGAPQDWEAAAGYISRASRVPDAIVRGGFAAAVVPTVEVPDPPWVTLE QARESLCALFLREFKKAAAENDAAKVTRFFKLFPLIGRGDVGLDVYGQYVCQGVAGEA RASLREGASGPGGGPGRKDGVFYANALTRLFDHIARIVEAHGGLVERHYGTGKMVKVI ERLQMEADVQGGIILDSWSDDRTIDRRLTDVKSYPFTFLVQSYLPQRGFGGTPRVNSP AAGGGGGGSSGGAAGNDARNSEDEGVNTKEVDALLVEIAVMLEKWSVYSRFLAAKCRD PTSPDDAPLTLPDLLVKSNLSRKVAGKLIEPYNTLATFFFRRSVEKAFQLDESPAGLS LNMSKPIDSNPPYIISAVDVVMYIVNTIIRRSISTSQRAVVDSAVGAISSILGSNFVG MIQRRMRHESYPKPAVQGNLPPEHLIVSFIVLINSLDMANEYLARIVSNILEPADQHQ HQHQHQPNGAPGHRAGPLRDAFPFHNDAAEVAARLASLNTSFSSVSTELLTEGVRVLF SQVVKPRLRPVLTDAFRDADYALNEDELAELAAQTDRTEDELLEQVARTFERGWDALM RPLARLMTPRTYAALLELTADHLARVLEKRAWSYAGGRTSPYGAIRMERDFSGIVLMV ANMEDEEWDELMAEHGLDDGGMEWVLTEEERRRARTIVKSY MYCTH_2294261 MTSKPPPGHFNILYFASAGSYTSKNVEALPAPLPLRKLFGTLEE RYQGIRASVLDHSLVTINLTYVDVPDDETAREGEEEVVIQEGDEVAIIPPVSSG MYCTH_2294264 MASHRKYAALPDLDSAPDIYETPELTDDQSTIPPTTVRSPSDNE YDDDEDDTTGISRSRLRIDQARSKFMPARVDATDVDFSDRLVSKRRSYKTSTRRHRIL EDGTEEIGDLSDEDDAGSLARKIARLRREIEEAKEEYQKQKAASGESNDGGEEVAEDE FESLSKVLNEMSTLDRPLPTRTIPAPPPASVGKKDSGEHAGGVSYGTTPGPNYERTHV LAKAADFDSRLLVLEKVLGLGSAGMPEFDAGSLPRAIIPLLENLHKQISTLSEASVPS LDSISRRVRALTQEAENLEKARRNAKTAQDALASAGAAPSSEGATREDSEQTAKINAL YGTLPTIENLTPLLPPLLDRLRSLRSLHAEAATAAETLARLEKKQAEMADEIQQWREG LEKVENAVREGDATMTKNVEVISGWVKDLEEKLAKLS MYCTH_105600 MPGRRYIGLSSQQRVPHLLSSFSKKPVAKGIKDVDVDAPPLSSS DSSDNEGLPTRANIQPTQFSDSRQSKSPLKRDVRNSGANGSGIAPGERFKRTRASTRR GSAGELGSSFQRVDRQQEAEAIDSDDATSPPVSKRPKRSPPGDGELDNHFNSEFFTKR ATPSRRYGKQPKTVGGKQPRRAASPARTFEPPPEDSMSDAEDVDRYKLKLPSSPSETG SETLSPARKFKPIQDLGSPEPPSPTRKRRLKMPNDDGPLLSGNYDPEESQRPVFTIPD EIPDSFVGDDDEKLVLALSQTTNVPSASNLIRDGSISPLTDADDVPDPVPVCPLCKSE VSQSVLDEFKAAHPRMTVSDMRRFCEQHKRRSARETWIAKGYPDIDWQRLDARIAAHY GALRRILEGGAPSHYDALFRDAIRSGRNRTLLRSDANLTPGYYGLRGLRAMTENLIGE FSALLRRRAVEDRLVSARGYTAYLQAVLVPELAVRLIMEDLGVGEKEARKVLTESSSV GELLNDEIADVVFLSS MYCTH_2294267 MPQPDETSRFSSMTGSSTDSGRSSITAKPLPATPTSPSLPNPPG AAGNNNASRHGPHGSRWVAPSRLKTDEGGNPTRSSIKESDGQVSPTSNDARVALSPSS TNSTTSMREHPMSDLRDYRRDLAILDLAGGRSSRTQQQTNPSTGSLGGAASAPPPEPP VPMTFYDDSTDNLSLGSQLSPGVRTSISRATPVGSTDSPEAAYFTDERRPSVASITTT ASSQGSRTSGARGGLRKLQGFFGEEFPGRDSSEISLAHPTGGREHRSHSHSYSRPHRD RNYSNATDHGRDASPSSRPRTPVPAPEVVPFLYQEADDIARYGEAPVRDILSGPDRDR YITDSSTQNPPRTSGSGRSGHSIVHLPGHHRHNKSNEDSRSLRPSISREDSTVSAPKD RGGSAAMYGTKSRAQSPAPSAPSGYWTSKAAVGDGQTSPGHHHKRRFLGRLRGKRDKD DSGDLRKLPASAQSLQPRPSRHESPFGDGQQSQGSEWPSTPDPRETHGLRPAFVRGAT FNNKFPFSSKKSRTARQRDDLEDNIGPTDRQDTGGTMFHLDTNLNDMEGILSRPVPLT PMNPDFLNEVDFDKLPIKSADPRGAWNAPDSWAVRRGDEAVAQVPEADDIGSPPRPEE KMTNYCIRVFRADGTFATLQMALDATVSDLISQISKKSYVIDGMENYNIVLKKHDLTR VLSSVERPLLIQKRLLQQIGYEERDRIEDIGREDHSYLCRFMFLSARESHFHALTHDV GFGRMQKFSHVDLSGRNLTAIPVILYSRAPEIISLNLSRNLSLDVPRDFIQSCTSLRD IKYTNNEARRLPPSLSWAKKLTYLDVSNNRLEQLEHADLGELTGLLKLNLANNRLSQL PSYFGAYSVLRTLNISSNFLEKFPPFLCNLESLVDLDLSFNLISDFPDAIGKLRNLEK FVVTNNRLTGTFPDSFKNLANLRELDIKYNAITNIDVIAQLPKLEILTADHNSISQFV GSFERLRSLKLNSNPITKFEIKSPAPTLKLLNLSNAQLASIDESFNNMPNLERLILDR NYFVSLPSQIGNLRKLEHFSIAHNSVRQLPQEVGCLTELRVLDVRGNNIRKLPMELWW ANKLETLNASSNILDHFPKHASRAPQPPQPPDDARSTSSSVNSKQSSGSRALSSMPSV EELNGDASRRPSQASGATLLSVGPPPVPGADNRKGSMVSLYGKGGRKTSVVSRSTQSS TATTGTGLRKDSGLTALLTNTFAGSLRNLYLADNQLDDDVFDQIMLLSELRVLNLSYN ELSDMPQRSIKNWPQLTELYLSGNELTSLPTDDLEDYSLLQTLHINGNKFTNLPADIS RARRLAVLDCGSNSLKYNIANVPYDWNWNLNPNLRYLNLSGNRRLEIKQTYPGVVAQN RDQLTDFSRLQNLRVLGLIDVTLTQPSIPDQNEDRRVRTSSSLAGYMPYGMADTLGKN EHLSTIDLVIPRFNSSDSTTLLGLFDGQASSSGGSKIAKYLQENFAHIFAQELRDLKT NQGETAEDALRRAFLALNKDLATAAIQHAEDRPITTHRGSQAPLVLSQEDLNSGGVAT VVYLDEQELYVANVGDVQAMLIQTDGQAKMLTKKHDPADPPERSRIRDAGGWVSRQGR LNELLPVSRAFGYVDLMPPVQAAPHIKHHTIGDNDETILLATREVWEYLTPELVVDVA RSVRGDLMRAAQKIRDLAMAYGSKDKIMVMMLSVADLKRRRERSRMHRGQSMSWFNFP QDDTAHQVATRRGRKAKAEGPLDSTLQRLEAEVPAPTGMIAIVFTDIKNSTQLWETYP EAMRSAIKLHNEVMRRQLRRIGGFEVKTEGDAFMVSFPTATSALLWCFAVQTQLLTVN WPTEMLNSISCQPTFDRDNKLIFRGLSVRMGIHWGEPLAEPDPVTRRMDYYGPMVNKA SRISACADGGQITVSSDFIMEIQRCLETYQESGSSDDSFEDDGFARAIRNELRSLSSQ GFEVKDLGEKKLKGLENPEYIYSLYPHALAGRIDQHNLHERAQAAADRPAMLSPDSQL AINPDDIWATWHVALRLEMLCSTFEDVTRRKLHPPETELMERMKRRGGEVTERFLISF LEHQVSRIETCISTLAVRRLVLVEGKPSAKPSDLRAPISDVLETLSDQLALLKKYEAR YGPLDDAGEDPSSTQGTTSAVTSLPPPAAAPPSNNNNNNNNNNNSPQVTIPQAAIGSP SASAESGVSTPESSPY MYCTH_2294268 MAAQSTLRQSVAEEAIAAFIDKYTELIRSKLRKTSRTTRLLATL ALVTSIILAGEGARRRWKRKRDEREQGRKLVRTNSWLHNKDGSRTIYVPYRNRDRPAK VVIHTTKPLTFQAHRRLFLNPPRVSGLGDGTVPSAQTKPGLNLAFLHQFLSLMSIMIP HWNSKEAGLLLSHSAFLMLRTYMSLVVARLDGEIVRDLVAGNGKSFLWGLVKWCGLGG FASYTNAMIKFLECKVSIAFRTRLTRYIHDLYLNDNLNYYKVHNLDGGLGSGADQFIT QDLTQFCAAAASLYSSLGKPFVDICVFNYQLYRSLGPLAALGLMSNYFITASILRKMS PPFARLKAVEGRKEGDFRSLHARLIANAEEIAFYGGAETEKTFLDKEFKSLSKWMNDV YHLKIRYNMLEDFILKYSWSAYGYILSSLPVFLPAWGGLGGKSEQAEHAVQGGRERTR MKDFITNKRLMLSLADAGGRMMYSLKDLSELAGYTSRVYTLISTLHRVHAGAYHAKAR DNELFSLSDVQGTTQLGFDGVRLENVPIVAPALWPQGGEELIESLSMIVRRGDHLLIS GPNGVGKSAIARVIAGLWPVYRGLVSRPKATGEDGIMFLPQRPYLSIGTLRDQVIYPD GEADMREKRKNDSYLQYVLELARLGYLPEREGGWNTRKEWKDVLSGGEKQRLAIARLL YHEPKYAFIDEGTSAVSSDVEGLLYQTCKEKGITLITISTRASLKKYHTFNLVLGQGE DGDRWELQRIGTETEKMHARRELEELREKLAQVDKWRARHAEIERELAKVWVEGGEEL AAEGGQEGEGEGKAKVAADAGANVGTEGNAGEVDGEETPGKGQEEEVVVGVEQPETET EADYQEAQEEMEDETETETEVETATQKHGGAEEGSSAGEGSGVVV MYCTH_2294274 MSRGMEERPQVAAESSKEKETLAPEDGASSKNNRGLLPVPSRSS SQRVQPSPTATGLSGATAGDSRDSIGGRSKESRGRPTATPGQSEPNSLAATSQRKKKG GLFALFACCGVPDNANGLESETPLPTHKLEKIPARPQTASRRTATPSEQPSGSRIQLS EKEPSQSPSVPQGPAKNARRISGTSTQDQSTVGGERDNESKQTTLVGPGASNPVISVE PPHATGTDAEKVEEAASEKDAEGDVQMPDAENARQLVSQGAAATAEETLPRVPPPPSG PIPAVPSAPSNALIESPPVFAPDQPQRFLLPPQAPEHKGRKCLVLDLDETLVHSSFKI LHQADFTIPVEIEGNYHNVYVIKRPGVDQFMKRVGELYEVVVFTASVSKYGDPLLDQL DIHKVVHHRLFRESCYNHQGNYVKDLSQVGRDLKDTIIIDNSPTSYIFHPQHAVPISS WFSDAHDNELLDLIPVLEDLAGPTVRDVSLVLDVTL MYCTH_2073127 MASPRASSPTAGSGAGSGPSGGGASSTGSAVRPSSPPPPGGART AIRRRAAADQKERIANARPNSTRAAGAGGSSSTMLRLYTDESPGLKVDPVVVLVLSLV FIFSVVALHIIAKITRKFSS MYCTH_2122065 MGRAAQWQPSGENLGSKKSEMRYVAPRVTVDRILRRINNEGTAQ GARDAKQFEFAAILRARQAQSRRGGFARLSRGGSVDGKSRDTNKDARVRQQTMQETQK HFPSPSETRSGRLHTNSALSRVQDRGLDHGCAAARKNPSGQASTHAATLLSITLARCA QLAERPNRIALPSAQSAA MYCTH_2055311 MAGFFQKLKGAGSGVSKSDNTASAKSKKDEPQPDLTPLEKLLQN AGPIREDGSDKFFGLENFGNTCYCNSIVQALYHSEQFRRHVVNYPPPLPGDEGNRQRR KVNVTIRPPVQPEQSGNAAGPSKPRQSFGGGPQLPPAVPPLRPEDKPDSPEYKKKQAM LRGPILELAQESVDPSGMEECTFTALRDIFQAMIESQSRTGVISPQRFLDIFKRNNEM FRNSMHQDAHEFYGIVLNDVIANVEAYAKRTQELADSTSKEDPSSHQAAGAIALTTTT MTTTTTTTNAGSVTRSSGTGWVHDIFEGVLTSETRCLTCETASQRDETFLDLSIDLEE HSSVTACLLNFSAEEMLCERNKFHCDHCGGLQEAEKRMKVKKLPKILALHLKRFKYTE DYSRLQKLFHRVVYPYHLRMFMTTDDAEDPDRMYELYAVVVHIGGNAYHGHYVAVIKT KDRGWLLFDDEMVEPVDKHFVRNFFGDKPGMACAYVLFYQETTFEKVREEMDAEGLDQ VKLATQTADTAATTELPNGSSTALLSKLNSQPLSPVDENETLATLDQARSAPPEIHVT TSTTSGGPPPPPLSASEVHPLVAAPPPGSAVATGEDKGTAVTSKTKAQLAKEKKEAKA REKAEEKARKAAEKEQARLAAKERNEAQRRRIEAARLEREQLAQVLKESRRMAEEEEK RRRKEVGAITTTDTTTSSDAGAGGGGGGRGGDGDGGDEQQHHRNGLFNRAGRTSKSMT RKSFAFLHIGQNKDEDKGDPKGYRTNRSEAGESGSVQGESIPSSGAKENGAPSPYSHN KNGGGSNGNGNGTSTSTSTSIGGINGSPAKKPSNPFLARAIPRSDTAPAGAVSQLRRT PDKHDREKDRDGKENQNQKPALKERFSFGLGRKKSSRFLSSAS MYCTH_2294281 MSILGKFLYITVLLLNAICIPSEDRFLARVGLAPGTYQRGFGQD LDTSVKYKIIQLIASVRTVMRVPLIIINTLIIVYELILG MYCTH_43671 MPSPVIKLNSGYDMPQVGFGLWKVDNSVAADVVYNAIKAGYRLF DGACDYGNEVECGKGIARAISEGIVKREDLFIVSKLWNTFHDAERVEPIVKKQLADWG IDYFDLYLIHFPVALEYVDPSVRYPPGWFYDGKSEIRTSKATIQETWTAMESLVAKGL TKSIGISNFQAQLIYDLLRYAKIRPATLQIEHHPYLVQQELLNLAKQEGIAVTAYSSF GPASFLEFNMQHAVKLTPLIEDETIKKIAAKYNREPAQVLLRWATQRGLAVIPKTTRP ALMASNFQCTDFDLSEEDIATISSFDRGIRFNQPSNYFPTEYLWIFG MYCTH_2073132 MPAPVEEGNRSPGHHIELADDNPSPRHDGEASPGLRDSKGWDGK LRVPKNALLANPEALSDPEYSDEDNVIPGEEVAADEDILDGEDSDTDEIICTHSRIKS IPALRLERFKRVARICLRQNLIQDIEGFSCVASTLNDLDLYDNLISRIRGLDDLVNLT SLDLSFNKIKHIKHVSHLTNLTDLYLVANKISKIEGLAGLTRLRNLELGSNRIRQLEN LETLKSLEELWVAKNKITSLTGLAGLPNLRLLSIQSNRIRDLSPLRDVPQLEELYISH NALASLEGLEHNTRLRVLEVSNNQIASLRGLGPLADLEELWASYNQIADFAELERELA DKRALTTVYFEGNPLQLRAPALYRNKVRLALPQVRQVDATFVRT MYCTH_2294289 MVVFQNKIIYMPGLPPTSRREQIANWASMCGGVQWTEERTVAED GTELALAVTTVPLPKGRRPAAAAAKNQPAPAHVYVLYFQGNASSIPPRLPDLSWTLRA VSDSKTHALAPMELTFVCLSYRGYWTSRGRPSEPGLRLDAEAGVRWIAERHERVFGKV GIGATEPILLVWGQSIGSGVATNLAATGRVPAGMPIRGLLLETPFVSIRAMLETLYPQ KWLPYRYLWPFLRNHLDSWENLGLISQASEEKGVPGPMVYILEAERDELVPKEQSERL YRRCVDLGLTVEKVTVPVAFHQQAIARGDGKKLAAQALLEMTQSAWKSG MYCTH_90789 MFSRRFEPSQTKSVSKSGRHRRASSSFTKEAGISKRPVELGRRR AGTVSNAGASETTLGGFVSAIVTLVVGQEQRIFAAHENILSASPFFQSVLRNQLMDSQ TKKISLPDEEPEVFSSILEYLYKGDYYPRLVFNKKRNSWELEEAGEDGRSEATIYHHS VEGELLKDTAIYCAAEKYGLEELKRISLRKQGLQSGIQASTILASARYAYANTPDTDS KLRAHYLALIIRSRNTFKRSGTMQLEMFNGGTQLFFDLFVALCNHVDDISSAASTPRT PRSGRPF MYCTH_2294293 MEIGPALYNRFAEALSNENGYRLAETLSPDIPNEQLRKVFKSQN AQNIKNVLRRGLQGNAALALDHQTLQGWVDVYAAYWNATGVLLTARESESDSSKSSHL VWTKVYEAWTELLNTLLRGYQNFGFEAFTIPCLYVVCKYLRVFAIQADEERNRNAPFD NGATTLQDDFDPETNKNQKLEDCARVLSRVFMICQTDRAPLEESRKWGSYYIANLLLK TYFRLNSASLSKNILNSLRAGGRDMPDFSLFPKSQQVTFKYYEGVLAFLEENYIQAEE CLTEAWNLCHKNAMRNKELILTYLIPCHLIKSHTLPTKKLLEPFPRLQKLFLPLCRCI KRGELHKFDLALQEGEDEFVKRRIYLTLERGRDIALRNLLRKVFIARGFEEAKEGEKP VRRTRVPVAEFAAAISLGSQEKIDNDEVECLLANMIYKGHMKGYISRQHGIVVLSKSG AFPGTGKALRKVHKGQFRARVRQM MYCTH_2122073 MTRTWFGSRLQEYQVIGRHLPTEANPNPSLYRMRIFAPNEVVAK SRFWYFLRGLRKVKKATGEIVSVNVISEKHPLKVKNFGIWIRYDSRSGTHNMYKEYRE TSRTAAVEALYADMAARHRARFRSIHILRVVELEKTEDIRRPYIKQLVAKNLSFPLPH RVPKINNKKLFSAKRPSTFA MYCTH_2294298 MSAAPELSSSSEQRPTPGTEPDPRTFIRVRTTRPRQPFPPHSVR QPITTERLVLRALTEDDFGAFRALRTEPEVMYWTAVGVPDADEELTWTRLRAFLPPRD QSHYNFAICLRETGEFIGVGGCHAPRSSLGRPEVGYMLKRDAWGNGFATEFLRAWLGA WERLEREETEIEVDPRTIGGDEARGEGGLVRERLLAITADGNDRSQGVLKKSGFEWFL TWLAVDSRKGKGPDNLIGLPTFWYFPKTEE MYCTH_2294300 MAATSSEAKDGKSFYGFLFAKAKPIPVPTPIFDALLRAIAQHIA SELGDKTDAHLTPAKLAAFYKTAGHDWDSFFVDMPHAGISTVYQGLGCQHSLLPGDDD FAAPSIPALTTKGFVRWQAIQTLLEPQIQVPVLQYAAANWALKHPDTGTPLPADLPQE AFPADVDSDTDRWYQECAQRARSKLAAEEEAEAPKETAQPEFAERKVPYTHVRVNPAS PRDYFASRPVNVAYVRIPSPRHTPTGRSPERERERAREREHFVRRPTASQEDPAPRRR SFSDYPHSPQDARPARVTRLVPDREPHRRRHSQPRRYSSTSSGSDDPAISPRSTPRHT GRSNEPPPISIRRVYTNSSEDSPRVVRTTAGPSPVPPSHMHSSRSSDAGRTPSYDDGK WHSTLSDIKEKITSFISPADRARSVSSSRGRRERPPRSAGVSREDVLPNSRLSRSWSE IDTDDTDLEEERRRRSRRQSSELKDYHRREKERERERDRDRDRIYRDRERERERERER DRPSLRERDRAHSESDRHRLAERRDAARDIRDRAERLVPSPSPAASAAASALNRDAGP GTPLSGAAAAARRRVNSDDDYDHLSSSRRGLYSTGSVVDGGGGGGGSGGYTRRTSSHT DLDRLDGTRRRAEWDSIRVDRGRDRDRERELMMRERERERDRDRERIRLESRERERER ERERFRDDSSGGGGGERWLREGERLPRERNRDRDRDRDRDRERERERMPSPAMSASGV TGVGGRKYPETMPWDH MYCTH_2122076 MPSGRRGTEASSAQFCGQLLHPVPSSLAHRQLLTAAAQHLGWSI NLLGQAVCMSAYRPAVQSARYGAVLAHPSAVVALTAACPPTPATRGVEAKPVRPDKKP GSRHVLIPRVPTLTGPGCNATPYFSPTAAIGVPRPTALQNGLLPPCNFRPPYIRGVGS IDWVSGVLLALALLVSPPESGDPSDGRGDFFWAVQCGRIEAEP MYCTH_2294303 MKGRPVETLVYEYMFPKPRPSDPQNFHALLQRYLILEVRQETHS FYGHLDTPEAKYPGLDYNNRIHRIRLSRWPWHRRLFRAFDGLRLTPAEISSLTKWEGT KWAKERFERDQGIKIRDTTADGFPNYADPTDPYCAQSLLHAQSQETDNQVEVGGRAEE AGEDEEEKDEEEEEEEEEEEEEEEEEEEGEDGGEEESDGELESVGVALNERLRQRVAM RNMSGDNTMPLDEEWENWLKHAIESGELPHVADQIARFPGSHNTLTADDIFPPRMVAA ARAGHWEEIPDFLHDMIRQALQSGHRPAPAQTSAMTGSSSRPSIRYSNVLNTFTRVGV YPPVPEARSTAFTSRRTTTTENPPQQTARPGA MYCTH_2313301 MSSKAGVNVLRYSVLGLGVVYGFYHQRSINAAQKAAAAQREYEH QQELINKAKEAYAKTKQTSSSPNPAQSSGLNQDLNSPNFDLEAFIEGLLKQK MYCTH_2074571 MQHRRDEILAKKAKLAELKRQRELRASQANRSSITPSEIISPTP GRADNRREIESLIDSLVRESRPVSVSTGANSPGRFGSRPNSVLSAGESENVSEFAGPA SGQVVTPQPQVLSAVPSKTVYECPPSPVKEVYSYSKGVQTTEEWTSPRRSRAFSDSEG EEAAVAASPSKRLSRRERDREEELRENIRKEIEEELKAAKELVTDGVLKPSAAANFPA RTLTEDELRAVTQSEEFMDFIDRSTKVIEKALDQEYDILTDYTLQVHDVDDDDEQSGN TGGKGRRKIREIAQFYDDRWSKKRMVSAVDFSPRFPELLLASYTKNPTAPHDPDGIVQ VWNLHLHDRPEFVFHAQSDILTAKFSPFHPNLIVGGAYSGQVLLWDTRARAAPVQKTP LTGNGHTHPVYCIDIVGTQNANNIISASTDGAVCGWSVDMLAQPQESLTLVAPLPSKT EDLAPTCIAFPQADPTFFLVGSEEGPIYPCHRYDRAGAKAGVDTRVSYRGHAAPVMSV SFHPARGPVDLGDLVLSASLDWSVKLWKVRAPAATSAGVGGTVAAGGAAGGGS MYCTH_2106095 MPRINGQEVGTTGHGLMGHIRRPDPIPHERAFEMMRTALAKGMN FWNGGEFYGTPENNAMTLRPSWTPTWPSTQRTPTRQLNGHKKLDVFECARRDPKVPMD AEVAASTIHEAVKHAKIVAVEAELSIFCPEVLTNGVACRVRAVV MYCTH_2294307 MAQNNSSASVTGAARMAGARHKKRAAALSASSAFQLFLEHRRRA LRNSTSPEVESKIVIPDRYSTPSQSQPPSNCRPFASPLRKPKLGDATHSRALLRHLSK LAPLYRRHRNLERALNLFLLRRQLWRRTRRPFFQMDFIKRLRDIQADESSSDEDSGLV LTSASVSALRHHSPVSEQAAVVAANQPEKTKTAGEKRKRKRDTPAEGPAEDVGPENLP AAKKEEVETVPPAVGRKLAVPLPNGRGGTDGNKSTKKRALDEETAGATAEERAAKKVR IVKPVGGAKVEAENRKSAQSESSKAAGSAAAAAPRPKPVAQMEYHDQRVHDMLTDPLG FDDFVHDTTKPIPRHLARSFARYRRRQPQSPPPLVMSGAIGPAALAAKSPVTPKLKGP GNSLAKEAQKRAYQQRINERVKDMKEKKAAESESGFPAEQGKGKAVVGRQPPGGLKGG SGKHKEKRWLTAARQGGVNHGEQHRARVKKYKKPV MYCTH_2294309 MPGPGVGFEYPPKEVSWTKRDALLFANSIGATADELHFLYELDP NFSVFPTYPVILTFKGTTQEVVDFYAAQKAVPIPGVPEFDPARVVDGQRLLQSFKPLP PSSAGKKFEIRTKVLGVYDKGRPGTVVETQSDLVEASTGDVYTRVVSSSFFVAQGNWG GPKGPATVNYPPPRDRAPDVVFSYQTTPETALLYRLNGDYNPLHAHPDPGRKMGFGGV IIHGLFSWNWAAHGLLKHLGGSDPANLKEYQARFASPVRPGDRLVASAWRTGQKQGEW EEVRFEVKVEGGKVCLSNGRALIKCQAPAKGKL MYCTH_2294310 MPLTPRIGERRSYDGALCTVRYIGEVAGTTGSWLGVEWDDPSRG KHDGQHKGVRYFSCTSKSPNAASFVRPTRAADPPQTFLSALQHKYAGGPAEDQAPARQ IKFSGKVAEEVGFDKIRRQQAQLHELQFVILDGLQVAHATDPEAKDGHQSIAQVCPKV KELDLSRNLFERFGPVVDICAELKQLRSLRVNGNRFRDVLDDDVLEAAGDAFHGVTEL ALEDTLLSWHEICHIASKFPSLTTLHAGSNQLTALAPIPPAPFTTTLTSLHLEFNDFT SLSELAPLASISTLRNLLLKGNRISTISPPSTPAPVFGAQLHHLDLSYNLITSWSFID ALPSAFPGLTSLRITHDPLYSDPDMDETAAALPEENSALSPATQRITSATAPGAKKTE EAYMLTLARLPSLRILNFSTITPADRADAEMFYLSRIARQLASVPESGEAAVLRRHRR WAELCETYGEPAVVRRTGRDALDPGFLEARLIRAEFYLCSPPGGRAAEGPERGDGKEE KEEKRVAVVEVPRSLDIYAVKGLVGRLFGLKPLGLRLVWETGEWDPVGGFDEDREGAT SEGEQEEEDLEAEWERTQGEGGELPSGLGTRRGRWVKREVELRDGPRQFGYCVDGSEV RIRVERR MYCTH_2313303 MSPLGGVWAPVALRVLRKHLVSNARMATKMLRSKLSAATRPLNA QLQPVAVRTGNARQPVHPAAWLRQQKRAGAGRWFSSANLPAAAARRFLSSSHGPAGPK PAFRFERFKLPTSTVSRAVNQLTGRAPFASTLRPNLTGGTLGRTAGGYGIPGSGRVGG VRYFSHTPAAPAQVVQNVSQAMRAFWLSGQRARFDGVGPRGEKRYRAVSATQEEARVM MAKAMLAHRHAPGAFVDFKISPTITALSPLGAMLPFAGGKERAAAATAAATLHAEGFL DVLAVDFARALQDLAAIMTDLKRLAGLGDLPVYLEKDGVLRVRFPGLDAEAVERLCDD VGVRRGVVGQDPDLDSSPAVDVALRFPFAPDAVCDRTVTSPGGSLRSRRSGSSSSSDV EDAFIVQEYEDNPWRLSVVSELEGYESMSSPSPVLSRSGEHYSDDFEGLEGIYRFLEE CDRARGRFR MYCTH_2294314 MGSVFSRPAAAAATPTVLPTLTIRNLTVTPLELRLVEHLKSVHH HHPHLHKPNGLVKTSSRSSNPEQSHEQPDAPLPSTATPVSGTIEPFSECRTAVYAPDA ARHEQLRLTFEDPATGHRYSADIPGSSRRSIVLKAHHPAGAGDGETKEFTAIYLPEHA YLALFSSANLSSWMSTLDPALPLSALSIPGTHNSPTCYVALPSVRCQAVSVLDQLNNG VRFLDVRVSCPPYRASTVSAPSNSSPKGGEEEEGEEEQEGGGEGTRVPELALVHSAFP VSLTGPKYLSGLLATVYDFLAAHPTETVLLSLKREGTGRGSDADLARILHRHYVRPRR DRWYTAPRVPTLAQCRGRVVLVRRFGLGRSPAAVPASEAGIDGSAWPDNVADGTCGSG TIRIQDYYGVGSGSDIQKKLRYAQEGLARAAAQAQAVVAEVRSSSSSSSSSSSAAAAA TTPLPPPPPLFINFLSASNFFNASCWPERIAFKINPSMIEYLCMKHGTEGKGSGEFGA GDAVTGIVVTDWVGHRGDWDLLRCIVGWNARLQLRQ MYCTH_2313305 MRFSITAFLALASAVVAQDPTEGFDPITKPAEGEKVPAGSTYDI VWLPSEAYPGDIKIALLGGASPQTLTVVDTIAEGVDASTGTYSWSVPSTLGDLATYGI IVTLESDPSIFQYGFPFKIVSGDDSNGGSSSNSSATVISTSTTASSSSATSSSTGHAS TATSTTSDAEATSSTTQSKTKTHSSTVTSTSLTVPSSTLVSSTIRTNTSFTTSAPPLT TITSSVIVANPTSTTSSTSTIATNGVPSLAAGSFALFGGVAMAVLAL MYCTH_2294321 MRGEILHVHLGQAGAQLGHSAWELYLLEHGLAADGRPDPNAKDL AEGGSFETFFTETSNGKYVPRSIFVDLDPSPIDEIRTGTYRQLFHPELLISGKEDAAN NYARGHYTIGKEMIDNVIDRIRRVADNCQSLQGFLVFHSFGGGTGSGFGALLLERLST DYGKKCKLEFTVYPSPRLSSAVVEPYNAVLSTHSTIENSDCTFLVDNEAVFDICRRNL DIPRPSYEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPYPRIHYPLISYAPV ISAAKSAHESFKVSDLTFQCFEPNNQMVVCDPRKGKYMAVALLYRGDVVHRDCSAAVA QLKSKASFNLVEWCPTGFKIGINYQKPVAVPAAPEDGGLASVDRSVSMLSNTTAIAEA WSRLDYKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVAADSAEFDEG EAEY MYCTH_2294324 MAKGKPGLSAAFKAIDPALDALFSQSAGAVKTPPKSRYADLLPQ KPKTASKGEEDEEDKDNSENEESEAPEEELEEESGAESDASMPYEEEDESENEDDSDN EEEDGEVSGKDESEVDGEADASSVDDEAAAAEIMERAVSGDKHQNEGRKRKRKQRDDD EALEADYLERLTNEEKPSVKRRKADAIADNKAVSATSSPEEEDDDESGDDVPVHESIA ADPTAAELEKANRTVFLSNVSVEAIKSRKAKKTLLRHLSSVLDKNADPPQKVESIRFR STPFQSAGIPKRAAYIKKEVLEATTKSTNAYVVYSTTAASRLAVAQLNGTIVLDRHLR VDSVAHPAPIDHRRCVFVGNLGFVDDETVYNTKIDEEGKEVVVKRKRTKTPMDVEEGL WRVFGEEAGKVESVRVVRDPVTRVGKGFAYVQFYDGNAVESAILLNGKKFPPMLPREL RVSRCKAPHKTARAMEARMSAKLDKKKGGRKANGTDAASYVPKLTAEAQTLAGRASKL LGRFGAAKLTGKPAGPVNKKRQARKERFLNGGGTEGGENGGIKAPEQFVFEGKRASAR DGKPRDLKFKKTKSKAAKAKPKASKKKKKAKAKAGKAGKAGK MYCTH_2294326 MATEDTTPSFAPSSPPAPAPDNDASNASSPLSEPEDKDTYGDEA DLDMRDLGDGNNTPGRNGAQGEPGSDPASQSDDDSKLSEVDVNDSEAETERLYDTPPK NGATRDAAGAVGEGNRRFTDRRDRVFERSPSKLHQQLRAGVDADEAVGGRNSASEPED GEDDDVSVPSSEAELDSAKQPRLRAAALGKKSQAAPSNNAPTSHPRKTSADSRKRKRS SVAEQSDSEQPLKKRTGLVSGADRESADDVAMADDDAISTNPQSSNHTAEEEDEEPEA TTEPKEELPDAAEEDVAVSSGSRKGRRSPAKKRKSKSPEERNAKEEAPEEPPEDAETQ SLEVPTPQAEDDHVDEVDEEAEAAHRNEEELERKKAAWEELISIEKQFSSFRERLYRE RLEQLNREEAMLTGDNPTHPEYLAMLQCLQERRDEKIRRSTLELQFKLSVLRRRAVAE RAQIMSQFYQAVRESRDETVAELGREWYQIQQERRRAANTIPDYGLRFPATRAQAIRD AVSYNKEVSVLSGFAKHVGFPAAPSINGATEEQVEADLEAIQSAREALPRHNSNQVPG YRPEYPGGISPFVQGLGAAGEQFIEQTPWANPNHPAHRMQQQHHHHHHHHHPPHRDIN VQPTYAGPSSAPKRQSNQPGAMYSSSTSTILNGDSPAQVQKTHSPAMPESSLKGKMGP EPLRREPAIHAS MYCTH_2294330 MGFSRSTYWDARNRQSPALIRARRPYLVKNTVTGLALGAIAVGV WAYTINAIGQDEFEDVKVPDVPVKPASQDAKK MYCTH_2294333 MAADSTSTPSSENAISVAEQLEQLGAARKLVLENPTYYDRIVKG VLPIIGPAAPVELRRWGADFLAESLATPVLTMREKENITVAVLDTLRSLLDHAGEDAI VLKSSIVAAASAYPVALRWIVHNSYHTESWEQMSAIKSRILSIWDGAPAPVKLSCIKF AQRVVLAQTVSNGMEQKYGGLDISLNMIPANHPLLDPRQLEAEATGLLDRMLGVLQDN SSDALLVDATINCLSILARTRPNTSNRILNTVLNFNPLKLANSPMTPKTRVLVKSLEK TTRMFLTHLLRRDPNNAMSGRIQQYLERLMRSRAEIFDEAGRKRALIDQGMAAFGDAK RQRMEAGVPQFTIPPLGPGPHTVATIFTLTDNPGLQVFDATQLPANLAAKISVRTLAT LDERVLDLAVSGIRDRLTSLYAAGAAGEAATQPALINAATAPLGIDEDDDDYEPDLTP AEDTEQILNKLDNAPPEEPIEPAADAGAAMTLGPFKLPPPPTVDPDVATKLSQAAASR VFGPLSALKDAGMARKPKAGINRLAASSYDRDSWLTLITRLATRTTAGLEDTPMGIKS EDALDRPLASRVSLGDSIREMLYAYILEDWRRRIEIAVAWLCEEWYNDQLTKRRRGGS EVSPGELHYERWALRLVDGFLSYVTAQDKVLTRFLAEIPGLSKPLLGKLKALCADPTT VQLALTSLLYLVMMRPPAREMALDTVAEIWAEYEDARPLAAKYLVKWRPGFIESQTRS AGEGDAAHANNMAIAT MYCTH_2294336 MSRTPTGGADGKAVPSAPASSLSPRTTTPSRANSTIRAVPSVDD RQRSSEEDSGTEGTQSHATSRDSGFSSSLNSTASIASSNPPQRIPATTSTGIPRMPSY NKLKLNPSDLAQSSGDVLSDGTSLPLRRGPSFGDIPTRESSLNATTTTTASSSQSIDA GGFTEASLAATEAQLSSGWDNSVGKAGLGKTGRVINRLVSDNEALRRDIQIERLRAEE SRQAARLLEDKLERVISDYESRLLEASVTKTLLARKERQVESLQDAIELERRRADAAA GRERAWKDELETARAEAKRKVDEATNYAALCEGRYNAISSHWKDQGEEVRRSIGRMRR EVQALVEERRRDDEKIETLRELCDQQDGNIRELRRQKDEILAQFERYKAEQEEGLREI KRRAEEREREQERTLQEAREVLNKLRWALNVKEKIEWAQ MYCTH_2114188 MEYMTEFYLTTLWTYKPKVVRQIIDDMTSLGFTQAEFTYDSEYS WFKVTCRSDDADEIREQFGNIARDIEREAFEACENDLLNIDDTLKSSFDNEWFGQDVG DVSSSESGQVDEIYCRPKPHVEYRFAEVWDILDKNDEPYTIMDILDRRQAKLVEDELK VKLDARLNGKLVHIAANSKEPIHEACERLEVMLAARKLLPASSRATHVVDPEDHADPT DPGFTADVRYLANIDQKLASSTLLDPAMVHQLTEAYKSIYRDASSIRICLWAPDKGYH ISLLGPKVNVRLRDRKVFGNRPAVSIKTIDKFDFVANNSSPPKQNSALEASSQVEHWI RQLPVVEESLRHAAHHLINDQVAVKSSTASVEQEDLMSFHNDETTLCRETSTAAVSET MTAHSEQQYNVAARISELCNKISPLYTGLDMLSEQSVSKSREDELGFTGEDCLIDMMA AVDITSPDNGLRSTIGWQMPALIPLPGENVRLGDKNDDSNDQPAVVGSSTQNRHPLPA PHSNQWHPQQDTTTTRSPGKEVVIKKQRVTQNGGSSKSLNPHGPPSFDALSSQPPLPR NSKVDGYSHLPSSESFLKEIEAAIAKLLLLGPYRRGKLAVRAELGRIILEKVDRSGLA FNNATTPSNGWMKAQLLRNLNNDFGGSHNISFTKILSTYGCDVEDMVNLQVNGTRLWE EIPSRVWITYSFHCGLRSGKGLSRFIVDIEDRDPKSSQFSYSIRLFDGAESADKPMPV YVHAICRHWDLRITMTHTKTDELEEMYGAFAKDLLRSLSVVRNEQGSLEVRFAVPAEA PADVHAVRVLTKWRYPSADRKSALEITEILQLVTDSYSEGPYSGTAWNTYEGKRSRPW SQRITREKRDKGEVPRWYEAAVVSLELEDLFQQNAFLKIGEKAGWDATDVRNRGIFPS IYSPALQMVRQIDHVGRLDDNHLSQKYGELLLQGSGRAAPSPASEARLDQVRFRSWIK PAQL MYCTH_2294340 MGDVENGRKPPSAGVDVDSKTPPRAEEEVSVDAGDNISGILPEG QVDPVYEKKAKILNRAIQEIGMGWYQWQLSIVISFGWASDNLWPIVTSLILPPVTNEF RQERPPLLTLSQNIGLLAGALFWGFGCDIFGRRWAFNLTLGITAVFGMAAAGSPTFAA IGVFAALWSFGVGGNLPVDSAIYLEFLPASHQFLLTVLSVAWAVAQVVATLIAWPLLG NLTCEQGEGAATCAREDNMGWRWFLICVGGLTVIMFVIRFCAFTIFESPKYLMGKGRD EEAVRIVHEVARRNGMATSLTVEDLKAVEPEGYVPPARAADALKRNLEDVRLDRVQAL FATKKLALSTSLIMGVWALIGLGYPLYNAYIPYVQQLRGADFGDGSTYLTYRNSLIIA VLGVPGALIGGVLVETKKVGRKGALAASTILTGVFLYASTTAVNSKSLLGWNCAFSFF SNIMYAVLYGFTPELFPTPQRGTGNALTATCNRVFGIMAPIVAMFADLNTAAPVYTSG ALFIAAGLMVLGLPFESRGKAAL MYCTH_2294343 MSSFAIEVPGEAAPLNQWELVKALSSAATSTDHAQRQSAGQQLQ AWEPHSDYFALLQTIYLDASQDKQVRFLAIILLKNGIDKYWRQTAKHAIKPENKQAIR SRLLQGSLNEEDRTLALHNALVTAKIVRIDYPNDWPDAFSTLIETTRAANASNPLHLR GALLLLLRIAKELGTARLRKSQTALQAVTPELVQLLGTIYTEKTAQWQDLWTKGVGDE ATVGFAVENSLTALKVLRRLVTVGYEQPHTDPMVCGFWSLSQSQFDQFLSGVAEGSWV PAPYQDSVGKHLIQFTKLHIDMSEQHPASFPVLPNSIPLVKAYWNLVKGFSDVFEKSG GIRQTGGETAGGNPKHEGPLYEKLALKGLLLLRSCLAVAHHPVQTFKYRSPEVKELEK QAVHTLKTELLTRDMLLDMVQVIISKLFIFRKSDLEAWEEDPEGWESQERIEGQAYEW AVRPCAERLLLDLLTHYKELGEPLLAYCNLATKVDMDIITKEAAYCALGCAAAIIYQN FDFDRFLTTFLVKDAQIRAPMAKLLRRRIAILLSQWIPVRISQASRPVVYDIFRHLMN PDDEHNDEVVRITAARQLKYIAEDFEFSGESFYPYAADIFNLLVNLLKEVESDETRLA LLETLRVIVSRMETHVSQFGDAIMLMLPKLWESAASEEYMIKQSVLAIMSALVSSMRA ESQRYQSVIVPLLREAMNPESALHLHLIEESVDLWKAVLTQSSPPLNPDLTQMVQLAL PLLEYDSEVANQCLDITKDYILLAPRDILSDSLRRPTLSALGKALRSESRDQSHVGAK SIELVVRVAEELGGAQGVSVVVQDLLETNVLHAMLEGLHSAWEAGQTTGPKRKQSKIS TVKETAYFAILARIALADPALLVSMLTSFGSPIDQVWSWLSTQWFANFDCMGDVEREK LSCLALTRLWELPDQQMQELVLNRLQDYLSMWTSVIMELADGGAQPPPAAAAGEAAPP GPPPLGAHDTLVWTGELPGYEYDTPLDVAEREFAAKDPVHCVVTYDFVRARLADMVQR VGGEQAFEANWAVNVDREVLAGFQRLSQPASGGHD MYCTH_2294344 MGLTQSKSPPPPPLDYGHGYGHDARGHGYGYGYGFRPGRAGQWD PHHRSRTGRKSSSSSLPFSSIRSNSRSSSRRRSDRALLAAAAATTPSVPFFSFQQGSE RAQNVRHPSEASPLLGRYRAVPRPAAAAHDALASSLLKGGGGSRAAAGAGRRTGSWGT GPVALGGGSVVRGGVGGGVGGGGGGGGGGGGGAQMGLLSAEPGWRGSVHVGYGALIAA AVEREEEEEEDEEEGHYGEDDGDGADGRYCCYCCCCCGTGWVKRLLRRFTDTWVDPKA SVVKRVVDVWWSRWLTLVVLPALLAVAWVAVPFPQYPLLDEDDDNGDGAVQRPGGPPP TSGSKVPGHGAARVRVNFWFFLFVYYSFYNLTALIWITKVFNLYSLNWWPQSLGFPIT VSLIAALSIAAPIPVYLIPESRFLTVHNTAWISWTFVIMAMPVGIAFLILMTNERHLK LRRSFSETQRIFTSSWWAGEPDSETSWRDGRRNRAVVGPDAFDPNQDALTVGYVDGRH HRATMPVRLRRRWLPASFVRFVWFCLALSIGLLAYVIGEAYAEIYLRTLPHNNFETIV YVYGWISTVHLLDWLTGWILGGNEGERVGSYPLSWIFKLYFMLTYQTYVRALYARLRS PSQFVMLQLLSSTGLIIVTPTLMSAPFHWFLTVIGVNGQSYGAYQKMCIRNVFIRFLA ENASMLTFLGSVVVLHFGANKDVYPYFAFDGTEGVEDYDFGLTFYASSITWACELAAS FIVAGLIGWIYKVSVVTEGKLDFIVWPELLPTCVAVMLHVLQNMLFSIIRLQFR MYCTH_61858 MSTSADAAQSLAQTTKDQTSLTTTEAAALASNSNDASRGQPATA ASGASELASTKITLLKALKHFPDFPIPGIKFVDILPLFQDPVIHETLLRALELQVLEF GEQAKPDVIVGLDARGFLFGPSLALRLGASFVPVRKKGKMPGPCVTAAYEKEYGTDYF QMQEGAVKPGQKVLVVDDIIATGGSAAAAGSLVRQLGGELIGYLFILEIAALNGRSKL GGVQTVTLLETNE MYCTH_2294347 MTCRELAASDGSGTSRPGPALNDLPCPRMQARVRRRCTWLGLHN STLWLGCMLLALCVGYWPVAAAMRPDRVRELRQETVNMFYHGFDNYMKIAFPEDELRP VSCSPLTRDRENPRNVELNDVLGNYSLTLIDSLSTLAILASAPPEDGETGPKALRDFQ DGVAALVEQYGDGTSGPSGVGLRGRGFDVDSKVQVFETVIRGVGGLLSAHLFAIGALP ITGYNPRHPGDDLLHPRPVVWPNGFRYDGQLLRLALDLGQRLLPAFYTRTGMPYPRVN LRHGIPFYTNSPLHEAAAPGTTADGSPEITETCSAGAGSLVLEFTVLSRLTGDPRFEQ LAKRAFWAVWYRKSQIGLIGAGVDAEQGHWIGSYAVIGAGADSFFEYALKSHILLSGH ELPNQTAVRPDRASDGWLDPNTLFNPLSDAENSPDSFLEAWHHAHASIKRHLYSDRDH PHYENVNLWTGSLASSWVDSLGAYYSGLLVLAGEVSEAIETNLLYAAIWTRYAALPER WSIREKTVEGGLGWWPLRPEFIESTYHLYRATKDPWYLYVGEMVLRDIARRCWTPCGW AGLQNVLDGQKSDRMESFFLGETAKYMYLLYDDQHPLNSLDAAYVFNTEGHPLIIPKA ASASGRRRPRAERKDLAIYNDDAFTNSCPPRPSATPISGSVIAARDDIYHAARMLDLH LLSTSPRTVDGGQMSGQHMARSNFTLFPWTLPSELLPSNGTCAKVHHPTELVLEFASN AGQMVGGGAFNFMIGAQNLERLSADRIRVTSLSGLKLTMHLEGDLEQEWRVTKVNGIP LGRDEFLVFDRSILGEVSDPRFNLVRDPVMVKLQHLHQVDIPEDDADTVDDDAPQASN HEPGPEPEPLEDGPNPSVTVTDLGSLVKSLLSRITSSLDNIQLPTSLPRIGATLLPFN LVLNTTAITSTGIGSAPLPPGASPPSAAQRHRTPPFGPVPDALFPWSTIYASPDDACS SPLPDAAPRDHQIILIRRGGCSFSTKLANIPAFTPSPDSLQLVIVVSDDGDDADQDNR GGGGGGGGVAGLVRPLLDEVQRTPGGIQRRHPIAMVMVGGGEAVFRQLEAASRVGVVR RYFVESQGVKIRNIIVDEGGDEMDW MYCTH_2294348 MAPSTKPGPTDLKASESAPHAFLNCSRKAEDETDRVTSLQQGLR LHQKDINTTKISTSTRPSTLPFTPYHRKTRQ MYCTH_2294350 MDLDRQDEIESPVIEDFLDCDQLFSDSDGDSSGSPPPKKTPGRL HIPVDMSSTQYMTSGAHHPERSQMDGLPICTSGPQTGAYHSGELDPYSAVSGVSSFNY PAAADPSLLTPASSSGSPPLPHKQSVKPMRNYHQSTLPSQAPTPPDSSRMYGYNGYDM ASSSQSPSPLTVNPAVTESGGFMPPYMAHSPPISHHPSSPRTEIPPPINPYLGHYTVS GPNEAEVTPHSLQDYHPYGVEVAPEAYLAQQRQQQQQHQQHHVSAGSPPVHQRMPSDG GATPDLSQPHPAQFRPHQVGAVEDLRDPGLLLGPPYPPAGALSPGRRAQTRRKPAPGR KPSRTPPKTAAATATTTTTTLVGSAGANSQLQPSEDEEEELTLRDDAPEDDKYLFQLR KEFISEKGKGMWEEMKAKYSEKHQGNWEKAALQMKVSRAVARYGVWPAKEIERLKEAF EYFEEMRYQFILARMKENGGCRVWDWKKPHIIAMLVKLGLEEPTVNEKTGTRRRRQKA AARRQGSPQVGVAAAAAAAAAAAGGAHPAMGDWSAGLGLHHPMYHSHAHHVAAGAAAM VTEDFGGPPQLSPKQEEDLINEVFRDVRPERDLSSDDGMEGLSYGPNNGDAGADRRPS TAAAAAGTAGAGAGAPQSELNHNHNHHHHHHHHHHQGSTRVARQTCDQQLLQHPAPHP APPSQMTENPYAPQ MYCTH_2106114 MVTDQCLAPCILLLRMLPGSPTAYLYVKYAAVPSEGSLQYTIIV LPTGSAKDKLAKRSLLTGEITMCPPPATIPVRGGSAQRPYLRESSTSIHQLRPACFFF FGKRHPQLHNSVCRIWSGTGAAHVMMGARCRSRQLVATVAQPPRECKDAMSLRWWWSS TTPPPRQVVHTYVQFLPGRRLSGAVSNLVHTTTLFYRSEPQTRLEDGPGLARPRTTPW QSVKESKCHPPFACIKLATNIESEQAGGDLDGETNAMRGQEGAGKVGDASSSHVALQS AGVA MYCTH_2294353 MESPEFAAGMRGQGSNGTASSTSKRKRSTVDSSPASLGDHEHDQ EQEQDHDERTDASPETKSRRLPGVKRACNECRQQKLRCDVVQEPFQSCSRCNRLKLEC KIESNFKRIGKRSKHAEMEKEIERLRRAVELAKSRGFVLDEDDETLHSTVHSAMQSQL HSPVVTSQYSHTRNPSLMGSDEAVSSLLHLKRGGSYALPRIARELDTFQITEEEESQL FSQFFNYYHPFLPFLNPAQTPDQYYQQHPLLYWSIIAVASRRYGSDHNILTKLSGPLT RLLWNTVGDVPQSYFVVKALCLLCTWPLPTSTTSSDPTHILCGVLMKTATGIGLHRPN HINDFSRVAVELNKEGLHDRIKTWAVCNIVAQTIGTGYGQPASTLYDWTLAVRPGIDG PFSLGPELEARLQIERFCDKVSKEMYSNASDPRGVAGDEHRAMLMRVYRREFNELQAS ILAQNLSPIVYLHLKAACLHLRLAGFFDSSRTPGYLDDLMALWRATTGYLDFILDGPE TCDEAYRYQLQDQFLLKYATNYIQQMLVAAGFALLKLMRSFFVKQIEPERGRTLFHRT IQAIRTTSVVQNDLNWRLAELMVQIWNGARNKDASSFQGDDPEIDDSLQLKVRCRHSM SLVFDSIWHWREEYQARGRGTLDASTHLDSTLAPPSQSLPANLGLAASNGPMTPGGNS AMGGGTQGGSLMGLNNYESNYDFWDPQHWMLDGLIDFNYNTFVPIEST MYCTH_2122102 MGASGSKAAQRTVRKFPSRAPGSSPAATTNPMTRPARRPPPAPS EDVQPRSQRQAFYTKDDAIRADSTDPDGTELSPDFAARLQKMGIVQPNPTYSPSSIAS PFPDVSGIRQSVSTPQYPASSNNATLGVLEVRRQLEARAREELENTGKSTDKGREFLD IGTIRQILVLRQGGASPADIEARLRLKPGVVERFGPQGVVAPAS MYCTH_2294358 MSSFIRKTALTAGPRGPALLARRSLLLPIGLSRRSFHGTPTRQN GSSVSGNGKNPYLLPEFSLKDRVIVVSGGARGVGLTQAEALLEAGAVVHALDRLPEPG AGSDFARVADRAASELGGRDDGRSPRLSYHRVDVRDQAALGEIVGGIAEAEGRIDGLI AAAGIQQETPALEYTAEDADRMFGVNVTGVFMTAQAVAREMIKRKQKGSLVFIASMSG TVANRGLICPAYNASKAAVLQLARNLAAEWGEHGIRVNTISPGYIVTAMTAGLFEDVP ERRTAWPDANMLKRLSYPEEYRGAAVFLLSDASSFMTGADLRIDGGHCAW MYCTH_2122104 MGIPCPSQVEKGSGAIWPRRPETERYHHANLDDQERPPPPPQLE SVEREPAFLFGYFREPEEGENATIIAASTSSHFGPFDTKDDEG MYCTH_2294361 MALTYQQSKLVKDTIPVLRERGEQITTTFYRNMLRDHPELRNYF NSVNQKNGRQPRALTAVILSFASNINHISELIPKFERMCNKHCSLGIQPEHYDIVGKY LLQAFGEVLGPDMTPAVFAAWEKAYWLLAKMLIGREAHLYRDFEPWTSWRKFKVDRVV PETDDIFSFYLVPEDGKKLPKFLPGQYVSLRVQGPDGYMQARQYSLSDAWRPDYYRIS VRRDEGAPYANSVSRSFFHPGLVSNLLIDKMGPGSLVELSHPAGDFFLDVHNTSTMPL VLISAGVGVTPMVAIANTVVHLQPGRPISWIHGSRRSVPFEDHVAQLRREHGPSFRTK IFKSRPASGDTPGVTYDYASRVDLARLDPEQDLHLHRGGAEYYICGPEQFMLDVVDYL TAKGVGPERIKIEQFSTGDLAMKRP MYCTH_2122106 MSPSEHTRRDAPVACGSCDENPKNPNDQIPTGSAAHAHGVAASL IEANAVENPTGPPRDADEHHLQEIFNLYTQTETLSPSLAPLRVKSNSDILTNYTQQQY QNPYGPWCCASKKLRKHHVRTREQQVRRAPEHTSPPPSGAAACAGGNKAGFEEFFTIR PSKLGGLGAFATHDLRRGETILTERPLLRTTHFRLMLDYYNLSDAAKKTYLSLHGGED GDRFGRVERVKVLNSFQVPGGVAIFEIASRFNHACPPARNVRYAFDDESGVLSLTVCH DVAPAGAELLISYGGSPAELYSTYGFRCRCGGCTPLTDDDIRTLRCRQFGAGDCSDEY DASEW MYCTH_2294362 MTEVTTYSQRHPFEASNPRLLAPAPGFQTLSISNPNSEMVYHSE GYYAFQAPPRPPLEPRSSSVSSASSSGSSSVPYSTVPPDNVGAVRTVSPASSTSSDSG TGAMVYSRNHCPAIAHEQPFAFRAHPLPSQLLQAQAPSSLPFYPSTYQTSRDVSRPSP YGAYSVDALHHQPPSFSYETWHPMFASTAPCHSSQPSTSYQVRPRNNDANWFLNGMRR LPPDVIRRIQKYVTWYHCWKATRICQWFLHNFHPDNFSHEWKVAEILNTERNRGHEPL DDEPGDTPEQKKPGKDFNFFACYHCFRFKGFDQFEAQKHTPLVFREEDDSEEASGTTN ALTTTKKREQSSTLSSPSRSPPANPHYDPSLTRSSLRAASSSSSSSSSSSSTAGRGRR AVGGGGSPAASDDPFAHMHPRAKRTYGQRRFCVDCGLKAGFYEPGDLIELQKPRKKGD AMWVCRCRKLHSRMDSAQCHVCNSIAPLSVPR MYCTH_2294366 MDSSAAASTLTRQRLSVAFLSLAATATVGYFCYRIYHPPLPEPP PDRRLHRSNAVRHRRRSIPDVVRAHSREPSEASTTSVESNADENAHLGNVQPLNDAET VADDHVMEDNWYDDDANNNQFGGQQQRAGQNIVSLLFRVSEDNARRNAYVHRGCLCNG CGVTPIRGIRYRCANCTDFDLCETCESQGLHTKTHIFYKIRVPVPRLGSRPLQPVWYP GDPENCVRLLPKHLMAKLSKETGFERPELEALWEQWTFMASTEWREDPDELGLAMDRK TFERYLVPSGGYRHIGPNLLHDRMFAFYDDNNDDLIGFSEFLRGTAYRKRKDRLRKVF KGYDIDGDGYISRRDCLRLFRAYYAIFKHMHRDILDGLDDQVMSSTEVEQLVTSRQPL SSLFGREGGFSHADTDRPMEGKVVNLNTGEVHITDGSNRAVSEDTPDTDDRRSILTNV FTRQAHVAESLFMPVMDHPRSTGERTSGLEYLNGLLNPPTRIGDLPALLLGETRDGDD FVVVVNGSGGQDGRSGDDNSSSARENGGASGSGDDSGDNRGSADRSSGSASGDGNQRR PSYRVASSRRLRVNARRKLFDRWKRRQFYLDEEEGMLPPEDWSEDDDILANLNNGPVD ESKSAQPPLSSRSRSSSKVRFAQDTDDFEVRSNPSTSSRSIPERWGGIEIPDAERDLG KEIFYQVSQQAFNEILDTLFKAKEDLAVQAAETKALRDQYRPLFESINPDEDDEKDGA TPAKSKATEDPELQELLARSGYTIDEGTQNDTQNDTPKPEEDETSDEGGDDDSSLPPP VPEEGSADGSSSSSAEVDTYRDPTLPQFRPNSEAAASRAGRTQQNPPHQAAATASTSE GRRAEPNPPPASSRKSSAKAAGKTAAGDGKKPAAAAAAAAAPKQPIPRTTLVNWKRLD LAEQEAKERGGWGRLSYDEFERIYKSAEARGNRLDYLGTWIDFCIPST MYCTH_2294367 MNIISVAESPSLDSVEYDPIAHLNLLFSHPSTVSSVSRVSSAIR RHKDDLSRSIASLEAAQAYGPDSSLERMQSAQAELASLFQRIESVRSRALQTERDITT MTADIKRLDGTKRNLTLSMTALKRLQMLTTAYEQLRGLARTRQYRECAGLLQAVLQLM RHFNSYRSIEQIAVLSRNVSELQRELLEQVCEDFELAFAKGEVAARRAVLVEACLVMD ALGEHAKTRLVTWYVNTELREYRQVFRGNDEAGSLDNIGRRYAWFRRMLKTHEEEHAS IFPPHWRVGETLAMAFCDGTREDFKGILEKSMRRIDGAKLDVNLLLSCLQETMDFEQS LERRFASEPRLSIDTLSSADDRVQNFNGSISVAFEPYLSLWVELQDKALAAMIPKYKT QPLIPADEEFSPQAVIPSAIELFHFYKLTLSQCAKLSTGERLLELSKTFAKYLDEYAQ QVLVAFLQRGGPQGPPVQEVILVLNSADFWYTNTGQLEESIKKRIDPDMASNVDLSSQ SDAFMGVASAAVMTLVAKVELDCEGAWREMRNTNWSRMESVSDHSSYVGELLKRLNGK AREILPLVIKQQYARAFCDNLVEHLATAYINNVVQCRPVGEVGAEQMLLDKYVLTKSL ENLLSYHNTSASTTTTTTTTTATTSSSTSSPAGPGSAPPSQHPPPAAFLKRVNQAMGR IDPLLKTLQVRPSPPEGLVQAYLIHIGDRSDTNFRKILELKGVRKQDQAHLMELFAIH RDAASSAAGGQQQQQLVQSSPLLTPLMAGPGSSSTSSSSMGLGGGGGGGGGGGGMSGL AVGGGGGSTTSGGATGLQGRFDAASLGEKLLNAARDGVERMGTTAGASGPGAGGGAGT GAQEKATINENLKNIGKFFRRDIGGFGARFGKRDITPTGGSES MYCTH_2294369 MIQGIFYARFFPQEGTKIVAQSPPGCIVPDPDAPTTKPPLFDYS VLQEYIIPRQAFCNRYITVADPEGKYFVLGFPVSIPSPRYDRNEFIFNFGLVVDHDVD QVPYERVVRRLAVTFAEMEKQSGFLSQDADASGTRRPIGSLLEIIKEDLNNYGECMIP VDDANTINMKLFPYHPTPPEVKGWHVPVAKMKFDEVVDSTWDLTLQRVVKHIDGVSDV RRIAILADVSLELTQLALRHLLYYDTILLLDMFFFGACYAPRPGIHDFIANVDGMVDE CANYVCVGLGSAAYQQQQQQQQQQHQQQSATRPGGSVRRDDPAVTTTTTTTITTTSTK TTTITTTITTFIIIIIINININPTIITPTSPTASPTTCSSSS MYCTH_2294372 MRTKRIGAYVGVDPTASSMHVGHLLPLMPLFWMYMHGYGAYTLL GGSTVKIGDPTDRIKDRDPISKADLAMNVTKMHFQMKKLWANVELQARRFGYQKEWAW KRGLVNNNTWWNSVPMLEVLKRVGAFMRIGPMLSRDTVKRKMTEGNGVSFAEFSYPIM QGWDWWMLFSRNKVQMQIGGSDQYGNIVTGVELVKAARDSEPDPSRRLPAEGPLDDPV GFTVPLLTDSSGAKFGKSAGNAVWLDQYMTPTFDLYGYFVRRPDADVEKLLKLFTFMP LEEIKKVMAEQVEDPAKRVAQHRLAYEVVTLVHGEAAAKEAQEQHRMMYGKGGPIIQF TTTTKAPGDEYAAPSGEPITPNNAPRIDMILPESLIMGKSIGRILYAAGLASSAKEGH RLAAQQAAYVGGMPGRSPGKGEPMNPAQLTWNPVKLWFPQETQKYLIDGKILILRKGK HNVRVIQMVSDEEYKASGQHYPGQPYTGRLRALREHMAALKAGKMTPREVREAMQQPT EEDDGAILKFPKEKNPEQTKLEAQLEELLKEAEEKEKKEKEKEKEEKEESAETKPGTS S MYCTH_2294375 MASIARSSLLRQVASARPSFQQSVITNAARATAFHTTARRNLLP PLPQRVEGTVNDAAPVPEPSPSHGSYHWTFDRLVAASLVPLTVAPFAAGSLNPTLDAI LCATILIHSHTGFQNIIIDYVPKYRVPRARKLFMWGLNAATAVVGLALYEFETTDVGI TETIKRIWTA MYCTH_75696 MSATSSSPTTSPVKPHFPRPQHNCLECKRLKRACDKKLPCGKCE KAGRNCEYRDSGDDGVFGPISAARDIHSLAFGTLLDILVHKQGIKEAISSYFDGVNTW FTVVERAAFERELEANWDNLQAETSAMALCMALIARPPNQKSSKGMGDTVYPSTKAIL SLVQSKVPISTKMLQAELLVAMYEFSHSMPQQAYLSLGRCLQMTKAMGWHDPTFWALE KQISRPADLKLCSILCLLNVAYQDPATQGYPMNTMGLNLGFPIPGPDALDQFFPGSPF QIGGQNQGFRDANSDQMAGVVFPEAVSAWYLFQVLQHLSNPAVQDNDSRRELSNHIFR HMKATGRSRWRACDRAIGTDLIALMKLHQPHLAGMPDPLTMMIDPSHAEDTTRMRTVI DVIHGKAGKVTQIEELDRGAVDPSWAFAMCYASQLLISYGYNTLQDLNWLQKVADLRA ALERVSRRWKIAEQYCRQVTIDLDNRLAAGFVN MYCTH_2294384 MSEFVNVPEFFSSVYLVDLSPSLCEVARKRFARLGWANVKVICE DARKFRLEDYEDGLSGRRTSLTRSPTSYFEARPDHGGADLITMSYSLSMIPDYYSAID SLTSLLSPHGIMGVVDFYAQSQVDVRFRNHTGGMIGRHVHYLARTFWRAWFDLDRVSL EPGRRDYLEYRLGTILTANLRNKALGSIPYYIWLGCHKKPFSSSSLPHEIIERIDALA TESPYLLPSNKPKGSDALTRAVERTAPELRSKAFDAAVGNLSANLPLPSFFYQNHHWR IYYDDQLEKHTQFKDEYIYAFTWEDSKVDASLLKLGPDDVVLAITSAGDNILSYAMQS PARIHAVDLNPNQNHLLELKVASLTALPYEDFWKIFGEGKHPEFRSLLLSKLSPHLSS RAFQYWLDNVSVFTKAGGRGLYDTGGSKHAIRVFRWISRIFGCRKAVGELLAAETLAE QRRIWHSKIRPALLSPLVSNLVVSSEAFLWAALGVPKNQLAMIEADHAVSPAVRGPSP TAKNTRAHAIWHYMVNTLDPVIETTHIATDNPYYHVCLTGAFSPRCHPNYLSREAHAI LSKPGAFDGLRIHTDEIDEVIARIAPGTLTVAIIMDSMDWFPRTTTTTTKTKTTQQSS SSSSSSSSSSNPAAAQIRKLNRALRMGGRVLLRSAAREPWYLREFEAHGFAATRAGDR APGACIDRVNMYASCWVCEKVTNLPPPTPELEATLGASEGEGEGEGEGGLAAMTMIGE MYCTH_2294385 MASLGGNTSPPENIISPQVFVAGLAGVVLFTIGFCQLSAKPSER RPRDGGDDEPGIVRSILLFCYSCFLKPHSRTANGGQQGALESFYASQADAVRPALVGD PGSSAKNR MYCTH_2294386 MAPSSSQKGTGKKGGAGAIRQQQHQQQHQQRSRNTTPGPAPPSA SLPPFETVETETIELRFDVFRDLTFEDMVDPAASSSLIPDSKSLDGLLTRLQRLGDVI EKRSTYCDRGMRLLAQNRRQRMDDLALERDREEERRQREAEDGERERKSNKKKRKGTD TLTPHGGNTERSSPLRESTGKARKLSREDSASSSLSPVAPGTPGNMDVDDKAKAEAND SDSDSSSDDGRPPPPARPQANTFGDDPSTFPDPTVYEILPVKPGMSEEEIKKIYSVAS YPKSDLSHLIAGDPPDKDFSNAKPSNQISFSTFNSYIEPYFRPFTEEDLAFLRERGDR VTPFIMPKRGKRHYTEIWAEEDGGMALDSSPPGKLPPNQPRGNIENMDDEVAETDKLS VGPLLARLLQAMRPENRAPPADDKQQGNANGVNGEADNNANGIATFDFSSGDNQPAGQ TNGANGVNGASSQPANPDQPPQPQTLPPATFMPESNSDSWKKATHPKLDYTQVDERIK QELRHIGFLPLPPHQDPSNPAGANSSASASNPGGAPSNSNASAASAAAGTIQPDPASQ AEYDGHYDDEVAARLRLLQARLREQALLNGARKARLTELVKERMAYQEYQTILEDLDS QVQAAYLKRTRTMGKKLKKARPGQSAAAAAAAAAAAAAASGPVARPGIGDLTRTLMER RRRWIESIGTVFDDESVAKVPRVQDGDTSIFKPAVMAELLKREREAWDEEVEEE MYCTH_98729 MSDNAYVNPSWKGGGTVTSTVIRNITALSRDLSYSAQFFGNTTT LSSRFASVENGVISGLLFVPNLPDGDSCNSETASHVPASAVRLADLPPMNLHLIAIAP WVNGHCSEAYLNAARTTPVRAFLFYQPGTGSDSPPPADADDWHISGGSNWMKRASFPV FAVSGTVGEIVMQHLSLYSGNITEVPYGRNLTERYLADPADYLRIWTELEIRTPPSGF ETWVYVLIILAVLLTVITATSLLMHCVQAWRRVSLRRRVISGEVNLEAMGIRRLTVPV SHIKQFPLFTYHYEPEAMSSPVSPPPVRATRARTQTGTRTGSVSFGDSSVASQAARFD AITGPGLGGPFTVSTTATDYQPNCEICLESYQNRVTIIRELPCGHIFHPECIDEFLQE ISSLCPVCKASMLPKGYCPKVTNAMVRRERAIRRLRGRVEIQDDGEDSTPGDLPGRWM ATKSRLFSVARRGTPSSFSTELEDNRPKPETRGSQRSQPQPRPDNRPDTDPRGGSPTA LARERMRELAGFGPDDEDASLTMWQRLRTRIFPGFD MYCTH_2294390 MAPLASEPRQVLTVLNPARRKALYTLLVEITAFMRSQLDFNEPA GGGHQGAAPLLVDPSADNSIIIPAGTAGANDAAPPNPALVRLRAAAVEHFDGWRKDVL AKLKELLSTPDDASIVEERRKRTERIAAQQRQQRQQQEQKNNTGSSTDTRRVDLLGSW DAWPEDDRGDGAKTEGGRGQTEEQEENTGDAVARLQSHWHPIPTRLVTIPEEDRKEAL SCVLLVLLSTGHYSAHSRALAVYLTSALELPLAVLNAEEVEIAVSLVERSAEAERQQR TMSAEAEAEKRKQQNQASRYWKVGLASVAGAALIGVTGGLAAPVVAGAIGGIMGSVGL GGVASFLGIFWMNGALVGTLFSAFGARMTGEMVDRYAREVDDFRFIPLKDEWGTRGSS QNDRRLRVTIGINGWLGTEDDVAKPWRALGDDSGAFALRYEMKSLLGLGKSLRELVSS YAWNAVKVEVLKRTVLATLWSALWPAYMLSMASTVDNPFSLAKNRSEKAGEILADALI NKVQGERPVTLIGYSLGARVIYSCLRSLAARRAFGVVDSVVFIGAPVPSNRQHWQMMR TVVSGKLFNVYSENDYLLAFLYRATSIQLGVAGLQEIKDIEGVENLNLTEEVQRHMRY AKLIAKILARCGIPVVKGASAPIEEDEDAIKLTYPDKENANLIDLDGLNITAPPEEVQ PAPPRFQNRTPGSQPQFAQRNEQRTAATGAGPSAVAAGRDLLDLESPNSSGGPAQRTA AIPSPSMPTPTPQPAAARQSPDPPEYAPRELPNTKLFMMPQVPDRSLQAYVPPGPAAS SASSAPAVPASQMSAHHLPTSHSQSAVDGIEDDDGSDEEGGMGIKMVDNDDGLEYCDP TPLEEDPNWR MYCTH_2294392 MTAAKKNLKATKKFEKKHLKGVLEKRKAVRKIKQRQQLKEKKKA KRAQDEEFYKGPGGDANGENKAANNKKPGAKASEMSVDEFFKGGFEILDTKTKDKTKS AAKLGKRKRGEADAREDDSEGSGSEPEISDFEEPVVSDSESDDDDASDEGDLGMSKSA MEALAEKDPEFYKFLKENDPEALDFDENADLAEVDDLSGSEDEDEQPKKKQKKDKKAA KEQQEKDDRELTQAMIAKWKASIQATHSLRAARQVVIGFRCAAHLNESDEENRQRYTI TSPDVFHDIVVLALRGIPEVLQHHVPVKESAAGKVYVQTEGKKFKALSMLIKSFAASV IRLLGTLSDEATLKLTLSALQPLLPYLLSSRKLLKLLIKTVVAFWSQSASTDATRITA FLVIRRLVVIGDKAAREVVLKATYQGLVQGSRLTNANTIQGINLMKNSAAELWGLDQT LGYTTAFTSIRQLAIHLRNSIINNKNDSYRAVYNWQYVHSLDFWSCVLSEHCSPLRQA EAGKESQLKLLIYPLVQVTLGAMRLVPTALYFPLRFQLIRSLLRLSRATDTYIPLASA LLEVLNSAEMKKPAKASTLKPLDFSVAYKAPKSYLRTRVYQDGVAEQVVELLAEFFVL WSRSIAFPEFSLPVVISIKRWLKEGRKPGRGNRNGKVASGLVLLVQKLEANARFVEER RARVDFAPKDRAQVDAFLKDFEWERTPLGAYVVSQRKLRAERQRLVEEARREEEKKRK EEEREALEGGDGDGGESGDESAEEEEEDEDIDGAESEDEGKEEEDESEEEEEESEEEE EEEEKEAEED MYCTH_2294394 MIPRQPYAPTPHSYVPNSTLSATINLDEEVKLAETRAERDLQDS LAEIFSIIVTIDELEKAFLKDAIPEAEYTEICERALKQYKSLLADETVAKAFVGLEEF KAEWDLEVPRATERIRVGMPSTAVTAISGAAPAPAAGGNTSGALILEATQDFITFLDA LRLGLLAKDQLHPLLTDVIQSVNKVTDRDFDSRGKIVQWLITLNQMKATEELSEEQAR ELELDINSAYQGFKSILS MYCTH_2294398 MSIDLNWETVTGGPDGQELAERIRDFIHTKFQSVPLPRFIKSVT VHDFQFGTIPPELELKDVTDPLPDFYEDHVDSDLASDDSDGENNGADRIAQAQNERRR RAAESEMLSGGGRNLGHLPPHLMFGGPGGPGPGPGSMRNGDTGGGPTMRVPTPGIPGG TSNLHYFHSHLASGLSGTQTPLAAVAGAHHLSTANWLDGHGHSSSTPNLHHFANFGGA DTSPRRFPESGHSRNPSQSSISVADLSPTVPTGNSNLAPPSSSSNNNNNNGGGGGGGG GSGSGSNNNLSLPSLREKHSVSTLAPTSAGASRPPTRDATGTLMSSAGDDSTGGEAKP NQPQQQQQQQQQEDRQPRLRERRVDDMQAVFRIRYAGDVKLLLTADILLDYPMPSFVG IPVRLSITGLTFDGVGVLAKIRRRVHFCFLSPEDAVAAVGNDGEDLPGEGEEREEEEV PEEEGGKEQPTVGGPRHRRGRTAAGSGSIGGNSTSSSSNNRRGNTKPGGLLQEIRVES EIGQRESGKQSLKNVGKVERFVLEQVRRIFEEEFVYPSFWTFLV MYCTH_2294400 MDAQSPPKRMTRARSAAKTSELAAKSARVVTPAARAKTARSAAT TTATTRATLKRKSPSDDDEDGHEMQPAKKITIAAADKPTRGRGRPRKVVVEQPAAEPT PEPAPAPAPAPPTTVRARGRPKKAAEPSAEKPAKATRVTRTRKTADESTSAAAEPVKK VSRGRPASSTGTATVTTTAKPVAKSAVKKTVKFEEPEKENVAPTSTAARKASTKTSAQ GPSTTTTTAAAATTSGLRGKPVRKAASATATRSGRKTKAAPDTESGENVEKPMPLSPK KVNQLTMNCVESDDELGMDEKIPVRPFKKLPIKPTTRSAKPTTVHAPVSAGENDENAI EVKQAETEVNLLLASPAKRLPPTPWKGSIQSPARRVEGLVPASAQKTEGKAPQVPSKT GLLQSPAKRQPLNLNPHGTDSSSGSGITPIKLSFLSTPAKRPPISPIKPLPRTIEEEE PTDRSPAPKPTLLASPSPQEVSEGLEERPSTTEDKSADNETLHESPTTLDFPGRLSAV LPRDADPTLTPPASTASAATAQAQAEEDQAETKEDVQEHDGTEDQGEPMVVDQPSAEH PAADSASTTPPTSPKNINPMFGLRAKDLDPYGNLADSDSDDDDDSPVRQSRYTSAFSA LPATPCPGSANSSKVWQSSSRSIAKKTPKNGGLDFTPLAQQLSGWTAGPTPLRIGSPA GLATDSPTADHTPKAVSAPAEAPQNTFFEDEMHVRPETMDAEEDAATEVGDDDDDAVL EDLSFTEEDMELAAEANEMSLMEKEQGDHGSQDHDDSNSEASQEYGDENAIPIDPTLA SSGNGLSGSAVPPVTPQRTIRRREVHTVAKVPLKPADESTPQPRQENRCYSVSRLPAA RPTERLTRNATAPSCSPCKGGEVDPLDDRSVDGRADPSLPVTPQKADIWSTMGTPART PRGDLNPALLQGAVVFVDVHTSEGADASGIFVELLTQMGARCVKTWSWNPNNSSESGA DSRVGITHVVYKDGGKRTLEKVRESGGLVQCVGVSWVLDCERENQWLDESPYYVDTSL VPRGGARRRKSMEPRSIAKLNGMLVPQPVRSSRGSQTAPNTPANNRRASTLWVRSPEK YSDEDDDDHDGSDSGDDNDDKGGGEHTEWGALTPVPKTPAPEAIARFVANISPGSATP SSVGSVDSEDPLGHGDEHDRKEALLRTCPPKRTAFVELGESILSKEKDERILMRLMAA RRKSLQFAPKIGSPLSKSWKAWN MYCTH_2294401 MASSKRGPSVLVTDSRDPPLPRGTNRPRAATGPARPSTRLISVD NVLQYSSDIPSGQPRGPPGQRPTRAAAMRRNTQGKGLPTLASARTGQQNVPSRTTKVS EKLVLIPEAPQDEEAEGDDEELARRASILRADDEDRPLKDEELDVLRKRGGIRGKSYA ERLPKVQRGEKVSRLTAYCTAQSYKMQATADFLKTKHEAKIKLYDDCLYTVYHLPLLP GVEGYRLRSRPVLKTPGTGKTVLDLEIERSERRDEHEGLWDEYSYGAQGLGGSPIAGP LLQHVGSAPENLEPSTGGTGGGGGDDQAPGHEGVSSPINRLVPDAKNFGDMFVFSYGV VVFWNFTERQEKDILADLTFAEHETGIPLVAGPLDEMDFETEEFHFEYSPDVKRPRVF NDMITLLPRSDHMVKLTISHAIAQSTKLCFFEARMSETMLNAQHVPKRLALTGELNMT RTEIVKILGRLFKSRVDINLSSNILDVPNFFWDSEPTLHPLYVAVREYLEIDPRIRVL NERCRVFLDLADILADSIADSKMSYITWIIIFLIVISIIVTVTEVGLRFAILSREKGR EGGGSIGVGVGPANGGPGNVIGGGDGDGDEPQQQQRDLRWLVRENKNVTLEELRLWSR ALSERERAAVCGADVVGKTFAGV MYCTH_2087238 MCIIFTCGEHTFRKEVEGYEGLVCRCNNCGNYSGSVTKSHPWFT FCFIPVLPLSIKGYEDVTCRICHFEQPLSHRPDVQALRGQGGHGIHMQPPPGPPQGWG QPSPKPNQPMQYG MYCTH_2294405 MLYDLNIAWSPGVPAADLERTLKFAKTLGYDVVALNHIISGPIP TQPNPITNPVPQLTPPHPSYPGGRGPLSSSSSSSSSSLSSASTTTTTNRPPPSKPTTS SPSSSPSSPSSSSSLPITLRRATLVISDPSTTNYRLADYARAYDVLALRPTSDKSFAW ACLGTTDPPALVSLDLSSHLGWHLHHRTAMAAVQRGVRFEICYAQALPGNNNNSTGSS SSGGGVGGGYGEGTAAGPGPGPRERANFIANLQALVRATKGRGVVVSSEARGALGLRA PADVVNLLAVWGLGPEKGFAALREGARAVVVNEGIKRRGFRGVVDIVKPAEGGSAEAG KQQQQQQQQQQGQQGQQGQKRKNGEGKGAVGGGGGGGGGGGGGSGQQGELSKRQAKKQ RKEAAQAKA MYCTH_2294406 MPPNAPGKLTVGVAIGSTSVSVSELAEPGRGASDVTEEVAELSE LGRGASVVVDEEAAELSELGRGASVVVDEEAAELSEPGRGASDVTEEEAAELSELGRG ASVVVDEEAAELSELGRGASVVVDEEAAELSELGRGASDVDDATAEPSDTGAPATGTL VLAELVWETWEEDESASEVEDVEASEGLGGGTCPDSVVVPPCVGSDAREEDEIELASA ALEGAAGGFPTELVIRAPSGTGITVTPTPPLATTEDGAPPVPDTPSTAPLA MYCTH_2294408 MEASCQCGAVSFKTPLPKPLALYICHCHDCQRQSSSAFGTSAIF PRFPLPDAELLGCYKRPTTLGETMYCYFCTRCGTRLIHTIPGKNVVSVKGGCIEGLDW KSAIHIWTKSAMVPIPEGSETYPDGGPTEQLSDGQDSLDPPIELAG MYCTH_2294412 MITTTSPSHRRGTPSTSSTQSLLTHGPIQSWIRRLRPLLPSFVA DDLFPEHRPTWRLHPTSYLDGLRGIAAIIVFICHYTENNFRPLTRPYAPIPPAPGPDP PLDEPPMYGSKDLPDSLIQLPFVRCIFSGRPMVHIFFVISGFALSYKPVQALHARDTA KCYAALASSAFRRPFRLFGPCIVSTFLIMCLRQLGCLPGGQETLAEELWRWRGAVFHQ VTWPWAWDHDLRPAYDVHLWTIPIEFAHSMLLFMVVLMLSRVRLPVRLAAELGLIGYC LVCGKWAGFEFLAGLFLAEMHVLRAARAKEWEGHEEMLRSRGSTNWALKAFQVFLIVL GLFIGGWPNRFADRTPGIRYFLVLTPSPFATMDALAPQKFWFGLSAVATVWALGDLAP LRRFFEGPLAQYCGRISYAVYICHGPVEELFKDWFLGHPPIPEYGEPGAPDYKPPLPA TGVKGLVGYETITQITVGWILGLWLLAPVVIWAADLFWRGVDSPLVRLARKLEMMCLH ETEPSPRSQGYSAAA MYCTH_2294414 MASLNMSPEELKQLELVRNRFAQLTSSLTSLRASVLNSNPLPSR ESLQASVTILQQNIRSIQELADENADLFQRLAIHPSTNFPGRTHEHILTQLLRKKLEP DVESWVEEARATARAAGVDASKLAAGVRPRGVHDYDDEDAYDLGAAVNPDDGPSDPFS EQWADMADNFRQTLQQYVTVQVKKKYTVEEQAMGIENVRTGLRQTLEESDEEDEDEEE EEEEEEEEEGAAGTTAAQAAPGAPAAAGAAGATGATGAAEGQGGHGGGLPVVVEPEHI FYLQAQGSFDLPRNIPLESKRVQTGATRRVAPPR MYCTH_2294415 MAPDLNSLPASPAQGKAVNLTRSGLNSGPAPGEKLPNILYIMAD QLAAPLLKMYNPDSQILTPNLDALAARSVQFDSAYCPSPLCAPSRMSMITGQLPMKIG AYDNASSISSDIPTYAHYLRLKGYHTVLAGKMHFVGDQLHGYETRLTSDIYPGDYGWA VNWDEPDTRLEWYHNASSILQAGVCVRSNQLDYDEEVMYRSTQFLYDHVREGPGARPF CLTVSLTHPHDPYTIEQKYWDLYEGVDIELPKVKIPKEEQDPHSKRLLKVCDLWDNEF TDEQIKRARRAYYGAVSYVDDCVGKLLDVLKKCRLDKNTIVIFSGDHGDMLGERGLWY KMSYFESSVRVPLLISHPTQFTPHRVKANVSTLDILPTMCDLVGTKPASFLPLDGVSL LPHLQGVPGGHDEVFAEYTGEGTVRPLMMIRRGRWKYITCPADGSQLYDLEADPLELV DLVKSGAASSDEKIRRVFEDFEAEAHAKWDFDEITRRVLESQRKRRLVWSALIRGKFT SWDYNPIDDGREKYIRSHIPLDDLERRARFPAVDAFGNETSSAVVAHQAGSHGE MYCTH_2294417 MKPTTVFFSLFAIAAAAKLPSTGPSTDTATVDVVARHGPSHEGR QHDGHNEVIPTDEEEAHDLDARGLKIKPSKVCGILGCKDKDKDKDKEKQKEQEKQKEQ EKQKEQEKQKEQEKQKEQEKQKQEKETEKDKTNPVNKINKQNGKHKGQKSDAAALADL DVSVLLAGLVGTGIAALL MYCTH_2294418 MEALRSAIQPVTHNLPGPIRSLGESIIGDACYKTLLLDVDLENA ECVKLAISKGLGIGIVGASSIVKVPQILKLVRSRSASGVSFLSYLLETSSYLISLAYN VRNAFPFSTYGETALVLGQNVIITILVLNYSGRATLAATFVAAFAAAAATLFAESIVD MQTMKYLQAGAGALSVASKVPQILAIWQEGGTGQLSAFAVFNYLLGSLARVFTTLQEV DDKLILYGFVAGFALNLVLALQMVYYWNAPSAKAKGKQKEVPVAAPTSSATTTSAAPK SKGPTTRRRG MYCTH_2294421 MPFGEDLFPDRDLSPAPIQSDLLKSLEAEMDRDYGEVQSAGPTI VTLADRAVVDREPAGVPEPDQQVQAEEKVNAASPEPAAPSSTFKTRGRPSLSASATPV GSAASGTPKSATTPKGARASSGKRKAAQGEPKETETAATPVPKRGRPARTAGVSASAR LAAAAANKPARGRPRSTTVSDPRQP MYCTH_2294422 MAQLTGPAKLDAVRKLLDDLNEDLKSRTLQPQERDAALEQLKVY GRDPSFADPIFTKEGIETLTKFAFDSSSDITSRNALRVLCNALFLKPETRQNFVDLSY EDKACAKLKNDNRDDEFLVSRLLLLTTYGTSIDLPKLIEQHQLADSIIQNLARHAERF SKKKSTTAAAEPMTEMALAETLKLLFNVTNFAKKHVNSFDGALAPVASILCAHPFPQT KTPLDPPFSLLINTLINLDLTTPAAQAALYPPADPTKVANRLLELLDLAMKSYADSQL DQDVSPLICALSEYYKNAPKKEDAKGTAPTDPVRVRVRAALLPTDEDRKLVLGKADTL PSRLLRNWTNPLAPQFRSAVAHLYFDLSGRDPVQFVENVGYGYASGFLFENKINVPEE AMRQQQQEQEQQGGKSAAGSTGIRRDVNPITGQFLDEEKFPDLPEMTKEEKEREAERL FVLFERLKQNKVMSVQNPVEKAVQEGRFQELPDDYSEDDSD MYCTH_2294426 MSSPAVLSSYLSALPPRPPTPPREAHLEAVAPNRQSLPFVDSRS SVHTPPGLQSPASSDATTSTSRRARKKVGFSAQAEYKDPPVYPDGEGVIQHPTPVSLP RSASKPVKSILKVTANPQNLLDPTAGNDCDLSNPQISVAAMLESTLQQLAGGDRDSKL DAYLMLTRAWKASNNLPDRVALQEKMTLFTQFMQRDIVAKTPEGSLDSSLVNHALNLL NTFLHFPAISSTISNDFGVFIIDHCIHSFEDASTPKDTARRLMQVISLQNFSPKVMTA DRVGRLVSSLHNIEEHLKGKSIILSRVLIYRKLVQQSRQLMIIHADWLLDMFTDMLSN LRDIRSAAIALGLEAAFSIGHEKQLSRKVMEVFNLSYEDKRYIQYYEEKLKTMTKDKY ESAVVPHIWSVVILLLRIRLDKWEFAGPWLHIIQNCFNSSDFPTKIAANHAWARFVYL MHLEERALPKNLSTLTTPLISQLRRRGSGKTSEELRQAVLGGICNLFYYAFKPNTSST LLDTYWDTSVRPVLTKLLDPAAEAADDNLRQASLILGGLFDCTTPRRWRVDHIVESPL VKPDELPPIESKWIRQNTNRVFGVIEPILEQDFFALSEADSATYKLWRSLVSTVASAA AKEIKVSKDTASFVGVALNTLHKVWKRGVSEQEGTGGNVTRFLISARAYLDAMISLLG PLPFTEKAGKHQASAKAPLYTLFSMLSTLPPGVPDERDYADFFGSVFAPFFASKGDRA KMELAQDLMSVIPMDAPRPYGPWLLVAENISSWLEPVHNSHHSTGSVGETPVGHDYRD VVKVLERGIRSTPNLPPKHWMSFFHTVHGRVREETGDAGAAIVVIEPLAKVLAEQSAA QECSSAPLSRVAIVTELVSVATQPRDRQAVDAARKRLWGTVFAGSRSSSFDPFDNLYK AVSDALESLYDNFGAVNVDSTVQLFKEIGSFFDRCNRQLFLRAMMALQDGLLPWIQDD RRLLGSQANSVFASVKSLWDKLARLIGDVDHPEQQLQCLDRFFRASFASCHRVIVNSA ISLWNGLFENVGQLDYPEELKAALAKIQPHADIVLPGMETSSSEYAGQRLSFIDSFED FSFSELPSTRSSNRRGTSRPTSSHSKSPEVPPQTEPAASDRRTTTPRTKHDDSQVHFA TIEPSSELDSPAASQILTERQKEVRERQRETAALFPHIRSSPGPKAAKDPDHQMLPVG LQMRHATPEPEARFDDYVSSTPTPRRGQLVVMPEHDMTDPPSSPPELRGNPLAAEIRS RSASHSLLEEWQFSSSPVSGSPNPGRHGVVPDPSSQRGYVSVVSLPEVPSSPAKEEED KTASQSTGDEVVEDSMIFEPANAAAAPAPWGTLAGANPSTPRRSTRLSQARARETPTP KSDGEEFVDAPTSPPPPTPKPSVRTGEANQESDGKQALVIPADNTSFDISDVDESSLF RLVVELDSGKADRSEYHRPSPSVSPDGKAQSPPVVDCIVVGESPQKSEAPPPLRKTRA SSAASAISSHAEAQNMTSSQPRARVGRPKRKRATSKAQETGAKRQRHDPAEESREVPH IQETPVLDMAVEVQGSAEAPEVSTGLSGNMEKEDIPEERIPSSSAEPSSSEHPSQESG PQKPTVPRTGKGMQFEEEDDQDVQSQIAHESSQHQEEGASARSGEAFAIPISAVEQMQ VDDQQPINTNQEEDAKVVSEGEEGVSNVERGAAPEPTQAQKIMDLFRSGLDELRSARL SREQVYQIEDMFMDMRRELYEAERRGRG MYCTH_2294427 MVGRANKPKELVSTPGLPPQATVTFSEDNSRVRAELPTGESVEV LLYGATVISWKDASGDEKLWLSDAAKLDGSRAVRGGIPVVFPVFSTAPDHPQTKDLPQ HGFARTSRWEFLGKSTSESLVGKAANEPTVKLDFGLSSAAPGLDPKASAHWPFKFNLI YSVTLNQRSLTTSIVAANDDSAPFDCQVLMHTYLRVKDITNVEITGLASAPYFDKVDS ANPHKTQPAEPAAATITGETDRVYTPAGDVTVAEGGVPRYRVTRENFGNVVVWNPWAD KARAIPDFEPKHGFRNMLCVEPGAVAGWQSVESGDAFEGAQTITLLD MYCTH_2294429 MTTQTTTTSNGQVPDWTPDSWRSKPIKQCPEYPDKAALAKAVSE LKRLPPIVHPREIIELKQHLRDVAQGKAFLLQGGDCAELFDYCEQNAIESKIKLLLQM SLVLLWGANKRVVRIGRMAGQYAKPRSSPMETIDGRQVPSFRGDILNGYHPDERELDP DRLVKAYYHSSATLNYARAAISSGIADLSRPLDWSLGHVRDPVLKAKYEKAVDFLKDM RDFLHTIGAENEKFHTVDLFTSHEGLLLEYEQPLTRLLDDPTAPPSAPRKEYYNTSAH FLWIGDRTRQLDHAHVEYFRGIANPLGVKVGPSTPASDLLALLRTLNPAREPGKITLI TRYGADKVASLLPAHIRTVEDSEYAGTVVWQCDPMHGNTQSVGGGIKTRRFSDIFSEL QQTLRIHKEQGSYLGGVHLELTGDPVTECLGGSEGLAEDDLSTNYTSFCDPRLNEKQA LELAFLIADHYRTERNGNGN MYCTH_2294430 MAEDRQPADIVEGATADVEDEVQPTAKSAEDRKAAAALSKLDDT RADDEGAAREVDQEAVKNAVSALGGGAGTKKETKAVKVDAADVKLLVDELELPKAKAT ELLKAHDGDAVKAMKAYLQPAF MYCTH_2294433 MSLAAPPAHMATTTLKIEGMTCGACTSAVEAGFKGVDGVGSVSV SLVMERAVVMHDPQRISADRIREIIEDRGFDAEVLSTDLPSPVTPRASFGGHPSDSGP ATMVTTVGIKGMTCGACTSAVEGGFKDVAGVKHFSISLLAERAVIEHDPSLLTGEAIR EIIEDRGFDAEVLESNEKGPEAKAGSEGAKTTPSTATTTVAIEGMTCGACTSAVEEGF RNVDGLVRFNISLLAERAVITHDPTRLPSDKIVEIIEDRGFDAKILSTIFDSLDHGSG ASTAQFRIYGTLDAAAAKSLEEKLSALPGIKSARLALSTSRLTVTHLPNVTGLRAIVE TVESAGYNALVADNDDNSAQIESLAKTREINEWRRAFQISASFAVPVFLISMVFPMCI PALDFGSIRLIPGLYLGDVICMALTIPVQFGIGKRFYVSAWKSLKHGSPTMDVLVVLG TSCAFFFSVMAMIVSILFPPHTRPSTIFDTSTMLISFITLGRFLENRAKGQTSKALSR LMSLAPSMATIYVDPIAAEKAAEGWTSDPNGEDPKQPLDGGAAEEKVIPTELLQVGDI VILRPGDKIPADGVLVRGETYVDESMVTGEAMPVQKTKGSFLIGGTVNGHGRVDFRVT RAGRDTQLSQIVKLVQDAQTSRAPIQRLADVLAGYFVPTILFLGLMTFLVWMVLSHVL PNPPKIFLEDASGGKIMVCVKLCISVIVFACPCALGLATPTAVMVGTGVGAENGILVK GGAALETTTKITQVVLDKTGTLTYGKMTVAKADIAPPWSDTDWRKRLWWTIVGLAEMG SEHPIGKAVLGAARAELGLGPDGTIEGSVGDFAAAVGKGITAYVEPATAADRTRYKVL IGNALFLRQNDVDVPRTAIEASEQTSESRSAKPNNTGTTNIFIAIQGAYAGHLCLSDT IKDGAAAAIAVLHRMGVRTAMVTGDQRGTALAVASAVGIHADDVYAGVSPDQKQAIIR QLQEAGSVVAMVGDGINDSPALATADVGIAMSSGTDVAMEAADVVLMKPNDLMDIPAA LSLARTIFRRIKLNLLWACLYNVVGLPFAMGVFLPFGLHMHPMMAGAAMAASSVSVVT SSLFLKLWKRPRWMDEMEAEMRDGGVVHPRRKRWWAVLGGARELVGGLFGERREKAEG YVPLATLDRESPV MYCTH_2294435 MEQAKALNALEPFLALSKSATSPRAAADLVVRATSAPNTYIFTE LLQTPQIQALALSDEFSPYLTLLRIFCHGTYSSYLSTPGLPELNDAQRLKLRQLSLLT LAKKDGSSSNGASPALDYASLQKALDLPSRQALEELVISAIYAGLIKAQLNPKASRVQ IDSVSPLRDVAPTTISSLLSSLKAWAGRCDATLESLSCQMTQLRAEADRRAARAAARA REVDALVEKEKTAVTSPQGQQAQQSQTSPVAAARRGTK MYCTH_2074663 MADNAPANPPPQSDFPRNRLPTLFEVLSRRTLPPVDLFSFYIYM RDQQRSVDYLDFWLDVAQHMSLCRHYVRELRRSVLVGTPDLDRASKRSSGILEGLGDL SYSAPGPSMYATEKEKDQDARMSAFLREDRELHDSPASSDARQNRPSTNLSTPRELNT DSTSPPHTVARQDIRASAEKILYTFLLPGAEREITLPGSITQDVTTAIEEFGRDDPEV FDVAKDYVFQAMERDAFPGFLRMKALGNLIPPTMVMRLIIGLVSMFGGFWAAFILIFL DKSRMERLWLILPFTIGVYCLASYQYCLDPILALIGLSEYTPFNFSRMREPYVRKLLA RRSVMVLAVTALVDAALCVLFILVPGKRL MYCTH_105650 MSFAEDGHNAVPGSVQANKLGGARKGAEPGQNAAKRLQTELMQL MTSPAPGVSAFPSADGNLLSWRATIEGPDDTPYSGLTFKLSFEFPSNYPYAPPTVLFR TPIYHPNVDFSGRICLDILKDKWTAAYNIQTVLLSLQSLLGEPNNASPLNGEAAELWD KDPALFKTKVMDRHKDVEDD MYCTH_2294445 MQHSQLDPLPRDLPFRIISKTIGRGAYASIKKAIPLDAPSPVFA VKLIHKGYAIRQGRISAKQIAMEVSLHSHIGQHPNIIEWFATGEDAVWRWIAMEFAEG GDLFDKIEADVGVQEDIAHLYFLQLISGVSFMHSKGVAHRDLKPENILLSESGNLKIA DFGMATMFEYKGARKQSSTMCGSPPYVAPEVLQCARQDRKSGDGPKYSADLVDIWSCG VILFVLLVGNTPWDEPTSGSWEFQEYVRTNGRSTDALWGRVPADALSLLRGMMNVEAS KRFSFAQIRQHPWYTRRNPLLTSDGKISDPVALATRMLAGLRVDLNAEPTPSQRQSQP EAMDIDAHPAHDWSSSKLPATQPETPINDVLFDWERPAPRTLLGGTYAISSTQPLATG SSSSSLRTALAGNNNNNPPSSAAAAAAAALEALADEPTMSQFSQTPGVPLSLTQHARQ FRDIVPSYSLTRFFSAVPPSLLVQMLHDALHQLNVPLPPPSRSAAPTDPHHHQHGEHV ATFKVRTLDGRRQGLHGDVLVDRFRLVSSSAGHHGGKEEEEEEGGELELLEVRFVKVK GDPLEWRRFFKKVALLCRDAVYSGDGGNAGGTGVGLERA MYCTH_2041072 DGHPPSRPRPSHGPCYYPPKRRSVDMTVHDDYGPHSLHKRPRYA HHPQFADPVAFKAVDATLSFPHLYHPHPHPHPHPRYPHHPYPSPCARVLSPQAAGTAV AAPALERTASGHSIRPDTTERCASTELLEDHEAAQRVRDHLAAFRRRNPDSKHERILR SIISPRPLPPRRRQYPLDNDALESIFSAANEIFFNGRLSQRVTWDWSHASSARYDSRV IGTTALRRAAAHQRGFETLIVLSSPILRDPRYSRRLLISTFLHELIHCYLFVCCGFRA RGCGGHTQGFRDIAALMDDWIGDGARLYLRRVEADLDLFRIGGRAHRHGRFGVPGNVY PCSGMPADQEE MYCTH_2294446 MDHLPGEVLLLILSFLEPAEITNLQLVSRGFYNLARDNSFWRAR CLEESTFLENLDRRRRLFGPTGDRESPPRFLSGSGSNGSPDAGAALANNDAKPTTTSF VWKGGETRERERVRIMANWDPCFPSERVSWYDEYVQRHGPIAINWMQYAYEEGRDPSS PASVADMVEARGVALYYPDGEAGEAVLAVSPLDDGSVAMWDVGGTMGKRGALVARSRR GILFVDGPEADNRKRSKRIDSGVTECVSVDSRLHRAFFAVQSRLIEVDLRRLSVVGCE SFPWSITALSAASPTVPLTVGTNLGIHLHDYRARKPRRNDQDEMMDDFDRIGANEFYE RSLRRIFDDEPLPPYAPLSQPGPLSILHLQQPGQEADISDDIYVAGRFSNILHYDRRK FPSIRGSIHSGARLCAMTSLPYPFSTVDSELRRKAALSLEQVEASKTAAGGRTLIACG EYNTKGSLELYGLTPTTASTHSTSAPGGLQNSAFKNRQNCSRSKLMSVVNHGTRIAFS DGSGFIKWFERDGFTEVRRCRIGHSERNTEEPSIFSSMPGSDDIARKLLPTRPAAAVA GGGHEPEGDDGGGGGDSDNNGERRRHSNDLLFWTGEKLGLVTFSARPGFAPEDFEEST ENGDGPEAKALAAAQSEYNEMMRRALERQADDVRFVRNLGLDSGLHPTPL MYCTH_42476 MSSKSDGDEDTHTQYWNPTEDAQRTPLSHFKSPRSITPKGPLFP NLGEAHQAITSRLVSRSTTNQPPAAHIEPVPSSSSTSFVNVSSLSDLATGKPDPPSRQ RYLTPCSASASTGADSAKTPTDPSPVVSGLESSSASNSVRDRLNQGAKADPAGLPVSE AGNSMEDIYSYYHKPSPGTEPSGILSNCQSRAAIGAHSDSSHQHNPKENLSRATSKGP RLSPHKPVHSSDNESYSTQKPFDGVQNFSRPVLSLQSVSHPHDWIAVSSNPGEVSRVD STSINLAARNYSAISQSTANPVASDVVVYGRVPPYSCTGSLHDRGYGEAKESHGETSD DLSSDSDEDPFKYDRDSFTVFLQPSREREVSAALRCVSADSAASISGISCHTPSQRPN NTPRVGHTTNPFANRLQRYQTSIVDHDWESGDASNEVKISVRPPKAPPNSPVEAASGV RDHVEGPGSGHDRKNVNTLMSDGADWETVATSVGQFDSNRALASSSGLSGSHLVKVTG SSIADYSDTSSIHVPHFDAFSSTEQILPQQASENMSNTSHPRALNGPGRPVFLPKPRI HRVNGYLQNSRRMFTDTTTGSSANSSRNALVEKLSASIRSRSARKRAQLLQRCSNEQW PKSRFESLESLSSTYSEQPSVTDSAPMASSACEGGSTLVAVNENAGGIVMVRRGKEGR QTQESKRGILASPLAGEPSAGHAKDKQPTDSSHSPRSFDSPTLFSFPLISLQEAARRA ANRSHNDDDRTVTSKGRTHKNSSMVSSKANQKTAPPTPQVTEPMHAHTRRPTSASILG IRATHQDSPVRSQDRIALGCNRVSSYRSGSPLSCGRSALSRTFDPMADSTSQQSIFPG GQQSVFRIRPCLIARDQRNTSRSATVMRTMTADLHRIATATETSRGHTGTNISLRSGH YPLPCRGTVVDDYFFPTDSVNEEAYLSWEARRRRRVCYYAMCVLSAFPFFAPLVYRGT FDSALSWYTRGETGSLTRRQRRNVLVVGVVFAGLWLVVLAVFVTIVVNRRVG MYCTH_2294448 MPLRDPNSMLKVLGAGLAVIGLCSVPALGSTVARLTKRDAQQDT YEDGDGKASPESLKAYSARLPKALAVVSAGAGLAISIVLLVISAHAKGQVLTSSLGTA SWALLLFQAIAIASSRNSVQAYDLGLYTFFAATLLAGILLSLGTEIGEDLWHSAPLSF ALRAAELVSSISLAVSTLCIPRRPDVFYQGQLVDRMWTASAFSRFTWSWASDLLALAS KKKDLDLEDLPRPNRFVRAASVSASWRAQKNTGKRLWLALLLAHFKPLALQWFVTLIA SILNFAPQWVILQLLRFLETREPGGGRELDAWMWVVWLGIVIIAQGWVETYVWWLGYA ELQIPVRAQLSALIFEKSMRRKDVKGADDAKKKASEEGNAESSSTAPDQPRPAAEADD SEEHLKKSKQSTVNLIGVDAKRVSDFSAFQNLFPGSLFKLIVSLAFLLDLLGWKALLG GFSAMLAIMPVNIYFSKRYSDAQDRLMKVRDEKMEVVTEALQGIRQIKFSALEPEWQA KIGAVRERELSAVWTVFINDTMLIGCWVTSPILLAAISLAVYAVVHGSLAPSVAFVSL GVFKSLEMTLAVVPELTTDLLDALVSINRIEQYLNSPELEQVSKPADEISFQDASIAW PTDEEVDESERFVLRNINVTFPKGELSVISGKTGTGKSLMLAAILGEVDILGGTLYVP RAPPLSERHDDKAHKGNWIIPNAIAYVAQIPWIENASIKDNILFGLPYDEERYNKTIE VCALKKDLEMLDDGEHTEIGANGINLSGGQKWRVTLARAIYSRAGILILDDIFSAVDA HVGRHIFERCLNGDLAVGRTRILVTHHVALCESKAKFLMELGNGGVLHAGLLSELREE GMLQKIRTHEQTAEEIEADESATAVNSEDSSVREREQDSAVTSTPKNPPVMASEARKF VEEETRERGAVKKHVYMTYLKDSGGFFFWAFALCIYLLVQVFNIGRSWWLKIWTGNYE EQGNYQQHALVGPEDYGYPYTEAVRQSIHAMSAPATSIQGSLTYYLGIYVALALISSI IGTLKYLYVYIGSIRASRKLFEKLNFTILHTPTRWLDTVPLGRILNRYTADFNTIDSQ LASTVGFGANSFLSLLAVVVAGLFLSPYIVLFAIVLLLVCLYYAILYLHGARPVKRLE STTKSPVFEQFGSALTGVTTIRGFDKAPVYVDRMYRKIDDYSASSWHLWLFNRWMGWR MSLVGSFFASFVSIFILLTPGIDSALAGFALAFALDFSSSVMWTIRMYANIELNMNSV ERIVEYTELPTEPLDGQKPPAAWPTEGRIEVNDLVVAYAPDLPPVLKGLNFTVNRNER IGVVGRTGAGKSSLTLALFRFLEARSGSIYIDGLDISKIRLHDLRSRLAIIPQDPVLF SGTIRSNLDPFDRHTDEELRDCLERVHLVPSGASASSSGSATPVGSAAPKNANIFSDL SSPVSEGGLNLSQGQRQLLCLARAIVSRPRVMVLDEATSAVDMHTDALIQRSIREEFT DSTLIVIAHRLSTIADFDRALVLSDGRVAEFGTPRELWEKGESGIFRGMCEESGERDK LRGIIFGDD MYCTH_75762 MKATPLIINWHHDNNNPYPIYSAHFEPNGKGRLATGAGDNNVRL WKIEDDGDGPKVDYLATLAKHTQAVNVVRWAPKGEVLASAGDDGNVILWVRSETHHPT FGSEGLDDKETWRTKHMCRSLGTEIYDLAWSPDASFFIIGSMDNVARIYNAGTGTLVR QIAEHSHYVQGVAWDPLNEYIATQSSDRSVHIYSLRTKDGQYTLHNHDDKPSKLASHA KTDLPPRRIPSSSPAPPDTGYRSVLTAVDSVPGVAAGSPVPSAPGTPTSIALPMNPPS TITHSRRTSFSSRRSVSPAPSMPLPAVMPIEASPKPHHNGMSMGVKNASLYANETLTS FFRRLTFTPDGSLLLTPAGQYQTQHQVEGAKPTYEVINTVYIYTRGGINKPPIAHLPG HKKPSVVVRCSPVVYTLRQSPPVTKNITIDTSSAEEPIPSLPEPLSNPPPGPSVMDPP PAPVSAEASGSTSKPLSLETGVQGQGPKSVFALPYRMVYAVATQDSVFLYDTQQYTPI CVVSNLHCATFTDLTWSSDGLTLLISSSDGFCSTLTFEPGELGTVYTGEIGPPKAPAA NASSQNTPAPTPTSVLAPPSPFPNGSHHRHRDSASSLTAPSPPPPTASFVNQRAASPA RSTSSAASQTGVLSNPPLIAGQVPSIAATNSSKVVGLPVTTPPETPGTSFTGSTGTKR DPAEGETDEASGGKPKRRRIAPTLVTQPSTSGDESKT MYCTH_2294455 MLLLDYLQHRRDCRLKQAERARLIASLPPPYHDPLTASDKEALT QPIENLVASVHAGGTATALSVLRTYGKLALKAHARTNCLTEILLPSAESWLSSPPDPH GSGGINLKGPLAGVPVSLKDTVVVGGYDTTVGYSSFTGPGHRAAADGPMVRLLKDAGA VPYVKTNLPITLLSFESTNDVWGRTRNPHNPDYSPGGSTGGESALLALGGRIGIGSDV AGSVRVPAHFAGCYSIRCSTGRWPKSGIATSMPGQEGVPSVYSPMARTLGDLTYFARA VLGAMRPWTYDHTVHPLPWRGEVEAEFADPARKLRVGVLRTDGVVDPSPACARALRMA EDALRRQGCDVVDVRGAPDMYHGLRLASLLLNADGCQMFESFRRPGEWLDPGAAQMRR LAALWAPFRYLYYLWVKYVRRDPVWAGLVRHWRPHSAFENWKLVSQRELYRAAWFEWW NEQGLDVIIAPPNATPAVPHDGMHDAVSSCGYTFLFNLLDYSAGVLPVTHVDRALDQL PASFNLKKLNGVARGAYKHYDAERMHGLPVGVQVVGRRLEEEKVLAVMKRLEDALGDD KYQLMSLDDLD MYCTH_2054555 MADRKDNWSSEAYQNAASFVPKLATKVMQWLDPQKDDVILDVGC GVLDATTLPSAGHLRRGEYTKAFSNAALHWILAPEATREAVFRGVRDALAPGAPFVFE MGGPGNCAEIRAALLSAVARRVGLARARAADPWFFPDEAWVRDMLEARVGGWKVERIE REWRPTRADKGGVDGWVRLMGKKWFEVLPEAQREECIREVVAVLDIVCRQPAGGYMLS YVRLRAVARKV MYCTH_2294459 MSADDQAFLDVLSSLPNEIQKYSRDVADYIDRHVDQIADTLRDA LAKAQWVPEQFRPRPPPPPPIVTVPVSALERVQNWISRHKILVGLVAVTTGVVAYRTY RSTAQWRKTRRARRSRSGGRMDVVVIAGSPALPLTRSLSLDMERKGFVVFIVCNSHED EIMVQNLARPDIRPLSIDITDPPSAGTSIDAFARYLQAPHAAIPNGKRHHLLLKAVIL IPSLKYQTSPIATIPPSSFADLFNTHLLQPILTIQTFLPLLTTRLSSPSEKERPSPKV LVFTPSIISSINPPFHAPEATVCSALSAFTEVLTAELRPLGIPVTHVQLGSFDFAGFT PAANSRLVQPHGLLAAPEDPEALPWPDSARHAYGKNFVSQSGSAISAGRIRGLRGSSL KELHNAVFDVIDGSITSGTVRVGLGASVYGFVGRWVPRGLVSWMMGIRKVDELATWQG NNGSSAFGSPKSTGSEGAGNGDSFVAVQPLEHAGEANVWREA MYCTH_16224 AAQLLNHTAPSYTFTFTPFLQRTYQHSLPPDRPVCKAYLSGSCP LKSHCPERHTTPAGHPASQRDGGGGGGGGGGGFGSLVCKHWLRGLCKKGEACEFLHEY NLRKMPECNFFVRNGYCSNGDECLYLHIDPSSRLPPCPHYERGFCPLGPRCDKKHVRR RMCPYYLAGFCPDGRACRLGAHPKWVADGELEKPKEKEEKAKEDGADEREKEGEREKE KEREREREREREREMQREREMDRAGRDRDGERGHD MYCTH_2122159 MRGIQLTQYVKAPHELRVTELPDPKPAPDEYLIEVHAAATNFFD ILQIQGKYQHQPPFPWISGAEFAGIVLATPANSKNPKFPVGSRVFGATQGAYATKAVA KETSMLPVPNGWSFKEAAGLFVTAPTSYGALVVRAGVKAGDYVLVHAAAGGVGLAAVQ VAKAFGATVIATAGTERKREVAKSFGADHVVDYRDPKWPEMVKKLTPKGRGVDIVYDP VGMVDKSTKCTAWNGRILIVGFAAGTIEKVAMNKVLLKNISLVGIHWGQYAVHEKETV VAVWQGIMKLIAEGKFRGTEFTDKEYVGLEAVPDALKALGSRETWGKVVVSVPQQVTK QPVPHPQTAKLFASYFRRTLRSSATGLSVAAAATLSQKGTSFYQSEIQTIAKRRMHSK VVIIGSGPAAHTAAIYLARAELKPVLYEGFMANGIAAGGQLTTTTEVENFPGFPEAVT GQELMDKMRKQSERFGTVIISETVSKLDLSKRPFEYATEWDPDTVRTADAIILATGAS ARRLGLPGEDKYWQNGISACAVCDGAVPIFRNKHLVVIGGGDSAAEEAMFLTKYGSHV TVLVRRDRLRASNIMAKRLLNHSKITVRFNTVGVEVKGDEKGLMSHLVVRDVVTGKEE TLEANGLFYAIGHDPATNLVKGQLETDADGYVVTVPGSTLTSVKGVFAAGDVQDKRYR QAITSAAVLSVDEYGAL MYCTH_2054177 MANRIRKKSKSKPATSTLYTNYLLTAILSSDSLLTIRPCSPYKS KGLSSYEVSKADSSRYAEYVRNKRSNCNVLGYQKLKDELIAAKEQVLRLWKQKRIWFK KMIRAIARGIDSVEELERVEREEAAAAAVAEASRVTTSSSTLSCLSADFG MYCTH_2054788 FIRVYIDNIIIFSKVEEEYLEYLYTIYEILNKAYIHISITKSFI GYLAMRLLRYIINSKGITKTNDRIATFKKLKFLDTLDSLEHYLRMAR MYCTH_2050200 LFLKYRYFVKVYIDNIIIFRKTKEEYLEYLYTVYEILNKAYIHI NATKSLIGYLAIRLLRYIINSKNIAKTDDYITTFKKLKFLDTLDSLEHYLRIAR MYCTH_2313324 MSDRGFTYKGSGVNSQGNHWCSRDYGNGSNSYHYSNQDGSYYYA NPDGSTYYSDGKGGSTYTSPSGYKVYSTGFVSGPSSNDSGSGRSK MYCTH_2294471 MANTQDTAPCRLAQATALLLGTLSAGANLTLSAFLVPRLLESPT PLMLRQWVRTYRRGAATVPFAAGLAAAAYTYLYYYLGTRGGGAASASALLRARAYLAA GALTIGIVPYTLAVMAGTNSELKRMERAVGEVAGADAAEVPAGEEEEVVVVEEESAKR LVDWWGVLNLGRGVLLLAGSICGLAATL MYCTH_2294472 MSDSDPKPTAAKGAAPTSIPNSTRNPNPTPPNPNPNPNPISTPA PTPTATPSPPIASSSNNGNNSTRSTNIDTNNNTNNAFFQAQQRIAARREAREAAAAAR QATQQSASRLRARIAASQSPLLRRLGTSTLSLWDTLTSREGTRPAFRVGQVDAELLDE ELVELLRGQVREALRYVGGGGGGGGGGGGGGVGSGVAQDWEAEISLALRAVLFKLTVW DHDATYGAALQNLKYTDARRDGPALAPPSRWQKALYGLVTVGGRYLWAKWEDWLLEQD DGFEGPSPRVKRLARWTSALSTLHASAALVSFLVFLLHGRYRTLLDRLLRMRLAPPTS QVSREVSFEYLNRQLVWHAFTEFLLFVLPLVGINRWRRWLARTWRRTKKIMTADADGG AGDKKGEYSFLPERTCAICYRDQNSASSETELLAAASGGVVGSAQTDITNPYEAIPCG CTYCFVCLATRIEREEGEGWPCLRCGELIKECKPWNGDVLEEPQVKSSPATTKTVVFA DDVKAPSDHEEEENEEEEEQQGELGENEGESSQVLVEADPDGGLNDLRPETPSVSSDQ ADDSRGSESEDYEAEEDGLDEDPES MYCTH_2294476 MPLLTLLKKQLTKTKLTFHFLFWSLHWGLFAYGWYKQANDARLA GLNTLQYSVWISRGAGLVLSVDGMLILLPVCRTIMRFVRPKIRFLPLDENIWMHRQLA YAMLLFTIIHTAGHYVNFYNVEKTQIRPVTAVQIHYVQPGGITGHVMLFCMLLMYTSA HHRIRQQSFETFWYLHHLFIPFFLALYTHTVGCFVRDTAEPISPFAGEEYWKHCIGYL GWRWELWTGGFYLLERLYREIRAVRETKITRVIRHPYDVVEIQFSKPSFKYKAGQWLF LQVPEISKYQWHPFTITSCPYDPYVSVHIRQVGDFTKALGDRVGAGAAQSKLYEGVDP MGMYEVALQNGQQMPLLRIDGPYGAPAEDVFENEIAVLVGTGIGVTPWASILKNIWHL RNGPNPPTRLRRVEFIWVCKDTTSFEWFQTLLSSLERQSDEAARVPGSGGIEFLKIHT YLTQKLDMDTTQNIVLNSVGADLDPLTELKARTNFGRPNFNKLFTSMRDGILNRTYLN GLEGNMRTTVGVYFCGPSAAARDIKKAAKAATVREVRFRFWKEHF MYCTH_2294478 MGITRRAKDKAARAERAAGGGGQAAPSKPKKATFDTTKKKEIGV SDLTLLRTVSNDAINENLKKRFEGGEIYTYIGHVLVSVNPFRDLGIYTDQVLQSYRGK NRLEMPPHVFAIAESAYYNMKAYKDNQCVIISGESGAGKTEAAKRIMQYIANVSGGGD TGDIQQIKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLQIHFNAQGEPVGADITNYL LEKSRVVGQVTNERNFHIFYQFTKGASQHYREIFGVQKPETYLYTSKSKCFNVDGIDD LAEFQDTLNAMKIIGLTQAEQDSIFRMLAAILWTGNLVFREDDSGYAAVSDQSVVDFL AYLLEVDPASLVHAITIRVLTPRSGEVIESPANVAQATATRDALAMAIYYHLFDWIVE RLNQSLRARQATSNTIGILDIYGFEIFEKNSFEQLCINYVNEKLQQIFIQLTLKAEQE EYAREQIKWTPISYFDNKIVCDLIESVRPPGIFSAMKDATKTAHADPAACDRTFMQSI NSMSNPHLTPRQGSFIVKHYAGDVTYTVDGITDKNKDQLLKGLLNLVQGSQNKFLHTI FPVHVDQDNRKQPPSAGDRIKASANALVDTLMKCQPSYIRTIKPNENKSPHEYNVANV LHQIKYLGLQENVRIRRAGFAYRQSFEKFVDRFFLLSPATSYAGEYTWQGSYEAAVKQ ILKDTSIPQEEWQLGVTKAFIKSPETLFALEHMRDRYWHNMATRIQRMWRAYLAYRAE AATRIQRFWRKKRTGAEYLQLREEGHKILQGRKERRRMSLLGSRRFLGDYLGINATTG PGAQVRNGIHLGSNERAVFSCRGEILEAKFGRSSKPSPRILIVTNSKFYIVAQVMGQN GQVQIVAERAIPLGAIKFIGTSTCRDDWFSLGVGSQQEADPLLNCVLKTELFTQMKRV MPGGFNLKIGDTIEYAKKPGKMQIVKVLKDAPGPQDFYKSGAVHTQQGEPPNSVSRPT PKGKPVPPRPITKGKLIKPGGPGGRPSRNPVNRTPQPRPSAASAATSNTTTTATSRPV PQPQPAVSVSIPSHTRQKSNSSAVRAPPPPPPAAPAKPKIMAKVLYDFTGQRDNELSV KAGQIIEIVQKENNGKPFLCLSLPFRS MYCTH_2294482 MAFFLASSASARLPARLGVGVLLLLLSEPFMLMPESRACRPAGF LPATGRLAGGCGAAGLARAATPFAAAAAGGGGGGRGAGAGAGAGSCSSTYAAGTQACP LDVLASHQP MYCTH_2294483 MTSLRTGPATAGLHRLYIPAVCALIAFLGYYSQYLFNTDPDLAP GPLTRRETLTFNGLIACVWLTYYRACTVDPGRYRFPRSEQQQQQQHHHHHQPTPEADP RGPHPLLRPTRFCKKCDAPKPLRAHHCRHCGRCIPKMDHHCPWTGTCVSLQTFPYFLR FQLYANVALWYLGALLWRRAAAVWADRRLPAYLGPSLASLAGLTVLTLADAATALPLG LLLVTTVRGWVFNTTLIEDWEVERHEALVSRMVAAASDGDTDPASSSSSSSLSFWADD DAAVRDALARVEFPYDLGVFANMAQAMGTRNVLRWFLPVFGGGPVVNNETPGKGVGWE WEENGFNDLPGLWPPPDPEKMRQARRGPWPGAAAHDQDRRAEAWDAYQTPEEIKAAFA RRQREDQLRRERARALQAGQRSGIIAELEELGGGVVGQPSWTNAEGDRLWDYGVDEEV EEDIMPSRADDENVPLAELIRRRKVRTREGGDDDDG MYCTH_2294485 MANQLTALSAVTSFAWLAYSIEYHQVIEQPRPSSLLVLLIGALS SYGASFFSVCLPGTNGRFDDERAPLRARRSNLPKKPRRYFLPALVFCVILRLEIFHRV SLDLQCSKQGIEAFLPLLIFLYEFLPGLGPPSGVGDDDREAHDFGMTVYEALGSWFCE SKASLTLAVTMLTVGTYLVSSNDPRSTFFCSSKDASASVVFLQWVGLLLDTAIIIVAW RILAWTRTTKSRLRTLSGILLFAAVGTGLLYQFSRLVLPGTPTRSDFRRLDSLYLFDV VVDGLAFSIFVISTSLLATESSPLSLVGLFTFLLGFTQAVRKTALTGTWENVAPGVVY AGLMLVCVGFSSLLYTNDIRSVAFLHRAFIVLLLVVVTIVATIYTPVKALRITEKHPL TKIMYDARTEADRWLVNAAVSDSLRVAVEEYKDRHHGRDPPAKFDVWYGFAKDRNSVV LDHFPQIEKDLLPFWGMAPSKIREGVRRAAAEPDMAILQIQNGKPRHNLPPSSPYKPV MEDLVELVTTFAEHLPDMELAINLNERPRVLAPWEDVQRLSKTATRKGVSKLLPRASN PLGELPAAQPAAESQELSNPDGFTTSVEAYREMTALACPPGSRGRAGTHWDVRDVCTS CARPQSLGQYLTNWPLSQDICHQPDLFRLHGFHMTPPPLRPLQELLPVFSRAKTDVYS DILIPLRRISEPPEPRTEGFKVKYKRLFWRGKVDRHDTSHELARGGHQERLVHMLNNP SRSETTRLLLPTKDRFMFEQVPTADINELFPMDVAFSSYTACKDSGDDETDNKNKQKI SDNKESCKAIGAEFHTNTDAPPADDPLRHRYVMVIDTDDGPAPEFLRTLRSNSVPFYS SIFREWYSERLMPWIHFVPIDVRYHALHGTMAYFFGLLKRDGRTLNGREVLMYPRQED AQWIAEQGKRWAEKAIRREDMEVYLFRLLLEWGRVIDDNRDEIGFVLT MYCTH_98773 MSSSQRKSPVVYTNAVYWPNYRVFHGDSPGQLNYGCINRVYYAF ATVTANGGVFLSNEEADAEVACDGVKGALGSLMHLKQKHTHLQVVLSIGGGASAETFP IVASSPILRDNFARSARGLLDASGLNGIDIVWEYPCTPEQGSDFLALVAAIRIYLPEE HYLLTATLPAVRAVLQNIDLGQAAEYLDTINLAAYDFFGPWSHKSGHQAQLYAMNKDE PSGASGVRDLMSAGVPSRKILLGIPLFGRSFLHVSGPGHKNRGAGGGPDGSFEYSQLP RKGTKEQVDKRAVAAQCVGGDGGFVSYDNPDTVRMKAAFCKQKRLGGLFYWSAPSDSK DPKRSLIATGFKALHSS MYCTH_2122175 MSVEKGRGAETMIGQEQLHHPAVDIWGSGAIHCLTFTRTMRFPL SVWRCGPASLFGPVLAPLTPPHLVVCNTATAVLQPRKVPGKESKDDRDVGSSGPSWLV PRPLLGTDPFSGPPGQPTQLPAQNVLKKKPYRRLARREHPVSSFHVAENDHTGNGQ MYCTH_2294490 MADNNETGSDAQITFKVKSSNDKTHTITMDESATVLDLKNKLAG ADFEDIPADRQRLIYSGRIMKDSDALSVYKIKNMNTIHMVKSARSNAQPAASGSSSTP TPAAVPQNMAAGSVAGDPLAGLTGARFAGHANLPSADLFGADGGMGAPPSEDQLADML SNPMIAQTMNEALNNPNFIDMMIRTNPALAAMPNAREMLQSPYFRNMMTNPEAIRMAA RMRRVLQGGGGSAFPAPGATDNTPADAPGSGNAATTGNNNNNNNNNNDDPLRALFGAA GDAGNAPSGFPLASLLGMMPPAFGSATPGAAGQAAPANPPQSPSSTENRDAPAAGANT QGQAGSTTQPAANPFAALFGGAAPGANANNPFGLPPISPEALQQMAQLLGLGGAGAAP AAPPDNRPPEERYAEQLRQLNDMGFYDFDRNVTALRRSGGSVQGAVEYLLSNP MYCTH_2294493 MALYGGVVFGPAAATWFRLLSRHVNLRSPTATMLARVACDQGIF APTFIGVFLGSMAVLEGGSPRDKLARSYKDALLTNWAIWPFVQMVNFKLVPLQHRLLF VNVISIGWNCYLSYLNSS MYCTH_2294496 MASVQNAPQEPTAQQLSELKEKYTLAGQSQVFTFYDSLSSAEKA ALYQQLSQFDPTYINTIAAKALAPPQAQDAAPSLEPLPDSARASIMDSAPADIDRWYS QGLDLIAANKVAVVLMAGGQGTRLGSSEPKGCFDIGLPSAKSLFQIQAERIRKVEQLA AKKAGTGAGVTVPWYVMTSGPTRGPTERFFKEHNYFGLKPENVFIFEQGVLPCISNDG KILLESKAKVAVAPDGNGGLYNALVEAKVLDDMKRRGIEHIHAYCVDNCLVKVADPVF IGFSASADVDIATKVVRKRNATESVGLIVSKNGRPDVVEYSEIDPQIAAEEDPQQPGV LKFRAANIVNHYYSFRFLESIPTWVKTLPHHVARKKIPYADLESGETIKPAKPNGIKL EQFVFDVFPKLELSKFACLEVRREDEFSPLKNARGTGEDDPDTSKHDIMAQGKRWLQA AGATVVSEDPNAGVEVSPLLSYGGEGLESVTGKTITAPTLLE MYCTH_2019108 MGADITEDTRPPPTFQGRLQLESFMFKAGFATAGLRRSLRLSSA SSSSAAALPVPPPRRASRSPSKRKADPPDEDDLKEEDTSSPSTPAATSTTSITSTISA TATATATVTVTRSPSRNNRSKRARVPSSYAPPSAYAHLPGLPDALAPNLLVLFVGLNP GIETARTGHAYAHPTNLFWRLLHSSGVTPRLCAPAEDRQLPARYALGLTNIVARPSRN GAELSRAEMDAGVAVLEAKIRRWRPEAVCLVGKSIWESVWRVRHGRPIRPAEFRYGWQ DERENMGQEEEEEEEEEEKEEGVVYDPDWKGARVFVATSTSGLAATLKPKEKEEIWKE LGDWVVKRRAERAAAGDSV MYCTH_2294498 MRLTSFFLAAALLSDAALGALGAPPPVDLAKPQSVQIHERDEAA PEELWKRKGGGGGGGRGGGGGGRGGSSGSGGGRGSSGSGSNPSRPVPAPAYGGGRFYG GGAATPYRAGSRSPSGIVPVFFAASALAFWPGLWLYGAHIYRFDDEYEFFNVTSQRTE SKPVECACAQNADCGCDENRDKDYLDDLIGNGSYAALNKTLVNVADVNGTSTILVNGT LPDGTAALAGNAAGGGLRALLHHAGWWPVVATVCAIVLTA MYCTH_2294499 MPSSQPPGSDRSLLDRLNALKPTSITFDKSANPASATGPGTRPE SKEDALTARLRVLRSQTSGEKPGEGAPQTQGRGQQPGRPDDAVRDGAGTAASPLVDQA GTQPSSVSPKGHRSPKQNKFSYQIADSQADDEEAVDELLEALADEDFELAAAEDEEPA PRQSRDDEAERVSDLLRSLQHDAGASTPQGSNPPSADDSDHSDGEQMTRAVETVLSQI RDEIRSLPPPGATLAKQDDNQLAEDCQQKSGEVESAESERGAGLQAPAGWAADRPGDR DEDGGGGGDGDEPSFTLPAVPSQLPDPATTTTTTTTTRMATDGGGDDFEKDISARLAS LRGFGSLDALGLPSAPTFRPQDRHGQSSSVPVGKNLLRPSRYTDEDQKTWCIVCLEDA AIRCIGCDNDVYCARCWKEMHVGPSAGYDERGHQWVKFERNAPR MYCTH_2313329 MASEGLLQTSLIWVAYAVAIVLVLIVSVITTLTWQTPHERSVAV STVSVLSLTALLATVFLLPVDIALVSSTSSAHLGAKKDWATPERVEGILLTLKIVYYT LYTLDALLCLVVIPFTYFWYEEYDEVEEEEGTSSPGKRLWRAFKYTLGFVFLVVVLFL IGFFVPAAGRDAGRHVDLDYFKRLLAENNGEKALTFGVGLLMTLGTLLYVVYTGSGLA LLPISFIKSAPSISAPQLSATTASALERNRELQRQLEMRNAGRPGGMSQKDRREMDAL LREERTLVRRERLAAEARGDGRGRIYRAWTKVQAVFRPLKLLGGILLLLVALLVWVSM LITGIDKAANSVCKQHCGYILGHLNVFQPANWILVQSARVFPVDYVLTALLVLLFFSS SVTGLAAVGIRFLWVRVFQLKKGRTAPQALLVATVLLALTVLAINYAVAMLVAPQYAT YGTQTFCVASGSGVLVPSRADCRGHPDMVRPCSELAREPSARDVCTPTVVSTFLNRVT LGWPVFGAVAFWAQFAFLAVFLVVFATSLFRTPRLDLSELDEEAEVDEEEGLLASTRR RFGATWGDITGRASGTAGAGGQNEEGGAGYGTVGSGSGNGNGSRSRT MYCTH_2294503 MQCYTELTPPTAVTHSTTLQFIPGQGTNLVVAKSSLLQIFRTKI VATELDASQTGIGGNRARSAAQYESRLANDDDGLEASFLGGDSLGLRTDRANTTKLVL VAEFPLAGTVTGLARIRTPKANRNHDGGAGHAGHAGHGCDSLLIAFRDARLSLVEWDA EQHTLSTISIHYYEQEELQGSPWAAPLSHYVNFLVADPGSRCAALKFGARNLAILPFR QADEDIDMGDWDEELDGPRPAKDPSSNAVVNGASNIEDTPYSPSFVLRLSNLDPSLLH PVHLAFLHEYREPTFGILASATAPSNALGRKDHLVYMVFTLDLQQKASTTILSVSGLP QDLFRVVPLPAPVGGALLVGSNELIHVDQSGKPNGVAVNPMTRQCTNFGLVDQSDLNL RLEGCAIDVLTPDLGELFVVLNDGRAAVVTFRIDGRTVSGLEIKMLPESAGGSLIPGR VSTLSRIGRNAVFAGREEGDSLLLGWAKRQAQTGRRRLRARDAAGSGDVDAEGAELAE GDEDVVAEGEDEDEDEEDEDDLYGEESAPRQQPVSAASSFLSGDVSFRVHDRLLSVAP IQALTYSQPVYLAGSEEERNSAGVRSDLNLVCTVGRDKSAALATVNLAIQPRVIGRFE FPEARGFWTVCAKKPVPKSLQGDKAGNSLSKDYDTAGQYDRFMIVAKVDLDGYEKSDV YALTAAGFEGLGGTEFDPAAGITIEAGTMGKGSRIIQILKSEVRCYDGDFGLSQIVPM LDEETGAEPRAVSASIVDPFLLIIRDDSSAFIAQVDSSNELEELDKEDPTLASTKWLT GCLYADTTGAFAEEAPGKGGKLSQSVLMFLLSASGALHIYRLPDLSKPVYVAEGLSYI PPGLSADYSARKGTAKETIAEILVADLGDMTHKSPHLILRHTNDDLTLYQPFRYNTGA GLEFSKTLFFQKLPNTVFAKSPEEADDDEATHQPRFLSMRRCANVGGYSTVFLPGASP SFIIKSSKSVPKVLPLQGTGVIAMSPFHTEGCEHGFIYADSRDMARVAQLPQDWSYAE LGLAVRKIPIGEDIAAAAYHPPMQSYVVGCNTPEPFELPKDDDYHKEWARENLAFKPT VDRGNLKLVSPITWTVVDSIQMEPCETVLCVECLGLEVSEFTNERKQLIAVGTAITKG EDLPTRGRVYVYDIADVIPQPGRPETSKKLKLIAKEDIPRGAVTALSEIGTQGLMLVA QGQKCMVRGLKEDGSLLPVAFMDMSCYVTAAKELPGTGLCLMADAFKGVWFTGYTEEP YKMMLFGKSATRLEVLNADFLPDGKELFIVVSDADGHIHILQFDPEHPKSLQGHLLLH RTTFNTGAHQPTKSLLLPVTTPADQERDRKPADPSSSFSSSSSSSPSSPSSPFFPSTS SSNHQNQNQTQSREQATKKDQQANGGGAAAALTSPRPHVLVLAAPTGVLAALRALPES AYRRLSSLAAQLAGSLPHAAGLNPRGYRLPDGVASSSSPWSSSSSSFSAVVPGVDAGV GRTIVDGALLQRFTELGMARRVELAGRAGYAGGVAEVRAELEAVLGWAGLSYF MYCTH_2294504 MEGRSSHGISINKQCASDYILGPLYDPEPSQVCAIHPAPSTVYP DHPSQAHKETAVDNSDDDELPFSRRVLGRRASSVKRKPLPPSVVRSQYPPLSSLERKR SLYTASPPTTRWNQGTILVRANSVSSPKPGVDAMAALGDQNVSRRRATSLAARYPDDR SHPPPAMLTNERRAKDGGQETRPRRASSLRERYPGDMSHRPLAMLTREFRAADRAPHL HNRHRQQPHDTIDALDVTGPVPGVYHHGGPFDAAMKARNLDKKYAPLEAVRSTNIEAL KATPSEFVKDSLVKHVPLQGTAIIPPGMRDFSGCTMQYEEGADLMREEDAEGGPYKRW DHIRYRDDDLKGKGEPSFSQDQARRRKASNAFHSGSGNVYYEMRSRGQGNRGNDGGDS RPKEGGTAHVRQRSLSANGAYQRLPPGIVDDGDDDGYGGGSSGLGSSNTTGKNIAQSL KRRFGSLRKKRAGDEAGS MYCTH_14609 LPPLPPAFHDLYASTVRTAPRDDPALHQGRTRQIPHVPGLWPSH VYVEWRPAPPVHALLCELLAALQQQQQQQQQVELQLPQDSDVGVPQPLHVSLSRPLAL STEQKAQFLSELEAALAGGGGGGGGGQGGGGGRRRRVTSFSLRCSRVEWHRTAESGRS FLVLREEEGEEGNVNPELTELLRRCNEVAERHGQPALYRWAEEGAVDEADKRIGEAFH VSIAWSFAEPTEELVRATERVFGSQEIIDKIREIEIPVEGVKVKIGNVVTHVALE MYCTH_2313331 MMKSASRLFYLSVFALWGPQVWCHNPESVSSPSSQDDCAISPKA IVGDACASYSTLERLNRNVKPALDELTRTTDFFSHYRVNLFHKKCPFWNDENGMCGNI ACAVETLDNEEDIPPVWRASELGKLEGPRAKHPGKSMQKEEPVRPLQGGLGENVGETC VVEYDDECDDRDYCVPEDESATSKGDYVSLLRNPERFTGYAGDGAKQVWDAIYRENCF QRSSFPHSAALGKDTSPKGPAAMDFKAVLEAAGRQQALEEQRQHNPLTPFVAKTGYEH EDECLEKRVFYRVISGMHASISTHLCWNFLNQTTGQWQPNLGCYLNRLHKFPDRISNL YFNYALLTRAVAKLGPYLSQQQDYTFCLGDPEQDAVTRTKVMAVTERAASVPQTLDES LMFKNGEGPSLKEDFRNRFRNVSRLMDCVGCDKCRLWGKLQTAGYGTALKVLFEFDNN DPPSVPVLKRTELVALFNTYARLSNSLEAIQKFRKMVEQPETETETETETEAEAAAAD KFEERLKEAAKTSVIPDRAKKPRHAVGPPESPHAVPSGPVNEARDKDDDSSVYENRTL KEEFDDELDKVIRTLKFVLTRWVTFPATLWHIVTCELRRLWQSFIGLPVSPRTWTFEA PRVDEL MYCTH_44554 MGIDISRRNRVPRPVTEEERARLDEFIDSIHYSTRYSDNEFEYR HVQLPKAMLKAIPPEYHDKSKGTLKLLWEDEWRGMGITQSLGWEHYEVHEPEPHILLF KRPLNYQPPQ MYCTH_105669 MDHKRKASSSGAGIADGDDRATKRLKTPGQPSDYNLLQGETRES TTAYGLHFLETIRRTKDKSGRLVASYFENLLPRETNKEYYERIRMPISLKTIERKLHN QDFKNLSELESYFKRMVTNAKEFYPKNSEVFEDAERVRKALSNYMTKTNPAYKLIPGY SCQATPIPNDLQPEPEVAALTATAERTEAENQKAGNQDAEGEEDAQGEEDADGEEDED EDDGSSRRIVLKRKGPGRPARAGSEQARKLDKSGRVKADHEYEGVPYKGLTFQQAQEK IVEELIRKPDESGDPYFLDFINLPPRSYKDYFAVITSPLSLKGLQKLVKGIHGRQPAT GVSDFKSWAAFEEKASLLWTNAHFYNEEGSVIHTLATELKNCFEAELNEAKAVVQEPP QPKIKLKMTPGQETPVLGSKKITIHVGGSRSSTAAPSPAPPTGRSGDSGGTDGNRALP PANTAAAGFQGDSAKTVPGAVASPRPAVAGAQQAAGPNGNVPGAVNGTDGAGAPGQSF QQPPPGQQLQNGHAQSGPTPAPAPPIYDFKYRAPGRGVADALLPSVLIRTHPTLVIDP KFRLEIPAHPKEAHQNLTTHIPATHSRLQLIPRLAPFEQQGRQYRMFVTVNGQTIGRA PPVPADDDPLPPNPIVFDLVLQPVTNIIAVTVIASLPKGQRLPNGADCEVEKLVLNVQ LLRV MYCTH_2294518 MLTPEFWPRVDQLTLQITVLLLPLVDLMDEHFPASQAASLRSFY QDLHAIVARAGYLSLGIRLSKNIFHFSSPVPGTVWDNDQANVDNRIYRASEAANTRAD AAAEKEWRSRRARRLAAQRERREIRDRGAGVLASARSGFEAVRGFVTGHGQEEGSGDH QQGANDVWRRPSRMGKVQIVLWPMLQRFATVGEIDPRTGTAYGESITTISKSRVVYYY GRVDERGEVGDRSPSLAEWVRKTDRRRARIAFLPLRWAAYIAGIWLLLAFLAGNDPTA ADGLLRHVGHVPAEVARYLVREAALFVMEVFITVIAIFIASSRMLMYFASSARSSLDG LLEDGQRWLLGSVGGVDRRGTLENSTTGHGSAFNRDDLSWASVKDVAKALAEQLIWYV HPPPSSSP MYCTH_2294520 MNLLLSDDYLLQDYPENITNTIRSGHSTCVRFNRKGDFLASGRV DGTVVIWDLETMGVARKLRGHSKNITSLSWSRCGRYLLSACQGWKVILWDLQDGKRYR EVRFRAPVYGAELHPWNHHQFAAALFEDQPMLVDITEPVEVRYVLPSVPKRTSTETDP ALREKQAKEDAKHMTTAIVYTASGDHLLAGTTKGRLNIIDARTREIIYSEKIASGIIT TLRLTESGRELLVNAQDRIIRTFIVPNLSAADLDPDTIQLPLEHKFQDVVNRLSWNHV AFSATGEYVAASTYNNHELYIWERGHGSLVRMLEGPKEEQGVIEWHPHRALLAACGLE TGRINIWSVTTPQRWSALAPDFVEVEENVEYIEREDEFDIHPQEEIQKRRLDQEDEEV DVLTVENGGGMDEDLGAFRMPILFNLGESDSEEEFVNVSLGTLRRKSPGDQDEGDMTG EERGSGKRGGGGGGGGGSGRGRGRKK MYCTH_2294522 MANSKFEYVKQFEQTDNLLPNTWIVVRIDGRGFTKFSAKYAFEK PNDKRALELMNAAARAVMAELPDITIAYGVSDEYSFVFHKSCSLFERRASKLISTVVS TFTAYYIHLWPVHFPDTPLSPPLPSFDGRAVCYPTVQNLRDYMSWRQVDCHINNLYNT TFWALIQLGGLDATEAEKTLKGTYAADKNEILFSRFGINYNNEPEMYKKGSVVFRDYE LVEPGTHNTTAEVDNLAEPVQQSKTQTENDKKRRAKARIVVQHLDIIKDDFWDRRPWL LSNKPGKVPKEP MYCTH_2294523 MDAAALLKAQGWRGKGFSLHPTNNAIGLSKPLLISRNTDGRGIG QNKHYTSDQWWLHAFDQKLKGLDTSKKGTVVQSVTQGKLDAVASSQPKGKYTGARGLY ASFVRGGMLEGTIEVNVVADGAATTGTATGESTDATPATSDDGRSEESVSKKEKQSES REERKARRAARRLRKAEKAARKAAEARAAQKAAEKAAKKELKRARKASETKEERRARR AERRARKEERRRKRAEAAQKADQG MYCTH_2294525 MANLIFAHSSAPIREIKEIQFGLLSPEEIKGMSVCHIVYPETMD ETRTKPRDGGLNDPLLGSVDRQFKCKTCTENMTECPGHFGHIELARPVFHPGFIRRTK KLLEMVCHNCSKVLADRSDPQYAAAMRIRDPKVRFKRVWDICKSKRRCDNDPPKQAED GEYNLNGTGDRPVEGHGGCGNIQPVIRQQALTLWGSIETKDEDGVKTKEKKIITPEMA LNIFRRMSDEEMIDIGINISQARPEWMIITVLPVPPPPVRPSISMDGTGTGLRNEDDL TYKLGDIIRANGNVRQAIAEGSPQHIITDFENLLQYHVATYMDNDIAGQPQALQKSGR PVKAIRARLKGKEGRLRGNLMGKRVDFSARTVITGDANISLDEVGVPRSIARTLTYPE TVTPYNIAKLTKLVQNGPNEHPGARFVVRSDGTRLDLRHHRRATGIQLEYGWKVERHL MDGDYIIFNRQPSLHKESMMGHRVRVMPYSTFRLNLSVTSPYNADFDGDEMNLHVPQT EETRAEVKELCMVPLNIVSPQRNGPLMGIVQDTLAGVYKLCRRDVFLTKEEVMNIMLW VPDWDGIIPLPAIHKPRPRWTGKQIISLIIPSIINIHMPSEQQDKDHPFEDDGLLIQQ GELMFGLLSKKSVGASGGGIVHLCYNELGPQGAMDFLNGCQRVVNYWLLHNGFSIGIG DTIPDEVTIGLIQKHINDEKAEVARLTQQATNNELEPLPGMNIRETFENKVSTALNKA RDKAGTSTQKSLKDLNNAVIMARSGSKGSSINISQMTALVGQQIVEGKRIPFGFKYRT LPHFTKDDYSPEARGFVENSYLRGLTPSEFFFHAMAGREGLIDTAVKTAETGYIQRRL VKALEDAEARYDGTVRNSLGDIIQFVYGEDGLDGLAIERQRVDHMNLSNKNFEKRFRL DVMDEAASSSILDSLEYGREMASDPAVQELLDQEYEQLLADRELVRQINRRKMADDYM QLPLNVARIIETAKKLFKVDDSQRSDLTPNDVIPAVRALLDRMVVVRGDDIISKEADY NSTLLFKIQLRSRLAYKRLAVEQRINKLAFEHILGELENRWARSMVAPGEMVGVLAAQ SIGEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNVAKDIKTPSMVVYLDYANATQE DAKRMRSAVEHTSLRSVTAVTEIYYDPDITSTVIPEDYDMVESYFLIPDSSDQDSIEN QSRWLLRLTLDRQKMLDKGLRVEDVAARIKEEYKKDVAVIFSDNNAEEMVIRIRVIRQ DDDKDEDGNKIIEDDVMLKRLEKHLLDNCTLRGVPGIERAFLNKGVKLAVLPDGSQVA NKETPECMEWYLDTQGTSLREVLTIEGVDTRRTYTNDLYQIVDVFGIEAARAALMQEL TQVLAFGGSYVNHRHLALLVDVMTYRGSIAAVTRHGINRADTGALMRCSFEETVEILL EAAAVGELDDCRGISENVMLGQMAPMGTGHFDVLLDPKMLETVISDNSRMGLMPGMTI KGGQLEGAATPYDTGSPMADNGYLGSFSPTMGNFSPIQGAGSDSPSGFGTEYGGGFGG SSSANPYATSPRATSPFSTSPTSPFSYSPSSPLGYSPTSPLLDAGGRYASSPQFSPSS PSFSPTSPMLRPGSPTSPNYSPTSPSYSPASPAATRHYSPTSPAQFNSPTSPSYSPTS PSYSPASPNLHSTSPSYSPASPTWSPTSPDAYSPTSPSFHRSPGQQMSPTSPGYSPTS PSFSPRTPGRGPSGGSGDQYSPTSPTND MYCTH_2122196 MPREAHSAAPAIAGPAFLADPIGTALFSLFGQRQQVSPPPPQMA RLRAGALPLACPNRDGLMAARRSATFVRDGRHPSARCLLAGAHSAERNRPVPEVGGRC DRSRTWPRLRPGCSVAGALRTQHILECNTELTVTNNGPRLRLRLCDNC MYCTH_2313333 MAASTTEEIRQLNKQAVEFTPTMSHHRPRRPSTFLSLAPSTSDS YPRAQRPRQPLTPEALAAVTKQDGAASGEAMKRRSSSLSSDASKFRFLKIGPVHWGEH QDDHKGDYYELAVE MYCTH_2122199 MPPERFEFFFDLPPEIREQILSYLCLFPTGIWVGGGVGGKSIAL SPAAAALLMGKMPTGPQRTATRKSRSTHNGIGGNVDISCGGGGGDSGGGDDGAYGLGD CNADPPVNLFLASPILYREAGDLYYGRNLFHFAFSLCTWGRKKLQQQQGHDQDKEKAK MQQEQQQGDRPISAAAAARNAPRDPSGAALARFLTHADTVRARRRVRSAVVHLGRLGG LVEDLVVPALADLALTGALRRLGVHIGGELFLCVGHPPEALSLVVDREERPHQQQLLQ RRQHLGGRDDQDGALAENPALRALLVLLADPSLEKAELRVLWPHRDRFWCNFHPGGWL DERGCRCAVPGRRLSGPYEGALVEMDIPRLVDAFAGDAAAEFNIKKVG MYCTH_75824 MADEVYDGAIGIDLGTTYSCVATYEGTNVEIIANEQGSFTTPSF VSFTEDERLIGEAAKNQAAMNPANTVFDVKRLIGRRFDDPTVKKDMESWPFKVVDDGN GNPKVEVQYLGTTHTFSPQEISAMVLSKMKEIAETKLGKKVEKAVITVPAYFNDNQRQ ATKDAGAIAGLNVLRIINEPTAAAIAYGLGSGKSSKERNVLIYDLGGGTFDVSLLNIQ GGVFTVKATAGDTHLGGQDFDTNLLDYCKKEFTRKTKKDLSGDSRALRRLRTACERAK RTLSSGAQTTIEIDSLFDGEDFNMQITRARFEDLNAKAFAGTLEPVAQVLKDANIEKS AVDEIVLVGGSTRIPRIQKLLSEFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSA DTSDLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVADNQQTVQFPVYQ GERVNCEDNTSLGEFTLAPIPPMKAGEPVLEVVFEVDVNGILKVTATEKTSGRSANIT ITNSVGKLSSSEIESMINDAEKFKSKDEAFSKRFEAKQQLESYISRVEEIISDPTLSL KLKRGQKDKIEQSLSEAMAQLEIEDSTAEDLKKKELALKRLVTKAMSSR MYCTH_2313336 MAEQVDLTTIPISPDGENKPDGASNENAEEKLVTVFHDKDNFNV KHPLSSRWTLWFTKPASGKGDNWNDLLKEVITFESVEEFWGVYNNIAPVSELALKSDY HLFKEGVRPEWEDPQNKHGGKWSYQFKDKRSVNIDELWLHTMLAAIGETLEDEDDGEV MGVVVNVRKAFYRIGVWTRTMGRHIPGRGDGDIAGGKGRSAEKGKEILMAIGRRFKNV LKLPPNEVVEFSGHTDSAHSGSTRAKAKIVV MYCTH_2294540 MASTLPRLPVFEAIARHDPESTAVIHSNSGRRFRYGELLGDVCK VRNRLCEAAGKDDIDGERIAFLVENSYDYVVTLLAILAAKSIAVPLSPAFPAPELQYI LNHSEALMLLSSAKFTSKAEEVLKTELDFQPVHLQLEKFQGNGVHEKVTLEKSDPGSA GMMLYTSGTTNRPKGVLLPQSVMTAQARSLLQAWEYSPSDHLLHVLPLHHIHGTINAI FTPLFSGSTIEFLFPFNADAVWRRFAAPFLTPDQTPDSDSDSPQPRHRKITFFTAVPT IYSRLLAAHKALPPDMQSAARAAISPANLRLAISGSAALPTPIKRAWADLSGGNVLLE RFGMTEVGMALSCGLDVADRVDGSVGWPLPGVEARLVDVDTHQVIEPGQERDADGRER AGEIQLRGPSIFAGYWRSPEATAREFVNAKDGRGPWFKTGDVAVRRPVEGAGRSDRPS QRDWARGDLYFILGRRSADIIKSGGEKVSALEVEREMLALPQVAEVAVLAVPSGKWGQ KVGAVVILDREHVPDGKWSPLDMRRALKGRLASYKIPQVLKVVDHIPRNAMGKINKKM LVKEMFIDEFSGDEL MYCTH_2294541 MASRTPVEDAIREKITAGLKPTTLEIYNDSHLHAHHAPMQGVTS KETHFRLVITSEAFRSKTQPARHRLVYGLLNDELKREGGIHALQLRTMTPEEEAKRRA QEAEAE MYCTH_2122204 MAKAGSSVPPDAWASWSPAILANPTKIPRRYVQIPSDQQKLLDR PDAWSLGRYPNVPPKVLTDVRAQSVRDTPPNVSALEETLLSQPASPASPGRAGEDLHR SASPERGVGAGIGLENDSAKAEEDGNRGVENTPGTPIPWSSSPPEHFLGPHQREEARA KDVSDSSRHSPEQRGPRTTGRVSARAAFLTDFPPSSSAKSDPGLEIEVPNAVTEALEP VNRQAVSVFNHTPPSAQVHIPVSAVWPDHQLLVDDTGTARSTWAWANITGYAIPTASL QPAGEQGPSEFPIDTPSDTSSSQAPYTTFKAAYPDYEGSLGVYLRAVLSILPLQKKRA LAEFLYDDFVRVFSTDFLTYISGQEANPRPLSAVEFYNENVSRPVYLRGVLTKNNLSE TLNKYANEIRAIRGGAVEPQEPEDTTRQRHDQRAPDKAPFERQTARQPRQRTDTSYAS HESDSSSAAVSASPGPGTRETGSPAVPTCAATEPRPTKQVRETVPPPTAGIDVPPPPS TVRPPTEAGKPAREAIVSPSRPVFRTQPDSPSLDIEPSPTPVTPAMSKRTLPAVDKFS GAGLSQASNPESIPETTLKRRAAPRASAGSSTAEPGAEFKRPQAAKTNADKRALRFKK FLMQKRIQSSAPQRSTP MYCTH_2294543 MANPHGLEAPSLGPSVPSSPVDARSRRDSAASLSVASHADREHY ALALDKIHTSASQSNVLTTFNDFAPPPDSLPSSEPKSTTSDMVQQGLSGLYTRFKEAV GAVGKASAQEVDDANSQDGASSRKSVGAAGESAKTSPLPRGESGATASTHESAAISEI QTSGLSSSGVPTSESQTTAPQSSSKASSITTPSTSKTPSLQNMPKMAKAGAPTAVNAA VDPVTAQGVMEMDASAGRAARVEDQLPNRAGGRMSISRPADTPPIPSPSGNASLDSVA TVDRMAMPSNRSRRDDVVSMDGSIDAPRSPSQPAAEGRASSSSSSRPTPSAETMRRPA VIDRIASSRGRSHSRSSSLGPGAAASPVSTSAHSSVYHDSFTHNERPQRLQSGILRIP GTTTNEGSPEVVNARLERMRKQVLSKEFWMADETCKECFLCGTPFTAFRRKHHCRTCG CIFDSKCTSSISGQKFGVQGNLRVCKTCLSVINRRYDSGSDDSADESYLPAILRASHQ AKAASPVTARLRTDDEASSISERTEQAEDPRDATTPMMAIPATRRMGENNRHSAVLEI DMPQLSRPGSSRSLKSLSATRPQSSGHRRHHSKHNILSRLKAPPEERAPFRTPASEDL AKKKPNVNAFHADNIIDPELADYMSDESSEDEQMSSIFATMEGGDFQSPSLDPGRASF GTYLSAGRKHRFRTGEKSISGPSHAGRGYEEANGLQNLSIHTRPPRRRNLSTASASIH HLRSPWPKSAVLKGPSASADTLSIFESVVDTPALKRRNSIRDGKPAEEGLSPASLQHA KKLFRQLLQDAEIPNPASWEKALIPILDKCAEDVDPDIRNGDDMDIRHWVKLKRIPGG KPSDTAYVHGVVFTKNLALKSMPRKIRNPRIVIITFPLEYQRHPEQHFMSLQPVIEQE KEYLRMVVNRILNLEPHVLLVARSVAGLALQYLSEANVAVAYNVKPSVLEAVARIVNM PVISSMDMLTLGARVGVCENFEVKTFVNNEIRGRKKTYIFISGCPKDRGCTIALRGAS SEVLARMKRITEFMVYVIYNLKLESCLMRDEFVQVPSEASPAARPASPSEQSRDSLPP PPGEETPDPSSDRPAAVVTRQSTENGDTLRDAGGTTAAAAESELSSAENAPQRATEPA QRPISMHDLHSHEQVPDNLPMPSFHSEMVEKYETRILSTSPFVKFREPYLLMKAREQE RRATYLKRLLEQEETEATDGDEKQNPEPFQLVQPEMVRGVEQRAPKKVMEVLHAVHDA EYDRAMHSFRTQAKQWEAYVHASADLFDPYSHQNIVVLYSVTCTATKIPCSEPSLVAI EFYNEHPDPKSGLDQDCTLGQYIEDICECADFICYSNGCDRKMHEHHRTYVHDNARLT IILKTSPAWPENFPEKPQVRDEDEDETGICMWNYCKLCDKHFGLMPMSVSTWKYSFGK YLELSFWNRGLQPHPQSGCPHDHQKDHIRYFYYLYRDIAVKVHYDPIDLYEIIVPRTK ITWKVDYDLRVKNDVFLRAEDRWNRFMNSVMARLKSIRIDSVLPEKAEACKAEVERLS KKAQEDQAELIRALQDAYMNSKYYEVIPFNTVIRAMLEKVTDWDAAFAKFEADFLSDK DVRQLTIIQLKKIFTDHETKESLPSTEGTPSLASENEEKTTPATSPPGSSEVEERPSQ PSDGDASPPQETPLSPAAEPVPAQKEGVAVETEVLLERVEPLDLAAPNPSAVTNLLFP AAEAAAEAPEPPSDHPSSSTSSATVSASATSSPAQASAPPPPPTGHMSLSEKVEQLRR EQQRALANDTRGSAAGVENAASTPKPAAERAVPRRSGAAVSPPIVRAISHPPGSLPRT QSAIGKLLREQKAQDVGSETQKAPGEVGPKGDKKFADRIGLGALKSHRKAGPSGIPRY VHKRESKVSTLARHFEQLSREFEKERLKDRKQRAAKMHHTRAFLPRSSTRTIVEVYKD VDQAVQEPAPEDDQLLDKERGDKPAEQSSTIAAEATLPESQRSKPPETERSVPQERIS SEPTSQQDETVAQTEAEEGRQEGRAGFEDEGAESDGDNPAITLDDILPNVKEFADTLE RSEEIAEELPKYQKKSLMTMLTNFWAERSASGWPQLEYPINATDHIFFDSDVIIREDE PSSLVAFALNSEDYRSKLAEIRQKWDTPDEPEAGESSSDGLAMKGPQTSGPDSAKAGN KASRSDAELERSLLRKTGMHVKYQFTDGSARMTCQIFYAEQFDALRRKCGVADRFVES LSRCLKWDSKGGKTKSVFLKTLDERFVLKSLSPSETSSFLRCAPNYFTIMKEALFHDL PSVIAKMFGFFRVFIKNPLTNTEIKLDLLVMENLFYDRFPSRTFDLKGSMRNRKIQST GEQNEVLLDENMVEYIYESPLFSREHSKRLLRASVFNDTLFLAKQDVMDYSLMVAVDE VKKEIAVGIIDCIRTYTWDKQLESWIKNRGFAGGGRNRPTVTSPKEYKSRFREAMAR MYCTH_90896 MAGEDSSSRPPYGSPDASRTQWLGVPAEADIPRIHQGLRIAVDA GFVKFLMDYAANNNNSDECPPLFKAYLEEVVLRPGAEDLRLQVESHLRNPRIRDFGLG PVFLRELERYSIFDQVFPPVPGRRPALPSAPIPEPYRPLAPTPGRLAETASEPPELVE PGLREGGGEETDSGDDERERAAMMERLQALEQGRAPPHRNLNAPAAPLPGPGVVSVPP PIPSRSAFGEQGRGGQDTGRLAALLRRLQSIPVLSRAGDFREQGDEAMGSRRDWEADS WYKGKRQPPLAVRLDTVVKFLAEEDVDRCLNWEARFGEVVSYLEWLALGDGSHQLQAA HPQTRATFNDAVTKAKAHVLFEKHHYEPTPPLTMMMMTKIAKPPPRHHPLRSTRLDWM VDPRRRPLPSWTAAVVPDRSELLPRAIVPRPPVPVNRMLVDRPGDRALYDRFAEDERR WWRRVAGRNGPDDIPRDDGGAKDAAAERQNLAYIEEQSFLSVCRRQDREQQNNNNNNN YNNNNTDNTGDDRPAARWARERGARRARLQRALCALPTDPLPFRHDSSASSSSSSSPR RPRNTLILPLPAAVLRQARERADGLQWTPPSLSASELLLPAVREGPGPGPGPRPGPGP GPGPGPGPGPGPGPGPGPGPGPGPGPGPGRGADEGEENDDGDNHHQQQQDYYDRHHPF ETMPYNVSYRERLRRIRQARELARLRVEEEEEEEKEEEEKQEDEGGGRVALPRNLVVG GPLVWAAADAGARARRDLLRACRTALSLLRAAGRRVPRPLLKAVLRAARKGAEKGRGG SGGSGGGESFPDGDGRNVVPAGIRPAADDWEPQQRENEEGEVVGRGRGRGRGRGRGER QRRPRLLDTDEVEWLKFLAGECVNRKNWTGRFVPDTPRDKYRLFLLFATKVQKLLDDK NPRGLFASHRAAVEVEDLLRAINAGKDSSAVTKHEFRPHDACCWLDRLKKSGHVRFHL DPRCYGIVKRPEAEFFPEHRVLWPAAAGGADERPRPSFSRGDVADWGRVVRDGHVPDT GEGSAIWNFFLSLAFRLGYTISTLEAETEAEAEAGQRQQQLVRTRLRLREAIDKWKRA CARDPSSAASAAEPTPRELALIRTRLIDELHANETMLHPARRRVWFDNSRGQQQPRAV LVRDFNWDWAAVPRPGRRRNNRRHQFWSLDRWPAPSSKEDDGGNQDRFADGAATYDPA AADPTTDWRYTQPRMRPYGYERVLFRPGPAVYQAGDTRLQREVLRGLVEDMADQAAGL DEEQQQQQQQQQQQQPEQEQQPRAWSDMLASWNPLSRCSSRAARNKEAEGQDDGGEPE SKLPPVDVSAIPSSWDPVAAAEQHDDVDDVDDVDDDMMLLDDADEAGDDGAHDDDDIQ SVDVLMTGMGDPWTTA MYCTH_42394 MGGPASLVVRDSQTPSHAVGPGGPLSRDDAPGARRRSSTTSRRA AYNARNFAHNRHSHEAAIFGSHRVRTRSVARAEGQELQGHTQHADSHPGVVRTDSSDK AVKEWKPSIVIGSPLWLEGEDLSAIQIADRKVAQTRLWADHYRGVPYFAQAHQEAVEQ RQRLDEASEENTKPRSALESTRNRAIQDRLDVLGALLQSSSFPPERQNIEAAMAGYRS GAILYSDSYTLLWAGRVVDRCPDYSSFTRDREARLNRYAAEYGPGWLWYEPPLTRGQT NVVAKKGFCLESKASWRQPTENMGHYQIRMGFRRRKANVSRGGVGEGGGGGGGKQPSS FFSARTIPQPSTSAPGETVPDPDGPRIIYSVLLDSGATFPTLWESDLPALGIHPARYA AQSARRVHTADSTLVSRIYELDVSVMGSLDDDDDDDDGYDNGNDGGGTNRGPLSRTGS RARAEPGRDPTSPSSHSTTTKTTTTTTTSLSCTIPVLVFPGASRDATTAADGSPSSSP DAVPDRLSGLLPFHTCYLSGAPGSFRLWMGDRRRDVLGASRLPGMMRYGEVLGGA MYCTH_2294547 MAPSSYQPDETFFVESDEPSQRMMMRDAAKMLARNKQEMIANEL SRLACDEYLEDIMQHIRQMEDETLPDANLIDMQREIQWFMRPYLIDFLVEAHAAFSLL PETLFLTVNLLDRYCSKRVVYKQHYQLVGCAALLIAAKYGDKKDRVPQINELNNMCCG LYDAGMFTQMEMHVLNTLDWNIGHPTVDFFTKLVAAEERDSLEVEHMAAYICEIALYH RDFVSTKPSVMARASLALGRAILGKPEVNDGEWDHADNVTLVALSQHLHQPSVTLARK YSSQYYSRVSTKLADFLAQQAAIARRGVPPSPPAEHSLSDKATDVYSTPQRGLVPSRA SPTAT MYCTH_2294549 MASEASPLLARSPRPFATAHNAPSNPDPPPASTPQRDPTAPQRK SRLHPCGVVRDF MYCTH_2294550 MTSKKEKQITFEEYLGVTEAAFEWADSYDTKDWDRLRKCIAPTL RVDYRSFLGKVWEAMPAEEFIAMISDKSVLGNPLLRTQHFIGGASKWERVSDTEIIGH HQLRVPHQVYTDASLRNVEVKGHAHSHNTHYYRKVNGVWKFAGLEPEIRWFEYNFDKV FASGRDSYGEHK MYCTH_2294554 MDANGRDAQRGGNEYGEEWAHGLRVQFEQLLRTKRLNELQARSR QGSPGPGHSASTNSHRDGHASGRNRQGNSGDASTPPSYSVVRNLPKVPIPPSPDDLES TRFRNLLISLSATPMKYENPGLLDEALQAVPLDRIYSEAEEECQVLQAQAESMGDGRK PEWGYQDCIVRALLRWFKNDFFTWVNNPQCPVCFSPTIAQGMTQPTPEEKACGALRVE LYRCSNNSCRAYERFPRYSDVWRLMQTRRGRCGEWANCFSMLCRAIGSRVRWVWNAED HVWTEVYSEHQKRWIHVDACEEAWDNPRLYTEGWGKKMSYCIAFSYEGATDVTRRYVR KTEYYNERTRCPEEVLLYVMKEIKHLRRANFDKQKRFELEKEDSREERELHSYVVASI TQAVTQLVPNAVDAGPSGRGTSSNEDTKVPVSADLPARQSGNAEWVAARGENGRRNQG FPPPHGQEPPFP MYCTH_2294555 MDIHRCRFIRYPASAINAVAFTHSSLPPVSGQKKHTQKHIQVRL AIGRANGDIEIWNPLQGAWHQELVIHGGKDRSVDGLVWVTEPDEEMADGKVIHGKSRL FSIGYTTTITEWDLEQARAKKHASGQHGEIWCIGVQPPSNKGASAPGSRKLVAGTVDG NLVLYSIEDGDLRFQRTLIRSPTKKTKFVSIAFQSHNVVVVGCSNSTICAYDIRNGTM LRQMTLGTDISGGSKNIIVWAVKCLPNGDIVSGDSTGQVCIWDGKTYTQAQRIQSHSQ DVLCLSVSADGSKIVSGGMDRRTAIYEPIAGQAGRWSKVFHRRYHQHDVKAMASFESK GISVVVSGGSDANPVVLPLRGAGKEYHRTLPHLPQNPPLHSAPKARFIVSWWENEIRI WHLLSPAQKLLDDPQAALGLRKNRKLLAQILVKGESHISSAAISEDGTLLVASTATDI KVFQLRLARGEHAEQPQIRKVDMPTSGHAATKVQISPDSRWISWVEEGNKVMIARVHT TESSAGVAYTISRPSKLNRLRRQIPKHLLLGGLGSYDRNITQMAFSPDSKLLAVADLA GFIDTWVLRGPGEGASGAGSRDDEGAASSDSSSDSSDEEYGDVAGERWARNPSAKLLP KLTAAPVVLSFSKTRREDGDYDLLTITAVKTLLVFNPLRGALSEWSRRNTYPKLPAPF RDTRDQVKGVVWQGHRAWIYGVSYLFMLDLSRDLDPEKDSAGQKQGTKRKRGVQESGA GGKMEKHSLAPQRVKAAVGPDGAKWEDVEMADADDQKSISASSGVDDDDDDDDEDIDG GELQRLRDDQGAAAQANGDDAAGAGKQVTKPAAATAAATAAAAAKWWHTYQFRPILGI VPLEGGPGLANGDVSEGRLPPLEVALVERPLTSEDLPERYFAENEWER MYCTH_2294558 MDFNSSSPYPEGVISFLDTDLYKLTMQCAVLKYYKDVPVTYQYT NRTPEKKLSRKAFRWLEDQVRKLGNISLSTEELNYLKEHCPYLSPAYLEFLSEFRLRP REQVELSFRPTGQDTGAETDIGDLDIKISGVWSETILYEIPLLALTSEAYFKFMEPDW TYEGQEQLAFDKGMRLLEAGCVFSEFGTRRRRDYHTQALVFRGLTKASKEAAKRGLPG KLSGTSNVHLAMRFNIPPVGTVAHEWFMGTAAIVGDYHKATEEALRRWVGCFGEGVLG IALTDTFGTPEFLKAFRKPVQHLGEPLPASARKPSIADSFISTGSGAQTVQKTNKTYA DIFTGVRQDSGDPKSFVKLIRDFYDQEGIHDKKVIVFSDSLNIDRCLEYKQVSEEAGF QPTFGVGTFLTNDFVNTKTGKKSTPLNIVIKLSSANGNPAIKISDNIGKNTGDKATVE KVKRELGYVEKEWEGGDETARWGREEDVPKA MYCTH_2294559 MSSADSAVAMDSEPYRGHLTNGVSQNRTYQEVYAENGRWYGTFK KGKYMFPIDETELERLDVFHKIFLVARQEATHSAPFPNQETPHILDLGCGTGIWGIDM ADKYPGGVHVGVDLNYIQPEFIPANMRFLQKDIEDRWQDLDPGTWDLIHMRCLMGSIS NWPRVYAEIYRHLKPYYGYFEQVEIDWTPRCDDGSLPRNGYLVQWATQLMDVMDSFGR PMRFNSNTIKQQLADAGFDDIKEEIIQLPVNGWPIDTHGKALGRWFNLGIRQALQPLS LAPLCRGLGRTPAEVQELAENTKHEVFSNSVRAYCTLHIFTARKPR MYCTH_2313342 MSFALVMRRSGLSMGRTLARFESTASNSTTTKAAEAAKQTASKA SSTASEASSKAAQGLSRVTAAGGPAIVSAAKGVSGALSRVGGRTGRLVAFVERQTPFV VYYSKVGLELAKLVFKGQNMTPPSISTFQTYFQNLWKQLQHPGAFFSNLAHSLNPQQL RNLSRTQVAAGGVLLAECLGFFTVGEMIGRFKLIGYHGETHAAHH MYCTH_62052 MNGIKSVNGTNGTEGINGTTGAVKASKPNIGVFTNPNHDLWVSE ATPTLESVQKGEELKEGQVTVAIRSTGICGSDVHFWKHGCIGPMIVGCDHILGHESAG EVIAVHPSVTSLKVGDRVAVEPQVICNECEPCLTGRYNGCEKVDFLSTPPVPGLLRRY VNHPAVWCHKIGDMSYEDGAMLEPLSVALAGLQRAEVRLGDPVLVCGAGPIGLITMLC CKAAGACPLVITDIDEGRLRFAKEICPEVVTHKVERLSAEESAKAIVKSFGGIEPAVA LECTGVESSIAAAIWAVKFGGKVFVIGVGKNEIQIPFMRASVREVDLQFQYRYCNTWP RAIRLVQNGVIDLKRLVTHRFGLEDAIKAFGTASDPKTGAIKVQIQSLD MYCTH_2294574 MTLYYTLVFLLLVAEMALFMLLILPLPFPMRRKVFTFISENPIV AKVQYWLKITFVFILILFIDSVNRVYRVQVELASATENTGTSAPTIMGHERLEVQARK FYSQRNMYLCGFTLFLSLILNRTYIMILEVLRLEEKLKQYEGSEKNTKQAEKLAAAGD AGEIARLKREIELRDQDIATLKKQAAGLHREYDALAEKYGRTQEDGTMSKKDK MYCTH_2087375 MPSTATPSPDSVSDSEFHELLASYSDCIAEISGSKGTKPGQETL SSLDQYRYGAALDTFGSGDRAAAMGLDDVKKLVEWKLRHGKFRPTLMKLVSSNEPGFV KDTIREAVTLYRGKSDVSGALNILTRLKGIGPATASLLLAVHDPERVIFFADEAFYWL CCNGSKAPIKYNQQEYIELDKQAQSLIKRLGVKAMDVERVAFVLMRQPKGERAASASE VKSPTGSPANGMVKKRPAKRKALGDVPADDAAPVRRSKRVQAPLPVSSSMADTLLLAR RDEAHSFACPDPIQLTPRFAALKESLALGKESAISSSWHRLLQRLPVEIGVVSSLGSD AIPTIRFEDAADLQQADRFLRDLGQRGVGIIRGVVPKDTALMWSREAEEYLGQSPPVR SPSGGFQAQDVYWSPAQVKARAHPNLLAAQKFVMSAWKSKDENARVTTNFPISYADRM ALRGREGPESSPWATVDGGSVERWEPDGYGRAGTYKDIFEGRWEDYDPWESSTRLGVT SDLYHRAGACSIFRMFQGWLALNHVPSGPGSVQVCPLPRLATAYFLLRPFFSPPPSSI STPTTTPDRSTETGDDAQWAFNHPQNSILHGALPSYAQQITPSLHPHLQLDRSLISVP DLQPGDYLVWHPDLIHRIIPDRPQPQPHHHHHHHHHPSDSDPDPSSSSSYSRAAAACM YLPACPLTQTNALYLARQRKAFLLGHPGPDFAWGGHGHGHGRRESGEPVWSCDGSGSG SGSGSVISRPEAQEVLDAGGDDGLRAMGLLPWDEEEADEDKEREVLAMANGILFPDLY DMFG MYCTH_2294582 MGGGNGAKAAQKRARNEKHKNVPKAASQLKSNAAAMNIICAICK QAFLSTSREPQLTLHAVNKHNATLPQCFPDFKPPASK MYCTH_2294585 MKNAPSFLGYSRLSAEITAGAIDHREQIDLSTEHPLPGPDAPRY YNLLAPNQWPSPEVLPDFRPVFTEYMKRMGEISIYFTSLIAEAIELPSGAFNKYFDAD QQHKLKIVKYPDVGELGAEGKGGNQGVGPHKDSMLTSYLLQATHHRGLQVQNMRGEWI DCPPIDGTLVVAIGQGLEALTQGVCVSTTHRVLSPAAGEGPRFSIPFFQGVSGDASFE DLETVGVGQVPEHVREQRRAVLERNGGRRLDDVEFTFRRGGAARTLGEATLRNRVKSH PDVGERWYPDILESLRAEQQQKAGNVGVAAA MYCTH_2294587 MDVNGDTASLKRKREPKDDPALSQKKHRRKSKLQEVNVNGEDTT NGAPQQRPDGDVDLPDASSQSLAGPVQSKSQPWRLSKPMGGRMLDIDPIFSPDERHLL ITYNTSIQVYSTEDSLLVRRIALPIPGSDGENELVSTHIVASVLSKCDTDYVWVACSD GRIWYLNWTSGSGVDAPFKVEAKKVLDMTVDAVELGGKAEDVVLVLQKLTYSSAQIFA YNTKALSAGRGTLLHTYDESPQLLQSVAGGRLIVAAAKETLHIGQLKPKKLASLDDLV YRFVCFDVPDIVSCLNVRHTVRTTKKGGIEIQSVDLVIGGARGGIYVYHDILSKLPGE GSASSKAGLIQPRKYHWHRRAVHSVKWSEDGNYLISGGYETVLVLWQVDTGRLDFLPH LLASIENIVVSPRGSSYAVHLDDNSTMVLSTAEMKPTMYVSGIQSLVLGDRPSKDSLV RRVWRPVDEIATPLVAAINPQSPSQMCLCVGNGQQASLGGSLSTPLLQVFDISSFQGV TKHAIARTNPPEANITSEGAPITEPTATKLAFSRDSRWLVSVDEWQPPERDTDVFLIG SKTPADACRERREIYLKFWEVSADGQSLELVTRINDAHHTDRAETIFDLASDPTSSRF ATIGNDGTVRFWTSRMRKRDGLAATGPDGEPLRSWTCSRTVSLPLYERQDDTIEVAEN EPRSGAITFSEDGSILFAAFGPPSGALVVAIDTETGAFRDVVSGMFRGEVRALKSLGS SLIMLSEDLVVYDIVSDELLHSYSLKETSEAAKRLTQVAVNYESRSVALVAPIPNQNQ EKLKRGTRSELVVFNIDEEEPQLVKTFSQLITSVCAAPSSSGFVLVDSAAQIWSVTQG IEQAPMLQPLADIGVDVNAVGDATPNELQLQDGEASDEEMGDADQDVDMEDEDDTHAA VVAPQRLAEIFNAAPAFAMPPIEDVFYQVTGLFSTKPLNA MYCTH_2294589 MIIPIRCFSCGKVTGDLWEKFVKLIEEEGLSDGDALDQLGCKRY CCRRMVMTHVDLIEKLLKYTPDGRNYKKIEMQQRNQE MYCTH_2294596 MMIRTNIPDHLRKPLSEEVVSVIHAPPNFQNWQSSDATSKSNTA SVTSVESTSASSPPPSEPSTVTPPAQQQPTVNTKVKPVTFGVDAPTPQAQKLDDVLAN EPPRLRSSTASSLELIPESHEVDADDDEDEDADDFSGPTLSRENEVKALKAALEECWT LCNTLANLSSIHRERVFNSSGTPDAHERAWKSCWKLCKRLYQSRDDVSESYGVRTNLD LCRDFCQCLFDVRQKKDETADSILRVSFELNNHLYSAQDSRSLPEAFRERTLDFYITL CHRLMKQRSDLAEETDSLLRACWSLAEMLFSLRQSRRDGKAPDEELLGSAVQACWELC DIFREGWTQIRPDRGTPRPNQVNFFAAGTPYGNQSTGSHPSDVARSNAGSRASLHSKR ESLRSLADIDRPRPHPVVPETPVTEFEDTPVSPDASPRMPPNILILGTSGDSRSDRGG RWSSNASNLSSYSQSSQHTSSTATTTAAVEDTNVTRIKALILKAAMNVGFDRDTAVAN GTSSAASLQNFVKTLPTGSFGSLPSHAALLQSYKNLVLTDASFRFSTSLPARGKRFTA AEIAKSVGWITQRSAQYNFLRDLFRLVFGIQPDEAEARKNMSITV MYCTH_2294601 MGEITRRWPFEDHGHGAFSLVIREPPLTGDSLGLKTWGSSYALA RLLHQFADGPLAHLFVPGALSAPHEVLELGSGTGLLGLAAACVWKTSVVLTDLPTIIP NLAHNVSLNREVVGRLGGKAEAAPLTWGGSREDVDPMFWEPNCFQLIIVADPLYDDDH PTLLACAIDEQLALGTDARVLAMVPQRDEITRRLLSSLREELGRRPRPLLCLEEGVVD GQDDWGDEHGDGDDDGMRNVGFWWGIFGRAPSSC MYCTH_2313350 MNARGYGLPTYPGCSTYLPAGSRNYHDQARKLSLCDLSLSDDNH SEHMPCWVKDRKSNLRVSGIHDPANKQRDLKAPPAPQCLGIDAILRNLHHEISSSLKM LQALVQCFEADVEALRPWAEESTLDTVWRNKVKKVFRGKRDKARFEGVAGRISASRAA IKGAVRTVKTVKAAWEDRHTVEGQIRTAKKAIVFCDGIVDLAERAASERAACKQLVTE LEETICLLDRRKHPWICKSALLSTCPPNLKASSAGPGQ MYCTH_2294607 MVLNFPESGVVIESSAIKDMAEFPTQAFAITLSDSVIEDMILCV QNGGDIQLALGSNPKFLFDDHEIRIPKTSDPSGYDLFLANSDDPSTARKLPEPTMSIL RQPKRKPKTTAPKTAKPAGKGEPVAKKAPARGSAKSSGAAARPGSSAAEDGESQKQED ATAKLKRTFDSLAADKRENSAVIVGGLPSSKGAKFGRLLDTHGAPSPRSLPPSPALSG VGSPSLLPSGSTPQERAKQQRFPLMHELAVQDLSREELLEKWDGTEEEFNAALEKVAT FDKDLQKWVLKKNCWKDLDIFEYDYSDDDRRQKAITNAIKWFDRNRVSASDPLWQKLL PKADRGKGICLSNLQVTLAKGPVMQAPKQRTDAASAGGADSEKDDSATSATKKGPGGE AMSRSSSQASNGKKKLSASEAQAKRLLSTNKKKPLPAATTKAAPKTSAARSSTKGTSA KGGRVLSKEFVTDSDSSDDEVPLSSSKAKSKAASAPAPKPMARPAEKPKALAENGKER PKEAPVPKPKPAPAAKVSPREQKNEKEKDTIRAEVIARPIKPAAKRPREADDDDSSSS GTPLSKRVKPAAKPLPTQTKARTASDASQHSRVAAPKPKHTSPVKSSPLASSPPTNAS DVEQDRTTPAKARERERERERERERERERDREWRRETAVRGSSSSTTDTSSTSGSIAK KRPPTTTTAAAADSLPQGKAKRQRPSQETIEMAAKFRQFYARYLQLHRDVASHDNPDP GKMTDLLDMHERLSRMKTEIYAAVEV MYCTH_62074 MPTDERKQARSSSPVAREKLEAQIKSADMTEDMQQEVIEVAQEA MAKFTIEKDIAQHIKRTFDERKGPTWHCIVGRNFGSFVTHETKHFIYFYLGHCAILLF KTQ MYCTH_2294614 MESSRVFVKNLPPTISEAEFRNHFSAQGREVTDVKLFSNRRIGF VGYKSHEDAAKAVKYFNKTFIRLSRISVDLAKPIADSKPKLPAAKDEQRHDGAGISSR KLDGTGVDQTDDEKSKKRKREALEEADPKLQEYLEVMGHPVKKPRDREVVGTGQEADS VAAVPPAVIEAGESDDEYEDIPSLKPKGGPKGDPAPVEAAPTAVPDGEGPTPAAGDVR VREVPKVAADATDDDWLRSRTNRLLDLVDPDDPEFAARAVPSAPAAATTHAPEPKDSG HVETTTNTDGPNQGAPVPTEDTEDAIKQIEKTRRLFLRNLSYTVTEDDIQEHFSKFGA LEEVNLPLDSRGQGKGFAMIRYEQPAAAVAAFQTDGSIFQGRIIHIIPGSAKREKKLD EFALSKLPHKKQQLLKKKAEAASSTFNWNSLFMSQDAVNTAVAERLGISKHELLDPTD ASAAVKQAVAETTVIQEAKAHFAAHGVNIEAFKSQQRGDTAILVKNIKNASIEELRNL FEEHGSVVRVLMPPSGTIAIVQFGQPAACRAAFAKKAYSRFKDSVLFLEKAPKGLFVD NAAPPQEPQDRPAGVQKPSVADLLERHDDEDQQLETTSLYVRNLNFSTTAEGLTNAFK HLDGFVSATVKTKKDPKRPGQVLSMGFGFCAFRTKEQAQAALKVMDGYVLDAHKLSVK ASHRGHDAAEERRREDLAKKAAAQRTKIVIKNLPFEATKKDVRALFSAYGKLVALRLP KKFNSMSRGFAFAEFATAKEALNALTALKDTHLLGRRLVLDFAEADEVDPEEQIKAME KKIRGQVNKVTLQKLTGEGRKRINIGDKDEDEA MYCTH_8962 AQCYSPLPNHEHEDRHPGSPCRQCLQELAGNVQLPHMAWYGQQF EALSSIPPSIPPSIPPVMAAPVLRTQSLGPDYGTPAYPRPQQRQEARLRGRKQGRGSN PLMPLLTQAFQNYRKKQADKPEQKWPEALEGHFLDGKSTEQTKARPPSAVPFSLLLIP QMGRSKYSIEQRQQGRNQLIGKYLWLASCRDLGPGEKPSALLLEIRGEKGRKRVSSHI QVVRNFFAAHRCLHFLFGPRQKDKDDKDERHIEKVSLKNNPILIALSENRMPDQRPNY EYFAQILALNEQVQFRPRRCWIFVSHPDVLVSKDGSGYLPTTGTKLGQAEYPHLRRNL ERETWAREEEQHLFRGALLHEFTKEIHQVESGSVGDLARKWASAFPSLQQRLRAITSS TTDGQCDVLHLHTTLELREKPGFPADSSLSSWVEISIEQPHLLNHRWRVETHLVGPPE LSYARDDSGEEGSYQEDIYERHGEFTIKYQHQPGCDGSRSSSSSSSSSSSDSARGHCD CLSRCSGRDAFSVPFPIPFPATAWAQTLTNCAEYPAYPSSGSKRHARGWGVECEREED REEAGWRRRGSKEPTQMDLVPKIAMMQEILSCPPPAPSHQGESSEASSEQGWTRRGLI LWTFDTIHSVAKEGGRERGKPQTASGGRTCWRFLTILDPSSEHHLRQAAVTSRRTPAD EFRGVSDSLACASRPGSRAAIPSPTPSYPQHPSATTNENFPPAWNNVAVGPGAALSLP PTTRAYGADVDAISHAIPPRAAAGEAGYELPDSFGGSHGGLATPPSTASTASSLTQPF STASAGSDVFPGYVSPPHGPVTTADPGAGPHTLGGALPGAMTDPFLSDVGGAYGGAQD GIIHGWDSHVAVAVAVAGLDADPWSSPGYSDASAAAHQVHHHDTIAWSAGTQPQTVRS RRGSEQQQQHHHHHHQHHHPSGSPEQHTWASSTHSDSIIDNHELWMPPIAAETQTPAA ETAP MYCTH_2294618 MRTSIDRIVAAWLLAALSGTATAHSWPEQTVRLGPDGKEVGKPG ADRAHIENGPDASFLIPPNGGPKVFDANQKIVRDGQGTLTDSSYSDQFPMLSVAPGDF VAIKHRENGHVTRADKTNPMKPINRGTIYLYGTTENDLSNVNLMDVHLKWTADGKGGD GKGRLLATRNYDDGQCHEQKPADGDLEGIGDYRKQHVSNADSLLCQSDIKIPEDAPVG KIYTVIWVWDWPDMKEPGVAVPPAEYNSDQVATPETYTGVVDFKIVDPCDESLGEVKG PTCKSSTADSNVKFAMDAPATARGIPSQLAEPFIVKVPQAGASVPSATADPKYIPFAV LIGQEPTEFPLPQSILEKQNTPGAAGGGGGGVPTPTPTPSPDSGSGSGGGDEEEVVTI TTTVPEGFTTMTVTRSKAEKTPEAKPRGILLRRRHRA MYCTH_2294621 MASTVLPESGNPLVFFDITLGGEPLGRITFELFKDVVPKTAENF RQFCTGEYKDHLGRPQGYKGSKFHRIIANFMCQGGDFLNGDGTGSTCIYGTKRFADEN FNIKHDRAGLLSMANAGPNTNGCQFFITTVPTPFLDGKHVVFGRVVEGMDVVRKMEHT KTGLKGKDVPNLDVVIAECGEL MYCTH_2294623 MESQETAKRPQEEANGSTDHQNIPNGDEPALKKIKLDGPSSVSD VPAQSSPRPRVKGVAPIKAEYLIFVPGQTGKPAEDEVADDDAAEGQRAREPQEATQTS KKDGKRKKQKGQNKDRDFGTFSDAKRLCNSVAWTPEFSPRPCKHGDRCNALHDLRQYL KEGRRPDLATFGGKCPVWAKYGKCPSGWRCLFVRSHMDEIKHTDGRSELVLLENTTKQ SPDGGKGEKDEGKKEEGEEEEEGEMGDVKPGVVNVVPARIKFDLAKKRLRLEKSDRYL EWLAKDTERLTRHYHKQKNDTDEANDYRAQYVEPPLKPSEKRRIYFGRETPVLAPLTT QGNLPFRRLCVELGAQVTYSEMALGLPLLQGQKADWTLMRAHEIETTPPRFVPGNGSI VRDYDNSRDLKFGAQIAASVPWVAIKAAEALSRFLPHLRLIDLNCGCPVDAVYKSGAG SALLDAQSKLERMIRGMNAVSGEVPITAKIRMGVRDDRHTAHKLVERLALGGEDMRSV VGAPGCAAVTLHGRTRQQRYTKAADWGYIAECAALIKQFNDKADSLADTIREADESTL PNGGKMYFLGNGDCYSHVDYFEHIDKAKVDSVMIGRGAIVKPWIFEEIEKGQYLDKSS SERLTYIEKFARYGMEAWGSDELGLNYTRRFLLEFLSFFCRYVPVGLLEHLPPSLNDR PPAYRGRDDLETLLASKNYKDWIKISEMFLGPAPPGFKFQPKHKSNSYELEAEG MYCTH_2294624 MADQARGVGQEDTLQSPQNRKAVRSQRIAPVSPSDSRLPPSEPY DDDDDDDDDDEEEEEEEEEEARDRAPPIPRSHTPGHLSSKSQDRRNKPTDLSLRQRSQ SLAAAIAPSSSSSSSGVTPLLPVSAASFSSGTLKTPTPSLTRQPATSEDATPVKTPGR ERQESAAGSSGGGSKEPPRRPQALRSTSAIASRPGGGGAGGSGGAGGSGQSSGGGGGG SGRSSSSNNNNNNNNHNNNHSNNGNGGSSSAVPGTVTRIGTGRGAPAKGAEVYTPSPP LVDPRTAADVPAAPSSGMYWSRAPASGAPHTALRAHTATLVGSNVFVFGGCDARACFN ELYVLDADAFYWSTPHVAGDVPVPLRAMTCTAVGKKLVVFGGGDGPAYYNDVYVLDTV NFRWSKPRILGDKAPSRRRAHTACLYKNGIYVFGGGDGVRALNDIWRLDVSDINKMSW KLISAPSPATGTGKDAVPKARGYHTANMVGNKLIIYGGSDGGECFNDVWVYNVETHVW KAVNIPITYRRLSHTSTIVGSYLFVIGGHDGNEYSNDVLLLNLVTMSWDRRKVYGLPP SGRGYHTTVLHDSRLLVIGGFDGSEVFGDVWILELAVHSYYSQISHFTVDV MYCTH_2074790 MKVISKEEEQEHYSRVVRGGLIGGTAGLAVGLAGVIYGSKRYPM IRQLTLPFRSFLVTSSGTFGAIVVAERYSIEYQRSRDAMNNYLEETHRAVEEARRAQL SEKQKLLNWGRENRYTIVFASWIAAMGVAMAIVGRNKYLSTSQKLVQARVYAQGLTLA VLIATAALETADAKSGKGRWETVLVVDPNDPTHKHMIEKKIHKEDYEGQDLWKEMVAA EERRLGEQKKNR MYCTH_2294631 MPSRSWTQWFNSKADPEAAEPAGTHCNKNSTRNHTSPTHSDSPS PLDHSPRSPSPTPQPATPCLAVLGHTVTSQQVAFAGPAQKYPPRASSPAIAGGQDDFD YSYTTGRITNIADAADTDLALDIDTKDVDMTTGPDFGSATGRSRQDSFVSAGAKPISM TNPNREHANRARRESLAGSLMGGMSWGGISVGSFIREDMMMTGTSPYINNQSSSFQSS SYIPRLEASFMRDFTCCDQRWPTLHDLLQHYEEHHHPTTTPNTSKDAFGATQPNSRAT SRAGSVAPSAGRTQQASQSLHGFPQQRQAGSGMGVGGIGQMMRQQQQQQKQQQATGSS QKANALSSHMNDEMDTVGDMEMDETVGPMDMDDNTRTIQATRELFGQQQRPQLHLNSS GLPHQALRTSQPPTPAAVSFGFQNNPTVSSVNTPTLTTQQQGVPQRGQQFGQDGNGDE DEEIAGMPMKMNLGNMNLGGSQLGGLAFGALGTIDDPAKRLYSPGGTNQMTSQQRALD QQMQLQQQLQQHLQAMNLDLSQFPPGTDPALILQQMTALMMPPAEEHKPFRCPVIGCE KAYKNQNGLKYHKTHGHSTQQLHENGDGTFSIVNPETSTPYPGTLGMEKEKPFKCEVC GKRYKNLNGLKYHKQHSPMCDPDIRAHHQSILTSMMQNPAAFLALQQGLPNINEDAML MYCTH_2294635 MAQHHHPATPGASAAGPSVLGGQGQTTTSVTPQVSASSSALTPA SASSSNTYIMPNSPLKNRGLADGYRPRVTRTLGQRPACLVNASVTYCGNNQIYAFGGF DQYTDEVYNHVLRLDLVSHQWTLVDNYGDIPGVRMGHTATLYKGDKLLVFGGENEHRT YLSDLIIFDLKTAHWTQPQVSGPIPKGRARHAAVLHEDKLFIVGGITGHDNYVLDDIC YLDLKTFTWSRSWRFVGRFDHSAYIWSDRVWVFGGLSEDMDKVSDLWWLDLKGNPAFE SPPQIGYLDKSGLVRSAVSPRPPYQVSQSPVVGSSGYAANSRTPQVNTPSFHLKTYAP PAPGAISALKFVSGSSVPSQMQGIHFHVYSSGTLLDFVTPAATITSKDCSLSALDLGT LRWQKLAEGREIFKPGYRWHYCTLNEDGTKAWLLGCPTEAGAMELGANGLEEYLSDIM EIDLRRYGFLGNNLASEARTDLARPSLRARSVEPPSKGLGADLARLFNKPPETGSGTD FVVTALSRDFDEDEVMGSALMHANEPDEGSQTWLAPDAPTSPPIYVHRLILQARWPHF ARLWASQMAEFHTKKMHIPEPYSVVKAFLYYLYTDRIDPADDDAEENTMSDLSDVAGL LVMSNIYNIPHLRLLCVNRLSKELDVDHACIIWYCAGLANEEWLRKRAAGFCMTHWGR VVRTAGFQRLPRTALVELSQEVDMEGRVVGGDELDNMIMDGVGGRYGDGALSAASRRK ESVSSNQTQMLETEDDDEDDGMEMS MYCTH_2313356 MATASVSTPIKSHAGLFSSRTAGGRMPLTPSPCQRSSLVNISSS PFTPETASNDAHRAPSTSVYGGNLAAHFAKSTKNHRDSPKSNIARVAPTPRKVLELGV SDFTLRGTGAHMKTSPSSKDKKPVAVKKQTSKSRITYNSDRFIPNRSASSAISNSGSM KARAREGQQRPRSGEASAVLASAADDALAALEGLNINDDEPPSYSRPSPGTVAYRETL ADACGVNLNTRILEFKPAPPEASKPIDLRQQYNRPLKPANGSSAQFRRRIATAPERVL DAPGLIDDYYLNLLDWSSGNQVAIGLERNVYVWSADEGTVSCLLETSPDTYVSSVKWS GDGAYVGVGLGTGEVQIWDVAEGVKIRSMYGHDTRVGVMGWSKHILSTGARSGLVFNH DVRIAEHKVAELVSHTSEVCGLEWRSDGAQLATGGNDNLVSIWDARSLAVPKFTKTNH KAAVKAIAWCPWNMNLLATGGGSYDRHIHFWNSTSGARVNSIDTGSQVTSLRWSTHYR EIVSTSGFPDNSLSIWSYPTLVRNVEIPAHESRVLHSCLSPDGQMLATAAADESLKFW KIFEKKPGTSSSAGMGLSSKVTTPKHMTIR MYCTH_2294640 MSNAAVGPVYDTIITEVINAVRVDFEENGVDDSALEDLKKTWQH KLSQMNLAQFPWDPKPEPPPTPASANAPPASAPPSAPPITANYTQSTLSPQSSAQTLS LPGSLHPNSNSVGTKQEPGFTQAEPTIKQEPGLPPAPSGYNPANGVPPIAAQRAASAL HNQYGPRAAKSIAQINSAAQQSHQNQRPGQVPQQHMNPHQQQQQQQQQQQQQQHLNPQ QQYRQNVAAAMQQQRMQQVNRTGPNGLPTSQVDGPSDAAEAASSVTMDRAQIDELLHA QLAARAKQMEGGGLMLPLKQATKDRSVASKQAGATGPAQFDGGLDDDGKSEDDDEDAI NSDLDDPDEMGDDDEDEDDSMGHMMLCMYDKVQRVKNKWKCILKDGVLTVNGKEYVFA KATGEYEW MYCTH_2294643 MYGAGMYGGYGGYGGGMYGGGMYGGMPGAMPGDPNNPDSLTQRF NMSTQATFQMLEGIVGAFSGFAQMLESTYMATHSSFFAMVSVAEQFGNLRDTLGSVLG IFTLMRWIRTLIAKLTGRPPPADAKALTPASFARFEGRHSPAGEGGPPRPSRKPLFFF MLAAFGLPYVMSKVIRSLAANAEEEERRRQLVASQQTLDPSKLEFCRVLYDFSPATQG QALVQGVDLEVRKGDLVAVLSKSDPLGNPSEWWKCRARDGRIGYLPSTFLEVLRKPGA PIAAIKAAPASESSRTSSLTSTVSAPGALPEAAAAPAKPAAPAPGPVMPSTKVGNVTV ESFQKSQFQS MYCTH_2294646 MIKVYEGERTMTKDNNLLGKFELTGIPAAPRGVLQIEVTFSLDL NGILEVSAQDKGTGRKETIMISSDGGRLTQHEIARMIAEAEKFADEDREARERIEARN GLESCAFSLKNQFDDEAGLGGQLGGDEKESVRSSYPAWRPAQRINTMLTLPLPPV MYCTH_110187 MGLIQDLGGPLAEGFSRLGLASQIGVAVGGFVLLSVILNVLNQV LFKNPNEPPVVFHWFPFIGSTITYGMDPPRFFKENRAKYGDCFTFILLGKKTTVYVGP KGNDFILNGKIRDVCAEEIYTVLTTPVFGKDVVYDCPNSKLMEQKKFMKIALTTEAFR SYVPIISDEVKSYFKRSPDFKGQSGIVNICPKMAQITIFTASHALQGKEIRDKFDETL ADLYHDLDMGFTPINFMLHWAPLPWNKRRDHAQRTVAKIYMDTIKARRERGETGAQDI MYHLMNSTYKNGVRVPDHEIAHMMIALLMAGQHSSSSTSSWIMLRLASRPDIMEELYQ EQIKNLGPDLPPLTYEDLAKLPLNQAIVKETLRLHAPIHSIMRAVKQPMPVPGTKYVI PNNHVLLAAPGVSASDEQYFPQPDLWEPHRWEKDHPLAPSMVRNPDEEEEEKVDYGYG LVSKGAASPYLPFGAGRHRCIGENFANLQLQTIIAETVRMFKFRNVDGSDKVIGTDYA SLFSRPLEPANIYWEKRDKQ MYCTH_2294650 MPPTLDLSTNSHTKVVVAPPRINLRRAASYQHDRGPLSSTSSRF SFNHLVFSPPPSPGLPSLSPPAKKPSGRLFGTVRPLRIIRYAIRLLSIVVVFFVALEV LTWLFGEPVPATAPTEAARPVEEAEKETGMVSQQTAPDFPTPMVITDHRGRAKWTVSI PPGSEFPLTMNQYADMCSKCQQVAERTRYLRSHGHGLHQLSLGFGSDSADDFIDVQEA EKAGYLPGPEKPSGSSQEPDAAGGTVCRKSLTFVLESTDAGLGKTLMMLWMAYGIAEK EGRAFFVDDTRWAYGKFTSIFQPPPLPGCSAPPSHEILPCPRHARHLVASAATMDVLF GSLTENADPPSESHDRAQRRAQFSLARRGYEALSRLNEEDSAYVDARIRELNAKRLVP ESNGQRNGLAVGVHIRRGDRHPLELQYRHSYMPLNLYTDTAHQIIETYFNQTGGGLLG GSADDQGAAAAAKEQSIVVLASDDPVVYDSPELVAAATGHTSTSRHHRAQERIKLASK EAIKEVSTRKSVMRKFVDETFGWEGGFFAAMFWNLGVSSAARSTSASATTAALAPTDT TPSAETLRLRSLVGRAYMMDLAVLAGASDVVICTVSAVGCRLLAVMMGWESAIERGNW VNIDGGYGWLGLEW MYCTH_2294653 MFLEFLRGTLQWRPENWKTAKELSDDPWLSSWLATHHCYGVIRV PRSPALASRKV MYCTH_2294655 MSTALPRPSRPSNGPPTIALPALPVNKTRKNTGNLAAPSSKSTP TTPKSSGLRAPSTALLPPASPNPAGSLPQPRSASGVNSAGKTIRKTVSINSFPQPPRG DSRISSLPPSPLSTSAPSRKSRAPTTPNPQLSSATPSFLNGTGEGKSISRQPSTRNSD GLTSVASPPQSRSSSAQDSYSTSATQYDDVNDTAPPKSDSAVSGKRASKADGKGNVIV SVRVRPDLSGHDNAPNPDWVIDGRKSLIAYRGKEGGDYYYDNVFATHDDNYRVYDHIA KRLVRRVMEGYHGTVFAYGMTGTGKTFSMQGTASSPGVIPLAITDIFSYIRETPSREF LLRVSYLEIYNEKIHDLLSMPTGNNPGAQQEEIKLREDSKRGVYATPLKEEIVQSPTQ LLRVIARGDQARRTASTQFNARSSRSHAVVQIVVESRERIPTSGDNKRQGLLPGGVRV STLSLIDLAGSEKAAESKERRQEGSHINKSLLTLGTVIAKLSEQKEGKGGDKDAKHLP YRDSKLTRLLQGALSGNSLVSILCTISIGPGAGPAASATNINETLNTLKFASRAKNSI VSHAKRAEEALGVGGDGNARVLLERYRMEIMELRKELENQAKANSKRELDEERARDAE EERAREREAELRHEEQMLEMQLARTALKERIDHLNRLILSSKSIGVNASGSYSALGIH HRYSQGSIRSSMATSNGTKFGLERTESMTSSASTIGRKSASHRSSGGPEPPALDDEES TGEYGDGTASLASQNRALQADLADKNRYIQTLEKRLLQARRASSSRASIGLSSKGIMV GEDHSVAALIKEKDAEIAELRARLDDKDRMLAALRSAARCRDNADRVESRVESRSEVR TSQILDSNPGPAPTGSPPAAPPSLLRQVSHLRKRTKSVDEMSRMLDEMIQDRVESGHL IRGARGSVRIAPAVASSPLDKPPAIAGRSGSGSSGDSGKVALSPSTSFGPPPSLPPSG PPPPSPQAPPVSRPPIQLQLLSRQRSSFAASEPQKSLALEV MYCTH_2294657 MTLHSVLGIPRVKKRSSSSATKRSSSSATPTWTASLARTKPGTG TTRTSSNKAVQPRDDSSDRYHQRLPNLGPASLPVPLPSLPTSGGTASASAPNPVEIHE HILATMFSPLPGNGTGKGLGSARIAAVLQFRAAVPRVVSVPHLLQALRSCSSSSSSSS SSSPAAAERAIAATVRRGEGRRVRIPTLAGGAAGAELFVRAAELEALVGRCAALREDR VGDRFLAWLGQNPGRERLVEGEGGLGRREVDALVKAGFLTAVNGHGTCGGGSATGGAA LRARPEARYNTVSLETVARAAAGSAAAVGGEGVLHAAGGTGARRLGPAAEEPAGSEFS VAVPGSGVFLKLASAALEHLADLLRRTPYRELPESDLREKWDGGVVGDSDIAQAKKSR GEFAGVMPGRTKKWKEFHGLAFDWVLREAVGAGMVEVFETQTVGRGVRLV MYCTH_2294661 MSSPGLGRSWRRSPSFASSHSQEEEPPSASSQLQLSRKTSNDGK LLSSSAGSVTYREPLRSFIHGTVRGRLGEAPCQSLRGRADADNQGPAPLDSAESARSV REETAEIADYFLSDKPDARSSPFPRRAQSASSPKPRPSVESPGPESGPSRSTDTIAEV SEPPSPQDTHEPAGPSMLTTMLKRSPPDKDNFIAPKEPNSHEGGVPAEETDDEEGAPL SRVQTVEYRPLDARPDHSDNTNETSPLLAAAAWERSGGSYGAGDQDHQDADLEGRKSL HRKKWLARTADSLRETGARVVIILPTIGNPKRWDRHLLWENVVVAPIACLPAVVVGLL LNILDALSYGMILFPLGSPIFASLGSAGISMFYVSCIISQLTFSSGSIFKGGVGSELI EVVPFFHNMAGTITEIVGQENPDAVIATTITSYALSSMLTGAVFYLMGKFKFGYLVGF IPRHILIGCIGGVGWFLIATGFEVTARMDGKFSYNLDTLKRLMQADTVPLWIIPLTLA VILFYGQSRITSKYFLPLYILMIPAVFYAVTGALNLLNPDTLRDSGWIFEGPPAGEPW WYFYTLYKLKLVHWGAIAQCIPAMFALTFFGVLHVPINVPALALNTGEDHANLDHELK LHGYSNFLSGAAGSIQNYLVYSNSLFFMRSGGNTRLAGFELALFTFLVMLIGPSLIGY IPVMMVGVLIFDLGFELLLEAVWQPRKKLKPVEYFTVLVIVLVMGTYDFVVGIGVGIL LAFMSLTFQTSRVSAVRASYSGDIVGSTVRRNPTQQHYLRQVGRQINIIKLSGYLFFG TIVGVEDRIRVLISDEEFKRRPIRFLVLDLWLVTGVDYSAAEVFNTISRLLDSKGIEL VVSGVDPEHGLGRNLRAVGLGEDGVEVKLLPELNSALEYCENELLKTLFVNQEDSDST LTTSTANLDVPNQPCALASSAVMLGSSPRRDHLREVARKSLGQVKAKRPTRWQSFKEP LRLMLQIFRDVSDKNEDFWFRAVRYFKRAHHATGTVLFRRGERAEAFYLIESGILRAE YDLPQGWLFESIVAGTTCGELPFFSETNRTATCVVEREAVVWVMDRESWARLQKEEPG VAQELLRISLKLTSERMSVITSYTLTMAG MYCTH_2294663 MPMYGDLGNKLVQHAKRTQNLAHLPPYQTELVRAVTREVRDLDK DVASLLEPFQGSFDPSADQATACTLLVNHLSMRRNKRCLLAYHRTRTDKLEELVWKGV DILDFAGQQAGGANGALAAESGGSSSLSPQEEDYVRQYGDLLAAYKGQWTDIDLTGSL EPPRDLFIDVRVLKDAGEIQTEYGAINLTKNSQFYVRQGDVERLIAQGYLQKLG MYCTH_2294664 MQARLQLAASTASRRLAAASRGGSLRQPQIPRSLSAAPRITAAT NSLRLLPSVAIRTYSNGRPHPPGGTHRMNLGGEPEKPALEQYGVDLTAKAKAGKLDPV IGRSAEIQRTIQILSRRTKNNPVLIGSAGVGKTAVLEGLALEIVRGAVPESIKNKRVV ALDLGSLIAGAKFRGDFEERLKKVLTEVQQSNGEVILFIDELHTLLGLGKAEGSIDAS NLIKPALSRGELQCCGATTLAEYRQIEKDVALARRFQPILVSEPTVQDTISILRGIKD KYEVHHGVRITDGALVAAATLSNRYITDRFLPDKAIDLMDEAASSLRLQQESKPEDIL RLDQKIMTVQIELESLRKEKDVASKERREKLEADLSKYQEEARALSEKWEKEKAEIDA IKQTQAELDKARIELEMAQREGNFARASELRFGVIPNLEKKLPKDGERPADEGALIHD SVTADDIANVVSRVTGIPVSKLTSGHTERLIHMEDILRESVRGQDEALKAVADAVRMQ RAGLSGENRPLASFFFLGPTGVGKTELCKKLAGFLFSTESAVVRFDMSEFQEKHTISR LIGAPSGYVGYEDAGQLTEAVRRKPYAVLLFDEFEKAHRDISALLLQVLDEGYLTDAQ GHKVDFRNTIIVLTSNLGADILVGADPIHPYQETADGEIDPKVKSAVMDVVSSQYPPE FLNRIDSFIVFKRLSLPALRDIVDIRLRELQERLGDRRITLSAADDVRQWLAERGYDP KFGARPLNRLITTQIGNGLADKIIRGEIKTGDTAVVTVNDDKNGLTISALHGGAGDGG AAEQETAATA MYCTH_2313362 MGLGGSKMWSWLSLLMKQKEMRILMVGLDAAGKTTILYKLKLGE VVTTIPTIGFNVETVEYRNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDR VPEAREELQRMLNEDELRDALLLVFANKQDLPNAMSVAEITDQLGLHSLRQRTWYIQS TCATLGDGLFEGLDWLAQEIKKHN MYCTH_2122256 MFLQTAAKLLLSASLLLSSAVAHNIQLPAHGRECFHENLHSGDK MTVTFQVGDREFGSAGNLDIDFWITNPVGGYEVNDKSVSNGDFTFNARHDGKYVYCFG NEHWGASSKEVSFNVHGIVYVSEADAPQDPLEAEVRKLSDMLERVKDEQAYIVVRERT HRNTAESTNSRVKWWNIFVIGVVIGESVFQVWWLRRFFEVKRVV MYCTH_2294675 MGLLPFKLFRSRETRTQQLPLYKEVPAPRTRKAKPRTPRSPVKE RYSDSEDSSDVSDDGNTTDSSTSSSGSSRRTSSSASSAAAPMLSKRRPVAPPRRAPVR RYLYRLPNRVIRFLCFGMMSTIIIFIFILVRASQIENRRMAEGKVEKKPVPPPWEQFE FLTRYYGGVKTILPLADNTPQYPRLEEEGPYNASYPQEKQQQQQQQDAKVPPSKAFVD YPGAGFPKPADGIRECFLDSQNTLKVPSIRYFEGRPHGFPDNILGSYELLSLPGDICF DRYGRYGPYGYGYSVRTGGLGIGEHGDREGSEAVWKSDRRIDWRNIDWAAAQRQCYKA NAERFKPLTVREAPPRGFYIGDGLEIPKLATRQQDDQDQPLATDEEPGSEELPRTAVV LRCWDEFPWREEDIVNLRALIAELSLASGGRYDVHLLVQVRNDARNPVWADDDEYRSR INETIPKEFRGIATLWSETQMLAVYQGIRDLWTRGPDLPVHGSYRGLQMAMQHFAYNH PEYDYFWQWEMDIRYTGHYHDLLSKLELWAKDQPRKGLWERNGRFYIPHVHGSWEDFK QMARVQTEHGTVTADNIWDSVQIANNGGGDGGGGGGSSSSSKLGERLATPKSEQSVWG PLRPDSPADWFEHEDDPEPPTTYERDKYTWGVGEEADLLTLSPIFDPDGTTWKLADDI TGYNETGGKPPRRAQIITASRMSRRLLLTMHRETAFKKHHAFPEMWPATVALHHGLKA VFAPHPVFVDRVWPPEYMARVLNAGRNGASGGGRASVYGEREHNMRGLTWFYNAGFAG NLYRRWLGLRVNNDGGEEFETTADLSKNETSVGTMRGGEGRMCLPPMLLHPVKEVDLP VERGHPQEEEAAPPPPALDPAA MYCTH_2294679 MASTMAWRRLAAAPALSRAARNPIRAFSTTRPVARVIANGPLRA KEASPFVSSKYPVIDHEYDAIVVGAGGAGLRAAFGLAEAGFNTACISKLFPTRSHTVA AQGGINAALGNMHEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPASIVELENYGCPF SRTEDGKIYQRAFGGQSQKYGKGGQAYRCCAAADRTGHALLHTLYGQSLRHNTNYFIE YFAIDLIMQDGECRGVLAYNQEDGTLHRFLANNTVLATGGYGRAYFSCTSAHTCTGDG MAMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGARGEGGYLLNSEGERFMERYAPTA KDLASRDVVSRSMTMEIREGRGVGPEKDHIYLQLSHLPPEILAERLPGISETAGIFAG VDVRKQPIPVLPTVHYNMGGIPTRYTGEVLTVDENGNDKVVPGLFACGEAACVSVHGA NRLGANSLLDLVVFGRAVSHTIRDKFTPGAKLKPIEADAGAQSIETLDKIRNSNGPKS TAEIRLAMQKTMQREVSVFRTQESLDEGVRQITEVDQMFSQVGIKDRSMIWNSDLVET LELRNLLTCAVQTAVSAANRKESRGAHAREDYPERDDKNWMKHTLSWQKTPHGKVELS YRRVIDTTLDENECKPVPPMKRVY MYCTH_2294684 MVSVSSADTPQDSSQNNKMPPVLSTELPVRAASDPASDPAPKTQ ALTGKQEHYLKRELISEQVKWEISELNSPTALRRFGAPFKSDYGEVSPLDSELPILRY IFVHHVREFPFLDKAKEKEFWQDKLQVFLESFASKHISSSEDRLEETKRRKLATKARK LVELMMVSGIPTSSGFEERIRFSELEIVDANAIDSGVLKTLPEGNYLNGWDVNVAGVR MITVKRKLRSHEHAVFLLRVRRKNAPEHYVGRRFGDFARLHKRLRTELPGKVLPPLPQ KNKTHSTAPGIIGSHSGNESETSSISSASTQIPNSPLPNGCGHQSDTPQRLLSVRDRR SADRISPRNSVDDRPVSPGLPSPKPQETVVIYRENQRISLRAFLRTLLQNPQIAGTKA MEEFLLANPVKLTDEDWDDIARRKHVDKKRVEEQKRFYEVARKRAAELDVYMEQFRQD IVERNGLTMLFQEIRDKETIQDLSLQYQKFAEWLRIEVAATIYHLFLAEDNSPELFAQ AKRIHSLIPYTLVKNAIRIANPAAVMSSILDIFLAQPFGTRSLMQRIFSMTLHDGIRA FQRSIDALGDKIGDPVFVEKLKTYTNADEQIKQVIRDEAADENIDVLVAILRSELVGP ALEPEQIERLYNAYAAFNNAVENVDEELRQGAQLFSYLKQLQKLYLRQRDKAMIVTDF AHFVDDLITVVEKCREQDASADPNQTVQAFIDLCQRHENHFYSFVHQVHTHDNGLFTQ LMGWVEGILEFLRHGPKNGSLDINALFEGAVSSALIDREKAIAEIDKLIAWQEARKKW HHDKTRQKMAAEGGPGDADAVAPPGALTFRSSDFGLDQEDVAEMGYDDDSEEEAEAEE EDEMDPIDAERKRRARRQARLRRNAGEPEKPEVSEVHKLKDNFLAMLRVVLAE MYCTH_2294689 MSQNGGDTTKSSSPGRPRHQITRSISEISSPIRLHRRHSYRAGN EGERESRASAPQSAILAVQGKRSFELPRSEGVTPNLTPSASRRTSVLYASADEGMPAA EAPKENVLLNGLSREQQHAAARESGLQRSLAELGSFATTTTKQLDDAYYAFVEKLSAL QGTIIALKELCERSRQLNDRFNTEADDLVTDISAQLDTFRQFEDQQKRIELLQGRIQA GRERIKSLSERVDAVSTRIESWERADREWQEKTRKRLKAIWVVTSVVFFLVLSLFIGF QYVPENAESKTIHVASQGLTSIRDSSGADPEASPSAQKSEPYGNGWSLNRTRSLGQSS QMVDMLRAFDEL MYCTH_98838 MSTTAVTAAATPEGTVTKPLGMRKNGKQWHAPKKAFRPGSGLTP YEQRAKARVAQAAAKAKEKELKEEKEAERKRRIQALKEKRAAKEEKERYEQLAAKMHK KRLERLKRKEKRNKLLNS MYCTH_2131574 MFLSPPQSPSAPSSPRLAATRPPGHGHRRGGSEFVGGSIRDGEA ITVTNISPTKSDSGIASPSLQPTRPRRGHAHRRSAAISSHDLSSIIVPPPNSTKGGSA PPSPAPPKQFSIQNCSADDQPVDNGNVEPVSAPDLAAHQPNSHVPPSPKPDQTPKSAI RNRVGFSDTLEYIPRPLSLASNDTSSTVTARPGHSVSGSISSVISATNADREVNPFPG SASAQKPSESRPSTAGAIMERTQSAHEQDPNLLSPRRRGSIPLLGSLPSGIPLSPATP SPTRSSKKWSLFGRDSFVSPSPTRTQADSPRSAHSRLSVAEGNSTERAADVLLADFAE SPEPPKPLTKKRSKKKKKVKTWAGSILTRKGKYHYGKKHKRRAPTPTPPRRFEPAEGE DDGTVQMPTETEAPCSQSSENGDWKSWTFPKPAQNQDEDTSYPMIDLDAALGPFNTPL PRNPEWEAAQKAGGLVKKQLHSAAGMSRFTGPGMHYYHRRAESAPELVPFEGGRFGFR RFGSSSSMADVFEEDEEEEEESCKSSINASTPAAGTSTAGKPDDGAQRGNQPSSEPAV APPSAQDSREGSAENNVVASTAEPATTQPAVSKCDETSLAEPVPPFAMEVSGSSPGYA NSGSSTPSPRHVFRPKELAPVEVSPLNLPTASLGPVSPWSLTQSSAFPSPRSPMSYDA QCISTAPSSVTEDNFQSLLMGEPGPEVRISVDDIPSLTSSNSTMTRESLFAQHPQARQ PPLNEQPRPASFTSTAFGRRRSSLASLSRLINSSHGERSKLSIEVPMEREPEKKTKGS KSKRLSRMMQFWKSKGENTR MYCTH_2037019 SSALPRSAVRRLLKELDTWTTIESPTEQGIERLGPAGDGEDLLR WEAVINGRGVGCGYENGRWLLQITIPPTYPLHPPQMRFATPIVHANVALQTGEICLDL LKDAWTPAYSVLECVRAVRMLLSAPGIDSPLNVDVAALLRDGDALGARRLVELWVEE MYCTH_2106248 MARPMGSVRLKKTSPATLAVGVALCIFIIYFLIGPSTPNFSPSR KAAAAAHHLSPPTSPFRKPTGDKQKQKPPPVAHYNLNNVTTTPNPLENRENILILTPM ARFYPAYWDNILRLEYPHELITLGFILPKTKEGNEATRELQKRITKTQKHGPEKDRFK SIIILREDIDPPLVSQDEKERHKLENQKVRRAAMAKARNSLLYSTLGPSTSWILWLDA DVVETPPTIIQDLASHDQAVMVPNCFQRYWNEEKQMMDERPYDFNNWQDSPTALDMAK KMNPDDILLEGYSEMATFRTLMAYMSREDGRLHEEMPLDGVGGAALLVKADVHRDGAM FPPFAFYNLIETEGFAKMSKRLGWQPVGLPNYKVYHYNE MYCTH_2294698 MSITPIITFKAGICDVDQSSKPYKVKPQPTPGYIYLYSEDDLVH FCWRPRSAPLDRPELDLVMVPADGNFVPYDTRTPSHPSSKTNGRIFVLKFASSSQRHL FWLQSKPQGRSGDAAWLSPRDLKIGDIVDRLLQGEEVDVNRELASVRNNNNNSRRDAD DDETMEDVEGHGDSHRRSPGGGGGGAGPDATGGDFREEGEDAREGGADGARAVSNDAA TAVRNFLESLKGAPGLGGINRAEGKAYPLLTDLLDTSTTIPMLEAATDEYVDSLLGYI PPTVLVLAQPGDRGDAIEREPGPESVEAAKQAMSSSQKRDLLKKVLRSPQFSQSLASL TMALRDGGLPSISEALGIAVENGGLVRGGTVPLGGGDAVEAFVEGVKKTVQKK MYCTH_2294700 MAEAEYWTFPTNPEEFDQDERISYSKLDNKYIAVQDDGTEYEFD EGLRRWIPIVDEALIEEQQKGYIMPNSAAQDDRQELAQGKKRKLDSNDREDSNYSNNN NKARPFKAARRQGNRGPPQPKQNTAVYVTGLPLDATVEEVAELFSRKCGVIAEEIDSG RPRIKMYTDENGNFKGDALIVFFKPQSVDMAIMLLDDTDFRFPVPGAPSNGPKMRVQA ADSSYKKTKYDGENAAGSGEPGTGAGPSDQNSNNNSSSSSSNNNNNNNANNNSSSNQQ RSSQDKAKIIKKTQKLSAKLADWSDDDDPSALREAVNPKYQRVVILRNMFTLDELRED PAALLDIKEDIREECAKLGPVTNVVLYDEEEDGIVSVKFRTREAAEACLRLMHGRAFA GRIVEAYLATGRERFRKSKGDKGGGKGSGGDASDEE MYCTH_2141429 MADHQRIAIVSVYDKTGLLDLAKGLVQHNVRILASGGTARMIRE SGFPVEDISAITKAPEMLAGRVKTLHPAVHAGILARNLASDEKDLAEQNIDKVDYVIC NLYPFKDTVAKINVTVPEAVEEIDIGGVTLIRAAAKNHARVTILSDPKDYAEFLKELE TGEIKEESRKLYALKAFEHTADYDAAISDFFRKQYAADGKQYIPLRYGANPHQKPASA YVKEGELPFKVLCGSPGYINLLDALNSWPLVKELKKALGKPAAASFKHVSPAGAAIGL PLTADERKVYMVDDIPGIETSGLAQAYARARGADRMSSFGDMIALSDVVDVPTASIIS KEVSDGVIAPGYEPAALEILKKKKGGRYLVLQMDPEYEPSKTETRTVYGINLTQGRND VEISPESFKTIITPKNSGPLPESALRDLTIATVAVKYTQSNSVCYAARGQVVGLGAGQ QSRIHCTRLAGDKADNWWLRFHPRVLGIKWKKGTKRPDKSNAIDLLVSGQLPKSGPER ELFEAAFEEVPPAFTEEEKSEWLSKLTDVVVSSDAFFPFTDNVYRAARSGVKYIAAPG GSQNDLPVFETAEKLGITFVEQNIRLFHH MYCTH_2294705 MALPFLTGSRRGRFITLALLFITLVLWFTYQTPLSTVVPHDAFD LHKDPQYPDAAPDVARPPHDPQDHATPEHDHPAQEVEKPKDAASPPLTSTGGDAGEGS EKAESEPRPGESTTSTAAEQTKAPEETENAKETGKAKETGQAKETDEAKKTGTATTAT AAATAEPSVECVDFETLQRQKPGPLSEGRRKFPYVRPPPECRKFRLPALEALIERMRK VIRDPDLFRLFENSYPNTLDTMVKWRGYATRKDEETGETVETDEELTYVITGDIDAMW LRDSASQVYSYLPLLEASDDRDSLASLWRGLINAHARYIIISPYCHSFQPPAESGIKP TNNAAYHQNHPFPAYDPELVFDCKWELDSLASFLQISTAYYRRTGDLAFFAKYSWVDA VEAAVDASAAMRRGTYDEDGRVLPSAWTFTGWTNRGSETLTNDGLGNPVRENGMVRSA FRPSDDACIFQLLTPSNMMWAAYLEQASEIMQGLADGGLHAKAANLTTEMRKLAGGIR RGIALDAVVHHRDFGDIFAYEVDGYGGVNLMDDANVPSLLAMPLWNYTRPAAAPGSRM VRSAKHAARSEEGDDNKDGDAAAAAAGKKTEAEEEEEEEEEEEEEEEKKHDYAKVYQN TRRFVLSTANPYFAKGPALSAVGGPHLGPGKGWPMAAIVAGLTAFEDLAGFETAQERD AAVAEQLFAVLNSTAGTGVVHETVNAWDERQWTRAWFGWANGLFGELVLRIAVDEEKR GVLGSEEGLLGRLWQD MYCTH_2037035 RSPSSQDGDGSSWDRAVAEFASQAEKLSLQHPSETAVGDGVAAE ARFVTPRDPVLVTADGNRLAPVPLEEAHKLNVLREELQHHEPGTVASAGGEDYGISAE GPAPPMKRAVDVAAEKAKERGAKSPDGSLRRAMPPTHTNPLFPPLPLYGPPSLLRNLQ CRVFQISSFFLSLAFLSVIVLGALFTSIGPSLRRLWLRATLRDPDRARPFYAEEVNER KRRLEREREWREGIRSGDDGFTPSEGGPDPIVCDPAYYARRVGLDMESFQVQTEDGFI IELWHVYDPREYRPLSGSDRAAGGPETLERLDQRDPSHNAINGSNSARDESQGNNQKP KFPVLLMHGLLQSSGAYCVNDDASLAFFLCKSGYDVWLGNNRCGFSPRHALLDYSDPR MWCWNIRQMGVFDLPALASRVLVETGFARLGLIAHSQGTTQALVALAKEQRPDLGEKL TVFCALAPAAYAGPLIGKMYFKFMRVISPAMFRLMFGIHAFIPLMMTMHRALHPCVYG WLGYKVFSFLFNWTDARWDRGLRDRMFQFAPVYVSSESMRWWLGRECFARHKCILATK EEWRAEEREDAREREQEQEQQGRGTLSSSSVLARAVRDMEGDEGVRRSEQAAGAYSKR KPRGSTAWYNHQAPPFALWVCGNDALVDGEKLLRRFERGREPHVRVVHSKVIPEYEHL DVIWAMDAPEQVFKEVREVLWKTCDVRDLCRVPKGCEDVEPWVPPD MYCTH_2294706 MAASDATGSVRRRRVRRRRHDKAAVSARLVLDDHIKTDVGVVSE DLFAELFPHLQDSQDEGDRFSSLPTPLHIAIAPWTASHSPESTNWAIVPVTPSTALAP STIQFSPSSLALQSFALNLKQVAPSQLNTRSRSGIEILVLDVAAIELDTVFVNLEGES AKRLENGEGTFFRDHPTTADRGKAPVPFTPEARLTAALRTALRSLKVVHTGDLFPLPL PPHPVTHVPPNPGRIALCEPVAQGILGPNTKIIVSRGRIHSKHSRSSAPMPQSRGLNG TTEDDEDTANDQFYSAAEEGIRTDTATEETDATATDTEFEEPGLEEEEEEDALSDDSM DEMISLQAPTLSSTITSGIGTPSTIGRGRRMNGMSGAASVFSNFTATTARPDRPRGRL FKAQGLVQPIPPDLLHPKPAAVDDEEARIYVDTKDLTRIGCFSGDWVRVEASREPPST GFGAFGLGSFVEQDPDEVNWRSARVYGLPEGYSNRPMARVASSKHDGRRMSFFESQVQ GTSSPTVYLSPVMLANLENPPYLRLAPIKRAMQAKGPQPKSSVPTQPPLAREVILQHV RTPIPVERDVQTAVMAGLKYYFESRLRVVKTGDLIAVPIDTQLGRALQESTAAGDDPA VDDVLGLIASGRPDRGIKYDEVAWFKVGHVQPVKQESAEENEEEDDPWGSVACVDISV AHLEQTGSVTSRVPGTTSNTWPYYLGIKKLPRRHHGPSSPLLAEPERQYVSPLRRKVR ELVAAATSKPAIHLKMPPVAILLVSTQRSIGKAFTASQACADIGLHTFTIDAYDIVND SGAGGSDVKTAGFLTSRAERAMSCGPDCCALLIRHIEALTADRMVSSIKEILADARVL IATTTEVEKVPDGLRALFTHELEMSAPDEAEREGILRTIVDDRGVSLDPEVDLGGIAL KTAALVAGDLVDVVDRALVARQSRLEQLSAKATSDGQPVTVRDVQVAGGPAASGLTKQ DFEVAVEAARKNFADAIGAPKIPNVTWDDVGGLNNVKEAVAETIQLPLERPELFAKGM KKRSGILFYGPPGTGKTLLAKAIATEYSLNFFSVKGPELLNMYIGESEANVRRVFQRA RDARPCVVFFDELDSVAPKRGNQGDSGGVMDRIVSQLLAELDGMSGGGEDAGGGVFVI GATNRPDLLDPALLRPGRFDKMLYLGVSDTHEKQLTIMEALTRKFTLHPSLSLRSIAE RLPFTYTGADFYALCSDAMLKAVTRQASLVDAKVRAINADPPAHGLRAPISTAYFFDH FAKPEDLAVMVTEQDFLDAHRELVPSVSAGELAHYERVRATFEGANKDKEKEKEKGKE KGNGKEVGGAPNGAGVPAAARLGLGLGLAGRRTASGASARSAGGKGKGRAGAGGKGKG KAVALGSEDEFDDDDEERGEIEEDGEEEEEEEGEESSQGGSGGYGVRDKGKGKEVAPP IAEASPFFRDGTGSGDEGLYD MYCTH_2294707 MASESSETKSYSTDPALYIYTSLTAGSSHIVTATSRLETILRAN RIPFKAIDIATDEKARMLWGRRAGKDASGRQRKLPGLVQMGMVLGDIVEIEEWNEYGE LKDHVTFYYDEYTQPSIHQAPKQPPAGAPKAPSATTASPAPPAPAPAPAPASASASKT TATEKAASAASTAAANVTLPVRSIAEEAAAKAKQVHLQSLRDKVHGKGNNNDNNNNNN SSSNNNNSASTASTSPSSTSATASTKASDATATTTTSSASQGTTAGLQSPTSSGWKAP AGGPAPTQSPTSTSWKPRDVEPPVTELHGAPVERASAAEIAAVEKAEAIREEGEEEKG KEDDVEEDGDEEEGKKKEEGKTGGGEAKGKGQ MYCTH_2053230 MCLIGLLLPFEREKETRRHKSRSSSHGRSSHGRSERPHRERTHR REKERARDSAVLAEEALARLCLSLEHSLVEERQRWQEQTRLDMSERCRLGLERERTPF EEAPPYSPPNTAPEAKPEPPHPSGQADSSHSRGKDSAGTTYCCRHCVCTRCGSAIIEC PAVPELDATRIGTFTDSGADSRKRQFRSSGGPHKP MYCTH_2313370 MQFKSIFAVLATGLSLVAAQESDSTVTSTQTLTRTVTITECNPT VTNCPGRTSTSTSTTTSTTTTTSSSSWASFPLSNSTSTVGPTASSSFIFTTSTALVVP PSSSGVEVPPSETTSSSPSIPTAAAAGLYVQPAMLLGVLGAAGVALLA MYCTH_2294711 MPFVCQHFSDYTCTNTVEVKDTLCSQCEQGKCGQSGISCSKNRA LYRSETTTDTSTDTSTTTTTTTTTGN MYCTH_2294713 MPPAADPPTLSTTPAQRAPPEVVLPRWQPDSEQTYCPICGTQFS IFVRKHHCRKCGRVVCNACSPHRITIPHRYIVRPPGAPSPLSQGPSLSLLDSQGWYPE FSGGERVRLCNPCVPDPNTAPPQSQAHQQSSQNDPASPGRADSSSSNRWNFYFGAGAA NDPQTRTRSATLQPGPSSSSQPPVPPPHRSNYEARILWGTPPAYYRPTATSQSAPFYP GTAPRYRSMFDVGNRPGPSTIAGPSSSSSSSSASRTFHPPVRRRPQIPEEDECPVCHR ELPPRTLPNFETLREAHITGCIESHSRYGGRPPASAGEDGSSPDGARPGPRRTGMFPY TATEKDCVDSAECSICLEEFEVGVAMARLECLCRFHRACINAWWERHPGRCPVHQHDG FGY MYCTH_35909 TSHTAINEACQEHGLSPSEFLELCGRAQDAKARAYCPYSGFRVG AALLTRAGDYVVGANVENASYPVTTCAERVAFGTAVVAGAAGPGGFKAVAVATDADTP TSPCGMCRQFIREFCELNVPILMFDKDSNFIVLKLAELLPLSFGPDSMGVPDPAKP MYCTH_2106260 MGESSTESSEKAASRPADGENEKKPPTTGGKVDDDGPTKETKDP KARPEREATAADYFRVFTYAKRWDFVLMGTAAIASIGAGVTMPLMNIVFGRLIGEFSD FSNPDAADPDEFSRTIDRMSLYMFALFISRFGLNYINKFCFRLIGIRMSAAIRLHYLQ CLFGQTVHVLDSMPSGAAASTITSTANTLQLGISEKLGTFLEFSSTIVAAIVIAFTYS WSLTLVTASVILFISLVVSVLLPFIIKGQTMLTRADAKGTSVATEAFGTIRMITACGA EARMARRYAKWVAKAKQATQFSSPFLAAQFGAIFFGLYGAFALAFWFGTKSFVEDRIE GIATILIVLMSVMLMVISLERVSTPLIAVSKAMVAAAEFFAVIDAPKPKMGTLKEPDV SADQDIVFEDVHFAYPSRPHKKVLDGLNLRIPARLNTAIVGPSGSGKSTIVGLIEGWY TLHDQYVIAKAVEKDKAKKEKEKAKKKEKKKKKSRGKEDDEDDDEDAAADAPLDVEET GAPVELKGSISTCGRQLGDIDIKWWRSQIGLVQQEPFLFNNTIFYNVAVGLIGTQWEN EPEEVKRRLVREACAESFADEFIDRLPDGYDTQVGDSGAKLSGGQRQRIAIARSIVKK PKILILDEATSAIDVRGERIVQAALERASKGRTTITIAHRLSTIKNADRICVLQNGRV VEEGTHDSLLENERGVYYGLVHAQKLSLGDDTGGDLLHEEDVGAALAREKSAAKSVAE GAKEEAKWAERGLFSGFGKLLYEQRSRFPFYVLALIGAMGAAAAVPVQAYLFAKVVGV FQRTSLQSLMDGATFWSKMWAVLAGGVGASYFLTSLVSNNLEGYIAAVYRQEYFESIL FQPTAYFDKDDNATGQLTARLSSDPQSLKELLGINLMVVLIGIFSLAGALAISFAYGW KLALVALCVTVPIGIVSGYFRLRYELQFNKMNDEVFQESSKFGAESIGAFRTVSALVM EDSICDRYQKLLSGHVVAAFKKARLTTIVFAFADSASLGCQALIFWYGGQLMLKREYD VVAFLVTYMAVIQGAESAGQWLSFGPNAAQAAAAANRILHARETRLRSDATKSEQIPD VDGGIKIELKDVHFKYPTRDVSIFRGLNLTIEKGQFAALVGASGSGKTSIVSLLERFY DPDRGQILFNGKDISEVDVYEYRKLLSLVAQEPSLFHGTIRENLLLGVDPSTVTDEQL HQCCRDASIHDFIVSLPDGYDTNIGSRGVSLSGGQKQRVSIARALIRNPRVLLLDEAT SSLDSESEKLVQAALERVAKGRTTIAVAHRLATIQKADIIYVLGEGKVLEKGSHAELL RQKGVYWHMCQNQALDR MYCTH_2087474 MAPSKTPKFEIKTPKGTRDWSGPDMVLREKIFKTITDVFKRHGG VTIDTPVFELKGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYLAMNKDVTQIKRY HIAKVYRRDQPAVKKGRMREFGVYDPMIPDAEIIRIINEVFEGLGWHGKYTIKLNSRK ILDGLFQVCGVPDNLIRPISSAVDKLDKMPWADVRKEMVDEKGLAPEVADKIGTYVVL KGKRDLLEKLKQDEALAANPSMQQGFADMDLLFTYLEDFGADHTVSFDLSLARGLDYY TGVIYEVVTEGSAPAVSASGEAKEKQAKKKGGKPGDPEEDRSDDPTLGIGSVAAGGRY DNLVGMFSGKTQVPCVGISFGIDRIFSIIQATQKKKERRNEVEVFVMAFGGGKDFTGL LKERSQVCARLWDAGIKAEFLYKVKPKLQNQFKAAEANGVPFALILGEDELAQGKARF KEMGLPEDHPLKEGELISLDNLIEEVKTRLARKQELDSITQQAEGLKVVGGVKGEDVK APAETAQSTE MYCTH_2294721 MPGLIPLMLASGSRTCSAGPTQSFLRRQSTGYSPGSTSPTTPIT PTVPTVPTYAVRLNPHKRSSLPAPAPAPVIPCTKAEWKKTIAEVKRMYFGKRYRACSA RCLEVLESIKDTSQVEPVYLIYLHFYAATSLEICARPLPSTAAFRATLLQQAYTHFDR ASALINAAEESLLRKFRPGSVGSTRSSSCHSPSGSISSRAWTPDTCITSPTNSVFSFH DPDRRSSHSLHGHGKKVSFSFSENTPLHAGPAEPIIRPDSPTLGFEDAFYHQPGVSAA AEASPPDLRPIKFQEEIELPLPTVPEHEQEEEREEGDESAYHVARAVDRCCEHLSTLR TQLARHSASLAQLLAQQPTPSPDASSPSATTTTQRGCNDRNQDRQARIERLRKSGWQR ERFDPTKYEELCDTVLAELSGQD MYCTH_2294727 MSFANMLNRLHGQPESYEKKAKYRFGRTLGAGTYGIVREAEGPD GRVAIKIILKKAVRGNERMVLDELDMLQRLKHPHIVKFVDWFESRDKYYIVTELATGG ELFDRICEKGKFTEKDASQTIKQVLDAVNYLHKNNVVHRDLKPENLLYLTKDPHSDLV LADFGIAKTLGTKDEVLTTMAGSFGYAAPEVMLKQGHGKPVDMWSLGIITYTLLCGYP PFRSENVQDLIDECTACRVVFHERYWKDVSQDARDFILGLLRPKPEDRWTSEQALAHT WLSGKNATDHNLLPEIRAYLTRARLRRGIEMVKLANRIEALKAQEEDPENSDMPKDAT SAADQSRLTSSSNANDSSAAGNASGQGGKRNLSKAVKSAIFREVVLAKVREMKEQEQT LKVTEEASKMA MYCTH_2074876 MSVPFAAKRLGKELQKISSSLPPGIELVSADNFEEWLLDIKVLD NNPLYQNQTYRLKFRFSPSYPIEPPEVVFVQLPDRPIPIHPHIYSNGIICLDLLGQQG WSPVQNVESVCMSLQSMLTGNSKNERPPGDEEFVRGNTMRPRDIQFYYHDNDV MYCTH_2294730 MAPRAGFDTEQLRDKARKDLLHLLEGVRGKKNLVIEKELAGPLG VVVKASTLRDYGVDNFFFLENKNTDTSQRNVVFVARGESARNAHAIADQIIRLQRESQ SPHEFHIFWVPRRTLLSDKVLEEAGVLGDTNVAELPLFFFPLETDVLSLELSDSFRDL YLAKDPTPLFLLARALMGIQQKHGLFPRIIGKGDSAKRVADLLIRMRQELLADEDAAE ADATGLSPSTTIENVIIIDREVDFVTPLLTQLTYEGLIDEVFGIQNNQTDVDSTIVGA VAQPAGAGTSSAAPSNNGQSRKRKIQLDGSDPLFAQLRDSNFTVVGNLLNKIARRLKS DYESRHSSKTTAELKEFVKKLPGYQAEQQSLKIHTGLAEEIIKYTRTERFNKLLEVQQ NLAAGADPSGQFDAIEELIARDTPLPQVLRLLCIYSCISGGIKTKELDHFRRLILQGY GYQHLLTLHNLERLQMLLSRASPLASMIPMTGSVGAQGTKTNYTYLRKQLRLIVDEVN EHDPNDIAYVYSGYAPLSVRLVQCVLQKQYLLSITRGNSAGGASGAAAGGAQGWRGFD DAVKHARGQTFDEVQKGEDKAVKARALLSGSGEKKTVFVVFVGGITFTEIAALRFLAK QEEARRNFVICTTSIISGNRIMDAAIERGTFEKSNPQAAQQAR MYCTH_2294733 METSPKSRARYFSGSGKGLFKGTRETDPRRSPRTSLASPANPPS PPPSTGSDLEAASKLVRRTAATGSEDIAPEIVVWTEHMNNMTGDSPESATSPTPATPA SEEKTVIPAPASQAPPPAATPSIPVQNGTPLKESSSLSSLSSLSDQFDLSSHAPSGSS KATTPVDHDVLREAPAPGVACPSQSTGTPRRKWDVRPKVSIPSDLPLPEYAMQCVAAA EASRLNPYSLHQEEYLMLRDHISHTQVTTYLNIRNGVLRLWVRNPQIPVTREEAVGCA KDPRWFDVASVCFDWLVRRGYINFGCVEIRPPRKHSKQSESPSRKQRTVVVIGAGMSG LGCARQLEGLFLQYAKQFCSMGEEPPRVIVLEGRNRVGGRVYSRSLKSRPKQIPEHFE GMRFTAEMGGMIITGFERGNPLNILLRGQLGLAYHFLRPETTLYDSNGKPVDLHRDQL VENLYNDCLDRVSEYKFKQPISKLIEGNRDLIDEGKDSSAETHKTIRQAEESAAAQPY AVPVSEQNMAPQVNLVPVSTDRATGKIHTEPGTPGALKAAHKAKLIGWTLKQGVSEDA DIDLEAATKEPNATLGSVTDKVIAQYRSLLDLTAQDFRLMNWHIANLEYSNAINYHQL SLQGWDIDAGNEWEGSHSMVVGGYQSVPRGLMQLPTPLNVKQKSPVSKITYTSDSPTG PATVTCEDGSTIEADFVVSTIPLGVLKHGSVKFEPPLPAWKADAIGRLGFGVLNKVIL VYKEPFWDEDRDIFGVLRNPTNRHSLDQNDYASQRGRFFQWFNVTKTSGLPVLIALMA GDAGFDTEQTCNDDLVAEATDILRSVFGPRVPHPIEAVVTRWASDKFARGSYSSAGPD MKADDYDSMARPIGNLFFAGEHTSGTHPATVHGAYLSGLRAASEVLDAMLGPIQVPTP LILPKDSPPSSSSSSSTSSSSLLGSKRKAGSLETGPSSNSTATKEVTARARLEAYEAR LWEHITAHLGPRPLPPPKPATNAYIFYSKAHYDDARRRLEAGRRSGGKGSGKGGGKPS PNEVRVMSAKMWRDASEEERKPYLEMAEEQKRAYTAALEAWKVAAAEWDGKAEEVRGK WVQENPPPAAEGGPDGDVAGRRRSKAKVESYAELDSDVEMEADGEAGVGPGASDEMDV DSAEDAGGPSRGGKITEVVMAE MYCTH_2294734 MLSRAARPALRAGAAVSSRAAAPTATFATLREIEGRLKSIRNIE KITNTMKIVASTKLTRAQRAMGDSRKYGETSNEVFKSAETKSLEGEGKKKLLVVCSSD KGLCGGIHSGLSRYIRRRSHEDSNFDLVILGEKCRSQLQRTNAKNIVLNFAGVGKDVP TFAEAQAIADQIVQLPGDYSDIEIVYNKFINATSYEPAVITAFNEEAIAASPNFAAFE VDEELLSNLREYTLANSLYWALTEGHACEISARRNAMDNASKNAGEMINKYQILFNRT RQAVITGELVEIITGATASEDM MYCTH_2294738 MDESASKARPAPPGVSIRHGPVGDQMGVDSAPNGTVKRKSRSSA GQPVKYKEESDSDDGAPLAKRQKSQHQKAEPDSDDEPIAKKNGSKLPPSVKDTATLES SDDDQPLGTKIAQRRANIEKAAAKEAKSIRADAKTKKATPRKSIKEESDDEPLAKPRK RQSNGVSSVKKTNGVKKEPDSDSDAPIAKKAKKAAPPPKKGKDTAPAKDAKPVKEEPK EESKENSEGEEEEEYRWWDEPKKEDDTIKWTTLEHNGVLFPPPYEPLPKHVKLYYDGK PVDLHVDAEEVATFFGSMLHSTQNVENPVFQKNFFNDFKDILKKTGGAKDLDGNPVDI TEFKKLDFTKIYEHYKAISDAKKARPAAEKKAEKAEKEKLEAPYMYCKWDGRKERVGN FRVEPPGLFRGRGEHPKTGTVKKRVMPEQVTINIGKEAKVPSPPPGHKWKAVQHDNKA TWLAMWQENVNGNYKYVMLAANSAIKGQADYKKFEKARELKKHIDRIRADYTRELKSE VMADRQRATAMYLIDKFALRAGNEKDTENEAETVGCCSLKYEHVTLKEPNTVIFDFLG KDSIRFYNEFTVDRQVFKNLKMFKKPPKEEGDDIFDRLTTSQLNKHLSSYMPGLTAKV FRTYNASWTMSQLLKNLKVEDRTMAEKIKLYNDCNREVAILCNHKRTVGASHEAQMEK LGDRIKGLKYQRWRTKMMMLDLDPKLKKKKGPEFFELDPELDQAWIESHQQFLAKELR EKIEKKFAKDNEKLEADGKQKLPEKELKERLKAVSELEAKFKKENKTNKVEAEGKGVT VEKLTAAVEKLNERIRTLELQSADREGNKEVALGTSKINYIDPRLTVAFSNRFNVPIE KFFSKTLRDKFNWAIQSVGKDSTWEF MYCTH_62175 MFLSSRGWRRAAATAVALLSRSTFAQTWSACNPLTSTSCPADTA LGMTIHVDFTEGEVNSFVPSGGVPTYDEDGVSFTVASSGDAPQLTSIFYIMFGRVEVT MKAAPGAGIVSSLVLLSDALDEIDMEWLGADSTEVQTNYFSKGQTTTYNRGQFNPAPN NQAEFVTYTIDWTADRIAWYVGGTLVRTLTFDEANGEYPQTPMQVKFGAWSGGDPSNP PGTIEWARGPTDYSQGPFSMVVRSAVVTDYSTGKRYTYGDQSGTWQSIRSDGGEINGN LGAAGTVSVTASAPAATDSLSPTIPPGGIIGGGTATATDGSTGPSRTVGPIPDGWVMT SEGKVVPIGSSTTLPGAQPSSSLSRELSASPSTAGSGGGETTTLATSTLSTLGGARAP TDAPPESSASTARRGGLLLGLLVLAASALLCW MYCTH_2055617 MDVRPCCQQPSSGVADPISSRRYCVSEVLEIAICSLCSVVMWEY TVQNEMMEKRDGVSGQHNGAEDVAGPSVFRPSPGLMLFSCLVFGCCVSSFTYRRQDQD PFQFVIYLILLGCVAMIGYAVGAHLHLILLGYLPWATCAAMAISISGHSAYRYLKTNP TRPSRDEEKARLWG MYCTH_2136549 MEQARPLGSQKARRQKLRHAIKIMACGGMIGTAILFPLADVQGL WFRALTPSIFILSALGFHALHLEPFVRYGRFTVPPALAFLLVAVLAAGSAREELIPWL PLFIASLSLITVAMDDISKRIGLRPASLVGSDDVPSWTSTDTWSNYSLRSVSTQQRPQ SVLGSTLHDPLFFARHGPPSRMSQRTYTNGTDITLSGIAPQLRSHWDAQTLGYFSATA PPNGEHPVGFG MYCTH_2294749 MRSAIRIGAFRGLPSLNSAPLRPGANGLRNHVPRRLLVPPSAAL HSKSPDCSEALAPAAKLPEAESVPAVTPRERISIADIKERRAKAGRLIAPTAAYSDAD MFKAPTTGKPKAKRWDHYLTEESLSREPCKLKQAAKHLKTPGLISLGGGLPCPEYFPI SSLTLHVATPPDFSEAATLEGGGEDITIGKYDAGFSKPSTTSSPSTAPGTGEPKSEYD LSIALNYAQASGSAQMTRFVTEHVELVGVPPYADWRTCLTVGSTGALEQALRMLLRAG DTLVIEEFSFSTALETAAPLGVGFAGAPVDEEGLLPDGLDALLTGWDAAGRGRGGGRR KPHVLYTVPSGQNPTGATQSERRRRDIYEVARRHDLFIIEDEPYYYLQMHEGPAPPPR TVDDFLDRLIPTYLSMDVDGRVLRMDSFSKVVVPGSRMGWITAPEQIVERFIRHSEVA NQGPSGFSQVILHKLLDERWGHEGYMRWLMNLRLEYTKRRDVMAAACDEFLPKEVVSW NPPKAGMFQWLKVNHELHPHASTKSILDMEEEIFDRCIAKGVLVARGSWFRAEQDKPP SGLYFRTTFAAASPDNMREAIRRLGEAIRESYRM MYCTH_2294752 MGLTEALIERASAKSALILVPGLLLAYVIVAIVVRPAWQEIKLA RMPGTRAPRIKSKLPFGLDFLWGAVTSAQKNQNLAFWNKMLGEAGAYTVEGRIAGRRI VFTADPENIKAILATQFNDYGKGEPFHREWRAFLGDSIFTTDGALWHDSRQLIRPQFV RDRVSDLHVFEKHMQILFRAIANGGALDGENQPVDVEAGNGKPVEITDLFFRFTLDSA TEFLLGHDVKSLSHPRQEFADAFGEVQRIQSLISRAGALNGLVPRGQFNRSMKVINNF INTYIERALRLSPEELASKSKSDAGYTFLHALAAYTRDRRVLRDQLIAVLLAGRDTTA CTLSWTVYELARHPDVLQKLRAEILSVVGPTRPPTYEDLKSMKYLQSVMNETLRLYPV VPFNVRLALRDTTLPRGGGPDGLEPVKVLKDTPIAYSTLVMQRREDLYPPPGGAAASA PADAFSPDRWATWQPRPWQYIPFNGGPRICIGQQFALTEMAYVLTRLFQRYDRIDNYT HRHPGLKADIVLQPAEGVRVGLWEARAEK MYCTH_2294755 MWSPSLSSFSVLLAALQPVAAIWPAPQSLTTGSSVLYLNQNIKV TYNGESIPYTYGYVSRELTSKEVVQAGISRTLAGIFESKFVPWKLHKRGSKWEPDLSQ GQQWIKTLEIVQKGKDEPSTFKPLAGQVDESYNLTVSAKGEAKLAAVSSIGVLRGLET FSQLFYQHSAGTFWYTPFAPVSVQDAPKFPHRGVLIDTARNFLPVADILRTIDAMAWS KLNRLHVHVTDSQSWPLVIPSLPEVSEKGAYHPSQTYSPEDVEKIQTYGAERGVEVYF EIDMPGHIGVVSLSHPELIVAYNLQPYQWWCQEPPCGAFKLNNTAVDAFLDKLFDDLL PRLAPYSAYFHTGGDELNRNDSMLDEGIRSNDTEVLRPLLQKFIDKQHARVRAAGLTP ITWEEIPLEWEVDMAKDTVVQSWLGGDAVKTLTSKGYQVIDSNYNFWYLDCGRGQWLT WGNGAAFAQGYPFNDWCGPTKSWQLVYQHDPTAGLTAEEAKLVLGGEVALWAETIDPV NLDTLAWPRASAVGEALWSGRIDPATGQNRSLVEAAPRLNEFRERLVARGVGASPIQM TFCTQGRAEECEFIVA MYCTH_2294757 MSVEVALGTPLAEALNVAIQGKIAELGWAGPGTEGSAMSEYFLL MLANGKTESEIAAEIAGDLLSLGPDDQTAPAFASWLFQQIGTLSAQLGSQQAQSSDAT DGAKDEPMDGSQDANQDTNMDTSDAPAAGLNAPTGPKAMRNGSGNIRGGREKRLMSQI NRAMDRQQDSVLHRVRNQSGNERIGRGPPSGPRMGVGRQPRTNNARAANVAAGIANMG GMPPQGPAGMNGMGGMHPMNAGGYTQADIFAMMEQQNQMLQQMQQQLMMQQQQQQQQQ QQQNGMGNRGGFGRGKHQFDRGGRGGQFRRGGGHHQHNGHGEHQQPGSEGGQADSGSQ GDVDMAGTKREAPNPEETVCRFNLRCQNKDCKFAHQSPAAPPNTPIDLKDVCSFGAAC KNRKCVGRHPSPAAKAAHQSEQDCKYFPNCANPVCPFRHPSMPACRNGGECKVPGCKF THIKTPCKYRPCTNRNCPFMHEEGQRGTFQDKVWTAEDGRKEHVSERKFANEGPEDLV LPGSGDQAPDAEATEVVI MYCTH_2294760 MYATSLRCTARNPGGNGAKCGPLRTCRRFLSAHVANARRPLRST PPPHHNLRPPAPTTTPALPSSSSPSSSPLSSPSSSPLRPAIPPRSRSQQRRHYAVGNK QHPPQTIAVLGGGLTGLTTAWYLTRLLPEAKITIYEANKRLGGWIETEKAEVKTPDGK VGTVHFERAARMIKPQSAPARVPKWDDLVFYDLVTKLNLTDQLVHAKQDEEAVSGFIY YPDHLVPLPKVTIRLSDPLGALRQLANLAALLFEPLFRDLIPAVLNIFRTRKDPFRMD LFRGRRDMSVGDYYAYRLGSPALVDKLMSAMVHGITGGDVWKLSMGSGFLADQLVPTD DEPITNVPVRSVDYEMMLQLARDKAVFDLASQHLDTSALWFRDGFSTLTNALADALEK NPNVTFVTGQFVTRVEYIEKADKVGVHITRSLMEEPALYDKVVSTIFAKKLYKITDKL LPSLLPTTAVSIMLVNIWYPVPRINFPYNGFGYLVPQALPFEQNPECVLGVIFDSDRE SPLPTPSDPDPAPRGADTVQGTKLTVMMGGHYYTDWPLHVTANTFRAKKAALAAVERH LRLPPELTEQAHASAKLCRDCIPQPLVGHARRMRAAHSELEWTFKGRLAVVGQSYQNP GVLNMLRAARDVAVQIAGREEGAAAEWSVGDTGLERFTRQPKYLSLQRHMLPLRYGSG AFVDESGQVRLREGALGTGG MYCTH_2294761 MDSGMHDSTPPDKARGSIVNGVSGDDVPVKSESPTTGNKVVDNG PAIDYSKLGSSLRDVKKEEPPDELQHITEGVMPLSLLLTRLAQFSHMKLQELILELAS KPLPEHTRNGNAKGPINSGINGSVNTANGGAKMPGNVFEDTSPESLDKKTMLLKFIQD LHSRWVKALVITEWARNADEVGKLIDLRIHLAEKLELYNKAFWNMIHVKQEMAFAKVP SPDLKTALEVLSAGAVHWMPDFGYLPKPPLEAQEISHWLNEIEVTLHMRLQLHEYEQI PEPWKQYKIDNGRVTFTVPGEFEVDLTISDEDFGTQFWFLDYRPLFSPAPPKLSDGAR GFIEGRVNKILETEGLPGCYKYLHEMALTAKIGEFTRQAVELNRTGLWAETLRVERLN RALGIQYWVQSSHTQGSESWILLGTHSGKNSEGGSDPNSPSRLVLQWFRDGKKVKDAD IPFDADNISTEKLLTAVISRHIQHLLSTIFNTLQGKPRYARKQGKLALRISDQPGTDS ALTMQLLGKADAVLSIGTWSGNFYFVDRSLIGLEWAHRLNSSRNPATEGPGMLEALRW AYTVRYLRTLPKPADWVILPQAPVPSDEVKRVVYSHAPTTREPFYAMWMRNTRWSAQW FAMMSLSLGGDRWWLIEVTAEGHGLPGFRISTFTELPITPTDLLPPAASLIPKLTKHT ANIMAQIDDLRGLHQQRVPHTASKFRESGSLTTYVLSADMLARQPGSDDASRTTWAAK FIPLAYKGPAPVPISEYRELLADLRSPQRQPARQRVMVEAKVDVTNRSRLQLLERNLD RDVLYDHRAGQFILRLQPDSSSGAIPLLRARMQALDRLVGIVDGLGRGGKHVTPERIT LREVVFSYSAKASAIRAPELSQPDQSSSSDGNTQRSWKVRLGLAGEQGVNVMLEPGNP HLRVLDFLRATANSPQFKKLPSWLLFTLPLFEALERLQISWDAVLAKEQGTCYVFHKS MDWVTIRFALTGAKNRRLHLDIRPRDKGGELTWHVYRPATDTNVNNENDEFNRVLKQR VWSTNGRGFKGLMNGAAATWDDGIGNLLALIDEALQSLAGTPAPPQQAPAQAPQPTQR PQQPQALELQNQQQPQQPQQPQQQQQQQQQQQQQQQPALPLHGSGAPGRFPHPLQQGQ QAQYQQQQQQQQQQLQQARLQQQMHGQAQAQGQQRGGGVGNNNAPVVVLD MYCTH_2074905 MASNLHSGGSVELKDNTVIVVLGASGDLAKKKTFPALFGLYRNQ FLPTDIRIVGYARTKMDHDEFLRRIKSYIKTPTKDIEQQLEEFCSLCTYVSGQYDKDE SFLQLNRHLEELEKGRKETNRLFYMALPPSVFTIVSQHLKKCCYPSKGIARVIVEKPF GKDLASSRELQKSLEPDWKEEELFRIDHYLGKEMVKNILILRFGNSFLGATWNRHHID NVQITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPVSFSAEDIRDEKV RVLRAIPAIEPKNVIIGQYGKSLDGSKPAYKEDDTVPKDSRCPTFCALVAYIKNERWD GVPFIMKAGKALNEQKTEIRVQFKDVTSGIFKDIPRNELVMRIQPNESVYIKMNSKLP GLTMQTVVTELDLTYRRRFSDLKIPEAYESLILDCLKGDHSNFVRDDELDASWRIFTP LLHYLDDNKEIIPMEYPYGSRGPAVLDDFTSSYGYKFSDAAGYQWPTTSALGPGNKL MYCTH_2313379 MPSESGHRLYVKGRHLSYQRGRHNTHPRTSLIKIEGVDDTAAAN FYTGKRVAYVYRGQKEVRGTKIRVIWGKVTRPHGDSGVVRAKFSTPLPARSFGASVRI MLYPSSI MYCTH_2294768 MHEAEPAEVAASIGTTFAPLRSIQHKDIWGNPIVDPDRSNPTRS RWERPLETIRSFEAAIDGAYNNRRSMIRPDGSSGRFGHDSYYGSRPSSMMYANRADGS LPDLRAGSVGQRDSYYEQQTGYSGYGPSTQNGRRGWARMASEPHYGSSSRQPPPGGQG DYPIPSNHRSNETVTTASGSGSSAEPAGYQTDPTSSDNSSIERVQSSVPRRQPENDYG IGFSQSAVYQPPSFALGGQTEGANGARGNVGYSNDSNYQVSGVANHSNTPPRVPQKDS GAGILKKPIVNNSSQQARPAQPEKRKSWFARRFSKHG MYCTH_2087521 MATRRIISHEKTILEKDDSIGSSPAANEKSNIAPAVPTSVIMKL LAFTLGMIVIPIGSYYVTVDTLFRGNSTYAGALAAIMANVVLIGYIFAAMAEDQSEQQ GGAAAKEGKKDR MYCTH_44797 MEFSSSPPANRRLLSLEDDDDDDDDELTLVTQSPYFTQPTQVVE RPNPQLPPVATSSPKSIVEVPASSPFRPQALQQRRGPLANVMAPAGTTFRPPAQKPLP ITKSAPKREFVMISDDELDAPIYAGGDSSDDDEPSRGDIRPSSFQPRGPKISQVAPEG QAVAKKTIQAAKSASTSSVTSPEKSYVLQKDWVSLSPSSSSQESFESLRRVSPPKPTR RRLVQGRRPRSASPSSPVLSLSQQTPQRAQLIDLVSDDDVGDDYKASKTSSQRGSSPV GGEEEDPFDKRVLNYLNTCDVMQLVAIANVKEDSAKLMVSRQPFSDLEEARRVTISQK KRGKKAAKVSIGDEIVSAVRSYARALDDIDHIIAVCESQADSLKATTSRWNIDLTGHL RSDSKPDDGKPMTPVSVEDSAKLVEVPLRQPKLMEGCTMKPFQIYGLNWLHLLYQNRY GGILADDMGLGKTCQVISLICSIVEDYERNGRSMDTRPWPNLIIVPPSTLANWAAEFE KFAPKLNVTLYQGSQSARDEIAFDIGEDPSSHHVVLTSYSQLSRNDDISNLRRLRPTV AVFDEGHKMKNPKTKVYKDLLRITVTWRLVLSGTPVQNNLMEMVALLQFVEPKLFQDH FENLEALFNQKLSLTAVSKGALLHSERVARARTILEPFILQRRKEQVLQDLPPKTSRV VTCKMDPVQEAIYRDYERRFRKSDSSASDPRVKEGRDNDTNNVWIQLRKSAIHPQLFR RFFKDRDVEKMAEILMKKVPQSELRQPRLDHLINELKALSDFELHLWCRDYECIRRYD LPDKSWTDCAKVKALLELVREYQANGDRTLVFTRFAKVIEILGECLASEGIEYLSLQG NTDVSARQELIDEFNADESIPVFLLTTGSGGTGINLTAANKVIIFDQSDNPQDDIQAE NRAHRLGQTRPVEIIRLISEGTVEELVYKACEKKLELANKVTGWSSVEMTSGEMESAV KSELLRQGVSTPPSGN MYCTH_2294774 MSFLIALWHTLWDVVWFWQARTVSYLFERSKLQEYAHDLRTAST YEQWAEAAQNLDLGLGLDLWRHDPTSKDYDFRLINERLRLIANARKAGDVYELINTLR TGLVRNLGNITSPKLFNCAFAGTKHLIEEYVQQFVEAIQEIVMLPPPPQDERQPTVEW FAPEWEDGELGGSGSSGAGSGFEGGGDEKSAISAAAAARLSKGGMAKATLPTQHLLDF VHDTRQGFGRTALVLQGGAIFGLCHLGVVKALYLRGLLPRIIIGTATGAMMAALVGVH PEEELPRILTGDGIDLSAFAANGRDPEGHNKRVMQSLWTKWATLIRRVRRFQREGYFL DVKVLEECVRANVGDLTFEEAYNRSKRVLNITVVTAGQEGIPTLLNYITAPNVLVWTA AVASNASSTTFYGHRQTKILCKDAHGNITPWAPADTVDFRHWTFASYTDRNAPLQRVA GLFNVNHYIVSQARPYLIPFLQSDMHGPSTSQQYGSIGWDPRQHGSMFAAARTFITRI IGLETRHRLRQLDRLHLLPPLIRRFLVDEHLPGPSVTLVPQVGLTDFVRLLETPTKET LEYWILRGERSVWPAVAALWVRCAVEMELDRAYQEVSRYKAGDLRRKASEIEQLGREV MGANGERLAGAAGENMGVGGGQQLVMTVQRPRAWSISARCPPSAKTVL MYCTH_2049886 LFLKYHHFVRVYIDDIIIFNKIEEEYLEYLYTIYKILDKAHIYI GIAKSFIRYLAIRLLRYIINDKGITKTDNHITTFKKLKFLDTLDSLEHYLRMAG MYCTH_75987 MSCIAKRSIKRFVKTEEHIALATTINKLGFEVMPCSFCFSCSLY YYIIESSSRYGKCICRRRLYDGSRVLVSSYYRRIEAPRPVRIRC MYCTH_41898 LNNKALMQLFYNGLKEKVKDKLYKYNQPKTLDKYIPSLGIMEPD KIYRTRARKRGRINGTIVKANDKKKRVYVSTSYRTYPGAIDIDIA MYCTH_2294775 MSSVQVANASADGALAQLEADLNGANRTDTIAGYRPDHKAPSNS STETPGTDDTATLTGLPAPLAPAVAPLPSEPIRTHTPLTSSNMDLHQRELGQLYTGNI KQWAISAGIGSRFQTGGNDDNNNSNNDTMSSSLEHSLLTALTAGGGPGGERSVSGSNI SQGGDWVMVVPPSKTDEDVAGAGMWSTDMPMPPVMATRRASVASSDGGFY MYCTH_2294783 MSNTFGLRRLLSLALGASCIAVASCRNHWVDIWASMPQEVEPYN LPNPPYNGTDGVFQNTTIRTTVYVTQDASTIRLQLSNAFGGSDLPITAATIALPANGT AGSPAIRPGTLQYLTFSGSRSFAVPNGALVVSDPIHFPVKAQTSVTVSVYLASGQAGF AITGHPGSRTTSWLTQGDLVSAADVTTPTTQRIDKWFLVSALQAWLPATHGSLAIVGD SITDGRGSTTNGNDRWPDQLVRRLRAAGGKKPLLRPLSVANMAAGGNRVLADSLGPNA FGRIERDVLARSGVRYALVYEGVNDLGTAAPDPVSQAAVGDRLIAAYDQMITRLHGAG IPVFGATITPMCGPGQPYSDPVREAQRQRVNRWIRTSGRFDAVVDFDRAVRDPKNATM LRTEYDSGDYLHLNPAGYKAMADAVDLDLFVRFAGGVYSMV MYCTH_2294784 MGSKAADKKRKSRESDSESDDGLGNGLFDGVLSQSEDEEEYIPS DDDGDEDEDDESGSEDSDASEDGEEDDLLSDDIPSDVDGDGEMDKLAKQQGELEITEP GVDPKPKQEEEEEGEERNYRIEKDANGGVRYVYDEIDPVYDSDDTDAKEPVNTIGNIP LSFYDSYPHIGYDINGKKIMRPATGDALQSLLDSIEVPKGWTGLTDLDTGNPLNLTDE ELELVRRVQMGLLPEDLEDPYPDTVEYFTSIEEKMPLSAAPEPKRRFIPSKNEAKQIM KLVRAIREGRILPYKPPEEREREEEEQEEVHFDLWQNEEPRPPNPMHIPAPKLPPPGY DLSYNPPEEYLPSKEEREEWEKADPEDREKDYLPQKYTALRKVPAWDNFVKERFERCM DLYLAPRVRKNRLNIDPNSLLPKLPSPSELKPFPTVVQTIFRGHEGRVRSVSIDPSGV ALATGGDDGTVRVWELLTGRQVWSVKLSSDEPVNTVRWRPTKDAFILAAAAGEDIFLM VPTHASVTPALDQASRDILAAGFGYATNGQQQALPVGKEPPAKWARPGTKLEDEGVLI RITVRSTVKVISWHRRGDHFATVSPAGQRSSVAIHTLSKHLTQIPFRKLSGLAQTAAF HPLRPLFFVATQRTIRCYDLQKLELVKVVQPGAKWISSFDIHSGGDNLIVGSYDKRLL WHDLDLSNRPYKTMRFHSEAIRQVRYHKGGLPLFADASDDGSLQIFHGKVPNDQLENP TIVPVKMLKGHKVVNKLGVLDIDWHPREPWCVSAGADGTARLWM MYCTH_2294789 MDAAERQTSEQPKRRACDECRGRKLACSKEIDGCARCKREGIKC VYSPQKRMGRPRKHRPTEVAAPPTTAAKTTEAPPESVHPFVVPDFQFDGAIGMDLDLS FLDMSNKDMNFLEIVDPNTQFPPRPAQNSLDSGTDSFVKQAETARPPGVFWPMSGNLG DINFDEPPPGPTPQPAPEITAEEVAQMLCGDLESLPSLSPPSATSPSSHASPSEPDRD ISCSCLSSLYLALDSLRTLPKDVPAAMRVARTAAKAAHDTVLCPVCGDPPIDPHAKSS IQAFQSIMMLGALLPSLSNAYMRILTMIDAEAAAADREGRQIRFMLSSYGGLWGWMAK MDSSKCNVRGRLEGVMLDPLLWRLTVRSLLKLDVYGINDCTPGAGGEGAQQPGLKDII AMLEERSRRRHEQLDALVEAGVLKKNQCGVGYVALSSETEKPTCLRIIDIAKRSMDEL VIP MYCTH_2294791 MAQMRGPGSYGIAAPSPFGGPTDDKSAQSPLEAIREQTSKIEDL MDTVSEPIKPYLPAIGRFLIVVTFIEDAIRIITQWTDQLLYLHDYRHIPSGLTHLFLI LNVIAMVTCSTLVIIRKHSEYAVGGLIAVVITQALGYGLIFDLNFFLRNLSVMGGLLM VLSDSWVRKTKAFAGLPQLEEKDRKMYFQLAGRVLLIFLFIGFVFSGQWSIWRVLVSL VGLVACVMVVVGFKAKFSATLLVVILSVFNVLVNNFWTLHEHHPHKDFAKYDFFQILS IVGGLLLLVNSGPGQFSIDEKKKVY MYCTH_2114377 MPGEQFPSETPVPTPTPGQGPPTYSGSDKDDGGSGLSAGAIAGI AIGGAAVLILGATLLYLCGRRGGFDKAYRKSILAPTAAAAAARSGGGGGDGAAGAARP RSPSMVEANYANGPAAIGGGADGLGLGHNPNSPGQATVSTFSGHDVRSSIYAGAGGYP YIGTTPSPPPPGAGTLPPYGFAVVGQPPHGHPAYAGGIYPPPHSSPRAEQKTPFLHEP APVELPSGEPPVPTKPLPPGYKSSQHP MYCTH_2294793 MFVRHALGLLAALAVVVAGQDDQNNDPTPTEDSNTPSETSDTSG PATVTIAVGANGHVFTPNEAKANVGDIISEF MYCTH_2294795 MAKPSPSSHKPRRKAKHAVDSPSSFRNSGPIETLSRAPAFPLAA FLWPARGTASQWELLPLILMAVGLFRWAAGLWGYSGFEKPPMFGDYEAQRHWMEITTQ LPISQWYFHDLEWWGLDYPPLTAYHSWLCGKIGSLIDPSWFALFSSRGSHDPTLKVFM RATVIVSEYLIYIPAVVVFVRRYSRLNGVANWSAAVALVAILMQPATILIDHVHFQYN TVMLGFVVASMSSMLAGRNLWAAVFFVAALGFKQMALYYACSVFAYLLGSCVFPRINL PRLIAISAVTAASFAILILPIVLGTLVDVHRGIDSRPDIDGPRPPLPLFQWLADYLDT EAFYYPVVEQLVQMVHRIFPFARGLFEDKVANFWCALNVVVKIKKYPSELLQRGALVA TLISIIPPNLVLFFRPRKELLPLAFAATAWGFFLFSYQVHEKSVLLPLMPMTLLLASS HGLNKTTRAWVGFANILGCWTMFPLLQRVGLAVPYAVLTLLWAYLLGLPPTSLSVYFH EGSSSWTQWATLFVHGSFYLVMALWHVLVQSLRPPPDKPDLWLVANVGVGAAGFSLCY LWCLGRLLLQGEILPRSWFVRKDKVKTQ MYCTH_2294799 MPYPFVLPTTSSFSFSSSFTSESHPSLPLQASTHRGVVRDTLKK YKRLPPASQASSLSTVASTLQTYIPYLLALDAGLSPKGSHSADKPSVTLTATPQIEWR PTLSGSSLPGREPPRVKIHSLEHEILFALSALANTHTLQSRAALQPLYLTTTAPVGTT QRQTAITTATRHLLDAASIYDYLCARLSLSPAAAAQRPPCPDIAPSTLGAMRSLALAE ATLLAVLKDDPYPAAVAQARNPHDTEWMYKSPDVPKVRAHLFARLCLAAAEHASQAAA GLASSSSSSSSSSSLTSSGLGSAGKVNEGLVRYVEDLRRTSRARACRFFGIDAELGGE TGTGIAWLRAGLGELGIERKGDERKGGGLKGLRKEWSEKREDKKVERGLDWGADGGRL EETRVLEMLEAKWVKQNDTMLTQPIPPVGPLLAQMPSGREIHTIKPFHPPVLDSDALE AMRAPPDAPDEVGNYPSSDEDTAVNPIPGAFPGVSGQSRSGTPGYF MYCTH_2294800 MSDSEDPVDIADEGADDLFGDGDEALSDAENAVSDREAASEREG READARFHDDHDDHDDEEPRQFREKLVSEVPLYRHRIPRSKDGGLHSLRVPDFIKFKP MEYKSDEWQPSKWDLDNANSENPIPSIMWRRDPATGRMQSNANIYRWSDGSVTLAIGD EHYEIQSKPLAPPSNKPYREVQDAHYYAAAAHLTTNSLLIVGHLTEQYTVRPNKELQD HALERLKADLAGAKRDRSAEMIIVTNEDPELQKKQAELAEKERAKLQRRRETAAARAD GLGGRYKSGGPLSVGDLEGRRGPAAAGRKRGAPGAAKQKRRRPEYDSDDDLPSGTRRG DNYDMDDGFLVNSDEESEAAEEDEEEEEELLDDEEEEEEEEKDDRPRAKRQRTSDPDE DAEGEEDAPTHETSRGRRRRVIQDDDDEE MYCTH_2294802 MSNLFSGINARFRGQRSPNSGASSPSPEQQQAQAQAQAQAQAQA QGQHPGNLPQGQPGSQSSSSNLAVKLPPLPTSPSLAETIGMDQASGMVPSAEEVLASY HLPPVKPLWLNANYAKHIVKGNFLTLSAKPKTVEMGEWIAHQVVDHWRMLITFIRLVH DKEEDGTSICNSRRCAKMSAGSNHSFTWLNSRYQPVEIPAYEYLTLVQRYITGKLDDT SIFPTDPAGVSYADNPAFCTPVPESGPDWVGKRSGFPQNFMETCQTIFRQMFRVYAHL YWSHFEDMFCLNLEKSMNSCFSHFILTATTLNLLKKSDLEPMQPLIDLWAALGTFPQG SKAYEIANIAVGQLLIQKAGGPPASN MYCTH_2294805 MAGFPKLIPAFTVQVAIEPPKAISSSLTVVPFLSTGGTIVSEPS YPIKLDAAIEHGADYIKAAPDGRHVRLDVQSTARDAATGSLLRFMYRGKIATTGDAGR VLRGEENAGTTDFGEAFSIVEFEVGSKELAALEEKVYVGSGRFVFEPGKPTIVEYKVS EVAA MYCTH_90985 MELSKKQSHPDMAASASDQASHPPPPSAPAPRRRKNHRGGRRKK QRRKSFAAPADEIAQDNVNEGVLEDASQRFYARPGGNLSTTSIESESLLDHRADSDEE DEGAPLLSSPVFPRRDTFGGYGSADASRKNGPPSHSGSSKASSARGRQPADSYNVNYP PSVPGSPALHPTNRMSMSFGDVLLRDEIDRRETSTTRGSGDEGGRPSPSDRRKTIALQ AEEDVCFPQDGLSELGDEEPSTRDRDSASRQRTRRRCGKWPDLSILDEWSRFEKEGRS EERRVKRITEPQLIDGRLRPVHRGWFQAEEAAPYRFTYFNEELPSTIHSQTISELVQP GGTFRDLFMPDPPILSDTSDGEEEQEQELDLFQVLGGQSGDPRIPARQPSLAATSQEF ERKTSTNSEATRTGPGGCAPSDLKSPDASKPAQNGKPSKALKYGDRPVWWLDVLSPTE AEMKVLSKAFGIHPLTAEDIMMQEQREKVELFRNYYFVNYRSFDQDRASEKFLEPVNM YVVVFREGVLSFHFSVTPHPANVRRRIRQLRDYLILSSDWISYAIIDDITDAFGPLIQ NIEDEVDDIDYAILQMHSEEDHALREERRRLNEKCDASAEVRSAEAGRDMLRRVGDCR KKVMSLYRLLSNKADVIKGFAKRCNEHWEVAPRSEIGLYLGDIQDHIVTMTSNLSHYE KILARSHGNYLAQINIRMNERQEQTADVLGKLTVLGTIVLPMNIITGLWGMNVWVPGQ EYEGDLKWFIAITTGLILFGVACYLIAKRVYNIV MYCTH_2294810 MSTRQPSRVVFVGNIPYGLTEEQITEIFSGAGRVLNFRLVYDRE TGRPKGFGFAEFPDYDSAASAVRNLNDYEIMGRKLRVDFSNETVSDDDNRDRDGAAGA GGINYSNNPGATNGSGSSASNPSATSGGSSSLPPLPQGKDLPPGVSCTDAISRTLNTL PPAQLLDILQQMKALATSDPARATELLTQAPQLSYAVFQALLIMGLVSPDAITSVLET GAAAPTQAPAPAPASAPAATIPAPAAYGTVGATGTPPVPGGYAPPPAAPAPAPGPAAQ DPEALMRAVMELPQETIDQLPETERQQIMALRTSYAAQMRR MYCTH_2294811 MSNRSPFEDYANRIRAAARAGGRAGFGPGGGFPGGSPRGLGGGL AGLLLLGGGALLFQNALFNVDGGHRAIKYRRISGISKDIYGEGTHFVIPWFETPIIYD VRAKPRNVSSLTGTKDLQMVNITCRVLSRPDIQALPQIYRTLGQDYDERVLPSIVNEV LKSVVAQFNASQLITQREMVAKLVRDNLSRRAARFNILLDDVSLTHLGFSPEFTAAVE AKQVAQQEAQRAAFVVDKARQEKQAMVVKAQGEARSAELIGDAIKKNRAYVELKKLEN ARAIAGLLQEAGGKNRLLLDSEGLGLNVFDQTERK MYCTH_2294813 MLIKVRTLTGKEIELDIEGSDQVSKIKELVEEKEGIPPAQQRLI HGGKQMLDDKTADDYSLVGGATLHLVLALRGGL MYCTH_2294814 MTEPRAAAHVTNGFRPALETCLGPRSLADMCLRVALNNVRHIQS LGNLPPQYTGPILRHVKTAEQLHQLELNSDDIYDQTAAHWMRIIKDKFPELASQHNFV PQKRKSWHKVYDKYEKLQEEQIAAATEKLKQGLAAQTQQQQSRKSTIISSKESVGLRR PKAKPGYSLVSKKQDFFSKTRTQLRMEAGRFRTNPLASRTSQIKKAPAAMIEEARIKS QPSLVPPPPPLRPDLPSKPTAVGSGGITSREEKEARLLQVKNAGRTKPEAGENVLRFS DDEDDEDTKLNEDDDLFGDFADPEFDDVSNGSQSQKAVASSASSPSRTASIKPLLVPE QPTKHLRDPVKVGDKQPVKRRRSVEEADAEQPTKHRRSHEETTTPMLSASNKASTLPS LAPQQSAKRRPKGLSAAPGANKGIAFKPASRAVINPAIKTVPKPASKEGAESKPSSRP AVNPSTGSRSHRGVPMTAPSASRSRSASTSGDELTADHGRRATRCGSQDPVESLSGLP VRAMQPANRYPILRPSPKLKNS MYCTH_2294815 MASWGLLDDKEETELHKSRLLNVEEKPFKRITKRLNTLHSITTA RARQAPTPPPDSNGTSQQQRDGTPAPGSSDDSTLPDLAQLKEDITIDFAAFDSSIARL QFLLTANERERERYAAERERIVAASHAVRDNTAQLRVQLEQARATLAQRREFDALADA ITSNPSLRPRAEQEANLRKLRDEIAELEAEREAYGVTWHERREQFARIMEESMRLRRL IRDEKEEVERREGMDDDEVGATPRPSGTGSREGSPKPDAGLAPKSAPESGDAEGTPRP VSVGGRSPARDSPAPGQDAHSLTAKRAIATDASFSQVGSQVGSQIGSQVGSQVGSQMG SQMGSRAGSREGSVERQDQGSAEEGEDIEMGETKDNEQEPGSPLTPPAADDAPQIVVN GEGESMDTT MYCTH_2294816 MAPPTPLAIATQAVNRLVKEESYYHKEQANQEKRIKKLEEDIQN NSPELDSNAEYILKQEKTALEETKAVFGPLRKRIADAVQKLEEQIAISESDGTGSEEE LEKAKAALESGQKVAQATESS MYCTH_2294817 MDNAFAKSIDQVLDTLGVNRATGLTDEQVTRLRAKYGKNAIPEE PPTPLWKLILEQFKDQLVLILLGSAAISFVLALFEDEGGWSAFVDPIVILTILILNAV VGVSQESSAEKAIAALQEYSANEANVVRNGQTHRIKAEDLVPGDIVDVTVGARIPADC RLISIESNSFAVDQAILTGESESVGKDCHAVISDDKAVLQDQVNMLFSGTTVVTGHAK AVVVLTGSNTAIGDIHESITAQISEPTPLKQKLNDFGDQLAKVITIICVLVWLINIPH FSDPTHGNWTKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSLP SVETLGSCSVICSDKTGTLTTNQMSVSKIVYLNDDGSDLEELDVEGTTFEPKGDIKFQ GQVLRDLTQESATVLQMTEVAALCNDARLDYHSHSATYSNVGEPTEGALRVMVEKIGP CAPADCHPQDRVHYASSWYEKQYKRLATYEFSRDRKSMSVLVQNGQEQKLFVKGAPES IIERCTHAVLGRHGKRVPLDRKLADLLLKEVVEYGNRGLRVMALARREQVNGEPLLHK ARTSTEYAALEQNLTLIGLVGMLDPPRPEVPAAIQKCKGAGIRVIVVTGDNRNTAETI CRQIGVFGPDEDLTGKSFTGREFDNLSPSEQLEAAKNASLFSRVEPAHKSRLVDLLQS LGQVVAMTGDGVNDAPALKKADIGVAMGSGTDVSKLAADMVLADDNFATIGVAIEEGR SIYSNTQQFIRYLISSNIGEVVSIFLTAALGMPEALIPVQLLWVNLVTDGLPATALSF NPPDHDIMKRQPRKRDEALIGGWLFFRYLVIGTYVGLATVAGYAWWFMFYSGGPQISF YQLSHFHRCSSEFPEIGCQMFTNDMAKSGSTVSLSILVVIEMFNAMNALSSSESLLTL PVWKNMVLVYAIALSMALHFALLYIPFLQTLFSILPLNWTEWKAVLAFSAPVVLIDEG LKFIERTFFVSSSTRKLPKAKKDQ MYCTH_2055659 MVGKDCVAIACDLRLGLQALTVSNNFPKIFQYGDHVFLGLTGLA TDVSTVADLFRYKVNMYRLREERHIAPRTFANLVSTTLYERRFGPYFVNPVVAGLEPK TGAPFICGFDSIGCIDFAKDFIVSGTATEQLYGMCENFWEPNLGPDELFETISQALLN AVDRDALSGWGAHVYIIEKDKVTKRLLKGRQD MYCTH_2294820 MSAHVEVVATDFRRVKVKVTPGTYLIDVLGEACKKLNLRSDQYE LKYVETGSGRPSTSYLTNGRHKQKLVDLSCPYRTSGLGPGAKLELVQKSKSASVVSIA LDVNGQRYTKKLPSNMSLWKVLRQFEGAEKGLNITGRGVPVGTNSGQLYHEAPVVNIM GREYAAMEELQKTLSQCGINSGSIVLRVTFKLTERTLYDAMQEISQYLDDVETGVSKP EEKSEAQAMPVTDETMTDAASVKDAPKPEASAPTSSEEAAPSVPAEASTAGEPMDVDE APASTSATTNPLQPTGVFSAPTSSTPKAAHTQEDDSVYEPTIAHAQLRQQQLQQRAQN TRLKSDAELAAEAAEEAAKLARITKVEVKVRFPDQTSAVWTITPEETGAFLYQAIRNI MNHPAAPFRLILPGPKPVSIQETDTKLIAGYRLKGRREMLNLLWDDSVPPAVRKEPFL KGSVASKAMEVVVPEIPQGVGDEGEKGSTGAGAAGPSSAQQQARRDGGKGRDNLDSEA VKKKLSKFLGLGKK MYCTH_2294821 MAKETERTGLARGLNKGHKTTARVNKPRPSRQKGKLSNRTQFVR SLVKEVVGLAPYERRVIELLRNGKDKRARKFAKKKLGTFNRAKVKIEELQRIITESRR AGGH MYCTH_2294824 MLSIVLIIATAIQLAVALPIYKIPPALSRVAHFCILPQEFVIKD FQIWNPQADNNRSTTINFHYLDDSVMPAIDTKCYLNQISVNVGAKKSLAPRYACDDAL VEFMWTNGTLTVIERACPQETLTRPHEATGVLKPKLNCLETIRNSTIGPGIACSSTPD VILGAFVSLRPTLN MYCTH_2294825 MHLNRLVWLPLCALTIGANANPSIARRNQQHQPPSQEVLNDWYN PHPQGGNHPGKGGDGSEEGGLEGGGLEGGGGGGGGGVSAALFSSLERTSRLVDITYCV GTAGTGIAPPFSCLSRCKDFPSLRLVRTWNTGVLLTDSCGYIAVDHGHGHRYGMEEET EEEETRVGTENGRGAREIVVAFRGTYSITNTIVDLSTAPQEYVPYPAPPGDGNGDGNG GGGKCHNCTVHMGFMASWRNAREVVIPALKAARERYPGYRVHLIGHSLGGAVAALAAL ELRLGLGWRDVVVTTFGEPRVGNAELADYINRAFGLGVRDGDGAGEEVAYRRVTHAGD PVPLLPLSEWGYQAHAGEIYISKPDLPPAPEDLRLCHGNNDPRCLSEAETPDWLSWVL GWMKSGRIRGEAEALLGLGRWFPARLQLWQLLFAHRDYFWRLGLCVPGGDPADWGREK YNLTVDGARDEIRDL MYCTH_2114395 MANETFLARLCEQAERYDEMVTYMKEVAKLGGELSVDERNLLSV AYKNVVGTRRASWRIISSIEQKEESKGSEKHVATIREYRQKIENELEKVCQDVLEVLD ESLIPNASTGESKVFYHKMKGDYHRYLAEFASGEKRKVAATAAHEAYKSATDVAQTEL TPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDSLSEESYRDSTLIM QLLRDNLTLWTSSDNGEPEGAVEPPREEKADAPKEADGQAEEPAPEQA MYCTH_2141471 MEWCFYLYGNSQDLYPEYQPAWNSTEAGVIGVRPKTVLGIIGWL RDRLVSDRNSGRIASWDKPVPYYLETMDISSHASPRSLPNPQNPAPLALSDFIAQTAP CSDVTGPTDEPAMSRSATRPSGPADEPKQAPVRDEARVKTPQQPPHEIQPQETKAPSS AQEEDSPPPTDWLATRQQLLSRRSQGKKADWIRGWSQAVSSHGDETYCACSRSIENGA ANHRGRKAKTSVQLADNVRAILQRAGSPSSNSKSKLATPSTPEPREVCPYCSRPPSPP PSSTAGGRGNSSSRASSKLHSPGSAARAVGKRFSRLLMRVRWLSSSPRASKSATAAAA ARNARNPGICCSSSDNVVWPENCQPLWVTTRQGQSRCLARPASQPLSAPVQEMGVGIM GTPQKSRGLMMRRPRPLREGGYFARRRRSWARILPDISIRGAGARRRLEAPLSPSTSS SSLSDLAGVLESNGDLARPGLSRSMSRLQRAAALLQRTTARSKD MYCTH_2294830 MDYSAPSAALWPGQPPSPEKSSQILIREYPHRAIAIASNSHALI LRHSATASEGFGNGFLASVSSGRQRPAASDSNVSKCMVEFSPTSGNLLNDYRPLTPRP IYGTLGLISIERDVFLCVITQASRVATLRPGETVERIEAVQFFCLNSSEYDDVVSPDP YDVDSDAALGYGQGLSRRETPTEQHPCQELQKLLGNGTFYYSTDFDVTNRMQDRPADA ADFDIDNFDESFLWNSYMIQPLVLFRSRLQKAEREALDASRILTSAIRGFCRSWAIPQ SAAPLSAAKTGLPSYLTIISRLSCRRAGTRFNSRGIDDDGNVANFVETETIYWSPSGV VFSYAQVRGSVPVFWEQAAGLLPNQQKITITRSADGTQPAFDKHFSELEQAYGAVHVV NLLSATKPGEYELTTLYRLGIQNCPLSRQVGNQSRDHALLRETEYDFHAETKGPQGYV AANEIRRYIEHSADGFAYYLAQESDDGEQLGGGLNGHKKARYVVVLQQEGVFRTNCLD CLDRTNLIQTIISQMAVESFLGHRGERAPSDFWSRHANLWADNGDSLSKIYAGTGALK SSFTRTGKMSLAGAIADVRKSATRLYYNNFADKARQITIDTLLGRLVGQTPVVLFDPI SDYVAGELQRRSTEFSSNEKIHIFVGTFNLNGRTDGINEDLSPWLCPPELGSVQPEII AIGFQEIVELNPQQIMNSDPSRKQLWERAIKSTLDRHYNREGDDKYVLLRSGQLVGAA LCIFVKASVLHNIKNVEGSVKKTGMSGMAGNKGAVAIRLDYANTPICFVTAHLAAGFA NYEERNRDYATIDQGLHFQRNRGIADHDSVIWFGDFNYRVGLGLEAAKDAVKRRDLDR LFENDQLNLQMVAGLAFRFYSEARITFMPTYKYDIGTDEFDSSEKARIPAWTDRILRK GSNLRQLAYNSAPLRFSDHRPVYALFECTVNIVNEKLRDKISREVYDRRKAEVGGGTA NLAQNESDDEDLIGYDAIEPGLPPASSDRQKWWLDNGKMAKSSINPPKPDNPGSQIIL NPKRPTNPYAPTDEPDWVSVPRSESRLSSFSSMSTSPYEHVNHSTLLSSSASSSAPRK LPPPSEPSALSTKVGRMQISEDSKSLQGDGAPPPPPPRRQATMGTGPTTSPSPSSVQP KRKPVHQATPAPPVGPKPGALQEQPAKQKTAPPVAKKPAHLAAPTSSASSASRSFTSD ATVNGLKGSASDLLRQSATNMNGSLFELSRSNTSPPARDGIERGHTPPLQPSRRTNSS AGGVTPSRMVAAGGIPLVGLAGPSDRKPQLPARKPTTPSSAVSIQKQAPPPPPAPRRT PAIDLLGDDEAIEVSGWEALKPSS MYCTH_2294835 MGDFTNFGGTDEENAEIKRLNADVEADPDNFENWEKLIRACEGL EGGLNRNSSPQALATLRDSYDRFLLKFPLLFGYWKKYADLEFNISGPESAEMVYERGC ASITNSVDLWTEYCSFKMETTHTPHLVRELFERAASHIGLDFLSHPFWDKYLEYETRQ EAQDRIFAILNRVIHIPMHQYARYFERFRQMAHTRPLEELVAADMLARYRAEVEAEAA QFGVQKTELEIERDIRAKIDASFYLIFQRTQEETSKRWTYEAEIKRPYFHVTELDHSQ LANWRKYLDFEEAEGNYQRIVCLYERCLVTCALYDEFWLRYARWMSAQDNKEEEVRNI YLRATTLFVPISRPGVRLQFAYFEEMCGRVDVARDIHAAILTKLPDCVEAIVSWANLQ RRQSGLDAAIEVYKAQIDSPVVDIFTKAALVTEWAFLLWKVKGSVEEARTAFAKNVEW YADSRHFWQKWLEFELEQPTNAELEAQHAERVKNVFAEMRTKSRLSPGVKQELGQVYL TYLQQRGGKQAMKEFLHIDRELFGPQSISPITKAKLSKETAGLPGLDEATRAKAERRF YNYYQLHMDPDPNAQGPASFE MYCTH_2294840 MATATRTFTRALRTSARPAFAAAPRVAFRQAGRRFYSSEPAPKS SSSAWIWAAGAAAAGAGGWYFLNNQSSATPQVFKPKYEDYQAVYNEIANRLEENSDYD DGSYGPVLLRLAWHASGTYDKETGTGGSNGATMRFSPEADHGANAGLKAARDFLEPVK QKFPWISYSDLWILAGVCAIQEMLGPQIPFRPGRQDKDVSACTPDGRLPDAAQGQDHL RNIFYRMGFNDQEIVALAGAHALGRCHTDRSGYSGPWTFSPTVLTNDYYKLLLDEKWQ WKKWNGPKQYEDKKTKSLMMLPADMALVQDKKFKEWVQKYAADNDLFFRDFAAVITKL FELGVPFPENTERWVFKPVNA MYCTH_2294844 MAAARVPALRHLLSCQPRVLHASNQRRWAQVHDVRFLATTQQPR NVIEKYREKLDRKAREEGHPDIESLRAAYADKIKNLRKETDTVTAPLRGATPGAQAPP PPSSSSSSPPPPQSASSSTATQPSQEQQQQQQQQQQQQPRKSSSSPTGLKPLSEILDL PKASALPQAELTAIWRLRHAARPNSLCAVIPAATFAAMEAMARTHPQFVLPVPHPEQG AEMHFLQWTWDPPTRSATVLFTQLAEYKARGEFAAPHTTVTHYKDLMDRGGGDGDGAA AGQPGVVLMQGTVLEDRGVKVADAQWLVMCLQRFYGGWDGSGGEAGKERAEERRRLLE WFGKGDTRFSVEKLMEEAERMG MYCTH_2294846 MPYPSTFPPLDIPEAVDLWTLLLGDRHRDFPVTKEILTCGETGR SYTWADLRSASIEFGKGLKALWEWKKGDVLAFYTPNSIDTPILTLGALWAGGTVSPAN PLYTVDELAFQLRDSGAKGLVTQPAHLATALAAAQKANLPPDRILLLGPNRDPSGRIR HFTSIRSPGSAGHDDARPPIHPRTDLAFLVYSSGTTGLPKGVCLTHRNMVSNVLQASY VEGSQWRSRGGPDGQGDKQLGVLPFFHIYGLTCGVLMSVYEGWQLVVLERFDMERALR AIERYRITFAYVPPPVVLAFSKHPAVDGYDLTSLKVLHSGAAPLTRELTEAVWNRLRV PVKQGFGLSETSAVVCCQVVDEWAKFMGSVGKLMPNMEAKIVGEDGREVADGEEGELW LKGPNVFPGYFKNPERTKEAFSPDGFFKTGDVFRRDKYGNFYCVDRLKELIKYNGYPV PPAELEGVLISHREVADACVIGVEDAAKATEVPRAYVVLRDGVKASETKAQELADWVA TQVAPHKKLRGGIRFVDQVPKSPSGKVLRRIMRERAKEEQRRSGAKL MYCTH_2294851 MYFKAAVLFSLLASSFALPTGSVDRTVKRGVLSVQDYSEFQVSD GVAGNALAEVNAKFPIDTSNLASVSDEDLEILKAARKTAEAAETKAGGFNEAIKAAGG TKTTDGQALQNGKIKNKVLKLQLQVLALQIEAAKGKDTAAKLAEEQKKLDKNVQLDKE AAGQRSQSVNFQGTSQP MYCTH_2294852 MTKLRRGLVSLPLRTLSFPAVRALLVCGFLWLLAFTYGRLFLWR DPHSAYFRSEGVYDLDYSAARQAEARAFLQQVSAEVTADTKAHGGAGKTNADGAAAPE PRPKAGPTPVVCAAFATVRRDGDVARQYLADAVGSMLAGLDPRERAAIHVKLLFANTD PAQHPDWKAPWVDALIDDASGYRGLTDLERAGLRKAEEERDVQLKGNFDYLYLLERCL KDTDAPFIAVFEDDIIFSADWAARTLRGLQYLVRDHQKAEGDKDWLYMRLFYTETHLG WAAEHDWWYNHLPLTLVMAAAGSALVLVLLRLAGCGPRLRLDWATIAVVSLVVAPGFT ALAFMAGKHNLPLPGYSLHHNVPFGPPDSALAAGVAPMDKNACCTQAIVFHRPALPAL IKNLRKRERGQTDSMIEEYCDATGFKRFALREQAVQHVGLVSSRGMRVVDAKSVWAFW FEANKPAAVEKSHRKMLEEIDWAMFDRLAAGG MYCTH_98907 MASPIGYLIHPDPSSQPQKPASQTLPGITSAHSPLAVTSSPASA SRFPTTSLASSVPEQRGARNLPGDHNRTQPLYQCADCLRQVCSKAFARADLLKRHRAN HQDGNGSKRRRINSDPSASRVGQACTACAKARVKCTRCKNRGLACEIPVSEDAAAYMA HLSANRVGHTESTPESCCSVSSSLGQAEDPIPVGSTSFPYVQSASPEDGKDGTGTPAY AAYDKGAEFHTVGAPSGPTSQSNFGSIRSHPGGDLQYVGQEEAARAQFPDFLRDVLYD QSLGSPIKSSESQGPMLDFYDDVNLDFNEFDFNMLNYWIPDPPSDMSTQVANPEDPVG MTEMRSTLAKIWTESPWRWTPGTTDSRFTEQPNLPLPFADANSTKIHENRAAGRRVAK EALRPSCRDKILAIVLSTCRETSMANRVASSFPATDTIDSWINIFLAAHMCQVSSWIH YGSFSLNSQWPEWLAVAAASGAVLTAVPAFRRFGLALQEAIRTAIPERVSH MYCTH_2294854 MMRYRGRFGRSAYPDIVIHPSDKGQVLEEKWKKWHQLESWKRLI FHAYLRDAQVSMTQLNNPSMSYAELTLPLPYSRDLWFARSAEEFKARYIELGANSARP PCLGDLFRDFNALSNHIPRLDMPFAISIFLHAFWSLIWEYRQLASIYGPTVTANAHSN TTSLLLDSRRAELTTQLKSFHQHLQIYRTRHPTLLRDFFPLLLLLFHFLLFNLHAPLP ELQLFSGKEGEDQARRVYPALRGWAGSADARRAMWHAAQVLKAARAFPKGTLQDFWGV AVCHAVLGVWGWGIVNRASPTSSSSSTCSSSSASGRVKGGGNGSEQWQAGGEDESSVV YLDGEDESGEPMQEPAVRAWIEHGQGRPAIHGLQQKQQQQQVHGDGQRRVQPCLFEDS RACAGIAQGILRANFAGVYDPLPTMTENIIVVLKQLEKAASAVGMG MYCTH_2294858 MLVNGRPPPPPPPPPPPPPPPPPPPPTSEPPTSEAAAPAIRAPC RRPQLHTRKNHDTYNGILHIPRSTHVQTMIATTTTTTTERSRSYLVTLHYPANRACEL RRSDADVLALRRGLATAGCAAAVPRTRRERSGSGSGSGAGADGESSDRSRSRSRSRSR SRSSSGSGSGGGGGRKKSREAIATRAATVTTAAAGGCACSCSCSCCRCPCGSEGADAR LAREVNDLLREALHKVGKGNGPVRPVIERFLRRRIEDCGG MYCTH_2294860 MALQAAYKQFLASPNSSSLADDASLHYVTTTTSFAGATDIIKHL SSVRNKIKKLKQDPLFAIENHHALALEVDTTLEFVTSGGPYLPGLDDNFLADRTVHIA VTHIVTFNGDGKITQIRQSWDQGALLKQLEVIGRSGANWPIRDSKEQINLIAKCAKGD GAAAAPAEAQPTRSRGSTNAMRDPHASLDLFAPREELESNPEGVISPYAGRRPRQRSF TEILGDEPVEDPASPSSGRERSQSPSKAIAPKIGAGKHFTPMRIFDADENDNPPAETP ERKPSERVVRPDPKKYQHFEFDDGSENPEPPAPKPATKPGPERKSIHGSSWSFDDFTT PQKPVALRGGHRARDIRHWGPDNELLENTPAPNAQAAKPRRDAEAHFELIDDGPEREV PRNTNRAPRGAMHNEGLHLYDNRLHPEDGASPEPAPVPLGKITNLKDRHKDFEPHFEM TDESPHHAAPPEPAKLPEDRKKAVRMMESSWTPYDESPLSRKENDDPNAKRPPTERGI AIAGDGMGGRKGTTRGWLHGEDDEEPLPTTRKGVRGPPTKSDNFWDF MYCTH_2055845 MANLRAKEESLGQSSILSIRGPSSFGGRSGIVDEEEEEAVHPFR RWLDSFRRDPGLHVTPPSVLNTTAAAAAAAAQGGGVPRGRGRGRGHYFDLHAANVGTA NTLLSRELKGRHLQMIAIGGSIGTGLFVASGKALNTGGPASLLIAYLFIGCMLYCTIQ ALGELAVTFPVAGSFSAYSTRFLDPAWGFTMGWNYALQWLVVLPLEIIAASITVGYWN RNLNPSIFITIFLFAIVVINLFGVKGYGEAEFVFAIVKITAIIGFILLGIVINIGGFP DDGYIGGRYWHDPGAFNNGFKGLCAVFVTAAFAFTGTELVGLAAAETANPRRSLPTAI KQVFWRITLFYIASLTLIGLLVPHSHPRLLGAKSAADASASPFVIAIESAGIAVLPGV MNSVVLIAVISVGNSAVFGSSRTLAALADQRQAPAILGYVDRRGRPLVAILVASAVGL LGYLADLDQEPDVLNWLLAVSGLSSLFTWGSICLAHIRFRKAWRAKGRSLGELAFRSQ VGVAGSWLGLILNVLVLLAQFWTAAWPLPHPLVATGDGGMNGGGAAAVPLDSPRDVAQ NFFLQYLCAPIVFAFYIAYKLWYRTRIVRIDEMDVDTGRREFNLPILISRELDERRAM PRWKRLYRFLC MYCTH_2294863 MNVRDPFNLRNGTLNRPPIATWKANRNYNLQYSSASPLQHIIRA AATATQSAAADLRDKASEVVHSAQQAFTEAAATTLDLDLEKAAHLEPGPEAKPEVEPK VEAKAKAREHHRRESARPTHTARAPADSPSRQELAPKTERGQAQEQDMSFAIPKNVPS FSSPQRQLEDQLWAASSRNRQRSSLVGNVQGFLSPGSRAGLPMYKDKPYMYPPGRGGG SYAWRRPLYRRKRTTCALLLLVVAGLAWWTGLFAGQQARVGGGLSWSGWLAQETGGEA NAAEWAKRRERVVEAMELSWDAYERYAWGYDEFHPESKKGRQMAPKGLGWIIIDSLDT LMIMNMTSRLTHAREWLSKSLTWDQDQDVNTFETTIRMLGGLLSAHYLSTEYPDMAPI SDDDPGQPGEDLYLEKAKDLADRLMAAFDSPSGVPYASVNLAQYKGIVSHADLGASST AETTTLQLEFKYLAKLTGEKEYWDKAERVMKLVDDNGAKDGLVPIFIYATTGKFQGEN IRLGSRGDSYYEYLIKQYLQTNKQEPIYQEMWREALQGIRKHLITYTEPSRFTIVGER PGGLGGDLSPKMDHLVCFLPGTIALAVTGGLTEREARKLPTWTEQDEADMQLARELMH TCWGMYKFMATGLAAEITHFKIDNPPLPESAPHKAPDEFDPSPDAEWRKDFEVRPFDS HNLQRPETAESLFYMWRITGDIKYREWGWEMFKSFMNYTAVADNGGFTSLSNANKIPP VTRDNMESFWLAETLKYFYLLFSPNDLLPLDKIVLNTEAHPFPRFDMGPLFSTGWQRK PRDKEGRIIE MYCTH_2294864 MQQERHLASSEFRSYPYLTPEEFTEVCHHLERRYCQARLGVQRR RWMLDTFRAFNTADFTFGPEYGTYLVIRRPLDAVRDDEGLSSCMGAFSLGEETGGEDD DSEMMEAEEADQVRYAALVQSGDVPPCMARGEKEEKSLNALQANHVQLDDRDYLPHGA RPRPRVRYEIILHPTYQVPCLWFNLQDLPADEDPLNIDTVFRWLVPDEYKAPLRASVG RIGGISLDVRRPTLPFASLLIFVICHVLMS MYCTH_2294867 MAARAPPPGLPAKVASVPPNQTLYVTNLPSAKIQKEDLRTALYM LFSTYGSVLDVVALKTMKMRGQAHIVYKDIQTATQAMRALNGFEFFGREMKISYAKSK SNIIAKLDGTFKIPAASSAARVEVTDLQQSIFNAPVPGAPTTTAPSLPSKPSGGDQPM TDAAATESRGVKRPREEDKEEEQGEESDQDVAMEEESDDE MYCTH_113886 MAAPEVHHLFHNPIADHSFSADRQTLAVARDTTVELYGRVGNAF KLKDELKGHDKTVTSIDIAPNSGRIVSCSQDRNALVWEPTPQGYKPTLVLLRINRAAT FVRWSPSETKFAVGSGDRLIAVCYFEEENDWWVSKHLKKPIRSTVTTLAWHPNSVLLA AGSTDAHARVLSSFIKGVDARPEPTAWGERLPFNTVCGEYMNNSAGWVHSVAFSPSGD ALAFAAHDSSITVVYPSAPEQPPRAVISINTQLLPFMSLLWNGEAEIIAAGYDCEAFR FNGGIDGWQLTGAIEAKGRPGGLGSQREESALNMFRQMDLKGKVKDDTQLKTTHQNTI TMIRPYETAGGSVRKFSSSGVDGRVVIWTV MYCTH_2294870 MEALSPRDANAQRPPRAHELKTKAAAQLKTSKDKEHPPPPPNNV VEPPSSDCKDGVVYQVGKLLGKGGFAICYEGKAAGASKRVALKIVKSKMPTKMEQKFQ TELQIHSKMRHQNIVQFHRAFTFENCTYLVLELCPNGSLMDMVKRRKGLTEAEVRFYT VQIAGAIKYMHAKGIIHRDLKMGNIFLDKYMNAKIGDFGLAALLLTGKDMQVMRRTTL CGTPNYIAPEILEKGKKGHDHMVDIWSLGIIVFAMLTSKPPFQSSTTDEIYRRARDRD YEWPSPETSSKVISQEAKDLVATMLQDAEKRPDPDTIVAHPFFTSGYMPVASEINYKL REAAPENPAFYEPLNSQTQSLNLRNVQEMCRECGVGPWSQSQLVFRKIWREMAEEEQN GLTPVIPLAEGVVYRPFDEVKNEQKLQARLAAQQMERQSSQVSDQTYETTSLGDSELR SSTEGALSQKPTTGLLLRAPPQSFAAQQRAQHRPTSTTGISRSKTVTEPAARTVALRP RPGRETAPAESQPSSEEVVPVSRTTTRTLRSQVTSTRTKANGTSEENAPPLRRSASTR EKRQEERLSLFSPSEYQEKVPGTQPDVVLERLQKLQAELERALNARTMALMSSRDKTP APPHIVVKWVDYTNKFGLGYVLNDGSVGCILRSIPAPDGPNPGMLPPACLLVHGAEQH CMRKDDPTYSARHQIVPMKEGIYFYENNGEEGISRVRVPPENFMLPVNPDGTVGKLSA GRDIYDHRKRERIVLWKKFANYMIAYGREMDNNGLTQSRESGEAEPAIRVPTITDPTA APPDVVTFYQRFGDVGCWMFADGHTQFNFPDHTKIVLDPAGVWCHFWHLPQDAARRLE ETGSLAESALDDRSVLSYPLQTLLNFAKPPSTGSSSSSSSSAAPAAGSGRRRPVIPPE LRDIPAANQFRKKIEFVRDVVREWTANGGLGNSDLSRERRLRWTGVRETRGVPIPAKH VWVTIGARGGDERLAAMVDPRRPGIIGEDVDERRRDR MYCTH_2294872 MVALPLFKLASLFVRHVSKYGANHIKHQAHEHPRFRAFAARYGQ LIHQLNMRLSVAVLRNKDAEQRAKEKAEAPTVKTEEQVKKEEEHLAKYGTTARATLKE PSGSVWRRKFRPLPEAKAVDLFADVIGDTFILAVAGALVTYEYWRSSQKPDRNKEMIE ELQRRMEELRIKEEELEAREKAQQERVQLIEEALKAFKDPKTKRPLLETPTAAA MYCTH_2313396 MGRLIKNHWARLIVLSAAMYQVAAAVESYFWPKIFWDFLTKTLD PAVRPIPVLQTINLIFGMILLAWEWPLSFIAGLSIHRSLEARLAFLPLTALAAAMIYQ GTNAAIYYVIGLGVYFWAYSEGEMICAKPWTLPQRTPRGPRV MYCTH_2294878 MTRGDVNHVKVHYRGDNTDEDFLVFLDSVEDYKKWQTDRSVPLA QVVSSFKVFTTHRHGAQGLLGGASKAMLENEFGTSKEDEAVLKILEKGSLQEFEMAER QGRRNDSNGEFVGMMGKR MYCTH_2055546 MLSTCGEREEHSGNAGSSLGDEPVDQSHCQPEPRSAKPFQKWMK TLHKRVLRQQEALGYDGSPVTGPHGTWGGHSLAMGPSRRRHSSSESSSFAFVAAAKSA SISMASASLWTRSWKTTNRSSHGRRTERSSRASMSGPRVSEDSYRSERQGPVDPGVVE RALQRRRILEELISTEENYIGDVQFLMNVYVTILASLPSSPPGLRASVNRNLTDVVEL HEEILGELRRVVPDSEYAQLDSAIKRVESNSSTRGHRRWRSLDVIPEGRDRVPWLSDL PGMAAEPQTAAEVAKIFSKRMNRFFIYEEYGARYELMIKDIAAAQRTFPGWASYQKGL EILASSLNSANNRDDHQSRRRALTIDDLLVKPIQRVCRYPLLFSELLKHTPVIDCPYS HMIIDNTLVRLREATAEINRATNDSRTKSALEKTWILRDRLRFPDQQLDAATKNRIRL FGHIRLCGALHVCWQTKDGVSGQYMVALLFRDWLCLATAGRSDQIYTIQVCIALGNIK VEEVDNGRGLQCHTARHSWKIVFLCDNQLYELILTACSPKEELEWRARLRSSEPNDNP DGQDQTLSDIFGFLALNIKAMGTVFRKPGTIARKVSIHRATTIGPKSPLYQVILKNTS AAKEGPTVSSNPSINRSQSLLTTNSRIPVLAPARAERARLEAMLADVWTRDVLPFPGI TARARSEHLVRASASSMMRKLSAVNITGGFTRRSASSVSL MYCTH_2294886 MNNLHAPTVPSGPTTAPATNGNASQLTIAELQRKKDILEGELRA LGGVLESHGVDMNTSLLTPDGFPRADIDVAQIRTTRARIIRLRNDWKDLMALIEKRLH EHFASIADNDDEPAPPVSADNAAVRDSVPETLDQPFAKVNTVSDNSPAAAAGLKPGDL IRNFGYVNINNHDGLKKVAECVQGNEGRNILVKISRSSGAARPQELRLTLTPRRNWGG RGMLGCHILPL MYCTH_2141486 MAAQPNVPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYMATLGV EVHPLGFTTNYGPIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPN WHRDLTRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPF LWLARKLVGNNALEFVAAPALAPAEVQVDKALLEQYEKEISDAANAPLPDDDDDL MYCTH_2294895 MRASPSSRTLLASLALSSLPLSFGQLSIPTDLPDSWEYQGCYTD VPGRTINSASYADGTNMTNAACLSYCASKGFPYAGTEYSVECFCGTTLASSSAKVADS ECNMPCSGAPSEPCGAGSRLSLFHSSAVTGPAANPGVNDFTHLGCYAEGKTGRALTYN PGLPGADMTVAKCTAACRAANYILAGVEYGGECYCGNTIANGGAPADSGCSMVCNGNS TEFCGGPDRLNVYSYKNQYEPTATSTTGAGSTSSSSVPSATGLPEGWSYQGCWIDGKQ GRILPYQLPDSQTNSRAACANACAEAGYTVSGTEYAVQCFCGDAIHNGGVETDEADCS TPCPGAPGEKCGAGDRLSIVSRGPPKIYAPPAPIEKIGDWEYQGCAEDNINDKRTFFW QIFFNDIMTPEMCLDRCAEFGYHAAGLEYGQECYCGDPANMATHGATFRPESECNVVC AGNSTAICGGLARLTTYFWIGTPFYSWDFPQDWRAGKYEFLVDGVNIPLITHETITGK VSFISKGATGPGNETGAYEFDPATLEFRELHIKTDVFCAASVTLPDKAGRQLNVGGWA GEATYGTRLYWPDGAPGVPGTHDWQENVNVLHLQAGRWYPSVLVLTNGSVMVVGGLIG SNDAATPSIEILPYTGTPPLYMDWLDRTHPNNLYPFLCILPGGGIFVQYWNEARILDP VTFDTVKTLPDAPGAPNDPKGGRTYPLEGTAVLLPQKYPYTDPLGVLICGGSTEGPGN ALDNCVSIYPEADEPEWQIERMPSFRVMTCMAPLPDGTYLIANGALHGVAGFGLGVGP NLNALLYDPSKPLGSRITVAANTTIARMYHSEAITLLDGRVLISGSNPEDGVNPEEYR VEVFLPPYLLAGKPRPTFTLENRDWAHGQTGIPFTLGSPARNGDITATLLGSVASTHG NSMGARTLMPRVSCRGTSCTVDAPPTANICPPGWYQFFVLDGGIPAVGVYVRIGGDAG QIGNWPQAPDFSVPGV MYCTH_2294897 MADAGQQSRPSFSGFWKKSAEVLGLKRFRFVESNSGKSKSKNND SSGNQDATASGNPTLDKAQRRRAQVRRAQTQHRQRKADYVRHLEKDIARIRDMIEAAE QDTRALLNENKILREQLLQTVGKGPSPMSLDQGVALWNEMPQPSQLSSGLLQESGTVT IALGFDEVLNAPAFYVSSPSPSCNPHQPASQRTSPPDAGDFPDLTPAQTQAAINFILA LEHICRAHFLPCLYDPNIDASTPIFGFEESGHTLMATSVALRNAPEHIFDAVARTDCA LFPNPGPPPVMCPIPQASPATTTPATAAAATTAARTTATNMTTTLTQPATADGMPNEK GSGSDGDGDAGLSWTTTTLTLRSLRGLASSLVPDSAELAPVQAWFELAGRFGVARLAD RAVLDALKRELAGAVRCPHFGALIDRASFESAVGRVLGTPT MYCTH_2141489 MTTLSSVARSPAPTVNSSPIKPILRKPTSVLGTRSRSENLEDAD EDEPPTKRQKKTVVFNEDLNIVKEISGKSLEDAKKEVKQALEGHSRGDDEDYDNLKEI FSPTPKKRRRVDDDDEDEDEDEEDEEEEEAGAAKPHDLIVYVVALTGYAPLLGRSCSG LLRSVLRCSWLERDENFARAYIQLLAALSSVQGSLFTQVLTMMVDKFTETRHTSSVRG FAPVDPETKRKWLHIGLKYLLELFPAGQHLILNLVSTKFPYTEASKAVHMEYIDHLLR LKAARPELERDIMELILSRLVKLDVEMSLDLENDDDETTRAVLRQLEAPDAKNEDEDD DSDADSVLSDDDGLNEQAKRVLMIKSKLETMDAILDLLFSIYNPVFEDPDSPDAIEAF QDLLSDFSNVILPHLKSRHTQYLLFKFSMKSTQLMEMFIGTLFNLAFESNRPPVVKQA AVAYLASFTARGARVQSDQVKMITQTFLDYMDHYRAVHTSCRGPDVRRYSQYYAYFQG LLYIFCFRWRDLIDQDLLPSNLDWDDPASFIGRDLPWMTGLRSRLQANIGSKLNPLKC CSPIIVDEFARLAHHLRLIYIYPQIEKNKSVHLSQFFTGSYATGGALRDTGLEFDDEK WTHLEACFPFDPFQLPVAKRWLDLENSYVAWRPMALLDRDEDGDVDDDDEDGENDEDE QDDSDFEEGIRGDGGVVSSFQEDTATDEEGGEGYD MYCTH_41855 MSDSPQSNPKDVEQGAPSGDDEGQMNDPQDPHSTGLGYEFEVKE QDRWLPIANVARIMKTALPENAKIAKEAKECMQECVSEFISFITSEASEKCQQEKRKT VNGEDILFAMSSLGFENYAEALKIYLSKYREVCQSQSNRGENQQNRPSSQGYGATGGS NPAGGFGAGLPGQQEGGDTQSYNLYGAQPGHNGTGTEY MYCTH_2313405 MASQTAAAKRQQDLQVQYTTYKNTLQQIAQKIGDVEQEAEEHKL VLETLEPLPGDRKCFRMINGVLVERTVKDVIPALRTNAEGLKKVLDDLVKQYKAKQDE LDRWKKKNNVQVVQS MYCTH_98929 MHPLLFTKDNAGCEQLMAALEECHAKGFIWKAAGMCNDAKQQLT ECLRAERLKNQSLNRNSVQDKRSKIRQKWKEIDENS MYCTH_2114422 MAEVALWGLELPSYVLAALTATGGAVGYARTRSRPSLIAGTAVG FLYGLGGYRISNGEPYGVELSLLASIVLGGSAFPRAIRLRKPVPIVLSLLAAYGLVTF GDAFRRGL MYCTH_105777 MSSTYTYYPAPGCSNTTATSRDKVGQPRNTTTQDFQHLSPFSPQ VGSSSSSPGFSIHTPPDSVFTDFTDADDPFFGVDFNAPGVATPSFLQDDVQPIDGSGA LADGSGVLAAPAESQHVNHAASYLPLSPDKTPSLPGGSPNSQLKEEAASRGVFPDLVQ TSVAPQNLSLTHESTAASESKQHGGPQLTPRTNDSANPSEDGVAPAATMQSPLVTVSH WDRDHNSSISESRDAEAAQTRGSPTFSTARDGTGRWIPDHVTGQSGLPPTARSDAQVE SINDLAAQRDMEQRKQEIDEWLQRSTHSSDNTPPDPPDVEDDGIPQKEIPLGDMTENK PIPGQTYFRETGGGPLTREDLEIMRQGRPWEDAPIPFSISQSNSTPYQPETSNAAILK YQRMCQDNDSIVSRAATWGTRRLSLPSLIDTEVQITGNLFKKLSIGRGEARRPSILGN IRSLVRRPSNSGNKRSRAEHEDASSSVTESSTEKKDTQAKLAPPSPKPGWAKKQSVPS INTAFVDVGSRIASIGTTHARTSSVSATPITSPKSPSGLSLSVKRPLRFRSKSETSGI VDLWKRSGGPPVPNTKVHAAPEADEDEEDEDDLYEEGDVKNDSGNLIDEITPTIAGFQ QHVVRLNPMLNTPGHYLVDRIAHQQMIRYKNLLNLRVKHLQAVAAGNCQCGSTCVDSG GSANILDSKGEQRGLDPPSSRFDGSDGDITPVEGLINQDSFPQGIPMPRTTSLPAEFE CQLCFTAKKFQKPSDWTKHVHEDVQPFTCTWERCREPKMFKRKADWVRHENEGHRHLE WWTCDVDDCRHKCYRRDNFLQHLVREHRFAEPKIKTKAAMKRAAGGIDPTWAKVEQCH HETDALPQSEPCRFCGKTFPSWKKLTVHLAKHMEQISLPILKLVAKKELDEHTIISPV QDPPPRQFPHDFSDKQDQHRAFGPSLTIPQSGPMAYPHHGPPLGMYPLAPPTQGYHAA GLYSPNFDSLSHGIAQTQISMPPMAHHQPHQQHQGFQSLNSQAAFPELPTTSAGAYMS TPPQASPNYMTMAPDLEPFPTLSMDALGLGLQNPTGVAEQLPYGNGGLAVEQQQQQFT PQGSVSPYGPSPNMPQGGFF MYCTH_2313408 MKLPARAALTWALLASAVSAVDVLETVGFSSCNSNPSVSVERVD IKYNNDDKTVTFDLAGTSNKEQKVTAVLKVTAYGQDIYSRSFDPCEKSTFVEQLCPVP AGNFAARGTQKIPAEFADMVPSIAFQIPDIAAMATLELHSKDSGESVACIQSQVTNGK TASVPAVSYVAVGVAGAALVLGGISAAGAVFGGGGAAAGGGSAAGGTSTPSPTFTEVF SWFQGMAMNGMLSVNYPNVYRSFTKNFGFSVGLVPWTDLQVSIDNFRAATGGNLTENS VEFLRNATLVYPDGSAQTTQQGLLRRAADQFVHLAARQIETSINGTLDEADPHETAAQ HTVRAAVSGIQGYVQQLSIPSGNTFMTILLIVAIIIAAIVVGILLVKVVLEFWALFGS FPKSLAGFRKHYWGSIARAVTSLILLLYGVWVLYCVFQFTRGDSWAAKLLAGVTLFLF TAVLAFFSWKIWSTARRLKKVEGDVSSLYDDKNIWVKYSIFYESYRRDYWWLFVPTII YMFAKGVIIAGADGHGMVQTSGQLIIEAIMLILLLWSRPYERRSSNIINIAIQVVRVL SVVCILVFVEQFGIAQTTKTVTGVVLIAVQSALTGILAILIACNAFIACFKMNPHRKR RKELEKLQQRDTLTPLDARNSLLLKGSKTAGSDDSSSISLPNKPGFTPLVSVRTDNSA ADVPEKYGNGTNNKRTSRFQEVNPSRENLLTGAAPLGGNAAALDLRQPTLPDLGGGYG GPGSGGQGQAGGYDGYGNYRGAYRRW MYCTH_2294923 MSELQKKWAKARITTAMHTMAPPPSLTDLGEPDEDRYSSYSTGS VPNTPQDDDSSSASSVSSTGTVIPSPGRALFARPQGFPNRSLDPIPWTAYFERELFLP SPDGSITYHAYLTSPAPAAGPGKVGGPLFVTHHGAGSSGLSFAVLGAEIRKRLPTAGI LSLDARGHGSTTTTATAGTAAAAATQREGAIEDLSLSTLASDLLAVMMLTKAAMHWPS LPPVILVGHSLGGAVVTEFASVLLPSIRSGLAPAPPGTAVTTTTTATTTNATAAAART NLDLDVLGYAVLDVVEGSAMDALQSMHAYLATRPAGFESLRDAVEWHVRSRTVRNAVS ARASVPGLLVQTQHPGHGPGGAGSAEGGHGTGLGQEGGGEGGGRVPGVPVDDGRGGGR QGQGQGGGGSGKADKPWRWRTDLAKTQPFWEGWFTGLSKKFLGGRGGKMLLLAGTDRL DTELTIGQMQGKYALQVFPEAGHFIHEDLPEKTAMALVDFHRRNDRSTLVLPPKVSDL LAQGKKV MYCTH_2294926 MATTAETPVSAATAATAATAATAATTATTAAGATETAPPTTATT PAATALPRVPIPPRGVDYRGKLVLAPMVRSGELPSRLLALHYGADLVWGPETVDHSLI GATRRVNPRTGMIEYTRQPSHAYSPANSAARNNSNDSNDNDNNEAGAAESVIYRLDPE REKGKLVFQLGTSDAERAVAAARVVAADVAGIDVNAGCPKPFSMLGGMGAALLRAPEK LAGILEALARDITPAFGIGISVKIRLLETPAETEALVRRLVRTGITGLTVHCRTTPMR PRERAVRGQLAMIRRVCHEAGVACLMNGDVESRDHADLLVREFGVDGAMIATAAEKNP SCFRSRADGGLAAWPEVARRYLRFAMEVENRAANTKYLLAQIIPGKALAYRDVQRGRS YSDFAQALECDEEMRAMAREADRVLGLGEFEPKRGPKQKNKQQQKGQQGEQPSGQQQE SKKRKRGQDEEEEREGGEGGGEGGEQQAKAKDESAKRPEVAAEPAPVAAPAAIAV MYCTH_2122366 MLPALRHSTEPRAVSCALRHMRFLAGLERQTRQPADVLERILRH SRHPEDVAENISVVEIDPRIRRIRCRQPALACNTLRTLRNLSPPAKTYRVRDAPGPAR LRLLNRAAPFARKGVRIAVDEADPSPVGCLATRMGRPRGVGEALARSSQVAAGERASK LSDYLATTTSSMSLHQNIKHPTSQSFWLVR MYCTH_2294928 MSGESPLAVVAAVIRSLATDPTTTPPAVTNACPSVRLPGPDTVE KIELERELAALVTRVQQLEARANSAAANVFPDTPNETSDSPFADDRGRPRPRFPSHLS RNGFIDEALEGLREHVDDQSKLLDSQRQELDGVNAQLLEQKQLQEKALAIIEQERVAT LERELWKHQKANEAFQKALREIGEIVTAVARGDLSKKVRMNSVEMDPEITTFKRTINT MMDQLQVFSSEVSRVAREVGTEGILGGQAQIEGVDGTWKELTDNVNVMAQNLTDQVRE IASVTTAVAHGDLTKKIERPAKGEILQLQQTINTMVDQLRTFASEVTRVARDVGTEGI LGGQADVEGVQGMWNELTVNVNAMANNLTTQVRDIIKVTTAVAKGDLTQKVQAECRGE IFELKKTINSMVDQLQQFAREVTKIAREVGTEGRLGGQATVHDVQGTWRDLTENVNGM AMNLTTQVREIAKVTTAVAKGDLTKKIGVEVQGEILDLKNTINTMVDRLGTFAFEVSK VAREVGTDGTLGGQAQVDNVEGKWKDLTENVNTMARNLTSQVRGISTVTQAIANGDMS RKIDVEAKGEILVLKETINNMVDRLSIFCNEVQRVAKDVGVDGIMGGQADVAGLKGRW KEITTDVNTMANNLTAQVRAFGDITNAATDGDFTKLVEVEASGEMDELKKKINQMVYN LRDSIQRNTQAREAAELANKTKSEFLANMSHEIRTPMNGIIGMTQLTLDTDLTQYQRE MLNIVNSLANSLLTIIDDILDLSKIEARRMVIEEIPYTLRGTVFNALKTLAVKANEKF LDLTYRVDSSVPDHVVGDSFRLRQIILNLVGNAIKFTEHGEVSLTIQKATTVPPGNPG EYAIEFTVADTGIGIPADKLDLIFDTFQQADGSMTRKFGGTGLGLSISKRLVNLMGGD VWVKSEYGKGSKFFFTCVVRLANEEISFIAKQLNPYKGHQVLFLDKGRTGHGPQIAEM LKGLGLVPVVVDSEKSPALDRVRGRGSAPYDVIIVDSIEDARRLRSVDDFKYLPIVLL APVVHVSLKSCLDLGITSYMTTPCKLIDLGNGMVPALENRATPSLADNTRSFEILLAE DNTVNQRLAVKILEKYHHVVTVVGNGEEAVEAVKRKKFDVILMDVQMPIMGGFEATGK IREYERSLGTQRTPIIALTAHAMMGDREKCIQAQMDEYLSKPLQQNHLIQTILKCATL GGQLLEKNRERELARAADAVTGGRRDNPALAAAYQSAAAANNNASNNASNNNSSSRTS SSSSSSSSDNSSNSTRPPLSTRSVTAAESLTSAIDSPSLVTADQDDPMDRTHSSLSEP DISTAQ MYCTH_2294934 MSQSLKAIFGELGISQYLDAFLEQGFDTWETILDITESDLDALG VKLGHRRKLQRRIANSRGIAPDASLVSPTQAAIEDLKLQDGIHPEPPAAEGRETVGTV VTKRKYRRHPKPDENAPERPPSAYVLFSNKMREELKGRNLSFAEIAKLVGENWQNLSA AEKEPFEARAQAIKDKYLADLAEYKKTPQYSQYQAYLREFNAKHGSPSQDKDASKRVR LSGHGGQGRGSPGAITTRTSRSGSGANSRRGSPPTSARQRISSVISSDSQYTSSLAPS TSITSPEDSAFSPTESNPDQQHAMNRSPPIKLEPKEQSPLLSPRHASQRDDQGREQSS MHRHLPSLSDLFDGQGLPGTMRQPSDPSGYRFPGAHITGSPGRPLGHRGADARSPPMT NGTEHRYSDDSSSSHPPFGLPRPSVDGPLPIHALLDSMPEPTFRSHQPAPLQPPNTHL ATEQNHNPSPVHQSPSSGSGRQIINGTFVFLFSRSIEIVYSVTELGAQRLPSPSLGVA PLALSLFYQRVSN MYCTH_2294938 MGAVHQGRFFLLLCLAAQIAGYETHSTRTRTERKIYAIRTYSRA KKRGWYGSADGLVFYYTRGEQTASTLEANLTSLENKLDEILAALGVSAADLDALDEQE KEPRAGQERENEKGASKGSGESA MYCTH_76096 MPRIAQGPRRSGSRVTSGSLLASPFKSPVKIPLNDDAQEKAQRS HGRKAAHEAQINQLRAAATSTPRRSSTSFNDFQNAETPGSSPRTPRRRRSVNYTTILD DDEQLVVGGKAVTPMKRVPILANFEEWMKMATDNKINAANSWNFALIDYFHDMSLLKE GDGVNFQKASCTLDGCVKIYTSRVDSVATETGKLLSGLADSRDNKRKGRDGDEEEDEE EEVDEDGNVRKKPKKKTQRSSEATLAPSFASLQLKKLELEFAVDPLFKKASADFDEGG AKGLLLNHLMIDSQGRIVFDSSDDAEDAAEAASKSRTRDEGENVNGEEGDEDLLMTDR DETPAPERSKEQGRKDEEEEEDVEIDVPGLGARFFPDLNILDSLDVCPSLKTFDLGDP SGSLDIPFLRAPDDWRDQDKEKTPGPGGIGDKSGMFIDEENPLGFDDEDGLGTFDLGA DMMRVYDAGLGMGDGAAADGEGDGADLLDSGNGDFAISMTHAQKADRVHEDILSYFDQ ALQKNWTSAEHWRIRKIKDVNKPTEPTRQRKEKQPFEIDFAAPLDPAVAEAIYTQASS NAAISLAKKDWKSKSRNLLPDDKHFSSKQLLSLFLKPKARMGRRRVLGSGSRIGNVDS QRQQNDVPEGEMDEAFWASQKAPLQSADHHHASSPDDEGLPQGDYDANFFQDDGLPFA GGGGRDDDDDDDDMDEFADARDHFSPEAGGPGMMTDVGMTGAFGGLTVTNPADLAFGT MLVTQNRRVRPEYVQYARVAKKVDVRRLKEEIWKGMGFERPDDENQAAANNNNNSRLM TPTSPEKSTTSTAPGTEADPTLKFTDVMNDLQRVYPKPVMDDISTSFCFICLLHLANE KGLVIEKTEGLDELLIRKDWTAEITEGE MYCTH_2294942 MRRRFGARPVVAAALLVATIAALALFQSARGTILGARDGHGRPV LGARDMTYYYPADADCRNVHNAADQCAFALANCEDDEAGLIHYISFYYCTLGGAKPVA FAILALWLALLFTTIGIAASDFFSVNLSTIASVLGLSESLAGVTFLAFGNGSPDVFST FAAMASNSGSMAVGELIGAAGFITAVVAGSMALVREFKVNRRPFVRDIVFFIVAVSFT VVFLADGELHLWECFTMIGFYLFYVAVVVGWHWFTSRRRRQRMRDAAARAHLYSPSAR GSDELEPYRDEPDEDEAAPVGRRSGNVSEPADIGMLERAPRIEVDAVSPPGEDEERRE MHVAAEMASSMRVNRPRWGRSNTTITPIRPSLLGVLEFRSVLSSLQKERNMHLALLPA RSHSHQPAAADLPDSRGRPRNKTLPAQAPPRMERALSYGNEPLNLDNSGLVRPGSSTV PHTRSNSASRTADGLLAPPVVPAVPAGQDISSPPKQPQQLLNIRIPSPSGRSSGQSSP STSPFPRLSESPAALTPVHQELSAFSFPAPMDPGRQRFPGLEDQEEQPKPVRWWPYSL LPAPHVFLATIFPTIQGWKQKTLWDKMVSLASVPSVFLLVTTLPVVETESPDDDDDDD DDDDGGDPEDDIGDPPAPGHPGNIAPALVVQDGAAEIRPETEWQAYRRRARAASLKSP FSLSPSSLSLDNHPQPAAALTVPAPGSAPHPAPIPPPMPAASATFPPTSSDKPASGGW NRWLVAVQLFTGPLFVVLVVWANTADDMVEPRRALVRMVLYSLLVSLCLLAGLLATTT ASQKPRYHFLLCFLGFIISVAWISTIAGEVVGVLKAFGVILDISEAILGLTVFAVGNS LGDLVADVTVARLGYPVMALAACFGGPMLNILLGVGIGGAWMGIAKAKRKQRKHPGTE LRYKPYRIQVGGTLMISAITLLLTLLVLLIAVPSNRWIMSRRIGWGLIGIWTVGTVVN LVVEMTGTLADVSGGLTS MYCTH_2294943 MASYPPLAEREIKNTIVLFDVDGTLTPARLSASPEMLSLLSRLR QKVAIGFVGGSDLVKQQEQLGGPGVDVTTLFDFCFAENGLTAYRLGEPLPSNSFIKWI GEDQYKELVRFILHYIADLEIPVKRGTFVEFRNGMINVSPIGRNATVSERNEFEKYDK EHGVRKAFVEKLRERFGHLGLTFSIGGQISFDVFPTGWDKTYCLQHIENEAKRPGGVH YTTIHFFGDKTFEGGNDYEIYNDPRTIGHSVTGPQDTIDELKKLFNL MYCTH_2294947 MASRSRDVPDEELFMSRPRPTEDCDSPTVEPLRIFRPQSPAADK GSSRPKYPAPPSSSSSAAGSASAAASKPGIASLPPLPSFPFGASSSAAPLPYPDDDNK AQSKPQPKASRLPYPDVESKPSPPGGRVYSPPPASSSSSSPAQNDSFPRPTVSTGEKK TGLAERRGAAPKPLQSPVSPGTDDEDTGGLFAKPLRNPASAAPAPKISNAYQQKPYYP PPGGGASQAAPINRISSTASNSTTRASRGSPPPPETPVVEPGTIPGGGIEARYAAAGI SGTATLNSLQTPSAAAQSRLAQYGSQAPAAQPQQPQPRPWTPTETPGQQPFGPPTVYQ GAEVVSNPTPPPQQTPAFNLPKNPNPTTQVPAGSALQVSVLEQDFQRMQASTPPPAYT SVNPNAISKYPDEKQRPTQPHPSSSVPTTTAAAAATTITATTAAAPIAAASASASNAN PLASPNPVSSPTPQKQSPAGNTATTIPAHNAGHPALAQDNKLAPGQNGQPALTHTPSL LAAAQSPPPLPEGWIAHLDQNSGQYYYIHLATQATQWEFPTGPTPLNHDIAPLSPTAS TYGNPLGSPFLGGGKAGLASPMFQAQGHPGYAESIMSVSASVAPTMAGFTGPPPSAGV EMYKVMPTNGVYFGPYLRYVNMDLEKGIWYGSILLVTDAPQPPTIHVHLSVDLSPNPR QLIPQSIYQHQRWVFYKYEMDLQMSEQGTERWTYAVTSHLGCTRYEFVVAGRYETGWR IIAHSGNDFSPSTNQNERAKLGGVGFMWKDILQKNVECGGFHVQLGLGAQIYGDRLWR ELPLLKQWLSMQGRENRKNAPWTARHQEEVSHAYFHYYTSHFDQPYMREAFAQIPHIL QIDDHDIFDGFGSYPDYMQSSAIFKGIGRIAIDMYLLFQHHTTVEILRNVSSDMDLFT ITGSGWHFVKYLGPAVVVVGPDCRSERTLTRVMAGPTYQGIFPRVATLPPSVQHCIWM ISVPVVYPRLETVETLANTFATGKKAVNTTYNILGKVTSSVAGVVGGKEVVQQGFKEV KKAVGKTGLMGSVLNQFGDIDIAEELKDLWTHESKDLERTYLIRTLQGIAQQKGIRMT FLSGDVNCAGAGLVHDPSHPSDHKTMYQIIASPVVAAPCSNYMLKMLHNNKLLYVPQN GHRSTHEVSDTKEDMMEIFHTDASGAAREHKKLMARRNYVAIVAYDPDAIAGGQLQGP QGVQGGLKPGYAASVASGSQSGLSKLSLAVDFVVQGDGPYQTTTKYGPVIVPHLEYGH MYCTH_2106347 MSLQRVSSIETFTTIPTNGSRPRRTSDASTRARKLTFNPLPQRW DPPTTLDQLAAVGAFKVPKWKRLHKLAHDSLSSQPLTLFSVQVIVGVASCFFGAGIVF GYAAIKPVLRAEGAYRRICAAQNGSPDAVDTCADMHLNLMFTVAAVATNVAALPVRAI LDHLGPRVCGLLGSLSLATGALLMAFENRLEFDALLLGYFCLALGGPFTYISSFQLSN AFPRRSGFILALLTGAFDASSALFLAYRIVYEKTDGAFGHRRFFLGYLLVPAAIALLQ MTLMPSQSYKTVGELVEEIEAPIHAVAEPAPYDRVDEETALLQEEERRHRADVIEGIQ NLLGSSKADAQTEREEHVNKLSGVWGVMHGYTAWEQIKSPWFILICLFTIIQMTRINF FVATVRSQYESLLGSHEGAVPLNNFFDVALPLGGILAVPFIGTVLDHTSTPTVLAALV FFATAIGVLGVIPRSPPGRLRQRHPVFGFETFGTVYGTVIALSGVLNFAQEGLDWLFE RRFGGDPVPVNVLLLLLGLAVGVTLVGFVCSKARLIARQRVPEGETP MYCTH_62332 MDVSAYQYRPPKPNYLPHNIEADDPIVEEYAVPLGKADDRENAI FFNKMKFAAQEYGIVRPKGYRVSYHATPEMEKHHFGQTHPMKPWRLTLTKSLVTSYGM PFAMDNYVTREATYEELNAFHSSDYLDYLSTATPEDQPQDIDNSDKEVKYNLGGSDCP LFHGLYNYCSMSAGCSLDAARKITNNQSDIAIAWGGGLHHAKKYEASGFCYINDIVLA ILQLLRIYPRVLYIDIDVHHGDGVEEAFYSTDRVMTVSFHKYHPETFFPGTGALEDNG PKSEHNPGAHHAINVPLQDGITDEQYENLFQSIIGPINERYRPSAIALQCGADSLAGD RLGRFNLRVQGHGACVRYCKSLGLPMIMFGGGGYTPRNVARAWAYETSIAIGADTRIP ETIPEHAPWREHFIHDTLFPTLEQSMNEPRHNRNSDKRLREIVAHVHEQLRFVSHAPS VQSTIIPPDLGPIRDDVEARLKEESEEKDGGLRKIQEEGLGQEGEF MYCTH_105786 MPRDDLSIDFVRKMPPAEPLDPALILDEFINRTQNLAEELRFLQ EEIADKDRQYDKLVREIEERDGRIQKWIKAHGSHHPNPKEEEYRATIRKNFELAEQLS NEKIALTQKLQQNLDKHTRQLDMQIKMLYDRGEPGFTDPDELPSLLRPSAANATNGTG GPRGANAANAISSALNNIPQARAANAQVRSAQTQQQVSASAPATPAASMIMNRQARES SAGPATKRVPRSNSGLGTLPATSSGLARHSSLGPGTPKGAQTAAGVQRAGSAGPRATS KATLAGGVRKAGTPSSAGGRKKGTPAAGAGVQKSGLSRVKRAAKNSPSSAAESELSDA ESASDDESDTRTGGRGTPAASLSRSASNQAAASLPTGGAGAGNRDSSLHNRTPSGTSS YPNGNNSNGADRNTAHPRSPHPGRGIKEEDVDMIDVEDDEAGDDRKYCSCQNVSFGNM VACDNDQCPYEWFHWGCVGLKSEPNGTWYCPECSEKLKRKGS MYCTH_2294959 MAAQGVKSALPTHLKPGTNDDTEFAKRHHGKTRSHMAFENTSTN IAAAQMRNALTNLAETVKDPEQKKLFETEMDNFFTLFRRYLNDKAKGNEVSWDRIAPP AEGQVVDYDDLPNSEAVQFLNKLAVLKLNGGLGTSMGCVGPKSVIEVRDGMSFLDMSV RQVEYLNRTYGANVPIVLMNSFNTDEDTAAIIKKYEGHGVDILTFNQSRYPRIYKDSL LPVPKSFDSPLHDWYPPGHGDVFESLYNSGTLDKLLERGIEIIFLSNADNLGAVVDLR ILQHMVESGAEYIMELTNKTKADVKGGTIIDYEGSVRLLEIAQVPKEHVNEFKSIKKF KYFNTNNIWMNLHAIKRVVENNELEMEIIPNGKTIPGDKKGESDISILQLETAVGAAI KHFRNAHGVNVPRRRFLPVKTCSDLMLVKSDLYTVKHGQLQMSANRFGDAPLIKLGSD FKKVSDFQKRIPSIPKIIELDHLTITGAVNLGRGVTLKGTVIIVATEGQTIDIPPGSI LENVVVQGSLRLLEH MYCTH_2294962 MGSTTQDLPYWQVNVPEHLRTAECPDFLRNISDKDRGIISTPDS EYQRDSWAVVQQKVAQNRLELFQRVPSDLRRYLAYTWKLRQDYGSVMNFILSERLGWT LPITPRGRPFEFDDDVKVLRNDWPYGIDERIVHLVVWTKFALEEDPETGDLTDAARAE IDAYVRKKFSRMRPDHVIWFKNWASLKSVKSVEHFHVMLFDPDMEFVNEITNGDVPLC DRE MYCTH_42937 MYRQVATALSFTSLVLGQQAGTLTEEIHPSLPIEVCTAPGSCTK EDTTVVLDANWRWTHVTDGYTNCYTGNAWNETACPDGVTCAANCAIDGADYEGTYGIT TPSDGALKLNFVTKNQNGQNVGSRVYLMKGDDKYRLFNLLNKEFTFDVDVSNLPCGLN GAVYFSEMDEDGGMSRFEGNKAGAKYGTGYCDSQCPQDIKFINGEANTEGWGGTDGNS GTGKYGTCCAEMDIWEANSDATAYTPHPCKVNEQTRCESEEECGAGDNRYAGLCDKDG CDFNSFRLGNKEFYGPGMTVDTSKPFTVVTQFITDDNTDTGALKEIRRFYVQDGTVIP NSQTVIEGVDPTNSITDEFCEQQKTAFGDTNYFKTVGGLSAMGKSLEKMVLVLSVWDD HAAAMNWLDSNYPTDGDPSKPGVARGRCDAEAGLPENVEAEHPDASVTYSNIKIGAIN STFTAA MYCTH_2294970 MREHRRRSPESTRRRRAERRRSREQLAAAAAAALAERAPVSSRS HRRARADSSSSSTSSTSSTSSSLMNISRKSRFGIRSFFASSSVKKVKKRRSFRRKNTS SSSVDSDLAYGTGYISRSSFERQQAKYQQRLQNQHQHQHQHQHQHQHQHQPPYHAQQD PQPSQHSHSHPPHHSQHPQHGPYPSQPGASPSHHPPEQDPLFGPDGRPALHRAQTDEE ILALGRRLSDLARAQNLRDLERAGKKRPSRLVAGAAALSEFNRQGSHGHASRGLSSSK PHARHEESDTEWESASDSDSDSESSSDVDSNLVYGPTPKFSDPSLPIDDRSQSRPTKA PVIISQPPPESIRPPHRKSSAVDPAMFGPVNSLRGYVDTPCGFRPGDYPPPSHSQTDL RAPPYEPIPQSESASIEARPMRNVYPVPTTDAARFEAAPSSSGVSVPLAGPAAPSTPT RRDKTGERDDDGALYSNRSEPVPIQAPKPRVPVSPRVLEDRRAAVEREQQAQQGSPRE RRSKRARDSDRRSAVETVVSGALSAVVGAAAGAALAGVVEDRRDKRRDERDFDRRDER RGCDDRHARPAPDWRSVADTAPVVPPSPAASATSNAIGQRELDELRAKEASLREERLR YEREAQRHREARERLEAEERRRQEELEGRRRQEELDARRLRDNPELADMYERRVREAR EIRYKEERRSRDEQRAARSEHERMARSAEKKRDREEKRKLESADYQEERSREREERRR LKGKDVVAAVDDDERGRSHASSKEVVPPPGPEERKPGGLEPEAAKPSREQRRAEREKT RRMLEEIQRQIELEKKRLAELDAGREPPPATERAEPGPSTSRNDGVDPFQYQVADDAF PTPAYSPPQRSITPVIYTVEREPEWAREEATKDDEPEEERLSRRCSFEREQREAQASA SETRHSAIPDEDGAVERARSRSREPPRPRDREPVRDPVQEEANRLYRESRISQRMAEE EMRSHTPSPTSSVVDKYEDTEDLAEDPIGRIVTPPEMKRPPPKSKYDGPNADVRIDNI ITPHDLHKFLAPPADASGLGPVMMPVFKSRDPSCERERPMLNLVLPTPRTSPSLEKMK ARQAAAQAAADDAPSEDEKRTEERKPHVIIDRHGQVVEVPEDYATGRAVETDSRDSSQ ERPAIRFKFAGRKKSAWGSLIAAAVAAAAVKSKGKKPGDAEKAVEDKGSDAAEADKDK PRDAEPVSERKPEETAAPEAHPSAEAVPESAAPAAVPEPAQVVPDEALPDTQPEPAAP NVSQEPSTPPRWSRVPYEFDDEPPQVGPKPSSPHSSSQMPGSFEEDIEFTATLAAGLQ SSGFNPDIVIQDPTYRRRDSPPGSDEPRYVPPSCETVTDLGMESSHQDGTAGGPDAPL LERVAPVEGSSAAEQSEKPGGEDDDTAPATEVVEPSAEAFVADADDEWSTRSLTESKK PESDHPGSFDSTTYSGPSSEVSVASSGSGKKRKSSRRKSTKDAYEIPEQGEPPDRPGD SFQWIDREVSSVVSDPTGKTNGGGGGHHDTKSVVSLPTNGTGEHREQPTKHNGHGEEE DSFLGNAGTFGAGAGYAGAVAVAAAAQLSRPNATQDSVEEEEVQPFRRARSISYSSQT VDPEIVQREIKPAIDPQYGDLLPLPPSEPGSPKFLNNLSAEEHSFPSLPDSDEESPPP PHLQQTRPRRATVAHTHARRRSAIETPKTPSRTAVPVQFRIGRGSVPSSPVFFRFSPM HSPVAPGNPDTPGSASPRRSRVNRPTSWDSTRDFKPLYLLEKTAAGSAAHGAAGPAQS EEYPELPPSEPSSRESPGPEFAEREDDLDYLGVGLRVAAGMGASAELLQALRIDTTGK GLDVGAGESGFGELLGSGDTTPRAAVPPPHKFDAPPRELSTEGENVHPTEEQQQQQQQ QLVKELETLPPLPDSPADSPTWSPPSPDATAQAPAAAPVFESLESLPALPDSSPASPR VRSLPPAPAPPVDDDGEPEHLLPLPESFLPTSPPLAPLPPTSARLPANEDLEKLPALP ESRSSSPVMAPRESSPVSPNLPPFGLATAEFVTVVESDNNQLPPLPESGTSSPLRPAL AALTGSELAGAVELGESRTAELTSAATQAVSWELDELPPLPESQTASPVSSKAFRTPT QVSADDGLESLPPLPRSRPLSPVQEAPTPVVEPLMRPATTPEHVEELPAAQGPGFGHD PKNLPPHPESRPSSPTEVELAPNDTVEPLYLLAPAESPIENAASTQEQGLVVDLEGLP PLPESRPSSPIEEETGSREVADLQSAIEEPVSTQNPGLATDLDELPPLPENRPESPAQ RDVPAVENVTSQLAPVASSDERKAEPNLEDLPPLPEPRPGTPEQPPASQAALEEGAST APATTQTDRAPIVEVFRPGFSQESTIQARDFAIMPSQDLPSSQVYQPPLTYEELKEKG FHKRIPSPLPTGSNDKQEDWDPAEKSRSNLLGPAAAAVAAATASGLVVAHMRHDDSTP GPQGLDYDRLQTPGYDKAESVSSFNEDASTVAASEAPTYLSGSTFYDAQHDTGKLEDS PPRPGTLAYLLSKRGQKSQVQEDDWSAVGSSSPSRKGKTRSLLEPEPTIGVQDKRAPG EASDVRSQEGPGQGSSISAVEAAKATGPSEYEPFSGARKRGKKLGSRKEVSWTEPAGE TQQPSHAGESLSEPVTFRSTVEPGMTAGEPKQHPTSEKSVSDPAGFTYRSDARESTPT LGKRGKKKKRDNGPDANLEGPGSADRIPDPVREPHPAATLHEVARGASPPQHVGPREL SGSEGDLPRSCDAVETSPAEPAAEEGPGSRPASSAAADAEAKEQGQRDRGLQEAHAAP AEPAKPKKSLWGPSFSMLPSIAGGVGSLFGRGKRNSAQAQAQTRDAERREVQGQLKAE LPRDTGLPTQENDQKQQYNTAVESMEMPKPPLRPPSVGESNSQIPDVGHATGVHEPPD SDKSGADTASCAPDTAVWIPNEHGPSPEISTPGAVDGVTPSDAARLEAVVVDSADRQR GGPEGEQPDKSAPRSPGQSQTFATSDETQHPTVDDAAVATDAGDQPTEAMGKKGKKQQ QQQRKDAPKDTPKGETTTTTTTPPQESTQKAATPPAPEPPAVHEAGQQDVVPGQHTNP EPTASTEPGSVTAHQAGDDDTSAEASGKKGKKSKSKKKKQAAAEPEADATAAPEPAVA EAAPEPSPSENQAPAESSEVVDSSSPSVEAPQDAAPPEPAHASKKSKKKKKKQAGQDG SEAPAEAAADHQGTLAPVEEPALVVDSPPVENASASASQGDSGSLPPEEVVEPSSTGS KKSKKKKKRGSQSPEETETRESPAQVPSPEAASPTTPADTPGEPDLQAPETTTEPAEA AVESTAAAAEPEVDTPKDSEAEKTVSFNIDEQPTSLGDNTIQEDAPSPIQDASDPSTT GQGKKEKKKKRQSVSFAEPLEEHLGSAKPRGEDDSKNDINNNNNRSRSSSSSNEKTED SKDSISELSTVSPQSGDSVDERPAQPETQIPSAHEPTEPLGSRSPDPSPENEALSNVV GQDAQQSATSDETQADTEVSEEKLPSEESAAVGAETNPPASSPKKSKESKKKRNSLSD PETGPAAMQQQTQPIPGEQGDNAERAPEAAAPEAAAPPEATESTTEPLPSGAASAEPA PITAALAAEQQQHEPLVDREPDLEPIASQLQDNKKDGLSTSRSAVDETQSSVQQDTAA QSSEASGVNIAAPPSPIETSDEAQPGHTVHEQPSSSSDPEPQPDSASQDMAREEDRSP YSLLNKTKRKRKKPKADAEPWPMGSPELPVAQPPEIPPSFESREFDRVFGTQLSTVEE VTEPPSECAESDGRSLMSNDDNRSVQRRRSVVSESSRTISSLGGSETTAGALADDESG EPRAYSLKRAAAKREKKDKTAKKKRKSSVWDEPWPSSEASGAEEFAEPLTEGEGEEKA IDEAQPVPPEGEGEGEGVVDEAQVPPVEGTEEVPPDVVPPEETPVEKEMPREDGSTDD VPPPSDSAVAPESETAAAQEEAAEQLAAEQPEKPPADNDAVPAALTEEQEPALRAETS QPPQEPEAQAEPEVAVGKKSKKGKKKKMASQTLESKPEPESESKPEPEPEPEPAIQAE EAAAAKAEPSPAEDAPAETNTQEPSPISAEQPAEQAEQAAEQPAEQVADEPAVEAPPP TKKSKKDKKKAKKAAKEQIEAEAQPSAEVPVPEETAPVPEEPVPAEESVPAPVEEPAP AEEPQVAQEPAQLEEPLPTNEPVPTEEPHAAEEHAAVPQPEQEATTQPEEETAKPSDA DAQAEAPVQPAAEPEEAPLSRKKSKKDKKKKKGAAVQQDSQPTSEAPVSVAEEPTPFA GEAAAAAEEPAPAAEGPTLAAEESLPTVEEPAPSSAEPTSPSQAEVQELAQEVSEPVV ETAREAQPVDVPTETLDAPAEEASPAQSKKSKKSKKKKKGAAHPEPEPEPAPEAPEAP AREPASPPSAELEPPAKETPEDTAETAQEGSERTAEPPAEAAQETPEPAVETAQEASE PALEPIAGAVQETPEPTVEPAQEPSEPTEGHAVEAAEETSAVGPAQEAPEPTAEGPAE AAQETSPPAAEPAQKDDAQPVVAPVEEAAAEQAPTKKGKKKKKGKGSKNVESGSASGT ATPAVEEPAAVTEPKPTVADPSQENTEHEPAAPKSVQEEPTATSDVVQESTEPQAVVH EPTQEPDVAAVVEVPAQPVEKAQQSPEQSQPEPEPAPKEPPTETVSGVPAGGKKNKKK KKGKGSKSLDNELPSGTATPPAEETTTPAEPTSAEPTTPVEEQPAPTAAPETTSAEEP QQAPEPTQASETVNVDEIPAQIPEEIPPRPQESQPQPESVQEEVAVAEEAQDTPAKGK KSKKKKKGKGAKAAAESEPASPADTTPAQETPAVVEEPSVAAGEPAPATEDSATATVE EPRAAAEEAAPATDEPAPATDEPAPATDEPAPATDEPAPATDEPAPATDEPAPVIEEA AVVVEEHTPVTEESGQGDQPAVPSETEAAPVVEATPPVPEPVRQETRPEEPQEAPASK KSKKKKKGKGSQVLEPQPEPEPAASPAPLEEQPVTVEESAPIAEEATAAITESAAGEP EVPNVPSAPVPDVPSSPVPEVPGEPPPEVPSEPAPEVPSEPTPEPPSDPVTERPASVP EQAPEETSALPTKKSKKDKKKKKGKGPQTPEPEPQADTTSAAPSEEQSPVEEAAPAAE EPVPTAEESTPVAGESAAVLETSAPLVEAPPPIVEEPSSAVQEPEVTVPMSAEEASPV TDASQQQESQLAEGTEPQTKKSKKKKGKKGKGKASEPEAEPVNEPKSEPAAEINPPSS EETVNVAEDAAPAPPSEERVVPTEEKTAPAEGPSAPAAAPEEVVPVSETTQPEAKAED EPVVVGGKNKKTKAKIAALMSIFEPGPAAKPAPTVKPRPALRDSLPSDKPAPADEPVP AEQLPPVVEEPVTAEQPAPVAEGVKPDVQESVAMDTPTPALEGVPAVHTDESSAAIQE QPEAVPEPTSTPEEPKPEPAQQEVPADQETPAPTKKSKKDKKKKGKGTKVPESEPSAP QAPTFAEESTPTEQPTTSQEPETEGPAEPSTEPVAAEKPAPPVVEEPAHAVVEEVKPA EPRLAAQPEPEQAPDVPSKKSKKKKKGKGSKTVEPETSGASTPAVVEESVPVPEEPTP AKLEVETPAEKPAETAELLRPEATEAVPSPDAGETIAASEAAEQSTSTATDEPSFPSE TSRDQQDGGLDLPTKPVESEAASEPVAPPLEEPVVPEEPTIQEPVPEPAPIEETSSEQ PAPVTVEEVAPTPEVSQDVQHEQSSESQTKKKKGKKGKKAAAEPETVSPPAPSSAPEP SPIAVEEPVPAQESPPLESQQPPVDEPTVETSPTVPAEAPAPGSLQSSQPEVVSDIPA KKKKKKKGKTASLEPAPEPVSDPAPPATEEVVAVAEEPPTSTGEDAKPAPEPSQPLDE PTIEQPTSSVPVEEPHSTPEAPPESAAGEEPSQPSNESEAPASEVPVREPPANEEPVE DTTRDAVRTSAEAQTEQVSDQPSKKSKKKKKKGSKASDSEPASGTLTPAQEPEVQEAA PVVEQPASIQETVGTSEEVQTTSGDIEQGPAPAETEPAQAAEPTTLAEDPAPVVPDVN EGTEFQKAVSDSLEATQPETPANESSAVSAKQSKKAKKKKKGKGKDAEPEPEKPSAEQ LSSSVPEPETTEPANTPAADHDAGAPEPILVEEAQGAQDQDTTRDLPENKQPEGQPEV QKEVQPEVQSEVQPEVQLEAQPEVQPEAQPEVQPEVQSEVQPEVQPEVQLEAQPEVQP EAQPEVQPEVQSEVQPEAQPEVQPEVQSEVQPEVQPEVQPGEAHETVSSEAQPQVAQG EPITKSKKDKKKKKGKNKIAEPQPELEPTNPSVPEEPTPEPTDDAIPLEPAVAREELP PADAPETPQEVPSGIPLESQPEEATETAQPDMQPAEVNEPAQADTQPEEAQVSPAKKS KKDKKKKGKNKTAATEPEPQPESEPLSSPPPDALDSPDPNAPASVSVEDTTSAQVEEH IEEPAPDVSGSLEQRAPQEMPQDAAEDAPVAPTKKSKKDKKKKKGKGPESTQPEPEME LAVEPTPETLAEPLPEPAPETAPEPASESTVIPEAEAPLPTTVEDPTVAADKDAAPSA QEPLQQPIAEASHVPQQQQPEQIPEEESQDLLAAPAKKKGKKDKKKNKAAALVSMPEF ETTSQPSTDSSRDVVRDVVPSPEPAPEPEPTSAPEPAVAEPAESQIPVAVESEEVPAS EAQQPAQESSSGVADAQSKELDTAVEQQPQPEEAQDAPIKKGKKGKKGKKNKAAEREP IPEPAPELAAEPTPEPAAELVAEATPETAAESAPEPATEPAAEPTPEPATETAAEPAP EPAAESAPELATEPAAEPTVDATSEPTSQHEPAAEPPLPDSAVEPEQAGTESQELAVS VPAVQEEATQEQTGEAITEPSGVQPQDLGATPAEQPEEALGVSVKKGKKKKKSKNKTA SPESEPDTAPMSGPVSASASEFVPDASPTGDVQEHIKPETDASLAAVAPDQEPTSHEV SQEPTPEGTGTHSGEADSSSVPQQQAEETQDAPVKKGKKDKKKKSKNKVAVPESELEA VAAPAPALESTPEVMAESEPKGTPDQPISGEDAPNPSVSVEENISADGPAQETTTEIL DAQTRDLGSAAEPQPQPEETASVPAKKSKKDKKKKGGKGKATAPEPEPAAEASPIVEA PATETSAPVVPEPETLTLRGVTDEPAVEAATEAAPMPEPESTTEPVVEVITEPGTDTV VEVAAPASEVVIEVPTPTEPAPETVAEAAPESSSEPVVESVAGPPAGPVPEPITEPAT EPVTEPIAEAGQEAQVEPEVAPAKKAKKDNKRKGSKQLLSEPAPEAEALSTCQDEIVE APTEEVEAVQETCIPDAPTEEAIQGESKADDNLSTESAKKSKKGKKKGKQAGLEPETE VPAAEAEPVLDVHEPRDVSLDDEEAVYGGPSREDGETSPIKETELGDVEPGEASSVLP GKKPKKKKKKGKKALEPLMGSEDVAGTAAEEASTAPGPLQDEAAPLAQEAPAQVDEAR GPETVQTEETPETSVQVRKGPAPEGVQTEEAPVEEVEATPAQVESDPVPETVQVEETP VQVEKAPIPEAVQVEEAPGPQEEGQQIKEIPPSSESVQVDDESAPMPEPVQTEEPTAR ELVVEESTPGAADGAAAAEAAKEEEVVEFTGKKSEKDKKKKKKGKKTDSAITSGSETP QSQEEPSIADVGPAAALGTAITAGVALAGDEAPTPKEAAEDDSGLVGRESRKDEKEEE EEEEEEGGSPVATTGDEATPEASWSKQQVQTETEKQAEVAEGGPTATIEETGADMPVD KMPSSRRQTPGVEKVEMQPAVSRAGRSPSLGPATIGLGLITNPPEPYPEDGPSPKLLS GVHQAPFSLDAQLEAAQQADRETGPSREGRRMTHEPPFEYDTKRKKVKTEELEATEIS EPVISAREVEACLGEDKGSGEEVKRDVVVVEEVEDEGGVSALPPGAPLPEGGAPLRPA EKYGDEQERQEGEQGSTGVVVTEQEKQAGEEVLGVEHATMEVDQREQKEMGVEQEEHG EPAEPKAERSTEERADDEEASMVVESLSGPGLGRMDSETIPRSLREPSAAGELYEEEE KKKGKERRERTASPEPTMQRAFSFPDDIADEEVFRTRKMEEEEAEDKKVDEAEAAAAA ADEPVRLPPPSSFAEFMRSQTSLPPVQEELSDSEPRERETEQEQQQGERTKARATTWQ HKRGSLEVPDAPEPQRDSGFSSGSGHLSQPLGGGHEALRDSGVQGRSASPRNSGEREI GDWDGETREVGRRIAGGSSSSPSPSPPTPPPTTTLPTTTMTTMTTTTTMTTMSGQRGS GQRGSGQRGGQRGFGAVVGPDTPTPRLHEPSPPPQTPEPEKLLVSKKRASVASLRSSP TDHNTGQSPRPADPAARRVPSNTSVARLRTPELPASRPDSPGSAIGLASRRGTTPPLQ LRRMDKRASGDLRAVSLSQSQSQSQSQSQSQSQSQRDSRVWVLAAADQHQQQQLQSSP LPTTTAASAAAAAAAASSTTSASRAAAYSTTPVANEGRVRAKDMTDVYDGYGEGRIGS PRSPTRPHSMRRRQSMQVLELEARVEQLLAENRMLAEARAQAESSHSHRTATALAERE AEIESLKRMLKEANEVIDRLKQTNEGLRSSTSAIAVKHREDLRRAEADHAQTARELDS ARASAAQLARAAQEKDAEIARLRAQLDASTAQIRELQQQILDQARPVDDPDFLDPHDI DYFDHRCQQLFAHVQQWVLRFSKFSDMRACRLTSELSDEKLIDRLDNAVLDGSNVDTY LADRVRRRDIFMSVTMAMIWEFVFTRYLFGMDREQRQKLKHLERQLVDVGPPHAVRQW RAVTLTLLSRRPSFKKQRDQDTEAVVQAILDTLSKVLPPPSNLEDQIQSQLRRVVREA VGLAIEMRCQRAEYMMLPPLQPEYDDTGELTETLSFNAALMNEASGTPGQTNEELEAA NATVRIVLFPLVLRKGDDLGKGEDEIVVTPAQVLVATSHTSRRGSPASSAAAGKGVGR MLTPVSDAGGASLEARSLAGDKSPKGSVKGGAGLHSDLSMEGGPGGYL MYCTH_2294977 MFFDTSHNSRRGVLANIYAAFAETATKMWAYARCMTRRPGTAIV IGTCAATTALSNKGRGREEKSRLTLLFT MYCTH_2294980 MDHGSPQDEPLSRFGSSSDSLDHLGNSDRHDRDHNHDQSHHSPR STRKQQQLQYSDRLADLRLHYVPDPHSSSADVDAPEHDPQAPDDVVDPADFYRSYQSV QQRGTAERAGSAGNVSSPVKDPMAPAVISSPRQPPSLRSNGNGTTPKHPAVPVSHRNA LRPGQRSISNPVDSRSGNLGTGGTRPAATADGRRGIAGSTPPSVKDLKKKFDQPASQS ASGTRKTIPRASTRDTASTGAIGKSPGAGSGATSQGAPRTWATRDTGRDPGKGSGTRS AQRPKPAAEDQGSGTSQSFASRISRPRPVGSATAKPSKSTAQSAPHRQNGLLFGEILP EQHDSVTPGFGIESARPRTSESSISDPVRRQMRTLSDPDIEPPSPTDWYRAVSPPTAQ QTTDRHASNTPRTQHSRAHSDLAGSKLTLARPDYGAGRPDAEFPISPTSPTSPTSRLP ILARRVNDASSHGSPVSTRSNSPSTFGKHSASSGRTSRQHGPLASRARTPTTSSAPRL SSRTSSSTRKPPPSNLSTTQSSSRLNAYVSIPPPKLSPTLRSSRPRQSVATATTAASR MRAAERGSSPLRQPQRPASRTEDLTSRRPKVSVGAIDFAQRRETIKLAYSKSIRETQA REARQAAAEKRKRELAAVAEAKAEAEAATSTATAAANAAADAAPTPPKTAGGHREATA EQKVPEIELQQAEKGTSSTAQAPTEEFHARPDSLRLEIPGSFPGSGSPLGEPDGVPFS AVSMTSAVTEFDTEVQTDPPRQEIPKSRSTDDDAPEGAAAPSQAETAPQPGTTEQLPE QTVAAPALHRRASYRSPFDDEGDEQDSISIKISLDPSSLTKPQASPEVTPTRATFESQ PETPPAPPPVEEEDDEYVPRPYTFSDNYKTTVTILGPEPDFRPSHKEPPRATTSQTGV SQEDEPPTTSAPDIVVPEASRMGGACFGEPQVEIENLDRIEDFYTGPRLRDNIAALRD PTLASSDPGTPFDARPPSAECRRLSDASHTLAVPGLLAPGNRSSQHSAWTDFSFGSED RDAGHPSSASQLHRAGLHDDGTSFRAVPSTGDLSTCASSVGELVQSPESPALERPGTS SFSTIPELAGGQQRLPEPANAGTFTPLSNGSDTGGVAPLPERDPPRPPPSERGYERDG AFDLAQSRPGSYAYSHEGNLPEPVSSPQSLSQLRLDRDPSRVSVGEAPYDGQIPLTEK EKEEQQRLRQRQLVIRELIDTEDAFVRDMTVVEEIYRGTAEACPNLDSKTVKLIFRNT DEIIAFHTALLAEFKEGAASVYTPKGKRSPQPMPDGKESDPTAANYFSFSANRPEKDD EKDRLTAIGSVFIKNIEQLKAVHEVYLRSSDSSSKRLVQIQEDETVMLWLNECNEVAK ELTSAWNLDSLLIKPMQRITKYPDIITHLLKYTPEDHPDRESLINARAQVIGAIDEIN KTKKNFELVGQIVSNRKRKESDVRTGIARAFGKRVDKLQVSAAAKPAEDSEYQRLQQQ FGDDYLRLQVVLRDVEYYTRNVATYVHEFLQYLSSMELVMRLQPSRDYAHLESKWVQF NVSMRDIEKIALERHVSAVHDSTILYLIFFFIC MYCTH_113912 MHQPPYPPSQAQPLPSDMHSRSHQLPYPPPQVPQLPSMPPPNTQ YQDSVPMTGPGALQPGKPGDAPQPPSSQSQERAPLTPEQRRQQELNLKPYSSEDDQGR VYSLDVVQQPQRARMCGFGDKDRRPITPPPCVRLIVRDAKTGKEIDCNEIEHTMYVLN VDLWSEDALKEVNLVRHTTATPSISSTSPASYAQIEQASPAYSHMVPPGRDMAYSQQM AYHPPPGQPVSPYGMQQSYGQGFVSPNGASYQPPNQYYPQADLQGLGQLVTSPYGPPR VYDPQYGGMPQRMSISGNPPSGMFTRNLIGSLAASAFRLQDTQDKIGIWFILQDLSVR TEGCFRLRFSFVNVGAPGSSGNGSINVGKAPVLASVFSDVFQVYSAKKFPGVCESTAL SKCFASQGIKIPIRKDNPNKNSNQDDDDYD MYCTH_2294987 MASHFQSSGRGGAGNIVDSSKSPQLKPEDLQTPTLKTSMVTTGR GGTGNFAAGLDAEEKRRRQDVEPVVRRDSHGAMHIGRGGTGNVVKTGGAEDGAPLARM RSPAPPAADKDKDNKDKEKTATGPPGSTKENGRGDDDDDDDDDKDVAVPAAGSQPASE EIGWAEKGKNFLLGKK MYCTH_2294991 MADQFPSLKNDLILRAARGEKVERPPIWVMRQAGRYLPEYHEAK GGRDFFECCRDPEVASTLTLQPIDRYDGLLDASIIFSDILVIPQAMGMVVEMVDKKGP HFPNPLRSPDDGQYAELLKRDVDVAKELDYVYKAITLTRKKLQGRVPLFGFCGAPWTL FCYMVEGGGSKIFKETKSWIYKYPEETKALLQKISKLCVEYLALQVKAGAQLIQVFDS WAGELSPSSFKKFSQPYLEYIARHLPSRLKEMGLERVPMVVFPKGAWYALDAACDMGY DIVGLDWLHDPAQAVKTVGDRPIVLQGNADPGVLYGSHAAITEAVKEMVEGFDWANRR KGWIVNLGHGITPFVNPDDLRFFLEEIHRLTKTEGQ MYCTH_2294994 MTPPASQCRRALQRQIVPSKDSVWITDGLLASAFERYCRVSRTW NRKASNVPGPLESQRRLGRRRMGDASTWHCPPTPPSWAFLVPLNLTEWTWKPPTLASA RENNRPRHESPAPASASASARVDPASLLPQWLRGPVPRQLAESAVDSTSAELSDPSQS SSIVSPRPAPYAALLGGFRWAAAHADDDRLASYTGKLCSQLRQRIMLGELPPDDISLL AKEMWDTLDSRLQGSALGHRLSLSFCRAIVSGLTSSKVFSPALMDAQFWNALLFQIAK LPASDTLCNLFVKVMTAMPASHRPRVSQGVLAVLGCLFSAWNRATAAVGGCDTRRLLD IGILPELYEKQRKISALPPCLRQAITISDVLQGATPDETKQLLSAAHRVVLREAAAWK MQNDGRALRYSWLYMLARNPHVNQDFLFDAAASLCGESLKHVQPLSVVEVSSLLLTQW ASRGYLRAPKDVYRAYRRHRGKRDEAALAALFLAIFSRGDGETRKGLYLSAWKLLAKL RQKDYVIQSLTFDALTGKLPVRMLEDLACTSGDHIMAIRLRDLWSNHVVTDDRQPQWY PGVFDRYAEDIVRDPRIPAKEIWRVLDIGKLERQGVTTKQRMLRHRGTFGERRAVVVE KASRAFMNAPHLSNRAALRHVSRSFAFLKAVRGKVPDFIIQDLYRLVTKDLWENKPGR TKRLLWFLRIIERRHGLEFAWSCRLALRRWRARLMQKLVSHRGGRPF MYCTH_98955 MNAHCWFHPAHSTALRRDQGAHGTTPSQHTVSMVQHPGGVRSPE VIYSASSELQRHSLATAVTSAHTTSPIPVMSGGGEAGRSDGQTSEPNHDEEDVGGLGL SSIIAPASQKPIRRRMRMITSCLECRRRKLKCAKTHPCLNCKKFQRECVYLGPNLDEA SQQRLTEIKEKVGCLERQLERDVAKGATARRNGNNSIKGPDLHNERFVADDVDDDLSE ERDLQITPMVTLDLTYDDCSDGNGTDDLIDLGVRVGKMRITERIGGLNRPRISEEIQA GISGTQSSFYGAPGSFASDEPQDPAELPDFLKPGESYLAPSSGFMFGQFTESPALLEL LPPRELGHRLMRRYFEAVHPIARCVHRPSLEAIYASFWNDVGHYIEPRASVQAIVFAA WFNAAVSADDTFCRDYKCTRAQLVQHMKIATETALSKAGLLSTTRFETLQGFVMYLLC FLDIRTCEAQGPKPAIRREDYDTKMPVNCDEDQLTLHSTAWPEPAEAWTPVLLSIMRF EINEMMRNIWTDRRKLEIGKTTLMAMITRVENFRKRMLEKYSRMLDDKVPDQKYAKLV VELLLFRLHVMVLHPFHSNTANPLPPKLDGLLVTSGILIIEIAIRLESNPMFRGWAWY LGAYQQYQIALLLATEIYYRPNRREAERIWPCLDWVFRLDPNAPRQQKIIQILTEIAS KTSVYMSLRKVRAPMSINRAVPGKQAVKESPPSQLAEPLPPPSQSKKLSFHQPDAGVI SDLKAEYATCAPPLPSAGAPVTPSLPGTADLLATPFLPPSSSSMGIMIPPQQQQQQQQ EYPQYQHHHQQQQQVKLPPQTHMVFAGVTNGEALWSLPPQLNGSGSPEIGSDGGSIAG QPQLHGTMAAPTSGLPQPPPIMNMIQELDWEALHQMFPMDPQTGELSFATFVDSGTGS TGQW MYCTH_2294996 MAANLVSPGHVASGSDQQPFNLGVYYQKQYRAAFRAALEAGEVR PLVIPWSFVGSFFLPLLYLSIPHVNRPWLYHMRWLVAAAVIYLNVRLLQTTSAINEAV AYATGLLAAWGTINALRLLIFTRPQWDAARVERRPRRPGVGLKEGMEKQTAMVSPDES VGTSLAHSEYFWQPFPATGSLLARLGWTADLITAFRGAGWNCSISSIPHPPFPPKKAL EGEPVRLDLVPLASRTGTARSSTYASFLRSRLLEFSLSYLTIDLLTTTIRRDPYFVLG PDYRLHSYPLPAFYSRLPFPQLTISFLLRSLPALAGIIAGLHLYYSFLQLTIVFPLRS LFGVRAELWQHPSLFGGFVPSVLDRGLAGFWGGWWHQTFRAGFVAPARWLLRQHGRVH HHHHHHHHHHRHHNQSHTSIRENKTARMLLKSFLAFTLSGLVHSAGGHTSVPETTRFW TPIVFFVLQPVGIILQAAAASLAKRLASSVLARSEATAAAGHGAPKKRIPRWLCRTAN LLFTTLWLHLTAWGLIDDMSRAGVWLFEPVPVSPFRMMGFGAPGNTDWWRWDGVGYGL GWYTVRGGRWWESGVTL MYCTH_2294998 MAGLDGQANAGYNARIESFRDDEYPMLNGSIYLDHAGTTLYPKS LMEDFARVMTSALLGNPHSASASSQLSTARIEDIRLRVLRFFNADPAAFDLVFVANAT AGIKLVAEALRAAPCGFNYAYHQASHTSLVGVREEAHDSVCLDDDKVDKWLSGDCPFG NDRDDRPVLFAYPAQSNMNGQRYPLSWAARVRCAGRKTYTLLDAAALVCSAPLDLSQA DTAPDFTVLSFYKIFGFPDLGALIVRREAEEAFNSRKYFGGGTVDMVVCLKEQWHAPK TQFLHERLEDGTLPIHNIIALDPALDVHKRLFGSMRDVASHTSFLAKRLYRGLRSLRH GNGKPVCVLYSCDPESVGDGLGSGPVIAFNIRDGLGSWVSLAEVEKLASLKGFHIRTG GVCNPGGIASALGLEPWEMKQNFSSGFRCGTDNDIMAGNPTGIIRASLGAMSTIADVD SFVAFVAEFYRDTSPILAPPQLETLPSGTRLQIHSITIYPIKSCGGFRIPPGVEWEVR PEGLAWDREWCLVHQGTGQALNQKRHPKMALIRPSLDFEKGQLRVIYAGHTSSHVSRE VSIPLSKIPSLFSASFSSSRLPRVCGEEIQAQTYSSPIINRFFSDLLEVPCLLARFPA GGQGKSMRHSKAHLQKHQLEQPPPTTGPGLPGSFPPSPPDSDVEKAVSRRILLSNESP ILAITLPSVDALNREIQAAKPGTKEISAAVFRANIVIAPAAPDTSITPYAEDSWSGVK IGQQQLMFEMLGACRRCHMVCINQETAEKSEEPFVTLSKTRRFDGKVFFGVHMCYSEG QGRKSPMVRVGDVVVPEWRREE MYCTH_2294999 MATTTLGKRTRSSKQEEPPLSLDYSSKRPRRTPRKLSGKEDTSI LDKENQENEQPESGSQGDAEPTPTKCHSRRDSVVSGTRSSHVGPVTPSTPRHYDVYAR STTTPRHRVMSVGRLSRRMTPQTPLTPSTIQTVYHQARQLFSRSADPGQLIGRDDERA QLHKFLERCTSSRPGGCLYVSGPPGTGKSAMVNRITEEVASSSETIRKACINCMSIKS SKDLYIALLDQLCGDGDMAENDVAESLQKLFFNKKGTDVFLVVLDEVDHILTLDSQSL YRMFEWSLQPTSRLTMVGIANALDLTDRFLPRLKSRNLKPELLPFLPYTAPQIKRIIT ERLRTLAPEGSAADFIPFFHPAAIELCSRKVSSQTGDLRRAFEICRRALDLVESETRL KHENEIKDQLLQQSPSRKILGENRNLASGVQSPGAQQLQRSLQHLTATTAPRVTIAHL NKVTAAAFSNGPSQRLKTLNLQQKAALCSLMAIEKRNRSAQAAAAAVQTPSSATPTTP SSRTRPVATAPTVKTLYDSYCTLCRQDSLLHPLSSSEFREVISSLETLSLITPVDGKT GSFVGLQGGSVVGATTPGTPKRTRRKKDVFFGGFGGTGIGGGGLTADEQRVASCVGER EMEAAVEGLGAGILRGILSGEALD MYCTH_76126 MRRFATRAASASATTGRLAQLSSSPPRSAFLASSLRMASSGKSA SATAVRRLHATAQHLRPAAAPVGLASTATNYPTTHDKIAEVQDTPYFIDNKFLQSKTT EFIDLHDPATNNLVTRVPQNTDEELKAAVASAQKAFETWRTTSVLHRQQIMFRFVSLI RENWDRLAASITLEQGKTFADAKGDVLRGLQVAEAACGAPELLKGEVLEVAKDMETRT YREPLGVVAAICPFNFPAMIPLWCIPIATVTGNTLILKPSERDPGAAMILAELCQKAG FPDGVVNIVHGAHRTVNFILDEPAIKAISFVGGNKAGEYIYARGSANGKRVQANLGAK NHAAVLPDCNKNHFLNAVVGAAFGAAGQRCMALSTLVMVGETKEWLPELAERAKALSV NGGFEEGADLGPVITPQSKARIESLIASAEEEGATILLDGRGYKPPKYPNGNWVAPTI ITNVTPQMKCYREEIFGPVLVCLNVDTLDEAIDLINANEYGNGVAIFTRSGPTAESFR RRIEAGQVGINVPIPVPLPMFSFTGNKKSVAGGGASTFYGRPGVNFYTQLKTVTTLWS GSDAISKKADVAMPTHS MYCTH_2295005 MKFNVAAAAASAAFLVGGVSADDQKVLKDETSSVAESATKSAPE VPTFTPTKLKAPFLEQFTDDWEERWKPSHAKKDTKGSEEEWAYVGEWAVEEPVVYKGM EGDKGLVVKNAAAHHAISAKFPKKIDPKGKTLVVQYEVKLQGGLECGGAYLKLLRDTK ALHQDEFSNATPYVIMFGPDKCGHTNKVHFIFNHKNPKTGEYEEKHLSGAPPAKIVKT SELYTLIVHPNNTFIIQQNGEKVKEGSLLEDFSPAVNPPKEIDDPKDKKPDDWVDEAR IPDPDAKKPDDWDEDAPYEIVDEDATQPEDWLVDEPLTIPDPEAQKPEDWDDEEDGDW IAPTIPNPKCAEVSGCGPWTKPMKKNPAYKGKWTPPYIDNPAYKGPWAPRKIKNPDYF EDKHPANFEPMGAIGFEIWTMQNNILFDNIYVGHSVEDAKKFAEETFFKKHPVEKALE EADKPKVEDKPKSPSDLKFLDDPKTYIKEKLDLFLTIAKKDPLEAIKFVPEVAGGLAA VFVTALALIFGLIGLGSSTAPAAKKVAADAKEKGKEAKDKAASATATGADNAKEATKR TTRSQS MYCTH_2295009 MTPPSTGGQAAENNDHLVQSDNPDHPANLIPSLCAKFWTLGWVT GTGGGASIRDSDLVYIAPSGVQKELMKPSDIYVLSLAAQAQSLDRRNRVYLRSPPNYK PSQCTPLFLAAFTKRRAGCCIHTHSHWAVLVTLLLEKEGPGKDREFRINNIEQIKGFG RGFEKTGNLGYHDTLVVPVIENTAHEEDLTEFLEEAMDKYPDTYAVLVRRHGVYVWGE NVHKAKTQCESLDYLFQLAVEMKRLDLPWITEIEPVKPRRP MYCTH_2141513 MRVASILLAAGLAAFVNAQSTTSGGNAPSTTQDAAQAAASSAQA EVLRCLEACKAGDVDCQSHCITVPSPNDAQVNATNTCVAACPQGKGSEADTEAYRQCV GRCIGENYYTSSAGTPRPTGSTGGGSSSGNGNSGSDNDNDNDNDNDNGGDNDSDSNSP SGTSGNGASRTSTGSAAATSSGAAAMIGASSGVIGAVGFMAAVLAL MYCTH_2295015 MDIDDILREVDPTSHTVPQEKRDLQELTRAWIAERSAPELLPWP ADGLFERINDNIKRQIEKVEEMTGDMDPKTNFALIVIQTELERYRYLVRSYLRARIAK IDRHTLHYLSTDDLRARLSEMELAYATRHQALLHNHYLSSFLSSFPPNLQNLNDASAG ISMIETPDLESAVFIRVLKNTLVQGRGVDTDEAVDAEESDIMILRWADAKPLVEAGSA ELV MYCTH_2295017 MGGGGKGPETYTENGRTWVNEIMTWSSRREGLVIQRAPPRLRSY KLRLARQRQSRSVTTPPPSLPGPRNSMSLLTSLRQEEPPPEAEYMFSPKHRH MYCTH_2295019 MGFSKKPTDNRTADSTPASASAPEDPSSNSSGSFFSRLTLPLRP RTRYIADFHIRPAEPHRNYGAGDQVKGAVVLTVVKPVRITHLVVSLHGYMRVYKGPNV PANEPIIDPAEPLSTSSRGSRQKHHGHGYVRLFQDEQTLSGDGRLDPGKYEFHFNLLF PSSGLPSSIDFERGTISYMITATLTRPTSIGSKTSPKTSCERKVYLVEQLDIGNLAPP RPRTIYLEPISKRSKRKRQPVVGEKCSAPPEPPAPVSDPDSARANENSTEGSLSVIGD DLEQDATSNSTNPRNLQSDVRSVSSESAVSGCTAQSRTGETNTFVPTAPPMAGKKGPT VKERTITATIELPKGGCLRGDVVPIKIFVQHIRRITSLHGVIVTLYRLGRIDSSPPSL SKDLPEGKARRLEKEDYYPRSKTGLGGLSLTSAGSCSVFRKDLSQAFAPLIIDPDTLT ASLTASVRVPEDVFPTIKGAPYDMISFKYHVEVIVDLGGKLASQLHAIKGSGTRMSPV AGPLGVGGNPYEGTHAAMTTWGTNIIDTDSLRRQKGVICVVFEVVVGTADSSRLRAKA PMKPAPSVHSVSVQEAEVHDRDTHGKQPSPADAGLDSSYPPEDRAQDYVPSPHAPPHQ PYPYWDAVSPQPPPVTPYIPPPDIPDEASLTEKERIRLAEQRLLPSQPPEASVASSST SHFVHGENIYDADDQFPTPMEGPSHASHATTTPNLTQQHLFGAPSAPTLEDLSPAPEG AHATEDKQELERRRLLAEASAPPEFPEDYDIGGSGGGGAAAGPSAPSAPDLQPSAPIL EDEDSYGPHYAYGSAAAAAAAETAPAPIHGHVAQPEEPLPKYER MYCTH_2295020 MRDITELPHDLFLLVIWYLPPQTCICCRAVSRRWHAAFTSPDIA LLLLRWNFPRCRELRLAAAAAAPLSTLSASVPRNLRAELANVAEQPRNWSSTFAEVAR RYFHLNKGRPRVVEKLELAQSSRENGSAFSFRCVAPWNRFLRLNDKVCNFHYPDPVWW YSQEDGLLVYPAAIDAVPEDWDGYIYHLVDLATAYRFHVPFDTRSKHIRRVRLAHGVL IFEWAEALPYHQLNDREVVHRHFVTAFDVIREPSRTASGAPWTVKFRSEWKLHFLGLP LNQSDRFFSTHTDTHYAVYFWQPNRSLYQDDPIEQLAVWDISSPSPYRPSEDPKGDKR PVANRTPSLPTGLWNGHHSAGTSSIYGLTDSSVDMKPSRRASLAEATAGPQVIRRMAW RELDFYGLRQRATPRLRSLALDSQSVYVIEEEHRWAAGQHSSLTPPRVHLVRSTGIPV IPAPTPSAQKPYPIAARSTSDLNAAAGQDNLEPSTLDNIIGGPVHGPIWVDSCGANGD VNMNFCWRVADANGTDRPRATSGGAWLRPTSDHGQEDPSDQLHPRAIENPVGWPWSLG ETVSSKPTFASESNRWPGWAPCWRHEDFPYLTVTEMVDFAAGVRVAARRCFMLETLSA HVKPTVTFEATGPSATDQGNGDAGSATRRSSSGGAVPARSNEVYFPDHMWSELVAKGH IAGDERWIVGEDEQGRITVVRF MYCTH_2295025 MRLADPLLQRGVRVYDIAFWRSTADEPLHRLGREIHYPPVIDVL DPYLLLVHQGMVESLFIEDLKKRGVEVRRNTAFDSYSVCENKAGPLQVNCRTNVTQER RSLLTQYLVGCDGAHSKVRKSIPEAKPVGLSQPMVWGVLDGELVTDFPDIWSKTLVYS QEHGSILIIPRERNMTRFYIELKTSPRADKSQFGQEFVMQRAKEIMAPFEVGWKYIEW FGRYQIGQRVASRFCDSHRRAFLAGDASHTHSPKSAQGMNTSMHDAWNLCWKLNLAVR GLAKPALLESYEQERRKIALDLVNFDYEHANQIAGGDAVALAENFRTNVRFISGIGAE YGENAINMPASQSWVMGEAKPGCLLPPAKVTRYLDSNPVDIQLDIPMLGQFRVYLFMW DVHQSRIFLETFCQAIASSKSLISQLSAAASISYAKQPRAPAPEDIYLRPERYTAVSH LFTFALITTMPKADIEITDLPPLLQDSRWTFYLDNVPELDTRGSLCTNKWLGSLGPGE VAIVNVRPDGYVGSIGRWDSSIDESGEEAAQWMDDYYSRFLQVPASVQV MYCTH_43573 MATARALSRAVAPALSPKHLLRQRLLTSRRFTTSQTRPHQDALK SILKISPEVTAALAANRPVVALESTIYTHGALSDDLNLEAIVRSHGAVPAVCGILAGV PTVGLSRAEVETMVREGAKKASRRDLAFLNARGGILGEKGGPHGGTTISGTMVLARLA GIRVFGTGGLGGVHRGGESSMDISADLTELGRTRVAVVSSGCKGFLDIPRTLEYLETQ GVLVSTFADGKTGGVDFPAFWARDSGVPSPSTVSDEREAAAVILAQERLGIESGLLFA NPIPEEFAIPRKEMEEAIETAVREADEKGFTGNANTPYVLGRIRELTRGRSVPANKAL VQANVARAAKIAVELAKLMDGSPVTPVIKTSSSPATREQGNVAAAHKPEPVDVLVAGS VALDLSCDYVGGSGAAGGSSKAVSPALHTSNPSTISQSVGGVGHNVALAAHRVISGEA KVRLYSMVGDDIAGSTILSTLQGSGLDTSCVRRLGREQHPSARTAQYVAVNDADKNLV MAMADMDIFSAHSYPDDWSATVAAAKPKWLVVDANWSEAGIRAWLRAGADHKARIAFE PVSAAKSRRLFAPVPSSQKAAAPSVSGSASPPQRPLGVYPHASVDLCTPNQYELLAMY EAARQNGYLEHDQPWFEVLDAFGIVRGARDRFVDIASADIADAGIPVQSVNLLPYIPT IVTKLGARGALLTAVLGRDDPRLRDPAHARFVVSRCLNDHPHVGGVYMRLFPAAERVS DPASVNGIGDTFAGVLVAGLAMGGRLEEGLVDVAQRAAVMTLRSRESVSEEVARLRGD LRRAVEASLR MYCTH_2295033 MTTFFPHTIDFIEVALDVSANGRLQLPPYPEDSRSMIYNISIFM SSYETGRNFTITNGTASANNASLGDIMFQEPGSTVKHVKWTWPDCLVGDGGEEQGLGD TDRGVYNISIRQSFRLNGEDHYTVFDLPISVTNSIPASDERPSCDALDNPLLDPEQIL ESADASVPVMFAPGDATSVETTANGAASRKGGIAWLGLAGLVGALVI MYCTH_2295034 MSRRRQNQQDELFSDEGSFANFDDEVLSSSDDDDDDDDEDDLAQ KRRAALLDKDSDEEELERFVLGSKETFRQQLFRDDFLPAVTDAKALVKTAAEDEDATG HEHVSDSMLFTLDTGADSDDGLEVAPPAAAQQAPQAEEGEEAPAWVDSDDERLTVSLA GATRLRKLRTTEAEDIVSGTEYAKRLRQQYLRLYPLPEWAKDATAGPKRRRRRSSAGS DSLSDYSDMEVDSDGESIEAPLPLDAFLRDANSFAAPTSSRDGSSRKRRKLRPETIDI QRTRDIPDAHKAAVSSLAFHPRRPILLSSSTSSVMYLHHIDPSAHPTPNPALTSVQVK RTDLRRAAFVGPDGDEVVFAGRRRYFHSWNLASGVVNKVSKIQGHQKEHRTMERFRAS PCGRYLALAASDKKGGGMLNIVSVATMQWVAQARVDGRGGVADFAWWSDGQGLTIAGK DGQVTEWSMATRRTVGIWRDEGSIGGTVLALGGRNGPAEIGGDRWVAVGSNSGVLNIY DRNELVVKHKSSSSSSTTGAGTGPAANNKQQQQQQQQQGTGPAVEIKKLPEPARTFEQ LTTSISVVAFAPDGQLLAFGSYHKKDALRLVHLPSCTVYRNWPTDQTPLGRITAVAFS PASDLLAVGNDVGKIRLWEIRG MYCTH_2114467 MGRKKKSRVIVQEFDDYFGTGTLQDWQRLCRDVGLSGDLPSISQ CRKALKTVHVNIHDLLEAVKHGKQPQRFPNVQKLVHYTMRTGRFFPKDKVKEMGPVKA LMRRIL MYCTH_2295037 MGDAFPRLEPAHADRHMDMERERALLSPVFEEQSGPPTAPAPAL VVHVDIRFTDPVIRSRYCRNYGSSPGFQATNKICRGLVRRIERCSEELITRKDSGALD LFKGDTYERKPQRFEMTFRVVRRGKGEWAERTYRSYQKQPLTVAHTREVTLAVHRMIG LFLRRHDKDFRWLDSPVPEADSDQSESASSSFGDGSLSLLSVPASRFIEATQTFESLP GYSIDLCFRSRNPQRQVPTFERRTKVTSTQTTPLTLLMSEDLLWRVLQFANQGLDAKR RELDDYLQGCRLPDHDHAHPEDETVELSIRVVNRLGPAHDPVQKSIRGKFALFRHPEA RDCETFFCELERHLSRVRDEADATLNGLNDFELRIVELKGVGWTLREPAKFTVGPEAS YGRRTIRAALDRIQTGVGDVIRGHNIAIHIAAHKRGHLVLDKAIVAHEKRGRPKETFA SHEDAQEAFVTRLRARIQKDIDKVFEDSCSIDDIPEDEDDYFSARPITPQQPESAVFD GPSPRCSPSSVRSSPAKRPALANLQVSPRPRAQRVFSLSRRSTESVRSIDYLTTARDG SVHGSSRPSSVASEHVPPPHEPGQPESPLPDTRGLLLAAAEIKPTRAFSLVSRRSSVG TRVSSASTLAEDHQTVGAKGSGHQTVTETTLEQQLPEQLPDRGQAVESMTSSDDGPSL GPSPLGISFGEATPVASSATAPADQQADARSTESKHAPPVSSPGTFVDAQENVGSSMP GKDIKSNLAGAPTGLPRSRVDDDFSTAPSTPELSTGSSSPRQSLPITPGDKDAVLRHV YPESEPEGGVVQLHPETVPEPDCKEDGNRPAEDESPDCQQASPQLAAGQSLTAEEPGH APGDASTQRMPGDPASDLSRQTPPDAVCQQGHTSRPSTPSPEHKLAGSPEKPEGQSEV QTTHLGAELAAGPDGRSPLASCFPTAESEVQTSDPGQEPAHASSELPISSSQGEPVQG RLESPLAPEEPDAHRSEPAAEDKAMGNGPDDVTGALVAGESKAAGGPEPAAVLVVAEV ATGMAHPGTESFLEPGQVDANGEQVPKVVDAWTEVDGIGLAPGNEVAGGPEPSATVQQ QQQQQQQAEEEEPAAEENACRGIDSAEKSDHLGDDASTEAPAPEIVSDGDPRETTGAE TDAAPDVNEASTGDKLDAPTQNLVIGVPDFEGKATEPEAVSHVRMDGVGVQDPSGLKS EIDVDAEDEASGGLRGKAFGPEIRVNGISGALGDHAAELDTTDVCTEPGAPGTNPRDK CAAVATCANELSPSGPDTSGSSAETGKAADAGSSAETSSLAEPATVERPTPEAAPPSP APQATKDQPDLLAPSPDVSTLCNLSPVPTRSSISSDAASFFANSSTIPAFRNSVDTIR PSTDEPRQRPAGAEQLLSHADGSDSRPQTAGYLGVGLRRGSRFVEVGLRGALGDVEAR RRMSLPLQRCLSPDGEAREKSRPSAPASEAGAGDGGRSGDGQSVLPRMILLLAGAVAV GKIVKKAAE MYCTH_2295038 MSSFELLQERLAALQETTAQLRELIDRLANVQFQPGSVPLSTSD EDNVATELSTEITQILREEEEDLELLQEEIIDLRSGRPGSEAEHRKARLKDGAQRLQA ELRDCRSSFRKAQLSARRSLEAAQKLERDLLLASYITASASASSSAILSNPDSSSSPP DSQPQPGATTPTPQPQPPPPLSSEEARNQLLTPRGRRRQQQQQLGKKYRGGTGTGAGD DEADAAVAASSDITQALQRTHALIAGELAKSAFAARTLAESTAALAELQRSYEGLDGL LARSRDLLGALLSSQKSDTWYLQAALRLLLATLAWLLFRRFLYGPLWWLVWLPLRTGW RLTSKGVAYLGADGGGGDGSSSSSSATMAVVVPGEGGEQGGRPKTVVVGVGEEGAVPT VRVGRGETSEEGKQGEEDGSMVDKVGRMVEDTLNRRDEEEKEEEKEEEAANRTAREDP EDRPNPKKRMWEEDVGEGAGRVRDEL MYCTH_2295039 MITRFITDVTTRFNPFSPRSRSARLFLSFLPPTARSSGMNITTQ LLPRTSKEPSTLYIKFKDGKEMNLDCENMGIKSIVEEVDRHSRMLQKEADLAEA MYCTH_2295040 MASSPYTVRKVGAPYTLDHRVYIEKDGVPISPFHDIPLYANQEQ TILNMIVEIPRWTNAKLEISKDELLNPIKQDTKKGKLRYVRNCFPHKGYLWNYGAFPQ TWEDPNSIHPETKAKGDNDPLDVCEIGELVGYTGQVKQVKVLGVMALLDEEETDWKVI VIDVNDPLAPKLNDVEDVERHLPGLLRATNEWFRIYKIPDGKPENQFAFTGECKNKSY AMDVIRECNEAWEKLITGKTAASNIATTNLTVAHSTSRVSPDQLPPLPQNQDLPPAPI DASIDKWFFISGASA MYCTH_2295044 MSTASRSLYHPNRRLGSVQSVQSVQSVQSVQSVQSAQDRDRHSR TLSRARAGTVSYDDAYSYALRIAYLRYILQPRKKRKEYISAPKPRPHPRVHTSSITEL VKELVPTGSTSVKLPSGFRHSLEKRMSGVLQGIERLPGFSDAAVKRTFAEAYTAFTAK EFQKSIDKDRKVEPLVLIFYSAASKAQGRGKSPEDHSWKVLVDRHLAMFIRLLSNILK DKGDIELVTRLNTLEKKLMSNDQSLYLDTGQEDHSYVEVDVPLSYEVKDMPMVQLVAK IFGVTHSQAQTDIDRNMSAWTEEAALKDYKSYQFRLAAGMAGTLRKQDFDLDEAYEEW RAGEAPHLATIFSEILTARPDLKGGSGGGTDKPLPVRHSMYEDQAYAELSRMLSNPES PAPSVDASFGFRPPSVDETSSIRTVDEPSYTFIPPDPRAVYKVILQYVVSYDQLHSDT SAAPLSEETANFLTELAVRWRIPQFSRHVAFVEVTTRKFLDQELPPEQLYACLDLVKE RLEEPKKPVPIQMYTLGLSDIELTKWTIIDFAGYQQSLRDLHDALLRDLFALLMRCYE PKPPTVGVVMALLNNHVYPDPTFTQRPEDAADFAQQLDNGLRQQAAKVYRDFLDHHIP RSQREWDFGHVVNLGKAVVELAERIKKRYRKNPEIMGVSPFKALVETILPSFEEDCHE IIKRVLQTSKETGIEIAIEDGFDLYKELVGIRKMHAQALPDKAFAFDIEDLLGAFVWR WIKNVDDRMPGFIEEAIKHDMFKVRTRHEGDIPTDDERHSVSIIDVFTMFTQTVDQLL QLEWNNEVHQARFMTALAKSFAAGIGRYCEIVGRQFEKEMDRRSEQEAAAAQRTTQEK LFQYAKDAWNTKEKIEPFQFYPQSFVKFNNIEWAMQALDRLEKRMNVDACAELLNKID APKQPVRRRKYVFTVKIVEGEELKACDPNGFSDPYVVLCDEYQKRLHRTRVIPRNLNP RWDESVDISVEGPLNLIATIWDYDMFGDHDFVGRTSLKLDPVHFSDYLPREFWLDLDT QGRILIRVSMEGERDDIQFHFGKAFRHLKRTERDMVREVTSKLSMHINTSLSHEALRN LLNKGITASMANLWKKRQSQTASVTPAEVENAIQPLFTYFDENFAIMKQTLTDATMMA VMTRLWKEVLLAIENLLVPPLSDKPSTQKPLTQAEMDIVYRWLELLFGFFNARDHETG EILGVPADVLKSPKYHELASLNFFYFDTTENLIRTSERMAAANAQRAQQQAQQAQKQL QSSHRLSAPSPGMLGVPSIGGASGAGTVPFGSLGTIRRGKSIMMSRNLGTMRKAKEEK RKEAQADPSDDMILRILRMRPEAAGYLKERQRQKERMAAAQAAALIVRQSVNQGLTGG GPAFGGALYGRTGVMPKR MYCTH_2141524 MGNVSSVPDEGAALYLKDQNRSNPKRRTAINIVPNAFPATRVSP SRSSGDLTPIEFVLDPEPPSHGAGPSFLLKLANDDELVFTFTFIIRRSQSVVHNPVGG VDTVTPIDTQINGLTFVFASTPREVENLVTREFHADPNLHKNANVALVGSYSTEGSPS VTFDWTWRWKPPKHTEDRGGGWRNSCSVGSLKLLPFATIADISHNTSSHLSQPNSPTP LLLSAPPKVRVVSAQSLESQISTIPEHEEIASPQHVGSEPSNAPPPAPPAPKEPIKVD VSCPRPGEDLTLPDDGPVFRATMKALEQKTGNMRMQMKRLIKKAEYVYQTQQEANDAF ASFMDALKEVSATNANAVQPAIEHYFDKIAREILSYERQNTTNMQKIVIDPVNKLYQM DIKQAEAKKRDFEEESKEFYAYVSRYLGQRHDSVKAKQSDSKYQTKRKNFELKRFDYS SFMQDLSGGRKEQEILSHLTKYADAQAKCFLSTAKRVDELIPQLEALSTEVQEADKEY QYQRREREEKRRLLEKSNSAYAEPEQPLTASGPVLAGGHSNGTQPNSETEMGRADSTG SQLRPTRSINSSNSPSTADLSRSTGGLGQQAVTSPAHNSKFKGIRDLEERDPNQAIQS DKDAPNRKEGLLWALNRPGGHVDPRNLNKQGWHKFWIVLDQGKLSEYSNWKQKLDLHM DPIDLRLASVREARNADRRFCFEVITPQFKRVYQATSEEDMYSWITAINNALQSAVEG RTFKDKPSTAHSDLGFNGVDIGSMLVGKSSSVSHGSHSSSAIPFRRTTVGARPSTSRG ANFEDKPDKLLQMLRENDQGNCWCADCGSSNKVEWVSLNLAIIVCIECSGIHRSLGTH VSKIRSLTLDTTSFTPDIIELLLLVGNRVSNMVFEARLDPAMKLTPQANREQRLKFIS AKYVERAYVEPISATLSRFATPEETLLAGIKRNEIQQILYALALRANPNVTDKSRGTH AIYLALAAADPAPPSPVPSQSLAPQTPTVERVVPFPVAELLIQNGAEIPDSLPQVPLS MAAQAYIEMKRGRKAAIDGSGSYDSSPSAATAMSSQNDKVQRDRDSRLQKRVSAGGRL AKTPIPER MYCTH_2295050 MAPRAEGSHLKRSRVSGSPTDEQDSQKKPRRSQRLSQRADRDVL KTPVQNKNQLPSPVTNLASENTTEYDKEVTATPPQERPSQAAARRADDAHSQGLAFSS PPQDTQAFSQQDFDPNAPLVEGVDEVKEGVWGYLLPLDNLFLRGPYVFKNKETCTSPA APAEPAAAAVTKRGSRKKQATPAKQQDQNDNSPTAGGHLLGRHPTCDIIVNDGEVSNR HCLFFVENKRDEKVVVLTDLSRNGTYVNGARLQRNDRRELREGDEISVTSASAGFIFR YPKSRRGKPFAQQYTLRHELGSGHFAQVFLCCEKSTGDCYAVKRFTKRPDGEEKSKYD GLHQEVAMLMGLSHPNILCLKETFNEPEAVYVVLELAPNGELFHYITVHTKLTEAETR KVFIQLFDGIKYLHDRDMVHRDIKPENILLMDNDLTVKIGDFGLAKIVGEASFTTTLC GTPSYVAPEILANSKSRKYTKAVDIWSLGVVLYICLCGFPPFSDELRAPDFPYDLGDQ IRKGLYHYPSPYWDPVSDLALDLIDNMLVVNPEHRYTVDQCLMHPWITQKPPGVNDST NGLVSGLAGLDVSRRTPFRERTLISSINTCVVTDRVPAGAGRPDVKVYSKNPKGPTAA PPTARREPRPDDNRDPAEFIKMGGKGDQELYDNDDGSRYL MYCTH_76163 MATNAGHGNSASRGADEATAAAEGKGTEKPKPPVRSSLPPGPRT PYDFHDAWSPPAIPKKPIDSQDSPISDSEAEPDLEPVSYRTPSPVSYNRWIDAYHNKH TQDVLKGATDYLEFYGTKKPRVTGLVISKMATPQLIFVDGTFAELAQELADYIQIGEQ VKPYLAKEQNEEALQLIVQASHVLNSVPEKDFTGAYNLLIHLVLQSKDPKKHLPTVCG NLQKPITSSPAHGFTLAANALSTVFNLLEQNNPLRFNVMLQIVRFIRQHGQFELLKPR LKNLEGWFTAWDTDEDDQRRLYVEVSDAAAEAGDDEESYHYLIKALGTFDRDDQEEIT SEEAQKLSLKAVRMAITHPTRFDFQDLRALPSVQALGDSHPVYSQLLDILTEQDLEDY NDFKDEHEGWIEKEKLDNEKLQRKMRLLTFASLAASTPNREIPYSSIAKALQIPLEDV EMWTIDVVRAKLVEGRLSQQQKVFLVHRTTYRVFGEKQWRELATRVDQYKSIVDHLLA VLRKGQADVESQREREQQELERKLANAGISGGHGGDRRRQQQKPRTDEDD MYCTH_2295056 MPPTTANTSGTTPAFSPADVTVLFVLGGPGAGKGTQCSRLVRDY HFKHLSAGDLLRAEQDRPGSQYGQLIRDCIKNGEIVPMEVTVALLENAMRETIDTTGT KKFLIDGFPRKMDQAVKFEEVVCPARLVLFYDCPEAEMERRLLERGKTSGRADDNAES IRKRFRTFVETSMPVVDAYDREGRVVKVDATATPDQVYAATKEKLKQALGDKF MYCTH_2313432 MGLEEKKRPAALNLTPARTMSATSVSSTDSNSTSSSLAKPPRTP RFAEATAVHSPVEPQKMPFSDRPQESQAQPGDSPLKSAMKVPGTPGRALQNPLSPTFR EEQILEDKEKATEKEQARDLKIKIRVRMAKFALRGVNFSCSLIILAMISSSFAIFNAT KHLPAMSGLPPWAENTNAWPQKVVLACSCVSLLICIAVFVGYCRGGHRRAEKVGVYYT LFAVGWFIFSMAMWAMAAGVLQVSRNNSNNKDMWGWACVENRRSTLFQDKVDYALVCR LQDWTLICIIIELVVEVISITLYSIIFYRYWSKRKLRKSMDMRDKARSDLYLAQLRTQ SAPNTPGFGPKSPAFSQYALSPRFPPSAYKSLNDIDEDASPFTPGGNRLIVPQSSFSP AQAGFKLQAPPAKANPATPATPKAGYKPPTAADLSPSTATAVAPAPPVHVNEPAPVAE GEQQYEAVPIPGAYAGQVIKSPPPVQTTFNLPGRSD MYCTH_2295064 MSTKGKRESEGNGARRGTITCGWAARGRRRRAHADHLPSGPPMI DARFLWPWIAPN MYCTH_2075102 MASNGDKYGNDIESDTDSGNESQVDAHDSDNEVAERPVKPALKK TAPPAPTVQRPPLPPQTDPKDLDIKALNPLTPEIIARQATINIGTIGHVAHGKSTVVK AISGVQTVRFKNELIRNITIKLGYANAKIYKCDNPECPRPTCYRSYKSEKEVDPPCER EGCSGTYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNESCPQPQTSEHLA AIEIMKLDKIIILQNKVDLMREEAAKQHYESILKFIRGTVAGKSPIIPISAQLKFNID AINDAIVNTIPIPPRDFSMDPHMIVIRSFDVNKPGAEIDELKGGVAGGSILHGLLKLG DEIEIRPGIVSRDDKGNLKCTPIFSRIVSLNSEANDLKYAVPGGLIGVGTRIDPTLCR ADRLVGFVLGLKGRLPEIYSEIEVNFYLLRRLLGVRTADGKQAKVDKLAKNEVIMVNI GSTSTGAKVVAIKKDAAKLQLTSPACTNIGEKVALSRRIEKHWRLIGWATIAAGVTLE PSSS MYCTH_2295068 MSSRRNSLTPPERRDIVDPGAHELAEASDSEEHYSDARSGPITP ARASPIPKTRVERVDDKPAYGEVPGTQAYRLREEDAAPDEIAIIPDADAAPEPEQIPR SPTPGGYPVPKTVVEEAPDAEGSVTHPGNRQRRKSDAHPDLVVKADGTVEQGEAATDP PLPSPSSLNAPATSSRTRRKSSSAMKRSPLSPGLPAAESAVCTEDADDDDSGDEFDDF EEGGEDGDFDDFEDGFQTPAQQQQQQQPQQQPQQAPQPPPPPSAPAAPSLSFPIPTFD DLSPSEITTLTEPYLAALFPSPLQQPPLPAPSAGENPVFLTPRSASLWSQLVAPPPLQ PPDWIRSRIRRLFLVSLGVPVDLDEILPASSKQKKLVLPSLHRTTSSGSLRRASSDSR GPAAKGAGSASASASTGEGGGRDSLSAGGDPTSASSSSRRRKKADGSGRQPSPERSFD LVSARQMCMLTDAALSGMTDAELRAHIARLEAMQETAQGVLAYWQKRTDEKIGDREAF EGVIENLVKHARKVRK MYCTH_2295069 MAFSFGTPASSAASGQSTTSTAPASGGLFGGTSGSTSSPFSFSK PATSGSSTPQASGGLFGGSSTTTGQTGSLFGNAGATSTPSTTASTTGTSLFGQTTGAS TGGGLFGGAKPSGGLFGNTSTPASGTATPTGTPSLFGNKPATTTSAPATSTPAATTGS LFGSTTTTAPSGGSTTATTGGLFGNTGGSGLFGSTTPSTGATSGQATPSKPLFGGAGS TTPAGAPPADASKPSGGLFGGGLGTTKQAAAPASSTGGLFGQSTTPASTSAQSQSGSN ATAGTSTLFGAKPSQTPATGSTPSLFGGAQTGAATSGTSTPATTAPATGAKSLFGGAA GTTPATTAAPATSTPAATTTTTTTTAPPSSSATTTPSLFGGTTAATTAPTTSTPAAGG LFGSKPATTTAPASSSAAPAASGSLFAKAASATGPATTTASTSAPAATATTAATTAPT TTATTAAPASGGLFGGAKPAGTSAAPAQDSKTTTTAGLSASTMGPASQLPRLKNKTMD EIITRWATDLSKYQKEFKEQAAKIAEWDRMLVENGEKIQKLYTSTYEAERANAEIDRQ LSNVESQQEELGAWLERYEADLNELFAKNGITATGEQAAGPDQERERTYKLAEKLTDR LDEMGKDLTKMIKEINDMSSTLSKGNKPDDPLTQIVRVLNGHLAQLQWIDSNAAALQA KVLAAQKASGSMGTSVSGPETDAAESFYRSYRGGYK MYCTH_91076 MTKYLAKTRKPKPNVEFDFYILEEAKNTLIDGYIYVTADVINEL ALKMLLGTDFITAYSVKIDFVKGIYTFRSLANIKVYGDVVKKNPKPISQKIVETYFIL LPNTTPEDKCFYFTASRDGV MYCTH_2050269 FVRVYINNIIIFSKTEEEYLKHLYTVYEILDKAHIYIGVAKSFA GYLAIRLLRYIINGEGITKTDDRIATFKKLKFPDTLDSLEHYLGMAKWLRKGILWFDA KARPL MYCTH_91077 MAIMLLPSNLRAIRIKRKFLVGIFPFNLNIFYILGTLNIVPNEL VLSDKFRARIITSYSKDLPTVGTLWSLEGHALADTLYTVTCKFSKKKLLVAGRRIYTA KEWATVLLRT MYCTH_2295071 MSFVRQLLSLHPSPLRASPLRASPLSRHSLAHLRSISTTPRLQD KTVNRGRVAIVTGAAQGIGRAIALRLAQDGYHVTVSDLPALQPQLDSLVSEIVTATSE GSSERRVRAHAHAADVTSPAQVSALVRASADALGPLHTMVANAGIAQVKPLLELTEAD WDRMMAVNVAGVHHCFQAAARQMILQEEFAGEIRGRLIAAASIVAFKPFALLGHYSAS KWAVRGLSQAYAMELAPHRITVNAYAPGIVDTGMWELIDEGLGQIKGKGVKKGEMMKK YSDDLIALGRTSVPGDVAGLVSFLACPDANYVTGQTFIVDGGIIYT MYCTH_2040280 TLRQLHNDMQWWFRASNHDVKIVILTKFDHRQHYILVEKWEEEI SYPQGAITRSQAAAISQQNVLEPVKRQSITISRDETTNPVSYNIINRGALV MYCTH_2295074 MTIFLNPFRTPARTGAEWFSVGLASSFPDVSLDIDGKENVSENE ARLCGSHGPKATPGCKVFHVPKEDPSQRTEVDLPVDDAAQDLTDQVLVFQYRGKFHAI DHQCPHSSYPLSQGIPFDIEDFGIVLSAGLTCPKHNWSFDLFSGRSDRGNYKLRVWEV ELRDIEGVQTDDKEVWVRRKPRIG MYCTH_2295075 MWTDRAGSLSRPTETNMVWLDLAGAGVTVEMLNDLGMRKGILLA GPRIVLQPSDR MYCTH_2295076 MKYHTSALAIDTLASASAVSIEERQGNSGTGPFAPAGYYTSDGL EGHSFYAPQNIPSDAKLPVMLWGNGGCSADATGQAPFLTELASHGVLVIASGTPGNGG STTADMMTQSIDFITSNAGQGEWANIDASRITAAGWSCGGIEAYAQIWDDRVQSIGIW SSGLLDNHMAANDFTKPVFFFLGGPCDIPYGNGERDYAAMPAGMPKWKGNLDVGHGGT YTEPNRGKFGVIGGYWVEWIMRGDASAADYLTGDGAKNDGWSVEFADLENLNVIPIE MYCTH_42071 MASLSLRALLGFVGATAAVTVTVASSGGHATSPYMYGIMFEDIN QSGDGGLYAELISNRAFQNESLKSWSAVGDSTLALDTTTPLSWALPRSVRVKGGKGKA GLRNSGYWGIDVKHTDTYTGSFYSYGEYRGSFTASLVSDITNETLAITKIKSKSVANA WTKHEFKLHPTKSAANSNNSFVLEFRPSHETELKFNLISLFPPTYNNRPNGMRRDLME KLRDLKPSFLRIPGGNNLEGNFAGNHWNWSATIGPLIERPGRMGVWGYENTDGLGLVE YMHWAEDLGVDVVLGVAAGLYLNGDVVPEEELDFFVQDALNELEFLMGDVSTPYGALR AKLGYPRPWKIKFVEIGNEDNLWGGLDSYKSYRLRAFYDAIKAKYPDIFIFSSTDEVV YKKSGRDYHKYTRPDYSVSQFHLFDNWTAEHPVLIGEYATIQNNTGKLEDTDWDAPKN AWSNWIGSVAEAVFLLGAERNADKIWGTAFAPLFQNLNNYQWAPDLISFTADPADTTV SVSYPIIQLFSEHRITHTLPAASPDGFGPLYWAAGRGGLGGGGGGGGGGGGHVSSSSS SYILKVAVYNSTGSEDVPVSVRFEGLPKGSPATLTVLTAAGSDPWAKNTPGRPDAVNK TIQHLRAGKHGEIDFSLPDLSVAVLVARGK MYCTH_2295083 MGHEDAVYLAKLAEQAERYEEMVENMKIVASEDRDLTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNTTQVALIKEYRQRIESELAKICEDILGV LDKHLIPSAKTGESKVFYHKMKGDYHRYLAEFAVGERRKDSADKSLEAYKAATEVAQT ELPPTHPIRLGLALNFSVFYYEILNAPDQACHLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAEPPAAGQAEAPPAEESKAEPSAEEPKAE MYCTH_2295088 MSIPATNPTYYEILNLSPSALTSLDEPAAASLIKRAYRRALLRH HPDKKSSTSSSPSSLPSSSPASAPGYTIDQISTAYATLSRPALRQAYDRSLLVLQSQR HRPSSLAPSSATESPGGGGGGGGAADFQTGIETVDLDDLDYEEKGRGRDSETTWYRSC RCGNPRGYQFGEADLEDAADLGELMVGCADCSLWLRVCFAVLDDDDDGQDEKGGEKRE QHAGKGSGDGMKPVLLNSGEVAA MYCTH_2295089 MAAAATKHIQLALALPARLRTFLARYPPPSILPAGANPETYKTA YQEETPNPFLPMKHPVTGRWHEPKYSLRRQAELVKMAREHGVEELLPYTPKGTETRLR KRVELGLRVKGTGVGQKVKGHKHERQLAAKMEKRRQAMLEMPALIREWKEVGKRNWTK FPK MYCTH_2295090 MDKRRLPPDLPALLRQREAERAAAAKPRFIPKKERERLAAEKAA KEEEERKRREESLIARRQSSTNGTNGDANGHGNGRSTPHDIPTGPKAMRHAGDGAADH GHAGKRRRLNDEEEKRAEMERKDAAELRARYMGPEVNQSTFSAKKKRKRTAANKFNFD WDPEDDTSRPYDPIYADRPEPLYKLTGYENTDEMVLRKAEAIRRADPETGEERAKKLL EQHERVKKIAERKNFGKHWSEKKLEEMKERDWRIFKENFGIATKGGSIPNPMRSWEES NLPRRLLDIVHSVGYDEPTPIQRAAIPIALQARDLIGVAVTGSGKTAAFLLPLLVYIS ELPPLTEYNKNDGPYALILAPTRELVQQIESEARKFADPLGFTVVSIVGGHSLEEQAF SLRNGAEIIVATPGRLVDCLERRLLVFSQCCYIIMDEADRMIDQGFEEPLTKILDALP VANEKPDTEEAENSQLMSRYLGGKDRYRQTMMYTATMPPLVEKIAKKYLRRPAIVTIG NAGEAVDTVEQRVEFIAGEDKRKRRLQEILGSGQFKPPIIVFVNIKRNCEMVAKDIKS WGFSTATLHGSKTQEQREAALASVRNGQASVLVATDLAGRGIDVADVSLVVNFNMPSS IEAYTHRIGRTGRAGKSGVAITFLGNEDADVMYDLKQIISKSSISKVPEELRRHEAAQ SKPTRGGGKKLEESGGFAGKGASWQ MYCTH_2295092 MEPATPQHGALKPFPETASAPVHLKPRVAALDAVEDILYGSIAG VVGKYIEYPFDTVKVRLQSQPDHLPLQYKGPLDCFRQSVRADGFLGLYRGISAPLVGA ALENSSLFFWERLGRAAIYASGYSPRDQPLPLSALWITGAFSGTMTSFVLTPVELVKC KIQVPREADNGSGSGSGSGSGGGGSAAKAAPLRPISVIRDIWRHQGLRGFWHGQLGTL IRESGGCAAWFGSKETVTKLMREWNVRAARTEEERARARQADALPLWQQAVAGASAGM SYNFLFFPADTVKSRMQTTPLGGSAAQQRTFAGEAAALWNQAGLKGFYRGCGITVLRS VPSSAFIFMVYDGLKKYLPMQ MYCTH_98992 MTTYIPSLTLPDAVFNNVPASILLPIALGSAVGYATRRMDMRPP PRCFPHVMTESLTLNQPPLRPPAAVFPPVWTTLYGLMGYAAHRAFSLGTSPFNSPGTV SAARHGATLYTIQLGINLAWMPLFYGLNRPVLATIDVATLFGINSYLAWLWATKVDNL SGWLLAPYVAWLGFATYLSFGTGYLNNWDLSPTSAARAGKGEGKKNA MYCTH_2295096 MDSYHRESARSPGDRWSGRDDTRIKDERGDSFYRNGRSPDRSRR RSRSPPAVDRYEPRARGRDDYAGTRDRDDRSRRSPHPVIDRYVPGQDSALPQSLNNPL PDPLKLSYQVGFSWFGEWWRANERIKEEKERLKSGRRREPERPRGPREAQEEREKEKA KIQAAYDKYKEDLQAKMAHAFVKQHKEEQWFKERYVPEVRDPFRQQLNEQRLGAYARW EQDLASGVFDDFSLEGIPKSESNGAGGAAEKEEGEATAANEVLGVGDLVPAQPTEIRD ESLDQPTLLIKTIAPSVSRQNLEAFCKEHLGEGEGGFKWLSLSDPNPSKRYHRIGWIM LHPAPEAPIPEEDEGAGDEDGESKPAAPVSTAEKALEAINGKTVKDELRGDFTCHVGV HNPPSHPRKKALWDLFSAPERIHKDLQLATELVTKFEGDIGSDLNANRLIEDHVDRLR DEGKLQPAVPVTSVKRPKKQRERTITLDQSMDMEREEGDEEMAEDGEDDEEEGMVDDE VDDIDLLVEKKRLDLTIEYLRRVFNFCFFCVFESDSVHELTRKCPGGHLRRPRSTLSS AAKAVAEASANGDPFPSKKRKDEEVEEGEAPEEDRKFRASSKAEQQLQRAYNWVKTFE DKINQILHPETVDLRKLGGKPVEDAVNDELGKYVKQEDEHKWRCKVPECTKLFKEEHF WRKHVEKRHTEWLEKLKEEFQLINAYVLDPAHIAPSRTDANSNGHFPQSNGQQPTGTP RGFNLQNYAMNSMLNFPGFPMAMFPPGMMGGSGAGGANPLPNPASWHAGTGVDDRGAG AGPIRRGGGMSSGGGSRFQNRFGPYDRRGGNSRYGGDGGGAMGGGRGRGGPANRWGDG AGVAAVGPREAVQGRTLKSYEDLDQVSGGGGGELNY MYCTH_2295097 MATATATKASGGGGNAAFRDKEKPLAVRSSNIVAARAVADAIRT SLGPRGMDKMIRSGKGETIITNDGSTMLKSMSVMHPTAKMLVQLSNAQDVEAGDGTTS VVVICGSLLGAADRLLSKGIHPSVISESFQRAAAAAVQVLHDMSQPIALTDTAALLQA ANTSLSSKIVSQYSNLLGPMAVNAVTKTIDLKTADNVDLKNIRIVKKVGGTIEDSELV DGLVLTQPVIKSAGGPVRMEKAKIGLIQFQLSPPKPDMENTISVNDYRQMDKIVKEER MYLLEMVKKIKKAKCNVLFIQKSILRDAVNDLSLHFLQRLGILAVKDIERDEVEFICK STGCKPIADINSFTEDKLGSADLVEEVQSAGSRMVKVTGTKSAGKTVSVVVRGANSLI LDEAERSLHDALCVVRCLVKKKALIAGGGAAEIEIASQLSKQARALTGTEAICWKAFA DAMEVIPTTLAENAGLNSIKVVTELRHRHELGEKNAGVSIKSGGISANIAKENVLQPL LVSTSAIELAAETVKMILRIDDIALSR MYCTH_2295098 MPSATGANWEKYRKNFADDEIEEKKITPLTDEDIQVLKTYGAAP YGAAIKKLEQQIKEKQQSVDEKIGVKESDTGLAPPHLWDTAADRQRMSEEQPLQVARC TKIIPDEKDESKSKYVINVKQIAKFVVQLGERVSPTDIEEGMRVGVDRNKYQILLPLP PKIDASVTMMTVEEKPDVTYGDVGGCKEQVEKLREVVEMPLLSPERFGNLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAIGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRPSTLD PALMRPGRIDRKIEFALPDLEGRANILRIHAKSMSVERDIRWELISRLCPNATGAELR SVCTEAGMFAIRARRKVATEKDFLSAVDKVIKGNLKFNSTAAYAQYN MYCTH_2295100 MPSVAQESPTVQSSKKRRRDDNDHQMHPAQNSPRGMYADKTFHH GDFFHPSTPLAARKSLALPLGKRPRAAVEADFEADGELMRHLPYYSPSREFRQEEQHH PHQDYAQAEQRTRSEKISTTTSSGTSSHTSSALLMSRCHICSRKPNKKSDLDSFADCQ GCGQRTCYVCIRECLGWGLGSGAPTGSVQTQTEPSYQLAATLSTTSATGTPVEEGEAS FTMLDADDKDQQQQPQHAQSEGGTLPAQEQGWMTGSKHRQVVCSRCCVERGEDGEVVC LGCLPFVEG MYCTH_105822 MSRANKLGPEVNRALFVKNLSYNVTPEELFDLFGKYGPIRQVRQ GIASNTKGTAFVVYEDVLDAKQACDKLNGYNFQNRYLVVLYHQPDKMNRTKEDLDARK ENLERLKQQHGID MYCTH_2295106 MTPATTTTSITAAPATTAAALSSSIPTSIPTPDPGALTAGPPRP GECSLLGSFAIFVQLALGGLALLSLVYKRWRERPQRPVKIWFFDVSKQVFGSVLVHGA NVFMSLLTSGKFSIEADASAVAHGRVVRRAVLEGVFGRGGIIGARGEEGEGYVPNPCS FYLLNLGIDTTLGIPILIFIVRLLTRLVALTPLGSPPQSIQSGNYGTPPNAWWWLKQS IIYFCGLMGMKLVVLVLFMMLPWLPRVGDWALGWTEGNEKLQIVFVMMLFPLIMNALQ YYIIDSYIKKQEALAADGNAGAGAGESVVYEELAGSDSEADSDETDDEDDARKSLNTG RDSPLRMERRDSVTRRRSSRDAEYDPAADGDSQTVIGSNSSHVSSRGTLPKELLPTE MYCTH_76199 MARCQMTLLFPIVCLVSALVSAQYSGYDYGFDVKKRVKRQLGQR SAMVVQDKTGSEIQVRQEIRQLEQDHDLWTLYILGLSMLQYTDQESPVSYYGLAGIHG MPHQTWGGMGPVTGNENTGYCTHSSVLFPTWHRAYMALYEMIATFWPDSERQRYESAA RRFRLPYWDWAASPPPGQSVLPESIGGSPFIDVNGPNGLQRIANPLFSYQFNPLDQKA FEFPPSQWNIWTRTLRSPSSGGPDAQSNNTLVALNLDRSRASIAQRLYDLFSHNDNYT LFSNNAVGGQAESVESLHDTIHSLVGGVGPSQSVPQPGHMTYIQWSAFDPVFFLHHCM VDRIFALWQAIHPNTWVPSSQALLDSYTIRRGQSIDSGTALTPFFSNDNGTFWTSDGV RDHTRFGYTYAELLRGPVTGSSNNTLLAASQIRIVKQAVNRMYGSFSPAFFFLEELRI QGGAAVVGAGFRNNHRKTAPLHGLLESKIFVAGSNGNRYYEWKVDVCVGRNGSQGILG GDGIDGSSISFFLGDLSSLNNLLNDTHVGTMGVFTSARLSQHEPAGGHDVPISGSVPL TAALVKKICEGELAGLASGHVVPYLKRNLKMVMRGTQREVVAGTDDKKICETLLSLRI VSSVVEAPWSEDELPKWGEERVEFDVC MYCTH_2295109 MAPEPTNTSWDHEYHTIRREKLFRNPPTDRTAYPALQLAVDPHI ESFNALFRTDGRPSLLDHALAEIGTKTFLDGDERAAPAGRNKLTIRYKSVTLQKSQVP PSNKFAKRREIFPAECRERHVSYRGKLTAVFEYRINDGEPQEFVRELGQMPIMVKSNK CHLENNPPALLVQRKEESEELGGYFIINGIEKIIRLLLVNRRNFPLAIIRPSFANRGS SYTQYGIIVRSVRPDETSQTNVLHYLNDGNVTFRFSWRKNEYLVPVMMILKALIETND REIFEGLVGPPNSKGIENTFLTDRVELLLRTYKSYGLYTKTQTRAYLGQKFRVVLGVP DTMSDYEVGTEFLRKVVLVHLGCVDVTEEQDLDKFKMLLFMCRKLYALVAGDCAVDNP DAVQNQEILLGGFLYGMILKERLDELLSVSLKAALRDYLRRNPTLSFTSSSFAKDFPI SIFRRTNENLGNALEYFLSTGNLQSPSGLDLQQTSGFTVVAEKLNFLRFISHFRMVHR GSFFAQLKTTTVRKLLPESWGFLCPVHTPDGAPCGLLNHLAHKCKIMTESVDVSAVPG VAMELGVNDYSSAATSESVVVMLDGRIIGWCTPKAAKAVADTLRRWKVEGGHGIPVLL EIGYVPPSRGGSYPGIYMASQPSRMVRPVKYLPLGKEDFVGPHEQPYMSIACTEEEVI PGDSTHVEFDPTNILSILANMTPFSDFNQSPRNMYQCQMGKQSMGTPGAAIRYRTDNK MYRLQTGQTPIVRAPLHNTYGFDNFPNGMNAVVAVISYTGYDMDDAMILNKSAHERGF GHGTIYKTKKISLKDDTRTRTSKTITRMFGFAPGSPVRASDREMLDEDGLPYVGRLVR EGDILCAWHTVSADYSGQLINRDGITHWERYKEAEDAFIEEVRVIGSDSGNEPLQTIS IKLRIPRSPVIGDKFSSRHGQKGVASQKWPAIDMPFSESGIQPDVIINPHAFPSRMTI GMFVESLAGKAGALHGLAQDSTPFKFNEEHTAADYFGQQLIKAGYNYHGNEPMYSGIT GEEFACDIYIGVVYYQRLRHMVNDKYQVRTTGPVVPTTGQPIKGRKKGGGIRVGEMER DALLAHGTAFLLQDRLLNCSDYTKAWICRDCGSFLSVQPTVSPFIGKRRQVGTVRCRN CAQRLDQIEGLDLMKLDGEIWEDGQGVQWIGGENTTMVVVPGALKFLDVELAALGVKM KFRVDTKDAIRRGPLRATAPKTLPSVAASA MYCTH_2313446 MKRVQRGHVTLKCWDLGGQPRFRPMWERYCRNVNAIVFIVDIAD LDLLPVARDELHSLMSQPTLEGIPLLVLGNKSDLPNKLSVDELIDAMDLKNVAHREVS CYGISAKEETNLEAVLQWLMRFANR MYCTH_2295112 MATTQAVQVFGKKKNATAVARCVQGKGLIKVNGKPLKLFAPEIL RAKLYEPILLLGTDKFADVDIRIRVAGGGHTSQVYATRQAIAKAIVAYYAKYIDEHSK NLLKTALIQFDRSLLVADPRRCEPKKFGGRGARARFQKSYR MYCTH_2313449 MAPFPEKVAELAKRADGCGPGRYRDFDGDCHYYSTWYHWGRWVF AGLAILLILIIFAALLRNSRRRRRLGRQPLYGTGWMAPAPPPYYPPPPQYSPHDQGVP PPPGGYKYGEGGGYYGGNQPNNGYYGGNQEGIQLQPPQNAYHRATDNDYAPPPGPPPK PAN MYCTH_2295119 MHAGFNAGSTASPLSKGPGFPQYAEFDAGGKKDEDALPQMPSWE GAEQKKVLVEEEAVEMSALKKPETGGEAARPMSPHSSRSPVNRSPYGPPGGGPGSGGY HAASTVDYDGPYAQDAPAYNHPGVAYGEAEHGYGAGGFAMGPERRSPQAFNNVGYGGY SNINNYGQARDYPGSGRQGSYDNYGAAHQQPYDSYDNFGGPQADQGYGIARHQTPSRE LNNASPFPGDSRRSPAPQSPYGPDARRSPAPQGPYGAESRRSPGPAAYGGSPPYGGGP DPRRSPAPQGDYGSRRSPAPRQGPYGGARSPPQRQYSNNGMHSPVGGVGGFGSNGPTP LRNEGGFDFTSGYSRPPVERSAAASPVNGPGGYRQPSPSAEFGGGGGGGYSGYQPYKP A MYCTH_2295120 MSSSNTQSSPEIARKAVPSGSTSSYTSPTSTTDTAPTVTTGTAR TSTSEDSKSSSPFESTTGSTARSLSPIEQSSPLFDIGPLTSSPPREDEPRKAPAVPTS PPPAPPAVLTNVRTPSRIPTLTSTEATPPDRTRADRSPSPEGPPSMAKPQPNRLQPRH LSPNPNARGRSVSAQPPASREVEADGSRAVSNPLESRSLSKPSSEGSPPAAPDKRKRK SWFPGVRLRANSDSSKPKIPRAWVMSPDNQVDYNTAPLVNGEKVPELWNEAGNVLVYL HPKESNRGPCFKVPDHVFSSSARLVELLVTEMMAATSTSQGAGYFDVAPVEGPVTEGH LYLPLGNTDLERLVAARNLFALLTHQPLVGTTENGTLFAAVLQVAGLLRRFNFSSFDG SSFGEPVDTAFDFFMDRTGIADLRRSREKTLEALILAEQMKSWNLYNEAFAHAVGKYE SILELKSPLYERISVSTRQRLDRAHLDLVNRLAGVNARLEAFEFPSLFAGTASSTSTE EYKNVKFKEWRNSFSKMRNFVLGYYKGLFGNWPPRARSKKNYFSQSGLNRECLKILYS DCCALYDLLVDRQSMTPRVIGEGYEEPEEDKKDKKEKKAEDASISALRKILSEFDKSS PPVLPPIPFDVPKLPSMTAIYENFHELPAKKQAKYSKALQPHELQLMLIKSRNIDTET LSMPFLLAYKDFELREAKGVNPADLPDQRIGYWLFLYVVLQSLPMLVVDAPGLRYTEG VEYFLCEAPQGNPPWTDEAGQTRKMWFQTGNSNVVELSADVVMFSVEGTYMRSHCWLA AKEWDAASSSGAPPVGAPPLAAFRDMNPSGASPPSRPPSSSSSTNGNTSARTTHQQQQ QYHHHYSSTNSGNSSPHLRPRAGSMTTNDRARQAFRASMAIGLEPLPMPAAPPGFERG SRVMSIGSLSSGGGGSAGRLSPAFGSPAFGSPAFASPGPAAGGGEMYQLGLRASRSAA NLGQLAGPAGAGAGGISSQLRKSSYGGLGPSNLGPGGGGGEGGGPGGNEPLAKGSTFE DILKGMDGKEKKKKKLFF MYCTH_2295124 MSDNADRFMLDVVMESVPHRDIDAQETASSSASAEDCSDFFDFD AYYNPGVETDVTTPPSEGEPADGSLPLAQGTTLAKNPSHAEDTPMPDAPAQESQSLNL WPQLSGPQPPRDKVIFLESSPSPQPSGEMSPKRPPQVRSASGQRTRVIKDLDKTNKVR ERGACYHCKINKKGCDDAEICKECSKRFEKKPSCSKRACIRKPLKEMIPPKLGRWNWA NPSLLMPGRNQFEAGAESVFCSLSVNGPYLELRASRFALANERQDGVQSFQGVGIMPS DLPSDENIYLWMERQILAENKPRFEAYMDKLLVGLASQQRARPQPWARQIPEQLVLET LRMRCMCKVWSAKQLFLEQRKAQISPFDIRFASMKDSLRLLAGRRISELERKITEDLE KYLGRKEPTSTHPMILVVKCK MYCTH_2295128 MGSLVDIVTLENLEQKLFRWDPSSSSHLYALVDMGSNGIRFSIA DLSPPRARQLRCIYRERAAISLFDALGGPSLLFPEETIKLVSQTLARFRSIAVDDYDV PPSQMRIFATEAMRRAENATTMLEAIRSACPGLPVYVLAPPVETLFGSVGARSGFVDV KGLFLDLGGGSVQMTYLDTYARPRESSTSPEVAAALAGQSLPFGAAHLTSVLDSSDAE TQASEISRLRDSMSEAFRTLCAKFPSLATDAAEAQRGKAGEGEATAGIDVYLCGGGFR GYGSMLMNRHPIQPYPIPSIGGFTVSGHLFGRTKDLLEFDKSFEGKIFGMSKRRRAQF PAIVAVVDALISAVPRIRSVTFCSGGNREGALMMSLPTEIRHSNPLSHCGLVLRKHVP FQPVPDAAPVEVVLDALRSAFPPDLNLASTTTIFGLRIDALYAEQIWSQLGCDAETNA SAALHNAISYPDLPGLTHLARAVLGVTTCARWGASLAPVDEPLYKNLRALMDAADPDA TFWADYTGSVTSAMAAIVRGWPKARGAIQDKIRFRATAQHHNPIRVQLEISVNPDAAM GVGPDVIHETFRGLKKHRRSGREVSVTVQPLVKESSVLSG MYCTH_2295130 MNPHSGPATLPRGFKCPEYEAPRTPEPFPQTDDVQIPSAPRPRL KLRRRVVSQLSAPTQHFLASVAAADVPIPSIEEPEIAADDYDTNATCPFPEFRYEPDE GDMNFLRPQGRGLPAPKTPAPEFESSLPTSQYPNWTIDSISSVESTPEPDYESSRPST SRSTQTSASSFSRFSLASEDDHYDNYDVEEESKDKDNCPLTPNEVVPLRDPAGLRGKA RKAPWTKAMSDHLWSTFMLYLQDPKVTPFRMGKGCIPPHGVCLRVAREAKRSWKGSKA WSTPTNSGEDRKSGSATPTAESSGTFIQWPHTCAATRAHLRELCRLKAGSWTNNYKFT SRNITPFTQAAARHWNRRSTPARSPAPFATRDMSLSLAMSTSESMQPNGPLAQLAASH PEPPEPTRSPPLAGQTLRTFEGEPSFAERRRLGSPFNASSYGPSSSGSLAAVLGLTGS IPRRQSQTVGPRRTLQSPVRLSRSGTQKRRHTQSGVPRKRPSIGSDLWLDPSFGAAST TTTTTSCNSEKAAATSSRSNKISIPKIPSVPTLSSSASMPNVGGQRDDSVLLPPPRLG SPFTGESTNFSFPHRAHRMHQGASIDLGVLGRPFATVQHFSPPSNSPSTRNSLADRLA YIDQRLSELRQRDTHHQPESPL MYCTH_2295132 MVSFLRGWRGQFALAALFFIALASPAAASIGDQLPEFKECVEIC KHENCGPGAEQQTAIPLHRRLLLWTCPAECDYTCQQIITAARQSRDPPQPVVQFHGKW PFRRFLGMQEPLSVLFSLGNLAAHYYGLHHQVRPRIPAAYTMRPFYVFLARLGMVTWL LSAVFHTRDFPITEQLDYFGAGASVLYGMYYAAVRIWGLDRPGNRRRLRAWTWFCGML YACHVAYLRLWRWDYTYNTLACVVCGVVQNGLWSWFSWRRYRQTGETWAVWPGVVVMW VLMAMSLELFDFPPLWGSLDAHSLWHLGTIAPAVLFYQYVTLAETALRRFCADGSS MYCTH_2087754 MSLTEATANPPPSQENSFPPPVLTLSKCILRPIHPMDAPAIQRA CNSPVMAKYMSYRFPSPYTIEDAHRWIGIASSFRVPGSPDILPSLVICDPATNEVVGS IGIKTKEDVEEFSFEIGYWIREASWGKGIMTEACRAYCKWLFEMYPKVNRLEADVFEG NYASVKVLERCGFVHEGTKRKAGTKHGRVFDIWVYGLLREECELLN MYCTH_2295135 MPAKSRFTRLDAFTKTVEDARIRTTSGGIVTIVSLIVVFFLALG EWSDYRRIVVHPELIVDKGRGERMEIHLNITFPRIPCELLTLDVMDVSGEQQHGVQHG ITKTRLRPLSEGGGDIDSKEIVLHSRDEAAVHLDPNYCGECYGAPPPNNAKKPGCCNT CDEVRDAYAQASWAFGRGEGIVQCEREHYSEKLDAQRNEGCRIEGGLRVNKVVGNFHI APGRSFSNGNMHVHDLKNYWDSPTKHTFTHTIHHLRFGPQLPESLTQKLGTKNLPWTN HHVNPLDDTHQQTDDVNYNYMYFLKIVPTSYLPLGWEKTWAGFRERHSAELGSFGTSP DGSVETHQYSVTSHKRSLAGGNDAAEGHQERQHARGGIPGVFFSYDISPMKVINREER AKSFLGFLAGLCAIVGGTLTVAAAIDRALFEGTVRLKKLRSKDL MYCTH_2295138 MATSVIVVGGGLSGLSAAHTIYLAGGNVTVLDKQGFFGGNSTKA TSGINGALTRTQIELGIQDSVKQFYDDTLKSARDKARPELIKVLTYKSAAAVEWLQDV FNLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRLEELAETEPHRVKIIKKAR VTSLNKEGNTITGVQYEHDGQTKTLNGPVVLATGGYAADFGEGSLLKKHRPDTYGLAT TNGSHATGDGQKMVMAIGGNGIDMDKVQVHPTGLVDPKDPGSKWKFLAAEALRGEGGI LLNADGDRFCDELGHRDYVSGMMWKEKDKGKFPIRLVLNSKASKVLDFHTRHYSGRGL MKKMTGRELAKEIGCTPEHLQQTFQTYNAIAEGKQKDPWGKRFFHNMPLDINDDFHVA LMEPVLHFTMGGIEINEKAQVLNKEKKPFEGLFACGELAGGVHGANRLGGSSLLGCVV YGRVAGDSASNYLFQQALKGSAGAARLGQIALHIDPSTPGKISVEWAGAGGNEAPRAQ AVPASAPAAKKETEEKPFSIPDKEFTMEEVAKHNKKDDLWVVVKGVVLDLTNWLDDHP GGPQALLNFMGRDATEEFEMLHDDEVIPKYAPSQVIGRVKGQEVTLKV MYCTH_2295141 MSHPPPKHLDLRSKSQASVSRPLRSPRLHIAGEVPPELSPLDAF ALQSRLLAKQLEDSAKQGRRMSRLPPLTDDSPLIVQGRSDYFRSLSQDSGSEEEHPPL QNVGLGLKTDIDDDVANRPRSMHPRLSQIPPTPDNSIPVPAVPNWSNTDPLKAKQPSA PAPDEGFFGVGARRERSPSPMGTGPSQRQAEGRSATQDSLPCHSPGAGVSVTSSPDPP AQSSFDAAGLVPPRPMFTKRSSSLNSSPLESTDEEGISTMSTSLHSMDSRKFSSSSSV FSPAQPSFQRSPSVASDASGLPRPQFNFSRPLSRAGTPSVEAPARQASSDSHNSSLAA DDTVCTPRSFTSEASREPTVDEGTGAAPSYIYSKFSLPRGKPVQRSSMILLNDPPARS SMEQAVSPTSNAAHPGIGHPPPSPPARPPSSSENHQSDASRPSDEEHRGRSLGSHMND AAAGKSLASASTSDSASTIKASKSVHSVAPTMSDLSAEEHVNKAIALHESGALQESTW HLRHAAKQGHPTGMLLYALACRHGWGMRPNPREGVEWLRKAADSASLEIADEEDQVRE GKKVDVAERKTHKAQFALSIYELGVSHMNGWGIEQDKALALRCFEIAASWGDVDALAE AGFCYAQGIGCKKDLKKSAKYYREAEARGMNMVGNSWIHKPKYNDDNDKQPRSKSKSR KSIFSRKKD MYCTH_2136659 MPGFDFSNYNRNAALHARGVPLPKATSTGTTIVGCIYDGGVVIA ADTRATSGPIVADKNCEKLHYIAPNIWCAGAGTAADTEFTTSLISSNLELHALSTGRK PRVVTAMTMLKQHLFRYQGYIGAYLVVAGVDPTGTHLFTVHAHGSTDKLPYVTMGSGS LAAMAVFETQWKPSLTQEEAVKLCADAIEAGIWNDLGSGSNVDVAIITAEKTTLRRNY IKPNERAQKLKSYAFPKGTTAVLDEKIIHKEDLSKFVTVHELTEQSGDVMEVDA MYCTH_2295145 MAFGFGGSSNTMLGASAGGAGVTQGNDLEVIQTESLGFLSIAGD AKVQLTSKWSPAPAPTASLLSIASRKGLVAAAGPDAVHIATTESVRKAFEGEKNGDSE VRSFTPQAKVPFPIRISQLAFTADEQYLVLSAESGGGLAVYDVQALTQGATQPAFEIS TNGETLRALIPNPMPESANFCAIVTNNGNLFMANLAERQLVSGSNGPTLRSQVSSAAW STKGKQLVAGMADGSIYQMTPDGAEKAHIPKPPNLGDYHVALVSWLENHVFLVVHNPT NGQDPSVFHLITRQQPPGGTPSFTFQKLADPVEPFVSDKVPHHTVLRLKDFPPNLQDL LLVSSTATESVGLLSRSKTPLATDKPAESITNVFTTTELADDSKRAQLPMSEDLTETY PIGAALDLSSKNKVYKPIPADEIEFSPGPLPGLWLLNNEGVLSAWWIVYSESIRGGTT YPGIASADAAPSVFAAPAPASTAPSAFASPASKAPTFGSPSSVTPAFGGPSALGAKPS PWSTAASSSTAPAFGSSSFGSSAFGNKPAAPAFGQPSGLALGQKVSPWATGSTSGATP AFGQSGFSSAGASAGKIFGSAAPASGGFANFASKGGFAGLASASGGSSIFGSKPGAPL TSSAPEVSMDTDTAFPPPAAKTDKPAFGASPFVLGTTFKADKSTADDNDKPKEDGKSL FGSGFGLSLDDAAKKPAAPESKDMDMESTTPPPVEEKPKSIFSQESTTPTTTPAPQRV DFKSTTPASGPGLFGSKPTSASSLSNIFGAPKPAASIFGTPKVKQEEGDKADLSKIPE APLPPDTTISKPPAKTEDAPLPPDFLGKPVKKEPISEAAPLPPDSAFTKSKPEAKEEK PSTTPPEEAPLPPDFLAKPPAKEAPTLPSVPDSTSEGPSEEEQEEEEGEEGEEGEEGE EGEEEEYESEAASEGSGIDVAKDLSPTTGFSRQTPGVTPQSSFGGMAGSAFSTISRTE ADQSRPLFGDISKNAPPLFPKPAPLLQSPRSPSPVRGPQRSNLLRPSEPGRSLSAPGV ASQLLGKKTLPAQSALGYSAGQRAQVDPNVQAQRKLAEKKRAEEQVLVDPEDQGIQQI LQSKLEPTLQMHEFFAVRSKIEPLNPGREEVPGACETLWRDINRMIDILGLNSRSLQS FILGHSTQFKEGGRTKEDLENMDDWVLVEASELGAVLDDEMARCAKGRIQDVQAAEDA IKGFARDLAKLRAKEEDMRKIISTHIDPDQLAVAKSLPLSAEQATQQNELRRSYATFT RLLAETEEALTMLRTRIASAGGASGKTPVPTVDAIIRTINRMTSMVEKRSGDIDVLES QMRRLRLGSVGPGNGTPGPRSREGSPFATPQQQRRSLLLSSPEKDGSASSRLRESMAS SVASYGGRGGTPLSSSVSSLSSPRKKLSMYTAEEKRELRARQANRRGALQMLRNSLAK AGPNVSRLRDD MYCTH_2313457 MAWTIFRIAADLSHITAKCILVFSIHRNRSSEGVSLLTQLFYAL VFVTRYTDLFEESFAWNYFFKVFYLLSSFYTIAIMRFVYPRTREREVAWKLSALILTG SLFLSPFIMLIFDPSRMWGFREWLWVFSQVLESVCVLPQLLLLRQTTVPTVITSFYIV FLGSYRGLYLINWILKELDTNMRKPNPISVIFGVVQTALYLDFAWVYWSRQRVKLRNG GVVDADDLSRGWLLRRIFGSKRFARDIDGADANDDDEENAFNHHHVHGGGSVRPKWGS RGISISADEGVLEHERERGRALADDDDDDDDNDEEHGVTASLDPDAKMRDPDELARAL DEEDDEDPAGAAAPGSSASQQKKGKELHTDANGMPSGVRNDEWDDD MYCTH_2295152 MLEISISVSSFPSLKSPRQRLLKNPKSNSPRQQQQQQQRQRGPL SSIISATKRLSFDPSPSPPPPERTTPTARRQTRQTHQQQQQQFPEEMEVTESPADAPG SGRRRPLRLGAGTPVVGSSTLLQKVVDHAGGEGGEDQSELHPHQLGNSSPIERRVATR GRTKGRRVGVERRAAAAAAATATAAGDGGATTDAAVSPSAGRGAGRSAMPGFGGLLGK PAQIKQPLARGQSSSGPMEEEGREEEAAAAEEDDEDEEEEEEDNIVEDSLNRSEDGEE QGVQEEEDQQEEGAQEIGDREAAQLLGRKRPRRSLPAPSPELGSGAAEESPLPKRRRR READSPAQQQQPVRKARVGRPAKPSRQQHPPSSPSQQPEPETQAQPKPRRQPKAKKQP RKPRAAEDGDGDGDGDGDDEGPSGSVAVTVQRFRKPQGAGDAAAESDDEPGAKALRGD IPFANRGGVNAVDVLSTLCEELIEAYMGKLEERGRAAEDAATRREQKTMYRALEAFRE ELRTRLLEHTIALDTLHALRKRVRAAQKERLALRDEILRIRAEREQVALRMDAIRIRH EATSKKALRHMSLSSAMHDIDMAVEKGLAAPELSPAEQKKADLANLELLISRVADQAC TKSDGGGALKQIKEFNGFLERAAAVLERR MYCTH_2295153 MGWFDGWFGGSDNSSSDPLRRLDPKLREFLERESPVKYNAPPTS KTAAAPPQQAQQQPPDQAAQPPTTTATTNATTNASDSAVEQQEQPRVPPQSLFPDGRY AHLWKTYRPLAEIEAETKSDNEKLTDVIEAFKERRGLIGRAALENCAEEQVEWSRCMK SGSWRARMTMCRDEVHKFERCYNAQSRLLKALGYLSVQGRSPEVDEDIQMRADELYHR MLDQEREAEKARAEGREVPAFKPLFDDDDDNNNSNSNSNNNNGGRRGDTAAAGAPSSE AAKVPEPSAATLASWKEKLEKLPPEEREAEEKALRAEHRAKAEMAMQIQKLWEEQAKE REARKAEGKETIMDKFASLAASWRGPKSSS MYCTH_2295154 MILSANVSLTVLLAAAAQHVVAAPQRNSNGTARQAPSNEDGNGN GIVRVQTVANPAPAPSAIPSEVLASQQSSAAAVASAVAAANEAAAASGLKELPGLQAD ESPASLLPLPALANGDAITPPAGADSSTTVTPGPTEVASQSDVAETQSLEGGLRQLPG LGAGAASPSSLAPLPTPPSVDTSVSHVGRVEGIHPVD MYCTH_2295155 MASSTKIFSLEGRGLKLDTAEDLEPHIADLRAMADVEEVHLLGN TLGVGACKLLGEVLATKKSLRVANLADIFTGRLLSEIPEAISSLLTSILNLPNLNTVN LNDNAFGLNTQAPLVAFLAEHVPLQHLYLNNNGLGPHAGILVADALSELHAKKEAARK AGQQVPDLETVICGRNRLENGSMTAWAKAFKLHNKVKEVKMVQNGIRQEGISHLLKEG LRYATQLEVLDLQDNTFTLLGARALAAVTPGWTELVDLGVGDSLLGAKGGVVLAKALK KGKNTKLKILRLQYNEITSSGVKALAEAVEEALPALRKLELNGNKFTEDDESIIALQD LFEARKEKQAGDVVVEDEWGLDSLSDLEEESEGEEEEEEEEEEEEVEPEERAEKLVKE AEEAQQEPVAQRKDKEVDELAEKLGKAEI MYCTH_2295158 MTRPDSPIEDSPLSSMASSEDEFPDEVTEVLDDGPPPKRLKITA RSNTPSAVAPEPEAEPDPLEGMSDVSSDTDGDVPSSPVNARQDEDDFQEQITVCAWEG CKTGDMGNMDKLVEHIHNDHIESRQKKYTCEWVGCSRKSMPHASGYALKAHMRSHTRE KPFYCYLPECDRAFTRSDALAKHMRTVHETEALRPSDPVPKSMQAATGKSKNLKIIIK TPQSHASGQDDAVDDASPGDESSGDMFTPLTQEQGFSPEELNMPLERLVKLCRLQVKW AEEEGEQLRAECKLWEDLYKQEWLEKEVLLDQVVQSEQAWQKRQKALLEGDADVAPAG NGEAAPADASASREEPVTADA MYCTH_2087774 MDSVSTYSAASLAWLAIQATTLIVWPSLISTLLRADGPAQGAPY GVANSNASLEQYFARSLGLAQLALGSLLLVLSGALPLDSPANPPDNTSNPTPSASAAV LLTTLYHAAAAFYAYTRYHATRGLTAYLLGCLASSVLAAYGLGVLLFAGDRNRRVSRR TGADKSTSGWPFKNAEADKKKKKRS MYCTH_2295162 MSAILSADDLNDFISPGVACIKPVETLPAQPPSSSEQPQSLEFE VILDGQQPSATGASTNAPAQISLTDCLACSGCVTSAEAVLVSLQSHNEVLSMLDSAPA LRITRDSDGGSYGAGKFKVSGLEDPAAKLFVASVSPQTRANLAAACGGGVTARQAGWM IEQLLMGPAGLAAGGKHGNGFTWVVDTNTAREACLVLGSDEVLGRREGQGSSDSPTPP VLTSSCPGWVCYAEKTHPYVLPHLSRVKSPQALMGTMLKTALSRLLGIPPDRIWHLAV MPCFDKKLEASREELTDAVWAGSGKPGKGVRDVDCVITSKEVLMLAASRGVDFFGLPK SAPVQQPEFPDPTIQRFLFPAQHRKQVREEGTSGGNLHYILHDVQSRHPGSRIQMARG RNADVFEYSVVKPSGEAAFKAARYYGFRNIQNLVRKLKPAKPSRMPGGKPVGSARRPG SKSAGLDYAYVEVMACPGGCTNGGGQIKADDQVIIDRKGLGEKPGPDEQKAWLAEIDE AYFSGDEPDAAHGIGNGNSTGLVAGISPSHIRDTLAHWEDITGIQLDRLAYTSYREVV SDVGKPVSDTERVVQLAGKIGGGW MYCTH_2295164 MSKGGRVPDVWEDDDWEVLADRAAKEEPPKPEPQAPMTRAERLA KHAEEQRRLWQSAEAPDDPPFLPTMADQVPLTMPFKTPIKVLSRKPAPQVLAKRDPVT GLEQLTLKEDEDDEGEEKKQQQPQESPEEIRKRQQRELEEKQRRYEEARAKIFGDSNP SSGQSSPRTGTPPSGGGEGRQGHRGRGRGRGGRGRDQDNSRQDRRQQNNQRQNNQQQN NQQPGPTRELFDPGYSPKPGFNLQKRGDSYSQSGTDTRSNIPREEDQVIRAPRGPDGS GRGGFGFARRGRELG MYCTH_2295168 MNSDDLMSDPAHPANHSSDSKGGVWNTKKFRDEYEMYKNRLQDQ RFSVAEYPDPLSPRPPHPKQYPSGTSPELERKLQELIAQVKAGRGVSGSSAA MYCTH_2295173 MSYFNQSGASTPSRALSLAQPSHGEEQEGSRQKSGNGIDRTAAS TPTPPGAQAPAAKGKLTIKVTEARGLRKCQAPYVVVVFQRSELISSGPRPTEDDDEAA INAVATGGMPMKRQGSDSGRPMAIPMRSRQSSNTSISDFNTFRNRNTRRSFTNPKWDA EAIFDVVDSDKLVDISVYGRGQSGEEFLGHVDFQAVTTEKEPPVRGWFPLKGHADTMA ENAPTGEIYVESFYQRTEQKHFGPEDFQILRLIGKGTFGQVYQVRKKDTKRIYAMKVL SKKVIVQKKEVAHTVGERNILVRTAMADSPFIVGLKFSFQTPSDLYLVTDYMSGGELF WHLQKDGKFEEKRAKFYIAELILAIQHLHENDIVYRDLKPENILLDANGHIALCDFGL SKANLTKNDTTNTFCGTTEYLAPEVLLDESGYTKMVDFWSLGVLVFEMCCGWSPFYAE DTQQMYKNIAFGKVRFPRDTLSLEGRNFVKGLLNRNPKHRLGAINDAEELKQHAFFAD IDWDALSKKLITPPFKPQLKSDTDVSYFDPEFTNALNTNGSLNERAAALAKGYATSTP LSPSVQANFQGFTFVDESALDDHMRDRFSKDEDEGMDDADGKADDDWDDLNDVDARSS HRMSGVVRGSNNDEQLFGASGFDM MYCTH_2295174 MISRASGARKAVAAVSRLATRNAVPMRTFMAPTVSRRADFVQEL YLKELKAYKPTPIKESDAVGQVATFNLPKAPKSPEEADLASSLKEYEEMAVEVEGQEG AAAGQPAPAVQDWLVEEEEEPHH MYCTH_2295176 MKAFGCPLLPAALLAAAPAVSEPVWYCPPGSGGTCYSIAIPVSS ARAGSGNIYFRIKAPTSLQWVALGTGEGMVGANIFLMYQDGKGNVTLSPRLGVGHSEP MLDTSSTAARLTLLAGSGVSEDGSTMTANVACSNCESWDGGEMSLQSSSSGWIGAWRQ GPSLATTDRATTIDQHDNVAQFRVDLTKATVSADSNPFLSTGTDEGGDGSEPGAGPDS GPGSDPGSSGGFTQISGSSKPAVLVAHGIIMALVMGLFYPLGSTIMPLIGKWWVHAAW QAVAFCLMWAGFGLGVVAGRRILGDPWNETHTVFGAVVICLLGIQPVFGILHHLHYLK VQRRGLISYVHIWWGRILMVLGVINGGLGLKLAGEEDGPVVAYSVISGVVFLGYLGYK LCRFFRHGTSETHKMAGEAPAAA MYCTH_2295177 MHSKADPALNTVRGFNGTPVVSGDEDSDYCPTPQPGEKGRAKKV RKPHAIDDVVSANCSPGLRPQVSQGGLSGISRLRMEFDALNIAGNSTANSTAASSRTT SLTCSESLRSPFAKDATATSSGRDSGYKSRTESIASSGDETASHSSRTSTRYEINLEN DFASEANGRAAEGAVPPRRKMTADDFEQLRCLGKGAYGTVLLVKQRTTGRLFAQKQFK KASLVVHKKLIEQTKTERQILESVNRHPFVVKLYYAFQDQEKLYLILEYGQGGELFTH LSTERMFSEETAAFYMAEMVLALTHLHNDLGVIYRDLKPENCLLDAEGHLLLTDFGLS KVAVDDADACNSMLGTVEYMAPEVIQGKKYGRAVDWWSLGALGFDLMTGHPPFRGPNN AKIQDNIVRQKLALPFFLSPDAKDLLTRLLRKDPSKRLGSNMPKDLDTIKKHRFFRKI DWKRLAARELEPPIQPVITDPELAENFSPEFTEMSLSPVVGRFADANGGKDGDLWGDV GCDGGDRHGGAEDEPGKDDLFGGFSFVAPHSLLESHGFRVPA MYCTH_2295178 MMHPSRKAYVEDAEPENQGGVDLDAIPIDRDYNIPSASAGIAPE KASALLSQFERRRLAATIAVPTDDARVRAKLRELGHPITLFGEGPADRRDRLRELLTE QVQAQQASAQEKADVEMQDAEKEEEEEEAEEQEEEFYSRGTDELLQARRDIAQFSIPR AKRRIEFQKREATIPLRTHAKFRKGIKDRLQSFELQGSQTAGDRHVSMTRISPNGKMV ATGNWGGQVKLLDIPTLEHKRTLRGHTNKISGISWMPGATLPERNVSEETVNLASGGA EGQIHLWSLSQDTPLATLSGHSQRVCRVEFHPSGKYLASASEDTSWRLWDVATSTELL LQEGHSRGVYAVSFNTDGSLLASAGLDSIGRVWDLRSGRTVMILDGGTDGHIKPIYGL DWGSDGHRVLSASADGWIKCWDVRKVQQQGGIGAHTSAVADVRWFKGLDDPVEGTPPG QDEKGAQLPKKSGTFFVSAGFDHKVNVFSADDWALVQPLTGHTGPVASVDVSRDGRWI VSGGHDRTVKLWGRNDSAGMFGDD MYCTH_2295180 MSSIDRYRPLREGYQPPSLPPKPVPPAIDRLSKSPSRRRDIPPA VPSPPTHSSRTSPPRPQSRRSAPSPARSSPPRTSQSRPNQWFFTADEVASSPSIIDGL PLAEERLRRAKGVNFIYQAGILLELPQLTLWVAGVFFHRFYMRYSMVEERGGIHHYNI AATALFLANKTEENCRKTKDLIIAVAKVAQKNTKLVIDEQSKEYWKWRDSILAYEELM LEALTFDLLVDNPYVRLHEYMGQLNLLRNMRLRDSVWAFCNDACLTVLPLLLNARDIA IAAIFFATAVTREKIDDVNGEPWWKYLRGSETHTVNAVNLMIEFYKENPLRKQDSKVP GSPKFDLESTRRRGELSLSQMDLDSSQYNTPTPPAGTDRGGTQSPRPGGARPNGRTGG ESGDGKEVAIKKEDLSDSSAARIGAAGAEPASQAGDRGDSDAALKAAANDLSTHRRAS ASSLNGSAPISEGGLVSPRIRRRSEDPGGWEEREAKRPKLEEDDDDDDVDEGEIKGS MYCTH_2295183 MIVAKEFNRNHFARDSDFTISTNGPQPPTIVQFGANSPQELARA STLVAPFSNGVDLNCGCPQSWACAETLGAALMEKRELVRDMVVETREYLRRDGWGVGK EKDINNPKGRSVSVKIRVHKDLRKTMDFITTVLGPDQDRHIDWLTIHPRTRSTPSSIP INLEALEVLTSTFGDRVPILLSGDVFALNALPFTSPLLASAPTFTQSISNTKSHSTTT TTTATISAAAAAAETLTEDSPNPSDRRPSPATYHIPKLAGLMSARALLANPALYAGYE ACPWEAVETFLSNVARAPLPHKLVLHHLSEMCGPGMGPNKASLLTKQERAAMLASGNM LDLIDYLDTVRGLKRRGEQVGLS MYCTH_2106437 MTDSRNPVIGLLGGGQLGRMLCEAANPLGIDIAILDEDKSPAKQ AHDTSRHVTGSFKDPARIRELAAQSDFLSVEIEHVETEVLEDIEKNGVEVKQADGSTK THKPPVHPSWRTIRLIQDKYLQKEHFRTSDKAIPIADQVAIESGPAASSSLKAAAAKF GFPFMLKARKGSYDGRGNFKVSSEADFDAAVKALGGLSLYAEKWAPFVKELAVMVIRT EDDNGRLKSCVAYPAVETIHEDSICTKVFMPPRNVSETVCEAARKLATEVVSTLWGRG VFAVEMFLLEDGSLMVNEVAPRPHNSGHYTIEAVPQMSQYKAQLHAVLDLPVPQKLTP RVSSSIMLNILGGATPSAHLKLVELARNTIDDDMDVYLHLYNKASKPGRKIGHITLTS ASPIHELEAKAKPLIELVDQIRIDRINASAETLRPKQDPPATSSSSPAPATEQKKPLV LITMGSDSDLPVLKAGLDILREFGVPYALDITSAHRTPRYMMRVADEAAGRGIKVIIA AAGGAAHLPGMLSSETPLPVIGVPVKATHLDGIDSLLSIVQMPRGVPTATVGINNSTN AALLAIRILGSFMPEYQDKMRKYQGEMEEQVIVKGNKLREVGDIEYLAAKGK MYCTH_2295186 MRHTTLSPLLSSLLLVGRLATAAPVVNADGDCVPYTICVDGVNA CGIRWGECYNVCDASAKPVAPPCPGTEEPPSPTTRVTTKVTTLEPKPTTTKASTTKGH TVTKTVKPPVTPTPTKFTTSTTRPSSTCSTDVTVCWDGINECGMMYGGCFPDCKPWPT FTPPPCPTTTTKQTPTVITSVTMLPILTVPHP MYCTH_2114542 MRLQALTLSLLAAAGLASPIRSAASEELYTLRLSSADKSLDGRY LTTIPDSGNANTTALVVYTSSSKPGDAFIKFHPVVNPSTKLAELRTPSSSSNVALAVV GTNGLFDFASVADPDDTADDLPEGTKVDWTSFRLHQEGDIGTVEYEGDDDAVAEGNWF AFPIRVSEATKEGEAWGVKWKDGSAWTTTDYLPVKVVYEPAYVIAKDE MYCTH_2295190 MAALRSTTSMLLGAASRPALRATAAVPAAVRGMAQVSDATASGD KPRLKTFQIYRWNPDTPTEKPRMQSYTLDLNKTGPMVLDALIRIKNELDPTLTFRRSC REGICGSCAMNINGTNTLACLCRIPREDSSDMKIYPLPHTYVVKDLVPDLTQFYKQYK SIKPYLQRDTPSPDGKEYRQSREDRKKLDGLYECILCACCSTSCPSYWWNSEEYLGPA ILLQSYRWLADSRDERKAERKEALNNSMSLYRCHTILNCTRTCPKGLNPGLAIAQIKK EMAF MYCTH_2295193 MTFQTPGAPAAKRRRIEEANATLRKPFRSPLISRRDGNPPGSES QQNSPSVNRGSFSTKINAQAPTTPAPARGYRSSSAATPLSSVQNRAPSAAGPKAPASP TSRQSCNPGRGTTELRPGTAAGENPAEQGDLLHQLHLAQADLGNLLRSTQSRLDLARQ ARHIEQASATKSGPGEPVDAELRAMTARWKSTSRQAAEELFGLIRERVEGMGGVKAWG ATRRWQHGSSWATMEGESTGGRKGDTVGEEGNGVFEGEEVVAVEEEKKTRDEEEEEDA ESSLNIEPDVLGYDPVEEKWRD MYCTH_2295196 MKGLLWLSLLLQAIAFTFADAQADQHVLGGDAAEKTDGDSFRAE IAEQSRGQTAREPGSDLVDAALAELHKLYQPLHHRARKQHGIFGTLLHLALKAVPTFR LSAPTSESSSRHVLSGKLQQATNLLEESARKNNSDALYILAEMNFYGNFSHPKDFPAA FDYYHKLALLNGNSSALYMMGLMYSTGIGGAVERDQARALLYYTFAANKGHTRAEMTV AHRHHAGIGTPKNCELAVKYYKRVADKAIAWYRSGPPGGRAWIAESHRIADDNGGAYG EGASVASAGGNALKAHPNSDAYASIEDIIEYLDLMSQKGDFKASFNLGRIYYEGQRGL NKNVALARKYFLDVAQKYWRKNRPVDNPKTGLDRTAGKAAGFIGRMYLRGEGVEQDFN RAKFWFERGDSLKDAQSQYGLGLLYLNGYGVKADPSRAIDYLKTAANQDYAAAQVQLG YLYLDHGSNEDVATANHYFELAARWANIEAYYHLAEANNIGLTHDRSCNGAVAYYKNV AERAEPLVSSWAEANLAYESGDIELALLEYLGAAEQGYEKAQNNVAHILDPDKSRLPL ARLLSRHPTSPLLQDPTLALIQWTRSSRQGNIDALVKMGDYYLYGIGAEPDVDKAVQC YTSASEYHQSAQALYNLGWMHEHGVGLDQDYHLAKRYYDAALATNEEAYLPVALSLLK LRAKSAWNTLTHGRINSIQDEPTPRKEWSLSDWINNFLQDDDARYYDDLYDEQYEDEM PGGDPLDIDDGVMESVAILGLAAALVFLIMYRQQRQQAIRRQEEEARRQQQQQQQQQQ QQQQPQQQHQGGAGVGNQQQPPQQERGFFPRPGDPDFGQWAAGVVGH MYCTH_2295198 MYQLVNAEGYKYPGQETYVLDILPIAAGFATTASDQTLSLFDPL RLSQGPVKKIKTDHGNLTAAEVYSAADSVVCTTGQNGTISVWDLRLDPSSARAMQLGG NLPGLLSLACSNETNSVAAGTELANHQASILIWDLRSASAPRMQYNEGHSDDVTELAY NPSSPTHLLSGSTDGLLNVTDTLVADEDDAVRATFNHGSVHRAGFLPGATEVYAASHD EKFALYDTADETPLKGAATLDLGDIRALLGCQYLADVVPKQAGMGAVVGVGAQDQEMF QLIHLAKGPGGWGLDRDTIVGLPGAHGSEIVRSFCFFDEQQIVFTAGEDGCVKAWRPG S MYCTH_105847 MAGLPPVYIVSAARTPVGSFLGSLSSLSATQLGSHAIKSAVERV PQIKPEDVEEVFFGNVLSANLGQAPARQCALGAGLSEAVVCTTVNKVCASGMKAIILG AQTIITGNASIVVAGGTESMSNTPHYLTNLRTGNKYGDTPLVDGVQKDGLRDAYGKQE LMGMQGELCSQELGLTREQQDEYAINTYKRAQAATAAGLFTEIAPIEVSGGRGKPPVK VDRDEEVKNLNEEKLKTVRPAFIPNGGTVTAANAAPINDGAAAVVLVSEAKLKELGIK PIAKIIGWADAARDPSHFTIAPALAIPKAIKHAGLTEKDVDYYEINEAFSVVALANIK LLNLDPEKVNVFGGSVAIGHPLGASGARIVTTLTSVLREKKGRIGVAGICNGGGGASA LVIENLQSAVLQELAKPNL MYCTH_2295204 MDDDLYDEFGNFIGEEAEASEEESEHGVDVGNYAYDEYPEAAAE APAAEQMDIDDEGPSNAVVLHEDKQYYPTAAQVYGEGVETLVQEEDAQPLTQPIVAPV EHKKFSIEEADLPPVYFDRNFMTDLMNFPEQIRNIALAGHLHHGKTAFMDMLVLETHA ITDRLDKRTGKKRDEQLRYTDVHVIERDRGLSIKAAPMSLVLQNTKGKSHLFNIIDTP GHVDFVDEVAASLRLVDGVCLVVDVVEGVQANTEQIIKHAVLEDIPLTLIVNKMDRLI LELKIPPNDAYYKLKHVIEEVNKVIEDTIPGRGVEKRVSPEKGNVLFSCTSMGWCFTL ASFAKMYSDSFGGINIDEFARRLWGDVYFNPRKRNFTRKPIEKEAKRSFVNFVMEPIY KLYSHTISESPEDLKKTLGKLGIELKPSQYKSDPKVLMKLVCEQFFGPSTGFVDMVCQ HIPSPVEAAEKKLSQYYTGPLDTKVAESMKKCDQNGPLVIHVTKLFNTSDAKSFYSFG RVMSGIVRPGTEVRVLGEGYSIDDEEDMVLGKVSDVFIAETRYNIPTDGVPAGNWVLL GGVDNSIVKTATIVDKKFEDEEDAYIFKPLSHFTESVLKVAVEPINPSELPKMLDGIR KINKSYPLIATKVEESGEHVILGTGELYMDCVLHDLRRLYADMEVRVSDPVVRFCETV QDMSATKCYAITPNKKNTITMAAEPLDDGIAKDIESGAVRIRDPVRKTAKFFEEKYGW DMLAARSIWAFGPDEMGPNILQDDTLPTEVDKKRLNTVKESIRQGFSWATREGPLCEE PIRNTKFRLIDIELAQEAIFRGGGQIIPTARRACYSSFLMASPRLMEPVYSVSMTGPQ DSVSTVYNILARRRGHVLSDGPIAGTPLYRVDGLLPVIDSFGFETDLRINTPGRAMVS LVFDRWSIVPGDPLDREQVTRPLQMAAPLATARDFVLKTRRRKGLSEDVTVAKFLEPE FYQKLLEEGTLDGV MYCTH_2295207 MERDLDNANPTILSVSQLPSRRRKGLSTRNGPDSKCDSIVYPKH AWPYGSYPASDDEEYGEEPMDEQDIYDLISTISDPEHPHTLGQLSVVRLPDIHLNPSP AELPDPDSLVTVLVELTPTINHCSLATVIGLAVRCRLEQTLPPNYRVDVRMKSGTHAQ DDQVTKQLGDKERVAAALENDTLQRMVDKMLETCA MYCTH_2295212 MSNDPTTRRLLPQTSQMASFSFVPPAYQQQPRETQKNYVFVDEH NRHKRLKVMRACEGCRRRKIKCDAATTNTWPCSACVRLKLHCVRPNGFDSSDSQVYEP PQPQIEVQHTPDGFGQQPPPIQSQQLLAHAPKPGALYQSQSSYQDTSGLYHPVQYGEP QPVPHGLHYSPVHHQSVGAVDQQYAAQTAAFPTPPLQHAPNPGSSEETYQSEYAQQDL ADLLGSLKVNEAGTAPYLNSKMQSARDEEPAVEEDGDEYKTSLPPLTVGPGSKIRIPP ELMPDDDTVLHYLDLYFVNAHPYVPVLDKNHFYHQWHNNRDSISPLLLEAIFAIAGRL ADEPAQGQQWLAMASRHADSFMDVPRLSTLQALMILLKARESAPKKGYYYRSWMSIVQ CVQLGKDLGLDEHYAEHQAGRGCGASQAECALKTRIWQTLFVVELMIGSPQGRTDFKI DEDSVDFNLPRPLPNGDESEYHVSRNFTYLARIVRSVGRMARTYGRLKKTKDWGIDPE FVQLDPSLNAWLAGLPADLTISYPADGSPPWLPSAFVGNLHSYYYLSIILFNRPQLAA LSPSTPGGQWKQHMVVSYNAAKMLCRLQEAVINSFGLNGLQCMQRGINFTIYAILSCI VLHLVAITSPDPDLNSEARDYFTRHMRILERCMSAWPMPDMQKQIDSVREAFSADIRK PFVLKPTFPYGSPHSATHPSPPGRPLDSQMQHSQVSYTSHPLTPVSVGPPDTKGESPS VQSLTTMASVHGSQAPGVGQTLPLSEAPAWNPSRIFDQWNTSFGTPQIAQPSPPSVAA QANSLGGVESSGLQNMHTVHQNQLRAGAQMPLPPYTPAPPQTFVTPAMWQESVASVYE GGLKRAWDYDAHLPMKRH MYCTH_2295218 MIVAPTYISAGEPLMKTAGVALCGIIPAVYVAWTTSPFVAAMHL HLPPYARWSPAILERFARTAPPGTRLDVTTMSLIGKPRVSSMTLADLRPARRRLGTVN YARDTSRLDATRKWWRFRAVAEFSVQEGAEKRVKTGWVWRDIRDGIAKRAAAQAAAAK Q MYCTH_99040 MRSPLLSLVALSATVSAQLVVPLLRSPFALERAQAPLMNPAGPG PALPPEPSPGTPAGNGGGVVLSDVMGRDRSMNLFASFVRDIESASRLLEDSSENTTVL APLNSEVEKLPRKPWEDPKDYGALGPDAYEGGEGRERAQRNLRRFVEAHLVPVSPWRE GDKVKPVGGGREIWWEEKEGRRLIQPDGIEIINVAGDVRNGQVVGFELHDGMNSQPLH AGSGFDRDRDLDEQRHRPHHQDELARRERERERDRDRDRERDEADRHHREPYPPAGSA SAGPHHSTAGSLPIHQPVASRISNSITGPGGLLANHGSSAPSLPISGPSAPAPAPGFG GPLHSEAGRPPQQSGGPTHGGSAQHQMFAPMSHGPSGPSGPGSSIGGPGGPGSMYGGP LQQENGRGMPQDGARAMQQLPFGSGMGHGNSMPPGPGGMPQGQQPILNDALSYLDQVK VQFHEQPDVYNRFLDIMKDFKSQTIDTPGVISRVSELFAGHPNLIQGFNTFLPPGYRI ECGLENNPNSIRVTTPSGSTIHSIGAGRASQHDTAQPGGGASQGYMNTRPGWQAPLPH GTESPEATFSVPAQNGPSGYPGPSHGAPFDGTSPIQQRSAPANQNGAPVNHPPAPRTA HTPTPAAAGSAGTNGGPAQQANLEKRGPVEFNHAISYVNKIKNRFQDKPEIYKQFLEI LQTYQREQKPIQDVYSQVTSLFHTAPDLLEDFKQFLPESAAQTRTTSQRAEDNNMAMA ASAPTPQPGHVTRDGPKMPPVGNFAPPTSASKESKKRRPEKATTAGPSSSEQAQTSSL RATLPAVPPTNKRAKLAHKPNVSESTFIEPTLTPVMPEPLAPTPLAVSTQDDLAFFEK VKKHIGNRTATTEFLKLLNLWTQELITTDVLLYKANQFMGGNPELLNSLKAMLRQDTT DETIENRPEPPTGRVSLSNCRGFGPSYRLLPKRERLKPCSGRDELCQSVLNDEWASHP TWASEDSGFVAHRKNAYEESLHRIEEERHDYDFYIEANQKCIQLLEPIAQQMLSLPPS ERPNFKMPAGLGGQSTSIYKRVLKKIYGPEKGCEVANDMFKYPFTVVPIVMARLKQKD EEWRFTQREWEKVWQSQTEAMHLKSLDHMGIQVKTNDKRNLSAKHLVDLIKTKHEEQR RIRVAKGKTPRYQFAYQFTDEDLILDLLRFMVIFANVGGQHNAQERRRILEFFETFIP IFFDLPEDKVSEKLADIDQDSAEEDDEDAAPMELSNGRSRRNGKKSDLLRGVLDPSRN GSRSRGQKESSAASGSKETTPDVGSANEEETPHTPEDSSVPEVSNGRWLPTVPGPIIV EKSKGGRGSDLVGVDGELKADAPFPRAWYNFYCNQNIYVFFTVFQTLFQRLEEVKQST DSVLEEMRRENAEKPAKILGLVHDGLHYFDNEDPATFWPKTRELIEDFITGEIDENRY QEVLRHYYLRKGWKLYTIQELLKTLCRLALSCNNPDAKGEKTKELVKAYLDSRQQTET SFQNEISARKFAEKCIKDGEMFVIAWYPAKKEATVRWLQKDETTFYMDEMERIQQWQY YISSYVRVEHTEGVVRSRLQKVLLERNLPSDVSLKDSSDNDYATKPLHVNEGLVVRIC LNSSKMVFEKGTSDSFIFVSGGGERGSAEEEEREAEAKAKEAFRSEIRAEKLKEKMVT NNAWMKGLSHEEVQKVNNEYQMWKEKEAEIPSGHREEAADATMEG MYCTH_2295230 MAVFAMGWQKPDNVAGSSAPAIMVGLFVATGGLLFGYDTGAING ILAMDTFKEDFTTGYTDKQGKPGLYASEVSLIVAMLSAGTATGALLSAPMGDRWGRRL SLIVAIGVFCVGAIIQVCATNVAMLVVGRTLAGIGVGVVSVLVPLYQSEMAPKWIRGT LVCAYQLSITAGLLAAATVNILTYKLKSAAAYRIPIGLQLTWALVLALGLVILPETPR YLVKRGLKEAAALSLSRLRRLDITHPALIEELAEIEANHEYEMALGPDTYKDIIFGEP HLGRRTLTGCGLQMLQQLTGVNFIMYYGTTFFYGAGIGNAFTVSLIMQVINLVSTFPG LFVVESWGRRKLLIVGSVGMAICQLLIASFATASGNDNKPTQNQILIIFVAIYIFFFA ASWGPVVWVVTSEIYPLKVRAKSMSISTASNWVLNFGIAYGTPYLVDTSDGSPDLGSR VFFVWGAFCILSIAFVWYMVYETSKISLEQIDEMYERVAHAWNSRSFEPSWSFQQMRD FGFSDSGIPPAEPQLELQQSNASTSQSDTGGSSATHATAANPQDAKMVSQLANIDLSY MYCTH_2087807 MALLASTSLLLAGLYLRTTSSVQISETPSQAAGHPPVFTLPPSA DRGKNILPNIKDPKAIDPQTVCPGYKASNLQDTKDGFTADLDLAGPACNVYGTDVEHL ALSVEFQGDDRVHVEIRPRYITPGNETWFLLPEELVPRPSARQAYTPGPNDLTVSWSN DPSFSFTVKRGAIGDTLFSTEGKRLVYEDQFIEFISTLPEDYNLYGLGEVIHGFRLGN NLTRTLFAADVADTIDANIYGSHPVYLDTRYFTVDESGKLTYVANAKDMAAKYVSYTH GVFLRNAHAQEILLQSSGITWRTTGGSIDLYFYSGPKAEDVIRSYQQSTTGLPAMQRY WTLGFHQCRWGYDSWAVLQEVVDNFAKFEIPVETVWCESHSSAPRMGRNDPVRFGYEE GARFLAQLHANHQHYVPIVDSAIYAPNPENPGDAYPPYDRGVEANAFMMNPDGSIYYG AVWPGYTVFPDWVGAVLNGSGAIDWWIDELSRWSEKVAFDGLWIDMSEVASFCVGSCG TGNLTLNPVHPPFGLPGEAGNLVLQYPEGFELTNSSEAVSASSALSTQEAASPTTASP TSSFYRTTPTPGVRNVDWPPYAINNIHGDLAVHAVSPNATHHGGYLEYDFHNMFGHQI LNATYRALLKIHEGKRPFIIGRSTFAGSGKWAGHWGGDNAALWAYMYFSIPQALSFSL FGVPMFGVDTCGFGGNSNYELCSRWMQLSAFFPFYRNHNILGAIPQEPYVWASVIDAT KTAMRIRYALLPYLYTLMARASLEGSTVMRALAWEFPDEPWLADADRQFMLGRAIMVT PCLEQGASTVRGVFPGVGDGGKKTVWYDWYTGKAVTDEIKPGQNVTIEAPLGHIPVYL RGGSVVPVQGPGMTTTESRRNPWGLIVALDREGFAEGELYLDDGESLEPAAVTWVQFT ATNTSITAVPQGDYVDNNTFGNATVMGLAKGPVRMWLNRRVLDHANWQYDQDRAVLLL YGLNHLFPQGAWTDKWEINWE MYCTH_2295239 MLTSRLVLPAAWLMLLGSASAAPAPESAAAGTDATTSVTDAAPP PPPVPTNEDGSDAFVTCSNTDGPFKPFCLPKHNDVYYPGSTHYVTWDTSFFPSQNTTL RIVGIYANLPPAVVPEPAPAPDSDEEASSDPELPPSSETAPHGPHGQVVEAFSSDTIS AAWGFYQWHLDQSLLKSHGLKEANITLRIAALAPDSRSAQWYSGPTITLQNKPKKRKK PVHTPTPADDEVLYIALPLIFGFATFMIVGTFCWNRQLRRIGVGNVMGRANTRGRRLG ASRRDRAKNRDKESSIRLMESGGAAADSDEEGWSHGSSSAAERRVFERVDRKRD MYCTH_2295242 MAPIAKAVTVSLQDLKDGTVSSETLYEAFGPDSLGILVVKDVPP EFASLRHHLLSYSSYLGNLPKSELDKLENEKAKYLTGWSLGKETLKNGQVDTLKGSFY ANCAFYVDPKLSCAVPTEQFNPDNFPEYLSPNIWPGEDTLPGFKETFENLCRLIIDVA VLVARACDRFAQKEIPGYPSGYLERVVSTSTTTKARLLHYFPEDPSKEPAPVSVSDEV ANNNDPDEDDWCATHLDHGCLTGLTSAMFVDESESSPLVAAPEVEPASPGVSHLAPLP ELDSSPDPAAGLYIKSRTGRTVHVKIPRDCIAFQTGEALERITAGKFKAVPHFVRGAR AALCDGRIARNTLAVFTQPNLDEEVDMEQHITFGEFARGIVAKNTVS MYCTH_2295243 MVKPLTFKGDKKPKKRKRTDAGEGAGKTGDGTSERQVKAAKQDD ADAEPSEDDSWVSADAVSDISGPIMIVLPSEPPTALACDATGKVFAMPIENIIDGNPL SAEPHDVRQVWVANRIVGTEHYRFKGHHGKYLSCDRIGLLTATADAISPLETFSILPT ADTPGTFQIQTLRDTFLTVRPSRSAKASAPPEVRGDETEITFNTTLRVRMQARFKPRV KASREEKARERISRRELEEAAGRRLEEHEIKMLKKARREGNYHETLLDIKVKTKHDKF G MYCTH_2295245 MGRRKIDIKPIKDERNRSVTFLKRKGGLFKKAYELSVLCSVDVA VVIFGNNKKLHQFSSRDMREMLQRWTLHGEPNEYKIPADFNGGADDDDDDDENGSSPQ GEGVDPQMYPPQFQTQPQFPVIRNHTASASPPIPNGVFGPRGHTPQPQMASRPSSRND IHRMGANMVGQPVGPPGSQPGAVNGFSRSYMPQTAMYNAQNPHNMPPNMPHGLPQPHP QYSYPQQQPHPHQMQPYVDEQRRASGPATFPHQPPQGQQPGRHSVSPPQPPAQLPPQP VPHMSPPAQAQAHPIQSPPQQHLPPPPPNQQHEVPHIYVPTQESPATEPAPAPAPAPA HAEPKPKQDLVERARQPLLLNTNVKKQPPRKGGSIFTPIEENKSILQQHLAAFSRPEV KSEAPPSSRSQSIDAGSAPKGSNPSSPPSLPRINTQPQQPQIKRNGSLGTIPETVFTP PSRSNSLRVGGSNPRPQLKVRIPDESDGGSATNGSASPRGTTATDITSQPSRRPTDSH SSGVVLPPPSPSASATLLSAGATGPPNPFARPPPHQTIETPVSSLPSRFLGDGLMPSP NPFYAEWGMKGNEHNTLSPLTWSTPVAGMGPSFLREDLPPLKRKSPEPSGNGGDHETS GEALGADAKRVKVDH MYCTH_2295248 MAPDGDPDFDTLNDEDWKVQEARDDAAIQEIQKGLASGVGEFLS KIEQGASFDQAGKADDAVDYEDIDLSDDELPDEEPPASGASGEGPGLTDDNDDDDDLF GENLPSSPAGANGDVASSPHPMSADDEDRMDVDERPRESLEDLIALNFDHGPKPSDAN QDPNIPPPAESLAEAVKQAFPGFKENAVLPWNQILRPKQAHWISKKPVKPPKPLVPTK LSLDLEADQEKLFRIPGPAQSSVYQRIRDAEGRGLISLEEPEPLEQADLEVFNLEDDS DSEVVGGYTLRDIALVCDDWDSMIRLGDTLPNRAPSPAESEHVPDNMLIKTELDGDDE DWDRMFLDAPPKKRRRETPKGLPPIPRFTAPNFDNFEEATSKLGKRVILDMNDPYLLI DDVESERVAKRRKVQHKMVRMANGRLGRDLSQRFNFSSDTAYDALKENSQSKVRATLA SIPVEHSMTAKRLSWPYYKVKLSASDPHSYHRPQFQPKKDAFTFVKFKPPTVKKKKQL KGKRIPEIFQTSSDLTMNDNSTAILFEYCEEIPIVLSNFGMGQKIINYYRRSKGTDAR PEKRELGEPYILMPEDRSPFAMVGQVHPGETVPTLHNQMFRAPIFKHTPRSTDFILGR STTGRNGSTWYLRNIDHLFVVGQILPSMEVPGPHSRRVTNIAKNRLKMVSYRLLHRSD NVTLTDITRHVADSNESQNRQKLKEFLVFRKEQRNWVLPEGEELMPESEIRELVRPEE VCLLDAMQVGAHELENGGYEINDAMFKDDDVMEGDELPPDALANKMAPWRLTKAFIDA SHGKAMIAVHGPGDPTGKGLGVSYLRTSMKGGFLEQLHGPLATSADAIERQRKANGGH MYNVKNQDNLYTESLRDIWNRQKQSLEDTQEHDDDDVLAQEDEDERFNVHSQPAQSAA AADGQSVVSQSQASALNWRKLKIIREVKGENGEVQMVTEIVHDPVVIAQYNKRRRQME FENIDIYNVQLTGDPEKDELILEKARIEQERLEKNKERRKKREKQKKLQQKMREGGIP GDDDSPEPSTEKVTGTTRKCANCGQVGHIKTNKKYVCPCSGKEAPRPRNKALSDGGKY PKFRKRDGWRPTQNPRMGDKFWDPRCWDKRSFEDNMEPHPPSKGKEKHVTWEDAEDWG SGDE MYCTH_2295250 MAAPLRDDSELKTQLRSRFVGKTLSEVPMPSIVLDLAKLELNCE RMLEATERLGLLWRAHIKSHKTTELTRLQVGDTRTSAVSLIVSTITEAENILPLLQEY QSHGRPVNVLFSFPLFPSGIPRLAAFASALGPGSLTLMIDHPAQLAALASVPAPFPHP PQVFLKIDTSYGRAGVAPGTPACSALVDAALGAEARGLCALHGLYSHAGHSYGARGGA ADALAYLAAEFRGLAGVAAEVAARRRNNGAKTELVLSVGATPTATVVQQFGLLAGSEG TGGGKPDEKTGEVERLVADLKGQGYALEVHAGVYPTLDMQQLATHARDTSLMTVDDIA ISVLAEVASIYPGRGANGTTEALVTAGTLALGREPVADKGEVPGQDYHGWGFLMPWGL NNPVPGPDFPRVHDGWQVGRISQEHGILTWQGKKEDEVPLQYGQRVRIWPNHSCIAGA CFDWYLIVDSRNKGREDQVIDVWPRWRGW MYCTH_2295253 MPPTHPLARSLTVADEVSALVLDPGYCNTRAGYAGEEMPKQVIP SFYGHIDGRDLFGDPVITPRAGFEVRNYMNRDGLVEDWDAATRAWEHVLVNRLQPPRP TPPSKNNLNVGDDGDVEMGENGANEDATDALEKPLAENPLLVSEAPWNPAKAREKAIE VCMESWGCPAFWLSKTPVLAAFAAGKATALVLDVGGSNTSVTAVHDGMVLKRSVQRSP AAGVWLSGQIRSMWKNNEPKVDIVPTFMVENKKPVEAGAPADARLRTFDFPISDSFRA FEEERVLTEFKESVVEVWRGPGRFMAPGNEDYAKSQPGRVFEMPDGSNQMWREQRFRV AEGMWDESAAYPAVGEEATLTKAQTIPALIKAALDGVDVDLRPNLLGNVVVTGSTSLL NGFNDRLNQELTSMYPGLKIKIHAAGLTSERRFGAWIGGSILASLGTFHQMWISRKEY DENGAGIVEKRCK MYCTH_2122496 MLVTPEEEADDDGVHTPNIDDNRPLARHDSSSSSNLNPIHDYGG ENGRADEDDEQGYSSASASGSGSEAEEEEEEEEEEDDGDGDGDSGRPPQQPFSQHAFA PPFYGRPPTPLPPSPSLTSLLRPSRPTTPDASDDDGHGGAGSTTAGGSQAAPGEPLPR ARPKVPTYEYYGFVLYLLSSLTFLIYLLWSYLPSPFLHALGIYYYPNRWWSLAIPSFL VMLLVYIYVALAAYNTEILTLPLNSVETIVDEAANVAVVDSKGRIIRGDKRRREGGGG GGGGGGGGGGGGGGRRGRRGTVTREGVAVAAAAAAAAATPAPGDGAKLNWREVWNEGT DAVMDVPLAGVCEVLYGEGRDYDSDLEDEDVIITRI MYCTH_2295254 MADEPELHPADDASSSSDDGETVKGERDEDHITDVIEQEEPETD SDAHRPAGPSSIAHRYGELVEAGRETPSEDDGSTDAAPPRVAVSPIGSPLSVPDDTPS IQGSAVSSPSSSLLPSRASRPGLASPTPSFRPFDRRFQSRISSPHLTSPRPSSPALWS THSRNVSLSSQLLLDPGGSEPPSPPWEVVRWTKLRKLNGQVFSESGRRNFGSPTCLAV SATVVLGTSKGIILVFDYNQNLKLIIGPGTKAVESGPVTAIAISADHTTIAGGHANGN IFTWDTSRAARPFLSIPHLDPVHRQKSPPSDGHVQNVKVTHLGFLGTRHTALVSADDR GMAFSHLATRGTGALGRTVKTTRILGRYPDSQPPPGKTVKPSTVLAFASLPLGNVEMA TDTMGLTAMLTPYLLVIVSTTPIAQTQHKSARPKEVAAHSPMTGCLAWFPAVKLKVAD PVTGSQISKVKLVYCWSNVLTVLDVDEIPSEDKDKPPSLRFRARSRWKCEEAIVAVQW LSRSVLTVLTISQRLIVLEDRSMRMTEAFDLIHKHIYHVDLFSKQLGALVEQLDEEDP SMHGVVADAFYMSFRTYKGRIFLLGFNDVSIGALSNWADRLIALMENGDYVGAIKLAT SYYTGDTNKLTVGLPEDATLRHSMVQDKLVEIMSASLKYAFGQRQKNRDSVDDRHLQE LSETCFTACLSVGDVDFLFDEMYEWYEDAGVEGIFLETLEPYVLDRSITSIPPVVVKA MVAHFVYKGWESRLEEVICHLDTATLDLDQITLLCKQHSLYDALLYVWNQALRDYITP LFDLLSLLVPIMQNGQSSGDQMDAEISAVNALKIFPYLSYILTGRVYPTGEALPEDVA RNAKAELYWLLFSGKSVTWPKGSNKRLLTRPSQSQEPSFPYLRLILSFDAASFLSALN EAFEDSFLNDSSEEPNGSPGRDLPEEQIFGLTVNRQYIVSILMEIMASPEFSSNETIY LDMFIARNLPKYPQYLLFPGSTLDKVLVGLCKYPGRDLAEDAQLSAEYLLSVYQPADV TELIPLFREAGFYRILKRIYRGDKQYGRLIQTYFEDPEDQEDVFNCIDTYLRPRAGLT RRQTQDILRVIKQHSAELVSLDPAMAAQTIARHAPELHQDVLDSVAAQPGLQYAYLRA ILEPEKDTGVGRSTDRDLVEHYLRLMCQFDPDHVSDYVGLVQSSNLRLEQLLPTMEET GVIDAAVILMAKEGQIQEAMGRLVKHLETLESALQGLLDGSRDDARHLQTSAEELVHA LRKFVMVGIWLCQGQTKTSRAAVSSWRGRKASPDGTLSADENLWLDLIDTAVQITRRL SSKLQPPPDNSPSTADGNADTHPPGQLDTDKLLTMLRSLVQTAFTALLNSTSTVFPAS TFASSSSSSSSSRSPAETTAAIARQNTVAATGIGTNLAFLRILRAFLTRAAAASPNLA DLRAVLASVFSAYAYEESILRLSNRLLERSLFVGVSQAVELRQRGWRPRGSTCEACGK RVWGPGVAGGRAVWEAWEGREREAARARRRKRELNGKGVGHSGSGGMTMMMMMMSGAD EDGGGEEYTGKGKGKGKDLGLRWRQEAEQKKEEEEEKGGEGTGEGADCEGSDGKIDPR KEGFEGMVGAAQRETQQGLGPLVLLACRHIYHQSCLEALLAKDGSGREGEYRCPIDG MYCTH_2295255 MASIGPQLPPHLQKRKRTPEDEEAESGCSPPPKASRRENDDEIP LDDDDLDDAFGPSAPGSTRNGDTEETASRPKPVIGPSLPPSVANNSTPAPRDEKRSVG PSLPPTKQPSNSDEIPLDSDPDQDTPGPAPPPPPPPKRVLGPAPPPADLSERPTTDPD DSDSSEDDDDDWGPALPGESSTRSRAAAAAAAAAAATATSSLSEPEPGSTAPKRDDWM LAPPTSSGPRAPDPTKLRPRKFATGPRAATAADGRPPAGVSAIWTETPEEKARRLANA VLGREDPNAAPSAAASRGPEVGSGGSGSRRADAARVKSYVEQTRGKSLVEQHQEARAA GKAAASSAARLKGDGAKWGVKAGGSGDDDEEEDDPSKRAFDWEKDMKVLGRITNAQRR ELLNRAANFGNRFQSGKFL MYCTH_2295256 MENMPRPYRRRREKKLMPIDEVNEKFPMLKYKTWVASRAQEGLP TLGGVSSPQSRPNSIRDADGVAQELPSKERASTDDRPTTSATATGTTTEPAADPAPET AEKPEARGPRHVPKESTSSTVGGLNRTSTDSNCEPESAAGKNHESRASHEEEEEDEHI DAALPPECVGTSGDTCAICIDTLEDDDDVRGLTCGHAFHAVCIDPWLTTRRACCPLCK ADYYTPKPRPPAAETGEGTPAVIQVTLPDNTRSDRMNLPGRPRPAFFSFGRMDRSAAS SSRARDPSGSAAPGGRRSRSSPATPSPDQAPTGGLLSGLRSAMPVFRFGRGQNNQTES DPAMPGNNTATTPSQLEAGVRNTQS MYCTH_2295258 MNGSDGSSGLDEKVKEAYSFLCHNYDERGGDEIILIGFSRGAFT VRCIASLIASVGLLTKTSLSQLPRIYPLWKQGKPVADFDLDPGSRRDARIRVFCAMWD IVASLGLTHITN MYCTH_2295261 MASRRLALNLAQGLRGRTGGLSVPFRRGLATPHSSPAIKTETTT LKNGLTVATQYSPYAQTSTVGMWIDAGSRAETDETNGTAHFLEHLAFKGTTKRTQQQL ELEIENMGAHLNAYTSRENTVYFAKALNEDVPQCVDILQDILQNSKLEEAAIERERDV ILREAEEVEKQLEEVVFDHLHATAYQHQPLGRTILGPRENIRDITRTELVNYIKNNYT ADRMVLVGAGGIPHQQLVEMADKYFSKLPSKAPETSAYLLSKKKPDFIGSDVRIRDDT IPTANIAIAVEGVSWNDPDYFTALVAQAIVGNYDKALGNAPHQGSKLSGIVHKNDLAN SFMSFSTSYSDTGLWGIYMVTDKLSTVDDLVHFALREWSRLSGNVSEAEVERAKAQLK ASILLSLDGTTAVAEDIGRQIVNTGRRMSPAEIERIIDGITEKDVMDFANRKLWDQDI AISAVGSIEGLFDYARIRADMSRNF MYCTH_2295266 MSAFIVTYPPLGQVTQLQNSELTIHAVLEIPPESTTENWQLALW YSNGDQEEWEEAVLVPSIHDVRPTELHESIGAAARLYFTTRVVVRSSLTFTIKFRQGT DDQEWKWVRSEQGSGDAIVIINQKPTREDDPEDLPDLIRDLNPELEWKRHMSQSPGTR LWTVEAQVHGAKEDESAFVEVPLGIPWGGRFLR MYCTH_2295268 MYHARTLVTAANAPNSHGIASPTAEGDVGPQWYENWYDGLGYCT WNSLGQQLTEEKILNALDTLAENKVNISNLIIDDNWQDIDYRGDGQWQYGWNDFEAEP RAFPRGLEALVSDIRSKHKNIQHIAVWHALLGYWAGLAPSGPLVKRYETVQVSRDDTQ KSHLPIGNAMTVVAPSDVQDFYEDFYRFLTSCGIDGVKTDAQYMLDTLTQPAARRTLT SSYLDAWTSSTLGHFAGGPVVAGMALSPPTLFHPRLFRTSLPQIVCRTSDDFVPTGGG DDSDDDAHPWHVWTNAHNALLAQHLNALPDWDMFQTAHPRGGFHAAARCVSGGPVCVT DPPGQHDEELLRQIAGATPRGRTVVFRPSTVGRTLDAYSSRADGGGGGLLKVGAYHGR AGTGTGIVAVFNVDPRGNRPVAELLPLARFPGVGTGTGAGEGGAGGRYVVRAHRSGKV TPPLRPGSPAALVTVSLEAKGWDVLSAYPLHAVQSGTRGEVLLANLGLVGKMTGCAAV LRTVFEARENGRMLVDATVKALGVLGVYISVLPELSINDDFMVTIRGQPIPPHTVSVS RQDERVLEVDIETAWTEMGLESGWANEVQVKVYFALEKK MYCTH_2295272 MLPSSVRRVVAAAPQSPAVSSLTSVVPRAAAAYSLPYRPTGLHQ RRYSSSKPSSPDDGSRDFAARSVPASRGSKSEKTKGQAKAPIPQPPSVPSTRHIGDEG LALSTFFALHRPISVTQLMPKSVSDDTFAQIFATRTRSNKVADVLSTLSQTVSDLEEP LSRMSIANGDQQRSHDAQDAEEGTAKLSLRHSDGSETNLHIQLNPLAGQYLPYAPPPP PEPLTEAAEADAESAADSAVADELAEQQQPETQTRVYKAVVTIEETVDADGQVKVVAH SPELIEEDAIVGRPRSFLERMAWRQLRYDEARRQQDRAMQAISVKRQRKLKMKKKKYK KLMRKTRNIRRKLDRL MYCTH_113985 MALPPRLKGHRYTFPDAYTSSSDGAAPALHTLEIYLDYVCPFSA KIFNTLVESVIPYVRQRPELASRLEIVLRPQIQPWHPSSTLVHEAALAVQRLTRDDAT AERFWTFLRALFREQRAYFDEAVVDEPRNRTYRRLAELAHRSIGVSADEVYALLEIRP TTAGAGGDEARNAGNQVTADIKTIVKIARLTGVHVTPTVLLDSVVVGEISSGWTSEQW QKWLDANIQ MYCTH_2295275 MGTAKKEKARMEREGKVKGDPKVKGENFYRSAKKVKTLNMYKEG KAIRNKDGKIVKAAAFQSKEVPKAVVEPNRKWFTNTRVISQDTLKSFREAIAEKEKDP YTVLLKSNKLPMSLIRDGPSLQDGLKKHRAKMTIESEPFSEVFGPKAQRKRPKLSFNT VDELADHTEQSLDSYQARQEQIKLLNGAAGSAATEGDEPVEEDFSVATAKEAIFTKGQ SKRIWNELYKVIDSSDVILHVLDARDPLGTRCRHVEKYLATEAPHKHLVFVLNKIDLV PSSTAAAWIRVLQKDHPTCAMRSSIKNPFGRGSLIDLLRQFSILHKDRKQISVGLVGY PNVGKSSIINALRGKAVAKVAPIPGETKVWQYVTLMKRIYLIDCPGIVPPNQHDTPQD LLLRGVVRVENVENPEQYIPAVLKKVKLHHMERTYELKGWKDHMEFLELMARKSGRLL KGGEPDVDGVAKMVLNDFMRGKIPWFTPAPAMEDGDDAVIEGRQGRLGEMPLKRKREE SESAADPSLAGSTVAAEEEEDDEEEDEEFSGFSSDSESEREDEPGGAGETEGAEDMIP LDGSSEEEEEEEEEGSQDEEDSEEDDEEDADSDVDIEGASDILPSEDESGPPAQKKRK KAR MYCTH_2295280 MAPKLARPSICGLQAALSACRISTTSTTTMTTITTTTAAAATTT ATVTTRTCASAWRAFSTTPSISQSLAVPPESPKFITVPEPPQSAEHRLPPIRGHLPVP RDVFPKRDGNRKVKQEWIDAATPVSKAEAAGEPPKSEEEARRRVFAAARRKALASGIT GLYVRKTQRQQRVQARAERRRKANLAAATAPERLDDVLTRPTVRASTALQTTVMPDPD RFAKAEAARERHRQKTELKAEARRDALAQLYVAAQQFIIDEAELEARVEAIFTPDYHR VGGINRGQSIWDQDAAPVSVADLQAEMLGTSSKAIDANKPVAMKTTKRQKAVAEELTG GKL MYCTH_2295283 MATRLSRALVVTALSLSCVASAYNNYTSADMLQAQAALMADRPK DCPPCFNCNLPINSCGQFAPCNGYTGKCDCPAGFGGDNCLEPLCGSLPRGQNRPARQG KSCKCDEGWTGINCNVCTSDRACDAMTETGDGGVCYTGGEVVKQNYQMCDVTNRAIRD LLGTQVPQVTFTCEKESGECDFQFWVDERESFMCHLNECESSADFDSSAGKNTTSYKC EKIRCECIPDRMLCGANGSVDLTEFLVEVIRGPASFGCEQLAGGPKKCAFQEPEMNKL ISDMFGDMSILLTCNAGECLYHTEVPGFSPEIPKINTPLIAGVIAGCSLFLVAVILGT WYLSRRQFKYGPIHLDDSDDEAIKLMTDHKPASLYFQNVCYSLNGKDILSGIQGMAHP GELTAIMGASGAGKTTFLDILARKNKRGHVSGDFYVNGEKVSDADYKNATGFVDQEDT MLPTLTVHETILTSALLRLPRDMTRAAKEQRVFEVEKQLGIYHIRDSLIGSEEGKGRG ISGGEKRRVSIACELVTSPSILFLDEPTSGLDAYNAYNVIECLVTLAKTYKRTVIFTI HQPRSNIVALFDRLILLAQGKTVYSGPLHQCQDYFDRIGYSCPPGFNIADYLVDLTMH AGSTTSFDDGTISADVASVGPSSTRAVKSIASISGGSAGDDSTVDASPSRPRSRRHDS VRLRQERELFTRRKQPVDTAASSDAGDEIGAYRLQQTPHRVPPHNLDEHDDLLPPAST GTDLDILVRSYIQSDIAGNTHDEIQQAIAAAVSTNGQNSNGYSVDGPNINNNSTPTMG RGYARIGYWRQFVLLSRRTWRNLYRNPMLMLTHYAIAILLAVFAGYLFYGLSMDIAGF QNRLGLFFFILALFGFSTLTSLSVFSQERLLFVRERANGYYSPVTYFAAKVLFDIVPL RIIPPILLGAIVYPMTGLVASVDKFLIFMLVLVLFNLAAAAICLFIGIVCKDGGVANL IGSLVMLFSLLFAGLLLNHNAIPPAALWLQWLSIFHYGFEALIVNEVTQLTLIDHKIG IDITVPGAAILSSFGFDNQAMWPDIINLGIFGAVFIVLAYGAMHVLLVERR MYCTH_2295284 MVQVEPFEVEQWMDRYETTPGVINIAETCCASVSLDGLVRMCED KEAPGPLSLSNKLTYGAILGSETLRQRIAALHDRASDVEPLPSENVLVTQGAIGANFL TLYTLVGPGDHVICVYPTYQQLYAVPKSLGAEVSLWKLKAERGYVPDVSELGGLVKDN TQMIILNNPNNPTGSTIPKSVLSQIVASARERGIIILCDEVYSPLYHSLPEGQEAPPS VLAFGYSKTIATGSMSKSFSLAGIRIGWIASRDRAIIGAVAAARDYTTISVSQLDDQV ASYALSEPVLRPLLARNLELARTNLGLLSAFVDKYARVCSWVKPTAGTTALVQFRNED GVPMDDSSFALNVLNKTKVLSVPASTCFGLRTDFKGCVRIGYVCESEVLVKGLEELGR YIDEHLLRKIPN MYCTH_2295287 MRGYAAVAFGAILAGAVHASAGNGVVQWDIRRTQRQEELQRLNR RLRKRANPVLEVITNEKIRGGYFATCKIGTPGQDLTLQLDTGSSDIWVPDSAAQVCRE IGTEGCALGTFNPNRSSSFEVIGEGQFDIEYVDGSSSKGDYFTDVFQIGDISVQNMTM GLGLHTDIAYGLVGVGYAINEAIVATTQSRDSVYPNLPVQMVDQGLINTVAYSLWLND LDASSGSILFGGIDTEKYQGELTRIDIYPTSQGDFSSFVVALTSLEARSPSGQDTLTS QEFPIPVVLDSGTTLSYLPTDLATQAWKEVGAFYLPEVGAAVLPCDMENSKGSFSFGF AGPDGPRITVGMDELVLDMTDGQAPQFLSGPYKGRDVCQFGIQNFTSAPFLLGDTFLR SAYVVYDLVNNQIGIAATDFNSTDSNIVPFPSMGAPIPSATVAANQREVTRVPTVTEP AYSASQGFMESASGEESLAPGMPAAWGMGQLLVVGVTMALTALGSGLFFVL MYCTH_2295289 MRVLRAVAALNSSCALGSRQGARYAGLACRAALTAPSAPCYSSR LSGLRHFSRSPVSRASSAAEAALKQAKELAAANMTPEAAAARLTPEEAKRLARVRNIG IAAHIDSGKTTVSERILFYTGRTKAIHEVRGRDGVGAKMDSMELERERGITIQSAATF ADWKKVENGVEETYHINLIDTPGHIDFTIEVERAMRVLDGAVMVLCAVSGVQSQTITV DRQMKRYNVPRISFVNKMDRMGANPFKAVEMINSKLKIPAAAVQIPIGSEKEFEGVVD LIHMRAIRNDGQRGINVKVSNTIPEHLKELAEQKRQELIEKLADVDDEIAEMFLEEKT PTPEQIKAAIRRATIGLKFTPVLMGSALADKCIQPMLDAVCDYLPNPGNVENVALDRS KKEEPVKLLPYNSLPFVGLAFKLEENPYGQLTYMRVYQGSLKKGQYLYNARTDKKVRI PRIVRMHANEMEDVSEIGAGEICAVFGVECASGDTFTDGRLPYGMSSMYVPESVMSLS IKPKRSSDADAFSKAMNRFMREDPTFRLHVDEESEETIISGMGELHLDIYVERLRREY KVDCETGKPRVAYRETISKRADFDYLLKRQTGGPGDYARVVGWIEPNADNAEANKFET RVVGGNIPDKYLAACGKGFEEACLKGPLLGHRVIGASMVITDGATHVTDSSDYAFNLA TQMAFRKAFADAGGTVLEPLMKTTITAPAEFQGNVLMLMNKRGTIVDTEVGADEFTMV AECSLNAMFGFSTHLRAATQGKGEFSMEFSHYAPAPPHLQKELIAKYEKELDAKRTK MYCTH_2295290 MAARTLRIGLIPGDGIGKEVIPAGRRILEALPASLGLKFEFIDL KAGWETFQQQGVALPEETVSILKSDCDGALFGAVSSPTTAVKGYSSPIVALRKKLDLY ANVRPVKTVVSAPKPIDMVIVRENTEDLYVKEETTRDTPDGKVAEAVKRISQRASSRI ATIAGEIALRRQKIRAAGSPSIHKGPLVTITHKSNVLSQTDGLFRSTAREALAAPRFR EGAVAVEEQIVDSMVYKLFRQPEAYDVIVAPNLYGDILSDGAAALVGSLGLVPSANVG EGFAIGEPCHGSAPDIQGQGIANPIATLRSAALMLEFLNEEAAAAKIYAAVDANLEEG KLLSPDLGGTAKTEEVVEDILRRL MYCTH_2295293 MGIASVVNACIHLQNASRARFGLTSIPNTKYNLRLVLAMHRAGL LASVTRGGPRPPEPGLPRAAEEAEEEPVTSANVATRRLWVGLKYWNNEPVLRNIKPIS KPSRLVTATVPELEKVSRGFSVGQVKGLTLGETLFLSTDRGVLEVREALARKTGGLVL CRVS MYCTH_110298 MAARPGEENVATLYGDIHYFYGPQTDKPPHHRFDKGSYVYLFED ANQGRARLEIANQPGTEDQDAFDGYLDRVHLRYSYRHTCLVTLTVGEVEGTEEWHLPT YDPHNQNKYHYKLHSLDIYFWKPQDAVQFVNGIRRVLPPEQCEVLDEPGPPPRQSADV SSVVQKLEQAAISESNSVQATPAGLQPGAPSGAPSGAPSFPGPPAVAVTSSGESTSAA PPRQFAPVAYNPAAPPPPEEIRHREKTPPPEDGAPNPLQQTLAYDATTPFSPGLAPSA LGPSSHGPLSPGVPPPNIQHPPGAPTFPAPPQHSVTSPGFAPQGFGSLGGQAAAISQT LPPVHPGVSRAATMPAHGVGAPLASPGLASPYLVNSFPNHAQYVPGVTHHTPTPPAAG VGVPPPPPPPPPAAAAGAGQVQNEYAVHQQFYIPENQYKPKQETRGKLEENAAKLERG VTGMLKKFEKRFG MYCTH_105869 MTSHNPAVSGSGGGGVGGGGGGGGGGHPLDDIPTAHPAGTDGNS NNSLDDVWGGSDYDHQHYYHYDPAAHQQHPYPYQQHPLTPHQAALDLVVSDVPRLARA HQTAGYRDGIALAKARTAQQGFDEGYPLGAHLGARAGQLLGWRGVLYDEARRLEAVLG EARRELGVAGVFDGQYWGPDGTWRYQVTGDSGGGGGSGGGSGDYGMSRQEGEEEAVFP DVAEAHPLLRKWSRIVRAEAARYGVDWEVLKDDAGDARVRRVGGEDDDEEEYERGREG RMGRERAGQQQPQPAVRGREALAW MYCTH_2106471 MPSGSLRQLHGCPSWYNFNSRLKHPVSNFSLPSGLLLSPMLFHS DPQSSPTAAATNYGTGFYIDIPNAKYQGADVDVILTAGHNLISQNKEKSKNLRVFSGD DDEKGWPVPEDNVKICPEYADKPNETGSAFDWAVIFKPKDMSKKKTWKGFQFNLAFAA NPPRSGGEGSLQKFMAARIWVSGYSIRNTPSNRKGALRYSAEKGWPTGLKRLSYKVRT EPGMSGSPVWAVCDDELTVVGIHTTGEDRANAPNVSQGVRLDLDILERLFNWTGTAVR SKKLKVATAHPFSAEGLYLSFSSSSKDPARVRLGADGLDTVLDTLPFGRRLVPDGSNI VSKNPATFVFRLHRPSDTPNTPAAGEESQDQWVLLDTVRNRVLLSPTLQKHCAFTFQR KGKNGPFGICPAESKDQQLTLGSKYIRREDSCYGPVESSEVSFTPWYGTPTSLFCFE MYCTH_2295298 MSAAVNQLVKCLTSLAFASGEGADDYYIIRRSGPNLCQASVTVN GAEDMGTAVTLDAKETSPALYLVAPGASSVFGEADGEHERLVFYVDKSDHLRCTAWDD EEEFWDELEMNGLEAPMTVHSESQLSGATGSHDELWVVYQNPKLETVALARREGRWST VGVVSADVPPGAAHVALADPKSVDRLNLFFATEKGEICHTYSDFVKREWTRDIVKNSL FSGGISRFTVVPTGPDGSYNIYVATRDHKLFLLSSGGDRVEIGRIDGDRFVGESRAER GWSFEINWRFLSFRLVLGADPGSDPGYLA MYCTH_2295301 MASIHELARDGTLTKAQAREARKSINQPDASGIPPLTPASRHGH LEAVKILLDEGANPNLKDRNGATALNIAAHYAPKNQAAIIRALLKARASVDATDPKLG NNTPLMTVIVQTRNLDSISELINKGASLSAKNNAGETAEDLAKSDAVVLAALRSKTGG RNLLSRVARKFTKTVLTALSLLNEPLKSGVRFLTWMYNYTPQIRSRPRTSTPPALTSG ETPREAPQEAPEETPEETPEAKREREINKQLDQLSNEIKESNLSKFTGMDDRFFDTLV EKTKALRKDINTDLGRPENLSDMINLALYKPVLYCDDSGSMRGAGYECQRKMVKRICS VTTKLVPEGVGVDLHLINHSQDYVDLREEEIDKKLGAISPNGGTKLGTNLEKKILHPF VYKPLESGGLKRPLLISIITDGDPTEEHRDTLKNAILNCKERLDKYNYPSYAVVFQIS QIGDSEAAKSFLLGLRNDDELSDTLMVTTDRLDDIFETQRNNERALEVWLLKTLVEPI YRWGPDFVKKQRRERREE MYCTH_23848 VAWICILPCEFDAAKVLLDARYDDVTSATGEKYVLGRMGRHNVV IGCFPAGFLGTAQAARAALSIKYEFPNVRFCLLVGVAGGCPDPKDKDKDVRLGDVVVS EPKGNRGGVVKVDAGKNTDGGFKIFSHLNKVHGELLSAIQSLKSDHRTKRTRAMDEYM SAAMASSEDYSDPGYFKRPPPESDRLFRSDYPHPSGEPDCKNCSNDHVLSRQQRAQVG GGRSAAADSPKIWYGTIGTGDHVLRSARERDRLSREEGILCVEMEAGGVMETLPALVV RGVCDYADSHKNKQWQPYAALAAAAYAKDLLTYVEKA MYCTH_2295307 MAPKIAIVYYSLYGHIRQLAEAEKAGIEKAGGTADVYQVPETLS QDVLEKMHAPPKAADIPVLEDPSVLEQYDGFLFGIPTRYGNFPAQWKTFWDKTGKLWT SGAFHGKYAGLFISTGSLGGGQESTAIAVQSTLAHHGIIYVPLGYAKAFGILTDLSAV RGGSAWGAGTFAGVDGSRQPSEAEKELAIIQGEWFYKTVAKAVGA MYCTH_2295309 MEKEMEKNVYISESDQTDGELIGQSPTDDNTTDEKTKIATSNGI TGTARPDVAEAATKTEGGEAVVAEGAANTTAAATTAAPTADTVPDGGLVAWLQVVGSV AILVNTWGVINTFGVFQAYYEAELLRDHSSSEISWIGSTQAALLFVVGVFAGPLYDAG FFRHLLITGLFLIVLGQFMTSLCTAYWQVMLAQGIAMGIGMGMTFLPSAAIISQYFLR HRALALGLSSAGSPVAGVVFPILFDRLQRRAGFGWATRAIAFILLGMSAIPIACMRTR LPPSARRRSLIDRSALRDVPYVLYVVGGVFAFLTLYVTFFYITLFATSRHVASDSFAP YLVTLLNAGSVLGRIIPNALADRYGSMNLMIVCNFVCAVLAFSWLGIRNLGGAVVYAL LYGAFSGGVVSLMPSVIVGLSPDMGRVGARLGMAFLVMGIAILVGTPIAGAILGSEEN PEWLGTRLYSAFGLLVATALFCTSRVLVFKRKRGWKA MYCTH_2295311 MAPQSFAEAVLPRVLVGGDRLSDPTSTLQSRDDGNSASGHNRPQ KIVIFALSAILTVIILFFVLRHLYVRYVLERHAYHKAGHDDDERHHRHYPTTSQANLQ YALSDSHAQPDINNNSSSSNNNNNNTAAGVDRSTSIRSVMTLPVYRPKPSENELVLGR EGERDGIDVVVEMQTAEEEEALRDEEMEAMYRIRAARRRQLEEREARREARRRAREAN DEAALRQLREEARASAERGNSEIEELRDEHERIRAAARQRAVSSVSYADVGIARADGT RVRANSAESTERVGLLSDAASIAADSLFRRRDRSASATLSIDTSLTAHGRPESPGLST GGYSWGNAAAAAAATAGGQTSRSRASSAGTTAPRIPTPSPGIPTPRAGSPPEIIEPGD VGDMGMPPPPGYDEVSLGELTPLHSRRNSDAPRPASPYPDPPPEYPGQGPAERRTNRL SARMEELAAQQTQAQADESAAGAAAAEGTTRPNQVPQIVIDPSGARP MYCTH_2295315 MAANTSGVEDPIVKALQEYTTCDISDALVKLNHPNGGFLPGITM WSPQRQDGPTKIVGPAYTVQYAPKDDERPKWPSHYIDSVPAGAVVFVTSTRATSNALY GGLMSTRAKASGAVGSVIDGRFRDLQEQKDLNYPIFARDVGTTPPGSLLKVVAVNEPV RVSNDGQDIVINPGDYLVGDVNGVVVLPRGLAEQALPLMAKQVEADSKMAVEIQQGMT FTEASKKFRS MYCTH_2295317 MRPHLFSGLLVLLSILPWTAVALIGLGQQMYYPACGYACVNALS TFPLSCSTHDHHHAGGDDDSEMDMDMSSMVTTSPACRAGDRAYLTTLAWCMHTRCTDD AGAVPAWDLEKFWAEQATTDPAVAPEWTYGDALANVSDRPPTKEIADGDTLNATALVP EDAWTIQYGTMTTMEFVETMHERYGVAIVLVGFGTPILFTALRYLPYMNRLLDRLKPY AVYPAIWGGRQVRPLPYQLGNPPTVGQALYVTVLLVLNVVLTAVSYRSFQPSAWYADR YQEVMVWVMYRTGVLAFALLPLALLLAGRNNVLLWLSDWSHATYVLLHRWVARLFALQ ALLHSLLALVLYDRTGLYRGETTKPWWVWGAVATVAASVMLVASGLYVRRWSYEIFLV SHVLLAVFVIVGCWYHVELRFMRMFGYEQWYVSSLSLSLSLSLSPPSLPPLQAAQRIG MYCTH_2295321 MAADAQPHDHKDSSLTSTPSNNDAAASRESTQEPSMAPAPAPVP FVPPDGGMRAWLTVVGGWLCQFCSFGFINALGSFQYVYEKEILPDKSPSTITWILTTK LFLMFFLSQPVGVGVDMFGVRPILIPAFAFCVGGLIALSFATEYWQIFLAQSICFGLG AAGAFVPGLVAAGQYFKKRRALAMGIVISGSSVGGVVFPIMLARLFEEIGFRQTMRWT ALMIGVMLAIANLLVTSPVPPKGLAGRRTLTTLKPFKMPTYLLFVSGSFLFYWGLFGP FDYLPLFAEGDESTKRIALYTVSMVNAASIPGRILPNMYSDRVGSSLRTISLCGLLAG ISVLVIWLPINYRHSLAGLIIFALAFGVTSGAFVSLMTPAMIEVAGGHTTNLGAMVGT FFAIIAIASLTGLPTQTAIAGGHGGGGDTDLMGLIVFCGVVMLAGTALVYAASVLSAR NKRRAADKEAVAANANGHMASGETKA MYCTH_2295324 MPLGRLRNFKVGIATHRAGYPLAFLGTSVLEMGVIWIMSRSAAA TLLQDYLDHVYPLQPIIHGPTTRKLLEDFYDGLARRDRLAPHTAALILALAAASAYFW QPGIGRHNYFAAVKEAVEASFIWRDWALDILVNTGQEQGTSTFEGVQAWALLSFLAQT AEGASHRFRFLHNCSLAAARELSLHLVDSPTKADPANRAGDENPVNCELKRRIWWHIA VTDWLLGFAGGPTEGTYSVQLRHMSVRYPKNVNDDELETLNDSVDAPLHRFTQMSYFL QRIRIGEIIRAVLDASSPGDADVNISDYNKVLAFDRLFEQALSDLPAFFRRQDHLPPR RPDMLDLQRVLLQLGLLSRRARLHRPFLLQQHGRHGRSGFHRNRSRDICLESTRAVVS LGIDIIQRSLYVNQAPTETATTTTTMGDPVLNKTPGLSVHRLGLIISHLSAACTVLAI YTGSASSSKGRFTEGNGLENGDEDDDDQLNRAAVSHELAQVCRVLGALGTESPVAADL LRNLVGLLNRYRAPPVQQGVAPTVNDKNVDGSGGGTRTRGAHLMKEEEREQQQQGLQQ QHNYQHQHYQQQQQQQQQQQQQQQQQQQQLQQQQIVPPSWTPANQMDDGGRFPLPTAD VIPQPGPPVILGGGGGAPPPFSLDGLWDGFTIGSSDDYTQLFANLDYYCGIA MYCTH_2122524 MWWQPRYVPFRLDMLCEAGFIPAGLFTITRWYKRDETSKRFFFY YFIGNMTAASFSSLVAYGM MYCTH_99077 MARTLRYLLCGILALAAGSNAVPAARGSTRAAPAAEPSTSATTY EAEDAILSGTTVDTAQEGYTGSGYVTGFDEASDKITFEVESEATKLYDLSIRIAAIYG DKHTTVVLNGGASSDVSFPAGDTWVDVPAGQVLLNEGANTIEIVSNWGWYLVDSITLT PSAPRPEHQINRSLNNPSADASARALYDYLRSIYGKKILAGQQDLTWADYVTQQTGKT PALVSVDLMDYSPSRVERGTKGTSVEEAITHAERGGIVSALWHWNAPAGLYDTDEHPW WSGFYTDATDFDVAAALSSTDNANYTLLLRDIDAIAVQLKRLRDARVPVLWRPLHEAE GGWFWWGAKGPDPAKQLYALLYDRLVNHHGINNLIWVWNSLSPDWYPGDDTVDILSAD VYAQGNGPMSTQYNQLIDLGKDKKMIAAAEVGAAPLPDLLQAYEAHWLWFAVWGDTFI NNAEWNSPEVLKTVYTSDYVLTLDEIQGWQDS MYCTH_2055981 MSQPSLLERSEALPVFEQAAPKPQTSDSEAPEALPQLYDPSLPT DLSHLRQKQVPALDLSQHPNFYQIPRPPSSLFESLIISNGNNNHASSSHGAPAPDPYK VLLVIPTANESKTELLWSRLSSTKPAHVQLSYCQVKAESGVGEQPYDEAGPRGAFNRA VNAVAALLGDEERRAALVEDRGVGTLIVGAIENFVLRHGIQPEPGRSCGPVDHGFVVL CRVSLLDMTWDWVVGVSRGVTVPWEYYSAAQKQGFEDEGEGEGEGETKTCGKVTVGKL LLANAGVDDANWYQALAGVSRYDLLGEALKSMDVPWPAVTPSGA MYCTH_2295331 MASSPEMTILEPCAGSSKWQLSKKPFSLEAVEPAALPKQCYIFL DVRDYPPADGRRQKLLAHFGVPEFVANRTCFEVNGYFGNKATYTQEHTSTNYITSYSA IPTPCHAGHGQELTGAATWFRCLVKMVKKVEEDAHDNDQEYVTSSKGYKWFEMSFFTR WDHPNSSRILCVDCPPDFPDELLKLLRKRTEPLDFRDPYAMHTNLVDQLIVYADIAVW RVRDPVRLLEKYRMRTGAIFGPIHEMSRHAIHTSEVLEATIETLTEMQECRTAIQGKL LEDLGETYIEQANGYAQFQISLVKSLKLRSESNHRRLENEINLAFNNIARQDNSVMKS IALLTMVFLPATFISAIFSTTFFSFGEENKTWEVSDKLWIYWATTIPATIVTVVLWQV WLVYGDVIAKFSQTQYEQALARWKSLRERRVQAQRASDKVETA MYCTH_62593 MVNIPKTRKTFCKGSQCNKHTLHKVTQYKAGKASAFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKVVLRLECSQCKTKKQLPLKRCKHFELGGDKKTKGA ALVF MYCTH_2295337 MPIQTTLYSTRRMGILITVTAGITPAVASPSTRILVDTATRTST ITGIEAFVDGTRVHNVQTQEPVVVLIPLSNEVKTEIVRVQISMASALVGGRVM MYCTH_2039088 SNFRKQKKNETYNTRNSLVVTDPTTTRALASLTKGERTGSRVLW RVWSYVLRRH MYCTH_2295338 MAGSALVINHIAIVNVIVVGVHRRRKIWLGFSGCSLLLVLVTSN PKPARPPDRHLLLNPVVTFQAC MYCTH_2295344 MAPIKVGIVGYGFATKCFHLPYILPNPELEVYAFLQRAAPPSDP SETPRWGHCTIDFPQAKHYRTAEEFFADELIELVVVCTSTAHGEYAERALVAGKHVVV EKPFVNTSAEADRLIALAREKGKILTVFHNRRFDSDFRTLHHLVTHGALGDILEADIH FDYPDPSWISGWTMKEYTPGQGMTFALGTHTLDQALYLFGRPSSVTGFFRSNRGIDSE VDDTHTIILQYYGEQKNLLVTVKTAIVTNMKDQLRFFVRGNKGTYLKFGTCPQESQAT AAPGEPATSPDFGVEDERIWGTLTTTAEFDPKTQQFDEQSKKYVGKYPSLRGWYRGYY ENVSAAILGREEVLVKPETARDGLRIIELARESHEKGCTVPWS MYCTH_2295346 MDHTMMNPATYANSGMESEGYGSVGHLHHLSSDDSLPLVSWGLE NDGGRGSMAQFQQAAVPSDWPKQLHTAAGTPSTVEPSTPALQQYVPRLTADWCQQSPF DDGSFDDWSQPESVPWDPGAAVSSANGQAAPVGGSTLPLNHGDGNCNCNGNCNGNCNG NCNGNCRSNSNNSSRNNGNNGNPNPNHSHINNNINNSNNSNNHNNNADSVQPPLESEA TSGLFSAEWHSDELAQSREYQLQLTSDYGVTDGRKRREWRDSDDSHRVLSRKRSRISL QTQGLDAAEFPRYTPHHTLLGRNSFSSASHATSAPNSAMALSFDSADAYPSNGAGGDM TNTPASPEDDGLRSVKGTSPKRSTVRRVSSATTVSTTSPASPTPQNNNFHQYHHYHHQ HQPPSPESPSSPAGTNATEAPSTPVPASTMATATETATASTSASATTTPTTPTTTTPT TPTGTSTSRKKPAIRARNRAAASRYRAKTQAASAQLEADEREASARHQTLLARAGQLR DEVFALKNELLRHADCECPLIRGYLTHAAERACAGLGLGLGTTTAAAAAAASAASTAT TPTGTNPGPLVGPPPVHNPVPMSMSMSMSMPVPVPVTMSMPLRSPGTGIAVSGPGGQR GRLNGE MYCTH_2295347 MGAGVEGQQRDALAEGRRIYLGNLLYSVQPDDVVDLLRQAGFVD SFEKLHISIDPVSGRNPGYCFAEFRTRDEADRALGSLPGSSLFNRPLKVGPCHPKSSS STPSRRGANDRTGGDGYTPTFQRWGDWRGNDATDRPAAAEDGEQGPYGALRHLNSRRQ RSTEITQLYIGGLGKMANQPQHDAEMQEILAGYEYVAIGKRITPRAETRAIPGNHHYC FVDFSSADEAERAMRELNGKPIKGGGTLRVSLARSKGPAFELEGTNYGHNWRSSAAPG APLGRRQENARREGQGGQEGHKRPARGEPTEKQRSIMASNNWRSQAAAN MYCTH_2122535 MVVCQLLLVSLKPGISVPAFLRTLSRAGATSAGHLLAFRPGKKE QYKQYGAEFARRVGSLHGGRVKLVGRVLAHGPGRRRWRRRIRGGGGGGRRLGRDRLIT CTTRPSGTCEDYQEANRRYRLGALGDTFVFCCQEMDGDGELAAAAAIAKPGSSN MYCTH_2295350 MSSPPSAAAAASPSSSSSPRRAELAELAERAAHVEVDTEAAAAW DGEAGAGAGDGGDGDSAYEDGTSAASTSLKSSVMRYEWKHGRRYHAYQAGKYQFPNDE KEQERLDMVHHVYYRCLNDRLFLAPIDPDKGLRVLDVGTGTGLWAIHLGDEYPGAAEI VGNDLSPIQPSWCPPNVRFLVDDVELDWAEPRPYDYIHCRYMAGSIKDWPRLIRQMYN NLVPGGWLELQESVNTPYSQDGSLTEDNPMVRMMDGLMEACDRIGRTVNPAPSMERWV RDAGFINVRVQVFPLPVGAWPRDPRLKEIGTLLTINFAEGVEAFTAALFADVLGWSKE EISVLNAGVRAAAKNPDTHILFDFLVITAQKPEK MYCTH_2295353 MTVAGSDRVLGPEEKDSAMPSSDDTASLSSPPVKQTDPEPSSQQ LQGNSEKDDDDNGSKEDKPSSTDTAAAAPAAPDASAAAPEETRTALQTAAIILALASA LFLAALDVTIVTVAVPTIAQQFHSTAGYTWIGSAYMLATAAAAPVWGKISDIWGRKPI MLIAVAVFWVGSLLSAVSVNMTMLIVARALQGVGGGGIVILVNICISDLFSMRKRGVY FGVMGMVWAVASAVGPVLGGVFTSKVTWRWCFYINLPISGVGMVVLALVLKLHNPRTP MRQGLAAVDWLGSLTIVGATLMFLLGLELGGVTHPWGSPTVVCLLVFGIVTACIFVAI EWKVAEFPLVPVYLFAKRSSAASLGVGALQGIVFISGSYYLPLYFQAVLGASPLMSGV YILPWVMSLSLTSAATGIIIKKTGRYLPCIIFGMAVMTLGFGLFTDLEPRANWAKIVI FQLIAGTGVGPNFQAPLIALQTTVEPRDMAAATGTFAFIRQLFTSISIVIGSVVFQNG MERQLPRLVEEVGAEAARLLSGSNAASSVGLVGRLPEPQRRVAREAYFDSLRNMYVMY VAFAGLGLLVACLVGSRQLSKVHTEHKTGLHHMKKRSRKEEDDDDDREARPGQAAGGD EEKGGLWSEGVVGDVSGSSSDGPVGSGSGSGSGSGSEGTKGPHSGKE MYCTH_114008 MIGSNFLPSRFRGGQPASQTVAPSWLNKKVTPLLQVLSRITSTH PIHTIVIVALLASSSYIGLLEESLFDAARSVRKADWSSLVEGSRLLRAAEDTAWKWQP FEADAPIPPNADHLALLTLVFPDSMSTDPSKAPPLVNAVPIPQNLSIRELPATSNSFT TYSQDSALAFSVPYDQAPEFLSVAQEIPNGTPSQETRETEHGREKKMWIMKAARVQTR SSLVRWAKNAWVEFLDLLKNAETLDIVIMALGYISMHLTFVSLFLSMRRMGSNFWLAT SVLFSSTFAFLFGLFVTTKLGVPVSMVLLSEGLPFLVVTIGFEKNIVLTRAVLSHAIE HRRPESGSKKAELPGSLIQSAIYVAIKEKGFGIVKDYAIEVGILILGAVSGVQGGLQQ FCFLAAWILFFDCILLFSFYTAILCIKLEINRIKRHVEMRKALEDDGVSRRVAENVAQ SNVWPRADGKDQPGNTIFGRQVKSTHIPKFKVLMVSGFFLVNALNLCSIPFRSGDSIS HISSWARGLGGVVTPPPVDPFKVASNGLDIILEAAKSEGRETIVSVLTPIRYELEFPS VHYDLPHNVGQSEDDGFTELGDYGVGGRMVGSILKSLEDPILSKWIVVALAMSVALNG YLFNAARWGIKDPNVPDHPINPKELAEAQKFNDTESATLPVGGYRSPPSPPSVPPTPA LTDDEGEASQAASRSEIKPSGQPPVMRSKEEMEKMLMEKRAHELNDEEIISLSLRGKI PGYALEKTLKDFTRAVRVRRSIISRTKATADLTGMLADSKLPYLNYNWAQVHGACCEN VIGYMPLPVGVAGPLVVDGQSYFIPMATTEGVLVASTSRGCKAINSGGGAVTVLTSDG MTRGPCVTFETLERAGAAKIWLDSEKGQSIMKKAFNSTSRFARLETMKTALAGTNLYI RFKTTTGDAMGMNMISKGVEHALSVMKSEGFEDMNIVSVSGNYCTDKKAAAINWVDGR GKSVVAEAIIPPEVVKTVLKTDVDTMVELNVNKNFIGSAMAASVGGFNAHAANIVAAV FLATGQDPAQVVESANCITIMKNLRGSLQISVSMPSLEVGTLGGGTILEPQAAMLDML GVRGPHPTSPGENARRLARIIAAAVLAGELSLCSALAAGHLVQAHMQHNRSAPPTRST TPAPAATASGVERTASTTALSAAAISRARR MYCTH_2295355 MADPAFRPALLVVDMQEDFCPPNGALAVNEGRDIVPLINELLAL PSSTLPLKIATKDWHPPDHVSFASNHGGDDGPPKRPFVDTATVTNPHNPAESYTTRLW PVHCVQSTAGARLVPELDAARLTHTVEKGTDPRVEMYSAFYDPLTRPRVSDSGLADLL RAHAVTHVYVVGLAADYCVRCTAEDAVREGFVAYVIEEATRAVDPDGWEGCKREMEGV GVRIVTWEGPEVRRLFEGGQEK MYCTH_2295358 MNFPVEGDEETPLLRSTTSPRELSSAPRYDDDDDDDNALSPTKT AGLVLAPPLLPPPPPGVGQAPGRSVEDDVVPETAVLGRNLGWSSAYILIVSRVIGSGI FATPGAILGAVGSVGLSLLLWLAGALVSWCALVVALEYGCMLPRSGGQKVYLEFTYRR PRLLASFLVAVHALLLGFTASNCIVFGEYLLFALGKREEGPSGTAPAAAAAGEEGAAA GRPVQVRLLAIGLMTAITVLHGGFMRAGIAVQNVLGWVKIGLVLLMTVSAGVVVVTRY APGEPGVVVPGGGGGGLDSSELGPRAFPTTWDGFWEGSVWNWSIISAALFKVFYSYAG LASVNNVMNEVRDPVRTLRSAAPTALVTACVLYLLTNVAYFSVVPLDEIKHGGELVAA LFFERVFGQNLGRHLLPLAVAVSAAGNVMVVTFALARLNQEIARQGIIPFGEALSSSR PFGSPLGGLILHYIPSVIVISIPYKDIYSFILEVEGYPGQFFSLAIAVGLIRLRWTRP DLKRPYKAFLPAAWFSAALSIALLCAPFIPYNGESWGAHLSRVSYALVGISVLAFGVM YWTVVTILLPWWGNYRLEERADILPDGTTITKLVHVPMV MYCTH_2122542 MHRWQGALIVLLNPLLWLGALGLPRPQIGDCGENEGPVGGVSPP IPTVTSSSGSLRGDSGLLGGNAPLPDPEKSDSAIVPNPQLVNGQEADAKLGLYLDFDS ADPPQPIRGENGNTDPGPRTFDYEKLNPDLFAPPRTDAGDMPNLMWPMGLSHNRPGTG KNSGWARQQNVDVLPAAKAMAGVDMRLAPNAYRELHWHTANEWGMILKGCVRVAAVDD EGRNFVDDVCAGDVWFFPAGIPHSIQAFEKGAEFLLVFDQGDFTEDGTFLVSELFLRN PVEVLSKDLRAGVDAFENLPKDQLYIFNGTPAPADISEQNVTSPAGAITGNASYTYHW SQQAPYTVPGGSIKILDPLTFRVASMFSAALVLVQPGALREIHWHTTSDEWNFFLQGA GRITVYGAPASSRTYDFTAAGVGYVPAACGHYVENTGEEDLVFLEVLQAPKFTDISVA QWLALTPRQVVKDHLSLPDAVLDALPREKPIILTGNQNLTALAGGGSAFSVS MYCTH_2295362 MRVLPLFALAAPLVSAIQFLEPVANSTLIKGETYRVTWSSVDTD PTKFSIYLVNFVDWPPFYIQVASDVPTTAGEHEVTVPCDVNTSWGFQFNAINGTNVYV IYAQTPRFFVRDSDGDCIDAGPVPPPGDPSPTCKPVTVTETVTSTVYSTVYPDA MYCTH_2295366 MASDGRADDPVKIQILKDALYEEMRQHGSESRPFSQKDLLDLNI IPNGNLELLLQVVQRLCDDKLLVGITNHHAGMAWRWRSREEAKKYTTLPNDETVMVYS IIDEAGADGIWNRTIKNKLNMHEAVVKNCIKFLESKGYIASMKNVEHPNKKMYIKANL RPSERATGGPWFTEGELDTAFITELEGIVFEYIKTKSAYRGSQPLPGGAAGGGTTKTP KKGAVTAGETTTTTTTTTARGTKRSATEISTDDASAPSGRGAGGAGGTQTKSRTVFLP MPAGYKHYPTVAEIAKFIHDTGITNNTTLGEADIQQLVDVLTYDGLVEMVRVGRRRGY RVARAAKQDTVPFHKRQKEREAGIGLDRPLVVGAEPLSNGLTEAPCGRCPVFDLCEEG GPVSPSNCVYFLQWLELEDLPKAAAPPNVAVHP MYCTH_2313485 MAQSKVFLDVEWVYDKKDEPTPDPKQTFRGRIKLKLFDDVVPKT ALNFKTLCTGEMGFGYKGSTFHRVVNNFMIQGGDFTRGNGTGGKSIYGERFPDENFQI KHYKPYLLSMANAGRNTNGSQFFITTVVTPWLDDKHVVFGEVLDEESKKVVKAIEATH DNKLDADKPKIVDCGVLPEEEA MYCTH_76367 MDLNSLKKQVSNLTLYDIKAGVRKVQNAVMNYTEMEAKVREATN NEPWGASSTQMQEIADGTFNYQTLNEIMPMIYRRFTEKSAEEWRQIYKALQLLEYLIK HGSERVVDDARSHISLLKMLRQFHYIDQNGKDQGVNIRHRANELAKLLSDVDLIRAER KKARANKGKFTSMQGGSGFGSSSRYGGFGSDTGYGGGSSSTYGGYSGGVYGDGGGFGG QSDEYRTSQSRADKFEEYDEFDEAERPAASANRVTRDTTERAGVKRTTDQPKKKEPEV DLFSFDEPAAPAEPAPAKSSGLASLDSGAADDDEFDDFQSATPAAQTAAPPASQTAAL PPPITTSVSSMPLAAPKPVSAPQQANLSGMVAMSSISPPPSSSASPAANVSAFSTPLA PSTLSPAAQAPKPTGYQASAPNYFTSVPAPSSSSSVGTKPSSGPGASKSAAGGDAFGA LWSQASSGIKKNTQAGPGPALGQLAKEKSNAGIWGAPAPSAPSSGSTGSKPVGNGLDD LLG MYCTH_2114599 MQYVAHDTFSLRPKGIYAYAFLVCRIAQIICLAISAGLSGNLLS ITSRGHQTAPANLIVVIILTGIALVWVLVSSTGYSTRYLPYPKTLSLDLLFLIPFVTM AAILGLPMAEANCAVVARNGRFEITAPHGSSVGKVSFPSDGRASCTKLFAVWVLLVVI SALFTISALSVGFLHLGKKQSEKENFPGRIEPGEGSNRAGYAQGMSEPHGGRFNPASS AQPGGFEAGGNYSSIRPSVSEDRLDLNRPVTVAPDGPAYAGTGGDGWIRAELAGMGQA KTSSADGRLRREQIYSGETKSSTASKHSAMPFASLRSQATSFGKAPTGNRFASGDEAV EGKTNILPGSYYQDESRRSDSERQLSDAEPVALRSRLADLEDVPGVPLNGYGLPRCLR ASLIPKPSALGDNGKSGRKRMNEKSAESGWWGALASVIFEPQAEYNRSNVL MYCTH_44604 MHPVATKSRSAGGCWTCRLRRKKCDEARPICKGCVALEIDCLYS DDKPEWMDGGEKQKQKADWLKREVKRKAAHRRERRYLQGLEIRLESLDASLTDESDTT APKDLINAVPPALGANVSSTSASRSISEDSTPRTGFDSGSTDSSLSIPSPDEHSDSPS PQCDESKESLSKEEEAHSTMMYLDYVFPFLFPYYRPSFADVGRGWLLVLLVKNKALFH SALSLANYFYGIILGHIQDASHQCHTQNLEALHKQQGLALQWLQREMRDIVTRGVKGK LAEANRVMASIIQLMTCEVAIAKPGNWAMHLNAAAELFNEMMKHHAVTEAGRHCFMMV LLQLGSKPFTWTPKNHPWGSDQAILRFFTAQLLFLDTLGSTALQERPRLLQWHRHLMA TLEENDRNHMPGSEKEGTVPHINLHEFIGIENWVILSIGEIAALDAWKKEMKRAGSLS ITHLVSRASTIEERLQASLQTLDAAISQGGRGSADGTQHLLQYFAGSFSPQVMHGTAM NTRIWAQAAITYLNVVLSGWQPSSPEIRNSVTLTIDMMLSLPSPDCLRTLVWPFTITG CLAAPDQERIFRELVAGMGPFKVFGTIREGLAIMERVWERRAEISPDCWDLAAALNCL GRPALLI MYCTH_2295383 MAIFTTRLTISILVTVLGFILTRAPFMMSSVAPSKQWADGPLKL ITTPQFLTKKTDIFTSGATHMSLLHNSIFRGYNSIYHQAPLVADKDKADFVGYCLTWF KFVKSHHDDEEVTLFPKIEELLKDKTIFEETHKEHESFLPGVADFEKYLTGLKAPSDF SGDELLQIMKSFQQPFEHHFHNEITTISKLADHPNAPKQGTPENDAAAATFKAWGKST VTKAGVTDVVPFFLLNLDRTVEDGMWANWPPMPAPIKWGLINLAGAWHSGWWRFTSCD AAGQPQELWAYRAADAKTS MYCTH_2114610 MKSKRVFRVREATPADLEAIIDVYFSAFEDNVMNQLMYPRGVTP TCRNKFGSRILPQRPADNDVKHAGGKTETLVCVAETFPDSELTDGAGDIVAFAKWQLQ REPLSEEEWKSEEFKATTEVWGEDCDLSVVDAFIGGMKRVQRDNAKGEAALYLSLLAC TPAFQRRGAGSALVEWGVNIADHLGLPSRLEASPAGYGLYKKFGYEDMAVFDFQVTKT WGRAKPAGSDWGENNAVDLAGPVPTGAQRNVIMRRPPGTATQTVTPIDDFIGDRAAVR LRPVTTLGNMAASAAQKAATAPADRQTHQERRPSGTINLSRLPSRCESGHGPSHRIMD PVSAAANIIAIIHAANKGCRKVISELESLLPPESLLATDSKTKAILTALSWLTKESRA KTLIDELREYKITISLALTTDSSLDIKETKQNTEKIFAALNDVQERQVYSWLYGTDPS DIHERSRKVYEPGTGEWLFRSPEWEEWLNGKSRCLWVHGIPGAGKTVFASRLIEEVRW RCDLRGPSYACAYYYCYFGHSQDETVPLLRWVLLELCRRFGRVPVAVFDLYRHGGNPT VRGLLQALEQAVQAFDKVYIFIDAVDESLERENLLRVLQILATETRFENLRVLVTSRE YMDIEDTLLAISTPISMRNYLVDKDIALYIRSKLNSHPRFQRWPEQSREQAFQAIRSK ANGMFRWVVCQIDALQRLKPEKRIIETALANLPRNLDETYERVFLQIPEEARSFVRHV FQWMSSHLTIHQAIPDVQPIQTVNFSPIYIPMLASILLDILFAAVKKSLSADETCDPD FVDSYAFDKDLLRDYCGCLVTVTKHPIKDHTAVVETCTVSFAHYTVLEFLESPRIRRG PSADFALDRQQVLTEHIKTLILGAVKSADRWSQDWPEKRSPEFYGDFDRYCVHSAVLL LHWHAKALHSLPTLSWLPPATQLLETRVPTPLGSYFWFNENVLMDLENPLAPSVGAFR AIQKLRMLSPPPQPHLEMLARMLQMDERGYLARSFLASLGRTSDDFACQVDLEFQPRA CFLSSQIPTSREDWQSQMLKVVRFRGSMFEFYAQLPTIGLAHQGFYEMLDFAAGHFDP STILLFAVVNHQHNGEGPQCWGCHVLTKLLRLGAEATAPGFAVCAMQIAATRRDMVAM SLLLDAGFDPNDVGDPCGDVGIPERGPMLVWCRNIRGRSPLNIVKNYSYLTRQSLNTW YDVQAQNSRPVPRQERKPIDGEVAALLLRHGGKDFQLCTD MYCTH_41181 LEVARPSKRKRVVTDPNEQFAMMKQIHQAQVKAGRVEDPVAEES GSESVDSMASYIVVG MYCTH_62625 MAVTVTVTQQQQQQPQQPQASVAPSKPKAGMVPPRRLTKEELIN THNAHLKQQKSSPNAPRAVKQPVMSEAYPASTKSIRELEIIPLSELRAETHHRGKGII VKLVSAPYVGAGAVSIAEDEFGNAVRLAIYNQPDSSILSGAPEGCVVAVKEPYYRSNG APDDFIVCVDHPSDVVLLRFADPIIPEPLRLGPLLKTAEEWRNAGDRAFIEKDFPTSV FCYGEALQVSEDEGFRHAVYAKRANINLILGRYDAAKADALASRTGTSARDWRAYYNA GRAAYGLCEYRESEELLSRALEMAEPGSQHEKVLRELERCRARLREEATGEYDFAAMR ASLGPRSVHMDRGSFLANTRVGESARHGGGRGLFATRDLAAGELVMVEKATLMPNQYE PSRASAALYALMVRQLCDNPSLAGPVLGLYDGGYARTGAEGTLVDGVPVVDVFLVESI RTKNCFSGPRSTVDDTRPGGGAGHVLPDGSPARRGMAKGLWVHSSRVNHSCVPNTMRS FVGDMLISRAARDIKAGEELFQQYVPVRTVVDVRNAQLRESWGFECACELCARESRSP EHMLARRKELVAAVERLCNKKVPGRELVPDAAIRAVDRLTRQLDDAHEPDLYADGIPR LTLVYPCNWLVAAHRGRKNHAKVVRYALQVLRCFGFRAPREPADQWDPRDMYAASGDA TLMTVHVVATLRTLAEAYGALGRDDMARRCIDAAKLGYTIITGFENDLSTLDK MYCTH_99098 MSRALLETSTGIFRFQLRVPESPQRGHDLPDPWEGPEQCHAGFC LFSNPEAGGGLSLITTPRIAHLIATSKEIPESTGIEPEAFYEAEVPSKGSGLIANRTI RKGEVIMQRGPVLLIQSVPHIDLEPGRRLELYQAAVDRLPEPMRSRFLRQTGDTVYDK VEKNSFRVFLDGDRKHSIHLGLFPDVSKFNHDCRPNVHYRISDLTHTTVAVRDIPAGE ELTISYIYGLKPRAERLEQLSEWGFMCTCPQCALSEPEAGASDNRIRQIKMLEDEIEE LVAKGAPLLRPELGARLVELYREKRLDAYMAPALTRAALLYSMFGHEERAREYAREAV GALEREVGPRAGDLGPMRALARDPKSHWSWAVKVTSGDKKAAAAAAAAGRRRNGTLGA RSGGERARLGKGKREKEKGVRG MYCTH_2295391 MTSAAAEALLSPSLPPSPPPPPPPPATTVDDGYKADDDGDGDSE NGSKGPNEPRLSSFYSFYTLTMCEGDMTADGASRIIKCHPYFSKHLITIPAISSATSC AAADNNNNKTNNNVDNADDSYSDDDPSGVGYGLQFAVDNLITLLKTVAAFQSIGIGLT GLAAFAAVPAASLDDADCRGGDEYGPGGGGSARQRYSWAVWFNLACASAAALFLLLGA LTAAAGAKVAEESIDELGAVRAAAGRSWVALAWAAVALVVAAVVHWAVRGARWRKMLR GEREERRERERKKEMEQFARRRVEEATKQLGAHAQKGKEGPPRPGVRVKPPTPAPAPP SLSSPALGFI MYCTH_2295392 MTVLDPAYPPARQQIYLEVSRPRALLRIGRATDENGPLAPLVQK YIDDELQLKTDVPELRLRDDGSLYGGEVDGQDIFASVRHLAASPPDVLVGPDSNPTLS FTSGSEGRPKGVLGRHFSLVKYFGWMAERFNLSSESRYTLLSGIAHDPVQRDIFTPLF LGAQLLVPSREDIQHEKLAEWMREHKPTVTHLTPAMGQILVGGATAEFPSLEHVFFVG DVLTTRDCRALRRLAVNANIINMYGTTETQRAVSYYEIPSRARDPDFLDRLKDTVPAG QGMQNVQLLVVNRENRTELCKVGEVGEIFVRAAGLAEGYLGDPALNEQKFLMNWFVDN QKWVEADLKASKNEPWRRYYKGPRDRLYRTGDLGRYLESGDVECVGRADDQVKIRGFR IELNDIDSNLSQNPLIRDCKTLVRRDRFEEPTLVSYLVPEHKEWLRWLEDRGLADVED EGVEMGPVTVYLKKYRRMQTEVRDHLKTRLPTYAVPTIYIVLNKLPLNPNGKVDKPNL PFPDVAERVEDASEEDIKNWELLTDTERTVAQMWADVIRGLNPKTVKRENGFFDLGGH SLLAQQFLLSVRKKLGTDVPISTLYEHPSLAGFSAQVDKIREHGTGPVGPEAGEAAYA KSLDELLHQLPEKYQSADGEALASAEQLTIFLTGATGFLGSYLVRDILDRTARSVKLI AHVRGVKEPSAALSRLRRSLQGYGLWREEWGSRLGAVVGDLSKPQLGIDDATWQSLAR EVDVVIHNGATVHWVKRYQDMMASNVLSTIDAMRLCNEGKPKIFTFVSSTSVLDTGYY IRLSDQQTSTGQGAIMEDDDMSGSRTGLGTGYGQTKWVSEQLVREAGKRGLLGSVVRP GYILGDSETGVCNVDDFLIRMLKGCIQLSARPRIINTVNAVPVNHVARVVVASALNPL PGGVHVVHVTAHPRLRMNEYLSILEYYGYKTPEVTYDAWKEELEKFVSAGALEKDQEQ HALMPLYHFCMNDLPANTRAPEMDDRNAVAILKADADRWTGVDDSTGQSIGREDVGRY LAYLAEIKFVGRPTGRGRPLPEMKPEVLAALAVGGTGGRGGAVP MYCTH_2106506 MKVSARAILPFAPWIAAKAAVIRSAPDGTPLSESAQAVVRAAGP GATCEWFTQPIDHKNASHKSWQQIYCVDPQWWTPGAPVVLMTPGGEPITWAVNSARGF SYLKNTTLAGRYAQELGAAAVVVEHRYFGGSSPYDGFDAETLQYLTLEQAAADLVNFA QNVTFPFDKEQTSVASKVPWVYWGSSYSATLGSWIEHLHPGVFHAYHLSSATVQANTE NWYYYDTIRKGIDALRNDTRCSAALEEVVGFVDRFLLASPVNETQMDALKQYFGAVFP IANDDFAYAIATPFRYWEERGGYRAVLDMCDGIVGSDATEEHEVLGTIPSSVGNYAAY FQMNFRDSTCTYLDVWGQKDPLWCLDTHDPLNPFIEARTLGNPWRTRMWFPCNEPLAS WATGAPRDRPSLVSRRLDAAYWQAQCEMHFPAVGDHRYGSADGLRTPDTLNAVTGGWA RRSKRVIWTVGLEFDPWRATSMASELRPGGPLESSGDVYVFVIKNAAHADDALTEAGL ANLNLPVNPEVVKVQQQSVGIVKEWVGQFRPGGDEEGSDE MYCTH_2295396 MAADPNNPERPARGPIGVISHPPDNPTGFERAPLEPGSHASRAQ DRRYFDYQNTNSSWPPRGQSSETLSRMETNYQSSKSGGKKRR MYCTH_2295397 MASPSQVAPSWLARLDEMAADIIPSTYPIETDTLGIIKKLLLAQ GDDNAAVGEAVHEIRSFYTTKLFPPNDPFYRDLPDHGVSQVVGAVVDHVFELASAVPW KESAHKRLADLLIALKENATTTGFDPAVRRFTLLPTRRIAHSRAELES MYCTH_2131761 MQLRTPPENNDSGFEIGVSPNSSSLVVVSISAAPKVIPALLGLL GGIFGVASVVGPVLGGAFTDRLTWRWCARVIMVVLPSRSTSHSSPLAYNLPIYFQAVN RVSLLESGIRLLPTILPMTCALLVGWYQPWLMTGASLAAVGAGLIYMLGNYQLEHLRP SVIANAITPKGDNSIAMSNILFFQFIGGTPGVGMAQSILNNGLIRSFPQFAPGVTTAE VLSVGAYYDLQTVFSGDKLLGVLRAYVAGLHHAWILSIAGAAVPVCFPLIGAFVKLSR FSPKSWEELGG MYCTH_2136712 MSTIQQLKNFIRHGKQARVVDESPRSKNDNSTTGQLQIKTGTVG TPATDPAVSTISAAKATGGSARHEPAQPQPADAKTKQHKRIPDENIAKLVAEENESKS KFPHYPGLGRWELLEKMGDGAFSNVYRARDREGIAGEVAIKVVRKYEMNSMQRANILK EVQIMRQIDHPNIIKLIDFSESRQYYYIILELAPGGELFHQIVRLTYFSEDLSRHVIT QVAKALEYLHEERGIVHRDIKPENILFNPVPFVPSKNPKPKQPGDEDKVDEGEFIKGV GAGGIGQIKIADFGLSKIIWENQTMTPCGTVGYTAPEIVKDERYSKSVDMWALGCVLY TLLCGFPPFYDESIEVLTEKVAKGQYTFLSPWWDDISKSAKDLISHLLCVDPEKRYTI KEFLAHPWIREAGPTPREEKKATPEENALRAFETTKLVEGDKRYDLRSPAAINLREVF DVGYAVHRQEEEGKRRKHVGVKAGGVSRLGGVDETLDEDEEMEEDQDPADQQADKPHN GGTQQLEQSMRDTQIRDQEQQQQQQQQQEQQRGRDRERKAHPPPAAAEQRGYGQHSAA VTAAAWQQVRERNRQRGVFELNLDGATLLGRRGVKPAAQIA MYCTH_2122561 MTNSRRVVRSEAAVRTSASGIRPRPRRRMPSRPLAPAPQLIPNG SRAGLDPGTKRNHPKRAAARSPPPVFSTLHASVPVPAASPYTLRSSLDPVMGIVQEMQ PVTVFDCQSAAHGANTAVVSYLFAALLSCTDLQPPSCSTTSAGPEHGIRGSVRSSTLV LRGKKRSRRWLVSSWA MYCTH_2051636 MSVTSLAKTAGIWAGGLLLGLSLPHLPHLGAPRLLTSLVGDFSI LPGRGLGLPFLTKPIRTTTTTTNNNNNNTASTFVCDTTHTYRTELVSLDPLIMYIHDL ITPAEIAWLLETGEPRFAPSQVSKYGRQQQTADRTSSSAGLPRDDPAVMCVLNRTRAF LGTMLRDGWDEMGPPQLVRYTAGQRFNLHHDWFDVPQRAAAAAASDDGEFVPRRWNRV ASFFAILEDDCTGGETYLPYAKPIVPPSRRGEAMWQGGEREQEQEQEEARPLWREHED GGLAFRPVAGNAVFWVNLHANGTGDPRTNHAGLPLESGRKTAMNIWPRQYYP MYCTH_99103 MATPAILFTNGRIFQSGVEPGTSAGLHREPTFASCMLVRGSQIE HVGSPSDAPIAAALAASSSSDSGGSGGSSTASPTTIVRDLGGRTVLPGFVDGHMHLML LGQALNKLDLGGCRTLEEIQAAVRAHAAAHPEAPRILARGWMHSMTPGGVRAADLDGL AVDERTGRDRPVLVDSKDLHSTWCNTAAVAELGADAWADVPGGIIERDAEGRPTGVFS EAANMTYVWPFLARVATLEERVAAVEAAVRAYHAAGYTGLIDMAMDEGGWEALQAWQR EKAKKGEDGGNPGMRIAAYWLIRPCERVEDALQQVERAVQLAGEFGAATTPDCRVVGI KVICDGIVDACTAGLKEPYAHDGRVGVPLWTREHLEPVVRRADEAGLQVALHAIGDAT IAMVVDVLVRHANPNRRPRVEHLELASEEDAARLGTSRITASIQPVHADPAILRAWPR LLGEHRCGRAFAYRDFADRGAPLALGSDAPTAPHAPLGNLYVATTRRSYRDPAAGDAP VNPHFALGLCEAVAAATEGAAYSCFDDHRVGALRKGLKADFVVVDNMEWDKDKITAAT VAETWIDGVKVWST MYCTH_2295405 MAKKVDAILKSYVADGTATKDKLLGAAFVVVNKDGPIYQGSAGR TGIDPSSAAFTPHSIAWTASLSKLVTTLTLMHLVEHRDDKNKNNNNNNNNNNNNNNNN IQSTLDEDVRPRVPELARLPILRGFAAGGLATRHPDNKKEEGEPLLEPNTAPITLRHL LAHTAGLGLDIADADLERWSRWVGRTARASTSTVEGWSTPLRFPPGEGWYYGTGPDWA GQVLERVTGRRLSEYMDEHVLRPLGITRGTGFYIDKLVGGDEKEEDEEGGRDGGRQLV TLTERDGQTGELRERDVPFPVEPPCESGGAGLYTNAADYGRVLQELLRALAGDEGAVI KKETAEEMFRPQLNDKQREWQRSIVWEFGAAAEIPEGSLVDFGLGGMLNMEDVEGKRK KGSMMWSGFCNGRWWIDSRTGIAAVMFTCLFPYSDPTAIELYDKLERTVYADLIPDWQ ASK MYCTH_2295409 MAPSTTNTDDNILQSCCSHEERFRDPASPSSLFRIDMHTHMMPP SLPDFSSSSSSSSSSSSSSSTTTTTYVWPALRPAPDHAETGAVDMYVGGSFFRRVDRA CYDPAARVAAMDAAGVDVQVLSTVPALFCYDAPADPPGPALDAARSLARALNDHLASV CAAHPRRFVALGTVPLQHCPSAVDELRRLVRLPGMVGVQIGTSVEKPASSSSSTAAAE PPVMLDDERLEEFWSACEELDAPVFVHPLGYALTRENAARWGGYWGSWLVGMPCETAL AMHRVLASGLLVRHPRLRLCFAHGGGAFPALLGRIQRGFDCRPDLVATRACGVSPTEH FRGREEETAAAAAAAAGGGLPPQIWIDSLMHDPDLMEFTLRKLGPHGATRILLGSDYP FPLGEVPVAGKMLTEDEQLGRVMTWADRARVLAGNAIRFLKLGRDFEERFEERWTRFQ SARQLPAGDEQQNKDGGLRDSWRHRDSAIDLAGEEQLDGQDDGKRGSVLLVNGKPDRS PESISAALDV MYCTH_2114617 MAVVAGLLTWSNAIGAITLYFGTLVFYRLFLHPLARFPGPKLAA ISRWYEGYYDFYLGGQYTLKIRDLHRQYGPIIRISPHELHVSDSAFYEKLYRMDGRWD KYAWTYDAFGATFSTIFGSDHVKHRARRAAIAPLFSKARIAARQDVIRKNVVKFCGRI AGFAGTTFNLGAAVSAFTRDIANEFVVGKGYDELDMDDFNVGLSIPVLGAGTVWRTTK FIRWYGPLLKAIPSGWILKWAWASEETKSYIRYLKKCFQHTDETLAAVKSGSPDANVE NTVVHAIVRSGLSPAEKSELRIRQEVSTVTTAGFETTASALRLLLCHVFTNDTVRGRL RAELASAGVGAGDDGQLELKTLERLPYLTAVLMEGLRLSPGIGTRSARVSDKDLFYGD DWRIPAGTPVGMTVLLMHTDEHNFADPRSFDPDRWMDPATRRAAEKTFAPFSRGTRVC LGMHLAWAELYMLLAALVQRFDFTFEGATPADFEFAFDSFAIGTKAGCNLFATPYLRQ V MYCTH_41800 MLSEKAVVTDTQTPRGSRQELLHRADELWACDTLEKAESFIRSL PTPISLANYVAGELELPPSPETKFIDSYEPKTGNLLFRIPCTQEADVEKAIGHARTAF RTWSKTTRAERSRHLRRISELLQEHRELFAVWESIDQGKTIARARVEVDRAISNFSYF STYILHEQTAARMVDGVALTYEHRSPAGVFALISPWNMPLYLLTWKIAPCIAFGCTAV AKPSEITSMTAYLLGILLRKAGLPPGVVNIVLGDGPTTGAALVASPSVDGVSFTGGTQ TGMAIRLSTARQIRKRLSLELGGKNPTLIFADAMGPATRGRTLRVAASAAFENQGEIC LCGSRIYVDRAVYEQFVAEFAPYVAEKYVLGETMGAVASLQHYQKIRGYLKLAADERA TFVLGSVPAAMERHDEGGYWIQPTILTDVSKDSALQKDEIFGPVVTITPFEDEEDAIS LANDSQYGLAAVLLTNDAARLRRVGERLEAGMVWANCWLVRELGTPFGGMKDSGTGRE GGEYSRDVFTEVRTLHLAA MYCTH_2295414 MASPNGTSFILESGAQGLANYPHARTIPSTAKTIFVSGISSRRP DGTFEGCVVKPDGTYELDCGAQTAAVLRNIETVIRGATDGRGGLQNVVDATVFLTDIR RDYAAMNAEWNKIWPDRCKAPARTCVQVAALPNEKILVEIKCQAVVSE MYCTH_2295416 MKNEVYEGSPLDTPDEALGGSQIVDGVISLDRAEQLQRGLKSRH IQFLALGGAIGTGLFVGSGAILAKVGPAPLWLGYVSMMMVVWIVMNTIAEMTTYLPLK GITLPYFTNRYVDSSLAFASGWNYWYAYAILVAAEASAGAILLDYWDTPVPVGVWITI ILVVCLVLNIIAVGIFGEAEFWFASIKFITIIGLIILGIVIMAGGAPQGGAIGFHYWN DPGAFKEYVGTGATGRFTAYWTAFVRAGFSFITSPELIGLAAGETVAPRRNIPKAARR FLYRLAVFYGVSSLIIGAIVPSDEPRLLSEKSDASASPWVIGIQRAGIRGLNHVINAA ILTSAWSAGNAFLYSGSRVLYSMAATGQAPAFFARTTRRGVPYAAVLATWAVGLLAYL NVSQNGAQVFLWFSNISTISGFIGWIVVLITYLRFRTAMKVQGLLHTLPFKTIAQPYA AWATLILISLLTLTNGFQVFAPWNYADFLAAYITLPIFLALYLGHKLWFRTPICRRAR EVDVLTGKKEMDELCADDRPPVPKNFLQRVWFWLA MYCTH_110317 MPQPNAADVKSIKIVIVGAGSVGVTTAYALLLDRLAADIVLIDI DKNRAMGEVMDLSHAAHFAQARVRVGDYEDCAHAAAVIITAGVNQKPGQTRLDLVKTN YALFRDVVPRIARHAPDTILVVATNPVDVLTHAAHHLSGFPLERVIGSGTAMDTTRFR HELGKHFGVNPRNVHAMIVGEHGDSQLPVWSLATICGMRLHDYCRAARMEHDEAALEA CAKRTREAAYEIIRRKGKTNYGVASVLVSILQPIVTDSDAIMTVSRVGTYAGIQDVAL SMPCKLNRHGAYQDVPLLLSELEEAELRESAQSIKEVLMSLEK MYCTH_76393 MPHFTYVAPEAGHHRRRHDEPATHHHHHHRRRRRASVHYPLPME PERIEAPDFRFCVELGLVIRSRKRNHKTVTGLEEEISTQLTRVGIPNHLASARPTSVS SREWTIASELCIPSRPADYRFGMKLVSPFARFSKRPEVWQAALRSVLHTLHAHFEVTT THQCFTHIHIAPAAGYWTLEQAKALAKSALYFERCLDALVPPYRRTSVWAKSNRNNVY FAGLPMAQCFERIDKQPTFEGLSARMGWCSASSPTGAALGAEPGADFLHDAFRWDFAG LSAAGAGGFGTVAFRQPPGSASAPEAVAWVMLVGCLARLSCGAGGGLDPDEKPQLKSL GEWLLYEAEWSALPHKALLEDLIDRAVPVTPAPGKVVGMDADAITIDEDQRLRWKLND RNLVLEKYMRLLKLE MYCTH_2295424 MWSIVRSLSLASLISSACTVTAQLSFVASVKQHGKDVDASGLSF VRIPPLEHRWHASRPRRGQNNRTVERDAVSYSANWCGASQHASDSDGIKSVLGYFTAP DLTLRPGTPAPQFAAAWVGIDGAACNTTLLQAGVTTIVNSDGGQSASAWWEWYPEASY TISGLKVKAGEWMSVNITTKDASSAILVIENADTGTSVTLELNNGPQLCRRDAEWILE DFYESGKQVALANFADLWFVDSGATTVGGKNVGFDGATMVHLRDENGNVLCSPEPYDN SNFVVVSKP MYCTH_2141608 MASPPAPGLQTTPGDDGREQRRDLSPAPLPPKGKEKKRVGFASD RQPPANSPGSPFHTAQGEPENDAQDYFSFTPSPNSNGSTPLHPEGLTRRPSVDEAELA AAVARYFEGEQTSGRAESHAGPSRPRPVLRKSSAITTPDEMPTAPHRSEVEAKNRADR LAYDVGTSSVPVSGRSSVDSGRETVDHDDQLLDQAAAAAQDLGPEGDSEMLRRRRNAH LEADQLVKAHRRRKSPLINSFFPPRSGTATPVEHDVEYVPPPPTYKGGILGTLLKLYN AEDKYGSAYTDSSAASTPVSSPPTSRSSTPKPDSRRSRPRAAQSSSTLTGLMESSFVF AAPGSSKDISEAVSEKVKQEASKSRKTAKSKKKAEEYRIKIHIAEIINRHTYLLKLCR ALMTYGAPTHRLEAYMRMSARVLGIEGQFLYLPDTMIISFDDSNTHTTEVKIVRAGQG LDFGRLRDVHEIYKEVVHDRIGVDEATARLDEITARKPKFPVWLRIILYGIASAMVAP FGFEGRYIDMPICFILGCLVGFLQLYLSPSNELYANVFEITAAVATSFLARVFGSIRG GTLFCFSSLAQASIALILPGYMVLCASLELQSHQMVSGSVRMVYALIYTLFLGYGFTI GLVIYGYMDSNAVSDVHCSVGDSWYTKRPPENYYLLFVFPFTLCLCFINQAKWKQTPV QVFISLAGFCVNNFSSRFFKGNGIVPSSLGAFTIGVLANLYSRLGRYTQNWLLDMWEH HVEPRLYRLAGKFRPRHSPYYNCPPAVDSDETISNQKTHAAGDLEMGGGAMKPQAAAA RGGPAPRARHIGYGLAAAAMLPAIFVQVPSGLAASGSLLSGVTSADQIVRNETLLANG TVVNGNTSVAATSQSGSGGDLTNSAFSVLFSVIQVAINISVGLSLSALLVYPFGKRRS GLFSF MYCTH_76403 MPRTTDMSGVERTRPLYTIVNNDKKRVAYFYDSDIGNYAYVTGH PMKPHRIRLAHSLVMNYDVYKFLEIYRAKPAVTSEMTQFHTDEYIEFLQKVTPDNMDS FMREQGKYNVGDDCPVFDGLFEFCGISAGGSMEGAARLNREKCDIAINWAGGLHHAKK SEASGFCYVNDIVLAILELLRFKKRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKYG EYFPGTGELRDIGIGNGKHYAVNFPLRDGIDDVTYRTIFEPVIEAVMKYYQPEAVVLQ CGGDSLSGDRLGCFNLSMRGHANCVNFVRSFGLPTLVLGGGGYTMRNVARTWAYETGR LVGVEMDPVLPYNEYYNYYGPDYELDVRASNMENANSPEYLEKIKIAVIENLKKTAHA PSVQMQDVPRQSMGMTDEQEAELDDRDEDENPDVRVTQRQWEKRVQRQDEFEESDDED IARANGVYKANGRSRQETTFRNTTKDDDTMEIDSGVATPPEPAAEPAADNDDTMIDET MAEASTEAAQTEAPNPDASEKPADTEKAKTDGDGDIDMTEAAPAEDKEAETAIKREEV EGITAAEAEPAKSPAPESTAKAAEEGEAPATKSRTSASPEAVGKTEAKPAEETPAVET SGDNAAAAAAAAEKKEDGEEAKA MYCTH_2050660 MSLGGAAPGTDNTSLIDNSETVHISSLALLKMLRHGRAGVPMEV MGLMLGEFVDDFTVRVVDVFAMPQSGTGVSVEAVDPVFQMKMMDMLRQTGRPESVVGW YHSHPGFGCWLSSVDISTQQSFEQLTPRAVAVVVDPIQSVKGKVVIDAFRLINPQTLM MGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIGINYRKTALEENMLMNLHKHPWTD ALQMEDFRAEGQRTKERLQRLVSLAEGYEKRVKEETELTKEQLKTRYVGKLDPKKHLE DVGQQLIEDNIVAVSRQMIDKEATMAKKEAPGGPNGQPNGDQMEVEEEEL MYCTH_2122575 MLRDILRRIAYALSRMACPKKEHRTPLDDGSPFFYARAAQETDM VKQPGVVSEMRCAALSDTTSNRDVARHEGGVLQRCRAVHNPIRDMEEAAIRTSTSGEI SLPRRRTREGDPVTSIPYPHPRRQRPPPPPPPPPQDSAPSPPIIRFSSPVRTPPTAVT LPRRSRRTRATTALPRLSSTPLTPETLRPLLDSFDRALHHTHYAVCGHAALVVWGYRP DSPDSAAAAAAAAATSAKGRTTTTRMPAHVSIVCPAAGRQAILGWARAVGWGVYAPDG SAAAAAAAAAAWSSSSGNAPPLPSSSSSSSSSSSSPRPASSSSRTLAGGGEVIGVPVP GSSPAVVVAFRLRTVEDAVWHRLERVRPRDVGPPYAAWPGERMRSTGAQVLAVPTLLD EVARAWYSCAVRRAEVGRGRERYVAGLLFWFLRRLAGDWDDAQRGRRTRAGWEWELEW EWEPLTPREVPNLTCRKFWTAFVGQYHDARGLLASCGLYPVASAAEEDDGDGRPKASP PNSLVQLLRRLDSSRPSRRVKRELCCDVQ MYCTH_2295431 MMMPSVFSLTGRVAILLIGGASGHMIMNSPTPYNLNIQPLLQVD PLSGDSYPFPCHNQYGFTTRTLVEAGGSTLVNFTGGGQHGGGSCQFSITYDEPVNGGD WNKSAKFKTIYSIIGGCPAVFTDETHNLAPVAMDKNMRQDSQHCGNDSGIDCIRQFMV PIPKFLKNGPATFAWTWFNKLGNKEMYM MYCTH_2295432 MPMLGQTKAEYANEDAIADDAAGQAYVEQFAQETLDRAERVVKA GRVTQQTATTFDAAATFFHVVNIWGPVDQETQQKIKYAKWNAARIVKAIKEGKDPNES NPKREEAPPPALNPNEPVVQTPGATSSGPRPVTIEEVPDVDMPNAGAARTSLPHSPAP AEPSPVSDGGLQLPGVPTNLSQPASSGYFGPKASITPPPQQSNQFQPPPDLPAPPTGG WAPSQPSPATGAPPTWSQPSAPATIPPPTFAANSSPTAAVASPPAGPPADSYRPQMAP TATPARPTAPAAVPPPGPAPAPGGGFVTDEAAIAGAQKHARWAISALNFEDVPTAVLE LRKALKLLGAT MYCTH_2295433 MHHPTLCAVAVALLSALPGVQAGLYTKKSPVLQVDAKDYDRLIN KSNYTSIVEFYAPWCGHCQNLKPAYEKVAKNLEGLAKVAAVNCDDDANKPLCGSMGVQ GFPTLKIVRPKKGGGKPIVQDYQGQRTASAIVDAVVQHINNYVVKVEDKSLDNFLADK EKPKALLFTEKGTTSALLKSIAIDFLDVITIGQVRNKETKTVETFGIEKFPTLVLLPG GDAPHIVYDGEMKKEAMVQFLSQAGQPNPESPPKSNNKKDKENETSKASEKSKSTSTS SKASSATAGSETETATQEAPVIVETALPIPSINSPEKLIKECLTEKSHTCVLAFTSSS EDEKAKKALESLSQLAFKYAQGKRNLFPFYEVPKSNEGAANLLRALDLIGDVELVAVN ARRGWWRHYDAADFGIESVESWIDAIRMSEGVKKKLPEGIVAIAVEEPTSAAPEASSE APDATEAANSEEATPVTPEASGEAASDSPEAEPTQAADSTAEPETAHDEL MYCTH_2295436 MPLDTSTYNLALLRVDGRRWNELRRVHAQIRTQAAADGSSYLEM GHTKVMCVVTGPSEPGPRRGGAAGGGAGGGGAGAGGTGGKAEVVVGIVIAGFSSVDRK RHGRNDKRTLELASTVANALAASLHTHLFPHSQINISLHVLSQDGSLLAALINAATLA CVDAGIPMTDYVTACTAGSTSTYAANDEGADPLLDLNHQEEQELPGLTVATLGETDRV AVLVCESRVQVSRLEGMLAVGVDGCKQMREILDHVVRDKGRRMIQEGTVEKGVGLDDM DMD MYCTH_2295438 MQLNQFLVLAGVLGAAAHPSGHAHLHRSAAKRDVHVKNIHSVAP PPPPVTTTSKAVPTPSPSSPPAQEVADTGKSKVSEVETNEYIPFCSGSSRKAKRVTYE QIMYTGNLGTSGGCKWNSNLMVVPNSIADKYDYVQTYTNVADEPYQVICANKMGADGK LTGLFKVASQQPLIFTLEPGETKTVVAQENTQGACAFAPNEVPLTENGQYAGTWAEFD FASEPNNGWSGGDCSSLVAQAADMDVFGCQMSHNGVDSTIYPGGEADNAYIKGMEALD GIGLNIPPGKVEIEVKVGFSK MYCTH_2050101 LFLKYRHFVRVYINDIIIFSKIEEEYLEYLYTVYEISNKAYIYI SIAKSFIGYLAIRLLRYVINSKGITKTDNRITAFKKLKFLNTLDSLEHYLRMAR MYCTH_2295439 MPSKGVHVFTYIAAHGCSIELSVPGRTVTVKELHRFSDVALEVE SSEIKSLFDKRGKFIFKVFRNGKQITEQWIDVNAITGNASGGTMESIADTPSIFHDDL IVSYGLYEAGKGHDLLPNRHQCYVTVSPNYERWMAKLAPPGSELEKKPFRRLVLPSAH DVGMNSMESSDAVLRCVGGAVVGQLINDNRVLTKLADKLSADAIALIAPNIIYSLAIT QKDSLEAMLKIGARYFEFRPARLHTAVRSKGALPDRLYFQHSAIPGMAYDAFLAGVVS FLRAHPAEIIVVQLRWDGVPDACAKPLAEEKKRYLEDALRPAKGEIVAGNLQDMKKLT IEQLRRDRKRLIMLDSVDSLSTYTDEGNATLTGDSILQALPRVLTRENQRGKAFINIQ CQATASNITKAVVYSVLEAGATTSCLLATKGLCDHKTLPWCRDNVLRTCDPDQLVVLM NDFIDGATADVAVQLSRQRLEK MYCTH_2295441 MPYPSPVYVNRAAVAAVAAGNTAAAPAGPGPDKDGTSTLPPTET RERHPPPSSRAPALALHRLLPGYAETPLHSLPSVARELGLGHVLLKDESRRFGLPSFK ILGASWAVYRAVAEHLQRRSTGLDHHAAVSRLLDSLRGAGGWGADSPVVGPTAAATAT ATATATGREMGLGLELEIVTCTEGNWGRAVARMAAYLGAAAVVYVPAHVPETTRDLIR GEGAEVRVVEGSYDAAVDAARGAAEENDGALLVMDIGWEGYETVPQWVVEGYQTMLDE LDTQVLRVTGGQPATHAVVPVGCGSIAQAVAQHFKRPAREPQGGSRAAAGAAGAGAAA AAVLGVEPDTAACLRASLETGNMVSVPTQDSIMCGMNCGTLSTVAWPVLRTGVDASVV VSDVEAHRAVTELEALGIQAGPCGASALAALKRACEAEREKLQLSEKSVVILFSTEGR REYTAPA MYCTH_2030361 PYSIFSGKEKGLIVLIVSAAGFFSSVSTNIYFPALNSIAQEYRV SSTLVNLTITVYLIFQGLAPSFTGSLSDSVGRRPVYAVCFAIYIAANVGLALQRQFAA LVVLRCLQSSGSSGTVALGNAVVSDLAGPDERGSYIGYVSLGGVVGLSLGSTLGGVLA QFLGWRSIFWFLTISAAAVVVLIALFMPETARVVVGDGSLAPPRWDRSLWDLLPSTKK RRRDTEPAAPGEEGGTQSPRGSAARRRQFVNPFMTLRICADKEAGVVLLVSGIVFAGY TALVGAIPSQFKARYGFNDLELGLCYLPIGVSAALSSLAVGRALDWNFARHARLLGLS VEQVRQQQQAAGGGANNSGFAVERVRCEVAFPSLALFCAGTAVYGWLLSCRTSVAGPM VTLFVLGFGVNGCYTILSVLLIDVYPRAPATATAANNLVRCWLGAGAAAAIVPMIDSM GPGWAFTLIALLDLLMAPLLWVVMRWGPKWRAERIVAEKASE MYCTH_2295444 MSGIITAPAFNDVFTATKDNPTMQGLVTAIYELGCLAGAMTILG VGDLLGRRRAIMTGAFVMFLGVIIQITAFPGSAPLAQLIVGRVVMGFGNGMNTSTIPT YQAECSKTTNRGLLICIEGGVIAFGTLIAYWIDYGASYGPPDLVWRFPIAFQIIFAIF ITGPMFFLPESPRWLLSHQRVEEADKVIAAIRGYELDSDETVLERNLIMDSLRAAGGF GQKSTPVKALFTNGKTQHFRRMLLGASSQLMQQIGGCNAVIYYFPILFRESIKESRNM SLLLGGVNMIVYSIFATTSWFLIERVGRRRLFLAGSVGQCLSMVITFACLIPDDRMTA RGAAVGLFTYIAFFGATWLPLPWLYPAEVNPVKTRGKANAVSTCVNWLFNFLVVMVTP IMIDSIGWGTYLFFAAVNACFIPIIYFFYPETARRSLEEIDIIFAKGHVENMSYVRAA KELPSLRPVEIQEYARKYGLVDRNAGDGAARDDARDPEEKPAIISAGPSSSSNTSNDN VVVDEHGVESGLGDGINTSEKADRD MYCTH_2295445 MQIKGITLQQDEIKPDYANEAVFRRNTLPPRSYYIPKTSLALNG RWNFHYTSTPLKAPVPSSGTQASPTPSASDHPDETWTAIEVPGHWQLQGHGIPHYTNV QYPIPVCPPYVPTENPTGTYKRTFHVPSSWDRASQLRLRFDGVDSAYHVWVNGTLIGY AQGSRNPHEFDVTPYVNRDGANEVWVRVYQWSDGSYIEDQDQWWLSGIYRDVHLISLP SETRIEDWFLRTDLDAEYSDGTLDATIDVVTSTTATVSVALRELAKNGGETLGDAQQE VNGDGKVHLTIPVKKPAKWTAETPYLYSVELSITTASGTQTIHQRVGFRKVELKNGLI CVNGRPIRLRGVNRHDHHPRFGRAVPLDFVRRDLLLMKTHNINALRCSHYPSDPRLFD IADELGLWVIDEADLECHGFYDAVARPKDIPEEMDYEERKKLTFAEAAKYTSDNPSWK AAYVDRMEQMVHRDKNHPSVIIWSLGNEAFYGQNHKAMYEYAKEVDPGRLVHYEGDVH AESADMFSYMYPSVERLIKLAKTEGVRPDGTFDKPVVLCEYAHAMGNGPGWLEDYEEA FRSYPRLQGGFVWEWANHGLWKENPDGKSYYAYGGDFGDFPNDGTFVMDGLLHSTHQP TPGLIELKKVIEPVKVKIDRDQLVVSNLYNFVGLDHLTATYKVEQFSESTTLLASGVL DLPKIEPGSSAPVALPSAVHKFEGEAGAETHLTVSFTLATATPWAEAGHEVAWFQHQL QAAEPLKSAGQLRASKALDLAISNSAITVTGPSFQLSFDKARGYITHWSVNNTPLLEP DPVTGAAIIPSFWRPPTDNDNPSSLPYWRRFGVDVLTSQLRSTNLSTEASAGRIHDKD SGNNVELTFTTYHAPPVLDWGYLATTTYTISPRGTLSVAVRLRLAGSYPPEHVPRVGL DLRLPRRLDSVKWLGLGPGESYPDKCSAQRVGVWTAESVDALQTPYEVPQEGGNRMGT RWVTLRERGPAGVGLRVTKAEGEWSGNCESEPAASAGGFSFRAGRYRDAVVAAAKHPC DLVPEDATLLRLDARVAGVGTGACGPGVREDLLVPVEDYEFGFLLEPVDG MYCTH_43941 MGTSRDEKETVVADHADDDALREADLAVQVAHDADGTVYSPWSL RMIRLYLVLSLSYLCGCLNGYDGSLMGGLNGMTSYQRYFHMSTAGSTTGLIFAMYNIG SVAAVFFTGPVNDYFGRRWGMFVGALLVIVGTCVQAPCTTRGQFLAGRFVLGFGVSFC CVSAPCYVSEMAHPKWRGTLTGLYNCTWYIGSIVASWVVYGCSYIDTLDAWRIPIWCQ MVTSGLVCLGVFWLPESPRWLMAQDRHDDAARVLATYHGEGRADHPLVKLQMQEMMNQ ISTEASDKKWYDYHELWNTHSARRRLICVIGMAVFGQISGNSLSSYYLVNMLKSAGIT EERRVLALNGVNPALSFLGAILGARMTDVVGRRPLLLYTIVFASVCFAVITGTSKMAT DDPTRTAAANATIAFIFIFGIVFSFGWTPLQSMYIAETLPTATRAKGTAVGNFSSSVA STILQYASGPAFEGIGYYFYLVFVFWDLIEGAIMYFYFPETKDRTLEELEEVFSAPNP VKKSLEKRSAQTVLNTVGAAQNEKLARDV MYCTH_2313501 MATIAPPPSKRQRREELERTQKQQDVTPLLATDLGSFKANFVDS DGNQMADVVEINFADASEKNVSALLNTLLGRDREDFTPYRFRIHIPGKDVIIDQYPTD LLGLLQKHGVTNPFETTITLSAEPQAVFKVHAVSRLAHRIPGHGQPILCCQFSPISSS RLATGSGDNTARIWDTDSGTPKHTLKGHTGWVLGVSWRPDGKQLATCSMDKTVRIWDP ETGKPFGQELKGHAKWVLGLAWEPYHLWRDGTPRLASASKDGTCRIWVVNSGRTEHVL SGHKGSVSCVRWGGTGMIYTGSHDKSVRVWDAVKGTLVHNFTAHGHWVNHIALSSDHA LRTAYFDHTNEVPETEEAKREKARERFEKAAKIQGKVAERVVSASDDFTMYLWDPTNN GNKPVARLLGHQNKVNQVQFSPDGTLIASAGWDNSTKLWNARDGKFLKSLRGHVAPVY QCAWSADSRLLVTGSKDCTLKVWNARNGNLAMDLPGHEDEVYAVDWAADGRMVGSGGK DKAVRTWRN MYCTH_91240 MLCPRSPSDGTARIDILGSPPARAYHSPFHISNMSQFGRWANAG HVQNSMYYMAKSARGRPSRWTGPGGETSKPSNHAGYFVHTYVNMTQIAKAGKGTASDG VTRRLRPPIPGPTLRAAITRLALYPEKGKGGHSTRVAGLIKHVPRLPSVCGAYVHSHF KHIRTFASALANHRPQWTFEGACQIRYASGPVSLSSSPSGKERSHPD MYCTH_2295490 MTVFIASLFLPKTVHFTLPGAPRRGSASEKKQPKPVKLETQPSL FQPNDITPPITPTDEKKQAAGPFANEDGLRVHVTGTDPDTDTLRAPADRSSPTWGGRP DQPRSRANSPPPPSLINPSRSLQQKAKELGRQGVSQPRSLARSDSHDRVFAHADWRIV NADQGNGGLRNAVEAAAREGKLGDYIWVGTLGMPTDALHGTQQLQDIEDRLATEHDML AVICSDKDFDGHYSHFCKQILWPVFHYQIPDNPKSKAYEDHSWKYYVNVNQAFADKIV KNWKRGDVIWVHDYHLLLVPAMVRKKIPEAKIGFFLHVAFPSSEVFRCLAVRKQLLEG MVGANLIGFQIHEYTRHFLQTCSRILNAEATPEGVQLEDRFVDVINLPIGIDPVSLSQ HREEPEVQRWLDIMRERYAGKKLIVARDKLDHVRGVRQKLLSYELFLNANPEWRDKVV LIQVALSTSEKSELDAAVSDIVTRVNSSWANLAYQPVVYLKQDIDYAQYLALLSIADA LMITSQREGMNLTSHEYLYCQDGKFSEKKHGSLILSEFTGTSSLFGGNELSVNPWDYR ACANAIKRALEMGDDEKEQRWSKLVECVNHHTGAHWFTEFMARLDLVYEEQHRRDQTS VPRLSIPHLVQQYTRSERRLFILDFEGTLVSWGPVNKIIPVSPQRTLDVLNDLLLDER NTIYVMSGRRPEELDRLFRRVPNLGLVAENGCFLRDCGSTEWTEMADSEQIRSWKDAV RPILTYYLERTPGAEIEDRRCSLFFHYKSAEDYEAALRQASDCASHINDACEEQRVHA IPLDGSVLVEPIDWTKTTAAQKIFADLRAGMAPDEKHNSPVDFLMVVGDGREDEKVFK WANTLGEEGVVKEVVTVSLGTARNTEAASTLTQGVSGVLITLQKLASIA MYCTH_2122605 MAPFPPPPVNTIDWSNVGFRVREVNGHIESHYSVKTGKWSPLKF VTDPYMRIHGMAPALNYGQQAYEGLKAFRLPGDNAIAIFRPDRNAARMQHSAEFISGP APVKAAVALNAEYVPPHETGAAMYIRPQVYGSSAQLGLNPPEEYTFCVFVLPTGVYHG THPVKALILDEFDRAAPNGTGSAKVGGNYAPVLRWSERARAEGYGITLHLDSRRHEEV DEFSTSGFIGALVDGDAVTLIVPDSKAVIDSVTSDSVQQIAKSFGWNVEKRPIKYSEL PNFQEVMAAGTAASLVPIRSITRRKTSGLPQGPRVSTRDGQETVTYTPDDSDEPGPLC LKLLAELKGIQLGKIEDRFGWRFEVTKDDAKHVVGEAKAGVANEQTVDQLD MYCTH_2114650 MALSTDSGTSASETECGTFPFAATRATEAPYHSRSSRKSTRPGT DWLRRRAMSGAARNTMVSVEATDSLFSMEEDAVPSALPKLPTRFPIIPQQRYPYNKNA LSSDSSRGPSNPTTSSPVNNEASARTPTLPPSSASHDPHPFNNSGPACASSSQKRRQS LTPTLPRYSPLNSSPPVVIGDPALWLLPRPFHAAGGIPSVEGTPSGKPDGNRASSGIS IISSVAPFGDKGQSSEAETPTSLGSEKSDNSVLRSPYFRKRASEAALVHGEKQAGNLP SDPFIAARSDHLSRHSIFGPARQYQQHDEMSLSAMSDDCTSPEHHHSMSYNQVAEFSA YSSPVASHTRLGASEPRSHHGSASGYAYGPSVMSWPVTQTITPNTSPYRRAKNEMSPT SSVAENTTPNGLDFDLSSDSETDDESVNTYALAPRRRQHVTQPEQSRTISEFLDDQDC VMCAVSESRSSDMMGVAIINMTLGQVDLIRIINDNRYRRLAETLWRMPTQPQIFIVLK KVVDEHNKEYWNESEGLRLLDRFAWRTHVKAIRSDLEHNFYVSCALSALMAYVEEEMN VIFKENSLRLQYRQPADTMGLDRSTITSLELFQNIRNVKGTSSTLFGLLNNALTPQGR RMIRSTLLQPSTRRETITARLEAVEELSSNENLFIDVRSSLKRMFHIDVERAIPWSRL ALEAGVALVQGHHQIIMPSHDELLGAEKDVNRILMIKAYLTGVQAIRETLEAAGCTSQ LCKWVLERCQPENTAPIDRLISEGIEPGAVYSKAPIDIRNNRMWAFRAEPNSILDGAR QLYRDRVNELHKYVEELNKVFQEHLGATPELRFGNDNHYFLRFQWSDVERELIKNLPA TNQSRQAGTQHWRPRLLGGVEIVNGVRRKRHYDCQTLELIQRSSQIQRQADIVISHSD KFIVELGTSLLEHVESLLAVNEAVAVLDMLWSFAHLATTQNYVRPIISDNLVLKDARH PVVEVRKKTYVPNDVYLGNQGARFQVVTGENMSGKSTFIRTVALIQIMVQIGSFVPAT YAALPICDRLFTRLSTEDKPQSNLGTFAVEMTEMNMILRQATKDSMIIVDELGRGTST KEGLGIALAMSEELIKRGCRVFFATHFTELAKVLNLTRPNSVLNVHVVGESIKEGDIT QISLPHTIAPGPVRNEDYGLELSRRFLPQRVVNNAEHICKFLRTKSLGRSAGPATRTL KQSKLILALPDLLKQANDSTMDESALASYLRKLQTEFTIRMNLTAEESSEQEQTGKGT AQHVMVPILEKPSENELEEWKKKSDSAERRVMNANMTESQENKRPGLEGEGDGSLAKR ARTGDETASMTSQMTPIDRSLMIEELRRRSHTVTTKAATPSSLSSNSLESQADTEMPE APSL MYCTH_2295497 MNVFEDPDNPNKDECIPANTVGPGKRDTQVQFIISLALGLSAFL AFCVLRPRWKSLYAARKRHSNSSFLGLPALPDTFFGWIPVLYRITEQQVLSSAGLDAY VFLAFFKMSMRLFAVMFFFAAVVLEPINRHFLKKDTDSTEAFPFRPYATYSSYESAPD NPEHEPDNSFDRRLGYLWSYLLFTYLFTGLTLFFMDRETSKVIRVRQDYLGTQSTITD RTFRLSGIPKELRTEEAIKDLVEKLEIGKVESVTLCRNWKEIDKLMEDRKAILEKLEE TWSVYLSQTRVRVAGNRRNRDGSGRFGTNAGEASDRDDEEAGERDRLLEGGGSRAVET VRPQTRFRYGFLRLQSRKTDAIDYYTEKLRQLDDKITAARKKTYEAADLAFVTMDSIA ACQMAIQALIDPHPGRLLTKPAPAPSDVVWKNTYAPRFIRRIRSWAVTIFVAILTVVW LVPVFFLASVLSICTIDKFFPSFSEWLKEHEIARTQVQTGLPTLVVSLLNIAVPYLYD YLSWHQGMLSQGDIALSAISKNFFFTFFNLFLIFTVFGAVTSIFDVLRNSLKDTTYIA YTLARKIEDLSVFYTNFIMLQGLGLFPFRLLQFGDVAQYPIMRMGAKTPRDFARLLQP PMFYYGFYLPTALLVFILCLVYSPLPGGFLVLALGVAYFSLGYFTYKYQLLYAMDQPQ HATGGAWRMICYRIMLGLIVLQLTMSGYLALKKAFTVSLLVGPLFVATLWYGWDFRRR AEPLTRFIALRSIERAGEGSESAIADEDLAWGRGEEQREMLRRTSTVDEDREKGLRFV NPSLVAPLEQPWIYEEPPPPLADSDAEDASGDGADVHEHQPGY MYCTH_2295498 MVARLELAVDAQELANEQRALLDLIDKLQFAQLDNVKLPQIVVV GDQSAGKSSVLEAITGTPFPRDAGACTRFATEIRLRRAPQQSVTVSVIPDKNRSFPEQ ERLRQFGGTVRAEMPFEQLMRSAVDLIAPKDVPGRFAARDILVVEKRGPDMPLLTLVD LPGLVRNANNDQSLEDIRTIEALSDRYMKSSRTIILAVVGGNSDYVQAPILTKARQFD PDGHRTIGVLTKPDLTESIGLEDKFIDLVQNKDKRNDFKLGWYVLLNPGPREPGQLWP TAEERRRAEAVFFNSGKWSSIPPSNCGAIALMRQLSLQLQRHIGRHVHVLRKQIQKAL DDCEAELKSLGDAKDTPEEMRTELVELFSASKELVIPAVYGFYKNPPKKNFFRVTADP RGTPAQNLRARAAEENDRFAMGVRAHGRKLAFSSDSSPDSSAKREFVKQEVELLLRQI RGSEFPMDPKPRAVYMLFQNYSENWPKLAQEHKDNLAVVCDEFLGELVDYAWPKRMRA PLRRHFLEPRMKELMAKAQHELDLLTQDMSLEVQSYDPEYEERLRNWHLMTTQDGATF SEAEEVLEKMLIYYDLAAKTFIRNTITQVIERHLLQGMYSIFNSVEVLGLSTDVVEAI AAENKETRDRRQTLRVQRKAIQEAKEICAGIAMRKELRRYAEGGESDGASTSDEEGST AEEKPSPARHRPSPSATRRPSRRHRPSGGEIHSTGTTSTRGLDAASGHHRHQHSHEQT PTHAYYPTPSPDRHRRVVDDDTYITAPRPPQQAPPPPPPPPPRPGKVRPEEDQQQQQQ QQQQPQAFYCSPAPGRAPANPRTSQPLASSSSSFAAGTVPAPEPRYASNGPYSSNNPY APAETAEFEAARRQAKKVSGRA MYCTH_2122609 MSTTQVEKPTTTAVAAPETMTKEAANNHATPAVGAQHQPYGGSY LCKCCLCTCGEAVEYPGDTRMNKTGGVSYGAEIRD MYCTH_2295501 MAGSDADKEKVSLDANGIHDEGADMPMDPDAHLSEAEKAEVERR LLWRLDLILMPWLCLLYLLAFLDRTNIGNAKIAGLNKDLDLSTGEYNATLTIFFVSYA VFEPLSNILLKRLRPSIYIPIIMILWGASMLGMGFVYNWSGLMAARWFLGLTEAGLFP GVNYYLSCWYKRSEFGVRAAIFFSAAAVSGSFGGLLAAAIENMDGIRGIPGWAWIFII EGLLTIVVGIASFWMVHDFPDEARFLSEDDRARVIRRLKLDKQASANHESFRMTWFWQ AVRDWKMWLGMVIYMGCDMPLYAFSLFLPTIIANLGWNTSVVRAQLMSVPPYAAAALL TVAVGFVADRTRARGLCNVLVSLLGVAGFAMLLGTDDPAVQYAGTFLAALGIYPCISN TISWVANNVEGVYKRGVVLGFVIGWGNLNGIVSSNVYFNSPRFPEGHAVMIAYLALCL FGGSVLNSLLLRRENARRRRGDRDHWVQGLSDKEIEDRGDLRPDFIYTV MYCTH_2122612 MLRDMLHPALEQQATAAEHSAGGQTHVNARDVLKESCAADAGRS LNVAKLAFGDTASSEARCKHMYHASGVPASIPRNRPTPNPDVINPANTSGSQDEPLED EMQIPRDTYHVPVPICTGHHLKILAVQAISHGFGNEANWLSTCGVPSETLRYGALGAC CVPVARYIEELISNTEANN MYCTH_62710 MHLIALHDIAGSSNPLGVSGNYDRITFAPYYLFKDLVTIFIFIF VLSVFVFFMPNVLGDSENYIMANPMQTPAAIVPE MYCTH_2054219 MMVVRIGLSSYNIALFHLINHAFYKALLFLGAGSVIHAVADNQD LRKYSGLINYLPLTYSVMLIASLSLVAFPFMTGFYSKDFILESAYGQFSFSGAAAYII ATVGAAFTTLYSVKVLYLTFLANPNGTRAYYRLAVDNLFTNSKSYKPAHEGDFFLTLP LVILALFSIFFGFITKDIFIGMGSNLFVDNSLFIHPIYEIMIDTEFAVPVLFKLLPFI FTISFTVIALSLSELLSELVIYFKLSRFGYNIFGFFNQRFLIEFFYNKYITNLVLILG GQLTKVLDKGSIELFGPFGLEKGLIKLSKNISSLSTSHVTTYALYILIGLVMYLVFSY NQFNIPLILIISLLLIGDNGKNNAETLSSGINYYNNKN MYCTH_43648 MNNEKYLFLLNEIYTNGYTDYILDILSILAVISGICVIISKNPI VSVLHLIALFANVSFYLIIIGLNFIGLSYLIVYIGAVSILFLFILMLINIRTSELQSN TSNSIPLTIIVGIVISYSLFQMLPYGVLISSHLSDSNLNENIYAMQVAGGQYNNINSL NTDKNDLFFITSKI MYCTH_2295502 MPVIITPDPLITGGGKCRDQVESSPSQLLRCVSPSDSKYPILRS SFTFPDHGNDASPSFVAPAKNGLTHAVIRAWQQDLHLKLRPDDVWLAILTQFTFAVNA YADSLRSLFVSHQGRLPLALDASPDSVETVDVGAVARQLAAMVRQKLKDPGIATTLLP EFTTTTSHDRATAAMVFLGTMREYFSYDIHFGCSFPSVTLLGERRDWADILARIAWLA TVVGGREEAVAAWAARLAKVLEYMVASFDRPGDADVRRFWTSAVHQAGGRSSGAVTTL SGWLTAFCWWSADGERVKDYYSDDGELAREGKGKDGGCQRLVLDGVGFPVIDRERIPA GVVRAPLALHHGPRTEKVVLLAGSMGMEVLIEEDDEIAVRPASGWWMLATSS MYCTH_2122615 MAQEAPEAQTEDKTDSHGVSHHHLGGLPSELFDKILFEINSIRD LAHFIATARFAYQRFRLQRRAVLFRVLQNELGPVLADARFLFVFPYSDPTDQVRYIEW LHLMADVYHGMLRGGNTEDGMPIQGDALPHLEELKALCRTLHQINFIADMYITARLAS FDRGGGGGTPATAPLSPLERRRLVRSFYRRQILSNAWAATRRPKHWTHEDTAAISNSS THQGEQLGLLGTLEPWEMQQIEHADVFITRLCLALVHHSPRTADGAPGIPPRQFDELF AHLHRLDQFLQTHRGVAKRAARDLAAAMELAHCSRLRDEYVNPYQMIPLRLAWQADRA TSFPDPVRDKWDRDGLVVPYVGDGLDLAPYGWLDALGGRYVKWFGEGLYSIPRLPTRQ SHSQSSDQSNALYLWRHAGFCLWDRKRVEALKGLSMFGGELYTGWVLNRSIERDD MYCTH_2295504 MPSSTPMPPLPDIRPRFAPQPGPPPQLWSPPPDPEKPACPYRPG FAVEIKRHIPPPPFGDPHYGPGTWRERSDVDLYKVTQTRVVVEYPPLEHPHASPSPPA PAAKLTILSALAVEDGRGPQLVVCSITPYTSPSPGQGSPPAPYEAVAKIFDPLYYSFE NREAAHVPVPVAWQADVHYTHEAAALDHLAKRAQTGGGAGLLAPKYFGSWTFTLPITH AGRKRQRAVRLVLMENIKGPSIRAVCLAPSALASYTEQDRLAIFAAVLDGVARQRHAG VNQRDLAARNVILRTGSLSPTARNPKQPLPQPVIVDYNTAVVFELSRHGKHPSQLSAL PQNPMELFWHTSFPDFLDWTPAAWNGNAKARQQWLKARFGGEAASQYAPVSGELEFSE Y MYCTH_76450 MSKRVCIVGAGPAGLVAAKSLLHDAPRGTFDVTLFDSQTRIGGL WPSHKDDSTGLLHPLTITNQSKHTVQFSDLAWPEDAPQLPRAWQVGRYLAEYLQRYCS GAELRLGTHVEKAEPFAASTTSGSPSGWRVQTRSAEGKVREETFDYLLVASGFFGKPT VPPVSNRGHDVPIIHSSQYRDLQSLVGKTSGSGGKILVVGGQMSGVEIAGTIASHLSS ATHSPEPSPIANPEKYTVHHIIQQPVWVFPLHTSPNPTAPAPPFLPLDLGSYNLSNRV QPLTNSQGHISPEAAKIVHSIYQTTLGTDQSIFSPDLAVTTAHTGNPPYLAVSENYLD FVRSGLIALSRGKLAALSADGATLTPSNEQIGDVAAVVYATGFEASPSISFLPASVKE ALSLSPSDLNNTVALAFHGTYHPDVPNLGFVGFYRSPYWGIMEMQARFLTALWAAGGP SSPSLPPSIKEALSNDRSIERTLGLRTDPRASQFPMGDYAWLMQEFAAALGLERSPHL GPMPRLPPADKEMDILTPARYPSGSLTESQRAEVARSLAHTEATAWAGVRSGRFVARA VFRSLLGEWKLERDLVSKLPGHPSGHFSGTAKFLLRDGTRDGREAEFDALGEDGDPGQ EYLYVEEGEFAASNGLTFRATRRYVWRYDEKRDKLSVWFVRTDDQKRADYLFHELDFV LPSGGVGGGEDRNNGNNGKGWEAKAGHLCVDDFYDVKYQFNFKAVNLWDWRLAYTVTG PKKDYTIDGLYSR MYCTH_2131791 MSARPPQARSTVHWVPSNDTPPRSGIGPAYVAVIMEGFSVSSRE TKNMNLTDKERNTLQLVERLGASISLVSVFAIFIVYGLFSRVRTVPNTFIFFASIANV GASIACLIGFSGLLAGEKSALCQGQAFLFEMFMQSDPWWSFAMAVNVYMVFFMAYPPS NLHKNLWIYCIICFGIPAIPAFICLAYAPNGERIYGDATLWCWINDKYNPLRIFTYYL PIWTCIVLSTLIYVAVGYHVFHQRNQLRNLTFSNQETENSGTELRESEKKQQSYGTVT IEVQITAESSSGGQTPPPSTPTGSIVPVLHNPSQPPGPAGQSPWGFPDDDIESVFPTT RWHVNSSHGSDRKNNSNIIINPDINTHNQTSFATTSTTISSAPRPSDKAEPARRPVMP AWLARTWCKFQRRLANLDPIKLAYLRTSFVFALSILVTWTPSSINRVYALVHPAHNSF ALNLASAVVLPLQGLWNAVIFAATSWGILKDEFRQLRYGSGTASTAAPGAGAARGGDN GWTRGLRWRERGCGCGCGCGCGCGWWSDRRRGVGPSSGRLSEAEMARRQQQQQQELPP IFWVRDGSLQETRELATLSLRTANVRVIRDGAL MYCTH_2295506 MGRPYPPSPSGSLPPLNALGSLTEAQILAHLENLVALYCPLAFG TPADKDRSASEQPLADSGYASETEQLGGELGEELLRLETLRADAFERRTAERWLTGFM ARAEALACFSSDAARQHALDQASWVLESFFADPADEGRLQGVAGQGGSSSSSSSSSTD FARTFSFELPPRISVSVIDNNVSAAGEEKQQAIEIRLNDGLAGTNSSEPDDVGLQSWG ASIVFSKMLCADPARYLLDPAVLGPAPRIVELGAGTGLVSLVLASLLPRLGMPHAAVV ATDYHPAVLANLRANIATNFPDREDGPVRSCALDWAELPAASGASPPPPPLDAPADLL VATDVVYAPEHAALLRDCATRLLAPEGVFWLVMTVRPNGKFEAVGGTVEAAFAAADRP KGRDGRRLAILESERIEKQKGIGRGDESHYRLYRIAWA MYCTH_91253 MAMTRNKNKNKNKTTRSTKLNTTSTDSTYDRASREVVIWDTAEP TRSRDSSATRPRSSGSSSPSDSSSLRTASRSSRSEKPSGRRRRRSVPPKGSSVAPTVG SRGSTGAAAAGSDSGSGSGPGRTSAPSPSARGSGSGGLSLTETNVRRTSDFYEYLKGE RDKAGEMAGPSGRTAFLLDTLVDVDSKLSGERW MYCTH_2295508 MVLQLLLCVVDRFPFLPTALGIFSHFIYLGNMRRFPFVKLTDPL FLASCVLVLLNHYVWFRHFSHRQERAYQSMSSYYDLPDDIPSFAEIASYFGLCVWLVP FALFVSLSASDNVLPTMGSEPSSSAVPGGMVDTGAARRRGQGMAKALVDNVRGAIAEA SVLFGWKKPEDRL MYCTH_2295512 MSRPEDTLQADVHYDDVEARKYTTSSRIQNIQAAMTRRALELLN LKSPSLILDIGCGSGLSGEIISSVSEEDGGPHVWVGMDISPSMLDVALQRDVEGDLLL ADIGQGVPFRPGTFDAAISISAIQWLCNAENSETSPAGRLTRFFNGLYAALKRGGMAV CQFYPKNDVQKQMITSAAVKAGFGAGLLEDDPDTKNVKVYLVLTVGNTAVAGKGGDIT GVVDGMDNVEVLDNRKKKAKSGKGEIKKGSKAWIIKKKEQMERKGKIVKATSKYTGRK RRPQF MYCTH_2295514 MLSRNSTRAAQRLLRAAGQPQQRLNAARTFASVSDAPSQTVQSD IFKPTKYGGKYTVTLIPGDGIGAEVAESVKTVFKADNVPITWEQIEVSGLQDATPEGR TEEKFQEAVASLRRNKLGLKGILHTPIARSGHQSFNVALRQELDIYASVSLVKNIPGL KTRHENVDLCIIRENTEGEYSGLEHQSVPGVVESLKIITRAKSERIAKFAFSFALANN RKKVTCIHKANIMKLADGLFRGTFQRLAKDYPQLECNDMIVDNASMQCVSRPQQFDVM VMPNLYGGILSNIAAALVGGPGVVPGCNMGRDVAVFEPGCRHVGLDIKGKDQANPTAL LLSGTMLLRHLGLDDHANRISNAVYDVIAQGKVRTPDMGGQATNKEFTRAILDSMEKA L MYCTH_2295518 MADGDQMATAAHRGRQAASETLGQPWLRWIQTFATSHGILASEV ELAQQCDGRVGRCCISVLAKGWVCGRR MYCTH_2122625 MYPVDILNQRHTRFVLWVPQSDSSTAQPPVLVIGTTDKGAGVFQ EIGRFPLAHSDGVQFLADVVMAFGHDPYSYIDFDNFHLKPDLEPDNPDSYQSDRNGQL RDGFGGNSWRRYIRSVHSYDPETGDVHSAVHPSWAFHRCHLARWMTGFGAVNYITSHD IEGPPKARLYNFLIGNGIRDVEKRTKLAFALLLTSVGTPMIFAGEEFADQMDRSVDMG KKQSDPVNYERKNDGGWRQALFGYVANLVRFRAKCPALGEDDTEFLRVDVSRGGRIMA WLKGDENNPGAENVIANWPDRDQDGWREISQGREVPLEWVGREPLAAWEAKVYTRWTE RP MYCTH_2295521 MAERDNRDYDGGEGSLDPETLYTKDYCIGGGSFGKVYKGVDKRT GQSVAIKIIDIESAEDEVEDIIQEIAILSELQSPYVTKYYGSYAKGAELWIVMEFCAG GSCADLMKPGFISEDYIAIIIRELLLGLDYLHSDKKLHRDIKAANILLAANGQVKLAD FGVSGQLSATMTKKNTFVGTPFWMAPEVIKQSGYDHKADIWSLGITALELANGEPPYA DIHPMKVLFLIPKNPPPRLEGNFTKAFKDFVELCLQRDPKDRPSAREMLKHPFIKKAK KTSCLTELIERYSRWAVTHKQEDDELDGDDADQVENRSPINEDMWDFGTVRLVNERGN LVHRPGLLNPMGDSATNARASAAFNTTDYRDERRREGSPSKYAAADTSDTLKGATGTG TSRQSSPQRKPVPTPAAAPLSPSKVPLPPSPQKSQSGPGSETPRASLVSKPMPPIPSP SSPEDYDRVLQEQLQREMDGMNLGPAIQPQSPSVRSTASSTRPVPMKIPEIPPFRGVS QQHQPPSNRDSAHPQPLEARKATQPAPGFQFNHQRQQQQQQHRPSYSSQNIQPLVSKE LPRPLPNPGVGAGERIPSSSNPVSFPTPAPSNPNGELDALNDVIFPALEEALKRRQIN LQQTYRPEQAPGMPPPPVTPKQQRAEAAHEKIRKLVYKLAHVCKEIDHFDKAEPVRMG KDVGTFLEGLLEEILVRVEPLDEEDGGA MYCTH_2141635 MATEEDINAFVGITGANRTAAERILDLCSSDLEQAVQLWFADED LQQSLSNAGPSTTTTASRSSRPSRPQIGREDAQGVIHIDSDDDVPMVEDEADDGDEAA GVARRAQEEEDAAMAQRLQEELYSGQNAGEGGVRAPIARTTETLVAPAYGDDDDGGHA AILEQIRRRQQSRARPSNPFAQSVWEDSSRTSRPASLGGSETSRASRLAELFRPPYDL MSHLSWDDARDEGKDNKKWILVNLQDMSDFNCQALNRDIWKDEAIRSLVRENFIFLQY DKNDYAAEQYITFYLPNEAHQNPNNYPHVSIIDPRTGEQVKVFSGTPFPNALEFHAQL VEFLDRYSLSANSKNPVPKVKRPERAIDVDRMTEEEMLEMALQNSLDANGGPKEPNIH DPDELTKVKEEKGKEQAEPAGSTSAEPEASSAQESAFARIPSDRPHVEPPADPKTTTR LQVRYPPGRIIRRFRLDEPVSRIYEWLKAEPLPGKEGVEFELKSMPPQGANLIDHLDE TIEEAGLANAVVMLEFIED MYCTH_2295527 MAAPVDAQRELDLIEKAEWRILSASSDEAKLQGLLKVYLAPLLL KAGSEHVSVRNKVISTCQTINKLVKSPGYAFCLAWEDIWRTERLTCRSGLSSLSPPCL TSSKPRSSRLSGTLT MYCTH_2051640 MGLADPEDARFVADWFGKLLLLKANVSGQAPSPGLSESDISFLT LGKPAVWDPAAGGLNLAETRIQVANLLASGAFTDEERFIPAIYAASSSDYRISSIGDD LLKRSSVSLEDKALVQKLYRAHSALPAPYRIRILGILSKSEIATTFTDEILAAFRQNV DVPAESSDAMQVDGQQVVKTSGLERYKLHRALFEFINWVARIGSGKTDYNKIGPPLVN LLRDFIRDQGWPKPATQALDESTLRSRAYETIGILAKGASMSDSERLSLAGWLFRSLS EDPTSDVVVNIDGALSSLTSLFKPPHSFSLNTQLQSILLTYMTLKDDDGDVVRSARHA VTKWANHCLPFSDVLARWIDILAIAGRPDERSDVVEEGQKGLDPWTYYANDDRTGVLP DWKEMVQMFFREPIAPLNAASIFAGRGRGVDVDDKGPFVNFSSQSLPAFPVAVNYCKQ IMFLTALGKFRIEPGWERRLGALLHSDLSTRLTVREYLSAFTRQEHSLFQLLRAAFDG MIMEDTRIAEPCARSFVDIASLSPRAVLEPLAFRSNELLTLIKSNKKELRLLGSRAFG ILAAHPSNSQHSVDNFTEALMAIAKGLATAVGAELNAVEGAFLALGHLVSRRAYYAAA GKDGPAEGVASHGQIFPSLTSVSSKPTATQEALFEAYTQLWTAAILAAPGGSQSAEGP QDLSTTLTKDFIDPLVMHAKKGNEKAITALGRLAIALPETGATDVSTTNDTLSSILES LYSLYELRQAEVHFAVGEALAAAVACWDAEIVRLTLDVQGEGTGFQVPKRGARLTLVL DKLLADCKTTKPSLLKASCIWLFCLIQHCSHLEEVQSRLRECQAAFMRVLSARDELVQ ETASRGLALVYEKGDSGLKGELVRDLVAAFTGAGPQLKVDQETQLFEAGTLPTGEGKS ITSYKDIVSLANEVGDPSLVYKFMQLATNAATWSTRSAFGRFGLSNTLSDSEIDPKLY PKLYRYRFDPNQNVQRSMNDIWKALVKDPKTVLETHFDAIMQDLLKSIFGKEWRVREA SCAAISDLVSGQPFPKYEKYYKDIWASALKVLDDVKATVRNAALHLCISLSTTLVRQL EESGSTSTAKAMINEALPFLLSEKGIESSVEDVKLFSTITVMKIAKSGGDALRPYIPT MIPHLLGLLSTIEPQAINYHYQRAGEENREKIDKLRSAAVSHSPIAEAIDNCLRSVDA DVMKELAPKLQEAVKTALGMPTKIGCARVLSTLATRHALSFAPYSPAFLSLLEKQALD RNDEVSQNYGRAAAYLVRVVPSPDTKTRFADRLVSLYLAAEDETRRQKVADAVLALAK ISPDHFAALETQLLPFAFLARHDTDEYVRRAAREAWDAHAGSTNLTVVRYLREIAALA AACLDTAQWALRHAGALAVAAAVGAVVAAGEASGRANAEALREVWPEAVALAGQLHKI AVREAKRNNDAYRPHAFACLWKVAAALEDLEMVGEIADVVGEYLAALVVGGGEQGGVD AMDVDPPEESKRPRGDLNLKSRTAWAAVEAIVRGYNRVRMKKDPIAVLQDAIAALESA AGEGSKTPNIRSPGIARPELATIRRMFWYDCVREILEEAASGAAVAEGDFDKERHGRD VVKWFLNTLDLATDDAGTEGQRLAKAKAVNATLRLGKAQAGLSAEADWKSEVRSLVDN AVATERSLEVQKAWKECLDLLK MYCTH_2087998 MAEYTATGPPGATANGPNMNGSSDSFAPAAPITASNEAPKTLWM GEMEGWMDENFIKNVFQTVLAENVQVKVIRDRHSGNAGYCFIEFGTPEAAQKALSLNG TPVPNSTRVFKLNWASGGGLVDRRDDRGPEYSIFVGDLGPEVNEFVLVSLFQSRFPSC KSAKIMTDAMTGQSRGYGFVRFSDEADQQRALVEMQGVYCGNRPMRISTATPKTRSHQ YGGAHGQGANPMIPPVPGHPGPMWGAPAYYGQGAAFNPMQPMNQFTDPNNTTVFVGGL SGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVHRHAAEMAINQMQGYPIGNSRV RLSWGRSQNNSGVGTPYRPAPPPPHYMGGMPPHGGPPGPAGPYGGPPFGGNPPQGPPP GPMP MYCTH_2122631 MRTQPPGYASPPAAMILTVTKLHAIFAVHSLLFLLLLLTSTRPN ITSITDDTTQTPTHPTTPSAFETAPVATLAYCLHLWCATLGFAWWCAAQCAGDDHCNY GDRRGGGNNGGDGGGDGEENEEEVIGGGKRGSAGRAAQQAADWEPAIFLFLCAGMLGA LGGHAVLAGRCVSGAAKAAAATAATAASAEGGSGWVGRGFGFCRAAGRLLRLGLLVVI LWPGTGWYLRRLGRAFKCIFPHVTTTLCMIEYGW MYCTH_2055207 VSIPFGLGALTFIAYIHHGWEPELATSVDILSPSFFPILLAGVM VDTYEVVYLLFLSRRRSISPMAVRFDVLSVGSGIFCFMVLGITDKGAGGRRACWAADM IKAMVYLTRLCYSFVHAAFIVLPAAGKIRIYHSTTSTLGNTQTAGNQPEVPETAQNRG QTPSQPTIIG MYCTH_2106567 MCIDIVAVCPWCGAETDEYTLVRLCDAKSRELRFTELSPLENVI RFSSQRQARGDPVHDCGAHGRITIRSVLDPAACCRDPACSFRPPSGQESPTLLQFLAQ AAEMFSGLHGFTNPWIRSDEDILLCSALNLMSDLDSARAWLAAQGGYGAATGVQLPPS HYYHDHYNANESAIVNTNQAHHGNTTNMNDNPLYDGQPQTGPPQASQTARLQHYQQYQ QQQQYQQQQQHHQEHHQEQQQEQQQEQQQEEQAAQPTRKSSRAWTAEEIQALRENMNN PEWTYARMSEQDPRLRNRTAHAIESKVYALKGKRKALREARKAAGTAQKQQRSGGGSG SRSGSVSCRPPAAEEHPRRREPDNDDDDGEGEGEGNGSGPSAGSGLAGQLLA MYCTH_41714 MASAAAQEKREAPPDAEAPFEILRGDVAPASTLRGDVTRNEADK ELEAMGYTPVFRREFSAWSSFSFAMSICGIYGSLMSTWVYGLQAGGAAAIMWSWIIGG AGSLLLALSLAELSSAYPSAGAMYSVLKYVAPESQVPLLCWMSGYITLVGLIAGTAST EYATSQMLLAAVSIASGFSYVPTALHVFAVMALLTIIHAAINSLPTRWLTRLANGYVV FHMSVLISASIYLLVQTKDKHSIAYAFTDFQPMSGWSPPGFAFLFGCLTPAWTLTNAD STTRMAEEAKDPARVVPRAIAAATSFSYVIGLLFNLVLVVCMGDDPAALLRFPSLLSS SSPLSSPPPVRDGNAQQQPVALLFLRALGPAPAVFFTLAGFAVMNLVAVPGLQAGSRT VFALARDDLVPLSRVLRRVSARARAPLAAVWAYAAAVVVVNLLGLASRAAVAAVFAVC AAAFNATYVLAIVCKMAYGRFERGPWHLGRWSVPVNLVAVAWNAFVSVIFFLPTELPV TRENMNYAAVVFAFVLLFSIGFWYTHGRHYYTGPGTRAKNNSPAGVPPIC MYCTH_2053048 MSAASRASAPTAEGKQQRWFPGLCIRPAKSSDLPRMARMASEIF QHERDIDHFNRHRRARTGSEPGAEVDDAVVAAESEWRLAEMRESHRNPGRHFIIATYT KQPDRCLRRRHVARSKEVLLGWAEWQDPGGRAADAPVLVDSGSSGSDLTSDKSDDDNY RADADAGVEEGGSAFDKLTPLLKDMTLRRRNQALLVLSIGLSVNFFDAVNSLKAAATK HPEEWRSWYDQYLPNCLGRNGDVHGRHLGMRPADTPPPVFPPSSLLLGY MYCTH_2122637 MDAQLSLNRHGCQNGWDHVAVVDQPLSVPNGMWQGEINGMPLAS VYDGQAIFDASCPPIDVSMNFNTYGQVWPIPEFPADTITHDSWSPAGQPLPSPLSEAP PYLWTQSPLGGGPADGEQASPANQAAKNSNTNSPAAPMISQPLTNPAPKPKETKKTTK RPAAAVAGASSTAAEPTLYSRPKHMLKRHKSDTPSTGSTASVSTSMSAGSASTTTTTT TTTLGGVLPANVDPRVASEQIRREAWGRCKAEAAEMEQRRMMLLGHERGALERETQRL QVNLGLMREAAAMRQRELEEEEEKQQQQQQQQQQQQQQQQIKGGKAAGASN MYCTH_86753 MKSSILASVFATGAVAQSGPWQQCGGIGWQGSTDCVSGYHCVYQ NDWYSQCVPGAASTTLQTSTTSRPTATSTAPPSSTTSPSKGKLKWLGSNESGAEFGEG NYPGLWGKHFIFPSTSAIQTLINDGYNIFRIDFSMERLVPNQLTSSFDQGYLRNLTEV VNFVTNAGKYAVLDPHNYGRYYGNIITDTNAFRTFWTNLAKQFASNSLVIFDTNNEYN TMDQTLVLNLNQAAIDGIRAAGATSQYIFVEGNAWSGAWSWNTTNTNMAALTDPQNKI VYEMHQYLDSDSSGTHAECVSSTIGAQRVVGATQWLRANGKLGVLGEFAGGANAVCQQ AVTGLLDHLQDNSDVWLGALWWAAGPWWGDYMYSFEPPSGTGYVNYNSILKKYLP MYCTH_2295555 MPVLSSLNLPRRQKYAIIGVFALGFFVCIISIVRLVTLIDITRS RSLDAVYTGTNLVYWTTVEVNASITCACIMTLKPLIQRVFPQLLSPSKGVREPPTLQW ITPIEHDGDNDGDNNDYDDHRREGMQRIHDDNNCRHPHYATAGPLTRRESHTSAHTAQ TRRGSVGVGLYHNNHHHEETLEKSRYYHNFTAAPKKESNGADYDLDRDLDLEAQRTCS NCSPVSWGWPWPASEDGPPMRADESGGSGMRRRRSRSRSRNRSRSSTSTGRSRSSDSS SSNSNSSSSADVPPETGDRQTARGRAEKAGGRERGRARGAEGHNTPPLDSELARYVLR APPRAHLRKPPPPPPTPVSVRSEPSTVENPGYGPKSGSTTSGSGDGIRESWREVVELR EGTARRFPLKTGVGMERCKEAAGTGRDRAQEGRGQRD MYCTH_2106573 MATSQERYIAHPGQLQEPDIAGQLSDLHLADGAATSEDATTRRP APPRLRLALLQPLADPAVLAACRKPAPDAAANLDDEDTGVVSPAIVGIGHEYLDITPL DEAWRKALAKAPPVSQITFDLRLPRAVGSDGDGSEEAHSGIYWETTVSRRGEQFALPV RNVTRLVTTIAIVARIRARGGGGDVRFELSYDVTDGLPSKLVETLRACLVGIESANNI RPRAGTVRGADAGGSA MYCTH_2295558 METQQELGELNWLRPGKQEVVVVGNFVADEAPRLHAGFGGSSEL DAERARFIDPEPYSRLEHALKDYIAETLRIEFTTFDNSLADKIGAMEKAFGKSKQGLW HRLWYGLGNNKDVIEAWVDLIPDQYGLSVIKAGLAVVFKLAENSNEKRQRVFKTFSTL RDSLVELHPDRGRFLSDPDVRKAATELYTTIIRSIEDMVLVLTMMEKSRWNMVAAKFA ARLKREHGLEESRPTPATILQTLEDHIAKYEKAIGLARDRVHERAEAYSRFNATQTVF VHEVALATKNGLERHASRVEDIGARLIGDVSHLREMANADITHREEQSRRYESGHSEV LNALRGSERELQRVAQEQRDTRALIRTLLLGFIMESKQQEARYSEIATLQRQQASHTR TKHAAVVSLERLCDILARPLSTALNPDDPPSLDLMFQHASADLGHALAEQGRFPLKAQ GQAQSLLATDQFFNWMSRSHPTLLLVDANIRDSELESLSAISVLSSTLVTSLMEAYPD DVVIHFFCGMHDWPRDAWYGPNGLVRSLILQLLMKLATKDPDMSSWNLDFINDRGFLE SLEQHCLDDLCLALHWLLYEFPPDTCIYCIIDSVSRFDVDRLLKDLGTVMECLRTIVN DASLVPIFKVLLTNPGESTWAIKNMPLFKEDPSRMVCLSRVNLVPAHISGRAVSEHLL MAPSATGRRSPSPFRHSRTPSPFGHSRAPSPFRHSRSPSPAILVSKRISEPVLPVREV FGGDGVGGYDF MYCTH_2295561 MASEDVAAPQTPDLPAADPDDTAAPQTPDLSAAHSDDSPPEENT NRLEANNEVEEEEPWDAQRRRTPLKVDVEFLDFEHFKNRYSDEEGLAIIEVLCGHSQI GQEVSREITRRARGRKDVRLPTPNPKLVPDGETCWIQRVRIQSPQLIRLLSRLTGHHD KWPSDKPRTFFAPFRAFWYYLPQLKQCLELLKEKRATTDDDAPGKPAPSPESPTVLTN DKGEQASEPLGNDEEDSNSNDDDNEYDDNGSTAASDALREPENAIGDFTGSATALAHL EKFVKFIEKYIVPPWHRAAGTSKRKFRFVDLCTVFQPGELLHYGTSSDSTQNSDNAHG SSPKMYQTAWRLYSLCLESVRDDKPDDIQKISNRTLHVHAYYLDYDGDSYVPIRHTFL IKDYEGERDITNLEVYPIRFLKDAENVKKALHKQGTRFREVVTKKHLTYDGWTLNHGP TGASSDLTVEHIDGDVIIDFVEGYKSEPLVEMGPSTWDQGFDEGDDNDWLYGDDDVSI VHWKLNAKNSRLEMCGEIREKTQRAEVFFDRLKREEINRRNALQTYEDGKPIKDLDED DLMLLPRRVVAYAFRERRFVMLNIRSLKDLPPSDNVFRNLKIDPSHKRMVISLVKSHL EK MYCTH_91274 MELTAAAATATASSSPPPGTEQPRLEQQPPRQQHQQQQRLLSVP TGQRPRPRQPNAAGTPPPRVGRVAPPSPQYSYAAPSRSTNPNRPSPVKRKDSGYSSWS AATPRTLDAPGAHEQGRGHGLGAAVEEGEGEEEEEEAYYTGPDGYDGYDDGNGVAGGE YEHGDGEYEQEYYDESGRYHAWDEEDVEYSSENLTQLCRADQPEIEGRSRGGFINLLL ERSPLVGGNVWSGDRE MYCTH_2295563 MDGKGILATDNLAVTAHPRWSHISVLDVSSNGTQFAELIWIDPA IWDKRTAFANSLPAL MYCTH_2295564 MATSDTRTSRNEHSSAQDNANSTPAAHPANKFIPATKDAKRLYW ALTGPLSTSIFVMPEPFYDPNAPLEPYVRGGSSGADASELHPVSQSPLTEPKIRSATV SVDVLDDWEKLWGETHDGHWDGPDDDWEAEGSQVRFGMIPGEDPEYEGFPGQEHLRRC CGVDRPLDKAAKLVVEATGEFLTVHDFVSAVHPWLLGLRDDILAAYGDAVAGKPLPPG TKLMVSHPKPGSLMVMPEERWKANRKKHPLHDRLAAMTWLCEPLTYSGAGQTGS MYCTH_91276 MGLLSNLVLLTAAVAVAADPATPKISSISFSGNGCLNDPKFSGN FDVPCLTFSNFAAALPGNNKTVNCQVHLQTQGATPGWQVALKSNVVKGHVVLSPGTTL THYTTVFFSQDASNTVSPDRRHAVISAFFSLPLGYHHQPIAAGHSPTWGSTPFAVSNQ DTFSNTIENKGTTAINKPVTLVGNADGGKVWSPCTGSDGSTGIMNINFRGALAGDGEA YFEANTEEWDLEWRKC MYCTH_2295570 MIFAPLSEVYGRRIIYGSTLLVAVVFIIPCAVAKNIGTLIVCRA IDGIAFSAPMTLVGGTLADLWRNEERGVPMAAFSAAPFIGPAIGPLIGGFLSDAAGWR WLYWIQLIFAFVVWFLITFTVPETYAPTILARRAAKLRKQTGDQGHVTEAELDPRPFS QRLGVFLIRPFQLLFRELIVFLISLYMSVLYGLLYMFFVAYPIIFEARKGYSAGTTGL MFIPVAVGVILSAACAPMINKHYLKLVVKHNGRPPAEVRLIPMMVSCWFIPIGLFIFA WTSYPHLIWVGPCLAGLPVGFGFIFLYNAANNYLVDSYQHQAASALAAKTFLRSFWGA GVVLFTEQMYDRMGDQWASSFLAFLSLACCAIPFLFWRYGARIRARSKYAFGGEEEKE EQELEKGRDARPVDANAPLHEDLEDLERARSYVANP MYCTH_91278 MSANRDDKFSLPLSGPVIDHTGPVQIGEWSDVEASGKPMEIDSC IEDTPDVRYFEWEVRLIPVWTQWLDAREKRLEFQRFRIRALRLPLHQRYTPLLPSPLR NVVTVSDLPENELSDAETVDDPRSNGTAMSEAASSASDGSISPGLSSNGSDVSDELGW QRKNKMEAKGPAIDDRSVTSAPTPSPSPPPQ MYCTH_2122648 MRTSNLKGRPIPPMRQPQGPDPSGSAGFAARRGVLRREVPSYSS KLHIRKQRGVAPVSSPPTALNGRSFAAWGPTTPPSNSEWSLPTAGRTSALPEQYITTF AAAANDEVPFDI MYCTH_2131805 MPRRVDDDVHAAFVEFRAGQDDKCLSVQCMYCQQVRAKNTTRQK QHLLQCAPYLQAHPDVALQASAASATVAPAPGPQGHGAPAPPSAAPAAHDGSHQPHVA PVPAVPPYGHEDAAGEHTNLSFMPNPRINGSPSHARPSLGAEGTPATKKQKTKPSPAS NLPEIPLRDVHAAFVEFRAKEDDKCLSARCIYCSQVRAKNTSRQREHLMTCPGYQAVL KDKIPANNLRHQFDEDDVASSLALPAPALDLDFRMSIRVKPKLNVGASPAGRQSWISC VGGQWAGTWGKGVLLPSGQDTQTTIKDTATRIDARYLMQTSDEHPALIICKITGWLTG ERDVMERLQDPVAADNVAAHRYRLRVTMELETGDERYQEVNTGIWVGSGCRRGAEIVY DAYRIG MYCTH_2136757 MDHDRAWPANGSSEGLDGLHDSMLATGLVAQPLAHSRNNPESSQ PGPWTTGTVPACSPRFGGLFVQTQQQGAIHPYGLPSPAPTAPVASPPDWPNHDTSCVV GAWPVSPISPSPTVGEAVGVELNHNLLYQPHSAQAAHALFDVGFRDWVGNANFHLDLH NTYSAAPTVSSLPHAVPPNVGSAWPGHLPTTTLDNGELPETTAFGHTITRERGCGPTL RTATRRVKRPAPAPRPGESAAHQRARANHNLVEQHYRHRLHARFEALLDSLPEGILND DDDDDDDDQAVRGDPRGGTGGDATVAARGSGGWDINDDQQTGALATGVGGRGGGDGGG IKGRNNRRMSKVDVLTKADRVIKFLEGDIQRMRWEMEEMKRQREVAFRRVAPMETGVE VRGNCEWNMEGR MYCTH_91282 MVQNGGDFDLDIDMATDVTAEQLLTQLGQLQQRIQELDQRDKAA QARIKELENREKYSQKLNVTEVAATSYEDKDSDTDSLGHDGNGKDEQAPYSELVTVDL ETGLAEWDMAGEYTPPISILPTLRQWGFTSDKMKDEMRELRRIVETIKGEQPVTYDGP DSYAEHFDDQQLSHDVRNPEYQFMRANRGKDEPLEYLWSTYNGKDLGKNSNTSRATPR DCTLDMRHMRKCPGSSVWHTNADTTSATNSKTTTGRPDRRTGTEACALWNGSTM MYCTH_2106582 MPARTDFKAGHLLPFVSVDIRLGKVFQRGSKVPRSSEHAPEFID ISPDLTRKAGHDSGQAPTVFAITHDGRTLTDAGNPSPSLPPSSLPPVGPRDKYPVKGQ LCVRGRGRAEARPIETGETVRRRDRGDVGSGSYYNKVGYRLLLIQQCVKYSGSERLFD AERTGNTNPEGQIGLMHGKQGICGEQQGQERHRKPRRYH MYCTH_2114682 MHSPTRHQAQKGADSSAMDLALHASDLLLSSTQFQDQAPQIHDP QPEPSSPQLAVEESFPTPVPLMHFPHCRLDITTVSSPSGSGKFEQVALPGALVRTLLS RFGGDLRRRQQRQQHSPPSPPSPPQQQPQQQQQQQLLLLQRPAANSPTHRPGPGGKEG HKTRRRRRPTTTIIRIQPHPDDADDWVFSRCLLADFTGFREDEAEVLSAQGRLCCWAS VVLQRQQQHPPPPQGQGRQGRQGRQGRQHKGCGEAEEEEEEEEGVLEDEEEGQEEEEA MWELGFIVQRVGVRDPASGWCECF MYCTH_2295588 MKVIVTGCTGLVGSALIRQCIANDDISHVFAISRKPLDEAVAKS PKITVILHDDFSTYSTQLLDKVRGAEGCLWAIGGRATQFPDIETYRKVQVDYTVAAAN AFRETLAPLLAAGGQFRFVFCSGKFAEWDQSKPLHFMADTRRVKGLVEQQLCQVADAD TAKRFVVYCARPSGILPPDAGLAARLSGKLYGAIDVDHLANALIRVLLEGYKDRIIEN RSLLAL MYCTH_2295590 MVSDDGEPTSPTAATTPTTATKRTVPSLQVHPTAHLAASTFATR ALLSSCSEARHELLRPGIVSNFLPDTLPVYFHPRPWHDRHSGAMQGPSTSARGIVRLD LARDILLLDSLSADLLLQLADARYFEPGLLDPLQGVRRLGLDVDGLMSPPETEGGDDG SRGIGDGDGDGDGDGDGDGDGDGDGDGDGGTDLSEGAVVPPMVEMALVRLVGLMGGDG GLEAVYLLGESAWVGGDQDEQEPGAMSPVQGKEQGHLFLGGEGESEWYSTRPFPSYYV DSGYHARLARLMRLLCQLRQAMDAPAVVTDLDDEEVLERLEETKLRVLGHYYIVQPGS GRPEGLQLSSAELCLEAALGREPRWVQWEWVCQCLDC MYCTH_2295591 MPRGWYLAQGLIPLGSSSSDDEEGPCELPDGRLVCGPHGLVTCG KCCVDYSFMDDVLGHDSENEEQEDDLFGLLDGFPLRRCTGRAFPTKFVPPSGSETPFE LFSGRKSYMRVTRYTFRNDAGKVLIRTDGACLDNGQQNPKAGWAFWHGFGPSGKRLVA SGRLEKKGPFGDDSIQSSNRAELRAVIAALRFRYWPGEGFHTLVVATDSEYVVEGSTK WAKTWVKNGWVKRGGAGVKNRDLWEALLGEIERYRDEGMAVQFWRIPREWNIVADAAA KEAAAEEEAPNEWTEVIGF MYCTH_76502 MKLSAVSLGLLAILTPLTAAWTKEDREIFRVRDELIAHEGPDVT FYDFLGVSRSASHEEINKAYKKKSRQLHPDKVRQQLTAERAKAQKEKNKQNKGKPGVH VTTKPPTAAEIKAAIKRASERQARLSIVADILRGPGRDRYDYFLANGFPTWKGTEYYY SRYRPGLGTAMVGVFLFAGGAAHYLALYMSWKRQREFVERYIKFARHAAWGDSLGINI PGVDGQSAPAAAPPPGQQLYEDEEGRAVPMNRKMRRMQERDAKKEAQGAGRKARRGRP SPAASGSATPRPQPQGSGPTGSKKRVVAENGKILVVDSLGDVYLEQEDEDGNVAEFLL DPDELVRPTFKDTAVVRLPLWIYRLTLGRVFSKRTEDGGEGGDEVTEVEVVDDDADSE PGKATPSSGSAEDFELLEKSVDELGQAKSSGTQKPGGKASKRKNKRK MYCTH_62778 MESYSTSEIGSAQGSRVDNAQGKACPTDLPQETDSSRQTTTDSG RGGITISISRQEERAKSPIAVLSVDEYGALIAINGTKFAYYQKTERQDKTREVPKEYK GHPAFEPKHLEGLPEHGP MYCTH_2295595 MGIQVAEECANGNKEGLCWIPISQHPVTARRSYSDIGHYADVVD SRPNYHLLVKHQVARELYPEGDTKSGPSTVEVRPLDGSPLFNVSVKNEVILSAGVFGT PAILQRSGVGPAPFLRSAKIPLVLDLPRVGSNLHDHSGPVVIWNCK MYCTH_2295596 MLRRSHKKSRAGCLECKRRHVKCDEQRPKCIICTLSDRECSYPP LATDSSGPSTRPAATTAAAAAPAAAATREASASSAFLAAAINTSNASDASPVAGVPLP DIASRGRTSPDNAGNNTYPEVNLGHMELLIRFNFEEHAPELNVEMHDFASKLLFKCAL EAPYLMHQILAISARRLAALQPERSKHLLETAIHLQTRAVSIYNETAARSQIDQSNCS TLLLFCSLLGRHLLADLLARRDADFGDFLARFLEFLSISRGLMAMSVAAWDLLLQSDI KHLVLWALEISQSTPQGHHCDELQRLVLESTELDESSKEACVAAIAYLQVGFDSLLGG DTRNQRYLMVFMW MYCTH_2295598 MTDVRSVAKGSSSKAKSKDKRKEKSVKKESSSEEDKTKTTFSCD PYAKSLSNLAGLERYMQEPAYESAWNPVGATSGGE MYCTH_2295600 METTFIPPAPTLPACVSSCGVLYDVNGACVPPAAPTAEPSVYES CFCNDPRLAAFKTSANGVCDAACPNPADLTSIQGWFTSFCNNADDGNTAPTASASSRP AKNSGGQTWLEGHYQWIIFLVIMVVAIVGIWVGACVWRRRYLRKKDRAYALNTNLAHT TGSGRVVPNAGSSAGSVHVPGAGFFDPAPISSAAVYGEKPKKKKWTLKKRT MYCTH_2295601 MVSNEVYLLSLNDDGSPQVAGEYIYLAPKNNDPITIRFAIEGTS SICRHGSLWVNIPEKGAEFRRDRFREFKLVPDFNRTIEISIPIYEAGVYAFYTTYAEL PDLADNLTRSGRGSKPQTKTTPWYYIDVAPRLTLDGQPLPLPALSVFSLISKFMGKYP QDWERHLRGISDRGYNMVHFTPLQVRGASNSPYSLYDQLGWDPACFPEGETDVEKMVE NLEKNYSLLSLTDIVLNHTANNSPWLQEHPEAGYNLTTAPWLESAYLLDTKLLELGSK LEQLGLPTDLKSLDDLLKIMEAIKKEVIGEIRLWEYYVIDVDGDADAAIEAWVAGEVK FPRGSLPPDGPGVLHSATSEELATWLTKHGLKGMDRLGERFRRTVDPNVAAALLSALF GRYEGEYGATAGQAAVRNKIVEILDTVNVPLYQEYDADLAETLQQIFNRIKYVRLDEH GPKLGPINQENPLIETYFTRLPRNEVTAKHKKEDLVLVNNGWVWGGNALVDNAGPNSR VYLRREVIVWGDCTKLRYGDGPEDSPWLWEHMTKYARMLAKYFAGFRIDNCHSTPIHV AEHILDEARRVRPNLYVVAELFTGSEEMDYVFVKRLGLSSLIREAMQAWSTGELSRLV HRHGGRPIGSFEVDEISSAEVRSPAKERGDGAANGYQYTREIIRRIKPVPIQALFMDC THDNEVPAQKRDARDTLPNAALVSMCASTTGSVMGYDEIYPRLVDLVNETRLYTSEAS KFPVKVGEGKGGIAGVKKLLNQIHALMGMDGYDETHVHHEDEYITVHRVQPDSRKGYF LIAHTAFPGYGNGNGALNPVRLTGTRAKHLGSWMLEVDSSKEAVEEVLSDRKYLRGLP SRLVSVPGIRMEVKGDDTIITVRDRFPPGSIALFETWIPAAEHSSGLDTFVTSGAKAA MEELDLVDLNFLLYRCEPEERDGSEGQDGVYDIPGHGKLVYAGLQGWWSILKDIIRDN NLAHPLCQNLRDGQWALDYIVGRLERASQQQPAYSRLGKPAAWLRERFDAIRNVPSFL LPRYFGLVLRTAYMAAFERGISLMSSNIRGAQWFVQSLAMVSVQQTSIVRSASLYPDR LVPSLAAGLPHFAVEWARCWGRDVFISLRGLYLGTGRFEEAKEHIRAFASVLKHGMIP NLLGSGNNPRYNSRDSVWFFLQCIQDYTRLAPDGLSLLDEKVKRRFLPYDDTWFSVDD PRAYSKESTIREIIQEIFQRHAEGMRFREANAGPGLDMQMKDEGFNQEIKVDWSNGFV FGGNQHNCGTWMDKMGESERAGSKGVPGTPRDGAAVEIIGLLYSALNWVATLNEQGKY EQSSVRRADGSEISFRSWADLIKANFERCFFVPVSPSSDPDYDVNPSIVHRRGIYKDL YRSGREYEDYQLRCNFPIAMTVAPELFDPSHAMHALCIADKVLRGPIGMATLDPSDLN YRPYYHNSEDSDDFATSKGRNYHQGPEWLWPTGFFLRALLKFDLMRRPKDDAEGRTEA FQQVTRRLKECKDMVRRSPWAGLTELTQKGGEECPDSCPTQAWSAGCLIDLYMDAAEE QAKVEGKALPPEVRREFGYEN MYCTH_2313529 MARSTDSAPRKHKVTVIGSGNWGTTVAKLVAENTREHPDVFEED VQMWVYEENVTIPADSPHRAAAGDQPLKLTEVINKYHENVKYLPKVPLPPNVVANPSL LDAVRDSSILIFNLPHEFIGNICKQIKGHILPFARGISCIKGVTVTEDKVELICEYIG ETLGIYCGALSGANIANEIANELWCETTIAYNVPPCDSRGENGESGVNGEHYRDARGA ISKTQLAPVPQEYPPVDHDVLHKLFNRPYFTVSMVSDVVGVSLAGALKNIVALACGFI EGHGWNMTAKTAVMRRGMLETIQFCQEFFPETVDEMTFWESAGWSDMIVSCTSARNWR YSKMAVERGVSLQEIERTELNGQKLQGISTTKEVMSFLKARGVQDKYPLFKAVEGIVD GTFEVKEIPVLFRQQ MYCTH_2295606 MDHKPIHVDGVGLAGQDASEVNEVSRQNAKDGPLGTPMVDSMSM FDRHDASKNYVLANPAKQPTTSPHRSDPPSEDLRGRVPRTSEPFDVIALSSQSPTTEY VVTAPTSPMFVERSHEHMAAEGVAGVSLSDKRSGPNQETPALSAIERSSPSRITPPST QPRAQGHDGEIKRRHTEHHLPSRIIDAFLSDDQQQAKSSPSTVLEPEFCSKQSLSPEE AWKQAVEDGSPPAILHRIITLLHRSLKPREEVVRDIATDYQKNAISLLETLSARHEEE KAKAAAALRKASRSAFSICSSAKQDIVVLVNTLRGMNVTQTAETIRRPGLAQKLDALS RLCQAELSNSTQDAPTENDASGASENNLNSLTETYRRRLVEAVRRPDALASGASDVVR SQVDDFMKRCLLADEVKEVHCTKGKQAGTSVKNADDALEAFLEGIIGTLQESKGRTVC NQPATKDLVAIDSDTSDLNVLA MYCTH_2295609 MARHFGDSLGMSSPKPYLSYHDIRLDTEDIKALKHDWLTDSAIA FWEEWLEREVLPKYPQARIVLLRPVVSHLLMQTTDLKGDASALPDFRKVTHIFLPISD SRDRWNADSGSHWSLLLVSVIDRVAFHYDSLGGSNFSAARRGAERLGMVLGIPLRFHQ LEDTPQQENSKDCGVFVCILMRHLLIKRLLNANSNEKVSMSMAGKMIDSRGGRKEMLR IVESLRKEGERRRSASPFAGSSNDPPRVD MYCTH_99178 MIQILASLGGRGNSHLAPTAPRPSKRPTARQKARARLADPLLPR KLHRENNVVSDSFINSKQDKLLIKRSAFLARIAKSSEKSTGTKKKRRRPSKKLVTTLE SLGDALDDIQAEMDEKEADGSTMDAEQARQGKVRHKSLKTRPGALKRKEKLVKGEIER FRKNLAQLANISAAAPSTSAPAPAPAPAPASEADAGQEKMDTEQGKEQGAETTAQPTS TSSRWAALRGFISATMEQNPAFLNKT MYCTH_2295614 MEENGGIRQSVNGAAGASNSVANGSGRRLTDDEFERYSRQMIVP GMGKEGQLRLINSRVLIIGAGGLGCPAAQYIAGAGVGTIGIIDGDVVEASNLHRQVGH STSRIGMKKVDSLIAHLKALNPLPTYVPYPFRLTPQNAADIISKYDLILDCTDNPVTR YLISDVCVLVCKPLVTAAAIQKSGQLTVLNCPPTPQGLVDGKYAPCYRCCFRKPPPPS SMVSCGEAGIMGPVVGMMGVAQAGEAIKILASALHIPAEAGGGDSSNTERNLQQPTLL IYTYDLESAVGPYTFRALKMGGRKRNCFACGENSTLTLEGIKAGTPDYVQFCSAPAPA VSLPPEERITAAAYHAAREKGELPEHILIDTREKEHFSFGSIEGAINVPFGKFLMKAA AIKRDGGPASEILPADAATSDKPIFVVCRTGADSQETVEKLKELGLDRGGKRRIVDIV GGMKAWKQEVDPTFPYI MYCTH_2114693 MLASTATTMLRAGAGRSAGALQPTLLRTAACPYSAGLSVSPISM VASPTKETQNRRVSTTTTTRPTASFLLIKRGVAQQPPRRAASTHHHQHAPTPDAEADA RAADAAAKAAAAAHPEQVVLDWNTFFQLRKARRRWQSAFSVLGSLAAGSGGAVALSSG AADSVVGQIPLDPLITLGLMTMSCAALGWLVGPILGTTVFNALKSKYKGPMAVKESEF FARIKKHRVDPSASSVRNPVPDFYGEKISSVAGYRQWLRDQRAFNRKKTGAI MYCTH_2295616 MADDRLPSRPKTTIEIPLHSIRKYVPGSGPPPPRISLAPPRDST AYIIDQFILPSDKDMTATSRRLIHYHIGFTDLPTVKLLIPCNKVLDYVSPRELEDWEY RNLEKKEEERARLLAERQGAGPKEKKKKPGRPAKIPMEDVGGSVLNAADEALLLAQEV GGPSLSTPQKRKPELALADGEIGESTSAESDDAAIFRQLEGREGSADMESDDNMEEVG SESVNQRALHHVGKSVSALETPSLVGSSIARPSQDALPQRPAIPQGSSVTSSGPAASN SLSNASTTERIHPAWAQAFGRQMDPEKHPEEPGTAETASLSSGSLARKRKGRAPNGGS SAQKQQQAKKQKIRQGDEPPADEWEVKELLDDQWFIEEGTRVHKYLVLWEGDWPEDQN PTWEPAENVQDQGLINRYRQKKKAGLLKPPKSSQKTLPQYWAGARYSSVAEAFEAGID ERAGVVASGAEREVDQPDEMLLVTENVKDIAPSPGFVFDSLLARYNQAFQRG MYCTH_2088056 MASEAGRPPNYYAILEVPETASAAQIRDAYKRAALKSHPDRIPA DHPERASRIRRFQLVDDAYYTLSDASRRRDYDAQRKLFSANSSGGGGGPFAEAKADAG TTTRGQQGQGQGQGQEQEQRQQQERERTEDEQFADVFEEMLREGGMADQEPGARPTSK VWSVLGGLSGGALGFIVANVPGMVAGAVAGNRLGAVRDAKGKSVYAVFQELPQTERAM LYYLINLFSSSSSSSSSSSSSSSSLVVQEL MYCTH_76526 MAAVYTQMQPQEELVALFSRNLTLDPLSQPTAAPAPAPAPAPAP APVPVQEAQKERKIVYISQHYTHSAHIARQEAAKEKSQPPRPASEPPQSEHAAVEQVL REHSVDTSGLSSAQFQLFKRVDTPEKLRLIELWRTCPPVNSTDNPTLNWSMTSVAQEE ALARLRFERQQQRLIDQQLQQDCGMMQDESETEPETVMSLDGTPLTPIQAGDGRWIAT ASYHYMEPYMASGYEELARREYEESARRAYAEAVSPPSKETNSLAPAAPAGTGGMPSF NPAHADPVYKTTDLGVGVDWAREAAMADQYGRVMAMREDEEML MYCTH_2313535 MTSIGTGYDLANSIFSPDGRNFQVEYAVKAVENGGTSIGIRCKD GIVLAVEKIITSKLLKPNANKRIATVDRHLGVVYSGMIPDGRHFVERARDEARAWRDT VKTPISTAELASRMGGYMQAYTLYQSVRPFGITAIIGGFDSPEELPVDGEVGSGPACG AGGKVPGKTHGGPFLYMIEPSGLYWGYYGAATGKGRQAAKAELEKLDLGRPGGPALTL EEAVKEAARIIYVAHDDDKEFELEMTWISGPDGPTKGLHQEVPKALREEAERLAKKAQ EEKMEE MYCTH_2295624 MGNKRKRMAKEANSDNLPSQKRSKKDAAATNGSAKSKSSKTLDK SPFTEHPTVDERKRELELYEKLGSEDVNDRVEAADVIISGLLGGDCVPEPVLLRHLEK RLFRGLASGRNASRLGFSLVLTEILGQLFGDKDLASSKYPALTFDRVLGILLEKTQPV GSVPGQEEREHYFGQLFGIECFVRSGILSDKTRWLSILDLILKLSSKKSWLRSQCGYV IVQAMSQLNQELAETTLEKLSDEGFAKTPEGVGIWLAALDRFPDMKVPSQPWRHPLAA TSFAALPAALKDSGKETSSDEKGNKKPKQGTWTAQLHFVWDLILAYFVKLGSQPNNDA ADQFKQFWNRVVDESFFSKSASDSQKFSGFMIFQKMLEGGADTSFIPSNIFSKNFMVS LMNQAAKEDRYLHRAAIKALKGMEAVVEKAPHLLPTVLKELLGKHGAYNFDQRTNTKT VDKLLQHTTPSTVKSVLKTIQLKDPSKSGLDESKYYQALGAYLFRLASVPSEDPDVDT SGKSVPGLAIRALTDLAYSNKSVPDSVRESLRSRSTSAFAKLVRRPEDFGHLCNAALS IDADVDSDDDVATSALSGAYERLKDLLDPSKSNDRTRAPRQALALLHAVAILQFYNQD PDVIDLFDELGECYDKLEGHDQNLSEGVSEYLVEILLAMVARPSSLMRQVSQQVFEAF TGYMTKDALKLLLDPLAVEESAKGQQALFSTEDEDMMDAEAADGEGDEEQIDSDVEIV DLEDAGSEVPDVSDEGSSDEEDKEDAGAEENKDQEALDALDDALAEVLGTHRLDKDHE AESGEESDMTDSEMMAVDEKLAEIFKQRAKKTNKKKAKKDAKDTVVNFKHRVLDLLAI YVRKEAADPSGSRDVWDVLLPLLHLIRTTTTKALSNKACDVIQTFSKSLKKAKGGSQV AKMPGLSLKRMVSLLEQLHEEAAKDMSHAFARAVSTACLAVASIACSEEGRKEEVFAL YARTQRRWFDGEIRIQPSFFLDWLNWCQSHASNAAAATAAKEEQDKGKEK MYCTH_2122680 MTLAAPDNEPRVFVAAAATRRDKQSPFESPGKPSAFVPLRNLQP ALKSGTPAITRKLPPSLVLPAPDPQTARVAPDDERKLKFGSSNFDTFAPEHLSTGATE VVVAD MYCTH_2295629 MDMSPLPHKTPFVAHDDLPSPTPGSDDVMMETPGSLQPSKPIVA ERRRIGLRRSSLTRSKGYTAIGTVGRTQGEGQPAPFRFEAGGDGHFDSSPSSSPSSSE CFEDSPPQDRRPKSANSPCASMAATRPKAHFNSLNSMNGVRNGSPITGHGRRSANPFL RPRKQQYRRSLSMFENAEDVVGSKKEGPALASTALQSVMDLEEQNELVLPHFFPEDEC DNIPRITRETMIDVLDGKYSDKFDHKMIIDCRFEYEYEGGHIDGAINYNDKELLASQL VDNPMEGRTLLIFHCEYSAHRAPIMARHLRARDRNENAAQYPKLYYPEIYILDGGYSA FFAEHRDRCYPQAYVEMNAAEHVNTCEREMNRLRQNRRGLGRAQTYAFGQQDPGLQDS PTAPGRANPRDRDISRMLGGSPTLAVERGPARRMASY MYCTH_2295633 MAAKMSVKRTMTFSDITDTDQISFVFGSVDGQLRSAFGKLATLH AKNLFSFAIVTGNLFGAEQDDDQLADLLAGRIEIPCPTYFTVGTVPLPASVIERIEKD EDIAPNLYYLGKRSVTKTSEGVRIVTLGGMLDLNIVAGVSKEQHDPIHTEGDAKALRG ANDADILLTTMWPAEVWKNSSKAKELQIGPDTAPSSQTIAELCDALKPRYHFSMSPGN FAFEREPFFPDAAPEDKDKGIALTRFISLAPWANTAKAKSMYAFALNRETVITPPVGS TLTPFYKQAPKKRTADQAGFSRFSDGHQDHDQRRRRHHHHHRHERSPPPGPDRCFFCL SNPNLPTHMVCSVGEDTYLATAKGPLPAADTFREQGIGFPGHLIITPLTHAPSLSAAA MGDEQEAKRTFAEMARFRDALQNMVADASGRKLGAVTWEINRARNIHVHWQFLPVPAE MVSKGLVEAGFRVLAEDMKLGKFTVKDFGTADEVPGDYFRVWIWAQEDGEDGSSAKVV GSSLLLPFDEGVRFDLQYPRKVMAKLLGLEDRTVWQDVVQSEQAETADVAAFRKAFKK WDFTLDT MYCTH_2039938 MSFREAYVPPVRQSAQQQQAASNHGGSRTPSFSQQEGSTVPVNG ANDEEEEEEDDDTRILYQSKTRRTNIVSPSQAAASLSQPQGLPFRPRVNPGRAAYATP DEKVYYICGSCNLLSGFKSNDMLRCLNCGGMTMFKPRVKKYYSRP MYCTH_2295634 MPSTTSGRIVKTSRGSKKTPHQKNHRWESFSAKISKLHSLDPLR KVRRHDLEAEDLEATTSYLRNGLDRWAELNISKPYMAFKREINPLTESLAQILYHEDR IMDLLAEYIAKHEKEALEPLLDLVTAFAHDLGVRFEKHYPRALALIVDLASKVHDVEV IEWTFAALAFLFKYLSRLLVPDLRPTYDVVAPLMGKARNPGHIARFAAEAMSFLVKKA AAPSHKDKALPLFVEHVRDDLEATVGQKQFELYSQGIMTMFAEAIKGVGDGIHSTGPE VVAALLRHVPEKELALPEQTVWTDVCCGVLTSVIHHSNADTFKTIETRVMEEAEVEPR SALLVQVLGTMVGVRKGTRIHGWATLVKTLGQLLVSFSAQKAEVESMDPSRVWQRIVV NTAIVWAQAPVDALLPALTTFSGTMTKEPLMRWYIAFCSYLADLNPERFRGLFMKDFQ KFIVAHWSQNANEDILCVLLPRMVESGGLPTPGGKEIFQLPQSWQDQIASKFVKLGDT PFPESGGFGKDPETWRDKCLPKYSARLRVLQSTTVHPATNARIAEVLLKKLKLALRPS SSLPTDEANFIVSDGFRAYLRMCAPANSVDPSLAPLLRAAAPRFCRSPAFLEALLDYE REVGGKIQRSPEADNDNGDSQQEVETPLVKCLIDNLSTPSHELRLVSLRILEKLDFTP DFNSALATMIQVEELPLNLQSIRTIAVHLRKLGLVYSHIDQNSWLIRAIPAFLFGMTT VPLSPVWDDAVEAMKKVAESKPGEEVLAEIAFEWLEVPSPQWSGPYKPPAEDNHQALT NFECLNLMALHETSGTTGSVLNDPSRDMLSAFEEGQKIVESPSDRARSKALKAFSAMP FLAEKRSRRLVPFLFSFTEEGGTSEEEEEDGDEAEESFEGSWSLPDRKALVGVFAQFN NPRVLYQSQRVYQSLLKLLANGDIELQKLALKSILAWKNDSIKPYQEHLEYLLDEARF RNELTVLFQGDNQIQPDHRPDVMPVLLHLLYGRAISKKGVASGRHGLHATRLAVIRQL NVDDMGSFLDIALGNLRDIKVVDAQGLRESLFAREALPVRKQVGLLNMLESVINELGT SVSSYMESLVNAVLYCLIYACRQLGGTSEEHETEEQDHVQNASLYKVVRTTALKSLSK LFQNAPEFDWTPYQAVMVKEVISPWIEKLPAETTQGVSATWKLLGTWSSLPQAALFLS LDKRIMPKVIETLRIEKARDEVKTFALNVLKNLVDLARPPEAESEPNEKVKTELLAPH IDLILKEISGLLRDQPDIGRDLLATAVDTVVAIAPLVETSTSVQDMVEIATFLLNQPL RKVNPKIKGSILLILKQFIVLEDLQNNAELKRKVYGTIASLFGFFKDKQNRQTLAEVL EVFASREPWAQEVADICRDLNSYVVKRLDEPDYNTRLSAFNSISRDRETPFTIDQWMP LVHNLLYYIQQDEEFGVLSSNAADGLCKFVSAAEAAWAGPQQAAYVDVLSTVILPAIY SGARESSETVRREVLRLFGFLVAHLPQWEPVADLTPLVPVSDDSDQAFFFHVLSPAVT RQLQALRLLEAANEKSELRSKHISQFFIPLFEHFIFDRPEGGDDHGLSAQATNTIAAL TTSLEWQQYRAILRRFVSYVESKPDWNKRVIRLLEKEVDALRTAVSRKSADAMDVDDG QTADAKPCRLATTLPSQEKLGDEIVNNFLPTLLKYIHEKDETTVSARIPVGVIIAKLL TLLPEERLNEKLPSVLTDICHILRSKSWESREMARDTLAKISGIIGPDKFEFILKELR GALVRGHQLHVLSYTLHSILLVAIPTFNQGDLDYCLSSIMAVIMDDIFGVTGQEKDAA EYVSQMKEVKSSKSQDSMELIAKTASITRLGDLTMPLQSLLLEKLDLRTVRKVDELLT RITKGLLENPAAASQQVLVFCYEVIQEVYKSQKPQAEPKIDPRLKRYLVQKAAKKSDG SVTSKYTYKLIKFAIDILRSVLKKHDNLRTAGNIAGFLPILGDAVLSAEEEVKIAAFK FLTVIVKVPFKNDDASNLYKVAHKEAIKCISLSQSTTSDLAQTALKLISIVLRDRREI PMRDAALDMLLTKLKDDLTEPMYRHVTFSFLRSVLDRKLETASVYDTLDYVGTVMITN DDKDTRDLARGAFFQFLREYPQKRNRWEKQLKFIVANLRYEREGGRLSVMEVINLLLK KSADEFAQEVAATCFIPLVFVLANDDSERCRLAAGELIKEIFQVSDKERLSKFLMLLR SWLGQDGNPAVLKLALHAFGLYFEGREPSPKDNQDAGLVTDKLVKVLGDDEALEADWE MANVALTTVQTLVQKHPQRVLGPDSDELWSEVQACLAHSHTTVKLTSIKLLSMYLADF ARNAVKGQKLPLAGSYGLELDGSDVNDLMRLALGILSAAEVDEALAQEAVQVIMFLSG YLESGRSVAEEEGNEEEEDAANDAKESAQLADMKYLFWKLASIIRKERQPKPEMLVSK LAAMDLLEAFSVKSPTDSLLASVKTILRPLRNLTDPSIRQPFSLNEVFKTRFETLKTK AQSIMETLQRRLGSAEYTKALLAVGEDIRVKREQRSSKRKIEALTAPEKYGREKRKKL EKKKEKRKARGQEQREHRRNFL MYCTH_2295635 MASNRPFVSIQKLARLASPAPARAAPSSLVLLRSRPSRHLPCLA SSTTATRLAHSIPRPRNGSPFIQQQTHQQQQQQQQQQQQQQQQQTATSASTSSSASPE TTPPQSGATTNNAEQPAQGRDIRQQPHYELTFTCRPCGTRSRHRVSKHGYHHGTVLIA CPGCKNRHVISDHLRIFGDKAVTVEDILRQRGESVKKGTLVAGADGDGAGDLEFWDDG TSTPREPWVEPERPKAKEGEKDLPPGATFKSVKPGDRKAD MYCTH_2295637 MSKENSEFTPCWEILKGALTDIHNKNATRLSFENLYRASYKIVL RKKGELLYDSVKEFEEKWFRDHVLPQIAGLVSGNLISIALLQTPGSSAHERRETGERF LRGIRSTWEDHNMSMNMVADILMYLERTYVAESRRPSIFAATIGLFRDHILRNDLGGA SEQLDRPFVIFDILNAVVLDLINMERDGDIIDRNLLRQITSMLESLYETDEEIENTKL YLTVFEPRFLSASRDFYKNECEKLLREGNASAWLRHTQRRLREERDRCETTLSILTTD KIASVVEQELIVAKLNDFLAMEGSGMKSMIDNDRYEDLSILYQLISRVDKTKQALRTI LQSRVMELGLEIEQTLKNTDFSASAAAGAEAEDGAEGGGKTKAQPLSAAAQQTAAAIK WVDDVLQLKDKFDNLSKTCFNDDLVLQSAVTKSFSEFINMFNRSSEFVSLFIDDSLKR GLKGKSDEDVEIVLQKAIVLLNYLADRDMFERYYQKHLARRLLHNKSEVHIEKELVRR MRAELGNHFTAKFEGMFKDMELSKDLSESYRDHVRGLGDADTKNIDLGIHVLTTNNWP PEVMGRSALLQEDGGRAECIFPPAIKRLQESFFKYYLKDRSGRVLTWVASAGSADVKC VFPKIPGKESGPLSKERRYELNVSTYGMIVLELFNDLADGESLSFEEIQAKTNIPAQD LIRTLGSLSIPPKSRVLIKEPMSKSVKATDKFAFNAQFVSKTIKIKAPVISSTSKVED NEERKETERKNDQTRAHVVDAAIVRIMKQRKELSHTQLTTEVIGQLAGRFKPEISMIK KRIEDLLVREYLERIESDTPAYRYLA MYCTH_2122687 MDALHFLAIVILADAAGNSVADLEAAMILVSLRHGGERATASGK RKRLPSADAAEPFCRPQSVASDRREAKQKKKTLQEQRTALGWMVTGTPRTNPCACCNR RLDILHRNPEAPREVSDRLLPCTDRPDAERRGEKGGRGKTSGGPRAAGAVLPCARCKY LHSTCSPALPHPGPAAAPAAPASSSSSSSAPPAATTGSSFSFSSCSSSSRSSSSSSSA PSPSQTSSPTSTEGGEGKEKKAGKQKTFVHNRKWYGMTAAAPYPYPRLSGRLKFWAWT LQARSQAWEGTGTASQSQSRRARHILGFVLKRDGKPEEKLSSSGHWAFSIAWHRSPQH AGEFAVQVEVLGYQPGEINIKQERSALRSHSSSPVNVVPPNLL MYCTH_2020707 EPWCSKFLGMPCWKRDAEADPEPWCVQFLGMPCWKRGADNNSNN NNKEEKREAAPAAEPDRFCSRFTGSSCWKRDGGAAAAEEVRRCTGEGRACWRAKRAAA AVINAIDVGNSVKMARDADPAWCRHFLGVPC MYCTH_2114710 MNATQTVPRFLLPRLSWTGPLSTTTTATTGLQGAFAAALKQARI TTNGAGGQAAPRRSIYTGGPNSTRRHGIMRDNAGSQPTRSLSCVVRNPALRRSFHATA ARRRDHHFDTLRFVQRLQEEGFTEEQSVAMMKVLNDVIEESIQNLTRTMVPREEAAKT TYTQKVDFAKLRSELLSADSTESNATRAAHEKLTNDITKLNSRLRDEIGRTQASVRLD LNLEKGRIREEAVSQELKIKETETKIEQEAAALRQQLEQVKFQTLQWLIGVCTGFAAL LLGAWRLLM MYCTH_2295642 MGVGRRMKKQGPPEPLSEEHFANLKRKKGLPVDDVPTEDRSSKK RRTSTKSDKPAKKRREEASKDEVTSKTADKQANGTKKAPGAPENGVRAAKAKSKKAAI PEPESDEEMGDEYGASDLEVSSGSEPDEKTLGDDFMASEDESVYDSDEGNTKKEKFVF SDDEDEDDKDREEKLTAANIEGLSRKLDEKLAREAEENEAELRQQALQTNIDGDKPKI LGDDEEDELMTKKQGLLAPDLQMLRTRITETIRVLEDFSNLAEEGRSRAEYTSQLLKD FCAYYGYNEFLAEKLMNLFPPREAFAFFEANETARPVVIRTNTLRTHRRDLAQALINR GVTLEPVGKWSKVGLQVFESSVPLGATPEYLAGHYILQAASSFLPVMALCPQENERCL DMAAAPGGKTTHMAALMKNTGVIFANDPNKSRAKGLIGNIHRLGARNVIVCNYDAREF PRVIGGFDRVLLDAPCSGTGVISKDPSVKTNRDEKDFIQLPHTQKQLLLAAIDSTNHA SKTGGYIVYSTCSVAVEENEQVVAYALSRRPNVRLVETGLPFGKEGFTSYMGKSFHPS LKLTRRFYPHLYNVDGFFVAKFKKIGPTPPNAVLANGKKDKDAAATKAAGEEEVIDKT PVGVEEAEKEKDDFGGFDDEEDANYIERAKRNAMRRRGLDPRALKKGQEKKPPKETES KETANQEASDKAKDKTKDEAKEAKEKSADAREQNKEKPKEKTKKSKGKERK MYCTH_2295647 MAPALPIKFQELLQLSSLGVNQTAITFNTCTLESDSFICLRDKK DEASSPEVIIVDLKNGNNVIRRPIKADSAIMHWSRQVIALKAQLRTLQIFDLEQKQKL KSTTMSEDVVFWKWTNERTLGLVTDTAIYHWDVFDPTQATPVKVFDRNANLQSNQIIN YRTSADGKWMVVVGISQQQGRVVGAMQLYSKDRGISQAIEGHAAAFGTIRLEGAPEDT KLFTFAVRTAVGAKLHIVEVDHPETNPVFPKKAVDVFFPPEAASDFPVALQVSQKYGI IYLITKYGFIHLYDLETGTCIFMNRISGETIFTACGDKESSGVLGINRKGQVLFVSAD ENTIVPYVLESHGTDLALKLASRAGLPGADNLYQQRFEQLFANGNYQEAAKVAANSPR GFLRTPQTIERFKRLPQQPGQMSYILQYFGMLLDKGSLNQHETIELAQPVLAQNRKQL LQKWLGENKLECSEQLGDMVRPHDMTMALAIYLKANVPHKVVAGFAETGQFEKILPYC AQVGYQPDFVQLLQHIVRVNPEKGAEFATSLANHEGGSLVDLERVVDIFQSQGMVQQA TAFLLDALKDNRPDQGHLQTRLLEMNLINAPQVADAILGNDMFSHFDKARIASLCEQA GLLQRALDLYEDPAAVKRVIVNIPGMPNFSPDWLIEYFGRLSVEQSIECLDAMMKHNI RQNLQSVVQIATKYAELLGAQRLIDLFEKYKTAEGLYYFLGSIVNVSEDPEVVFKYIE AATKMGQIREVERICRDNSVYNPEKVKNFLKEARLPEMLPLMVVCDRFNFVHDLVLYL YQHQQFKSIEVYVQQVNPSRTPGVIGGLLDVDCDESIIKNLLATVNPALIPIDELVHQ VETRNRLKLLLPFLEATLAAGNQQQAVYNALAKIYIDTNNNPERFLKENDQYDTLTVG KYCEKRDPNLAYIAYRKGQNDLELVNITNENSMYKAQARYLLERADRELWMFVLSENN IHRRSVVDQVISTAVPESTDPAKVSEAVACFLNADLPAELIELLEKIVLEPSPFSDNQ NLQNLLIFTAAKADKARVMDYIHRLDNFSPDEIANVCIEVGLYEEAFEVYKKIDNKEA AVNVLVEHVVSIDRAQAYAEEVDIPQVWSKVAKAQLDGLRVSDSIESYIKAEDPKNYE EVIEIAVAAGKNEELIKFLRMARKTLREPVIDTALAFCYARLDQLADLEDFLRATNVA NVEESGDKAYAEGLFEAAKIFYTSISNWAKLATTLVHLEDYQAAVDCARKANNIKVWK EVHEACVGKKEFRLALICGLNLIVDAEQLQALVKQYERNGYFDELINLLEQGLGLERA HMGMFTELGIALSKYHPERLMEHLKLFWSRVNLPKLIRACEEANLWPELVFCYYHYDE FDNAALAVMERPENSWEHQQFKEIIVKVANLEIYYKAINFYLEQHPSLLTDLLQALTP RIDVNRVVRMFQKSDNLPLIKPFLLNVQSQNKRTVNDAINDLLIEEEDYKTLRDSVEN YDNYDAVDLAGRLEKHDLVFFRQIAANIYRKNKRWEKSINLSKQDKLWKDAIETAAIS GKPDIVEELLRYFVDVGNRECYVGMLYACYDLIRPDVVLELSWRNGLHDFSFPYFINM LSIQTKEIAALKADNEARKAREKEQEKAEDNTPILGVNRLMITAGPAGGAPPASPMPY NQTNGFAPQPTGYGF MYCTH_2106616 MAPYMSVEHAHRTYGPVDREALHSHLSKSERGLLRMGLVKSEQW RRLPAEGLYQIVISEVLDNGKTYPLERNGARAFSVTSVLDLGLRMLESDRGRTALANL ANKAICVWRERPVPGPVVEPWYIDIPAAVDDFLRCVRNRFPIVKLDDRNGFCREEENE TMPYSWVDEYSTLEHFDFNPKAAAVLHLNWQAKLVGCQKKEGFLTNSRSQLMEKLYWT RLKADIARRQRRDDAHQAETVRFHRLQFHLAAMVTHHLCHLFVNFLRETEELVHHVTD ADLYRLVRRYDPGAEFEVDFFGGRPKLFIDQRGPREESYPGQSYVIRRGKGGSRMAAV VAQYKIESYLKGDFSVPLLTEVQAEVFPMERYQDVLRRLGGSKHETWQWKEGQTGTRR VAEVGSYDQQAFSVPWDIQGLEYQLMKKACFDPSIRVVDPRGL MYCTH_2122693 MAPFQTTPHRCQWTGSGVNGDFTTESPLSLSTARLSTAAQTTAA HNTVRINTDFYRGCDTAASDCGRRGFCRSSASREGKLLSSSYAGFSHTVASAVSRRPL GAAELAMAPPVALRTHRATAELQQRKSSTRILPFFPY MYCTH_2295651 MTNQPPLERSLPVLIRLHGTREPSSSLDVNPSGHSMDSDLFTAR APSSRSSLLPERPVYNSNGWQSPPPTPLPDPDVEIADQLMEEIVFGFGETLPSRTTTL TVGNECAADVPATLCTVPENPAGSQTDGSPALHTEASTPRADASSFGGPIWSASPGER DMFGHDLLPLTPALTPIRKRSASPLSGPRQERRRRVEAQPVDEALGEEFSIDIGFREL ARSPAATNGSEGQLDSDLVGTPGYPASEPDTCQPGPNERETNSYLAYRSTQGERVDHT SEGKPSFVVVIVTLV MYCTH_41997 ESRATRPRYTIEIECFPEDELEEYLAALLSAYRAFYLEPDRAEP PRVQGDPDRAQRSRRILKTIFDQQLGSAEDEEFLLREEEEDILDAFMEWAREREVACG GALEESFETRSECLERLESWTNAPVAKNIHLSVRAPDGSLFTAHLPARDPNGIVDWKP NEIQDMFNEMTQFDIA MYCTH_2088086 MFRNALRQSTRAVGALSATSRVAARNAAPAVAALQTRTYAEARA SPTEVSSILEQRIRGVQEESGLAETGRVLSVGDGIARVHGLANVQAEELVEFASGVKG MCMNLEAGQVGVVLFGSDRLVKEGETVKRTGAIVDVPVGPELLGRVIDALGNPIDGKG PINAKERRRAQLKAPGILPRKSVNQPVQTGLKSVDAMVPIGRGQRELIIGDRQTGKTA VALDTILNQKRWNNGTDESKKLYCIYAAVGQKRSTVAQLVKTLEENDAMKYSIVIAAT ASEAAPLQYLAPFTAAAVGEHFRDHGKHSLVIFDDLSKQAVAYRQMSLLLRRPPGREA YPGDVFYLHSRLLERAAKMNDKHGGGSMTALPIIETQGGDVSAYIPTNVISITDGQIF LESELFYKGVRPAINVGLSVSRVGSAAQLKAMKQVAGSLKLFLAQYREVAAFAQFGSD LDAATKQTLARGERLTELLKQKQYSPYAVNEMVPVIFAGVNGYLDSVPVNKIQQWETD FLAHLKTNEAELMATIEKEGAISQELEKKLREIIPAFVKSFLG MYCTH_2295666 MPTESANPASASIAPPSMSPATKEMLARVRQMIPPMLEKFHKGQ LGRVGVIGGSEDYTGAPYFSAMASARLGCDMSHVICTPTAATVIKSYSPNLMVHPLMR SSPSSPGNPSSSSSASAASASSGDTDATEIANRIIPLLDRLHVLVVGPGLGRDPLMQD TCARVVRAARDKGMPMVLDADALQLVQRDPSLVRGYGLAVLTPNVVEFGRLTGALGVD DDDQVRAAAAAAATARGGEEEETAKVEALARALGGVMVLQKGPKDHLSDGNVTFTVDL EGGRKRSGGQGDTLTGSIATFLAWRKAYLDGIWETGGRLKEEELVGLAVFGGSAITRE CSRLAFAKKGRSLQASDLTDEVHMAFLNLFGEVDDDSTGARL MYCTH_2050866 MEKKSKEKKTYNTGDSPVVTDLSTSPAVSSLSRGERTGSRVLYC LWPYVLSTAASWN MYCTH_114083 MATGYEKFDKQLDRLEKLFSRKKRAAYSHDSAATGIRPTTPMPF LTNSNATTAQAFPVPPYLRPTSSRMMAREEVLLQPLWARRARSLPESPSAPRIKTAAF SGHNTDEKGPSIPARHSSGYPGIAQRPSSPYPGSNVDDPSTKLLQFSFSTASRESECN SSPRRSRPSVRSHRSPSVSVSPRARLDRKRCSTEFQQPFPSQGNERGVHGDSSQSENP SALPSLQREERCSGTALSEHSSPSLSPSLPSKDKPKLGRLNSRQCTVSHRQSSLSISG TTIRQLSEALRESTSLSQLSRARDTNPNPDPILKEPSVNDFLSLSDDDIADEHAASRV QLSVSDPPTFPLPPNPSPASSPIRTRPAFPLLTLSPPLASRPAAAAAIEAARIAAKYK FDLVYVVNLWPSHISRSGCSSPSGRSCGTPHPASPSRTTPFSPPGSPDPTTPHPASPS RTTPFSPPGSPDPTTPSSDSDMTGRLLAAYGLSSITCPFRISAPVHQNVLRSQGWLEY RNETGARDEFACGYSCSFYTGYSPVRGHGSDAASSEGQCKYQERQNQDKPANRGIVFA AFRVPREDGSPVFSNATELEALHKDAEALVDMLINTRTARWRRRAPTTPSRWRVAGGS SQPLKPSEPPVAI MYCTH_2075489 MSEKAQNPMRELRIQKLVLNISVGESGDRLTRAAKVLEQLSGQT PVYSKARYTVRQFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRRRNFSETGNF GFGISEHIDLGIKYDPGIGIYGMDFYCCMTRPGERVARRRRAKARIGASHRITREDTI RWFKQRFDAIVR MYCTH_2295675 MSATNKQGKMAGYINYRMRVTLNDGRQMTGQMLAFDKHMNLVLA DTEEFRRTKRKQNKPAAPGSSSTAAAIIEQEEKRTLGLTIVRGAHIVSLSVESPPPAD PSARLGKTTGTGIASALTAGPGVARPAGRGAAPPISLAGPAAGVGGAAPPPPFPGFPG APPPPGFPGRGGPPPPGFGGAYPPPAGFPGAPGFPPGFPPGGAPPSGFNPPPRR MYCTH_2295684 MAAFVKAINAKIRAHPVLNYVCSTHFWGPVSNFGIPVAAVMDTQ KSPELISGPMTFALCVYSATFMRYALAVTPKNYLLFLCHFVNEGAQLTQGYRYLQWTQ WGGREKAALAGAVDAGKEKAGQVEEKVKELAGKK MYCTH_2295692 MCDPDLHCAFATDVEVACSLIFSLQIFRTAHELSLHTIAVFSYE DRLSMHRQKADEAYVIGKRGQYTPVGAYLAGDEIIKIALEHGAQMIHPGYGFLSENAE FARKVEKAGLIFIGPSPEVIEALGDKLSARKIAIAAGVPVVPGTDSAVGTFEEVKKFT DQYGFPVIIKAAYGGGGRGMRVVRDEASLKESFERASSEAKSAFGDGTVFVERFLEKP KHIEVQLLGDNHGNIVHLYERDCSVQRRHQKVVEIAPAKDLPTEVRDAMLNDAVRLAK QVGLRNASTAEFLVDSQNRYYFIEVNPRIQVEHTITEEITGIDIVAAQIQIAAGATLE QLGLTQDRITTRGFAIQTRITTEDPAKNFQPDTGKIEVYRSAGGNGIRLDGGNGFAGA VITPHYDSMLVKVIASGSTYEIARRKMLRALIEFRVRGVKTNIPFICTLLAHPAFIEG KCWTTFIDQTPELFDLVGSQNRAQKLLAYLGDVAVNGSSIKGQIGEPKLKTDIVIPQL RDEAGNKIDTSQPCTKGWRQIILEQGPKAFAKAVRNYKGCLLMDTTWRDAHQSLLATR VRTVDLLNIARETSHALHNLYSLECWGGATFDVAMRFLYEDPWDRLRKMRKLVPNIPF QMLLRGANGVAYSSLPDNAIYHFVDQAKKNGVDIFRVFDALNDINQLEVGIKAVQKAG GVCEGTVVYSGDMLTKKKYTLEYYMDLVDKLVALDIDVLGIKDPAGVLRPRAATLLVG SIRKKYPDLPIHVHTHDSAGTGVASMVACAMAGADAVDAATDSLSGMTSQPSINAIIA SLEGTEYDPGLNVQHVRALDTYWSQLRLLYSPFEAHLTGPDPEVYEHEIPGGQLTNMM FQASQLGLGSQWLETKKAYEQANELLGDIVKVTPTSKVVGDLAQFMVSNKLTPEDVKA RASELDFPASVLEFFEGLMGQPYGGFPEPLRTNALRGRRKLNQRPGLFLEPVDFAKVR KELANKFGGPVTECDVASYIMYPKVFEDYKKFVAKYGDLSVLPTKYFLSKPEIGEEFH VELEKGKVLILKLLAVGPLSENTGQREVFYEMNGEVRQVTVDDKLAAVENVSRPKADP TDTSQVGAPMAGVLVELRVHDGSEVKKGDPLAVLSAMKMEMVISAPHNGVVSQLSVRE GDSVDGSDLVCRIVKGDAK MYCTH_2295697 MSHRISLHKDQQPADVPPQISDLHCFTETNGVITTTMFDVPGYR VVRVLGTVYGLTVRSRNWAAGLGMVLKSIAGGELRWFTNMLYNARNDAISRIVSETQA RGGNAVIAMRFDASDLGGFAQVCAYGTAAIIEKIDDTVQVPPQLAATSS MYCTH_2295699 MAPYRDSPQSSLAHSPNRERSQSPARMSYESGSSVSTTSIVFDR ISERVAAASKAAPEKHRRGDNGESDDEDLKDETAYEDLETGPFLGSGNGNPTQHAEVK GKGMDRGLRRALLIAAGLLVSAWVAGLFVYVATKSYKPASEIAHDPQATIVRGSEKAI TLDQVLNSFWRPEVRSIEWIAGPDGEDGLLLERGEGKDFLVVKDIRAQNGAGVAADAP VADSRTLMKEARFEHGQKGYSVGKVVPSRDLQKVLVATNEKSNWRHSSYAAYWIFDVK TQTAEPLVPGEPDARLQLAQWSPTSDAVAFTRDNNLYLRKVGSDSVVQITQDGGSEVF NGVPDWVYEEEVFSGPSATWWSEDGKYIAFLRTNETGVPEYPVQYFLSRPSGTEPEPG EELYPEVRNIKYPKAGTHNPVVELKFYDVERGDVFSVDTSGGFADDDRLITEVVWAGG QVLVKETNRVSDVMRVVLVDVAARTGKAVRTTDVRALDGGWFEITHQTKYIPADPSKG RSHDGYIDLIIHGDGNHLAYFTPLDNPEPVMLTSGDWEVVDSPYAVDLDKNVVYFVAT KESSIQRHVYQVGLTGDGLAPVSDTSSEGYYAASFSIGGGYVLLTYEGPGIPWQKVVS TPSNPRRYEYVVEENQDLAERAKKHELPIKIYGTINVDGVELNYIERRPPHFDASKKY PVLFYQYSGPGSQTVSKKFLVDFQSYVASGLGYICVTVDGRGTGYIGRKNRVLIRGKL GQWESHDQIAAAKIWAGKKYVDETRLAIWGWSFGGYNTLKTLEQDGGQTFRYGMAVAP VTDWRFYDSIYTERYMLTPQANEKGYDGSAISNSTALSQNVRFLIMHGVADDNVHFQN SLTLLDKLDLAQVENYDVHVFPDSDHSIYFHGANRVVYDSKLSPPDKSTIVGFALTND YDRTDELAHQRFQRRVDQSHEPNTEGQKEVGLKGPVTRCAS MYCTH_42269 MASHMPAGYAEDRLHRYLAHWTRDPSNVSKLDIAAITWATEEER RARQKKYCQVASDYYDLVTPLYEQGWGQHFHYTPLTPGLSIRESMTAYEKTFSGLARL KKDMRVLDLGCGIGGPARTIASTIGCRIMGITNNAWHVERGTALTKEAGLEHLITFIE GDFLKLPFADESFDAAYSIESLCHAPDPAEVYREVKRVLKPGAPFTFHEFAMTEHSPA PWYYGPAGDIGWAWKIPGWPDFWKVFQMWDPFRGCAHAVYRVMILVGMAPPEVSTLMD TMWYCTRSVVQGGRMGIFTPMYVFVCRKPAGGLES MYCTH_2295704 MHSTTTLLSLLSAAAAANGASLPRDSVCGAAAEIQCPIVFDGRV SADAQPTDFDSASTSIFNPDYVKGNNLKWSDILQFPDAGTTHFDNETHKPFEVTLSDE SIFQSQQGFRRAGLQFNGDTNNGSPGSQGVKTIHFSVKIDPQRGLNLSHEYILVWHEA ADYSANQFNFETGTIIGQEGLPKDTYKVLNRNNQQIWSTPILEDQWQNFAITLDFNQN TLQVYYSEADEPLESVTDVVSNNNAGEGQYQIGLLKKPTGTDDVVNSGYQESGIDEGL IYGSLFVEDSANGCVSL MYCTH_2295707 MARIQIPIDVLTSRLNLNERFNSVRSGSLASRFSNMRPLSEFFD VKRVSKPANFAEMQSRVNYNLGHFSSNYAVVFAMLCIYGLLTNFWLLFDIIFVVVGMF IIGKLEGRDLEFGQQRFSTVQLYTGLYVIAIPIALISGVFGTMMWLIGASGVVILGHA ALLDKPIDEAFSGEAV MYCTH_2295709 MARIRRAQAKGKQDVKLTKEELAAYQRRLQRMEMEARRQRREQR VAIPISQLDPSFRQKRPSAEDGSPPPQQPPPEPGVERHATYPPMGYFPPPSSRSRPRS GTTSSTRPPSRAATDREQSSSPFTYTYVRAEQPATVHQPSETDPFQYMTAGTRTSYHA AAAAAAAPPPSAAAALTVRNSIAEVDDIYASYGSGGGASRRHSGESSDDVDDRPRGAR VVSGSSRSRHKDGADSRREPAPEPRSSRDRTPPPVKKSSAAVSSPVAKRKSISGSTKS GRKKGK MYCTH_105966 MVPHSRTSKAKKGKNGRSLYACMQSVAGREESNSNESRNRSQSV GTQNGEPAPVREPRSGWMGILDDAASVLSARTSHSKRSKSHRSSRHSHHHHRSKSRSR SRSRPRHRSSRSAVGAGGDGGVADTLRGVAASIFGTGEDDEDLRYGNDHHRRRRSRHD HDNDDGDDDGARSFFSLPNVSKSTFFSNFGRPHTSSSSYYKRSPRPGFTARLVRKLRR LLRDLLYYAKRHPVKVFMLVLMPLITGGALTALLARFGLRLPPFLERMLGVAARAAGA GAAGDSLGLVGEAVRMVSRAGGSSGPRGVAKASVERGRGGGSGGGDGWRDGLKGIAKV FD MYCTH_2122709 MAQQIRTILSLDKIKQEEKPLLLRPRIDSTRRRTVPESGVGQHI GNEPRGEGWDIIKKADVPRRRNAGLHGNEVRPPCSSGEQDVMRWKCQTFPNKKFQTGL VGSAGPGAEQEAGAKADPTLPTAGQCVEAQPGREARGEERGAFRQLGRRQRCSERDDE QIGGSFGSMVVN MYCTH_2295714 MHHGQSTPGCCLAHGPARFTKFQRLPPEIRNMIWEFALPEARVY EVLDAPNAKWQTPPHEGLMFADVHPEPPPAMAAVCRESRSMFMHHYKPLTLGKTTKYV DLSRDVLLLESYLLIKRLHRTLHFMSQIPLVRDRITRLALGTSYGVYPGIYHPMMDRK PYRNNMGKLLACLAKFPRLETLIFVIHQEYHLESALRFPGTLTPMAPMPAPTNAALYS AYGVVPAATRCPSPPLIKDEIKAESSSHSIPACPTTAAAAAAITETSPAGHPTPASST TSSPLPPPPLPLPLAPALERQPLSPPSPPQHLPLQRQQHPRRHQLHATLVPFSKSIPS SSPAAAAVPCAKMGAEEFMYYNMRGRWDEFDAYEAYVEPDSPSTSATFADVAEYRGRL GFAGRGHDEQSGSGRGGKSPGNGGCKWGCAGNGAGNLRDEWRDPWPTNNDWKWFRRRW VRAMIAACSQAADAGAPSFGLDGTQMPRWKLRGASLLWRYTRDVVDG MYCTH_2295716 MRDDDRGVKDATEQTPLLDAAEAAPINERAEHVQHDSENTDGED KPLPVWQIVALCYARWVEPVAFFSIFPYINQMAKENGRLADADVGFYSGLIESLFSFT QMLVMILWGRAADRFGRKPVLVFSLIGVSCATALFGMAKTISQMILFRCLAGVFAGTI VTIRTMISEHSTSKTQARAFSWFAFAGNLGILFGPLIGGSLADPARQYPALFGSVRFF HDYPYALSSLAVSAIGFSAVAVTALFAEETLPKSLAAAGRRGATTEESAVSKPGAPSI WDLLKSPGVPIVLYTYGVIALLAHSYTAIVPVFWFTPVRLGGFGFSPLQISLMLGLTG FSQAAWILLAFPPLQHRIGTNGVLRLCSVCYPFFFAVCPMLNVLLRADTAASRTAFWI VAPVLQGFGSGISMSFTAIQLSVNDVSPSPLTLGTLNAVALSITSGLRAFSPALFAGL FAVGARTQLLWGHAIWVLMVAMALVFTVISRFLPDYDELKRQREAAAERETVS MYCTH_91334 MNPTTNKFRQHQQQQQQQQGMPSFCRQQGRGKAVGPDSSAAAGA SSGGPGAPGAGGAVSDSSQSGRKRKRKRGEASLFAYVKRAVRDVPCLMCVKSALAGRS SGECRNHSGPGKRCYHCAKGSHKCREVYVFIGLELTWLILLLRPASCVSSAKELTKAL EIDPKHWKSKSLRIILRNDLERAGVEDDDQPREDNEDDKELASFTKIGRAVFEVITEV DAIRKMRTRRAALEQLGLAAADEGDDDDGLDNWPGRDTQRYPSWLVKEEAC MYCTH_2075498 MSKTVNISSPSQFNDVLRGSKLVVANFYTDTSNASQQVAAVFEQ LSHALSRPNLVTFTKVNTEQQKDVAQAYRVTTIPTFIIFRNGKVADRVQGADPIKLQS VVNKLSEEVQNLASGGGEAGGSGSGSGSSEANWRGAALPRGYNDITDQIELRSCELLN VDPDAGNVRVLFDTSKPSALSEGKGGAKDWVESDTDEQLLLFMPFQSMLKLHTLQLTS LPPSDDDDAEVPLRPRRIKLFTNKPHNLGFDEAEDLSATQEFELSEQDWNAEGTANIP LRFVKFQNITSLVLFVVDGDGDGEKTRLDRVRLIGETGEKREMGKLEKIGDEPGE MYCTH_2122713 METTTTILPGIVLMNTTVSTVYPRLRTADRTRASLADLPLETVL QILDALFEPQQLIVIDIYGPKAKNDGVYDMEGKVSSQTKVVLRPPPLHVTATACRLFQ HMYRKSRPTAWGAHLHLRRPYNVSLERDIFHVRVHRETYYGEWDRAGNPHLDPLYMIF DGIQNLATSVDYIFPGMSVFYFLHLNPLGKVLNVLVPVPDLEKNVDPACGELQLAPVL VPIEDRYRIQTSFWKGKETWWYFREESEDHMRQRFLRCRPRSEAQRQLWERTWRLCPP PELKAYLVDERRLNDPVVSGLEKRIRS MYCTH_2295718 MSSKHAPGTTPANLNKPSIANLPYELQVAIFEAAVERQIFFVEI SNDVLRFSSPSGRAIGLACQLSREIYLKGKVLKMFGNKPHWLDPDRDIFYLCKDDPVP RTNRPTDFESSVLKGDAFDERVVRNVAVDLGYLGEHPRHDAMVRIWHLFPSLRTLHIL APKGPPHTPPLLATPETLVLSDIPSNQVVAAPHQDRELWLAVRYQVKKVCARILTTEN GWQGRYYPEVVGHLTSLWVAQPEPEPETETETEPALQTEMRIETQGETETQTI MYCTH_2313555 MIDVIAVDVDPSETCSSRSSRKSRSPGAHRRRRFRSRSRSRSRS ESRDRDTEVVIERERFVPVPVPVPVPVPTRREPELETFRYVEGLPPAPREKRWTSGWA GREASEERERQMITVRLEDRWRERRDEEDDNDYECHARGACGRGHGRRSYDAGGYGRG MYCTH_42529 MAMDARLAEEEMPVIWTNKVWDGDPGGDVALHFEGRSWMVKKDI VTAHFPGIKASIARTEPVSAAGNSPSFFSYVKMYFLAERFGIDRLRRGMTAGVESLSQ HVAALAAHHCPHCRLSRAEVDSEEKRHLASFLDAVRLVEAQPWSARIVKAMYDAGDRM KANLARLPAFREFVEKFPEGRNFARAIGAHRL MYCTH_2295720 MDSTFQDSPTIGRTVVYAANSPLPPPPNAAASRRSRRVPASPLS TDLSFSDSASAAIPRIVTTAASEDHPSQASEKTYFNSDSSGSTTPEERSPGPAPSPLS LRFPNEARSVSDPIAATQPPAGAPSSQLSTMSGPAGERAEPAKNPPRNSSIDSAISAI SSRSNLQKTSQDGAIAPDEIANLIKTAGSAEAVIQYLLKEKQSQSQQNAQLWRLVDKQ RAMILGLNKDLERALKDKEKYRKKLKEVLGGSEAAPALSIPAPSSQEASSRVPDAASS SPKERVLEIPVSPKTVIPGEHKHSPIDVTLAPYPITPPADHATAAHAQSTVGELLDPT HTMPKPSEHALDHYDHEAQEREAEAASKRAEEAKEIPINMTLPPSRTAPREPPPNPPP RPPVGLPDRSPRPEEGASKFPPPPAPPPRKPPPAPLHLKNAKPKPSVAPEEDPETDTD YDHILEVDEMNQEERRGRRRTREEDDRERAIQAKKEAEMRSLSKKSKKSSSRKATDQA QAPPAEIPADPRLVQNNQSLAPPETASLAGVLNGAPDSRAIPPPLLSPGLPASPRPMP PKSPVHSPPLSHGASNFPGVPLSPRPPRAPIPLPPNTPLQTPSPAGDPLVLKSPRPLN IRKQEEQGSGTPSPTKSGTDSPTERTKIYKGFVTEEYPDLLLPPNALPSIDIKVASSR MKPSRASLISLTQLEEDPVFTLAVYSRADGGELWRIEKDSASLAKLDQRLKQCPAFTA KTPDRSLFSGHAPAKLDARRVALNQYLDELLNTPLDHATALELCKYLSTNTLPPNADE TGSSTGDHNGESSRVGPGGRPFRNGYLTKRGKNFGGWKARYFVLDGPQLKYYETPGGA HLGTIKLKGAQIGKQAHNSNDGASGQGGAAEDGDNQYRHAFLILEPKKKDPSSMTKHV LCAESDKERDQWVDALLRWIDYRDPDDEEPSRKESHHERNGERGGGKKKSGHGQAKQH GSQQGTATDDGLIGVSYENTKQGDIPHQGVPIKGKQSGQQDQESTHSQSTASSYTISA PHNPQLISNSDAWGSKLGMGLTPPTQEEKKARKRSFFGFGPKTRTSSDGQDSLLGSEG GNSLPTGQYHGPVRQAFGASLAEAVKYNPPADVNVPLPAVVYRCIQYLDSKNAIFEEG IFRLSGSNLVIKQLRERFNNEGDINLLTDGQYYDIHAIASLLKMYLRELPSTILTNEL RSQFIAVTEMTNHKEKMAALAELVERLPQANAALLKYLISFLIKIIDHSDVNKMTVRN VGIVFSPTLNIPAPIFAMFLQNYEAIFGIDPAEYELPITEPEYRQERRPSLPASFQER RPSDGRPSTSHSDSPHRQRLMESLDAQGNRSTPTPPPMTMQQLAQMNAANRHARNTPT PPPQQRQMSHDRPAYESSLGMPPGLDSSHSQASQQKPHPGYDRPPYENGLSPAPYEQQ YRSRRESTMFMGSLSQQPSKSRLREEAQY MYCTH_2295721 MDRLSSLHDAGTIGRQDNSSTRSQSSSIPSWQTQQAHGTSPHSR IQPQQHDAASALSSAFSPQSSNSSSNSSSSSSSSSTDPTPSSTTDRNSTRPTAGSHPP TLTPPSQGSQYQHDQPQQHANDPAPVAATAAADQDHLNRDRIRVHEHEHDQAPAPAQA PAPAPGGGGPADAAAKKPRACEACRGLKVKCDPDPDDPRAGPCRRCKKSGRACVVTEP SRRKAKKTDSRVTELEKKIDMLTAGLLAASSNNNKSLGVGGVGGGLGGGGGGGGLGTA GGTSWNGEAEVARFPPPSPPAPPPPPPPPESAVQQPGVGAATVAAAGLKRKFGELARE PGEEGPGSTIPLARDPALASASGSVTPQAAGEVANDLKPDIVDRGLMTMELAERLFAR YTTQMCQHLPGVVLPPGMTASELRASKPILFLALMTAASSEMSKLQRTLNNEMMQVFA DRVIVRGQKSLELVQAILVSVIWYWPPERFEELKFYQLVHIAAVMAVDIGLGRRKPAR GCIRKHLSGMWRPQLSVQTDPTSLEARRTWLTCYFLTTNTAMALHRPNLIRWNHFASE CVEVLQSSPDAAPTDKYLCHLVWAQRLAEDIGVQFVMDEPTSAPNLAEPKTQYTLRGF ERELERYYNLVPKELLQPSLKMNFQVISLYMHEIATYGENADGSRPQPGGENPLGSET PISSAHINALSACLSAIDGIFDVFLSLDVNTVRCLPVFNLVRVAYAVVILIKIYFAAS SPKSELGKIIDKDQMKVEHYIDRLLDKFRATAAEDRSRPAAKFLVVLVMMRSWFQKQK QQTQNGGPNAAANAPAAKTPPTPYPRPSVGERPGATTPAPQRAPPQGYTTTASTPLQL LSEVATNNSAAAAAAAAAPGPRASTTADLLPLQTSSSSLPTPGSWLSGQLMYGTVPGP RSANATDTTNGNNTNNGLANDNGNNNNNSNNNNNNDNNDNTITAAPFLAGMPPADSPF PGLPPSVSLGTDLVYDYATTFGDGDGWAQAMNMTLVGFADAPGFFGLDNLDMASYMMQ DPTTGPPPPPPPQQQQQQQQHQQHQQPQQGWYPPVDVGLGATGAAGADAGAGAAGSGW MYCTH_2295724 MSSDTRNSTTNTNEMPRQRRGSITSSAFTNLFRNNSTSQPTATP FPTPLASTAVNDQRRRLSVTTIGLSGTSPTSTSAFLRRASLSTNSDSIDESAIEDDET SSRTAPVTPLTRRTSFGAAQAVRGARGATSPGGTNGRRAPPIRRSSTALGSPTTPPLR SAGLGNYTWSKISPQASTANQPRTGSDLCSPSARPDQGFNWSEQLRSRAESTVASGSR PSFSFASGLGTSPPRAAPPVAARPHPDRARSVSDMPAPPAQPSRPRAPQKPDPFQERI LKGDFYMD MYCTH_2106642 MEPADLPPKQRATSYADAVRGHKVFSQKSKPEGGKLTENSLPLK ESSVIEEPRGVAQKQPQKKGTNLAQAKGSIPGPKDSQQGSSQAKQPRKDSHLKSSYAK TRDVREGQVTPQFSYAEAVKGKTLLRTPQLTDSEGHKAGPASPAPSNVPIPESPPEAS PSSQCKQPDDGIGSSNKPSGDVVVWQGDNRISCHQAWPDEASGFVHHHAVGFVTEKAS HNHPAYMRSSHAKRHAHHGRSGGGKGQAIMAQSESKRRKMAEERDERQARLIYCHDLG LISLWESQYEWDVLVTCGKYSWRLHHDILCRESEWFKARLPPKDPNGGYVTFDCNGHD EKQLANALYFMYLRTCEYEPGLHLRSALDGRPLQRAVFAYLAAASVAHPRAQAAALRA LHAAARRLRLFFDRTPPQTVRALDLAPLHAPLAAALAMAFEQGPALRPVLAPPAASPS ASSFGDDGCGDGEGGEREEKEEEEKKEEEKKEEEKKEEEKKEEEKKEEEKKEEEKKEE EKKEEEKKEEEKKEEEKKEEEKKEEEKKEEEEKEEEKEKKEKEKKKEKEEERETQETE RADMVPLRAALAHLCDVALPWLALNEGFVETLMTEWMPGLWMNVVSDAIWFGAQGVLD EMWAVLEEAIAPREHKTAAAPGAGLGGDGVGGAGDGAEDGRPTSGSKKRRRNAEEEEE REEELQSPGEFEIWRDGQPGEPATPPRWRRPQRREDETDDRMINGERLSPEQQREVAK ENLLAPTERGAGGPPRGGVGRGMLQLRHNFTDPDPGLHSSGHDSDGNEARRGRGDDWD VRNTAGDAMSHNGVDRVVRNMALLR MYCTH_2295727 MGLAAPKNKRKLGNDPNNTKWSRNTDSFGQKILRAQGWQPGEYL GAKNAPHAEWHTEANSTHIRVTLKDDTLGLGAKRNNGDECTGLDAFQDLLGRLNGKSD DTIETERKAREEVKLNLYMARKFGPMRFVKGGWLVGDQVKEALGDDVEKAQNGHISET PKESTDGSEESEPSASKSKKRKADRGSDREDDQAQKKEKKSKKRKTDSEAEVDNEGEK KRKKKKEKEKSSRRNAEPEEKPRTTAEMSEPTSEVDSSSEAKNDEKAKKEKKDKKDKV DKRDKKDRKEKKDKKDKKDKKERRRKEKEASESGAETADRISKEKRRREKESKDAPET VSSAPTPTESSLTTPGGSGSSTPIPTGSSRYLARSRFIAQKKMALADSTALNQIFMIK S MYCTH_2295728 MAIRVAHLRRLKQAVDRRDEKAGQIARKLAEHQRRTLNLAIQLQ ALYEGRMEDVAALLEEGGGGGAAAAAVGGAGLAAGETLDSGDRTGREVV MYCTH_2295729 MATPAAQMPSVESCPAPAVSAQATEATSKPKPCCVCKEEKSRRD ECMLFSKSADPQKDCQSTIEQYRSCMAGFGFKV MYCTH_2295731 MMEPLLKEASIIRSVRRGTAEVDLLQESSSNDGNAKSKPLHHKA PTTPKEALDVLRQEPDYDSLISVLNFLSRHETDGSPFIKLPGPLSAQLVQVLVAEIVP NYWALLVEDAHQSKASGLRLLLYCLSSSTGMNAITVKLRSLMQDAKSEAAAGTKRPDL SLNLGIMLDLLCRVLRGDGWLLEAYRLATAGQEPPAKVKPKVQAIVGQFGGGRIVSMA AEAEDILSKNPSSKSVDNIWPASSLEYSQWLGRNIVKVVVSDQTPDAVKFASDLLAKA LHLGHFEMLVRQIVSEMVLKPAAEPRKFGLLMSNLPQSEQRKVLYSILKLLSSDYLDR LGRCESAESGPRIAAVAGVLHEILQSDQNGRRDLVDWLAAFSGAGLGEGVGIRRAVVA VVSQNRDDLVTVLERSLGQFGDQLYIKHSPTLQQEAHAQVLLLVAGYVHRKTPIKLAM LLRSSTWLNAISNRLAAPHQRARFLGMVVGEALSGLADKGEKRLNFKMEETDEEEGRW YKGLTNVSDDIGPFAALLSPSTDIPRASREAPRKPATRRPAPQPPEKGFIIEELSDEE GPEEDDLVPYAKPDSDPEDSEDDATLVRRDKPKAPVYIRDLIKYFRDVDNYDRQKLAL TTAPTLIRRKADYGTEVAEHAEELATILVGLSDKFEMENFDELRAQGMIALIVAQPEK MGKWFAKTFFDGDYSISQRASILVVLGLSARELAGYETSEYAAAAAFPSKTLPERVEQ LYLGSSNSHSQKQSSSLKPLPPNALDSIATSLTSDFLAPMAASAADAVTGPDVLKLST FTSRLNNDGRDETSAAANPKIKYKSQPAKAKPRVRAIPNTTAQLLATSFFTPLTARFQ AALHASSSSSRGSKTILFHPYLLALYLKTLAVVIHAAGPGTLSLPQTTAELWRLLLST SVRAAAVGDLAVTQAVLFAYVALLDVNEDRMRDVCAELGREVVEAQEWVAGVFGGLRG GDESGEEEKVKVLAAGVLVRLREGVEKYRLMLVGDLIGFG MYCTH_2313559 MASPDVLPASEPARWKYLDRIRTRPGPFTNPDMFNGEGVAAFME TIKVLVIGAGGLGCEILKNLALSGFKDIHVIDMDTIDISNLNRQFLFRQADVGKSKAE VAARFVEKRVKGVKITPHNCRIQDFDEDFYMQFQLVVCGLDSIEARRWINATLVNMVD EEVEDSIKPLIDGGTEGFKGQARVIIPTVTSCIECQLDMHAPRAAVPLCTLASIPRQP EHCVEWAHVIAWDQEKPFPRLDKDDPEHVAWLYRKALQRAEEFNIPGVTYSLTQGVVK NIIPAIAATNSVIAAACCNEALKIASNCAPFLGDKDNYMMYSGNDGVYTYTFRHERKE DCPVCGQLARDLAVGRAWTLRDLVDSLADRPEAQLKKPSVRAEGKTLYMQSPPSLEAQ TRPNLDKTLVELGLEDGQEVGVTDAAFATVVFKFKLKFK MYCTH_62866 MPHKPPTEEELERRKIVGIHEETVTNVSSTDYPGHYPGEDHSWD IEAFRRGFRVEFHKNDPFEASFSLIGIDASIANAFRRIMIADIPTLAIETVFVNNNTS VIQDEVLAHRLGLIPFKGGHKGLHKFLKFWRRPDDESQVNNSYYDYNTVSLRLEVKCE HNENAAPGETDPTKLYKHAHVYARDIEFCPVGRQTKYFSGDDAIAPVNPDILIAKLRP GQEISLTMHMHKGVGSDHAKFSPVATASYRLMPVIKIERPILGADAEKFARCFPRGVI ALEKVTKEEAAQKGSGYEGHEGELKAVVADPMRDTVSRECLRHDEFKGKVKLGRRRDH FIYLVESTGQWKSDFIFLEAVAHLKKRARDLEKQVINMVR MYCTH_2295736 MDPVSSANNITALFSSVLQGLKSVQLARSFGDDLKVHQLRLEII RLRLSRWGQATGLCSDETEGGGDGEDKNKGAVLQEKADEIEDLLDAIRSLLRKREKYE MQSPTFGNFKYGTGKRQ MYCTH_2295737 MSATLYRLAGRSAKRLCTRPRASPSPAAALASSIISHPARAFSA SALRRYAEPQESQGTRLVPTGPDFSSAADPYGLASSLPDGRSPSETKKSRDESVEDRK VRHYTVNFGPQHPAAHGVLRLILELNGEEIIRADPHVGLLHRGTEKLCEYKTYLQALP YFDRLDYVSMMTNEQCFSLAVEKLLNIEIPERAKFIRTMFAEITRILNHLMSVLSHAM DVGALTPFLWGFEEREKLMEFYERVSGARLHAAYVRPGGVHQDIPVGLLDDIYQWATQ FGDRIDETEEMLTDNRIWIERLKGVGVVSAADALNLSFTGVMLRGSGVPWDIRKSQPY DAYDQVEFDVPVGVNGDCYDRYLCRMEEFRQSLRIIHQCLNKMPAGPVRVEDYKISPP PRAAMKENMEALIHHFLLYTKGYAVPPGDTYSAIEAPKGEMGVYVVSDGSERPYRVHI RAPGFAHLGGFDHISRGHLLADAVAVIGTMDLVFGEVDR MYCTH_2054417 MCYQEFIAYQCGHRSLNVVRPCPMTTAGHNFAICSILPDKPYYA ETMCTPCERQLHSRWVLIREWEHRWLHERGVCGCEVVFPGLLDTPRVIGDESGAGNAI QPTGSAASPAGAEEVENNAKEAAASEGSTDVAMSGTTGQGGGLEKSTSFGGGGGRIPA LFSESVTSTGDHRVAVRLPGLFAAEWKADHAILHETGKCHCAATFAPFKPQTSDDELN PYDRETLRQWRQREAERETSQHGAARQIISQVGDVARRIAEIKKTFGEFDIRDNERPS VNVVPRVPSTGGRASQSARATQGQGHQHSNNNNNNNSRHTTTRRPDNRRERTLPPRPQ SQPTQPFTPSKQPHGQLVHASQASSSPANPTTPAHHRYYYYHPFPPTPPTMDPRTRTG TGTGMGMGYSPYPHPHPHHPRNNATLAPAHPAYATPATYADIIPFGASPWLAKPRRTP GMPWTAQGPGPYRTPGLIYHGGFSGGRNNNNNNNGNNNNGGSAYRVPALKYTTTTTTT NTTDITPAGADEERRHGGKTGTGGIDATSGADKGKGKATDYGDANTTKTDARAGAAAA AAAADLPLCGLPIGAGPEGTSYAPSWLECPLRRRSLSAGPRTTTTLRTTTTTTLAGRG PGQGQGHEEREHEEEAAEEEDGDENDGPLSSPSPPVRCRSAAT MYCTH_2313562 MDAVIRRRGAYVCRSCTQTLQRRLRRAYSSTAAQQPDIYDVVCV GGGPAGLSLLTALRANPVTAHLRIALVEAQDLAKTRSWKLPPNKFSNRCSSLTPSSVQ FLEEIGAWKHLERDRVQAYQEMQVWDGVTGARIEFDWAPGTASAAGTTIAYMNENLNL TSGLLKRIEELGGVSVFDKAKVESIAYAEETDELDLREWPVVRLSGGKQLAARLLVGA DGANSPVRSFAGIEARGWDYNRHGVVATLEMEGEGWGGRETKIAYQRFLPTGPVAMLP LPGNYSTLVWSTTPSNASLLKSLSAEDFVAMVNAAFRLSPADLEYMHTQDSGQADEYS WRLEHTPFDHRAVPQTVVGVQEGTVASFPLKMRHADTYIAERVALIGDAAHTIHPLAG QGLNQGQGDVQSLAKTIQYAVSHGQDIGVTMSLEPYVSERYAANHVLLGVCDKLHKLY SVGSGPLVPLRSIGLSAVNALGPLKTFLMNQAAGNGIKVF MYCTH_2295741 MLSDHEFFLSCDPHLDKYQLIPPSDHVSLGPPRAIPDHVRSRLR PRSQCPPSEGFDTAAGKDEAEGTKEEGEEEPVPVCYRVTDIVHAVPAGIWDTRVVSTY EFTDTQDGLFVRIRSPLSIVMDTVWEVREAAAQAGEAAGLELVEDVTIQCSRLLVGIV KGQCENGWGKIHAKMIARLEGEIEKGS MYCTH_2295743 MQSDEGSRRGSANPNPIAQGRGEDLSEESTAASSHRRAAAAPRL AVPPLPGPPPRDPPPTRPAESSLLLPEQRQYIVDWLHQTALPEDW MYCTH_2295744 MATNSLQVRNADFSVSKQMAIEDAEKMQALVEEECNKEGKEVPP YRLQELIGKGNYGRVYKATDLKTKSLVAVKIINIEEGDTLNPKLADTYSEFMHEFSTL KKLSESGAKNINLTLDVLPVGQAMWMVTEYCAGGSVATLMKPTAPGGLQEKWIIPIVR EVAEAIYWVHKEGIIHRDIKCANVLVTEAGGVQLCDFGVAGIVESKLDKRTTFIGTLN WMAPELFDPEPSYSTPVDIWAFGSLVYELASGLPPNVMAGFSVQQLGQYIKHHAPRLE GDYSDKIKDLVAFCLVEDPAKRPSIQEIQRHPFIYNTSEEYPTSSLANLVKAYKLWEG QGGVRRSLFAPVGVQGPSDHSSPGLPNDEWNFSTTVDFDRQVMNTDAQAVFDVYGSNV DFEISEQTSRPAKPKQRRRLPPQLQPLKAPLEKVFDPNTISNYEENSRAYYGKAPPPP MTSTTSDLPLRDDSLHSTLRESLIDLDASLHDGGELSQFVDMATIRAGMRLPSDSDGE ETTDFNRPPLSDPADLNANRRTQEWKFPTMAPPASANPEMSRFPFHEDRPDPNPGPPM IYHPTDRGGYLAEPYDLPPAQSQDNRASVGSLIDLDEGLVMPEPARPSTANSDAASMA GSDIGGANPFDLERHASLYQPHQLGIREPSILISDDSEFAQLAKTTTITTTTTAGGGG GDDGQSGPPDFASAASGSGFRSTSHSRSTSYDDDNYSASGYDSESLTMGPSNSSMSIT DRRSRARTRGRSQSGASVSSTSNNNNYYYNNYNNNAQLQHPRIAAAAAAGAMNGSGLD HADTNERGGGGASGGVEGGVAGVPPAPPSARVMLGAAGREEVREEVMRLLASFSEQLC ATNAYVSALPISTGRRGSEAYAG MYCTH_2295745 METRILKVPLAGKDDSALGRWASVDADGRPNLDRWEVAETGDNE AVLAPLREAAHLLRTTPVPVAFPTETVYGLGADATRSDAVRGIYAAKGRPSDNPLIVH VSDLSMLRRLLAPAKKTSSTSTTTMTTTTTTPTTSASPSASPSPSGVEGSSGPPPPPP PPTSAPSRQDGSENDDVGNAEDDDDVIPAIYRPLIRRFWPGPLTILLPLPAPSPLAAE VTASQPAFGARMPASALARSLIALAGAPLAAPSANASTRPSPTAARHAADDLRGRVAL VLDGGPCAVGVESTVVDGLSDPPAVLRPGGVGIDALRRCHGWERVVKAYADAAWEGGS RSAGSAGEAPRAPGMKYKHYSPRAKVVLFEAGGKSGGEGAAAAPIPTLSDVVTTEKST VGFIRTGGWDVAGGLKHSGLGQPLVNGEKKEEEEEEEAGFVVREGVLLDENGQEAGRL LDVDLGRDVKGVAHGLFGALRALDRLGADVILVEGVGDGDDMAAAVMNRLRKAASEIR T MYCTH_2088125 MSPGMSLYSVNAILILSSEDGSRIFAKYYTPPHQAAANTSGAEN WRANTGPAPAPSSQNPYPDKTSQTRFEKALLQKTAKQTGDILLFDNRIVLYKMESDVA IYVVGSPDENEILLYNVLLALRDSMHLLFKQSVDKRTIIENYDLVSLAVDEICDDGVV LETDPTIIVQRCSKAPSQDVNLSRIDPFSEQGVNNLAQLGKAKLTDWLRQGL MYCTH_2295747 MSSPAKRSTRSSATPSRATRSSQQQQQQQQQQQQSSPAAGPSNR PTAAERTPRQTRSSQLASSPLFYQSSSPAPGADRPSSPLRQMSNSQSTANHAPSSPLR QQTETQSAGDRTPRPSARLIGDSSPIRYDPSSSPGRQLTQQSDLRSDSSALFVSSRRS DVARPYRGDINSDVIRTPRVPRRIILDDTGRVVRDGSALGSDAASFANNNPHTSEADA LGGPSQSLVWGTTVSLEDSFASFRDFLRNFTKKYRMWADGATEADTSGNPEADSKPYW EALENMLLLGTNKLYLDLRDLKAYPRTVKLWHQAQAYPTEIIPVMDQCVHDCMIELAQ AEMASQRAASHSAAAAAAANAPQASQSSEIAFPSSDRGEDSSTPRPSQRDQMTLEDQV MKQQYLVRPWGLEKTINLRDLNPSDMDKLISIKGLVIRTTPVIPDMKAAFFKCSVCGH SVTVELDRGKIREPTECPRARCKQKNSMQIIHNRCLFEDKQVIKLQETPDTVPAGQTP HSVSVCVYNELVDFCKAGDRVELTGIYKVTPVRVNPRMRTVKSVHKTYVDVVHVQKVD RKRMGADPSTLDLAEEEEAHTNGQSLDEVKKVTPEEEEKIKATAARPDIYDLLSRSLA PSIYEADDVKKGILLQLFGGTNKTFEKGGSPKYRGDINILLCGDPSTAKSQILSYVHR IAPRGVYTSGKGSSAVGLTAYVTRDPETRQLVLESGALVLSDGGVCCIDEFDKMNEST RSVLHEVMEQQTVSVAKAGIITTLNARTSILASANPIGSRYNPDLSVPQNIDLPPTLL SRFDLVYLILDRVDEKTDQRLARHLLSMYLEDKPETAQSSNDILPIEFLTSYISYARA NIHPTISPEAGRELVEAYVEMRKLGQDVRAAEKRITATTRQLESMIRLSEAHAKMRLS QTVTPDDVREAVRLIKSALKTAATDAQGRIDMSLLTEGTSAADRRRKADMKDAVVRLL DDLTAGGQAVRYSEVARKLADSAGVPVEPADFAEVMRALEMEGAVMITGEGPRKSVRR VTASI MYCTH_2313564 MMNRALSIRSSNRSSSGTANPTSTTSSHQSGASHHRKLFSFHSL RDATHPPLSKRLHRLIKSENILVSAYETAARERISIATQLSEWGEQRQQPQQQQQHPA SSTSPQDGSGGGAGADPAVSDISDKIGVLLSELGEQEEAYAARLDESRAALKVVRDTE RSVQPSRDGKHRVADEIHRLKAREPQSARLVTLEQELVRAEAENLVAEAQLGNVTRQK LKEAYTLDFLATIERAEKQAILARHGLRLLQLLNDTPVVPGDVRPPYTHAAQARQVLN DAEDDLREWRLDTGFLGDDGTVDVESGGHAMPSAEEKGKQKETPQEQQKLADPGGVAE TNRENVPDADGGSLGGQY MYCTH_2295750 MKTSVVFSAFLALLSLASAASSDGKSSSTSTTLPATFKPPQVFK NANLVHIISVEKNYVKENINVLIQNIDKEAQDEYFVPFTADQMSRLGGVEVKDRKDSS AGPFVAEAVELDQESDIQYLRIRLPKPLAPGAQQTLGISYYLLKAYKPLPAAIKQEEQ QYLSFSFSAYCPSAYTTTKQKTEVKFPSSTIPDYTKLPGSGEVEEFPQKLGSKLTYGP FDEQPAGAVQPVNVRYEFNKPVTHVSRLERDIEVSHWGGNVAFEERYTLHHRGANLSS LFNRVKWQQSQYYQPSGTFALKEMKFPLRVGSVDPYYTDVIGNVSTSRFRSNKREALL EIKPRYPVFGGWKYPFTIGWNSDAKNFLRQTATGGFVLNVPFLEGPKQPEGVEYEQVQ VRVILPEGTENVKYYTTIPSQSIVEAGIEIHKTFLDTIGRTALVINARNLVDELRDRE LVVTYDYPLMSALRKPLIVFGSAIAVFVSTWVLGRVELKFDTGKKI MYCTH_2295756 MAVGTLADAPKDLISEIKHLEELFTVDTAKLKEITNHFVNELAK GLSVEGGSIPMNPTWVMSQPTGYETGSYLALDMGGTNLRVCQVTLTEQKSEFDIIQSK YRMPEELKTGDAEELWEYIADCLQQFIETHHGDVSKLEKIPLGFTFSYPATQNYIDEG ILQRWTKGFDIAGVEGRNVVPMFEAALARRGVPIKLTALINDTTGTLVASAYTDPKMK IGCIFGTGCNAAYFEDFGSIPKLAHVDIAPDTPMAINCEWGAFDNEHVVLPRTPYDVA IDRDSPRPGQQAFEKMIAGLYLGEIFRLVMVDLHDKKDVNIFAGQDVSKLRKPYTLDS SFLSAIEEDPFENLSETLELFQNKLNISPSLPELELIRRTAELIGTRAARLSACGVAA ISIKKGYKTCHVGADGSVFNKYPHFKARGAQALREILDWPEKKDPKEEDPIEILAAED GSGVGAALIAALTLQRVKAGIMHGILNKENFV MYCTH_2141686 MPIKQINTELGHSLPPEAPHNITFHIPGWDTAKALRRGDPELLS KLSSIYPRFGPWCEVRQLSTALHPLLDLPETHGLILFVHPDILQTAVAFASSSHRQNK AAGTDHRIAPAELLFRAVDIPLTLPLPPPEPQQQEEEEEEEGGKEESDGVVVRLFAVG YPLARAPGAVGVWQNYGTGVSSRLAAALLPAVRAGRARVLPWRADGATLLRLSPDGGA AGAGEGEGQAAAAVVVPPPEGTFLPLGKAHVALRRRIADLVANGAAAGDDGDGGVGNG GEGNGEGKADGDGKKGARVTAEDVWLYPTGMAAIYRLHRALLEARGGKGTVVVLGSVF HNTWHLMTENEAGMKHFGRCDPDGHVMEALEAWLEGEKAAGRKVAYVFAEFPSNPILV SVDLVRLREVTNKYSVPVVIDDTIGSFCNIDVSPFADAIVTSITKSVSGYANVMGGSV VLPPSSPHHAVLRSALAAQFRNEYFHADAARLLANARSYVARSRVLNRNALALATFLD DYRRRSRASASASAEACPVAAVLYPPFTPTAANYAAVMRPHDAFVGGQQDGDGDGRRT TVGFEPGYGCLLAIEFASLRVARAFYDNLSVYHGPHLGAHHTLAFPFNDAIWGTDPEA AAYLRTYGAKAEQVRVSVGLEDEQELIDTFKAALEVAEKVRQDELAELEEQARSQ MYCTH_2295763 MKFGEQLRSSVIREYQWYYIDYDGLKADLKQPSGRILPPGDERA RPGKQLSREWTEEDESRFVSKLEAELDKVHAKQQVKAMEISRRIAVSEREVRDVVNRL NERGLGQEGPTEEEFMLLEEDLSDIIADVHDLAKFVQLNYTGFYKIIKKHDKMTGWHL RPVFDTRLKAKPFYKENYDASVVKLSKLYDLVRTRGNPAKGDSAAGGSQANFIRHTTK YWVHPDNVTELKLIILKHLPVLVFNASKEFEEEDSAITSIYYDNPDTWELYEGRLKKT EGAEAIRLRWYGGMQNETVFVERKTHREDWTGEKSVKARFSLKEKNVNAFMRGELLPA AIFEKARKEGKKSEKAIAEDERLAREIQWSVLKKGYKPVCRSFYHRTAFQLPADARVR ISLDTELTMIREDNLDGTRRSGDNWRRMDIGVDYPFSQLPPGDIVRFPYAILEVKLQT QLGQEPPEWVRQLTSSHLVEAVPKFSKFIHGVASLFPDRIHLLPYWMPQMDVDIRKPV THDFGIKRLENSATTSISEDEDDDDDDDDYDSDDDQRPVTSTGQTGESAGASITAVRN GRAAGSTAADVEDQTVDRPPPGEDTYLYDSEDEDDEDRLEEARRVGGWTYYRTRMSTS LNAAARGTWWLLKALVPRPRATEMPRSARLESLLGTGEIQQRRFKAPKGKKIYVPVRV EPKVYFAAERTFLGWLEYSIYIGTIAVTLLNFSTEQGNKLSFVAAGAFTVLALLSLGY SVAIYLYRSRAIREHRVARYYDKVGPTVLCVALFVAVALNFAFEGKKRELW MYCTH_2295764 MAASQAAPPDDSISARISPTCPPETQRVLTAAWAHDLAAVKKLL DAPGKASVQDPTTGETPLHAAIRSCGPRSDGNDGNDENEDPADLEAAAKVVHELLLWG AIWNDVDDRNETPGCVAHRLGRTDLYNLCVEAGVRAEMLFGLMEGYEELRSADGEEEG EDAEVVDIDMDADAGADTVMVGEDGDEAPQLVNVESNGQNGTAAGKDDEEEEEEEDNK EEDNKEEEEGGEKQVEETGAEEPRFRPPRPDTEAEVTSEAYLRSKLTYSDGKLVDDNG NGVMMAWETDIMRRSVEALLPNKEPGKRILNIGFGMGIIDTMFAETKPSKHHIIEAHP GVLEHISRPGSKFGAAWEESGPAPGAFKVYKGKWQEVCQQLLAEGQVYDSVYFDTFGE DYSQLRLFFTEFIPGLLDGQGRFGFFNGLGADRLVCYDVYTKVVELHLADAGLDVDWE VIDVDMSNLAEAGKGEWEGVKRRYWTLDKYRLPTCTFLG MYCTH_2295767 MAPMQAPAVLSLVHLQNCLVNLPPSLASLLSNANTPAQNVVVEL RYRARAPTPGGNPDPENNPSIKHIFLGWTGMSSKRRVAPIVGRDGIGSARDARDQDAG AVELDATLATNLGLTDGQKVTVTLHFDPPMVHTVNIEPLTPDDWEMIELHGTFLEDNL LFQIRAVPNPAYAAQSRLPGTYTHPLTLHLSPTSTANIKILSLEPAPPANTPFAKIAP DAEVIVAPKTREKQRSSRDDRSVGGASRKSGKSSTSTARRKSARQEKKPVLFFRGVDR KSCQEWFDDEAPVEALSVWVDRDLLSGKDLKSVKWVSVSVVRPAGLQLPLDPASQPQE ADPAAKASTKIVASLLPWDEPPDGQTIALSSSLCAALGCEGMVGGVVKIEPAPAQVPR KASDQDGISREPVQKLKIYPFQSTKPAQFSGLKFGGQSKAEKDEAANQVKHIYGPEGN GLLLGPLTDGQVLGVHDGLRCPRGWEGAIVRFEPSAAPQGQGKKALSWILGSEWKLPI VVEPPVPKPTWFSDFETDQVESSDTLLVGIDSLLGKLKSHLSHMSSVLLTGGQGSGKT SVARAVVRALRSEQLYHTTYFPCTRLVNDESRISTIKETLTRLFMAASWGARLGGRAV VILDDLDRLCPAETELQVGNENGRSRQISEAICAMVRQYCGRDSNVVLLATCQGKDSL HNVLVGGHIVREIVDLSAPDKETRRRIMEALTKQGSVSPEEVVEPGGDDGSRPTTADG SAAEGDGDGWMDGPARPARKSSGHKPSGFVLDEDLDFLDIAGQTDGYMPGDLIPLISR ARNEALSRTVGESPDADASVIRLSRADFDNALKGFTPASLRNVTLQSSTTTFASIGGL KETRKVLLETLQYPTKYAPIFAQCPLRLRSGLLLYGYPGCGKTLLASAVAGECGLNFI SVKGPEILNKYIGASEKSVRDLFERASAAKPCVLFFDEFDSIAPKRGHDSTGVTDRVV NQLLTQMDGAEGLSGVYVLAATSRPDLIDPALLRPGRLDKSLLCDFPTLEDRLDIIRA LAQKVKVADEVWGSEEHMLELGHRTEGFSGADLQALVSNAQLEAIHDVLNDREQQSAG ASNARRGGAKKSLSASAASKNFVQFRYGEDDAAALGDSSATSAPRTRAAQLAEQAAIA SKLEGIRLAKKRAKQQLAKGPAAASGAVNGLSNGDVTAGSGSGSSSGNAEVVIRWEHL VKALEGTRASISVEERRRLEKIYHEFVVGRSGDMKDGQGSMEIGGRSSLM MYCTH_2295768 MALLQMKAPVDYQVQQAAFESFLSDFKTSPQETITHALGNINID EDDLSDEYDFMDEDDDAQQERRRQRVQKQQPRHKYREMLQQLADRKISQVVIDLDDLY SYEKELNEGLKLVEWIEQNTKHYVEIFSRAVDKLMPEPSQDITFKDDVLDVLMANRRQ RNQMLEGIADRESDPTILNDQYPAQLTRRYTLVFKPRTSTPENPVKALSVRQVRGDHL GHLITIRGIATRVSDVKPIVQVSAYTCDRCGCEIFQPVTDKQYGPLTLCPSRDCKENQ AKGQLYPSSRASKFLPFQEVKIQELAEQVPIGQIPRTLTVLCYGSLVREVSPGDIVDI SGIFLPTPYTGFQALRAGLLTDTYLEAHHVVQHKKAYEDMEIDPIIMRRINKFTQAGN QYEYLAKSIAPEIYGHLDVKKALLLLLVGGVTKEVGDGMRIRGDINICLMGDPGVAKS QLLKYISKVAPRGVYTSGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCIDE FDKMDDNDRTAIHEVMEQQTISISKAGISTTLNARTSILAAANPLYGRYNTRLSPVEN INLPAALLSRFDIMFLLLDTPSRETDAQLAKHVAHVHMHSRHPDLGTADGVVFSPQEV RAYVAQARTFRPVVPQAVSEYMVKTYVRLRNNQRRAEKRAAAGGRDGQASNNFGHTTP RTLLGVVRLAQAQARLRFSNTVTQEDVDEALRLVEASKESLAATDERAGGRRALNASS KIYNLVKGLADSGACRPDDVDEDDEDADGQGYGVEMSLRKVKERVIAKGFTENQWLAA LEEYTELDVWQTAGNGTRLVFITAGNGEREGSEDL MYCTH_2295769 MSAPNCLRCLVRPSARVPVSVPRARAPIVPIASIAPITAPIAAP IAASRPAPFSTTSANAKPKQAQNEPASKRAGKKLQLGKFKKKDRIAEKGRPPLPGERK AYRKRITLSNDNAIPVPWLTDLGPADLATSENIAKVMALPEELQDQLRASEAFKPTQC WGMFRKPAVLVRKETVDLTNRMQEAAANRQILRLVVTGDKVAGKSLMLLQAMSHAFLN DWIVLHFPEAQELTTACTEYAPIPGTDPVQYMQPVYVLKLIQAFRRANEKVLSKTYTT QAHPQLPQNISANTPLLTLANLAKEPDNAWAVFQALWNELTARGASRPPILISLDGLA HAMKVSDYRSPSFELIHSHDLALLRLFANALGGGAHFPGGGAVLAATSRSNAPRAPSM ELALAQREAEQRLRADVPGVRVPQKDPYFRGYDERVEAVLRSVQVLRLGGLDKLEARA LMEYWAASGMLRATVNERTVTEKWTLAGHGVVGEMERAALLTMRI MYCTH_2295771 MSTETAQKPAKLPVTVDKPTPYNFDLGLLLANDPNPVAIPASCA GDRAALEAHLASVARDGAQVLINQLLTTCAVSSTPAGVLLSLPAPQTALPREKPVPAP KPETKWAAFAKRRGIKPKTREQRRNLRYNEETGEWERKWGYKGANKAGQDDPIIELNP AKEAQRKEGTSVRGDKRREIRERVKRNERRMRRNQRIAEGRK MYCTH_2295773 MGRKPAPQPLSLGETTRPSDDSRQTDTGLRSARLPPDTASPRSP RSAVRYGPSQADIASGEPKPLADVLHQPQQSLPVEQQDEQRPQQQRQRRRLDDSGYDP PPQPSPRDRPPEDQQQQQQQQQQQQQQKQHNHYQRQQQQPGHRHPRRGEDKASKSGFF FIFGKGAKTSDRPVVHQHSNSRSEVMSRDLDRQDLSQQSTRQPDPSQQDEPALKPNTP LPNKSEVSLASTADSDNPGNSTSSAKKSKPKPFNLLGRSRSTKDKDGKESKDDKDGKD GKENKDSPSPRDHVHPVAPAPVKVAEPKRPSTAVAAPKTAPLQTLDRSFREMMSSAVR NHSAERSQTRDGGGKGKGQENQQKDHASSSSSSSYTPTPGAAFLSNLKHSRAAGMLSN RFFGSSRHEPPPPKEPVVDDEHYVLKVINLPLVEQTRLTRISKRLEDSRDKTEFWMPA FPWRAIDYLNYKGSEVEGLYRVPGSGPQIKKWQRKFDEELDVDLFEQPDLYDINIVGS MLKAWLRELPDELFPKEAQERIARECAGAEKVPDLLVEELSQLPPFNYYLLFAITCHL SLLLAHSDKNKMDFRNLCICFQPCMKIDSFCFKFLVCDWRDCWKGCKNEAKYIEDEYA LFNEPPPKGISSGSKKPSSSSTGDEDKRETRTASSSDSSKSPNRGASEQKGRIKRKPL AETESAETSSTVSTTITVGSEREATPAKPGDLRPLSPIKPLSPLNL MYCTH_2295774 MSQSSEQLPGELRLATSAHVKYIQSLDTRKDEYDYWLTEHLRLN GLYWGLTALHLLGHPDALPRAETIDFVLSCQHESGGFGAAPGHDAHMLSTVSAVQILA MVDALDELDTRGKGKAQVGRFIANLQNRETGTFAGDEWGEEDTRFLYGAFNALSLLGL LDLVDVDKAVSHIAACANFDGGYGVSPGAESHAGQIFTCVAALTIAGRQDLIDKERLG RWLSERQIAGGGLNGRPEKKEDVCYSWWVLSSLEMIGKTHWIDKDQLIAFILRCQDPE KGGISDRPGDMVDVWHTVFGIAGLSLLRYPGLQPVDEVYCMPKSTIARVLGR MYCTH_43484 MAAVAPMDLVVAAEPASIPLRCTLCPKKPNFSDLSHLLTHISSK SHLAHRFKAELKARDDRAALEAVRQYDLWCDRYGINGLLAERMAAKEQRKTGRRVRNS NAANNKPALAAASEQNLVKPDPEEFVAHSPAHWSTARGTVQEGHHERFDSSNYPTSSL KRSRSDRSVPWTPENETRSRYRRRWPSEADTTDSAPASDFLSESTEFCDENDTSKLKG VKYPGMGLFDSADEAQKRMRNQRKDDSVLKQMEETSSGIVPNEFVWGEDGQFQRIRDI YASPSIEGSPDRKFEDRDAPKPKRGRRSTTAASATATSGPARRRSSARLARQAPSRNK HAQQGDNSELSVSVDSYDVFRDPPKLSPARTESSPGGSGFDLRRRPALQSLNSNMPLT SAGQKPHKLVPYVAARENGSPLFTSQPPVPTSSYFQHHHVMGAGTFNPLYVQGRGSFY NPYGYSNFGADAKSSATNFQVINSMNLASMPFNAFGGPFASDSAHERVDQDFDL MYCTH_2295777 MAGPSRAPEKRQASLTSFFTINGSGKKKTASAEHSDKDKDKDKN EDEPSRGTETEKSASLPKSKATQKRPLQDDAANGNREPSRAAKRAKAAFDDDDYDEEE VSEGGSTASADASPSRAPGAERLNGSSRTGQYAYNAGPSAADSIGPDVDDDDAAAQRK KEELHRKFVKKLGHPDSLSLFRRREFQGSGECPGRDGDEEEEGGDVDDDEPAPTAKAK KKGAKAAGKLTPMEIQFLDIKRKHMDTILVVEVGYKFRFFGEDARIAAKELSIVCIPG KFRYDEHPSEAHLDRFASASIPVHRLNVHVKRLVAAGHKVGVVRQLETAALKKAGDNR NAPFVRKLTNVYTKGTYIDETGELDQPGEGTGAPAGGYLLCLTESPAKGLGADEKVHV GIVAVQPATGDIVYDDFEDGFMRREIETRLLHISPCELLIVGELSKATDKLVRHLSGS STNVFGDRTRVERIPKSKTMAAESYSHVTQFYAGRAKDGDKRSTAILDKILKLPESVT ICLSAMITHLTEYGLEHIFNLTKYFQSFSTRQHMLINGTTLESLEVYRNATDQSEKGS LLWALDKTRTRPGRRLLRKWIGRPLLDRERLEERVAAVEELLENQSTWKVDKLSGLLS SIKADLERSLIRIYYGKCTRPELLSTLQTLQRISMEFSRVKTPADTGFKSRVLSEAIC SLPGIGTIVSGYLNKINPEAARKDDKYTFFREGEETEDIETHKLGIAAVEADLDAHRQ DAAAKLSKKTPVTYVTVAGIEYLIEVSNTDLKHVPASWVKISGTKKLSRFHTPEVVRL MNERDQHREALAAACDAAFADLLKSIAAEYQPLRDAVSSLATLDCLLSLADVASLPGY TKPSFLPSTSPPTISITSGRHPIAEHTLPDGYIPFTTALTAPCPLAQLITGPNMGGKS SYVRAVALLVLLAQIGSYVPADAMSLTLTDAIYTRMGARDNLFAGESTFMVEVSETAA ILRGATPRSLVILDELGRGTSTHDGAAIAHAVLDYVVRETRCLTLFITHYQSLARVAE GLGGAVRCVHMRFEAATRKHGTDGRSGGRRDDQQDDADGDGGGDGDEEITFLYEVAEG VAHRSYGLNVARLARIPRRVLDVAARKSREMEEGVKARRLQGAVRLLQDVMLKGAGDD HDGGDGEQESQLDQLVSSIEQL MYCTH_114107 MGIFAFNKQKPNAEATAVAQEEAPQFERVDWKRDPGLRKLYFYA FVLCIASATTGYDGMFFNSVQNFETWENYFNHPTGSKLGVLGALYQIGSLASIPLVPI IADRVGRKIPIAIGCVIMIVGAVLQAACRNLGTFMGGRFLLGFGNSLAQLCSPMLLTE LAHPQHRGRLTTVYNCLWNVGALVVAWVSFGTDYLKSDWSWRIPALIQAFPSVIQLLF IFWVPESPRYLMAKDKHERALAILAKYHANGDANHPTVQFEYREIKETLRLEFEASKS SSYLDFVRTRGNRYRLAVLISLGIFSQWSGNAIISNYSSKLYDTAGVTGSTQKLGLSA GQTGLSLIISVTMALLVDKFGRRPMFLTSTAGMFCTFIFWTLTSGLYEEHNADGARYA MILFIWIHGIFYSISWSGLLVGYAIEVLPYKLRAKGLMIMNLTVQAALTLNTYANPVA FDAFEGHSWKLYIIYTIWIFLELCFVWKMYIETKGPTLEELAKIIDGDEAAVAHVDIK QVEKETHINEEKSV MYCTH_2295788 MVSPNRPSINHSHHIVATPSPRASSSNRSGFVQVVVESPFSLPP HPLTPLG MYCTH_2295791 MRLSGLQKEMLALYRQCIRECRKKPEANRKHFQAFARREFEKNI KIDKRDFAAVEFLLRKGRRQLEIYSSPGVKDIK MYCTH_99239 MPSFSRLVRFLARDGRTYYGDAILPRGVTDISKARQAHIIKGDI FGKHDVTDQVVDIRTLLAPLAPEDVRTVRCLGLNYAAHAKESKMPIPQYPVVFYKPAT SLTGPSDPIPVHPMAQQHTGLDYECELVAVIGKPCSDVPESRALDYVLGYAVGNDVSH RDWQLKLGGGQWSLGKGFDGWAPWGPGIVSRSVIQDPQALAIRTRVNGQTMQESTTAD MIFGVAKTIAFLSQGTTLMPGDLIFTGTPQGVGMGRNPQVWLKDGDVVEVELEGVGTC TNKVEFSPAREAKL MYCTH_2141696 MAAPEVSHGRGGAGNINPDDTKYVDGEVVRVGAEGSHGDGAFST GRGGAANIGDAGQKQGTRLDKDLVPEAAVRPSQDNADYHVGRGGAGNERHVTKKSEEA GGASGEGLTAPVGLADKLKKKLFGVFTKKN MYCTH_2295798 MFGSSVGDLGALLLVCSPCLLVLVVEDTRRPDRMSSPGWILSYL MQSPRHPGYMLVKLSPPCERGWLRESGNQSGWVVGCADHGPL MYCTH_2295799 MAARNEPERPPLTPRLVSRVSQLSKRSFLSHSSSFEADDERSST GSLEDFGIMSRSRSRSDSGGGGGSSSSSSSSTGAPPPPPRYPGDDTRPTSSKELAGWY AYAFAAEVYVICGQWFSRVNE MYCTH_2295800 MEINTASFAMYTFSVSVLIQALLVVSISCAADHGNYRKKLLLAF AWIGSISVMLYIFVSSNLYLFGAFLAIVSNTSFGASFVLLNSFLPLLVRHHPEVTRQE ANLLSSSSNGQGPQHHVSTDDEGTLADSTAALLSRDTLEDGYVMSRVRTREELTSHEL NLSTQISAKGIGIGYMAGLFLQCVAIFVLVRMKNTTWSQRVVLFVIGAWWAVFTVPAA MWLRPRPGPPLPAASRSSGIRAFLSYTLYAWRSLFRTVQLARRLVDIMLFLGGWFLLS DAIATTSSTAILFAKTQLHMEPWALGMINVISTTAGIAGAFSWSYISRQLSLQAPQTI LACIGLFELIPLYGLLGYLPLVRSWGVFGLQQPWEMYPLAAVYGFVLGGLSGYCRSLY GELIPPGSEAAFYALYAITDKGSSVFGPAIVGAIIDASGEIRPAFWFLAALVGLPAPM IWSIKVERGRREGEKLAEVIEGFKVAQNGEMESDQESRAILDAYDEETDR MYCTH_2295802 MASARKRPRVEPEDNRAECPFTIKIVDPKDKEQKKKKRRRTENG DEEDAAQRINLQLSPFAPTGKFKTHETMDLFYQVDPAKKWTDMTRYNSFVLNGIKYFS EGFIYVANDSSIERQKAVNNNEPIQYRKKSDDDWVARILEIRASDEHHVYARVYWMYW PDELPQGTHDGKKIIQGRQPYHGMNELVASNHMDIINVVSVTSQAQVKQWFEENDEEI QNALYWRQAFDVRTYELSSVELVCSCNTPGNPDKLLIGCTTEPCKKWMHEQCIIDDVL RTVYKRLGTDKPQLAPVATKKEETGDEGKRPLSPSEAGAEGAAEQSIDVKSEAAPSDA VHVGTKDKVEARPAATDDEDAQAAPEDSLPVRTAADQSSQQQGQQQQQQQQQQQQQQQ QQQRATSEITATTTDTPSKPAGSSKSASGRKPGRPRKKGAGEANGESARPWEGLFEAT LKTADIDPPLVEIRDLRPNVVGGEKIWTERIKCLLCGNQVN MYCTH_2075565 MRPLRAANSMLRGIATGSASRRTTLFAVRHLSRARAAPISHHII VTSSTSNCTSIITSPPTSTSTSSNWPCRGGPQSRLFSHTPACQKKKTAKQVLKRQKKN KRDDDDDADDDDAENDSSSSSSQPNNGSRAGEADGPDPFDFGDLQAAFDRAEKRFGEE LKKLRAGGRSNADAIGAIPVQPDKRSPQTTFPLRELATVAPLGGRRWSILAFEEASVK PIISAVQRSDGFGGQQPQRSADNPLELTVTVEPERADALARRAKDLCQAWRTRVRDDA HRRAELHKKWRADGLLLADDLHRLKEKLQKLQDERMRGIQAKEKEVVAAIMARG MYCTH_2031061 MSRHALRSALGRAAPSGTARALTLPIRTTTPASFFSTTSNSASE SSNKNNNTSSNDAKSNENTSSSSSIKSRKPRAQSAAVQRLAQLNNTTTTNNSARGLGE VRGIPAGGIDARSLRVSPDAAAAPGSGASGPRSRGAKKDSDKETTPESGKLVWNPEEQ AVLDRLDKGEVVPHTPKLTAETLTGYGAAVATDAPIGPAETVLRNMRLMTGGMAFNSD SGVTTDLKEVMKRYFYKKPIFVHSKGEREWIESAYPKLRLVGPNEKIKKAILEPAVLG KYEEPKFADITDVKGTMANYHSRTSTYMEADSQKFIDKVLSLLPPQQGGKPAAQ MYCTH_62925 MAAPASVENVDLRQDIDPNASPAGSIDTNTTPDTEFSPPESPLQ RGGPPKDARKLARTKLATLTTEEKVSLLTAADFWRTKAIPSKNIPAVKTSDGPNGARG GIFVGGTKAALFPCGISLAATWNKDLLYQVGQHLADEVKARSANVLLAPTVCMHRHPL GGRNFESFSEDPLLCGKLAAQYIKGLQEKGVAATIKHFVGNEQETNRMTINSIIAERP LRELYLRPFEIAVREAKPWALMSSYNLVNGVHADMNTHILRDILRGEWGYDGTIMSDW GGVNSTVESIKAGCDIEFPYSDKWRFGKVLDALKEGKIAEADIDRAAENVLTLVERTK GSDLTAEAEEREDDREETRNLIREAGVQGLTLLKNEGSILPIDPATAKVAVIGPNANR AIAGGGGSASLNPYYTTLPLDSIRKVAKQPVSYSQGCHIHKWLPVASPYCSDKTGKQG VSIEWFKGDKFEGQPVVFQRRTNTDLFLWDSAPLAQVGPQWSAIVTTYLTPPSTGKHT ISFMTVGPGRLYVNGQLALDLWNWTEEGEAMFDGSIDYLVDVEMQAGRPVELRVEITN ELRPIAKQKQFDMTHKYGGCRIGFKPEDKVDYLQEAVDAAKAADVAVVIVGLDAEWES EGYDRKSMDLPSDGSQDRLVEAVLAANPRTVVVNQSGSPVTMPWADRVPAIIQAWYQG QEAGNALAAVLFGLDNPSGKLPCTFPRRLEDTPAYHNWPGENLEVIYGEGMYIGYRHY DRVGVAPLFPFGHGLSYTTFEYGRPSVSPKVLGPDGGAIELVVAISNVGPVRGLETVQ VYVRDERSRLPRPEKELVAFEKVELEPGETKHLRIPLDKYAVGYYDTALRRWVAEQGT FRALVGASAADIKYDVAFEVKETFTWVF MYCTH_2295809 MARPYSSESSFTYPARHYPDRSTSASETLIYLVAQAVRALVRFW RERGRRLAYAALRSMAHRLRLNLTYNRLLSFPHLLVAAWVVLLLWGERWVFHSKVESC HWRNWENWPAGAEPHRVALVADPQLIDPHSYPGRPWPLNPLTMLITDNYMRRSYNQLQ SQLDPDSIFFLGDLFDGGREWKTAHGEFKDPEWGPHPKSEQKYLKKWHKTYGEFYWLQ EYARFVDIFLNPWLKAGAAQGDRPRRRRKLIASLPGNHDLGFGDKIKVSVRNRFETYF GEGNRVDVIGNHTFVSVDTVSLSAASSVEAKRHDLREIYEPVERFLDGLQKEKQKAVD RELRLLRGEVPKGQLKHEIEGLDKAKSPGKSTTSATPKVATPELPTILLTHVPLYRPP GTPCGPLRERWPPTKPPKGQTDPVVPDHRNAISVSAGYQYQNVLNEKDSEDLVRRVGN VVHAFSGDDHDYCAVTHSAAQANVPEITVKSISMAMGVSKPGFLLVSLYNPIDPATGE PLAPASPPQSDGEGEGAAAGGKTATLQTHLCLLPSQISTYLHYVTFAVICIAALAVRA VLVPVLGLTPFALDESSLSSPSPSPSSSFSSSSSARRRKSALLPVYKAKVEDYDEYAL PRGFSGSHFLNGANGGGGGGGGGNGNNVARGRSGSGSASVLPGGRGPSPNKKSHGHGH GHGHGQGHGKGSAARKWGWAGAGRARAAPRIEIPSEGEEEEEYYGAYGGGKWKARLKK GGRWQPRSVVPAVVREFWTTAWRVLWMAAGFFGWLAWKG MYCTH_2295812 MSTLKRKAGAEAGAEPKKAKQGSIMTFFGTPKPSSTAADGTAAS EPAPVKFDKAKWVASLTPEQRKLLQLEIDTLHESWLALLKDELITKEFLDLKRFLERE AAAGKKVFPPKEDIYSWSRHTPFSTVKVVILGQDPYHNYNQAHGLAFSVRPPTPAPPS LQNMYIALKNDYPSFTPPPHRGGLLTPWADRGVLMLNTCLTVRAHEANSHSNRGWERF TQKCIDLVAARRSRGVVFMAWGTPAGKRVLRVDTKRHLVLKSVHPSPLSAAKGFFTCG HFRAANDWLAQRYGEDGRVDWALVEGDSVLREPGKPSGGVEQKEEGADEKQEEEKEQG ESGKLEGDATVRDSEGNKEASKQTVVEKKEGVEAADGDENTAPEVPA MYCTH_2295813 MSLQKLLQETEYPPQRPALLQTSTYRVAMIVDTVSPTPFALLRR ANHFQYRDEDRALKEWSEYEDPIQALTEECRRVLRAIAAANQSQAVSSSKHSTSLRDA SWSRFEDIGFASTYEDEDTDDDPTSTSLRHVQGLRTTPASGRGDLGRPTTPSWADFLS SGFVDERGTPNILLPPDKALPPIDTGTSRQRSSQSHRPRLESEQQLEPGELASIVRFD LDDAFWWVWMSSLAPEETPERKAVFGRCAVIETIIRTGRWLVMEEIVKGAAPDPEEGA YIAEKKGFFSWTRRGKGLNRRKSTGKHALEKGDGHLKASNTMGFSKTSIGPDQQAKIQ AAALQLQRQEQQKAQQQAQEAQERRGRKDTDWLAEKTNSVLTLQPSILNEASPAVKWA SKYDKEAIREAYLADANAGRGVSTTSLTNGHAAHTSDDDEARPELPSKATAAAHRDET PTPGAPNQEKKGLEPPQEVHPAERAQSPVPPPKEAGALELAREQMVSPEPGVGPDGQK HKKLHKEAAKPAAAGGGGALKKLFSRKNRNSKLPENAAEQLKSLTAAAEESSSPAPAS PAAAPAAPAAPAAPAAPAAPTAPAEAEAAAAADPVGPAAPASPAPPAAPQKTTEREEA PAPPSKEHPAVLRKPQPVPEPAPAPKPSAPQEVSPVSTDNNVVNKDEFSRFDQGPLLD QPAFVPEDSTDKDEAVPPPIARHSPRVPSPGQQQQQQQQQQQQKPVVEEKKAAPTPAS AARATDPQDRWAQMRKNAAERAAYRQATQASRLSTSFTDDSSEETIESRVARIKARVA ELTGGIEGGPGARPPPPPIRR MYCTH_2136821 MAPFAATSGPGQGPGQNHDIIPGPDRADHHDHHDYTDYADPPSD PAEITAVQKMLSATSGSLLTGLLATPLDVVRVRWQSQSLSQPPAIDFTKLAFPTTLSR GGTTAFRPADLGVTACCREVFFTTNTSEVCVLGPRLPSSSLAGLQAPAPAPVTCAVEE TQQRTFTSTFDGLRKIARNEGITTLWRGLSPTLLFRTRLQAAQGTTTTTAGGRRGGHL ASTFRGIKDMVAVHGYRSLWRGLTLTLWRDVPFSGMYWWGYETIRGRLSDARESAAAA RSHGGLDLDLDLDRDLNPDGHRGRGERRLDDRPGPEADEPRARARARRRSRSRANENH ADTFTDSFIAGAASGAFASIVTMPFDVGKTRTQVFRDTNVSSSSSSSSSASSDAAAAG SGGAAKGVVGGPKAAATLAPEERNMVRLLWHIFRTEGLPGLFRGCVPRTLRVAPACAI MISSYEVGKRVFRGVNERALAERKGDLGGS MYCTH_2295817 MDILFSHYAVGGLPKSAMAEVERRTQDLLGSSSFQRMLELEKKY KNERARASHPLLPSSQSQPSTGLRSGPPPRPARPASSFPQEQFLMVNATRHSVIPHSL SITIPQAADDQRRNRQSEREVKEVDNESTDSGTPTNSEPSQKQVKFLAPENGGKKEEE DEEEKQEEQEEEEEEDDDDERSEQSSICQSPSWEGYGQRKKDKKLEAERRKREKERAE KEAKAARKRNTARLSKAPPPRPPVATDQESRPFALTAADRSMSDPLLVSRGGQQSAQS LHRPEEVSKMTVTAGDAQQSQRHRPGDNGPPQQQGIRRSVSEGPTVSIQQAVPAVHSQ HESRSAHDAFPQSASRTPRLRRTSPSGIRSNSLSQGATSANHSQETLTGTPGAEGARR NGYVLQQRAQAAERAMAGFMDEQLFVKIAQYYPPSGPSSGQSQHARRSSLTQEAKSAA LKLVGMKPPSTSARSALGEADPLTFKAIPYSSSIKTSTPTEGVSKSSSGVDSSPRQHD REQPPNSRAAVPRGMTDSAALERPSPSRNSINSHDIPTAGFDLGGHGKTSQNSNDFAA AAMAGSNGQHQPVEGLKPSVPLPPYYRLRALMQSRAEKKTSQAVVKVASESAASAPGA AVSDADRAKSVETRPNESPPASEGSSSSSAFEDGSQSPSPTMTPDTSRPQSAKDVLVS RSERAKESTERPGSRDDERTLRQSLKSSKSSIPRAANSETRRSIQAEKDDLCSRTALL IDTDCDTQSFVTIVSNLDKLDGTEPEPWRNTPRCRAEVQQRVEALKPVSRANNKVRDA EPTISPPPRSAKRSVSSANGPPAASSISSEERREGDGTARGRVRASQDGVSAPRSKDS PRSTGTRHRVKMTEEESDKRRRGAAERHQQDVQPKQKGPRVSEAVSDLRRQMDEDEGW FARGRAQRAEWVPSSVSSTSSAVASAGSVSPDAPTPDYQPPSNPYFADLSEAVKAHPI LGDMSASIGPPSPISLPSPLHQVPARPPAQNRADSAPGPSLTSNSTSRASTPSSRPSG GAAAAAPVSILKQPKSAAASDRPAVLSALPKHMQLQAGAAAAAAPIAKIFVECCSCKF YHDMPSKLYECMAKPDAVVEDKLLGISGAITTMVKCPWCQHNMSRACCAGYAAVVYLK EKLH MYCTH_2295820 MDIAIKEGSEDRTNHVILTITGGRPQGSSGTLPNRSTTCGNQPA APDRLDIHERSDR MYCTH_2122766 MTGGEGGLQRRLTGAARAGRTNAVPMVEDFEDASLGDMDHDVPP PAYGEHHDQLQFSHAGFAADAAVTTAGRVNIHLSEKNNRLSELLAPTLQRQLRADPSV PLPPPYIPPSLGGHPGQTPPPRLNVVIQIVGSRGDVQPFIALGQVLRDTYGHRVRLAT HATFRSLVEENGLEFFNIGGDPAQLMAFMVKNPGLMPGLDTLKSGDIKRRRREIEEIL LGCWRSCIEAGDGMGVAPPPHKRDEPLDERFLLPGDPGSRPFVADAIIANPPSFAGIH IAEKLGIPLHMMFTMPWTPTRAFPHPLADIVATDADTAITNYVSYALVELMTWQGLGD VINRFRVNVLGLEYMSLLWAPGLLNRLRIPTTYCWSPALTPKPADWMPEITVSGFFFL DLESNYTPDPELAAFLAAGPPPVYIGFGSIVVDDPDGLTNIIFSAVIKAGVRAIVSKG WGGIGGDAAGTPEGVFMLGNCPHDWLFKHVSAVIHHGGAGTTAAGIKAGKPTVVVPFF GDQIFWGSMVAKAGAGPAPIPHKKLTAENLAAAIEHALLPETQARARELGEKIKQEKG ADVGGKSFHQFLNTDNMRCSLAPSRVAVWRVRRSQVRLSALAAATLVKKGWLKYSDLK LYRSIEYNTDEQPWDPVSAVIIAFVGDVGALTMAVADFPREVFRVKKKGKEKGKEKAE PKTEAAKSNDGGSEAAPDCDSSPHDCPGSRPRTPSFSPPSAAHGSAETLSVTQPSSSA ASLSPLSPLSSHHTGTTSANASPPSGQSGSIPDQTAKPSPSTSKPASLDVAIAAGQGV GRIVGAGFRFYANTCLGLARGFRNAPKLYNDETVRPLEKVTGFTSGVRVAGKELGLKL YDGTTGLFTQPWKGAQKEGAKGLVKGFGKGIGGFFLKNSSAMMAVPAYTIQGLRVGVR SLFAKSSTNYIITSRVLQGEEEYAGATVEEREDVLARWQALRDELKGFYLLKQREGDK TEKGKAAAAATAAGEAEGGSAMEWFNAIRDGTKVGRLNLPSGPWNRRQAGSSAVGSTT TATTDSLSSAFAPTAASSASSNLSLEDNESLERAIQESVMQTSTGDKEEDARVEAAVR ASMMEMRRAVEQQQQQQQQQEQQLRRYSGQSWIPDQKLGHTDAAAGKTAMTNDEWTEI TDEEYQALIEEAVRRSLLEQQQQQQQEEEEEEEEEEEQHQKQQQYLAGRGDVQPHPEA AELPGDIPAVMGANVSASSTTTAAAPRPRDELEEEEQLRLAMEESEREHRSREAEARR QRTEEEIVLEYVKRQSLAEEEYRRKKMMATAAGKGERKSSSNSAVEEGQGVGGGGLKE EDGEDEELRRAIEESLRDLGSGGGGDSGPSRIR MYCTH_2295825 MPLFPSYETIQNTFGSPYLRGRPQTKPNASGPTPYQARPELYPA YSVVDDAKNKAHKLSAEAAKEFEAASQKAQQKTGKIELFSGKYYAACTVGGMLACGLT HAAVTPLDLVKVRRQVDSSLYKGNFQAWGHIFRTEGIRGIFTGWGPTFFGYSAQGAFK YGWYEYFKKTYSDLAGPEAAYKYKTALYLSASASAEFLADIALCPFEAIKVRMQATIP SQYKGTLDGFSKISATEGFSGLYKGLYPLWGRQIPYTMMKFASFETIVEMIYDRLPGK KQDYGKAAQTGVSFVGGYLAGILCAIVSHPADVMVSKLNAYRKPGEGFGAVTARIYKD IGFMGLWNGLAVRIVMIGTLTGLQWMIYDYFKIFMGLPTTGGTPPPKQLKQE MYCTH_2295827 MTDVMAQATQTQPGTSQPFVSKWASRYRGATVEDLDPPAALSLT PSDPISLALVSAFERDYTHLTIVDGETRALLGYLSIPHLQALLDAGRVRPEDEVRTAM IRFRRRGTKYKVITMQTPLEELEAFFEGHETGGQKQDFAVITDAARRFVLGVATRADL EEFVNRRPA MYCTH_2136823 MAAPANKNIGDLNGKWIMNKTLSSNIEPGLALQGVGWVTRKAVS MATLTLTVKQFVAPPSPPSDPANPPATHIEIEQTGTGGIKGSTEKRCLDDTFRDHSDW LFGHVRGKSRFLGPDDISDEFLRSGWLEGDDEKKGPNGETHVISYVESYDNGWTATQI WGFKTIEGVRRHVRNVLITKGSERVELQLVYDWVSE MYCTH_2295833 MAEKPSVLIIGGLGYIGRFLALHIHQNNLASEVRIVDKVLPQLA WLPPEFEEACAGSKFVQADASREQSLPRIFDRPDGKQWDYVFNCGGETRYSQEDEVYK LRSLNLSLTVGKEAAKRGVKCFVELSTGMVYKSDSAPSKETDKLKPWSKIAVFKLQAE EELAKIEGLNLAIVRLPHVYGPYASQWVATALCMARVYKALESEMKWLWTKDLRTNTA HIHDVVRALWAVASWYTGGGKDKWDAKAMGSPIPTFNVVDKGATTQGTMADIIGEVFG IETGFQGQLISTFAKLNLDSVVDDVNDELLGPWADLLAEAGITRPGPLTPFMEKELLK DTDLSMDGSRLEEVVGFKYEKPEINKELVEEVIESYKRMNWWP MYCTH_2088191 MTTPDPFDSALDLLRRLNPKHTAEHLNNIITLAPDLTEDLLSSV DQPLTVCRCKQTGRDYLLCDYNRDGDSYRSPWSNQFDPPLDEAGPGGVGPGGSNEGAG EGAVPGERVRKMEIKANEAFDVYRELYYEGGVSSVYLWNLDDGFAGVVLLKKASTPSD GASSGVWDSIHVFEASERGRTANYRLTSTVILSLATKGATLGEVDLSGNMTRQVEQDL PVENDESHIANIGRLVEDMELKMRNLLQEVYFGKAKDVVGDLRSIGSLSENQRDRETQ RELIGSMRR MYCTH_2295836 MTAPPNSAAQYREKPSKEARGPAPSAEKGSDSRLGEQLSDSLLA GLIPGLILLHLVVAPYTKVEESFNIQAAHDVLVYGTPTSDVYQRLSRTYDHFTFPGAV PRTFVGPVLLAGLAQPIIALVGFQHAQFVVRAMLGLFNAACLLVFARNLRRAYGAGTA RWYLLLQASQFHVIFYASRTLPNMFAFGLTTLAFAFLLPHPTNPKLTVYRQRISIALL VFAATIFRAEVALLLATTVLHQLLIPALALERVLFPFAVSFLTALAVSVPIDTYFWQA PRPLWPELSAFLFNVVRGGASGWGVSPWHWYATSALPRLLLNPLAYAVLLPRALADPA LRRAASRLAAPAAAFVALYSAQPHKETRFVLYAVPPLTAAAALAASALFRRRRKGPLA ALVVAALVASVLASFAASTAMLAVSALNYPGGEALAFLRRSVADSAGPADDTAAPAVV SVHADVLACMTGVTLFGTNADAPTAAAAAAAAAAVPPISGASSGGGVVGSSGGAAEPV MVHQRVGTTTTTGDRSRVRLVVDKTEDAAVLSSADFWARFDFLLVEDPRKVLGGPWET VAVVKGYGGIEVVRPGTKEKEEEEEEAKEESGDSIERKTPRVVGLGETVALWKRRVRD LTGGWWVGPKMVDRIYILRRLKDRDSPRTRIAVDAK MYCTH_2295838 MGNSSSKDAAAPAKAAGNGDEGLQSYPSFSRSDTRESSRSFRSL RSKIPGSSKTDSPRSSAVLASGDTPDKSDAASLKSGKSGRSPHGRANRSDSLPSPSSP PSPDTPTVASPPAEDIPPPSPIQSSSMKSGVHDVSAAQASGEVDQVSDQPPSGATNTD TAVQQPGKSILVKREDAPNPILGTVTPGTPKDESTSGIAMSDIKDIDLDDFIKRLLDA AYAGKVTKSVCLKNAEITAICHRAREVLLTQPALLELDAPVKIVGDVHGQYTDLIRMF EMCGFPPKSNYLFLGDYVDRGKQSLETILLLLCYKLKYPENFFLLRGNHECANVTRVY GFYDECKRRCNVKIWKTFIDTFNCLPIAAIVAAKIFCVHGGLSPALSHMDDIRNIARP TDVPDYGLLNDLLWSDPADMDQDWEANERGVSYCFGKKVITDFLAGNDFDLVCRAHMV VEDGYEFFTDRVLVTVFSAPNYCGEFDNWGAVMSVSAELLCSFELLKPLDSNALKSHI KKGRNKRAQMLNSPPAGLHPQSV MYCTH_2313584 MASFGRFVCVLVPFLLTLASLIALLVAGLAGIADKSLYMFRLNL TDLSLSPQSVSSILDGSGVNVPDLKDVDLPNVDFPNFQSGKRQVSSSGNLTAADLGLY DVYDVNVWNYCYTTRNGTRDCTKGSFDWASTALNSSTNDFNNMLTATGLNVTLPKEIT GAVKTFGKVSKWTQVVFIIAYVALALELLFGLFANCSRAFSCVTWLIAAVAIVAAGAA AGLATATATVVVGAVKASPDLYGLEAHLNNRFLAAIWLGVALALAAGLFWMFTICCCK PDHSSSRGYGASEKFIPRSPGYQRLSEPGGYPSNYARPYVGASSQRADAYEPYSHARV MYCTH_2051745 SRVVTRLEHSYALSPVTALAFYEVGPDRVLLLAGEDTWLKVYDS QTCRLLGQLNVFHSQPVHGIYVSQSKRNGETKLLIWGGRSVTLLPDSSLQALITGQIA PPRPVESYASDWIYDGILFPDPDPDPDPDPDAAETSTPATTTGALVTAHNEILPLTWA AGADRDRRAGRLAFGLLTSPSRPILYSASLALLPEPGAILVAAGTTARPDGAAAVTPA GEEEAGAGTVLLDGARETGFGGGGDGGDGGDGGGGQGGNSEVGRESAGDSARCVAVAM GHVSRIWHVKFGRALLGGGSGTIEIYSFGEDCSRQKWELDLGPWREPGSERKVGTLRH CGASTCHDGKNIWSAAVLNRGAPIEPLTATGGADGKIVVSGRLGAAASSRVGTYEDLD ISLTFDEILGQVGGPDSTAVLPTNNKAAKHAFQRYAFLSDTVLAATAQGRLFLATMGH PLVWEEVRAPDSTVADLRPYNVVKSPAKDTAVIGSASGRKVSDIILLGGRAGDGQPWS VLVNILGLDHALLLRFDPSTNAATIDGRKVRLPEHYIVTAAAFCNDTLILGSRTGSLT VYATDTETNDFIPLASRKDCKTKDAITCILPIPGTPASQTSFSTTCRDGKYRIYNLVA SPHNASLNLQHEIVPPLNTLEGAFFTTSAPTASRQKSPLSSSSSSSSSSSSNHHNTNE KSQLILHGFHGPNFITYNASTRSIISSIPCGGAHRPFTTIACPHDPGQMRFVFSKAGE LRIVSQSAEGERVLRAGGHGREIKSVSAVSFSLPSASAGAGNQVSEEGQEGGEPEPRE ELIATAAEDTTIRIWRHHRLAGPNEHGEHNEHNDDDKDDVYPAQNPSSQLTCLAVLQG HSAGIQALRFANGGSHLVSSAGSEELFVWRVSRIRSSAFDALAVVREAAWGDDDAHGR SPDKDLRIVDFDVASYSPSNEPTTSPLLLITMALSDSSVRSYVYRCCPPEDGSAKATD DESSAASASAATAAAAAAAASPPRGRFSLLATGRYTGACPTQVRHLRVGKTWVGGAGE AETETETETVAEPEPELHVLTAFTDGHVAVWKKEKKKKNQNPTAASSGGAVSSSSSSS SSSSEFCLALVVRLHQSSIKSLDLSSRRRRCWLVATGGDDNALGLTELAWDAAGAAEA GYVVRARYRVRDAHAAAVTGLALVGGNPEHGVVEIATVSNDQRVKLWRAERRREKEEE EEEEEEEKEVGDDPAGGMRVALLQNRYSSVADAGDLELVAPGKLMVGGVGMELWDISC PGGATGMNRCV MYCTH_2295845 MLNFVPHLRDVDPNSEEEIKYSNWLRDLENLDTKSGFQIQNRAQ KLAKRAQNEYAATLAPYLEPWLRKLAIDGCTKSTLIRYMANQPQNEDAITPQQKSNLL DTHSEDIGSPHAVRGAKLFTEAFDRVFNDKRSLRRVALRDILMLDRSVEPILDNKRAK DGPSSQKPRDEALMQKTVESLGSYSTLGCLICFSHDCEHGEIERDNQKRCFSLEEIGG LAPTLRRKWASQLEAQRASQMNGAAANRPTHQPCRNQCYRSYNTGHPDQEVEPWSEND IGVLEWTFAAIGHSSSLKAQCLVGAILGRYCWDVHRKLQELDLTLPPVELPSEPPKPK PVPWYDRKKKQLIGDWQDATITHEHAVRELFAPCHHDGPCTAANGCPCASAGSHPVLC ERFCLCTAEECPLKFTGCACHSSGKTCLQRQKEGRPCICVQLNRECDPVLCRGCGAKE RADPENAYDEQLHSTGCQNVALQRGASKAVVLGKSQLEACGYGLFAAEDIAQDEFVIE YTGELISHDEGVRREHRRGNVFDEENKVSYLFTLLEQEGIWVDAAMYGNLSRYINHAS GNCNIMPRIMYVNHEYRIKFLALRDIKAGEELFFNYGDNFPNLTKKLVERSNEKAGES NKSNAGQKRKGGAQRATARKTTSKANGEGVNFAPDGRSDDDDPWFGEVPVIEDDDDDV GDEWGEPGPKRRKKRGGRRPGAGRKKKQPQPPVPAEAADEVSPGSQLRNESGAANGEG ALPATPTRRRSLKRSYSAMLNPTQSFSASDPGSAAPAEPVKKVSKRGGARPGAGRKPK HRPPGAQKPGPKTPAQAGQNNSSNNNNNNNNNNNNNNNNNNEADRSTPKKAAVQAAGS TPGRPERNAAVSTPQSGSHGKAPPGSGGTRKKRKVAEVEEAEDASISSSSLAAASGPY RHDTFQPIDDAASTAGSRGSRGSRRGSRAGHTGGEEEDDDVVDRSARKRQKPLRYRDD EE MYCTH_2295846 MSPAVGVVVDLTMDTSPSDTSAADEVVVAAAHTVSVDSTNGDSI PARRSSISASNTQPRNSPSAAKPGRAQSPQSAASQKRAPNAPPAGRQAQANDSAPPRD ARLSARDEIRDSQSPPPSVQSAAQQQPHTPQLPNQPSKGHQTPGTQTPKETEWTVDKI ASALAELSEQVAQGHARLVEFVLEEAEKKARRPRHLSDSDDFADMRSIALDSSASAPQ GVETMAVKFKASCGSSGEGSDRQG MYCTH_2088202 MHRTYSMRATRAPTASQIQNPPPPPSSTKSGRLFGKGGLGHALR RNTAGAFGPELAKKLSQLVKMEKNVMRSLELVAKERMEVAQQLSLWGEACDEDVSDVT DKIGVLLYEIGELEDQYVDRYDQYRVTMKSIRNIEASVQPSRDRKQKITDQIAQLKYK EPNSPKIVVLEQELVRAEAESLVAEAQLSNITREKVKAAYAYQFDALREHCEKVAIIA AYGKHLLELIDDTPVTPGETRPAYDGYEASKAIIQDCEDALTNWVAQNAAVAPKLSTR ARALSQRRRNNIRARAEGHLPAHGHDLSAQDAPLNDRDSWAAPAGQHEYAEDEAGAAE EDDDLDAPSHSAVDTEADLNGERRGRIHEPVAA MYCTH_2295851 MSTSDSPPSPSRFSEQQKEEAASFLRSLLNKNFRVTTTDSRMFW GTFKCTDPESNIILQHTYEYRYPSARQVSDAAAAAAASAAGSGDEGGGGQGKDKAKVK LDMTSRYLGLVVIPGKYITRIEAEEFVSQMPARKVKVLGIGEQQFGRGTSSSSPGEGE GEVQSGAAGVV MYCTH_2295852 MGVQGLWTIVQPCARPTNLSTLNRKRLAIDASIWIYQFLKAVRD KEGNALRNSHVVGFFRRICKLLWHGVKPVFVFDGGAPALKRATLQARRRRREGRREDA ARTAGKLLAVQMQRMAEEEEEKRRKRAAAAAGEVEDEHEALPSMDQIVYADELGMSKQ ERQKNRRFHKQDAYHLPELENGIEGMGKPNDPRIMSIEELEEYARQFNDGEDINLYDF SKIDFDGEFFKSLPPADRYNILNAARIRSRLRMGLSKEQLDSMFPDRMAFSKFQIERV KERNHLTQRLMHEMGMAGTDLTIGVNRVASDRNREYILVKNEGAEGGWALGVVSKEKD RGQIHKPIDVDALDFQYQGKTQDDEDELEDEEFEDVPIEGLNRLPKPKARDTDESLFV DEGAASLFEDGRVVQEDEDEDLNRAIALSLQDQHGVGAQKEAEVDEEGSEVAQAPQWE QKAVEPPKPIVSTSGRMVAHIVNNRANAAVPRRRDSESSSDSDMDLQSALAAARKKQS RVQPARAPEPAPIKPNLKNPFDGPLPFEKLDWRSTFGGGHATPRAEKPTLHQPSGEAE TGELEAESDEEAGGGFEREGPEEEAAADKSDKPRPLPPWLTDNSDIRESIRKQQELER QMNEEDEDMAAVRFGQDDVIEIESSSEDGSGLEILDAPPPANKDSITEMPGASLPSID DDAAKRDVSAPESETAGSATAIDTTGRGSANAEQFPEAQDNATEPAADESEDEDMEFE DVVVPATSVQAAERHSEDAQMSEEARIEAELFGDGTPPPAQRVRTEGLAPAHEDSAMD PDAPGPEEFDDFSDPEEEELLAQLAEEAEEHARFASQLNKKSQRENQEAYERELRALR TQQKKDRRDADEVTQVMVTECQALLTLFGIPYITAPMEAEAQCAELVRLGLVDGIVTD DSDTFLFGGTRVYKNMFNSNKYVECYLAKDLETELSLSREQLIALAQLLGSDYTEGLP GVGPVTALEILSEFPGRDGLAEFRDWWQDVQNHGRPKEADADSPFRRKFRKAHATKLF LPVGFPNPAVFDAYLHPEVDSNTEPFQWGVPDLDGLRRFLMETIGWSQERTDEVLVPV IRDMNKRDVEGTQSNITRFFSGSVGAGAREAFAPRQKGPGGSRRMVNAVSRLRAKTGG GRTGNGGEGGGLGEAVEMPVAPPPAPKGGRKRKAKAVVVAEDDGDDLEDGDYFNEEEA GKDDDDYDDDDDEGARSKGKGKRTKSAARKGRGKKSRAEA MYCTH_44469 MSGQAASNEGELSFGLEFEFLFYFKTPWADAVQGPDAVVVDEEE EARLPPALSLPDNVRWSHSDFYPLPDADHDDTPRGWATSLIRQAILSVPGARIRGEPM PDGTDPSYLDMYRFRLRVNVSSGLHCHVGAGLRKPQGVDGGGGASEGSDGDESDNSER GDHIVDEPGFEWVEEAIPFRGTKHDLGVFKRAAALLWAADGFLCHVHPPERGLSRYAP PLRLCSRLAHGLRLDPFEDANGYVQHYERRL MYCTH_2295853 MRWSTSKSVVGSLEGADVVTVLVGEEQREFTIHRKLLSDSCAFF KGHIDAIPSPSRQRKEDGEEEDSVLWLPNEAPDMFEIFVLWLYQRRRFPTFIDGATQG MCQDKLRTLRTNLVRLHLFAAIIDLPALQDAAMDAIQDMYLRFDWDMSPHFLAFLYGE CDAQHAVRLRKWAVAMLAWSLHSVDKPQARHDADKLFVAYPELKADYHMHLQKMAQSR ADVRIKNPQLRLPANGLRSGERLFGFRQCTFHSHRAAVGEGTCPHALALGQTPTPPPV PGPDKEEVESDKEEAEIISPVGNLNEVSYLDLS MYCTH_76670 MTLKKPTASTPAPGVPYYTPAQNPPAGTALDTGAQVPTLFTPLK LRDLTLQNRFAVSPMCTYSADDGHLTDWHLVHLGAFALRGAALTIVEATAVTPNGRIS PEDSGLWQDSQIAPLRRIADFVHSQGHKIAVQLAHAGRKGSTLGPWHVVPGRHEVATP DVGGWPDNLWAPSAIPWDEGYPTPKEITVAEIESLVRAFADAARRAVQAGVDAIEIHA AHGYLISEFLSPISNVQRTDEYGGSFENRVRILLDVVRAVRAAIPEGMPLLVRISATE WMEYTGGPSWDLAQSKRLAPLLADLGVDLLDVSSGGNNPRQKIELHPYFQIDMAAEIR AELRRAGRSSMAIGAVGLVTNAEMARSVVQADGSLAGRQDGTVEVDGEHGTKTKADLV LVARQFLREPEFVLKTAALLGVNVQGPVQYHRAPLKKEHL MYCTH_2295856 MFSCSQRTLTATKRHLDLRISEDAGHYLCDFIYYSSLAHLEKAG ERRRVLFLHVPSDASDHSIAVGRELLLQLVRSVAESELARREKEKSKAEGAN MYCTH_2295857 MSMLRASRQPWRWVRVAAVFCIVLLILSVARDSQSLSATTWASQ DDEASDICRPHGWKPFRTKDPGKPRKVYDLMMFNTELDHLEIRLNSTWDEVDFFVLVE SRKTFTSHEKPLTLLDNFDRFGPYHSKMIYHEIEYPPDFKPRRAWDMEDHQRNSMLTQ VFPRLTGRHEPQEGDVLVVSDVDEIPKPSTLRLLRACHFPRRLTLYSRFYYYSFQWLH RGPEWPHPQATYYQGLRRTLRPNDLRIADGGMRPFREWEKGGLANASWHCSSCFQTVG ELLGKMASFSHTSLNAEKYRDKQRIVDHVRKGIDLWDREGEMFDRVEGNTDVPPFLLE NRERFRYMLNRDGPTAGFTDVEV MYCTH_2029952 MFTGIVEEIGTVAELNRSDSTGGTSLTITLPPTSPLLSDAHLGD SIAVNGVCLTVTSFTPSPTPSFTVGIAPETLRLTNLGSLAAGSRVNLERAVRADTRMG GHFVQGHVDTTATILSRAPDGNAVTLRLAPASRDVLRYVVYKGFIALDGASLTVTKVD DEAGWWEVMLIAYTQERIVTAAKQVGDTVNVEVDMTAKYVEKSLKGYLEGLKEGD MYCTH_2295859 MSQNTCANPLFLEWVKEWWETAKERNSKGVTTYKHAYDSLKACP IAFEHPASLQQLKGFGPKLCERLTEKLRAHCEENGLPMPPHPNSKKRAGRPAAVQNGA EEESERPAKKAKKAKPYVPAYRSGAYALILALSKPGQEVVGMPKAELIHEAQPYCDSS FTAPSDPGKFYTAWNSMKTLLQKELVYERGRPLKRYALTDEGWEVAKRIRETAVPTAT ATTTSSLSAAASGSSRPNALPSMAPSTLPEPITIDDDGEDDKDVASPQPPGDLDFKNV VADGAIVTGDTALPNFTPIRLAPGTFTVELLLDNREIRAKTVREYMQEELAKRGVKPI VRSLELGDALWVARCKQPGWLARMGAEGDEVVLDWIVERKRLDDLVGSLKDGRFHEQK FRLRRSGVKNVIYLVEEVRVDATHFQKYEEAVQSAMASMQVVDGYFLKRTQKMDDTIR YLASMTKMLKSVYEAKTLYVIPTSVLTTKNYLPLLKHLRETRPSASHHISYPAFASLA SKSQNMALRDLFLKMLMCTKGVTGERAIEIQKVWKTPYDFVKAFEHCGPGEEGKRRKM DLVANRLGHLVGRKKITKSVSQKLAEVWGEA MYCTH_76680 MGNHDKEILEASAKHPIVPLEKGKFYTYGTAGFRLKADLLEGIS YRVGLLASLRSRKLNGQAIGVMITASHNPAADNGVKIVDPLGDMLEQDWERYATALVN APSDEQLVQVYNRLATDLKIDLKSPAKVIYGRDTRPSGHKLVTALADGLEATKAESVD YKILTTPQLHYLVRATNSEGTPLSYGKVSEVGYYEKLAEAFVRALKGRKINGTLQVDC ANGVGGPKLTELLKYIPKDKVNFDVKVVNDDVLRPEVLNFECGADFVKTKQRAPPTPK PQPGLRSCSLDGDADRLIYYWVDPESGFVMLDGDRISSLAASFIGDLVESAGLKDDLR IGVVQTAYANGASTNYITQHLRLPVICTPTGVKHLHHVAQGFDIGVYFEANGHGTVLF SPDALNAFKKKEPQSPAQKDALDTLAALGDLINQTVGDAISDMLLVEVILAHKNWSLR DWAMTYIDLPNRLVRVEVGNKDLFQTTDAERRLLHPEGAQDEIDQAVKKYKDARAFAR ASGTENACRVYAEAATRSEANELAERVARIIERYGAL MYCTH_2295861 MEEDIQERKRLRIPVPKYDAFSSGEPSPDPSLVPSKSYLETWRS RPKMEALELKETEENGNGEGESSEEKQNIAVKKIMDDALGNVTEDVQGGQ MYCTH_2295862 MLKDEVRTRSYMNAILQNKHIFKDKIVLDVGCGTGILSMFAAKA GAKHVIGVDMSTIIFKAREIVKVNGLSDKITLIQGKMEEIKMPYPEVDIIISEWMGYF LLYESMLDTVLYARDKYLAKDGLIFPDKATIFVAGIEDGDYKEEKIGFWDNVYGFDYS PLKETALSEPLVDTVELKAVVTDPTPILTLDLYKVNPSDLSFSCPFDLTARRDDFIHA LVAWFDIEFTACHKPIRFSTGPHTKYTHWKQTVFYLKDVLTVQQGEKIECSLHNRPNE KNKRDLDIKIEYNLATEDPNRQASGTCLYKMC MYCTH_2295863 MSNLFSGINARLRGATGKGSTKSPVSPSSDDGHLNPPRGLSQRS KSSSNLSAKASQMPASPSLPLTPGPGATGDEVLRSYRLPQPLPMWLNPVYAKHIVKGN FMTLSSRPKTVEQGEWMAHQVVEHYRNLWNFVHVVYAKEANGKSICNATTCPRMSAGP NHSYTWLNKTGDRYERVELPAIDYIVLMQRWISSKVDDTNIFPTDPASVSFSHNPNIA NSALLNNPDEWIGKRSGFPKEFAGICKTIFLQMFRVYAHLYWAHFVEPFYHLNLEKQL NSCFSHFILTATTLDLLKPQELEPMQALVDLWAANGTFPPESKAYEYANLKVGTRLLQ MAGMA MYCTH_76690 MKSPSLGEKVAFFDQLEALDYVSDGNDEMDEKERDHRDKCRAFF GSRKKAGAAAKRMPPTYRRRTASMPTPAATTPAGRIIEATPAARRPGIRNAPAVEPLP AETTSVVKETPVPDTARPPLPATLRRSTTLPTPSSPAVDQSPSASTALRKRKRQPSTK SVPEAAQIFQGLTFFYVPNNDIAPARKLRIGKAQEHGAQWVRNLANASHVVVDKHLEY KDIEGLLGSDARGSLVIVNEEYPIDCISFRTLLNPDQSRYRVTGFPGVKHKIDSDQAV PETSQSPHHSLQVKAPRESIRQSSRHSSSDIEQPSLPGRARDTQPDMAHKSSSAIAGS TSEAHIPQASLSHHQSCNPTRKAPSPPPPGTTSTKDELSECIELLQQYKDLPLDAEED DLQSVKDAEEASDSEIEVRSADERAHKRTARASRTATKEIPFEERFACNRGGTKDRSA DARNPNAHTIEILQKMCDYYARVNDTWRTLAYRKAIATLRRQPTRITTEDEAFRLPNI GRRLAAKIEEIACTNRLRRLEYANDEPLDRILELFLNVYDVGLSRANKWIAQGYRTLD DLRLKADLTPNQRIGVDHYDDLNSRIPRAEVAALFAYVRREAALLDPRVELLVGGSYR RGAESSGDVDIIVTRKGTSSSAELVPFLEELIAVLTRKGFLVATLAALHAQRPGKDGP GSKWHGCCVWRRIDFLLVPESEYGAALIYFTGNDIFNRSMRLLASKKGMRLNQRGLYK EVMRGKNRGKVTEGELLEGRDEKRIFELLGVKWREPWERWC MYCTH_2295866 MLTSSPTLSLAADVTFDFTFPSCGPATPPSFTFDPSLLEVPYQA GHSRSSSQASVYSFESSPADSVTAPSTARTTPARSPIRTHGPLLLPKIRSQDQAMIPP AVAPRPTKRARTSPAPALPSSSSSSNNNNNSNKSAYRPTHTRSLTNPETISFSSALSF VPDDSNPAPLLCSPVSFTRESSVSSTPAMHSRRASSCSLDNVAVENYFPSFQMPPAPA AAAYIPARASSSPTPPPQPQALPQPEPSFLYQQFVPQPASPSPLAPAAPITPAVAPAP ATTLYSYLTAPNPAPSLVRTLSFPLRDPHTKHFWWDVRQVRPWTDFTAASILSMPGAA ACLSAPIPSAVLPAPVATQRHPETEAALHSIYAAHYLPKLNAALALCSQRPLQLSVPP NKTAAYQNLGMATDCMFVANAAGESSSAAAIFGGKPTARVVGLVKSFDRFNTGMRAEG NVKRVEYLRGLAHLHHAMREHGCRYGFILTEIELVFVRNGTEPTPHFGFLEVGCVQLA AAAAAASDDLDVHDGLGPLVRPDEAKMTACLALWGLCMLAGDEPMPGHAHWKAEIGAP ADGTRRKALPRDAWMPQPQLAEKREAKRARGWILPECPVGRKEVGKRGVKYGAC MYCTH_2295868 MQPLNPFLSAFSKSPILAQCLPPQQHILLVPTADVLLNARDTET GAPLVASIASDEFLGSHVLRIPLPKSQGAGGKDAAQNLREMRGKPKVYGTFNGRSLVI KDQSIFTNKGFKSLAQANLLHDAIWYPDTLDPRPFLIYYISRPLVGSWEEVKITPAVL PPTSDGGERLSKGTPPEARNQPSGSPQKKKDIKTFHELLIHFPAIAKQMQAGLEKLFR EFTLVFERPLPPPPSASEIPDPEPDGPISTAVKRARSNSDVSQGAVAGVTGSHVSGAS EDDETTIRTAMETAVTAAIDLFQSVDRQQLSLLGATTDLTGPLVERLIERYIAENVHH LVFPRLAALRRSEDLELEAKIRQMDCIDISQLGVVIGGSSRAKRDVVNRLGRAVEEFR KMPNASCPQEMMEILLATTRAATQLSDVPHPGPASASASGPASEKPVMTINADTLVSL LLYVVIKAQIKHLQARLTYMRNFVFVDDVESGEMGYALSTFEAVLSYLDRDSGGLRRA SRRNRALWDAVSKGDLPELRKIMEPDEDAIEDDDGTFGSSHSRRQSSSGWSFTNGVSR RSSSSFATAESLSRGSGLSHVFPFQNGTDTKETEPPKPPPKRTKKVAMDTRSMSSGSE ISFRSMPMSIGTMSGIEGDTSIERLAQTRDAFGESVPMMAIQNRQLGVLRYLLSLRQH YPAEVILDDQNNEGTTVFSAAVQLGDEAIANTVLDFLLDATSDDRISQYFAIQDTWGR SAAHYLFHAPFLIQRIGKLLPWRQKDKNGQTPLFALCRSYDHPNYATMVSEALDAATR AQGDGQPLHLDDHVDIKGNTLLHIVNDAQLALRILQKCDVDVNATNEKQFTPLMLASK YGRFDMVRTLFGDPRVDIAARELRGLTAVELAKDDEVRSKIDDLTLFSQMPSVDSRTT GVVRAYFVEDASVRFVVKSGAPVDKQSFAITTCRRSLSDFEHLAKLLQMENPASWIPS LADLRSPIQIPSKPSRAVLKDLQIKMDWFLRVLLQHPTFATHEMLWEFFLVPDLQLDM MAERSKLKADALMEKVHDEYEPVEDLREVSQFIDHARDMVRSVHFSTRSVARRANVVN NVAIDLHEASAILSRTLSTLTFLPSSHTAAFATYVRALTPSHSSPSAGFFSTFLALYN NVESVLKALSRPPQTISRIQAVRRDAERSYNSLARSSRWPLGLSLPVLDEARQRVNEE REEKARRSEGEAETLCRELRYAQQTVAGELAGWREMHERLGRRAIRDLAKGMVVAEKM RLEGMLRALRLVREGNGAGGATPPPPPPPPPPPPPPGGAVGAASAQERGFLSFVYVDD ADG MYCTH_2295870 MPPKKAVTEEKVLLGRPGNNLKSGIVGLANVGKSTLFQAITKCN LGNPANFPYATIDPEEARVIVPDERYDWLCQKYQPKSRVPANLTVYDIAGLTRGASTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVNPIRDLDIISEELRLKDIEFVE KALEVQKRKTRQGGQSLQMKQWREEEATIEKVLAWLKDGKDVRKGNWTPKEVETINPL FLLTAKPCVYLVNLSERDYIRKKNKHLPSIVEWVKQHAEGDPIIPISVSFEERLTRFG SEEEVKEECKRVGAESALPKVIVQMRKALNLISFFTVGADEVRQWTIRTGTKAPQAAG VIHSDFEKTFIQAIVYNYKILKELGDESEVRAKGKVLTKGKDYVVEDGDILLIKAGAA KA MYCTH_2295873 MPTRKHQKKSSRSHRSTLSLQKTEILINIYDLLPPGRISTILWH IGTSLLHSGVVINGKEYAYGGHDRRGLTGVYWTKPRTCPPGGTFRCEYLHGFTLAPQN EIDAIIREASEQFLGTSYNLLTRNCNHFTSFLCERLTGEPAPRFLNRAASIGVALPCV VPKEWIEVPDFETADGALLNEEEEDDDEGEYESERARMLRSSADQPRLVGHDGRDADS EWDSEEERRRGGSGKGKGKAPVRDSAGRVLPPAERAPTG MYCTH_2295876 MMLSAVAAIVAIAVLAVAWLFHINAAMQDVPEGAHRASPHRWTK QQLQDTYERVKQKPVDFTKLLPPRLGRRYVVVGGSGLVGGDIVLQLLQRGESPESIRI VDFAPINRRDMLEAAARCDFVKADIASRSSVETAFAKPWPGSVAKLPLTVFHTAAVVR PQERSLLLYHRISRVNRDGAVNVLETARAAGADVFIATSSASVSIVPPNFWIWPWQRS PTNYFQVADEKDFDAPLRPHHLFFSNYAFSKAEAERAVCTANRDSFRTGTIRPGQPIY GQKTDPVLGIILRTGDNHTWIPHVVQTLSTRATWPSPISSSKPPSPPRATTANPCPLV PAAPST MYCTH_2313591 MRQNVVLVALSALALANAQDNSQNFTIDPNSVKASLRADWCAAE YNSCRVLCANNPTANDCDVNTLEYTCTCSNGSAPGLQYYIQTIPTFICEQAYSDCIAA NTASSRAQDECKTNIKDKCGTLDPAKAQVSDPSQSESSSSSATQAPTASSSQNAAAST SSSTAGAAATAAGYIGNGAAMIAAGVFAALL MYCTH_2106715 MAMLSPRSSLPQSVATGTQQQRLSEEGARPEETQPTLGFPLEFP LPLPANIAADILARSLRRAPRCALDLLCPEWSLCRRPNRALEAVLRTTRVDTYTLPCP EFGSGPGPAPAPETGTGPETGQIETAFDHDPAKCEGRGRYCILLEVVQDPREVFGGGG GGGGSLPRDLQRELVRRWAGGSVVVVSQRREPPVLGPLWARDLRRKGDDEEEKEEGGG GGGGKAERIILREERAVGLVPYEDDFVIEVGGRGGEEEEEEEEEQEKGRAAREMVCGV DWYEKDALPAASAGDAEGGTPTPVYLFGKIRHLVVNCVPALARVEAEDLGMMPATRCA QTRRNLEYLEERLEYERRAHLRVRWDAMERLETLCLDLRGFSMPEHGYLDVEDVLQLA RSLRGKRLKLLVIAGLRSFRSYHGFDPEKMADVEEGTWNPRLGAWVSKKKGVGINWWK MFEGAVRPGGRLVFVDKSNADGLLPPGAE MYCTH_44689 MAASGPSPDEGDIPTAPTSPVHVHVPSDSDTTTASSEVPSARLP PRPVPHPLPLETHNQTDASFEPVAVPSKHPLPSPRSARSLSLAHLSGPVKRKPLSSTA SPFAVRFSKGAAAYADILADLPRPEQRFARSCSLDSPTLYEFPDQRSLLATTSSPHTV SDWPPSPDTSQPSSPVSDRSSRPAVPPVGAGAEHSRDAIAGENPAEAQTSHAPSEDES LSAETASLTTQGSVPYELDDLYSLPGSPQSHGSRRTAVADADPKTMSMFTRRSPPPQL NLGTNARDASPAAPATPLGQTTNLNKPLPKSPASSKLSAFFGWATSPSPTTTTDFSEK SYSPLPSPTSPKQPATAVTESCETPVTTRKPTFPNVAQSDSLQYCEVYLQTPADSTTS LGQLEEMEDELKAISAELASSIRREMDLEDLVDRLQEQINNPQAPGKRTSDYFSDSGY SSAKFSDYDQAKEEISQIQRRAEQEKAQLRLELTNKLQDERSKRRLLDQQIQELSKKA SQLDVARLDSGDASGRVKELEAACEDLSRRLSEERQAKTNLEDLLGALRGELQSTSNE RDNLRDEVVPQLRARVEGLEAEAADQARLAYDTSKLQQELQQLKSENAELQMLRSQKP EPKPPMATRMSMGLSRSASVTGGPLRKHNRLQSLSRSNSTKVSEPRDVLADRLKDVEA QRDALHSALKSLLERQELQNRENAKRIRQLEMERDRLLAASPRKAGYEREVSILRDEI SVLRRRAEEAIEQKWQVEKGLAGLKMDLDRAEGEIASLRSLLQEKDILIPEVPARPGS SHSEHDMPVSSRSLEQAYEDLQAAYMDALERIRTLEEITRTDEKTQLAMQRLEQSLSA AISDRDQARSEADSYRQQVETLQSLEQQHLDTERDLAAQLHESARRVEELAQQVRAQL EANAALRARLADTIARGDAEQRVNKERIAGMQARLRTLEEQVVAAQTSAEERVARHEE EILALKDAHSAQLRRLRDATGGRRPVLPAQRFFPPKSPLSPLFSLRSHQSDSQPSPRM STPPALLGVDGNSSRSRQRSTAALRRATLEPADKEGENMAAQVQALKGRVAELEGALA SADAEMQEVVGRMSAAQMEVLQLQEQREEAVRETRRLQKLLEAERVAAFEKRWKSLTT EVA MYCTH_2295879 MALTTSMTRSRRAEGAHLSNPKVANAHRLLPNAKDHYPPRPKRQ LDPSERDIDNVVAKKARFTTGIAVEIPARSSLHARFVRDTADAKQAVPAPPKPAVAAP KAPTTHRAPPANGTRPAPAKTQQQHQQQPAPPKHREKVANGLKHELNKLQPSAADTKE QGRKLRSQEATRFKSELSAYFPEYDEVIGNDPKETHLLNVDTPIVIVPDPSPLHNNHN NNNNNNPLQRAQQHPPHRTAPLPPHEYPIRSYGDALYTDLFDAQRIDFSFLDKQPPPA GGGDNNYNNDGNGNAPDSDPLPDTLYSPAHRKAERLERSIRNTEKGRAQHEKDQIMRL LDGLQGHDWLRVMGVNGITESKKRAFEPARQHFIRGCENILSKFRRWAREEKRRRAEM LERKAAAHEHREGSAKDAASEGEGEEAEGHGEAEGRTGKGAARGAGGNQGEEEGNDSD DDDGGGGNIVEGEPPDDDAGSGDIDASVARQLREEALAAAAKRRRSKAAAAGTRAPAA RRGAASTATAARRKTAAAAAASRSKPEPPIQEPPKPFTSFFAKRYQRDAALSKNRRKG RKVLAWGQPLPEMAEAEFQLPTGLCDEETLRAHARKKRRDKRLRK MYCTH_2039751 YHDQPPAARAELAEILALGELRHADDGAVHHQLARVAQAPGAAH GCLLDSARVPVEQAQDRVLLRAGLV MYCTH_2295880 MPAAQENDNSGEPGVAPELPAGAEPFVILKQDVELEVHFREKSI SGVSTIHLFTINPDLDEIWLDARQCEIDVKNVTVDGFKTTASFSDPYDLARTPQAWQL GATQHHIVKRRMAPLRPARRPEVPNKDRETLPCCVPADRSLRVSLRPDGMFKDEPRKT LKIKTLKAAKDAPLDRPDPNDEKNGIKVSIPFRSKNIRDGLHFVGVDEGDLRYPHVYT RHSLEPGTASCIFPCVDDPGSRHPWKISIKCPRTLGDVFEQPLTTQQSINQSPDAARK RKLGEAHPTTFSTSPPLAEEDKLMEMTVVCSGNLAGEQIDPEDDKKKIMTFESQLSAA QHIAFAIGPFEHIQLWSEFRTEEADEKLGANAAKIHAYCLPNRAHDVRHTCGPIVAAA DFFAPEFGRYPFESYKVCFVDDMVSDTVAATSMSLCSNRLLYPEVIIDPEIEVTRKLV HALASQYFGVHVVPNQRSDSWLIVGIQWFMTDLFMRSICGNNWYRFHLKTLSDKLVET DVHRPSLHDLGEYLHIGDFELEFMSLKAPLVLFILDQRMSKIPGSMGIVRVISQIVSN ANINATITSTSLADADFRRACEKKSQYRPDELWQQWVHGAGCPKLLIKQRFNKKNLNV DISIAQTQSKDNGPKAITKDEFLKELLEEVYEVWAGPVPKLFTGPFTVRIHEADGTPY EHYLAITDKDKVATTLQIAYNTKYKRMKRTKKATAAAAAANSAGDKHDIQEDDIVYFN MLGDVLMSPRDIENWGLQDWSESVQAAMDQESYEWIRLDCNFEWLCEMITDMPGYMYL AQLQQDRDVVAHQDAMLFFKRGKRHGVASSIETRTVMDRRYYHGIRTMAIDDLPKQAD PEINYIGTAQLILLYRHFFCHRIVGKNGSVTFPPAPNDFYDKAQYAVQCALPAAIART RENGRCSRRAREFLLDLLLFNDNSDNEYSDQFYIAKLLEALTTSIIPDKWESERDLYS SLRTDDDDDLEFKTFIEKAIEEIEKYRRMDEWTSTYHNIWTTTALHCKMRLMKANVIR TEPLEFVRYLQDENLDLIRIEAFDCLVELGLLAKVPIMKLLLANVSTDPSPFVRDRLF KIFCKGMAAVALGESKAAQAEPEAPLDDIGLVVEQGEAEIQQRQLAATRNQSIHAALE ALKEELKGNTELQTAMWRAVESPSISVKEKFQLLAICSAMFEAEDSLLTTFNYPRVWR CTREPTVEEPFSTQKPRKRCVVRFTTHYRTKPRHKLLMDSAPPPAWEASAPADKAMEP SVPTSTTVPSTTPASTAPKKIRLSANRSFTGSTHHGPSTPAPQIRKDSIPVTEPRPAV PATTDLPSSDSISVQPLHRLPTSLAGPPASSPVMSSQQLNGTARPAEKRPKPPKKRKS DDGDGVDRPKKVARGDIGANGQPRKIVKIPFSAWDRLPSHIRDKIRADKAAPPASSTI RVTPAQTKRTALAGHPVQYADTPTRPAVPAADAQAPAPNGGQSIIKQRKALPSSPRPQ LARPPSTPTVETGEPKKKIIKLKFKPASHGASHGASQVPPQTSPPQTPR MYCTH_2295881 MLRRPATVLTLTPEDIADYEDRAAERIRAAELEARQRAAEAQAH ARARHGASSTSTALRRAALAAATPATAAGPSSSSRYHGNGAGGIDFHGTRVLSSPAEH QFSDDGEEEESDNSEVETSYYARAQSQAARVSLAREQALQQARAHADDDEEEVEEEKE EGEGEGGVEEEEEVVDEGGGQEVEMGMEDDSDGAIGGHPSHPHYRLRNLGRLTHDNDD GEPSTAAAAAATETPPNPRDRDTRRRHRRSSSGGGGNPPPIHQPPRTSRRTNDARDSV PQPCCSSRRPRRYRYHHYHHRLRVLFFRVVRAHDDPLRLRGRRRAENRGGSDARGEGA AEPRRADRGGGSPAGTVASGVNFPCLFLFLFTFI MYCTH_2295883 MPRETNPLISSYTHLKELPRETEALDLLKRLAALVKPIMRARGW KVKTLSEMYPPQADLWGLNIDRSHILIRLRHPHDCTQFLPFEKLVDTLLHELCHLVHG PHDRKFNALWDELREELERLMMKGYTGDSFSGQGRRLGGEGNPPSEARRLPRPGSERR RPALGFQGVRLGGTAPARDQSLRDAILESLARRGHDVGRNCANDRPQREIQAISEAWL SNGFRTKAEEDEANDAAIAQALWELEQEERRKEAEGRPPPVPVASRPPPPPPPRPGSP ELRNYWTCTFCTLRNPTVATKCNACESPRSAGNLARGSLSEIIDLTGSPPHEKPKPLP PSTATAPPVPQRPKCWKCSFCGNVMEHRWWTCPLCGKMKESS MYCTH_2295885 MKFAALALAASLVAAAPRVVKVDPSDIKPRRLGGTKFKLGQIHN DLFRQHGRGPRALAKAYEKYNIELPPNLLEVVQRILKDLGIEPHSKKIPGSKSSYGNG APYTNETDDSGEVSAIPQLFDVEYLAPVQIGTPPQTLMLNFDTGSSDLWVFSSETPSR QQNGQKIYKIEESSTARRLSNHTWSIQYGDGSRSAGNVYLDTVSVGGVNVFNQAVESA TFVSSSFVTDAASSGLLGLGFDSINTVKPTKQKTFISNALESLEMGLFTANLKKAEPG NYNFGFIDETEFVGPLSFIDVDSTDGFWQFDATGYSIQLPEPSGNITGTPFRAVAHTA IADTGTTLLLLPPGIAQAYYWQVQGARQAPEVGGWVMPCNASMPDLTLHIGTYKAVIP GELIPYAPVDTDDMDTATVCYGGIQSASGMPFAIYGDIFFKAQFTVFDVENLKLGFAP KPEL MYCTH_2106723 MTRFLTLATPPFFFLLLLFSSLPSILTSYRDWLALGRGGPPHNF LGFLVQSTMGLLARSDVRAVPPPYYYRRRPRRRPSPGDEPEEADGVVDEAVVRAYAPH GRTSFLAPSSPLHPRDGPRPAVPAFVAPHRQTSMQGTPEMVGRMEAFLRRLVEEQEEA QPGGGGRLLEIKPSQLEGGRYPAIFVAEREGKMPPFMGIARREIVHVHSEGSSHMTLS LVDGEEAVAKGWGERHRLSGVNRVLPWSYTLLYAPQNDYEFEVWTRLVVAACRFVTGG KDIKMVRA MYCTH_2295894 MSSSTTAAAELYSVPFYINGKEVHPERKFNVVSPASGKVVHQAG SASETEVRAAVDTAADAFRTWRKSLPRTRRDILLKAADIMVRRREELGNYMMDETGCP RQWADFNVNTAREFIIDVAGRLSGLEGTLPTPADPDTGAMVLREPFGVVLSIAPWNAP YILGVRSVLFPIAAGNAAILKGSELCPRTMWGLCSVFHEAGLPDGVLNLLFHEPANAA AITQMLIADPQVKKINFTGSSAVGRIIGKLAGEHLKPVLLELGGKAPAIVWEDADLDN AAVQCALGAFLNSGQICMSTERILVHKKIRAEIEKKLVGAIDNIFGGQHDPLVLINSL GVTKNKKLIEDALSKGASLLYGDANTQEASATRMRPVVVSGVSSDMDIYKTESFGPTV SLFEIETEEEALRIANDTEYGLSSAVFTEDLRRGLRFAKEIETGAVHINSMSVHDETA LPHGGAKASGYGRFNAAQGLAEWVRTKTVTFRW MYCTH_2295895 MATSPPARPLIKGPLRAPQQSPMAASYTTTSQMKSEVDVHLPGS NRVVYQEISLTTVPHPFVNPGDAFSQLKALKGERSKS MYCTH_2122809 MAPESLLPSQNQPPSAGDARHIPMLSGNPSHKNENDSAGDERII SPWMPLLPPANKRLIKRRASAPAMTGEPPIQAEPEPGQLDYRAACFDNDTPLEGIQRR DAAAEDGRERLLGGIDLAQATLFSVSHNRRVTMLEGALARTCLPSRRESHTAPSAPCI GENVYEVLNRLRLKPRKEQLPPFFELLESVLNGKTLGASQYRAYVQTLIETPRPGRGI EDIRSEGVTGLIVDLTALDSHTAAQLRSDCGEFTAQRAAWLEQHVPSSMSYGVQALAI GVASMARLLLSDKTLRGDQRMIATRLHGLAQELLAMVNDKLNPSTAEPERLNTGYMQF SVSRAIRAVLNHLRPAAEAKGLAFRTQVADKIASGFEVMGDPIQLQQVMVNLLSNSLR STTRGYVKFSLEEEQDHPNSTVLKFKVEDTSRFAERGVMNWPSITPHFNFSEGGLGDS KAVVEAMQGRMTLDTSWGVGTTITVWIPFKKAPSEDDIISQKLTMTLIEQLGFQAAAA CNGSEVLVYIKAAMLGHKPKPGIILMDLRMPFIDGYQCADILRHRTPYREYVSDIPIV AVAASVAPKDEEEWRCKSAGMDEFLPKPLTIDALERVFVRWGTCGRRA MYCTH_2053975 MPTIFGKSGSTAGRAKARHKSIRGTISAPIPIPATPVDDEFPIR NPGSAKASLTADDEFPMRSPGTGIASPLPTTDGPGSSPDEPPEQQGEPREHKVGQRQR SREGLSSPESAAESERDEEKARAASVRGGSGGTDSPSKASPPTRRATATNPVLNNGRY SIVSDATSKHTTQSRDFPLRKKSTLRSALGRLFGRGKKKSTSGNQDDGSASGRESRPL GSLQHRSDPTALGRGNQRSPNRSASLPINEFDRPLRSHSVGPDDIMAIESARNSLHAN PDSAAIRRRAATTGGHTLLRPHLFNLEWGAGLSPRPASAHGRASRAGGRAGSDDPNEI GRAITSDSGGGHRRRSRSLSGLQELVGNQHGGRRRSDEIRYWRESYDPGFMSPLSSNA QDDVDDPGVADTSAPESPATERPPKTPPQPFNFGLLSKEMIGMKITHAADMDTRLGNL ESRALQLERVVDKLCQAVPGVKRSVDSKDLPLAAGSRPSLETETQSQVSAGDCPVYTS SLRPPPALKNKVQTTTSRPTSSSTLRGATSVPALNRAAANDAGTASLDDLVAKLRADL EAERAARQILEAQVKKLSDRLNTLSTTMFAMVRGPSESRSQERLASHSVGGSSPLLLT PKTTVPAPPPLPQEPLSVFESDDDDDDDDDGGKEEAELPPSKSRGKADAGEGRRGVVN SRAPREEPAPIVTYGAFGEELREDDGEDGDGDGGDAADDQKRKKAARTLSLSQLTMGK GQRTKV MYCTH_2295898 MTAGVDIPTFARTQLSLLAAELEAEIAESSALVSLHSPAALQRA GVALINLTVASQRTGLGGRTVLELAPHPATASSAGPGSSSSSSSAADLPEHGIRVGDI VLVAEQPSSGGVRKKEAKAASSSSSSERSGVKGVVTRVGKGAVGVAVDDGSEDGGGKE DRVDALAAGEKKVWIVKVADDVTFRRMNSTMERLAKMEEREYSAFVRVLFGLSSPSPV PADLTKDEEVGEIEWIDPTLNDSQKDAIRFALASKEIGLIHGPPGTGKTHTLIELILQ LLKRNLRILVCGPSNISVDNIVERLAPHKVPMVRLGHPARLLPSVLDHSLDVLTRTSE AGAIVKDVRAEMDAKQASIRKTRNGRERRAIYADLKELRKEYRERERKCVTDLVAGSK VVLATLHGAGGFQLRGEQFDVVIIDEASQALEAQCWVPLLAAKKAICAGDHLQLPPTI KSLNSKSKAATTTSSSSSRKGKGDAGPAAAAAAAAGAEGVIQGPTLETTLFDRLLKLH GPSIKRMLTTQYRMHEKIMRFPSDELYEGKLIAADAVKARLLKDLPYEVQDTDDTREP LIFIDTQGGDFLEKNEDEQDAGGGKKLTKSSLYGDSKSNEMEAALVRQHVRSLVDAGV KPEDIAVVTPYNAQLAILAPLKETFPGIELGSVDGFQGREKEAVIVSLVRSNPDGEVG FLGEKRRLNVAMTRPKRSLTVIGDSETVKKGSKFLKDWMEFLEENADLRYPDLTSLTQ EA MYCTH_2049605 WWKRKLRYFKSVELENKGSVARDHLALERTFLAWLRTSLAFASI GIAITQLFRLNTSLAEDTKQAERLRHLGKPLGTAFLAVSILILLLGYNRYLQGQYWVI QGKFPASRGTVMLVALIAFTVTVASLIVVLTVQNTPK MYCTH_2295900 MASNAAPSPPLARSATLQLSGRRSSRDRIEAILEVSSAKSNPVQ LVFPLGRARVVNT MYCTH_2295902 MVYTVQGKQVGNIGYGLMGLTFRADKLSEEQAFASIKAAIETGC NYFNGGEFYGPPDNNSLTLLNKYFAKYPEDKDKIVLNIKGCVVPGLRPDASPAGVKTS VENCVRMIGDKGLIHQFEPARKDPNVDIETTVAALKEQVEAGNIGGITLSEVSAATLR RAAKVAKIEAVEVELSLWCTEPLENGLAQACAELDIPILAYSPLGRGMLTGEIKSFDD IPEGDYRRIIPRFQPDVFETNLRLVREVEKLAARKGVKPGQVAIGWVLALNKRPGMPR IIPIPGASRPERVRENAVEVELSDEELAELDRLIKELAPVGTRYPEHGMALLDTNTE MYCTH_2295903 MPTQWVDWSKTTRSKDYRGSGSFATFLIIGPVCFALGILFASFP YDFPLLWTSDPIPPSFLDHLETHLRFMHQSPPLIARMLHIIVFVGFLGFFIKLFRPSE ANVLFDGGSLILYVIGAGVYLSNIVKGMRAVSQPGGLAAAETAARDAQDALRAAAAAA AAATSGAGTGDAAGGEGARYYDHYHHHSGPISGEVVLGREDSLRVLSASHVILALVLV GVLVLQAGQWYAERKEADEVAKLERQEAERKAAAAAAGSKKKL MYCTH_2295904 MPKRRLALLAASIAAVHTAAASAISMDELFARQSSCLPNYFRCE NAKFPDYFCCKGSETCIALAGDTTLLCCPEGSDCQRIRPVPCDLELQNGEANPDAVIK TTALGGTLARCGTQCCPFGYSCIDGQCAMDQNQNAVPIQTRTKKPEPTTTGGGATATS TDGANPTAKPTESTEAPSATGERSSNDETKSSSGPPVAAIAGGVTAAAVVLIGAAALA FYFFWKKKKEEGQRKGRSPLKLSRSTSSFGNLISRPVMTSNTASIDNDYDFVRPSGPA NHAQEPEPDSVTGAVLGSSINSPESGAMPSVPAAAALAAESQQQQNSRAAYGGYGQLE QSQYVDMPYADYESSALAPQTPRQINNREPSSVSINVFADPNITPDRTPENNAARRYS NMTSFTQMLDNAGMGGMDRGEPYLGYDRDGGQAPPLSRR MYCTH_2295905 MASLQLMAPSAVSLLVFWFSFPLSYSSSFFLILSSLRVKMNGGL LLLLRMMTLSGDRAIRRSGDHMRRCYLYG MYCTH_2295908 MAAATTTTTKAKPASKAGGNANPKQKTQMHRRSRTGCYTCRLRR KKCDEGSPICTACRHLGLQCEYKRPMWWSNNDMRRKQKEDIKMIIKRKKLSEKSTHTH THTHTHTIQTAVGSPPGLSHSLPTSATFSDPLDRARSASIDSHFGFNFNSPQSSHEYE YEYGPFTPQMHPDFMFSPYSPYEIDVKTERQMFINDVPTLRESTTSTFSTYATPPPPG TVLPPFPLEGEWTEQVYSERRESSLTEETLNVNFFDFSHGPAAASRQVAIELDEGDQR LLDHFVRSVLPTIFPILESNQHGSVSSDLILPALANNKGYLHCCLSIAAQHYKAVMGL RGDEIDGDIMRHRYATISALCDALNRDENHQQILEAALGLIFFQCGVGRFDDSLPDIP WHQHFQAVVSLVQKLDLPRIVSDPGEPLTQAPFNMTLAAWIDILGATMQGRAPTFAHT YREKHLSAANPSLGLRELMGCEDRVMYLISEIACLEALKRDGMDDITLCQHVHVLGDQ IGLTEMGEGAPVLPFNANGSLSPKQLSKNITAAFRLAARIYLCSLVPGFSPLQDSCVG LVEKLTSVLHHIPSGPNGFDRSLAWVYLVGGSVSLPASSFRAFFDDRVAQLGDLANFG SFGRVACLLRDVWLQNDAPQPSSSSSSSAMGSSSSSSSSSSSPQQQQQEHQEVPQQPQ PQQQQFVHWRDVMQMKGWDYLLI MYCTH_2106732 MAYSMVQAVTDYRAIFPSARPTVNRPTRDLLFEEGEESKTPRIL PEARRRADDIRRSRLIVRLEPPNWPTDGASSGADSLDNACSIWESILSRTTYTRKWFS VISRKAERLRGQTRLAMLKLRSVSCAFSRVIPCPVYYRLPILSDIAITLRMYGALYVC SAR MYCTH_2295909 METVQVAMMERPPQEMQEKGRGRDIFFSAARVKNRPYSVYTEFM ESDTEIEQGDDQEDDSEVYSEFGDLEGIEDGDCSPRISIGSSGGQNSFTTVSTHDEVQ TPSSLRQPPFPFDHEPKQQVEGPRGPHLFRSSMSSAQSIELQHALSLSPITPQDPHMM DLHLQDLHLTSLPRKKRDTGPFQFTDEELDTSTLPSWTPEMVAQQMLNANIELSACEK FVENDINGAILITLKFEDLKELGIASFGVRTQIWEEIHKMRNLEKPEPQPETPIEDKP DKRVRKELRRQESGSSSRSSRKQKPRLKVNDVISPLESVSIVGIEQVLPKPHHCSKGE NCAKWRRNQRLIEAFKKDHPFIHDNGIIMIAGDPGNPETAEAIVSSDELRPVSDAVPS VVASSDVMGPGNMPPLQYLHEATLRNVQERDPQENVRHFLDFQRPHANASSEVPPTPP FEIFPQNNNQKPTPAALRNLPKLSIPSKPSVAAPRQGPQPAQARSARPASQVIPSFRE LSPSSDEGTPTPTAPYRFGTPFSDMDVPVTVTELPPVSRDFSQSVPPDMAYRHNPAPR SMSRNTSRRPSFPVMPALDENSVVTPATSTSSCNRSFSQRVGQRPLQAPPRAQYPWTQ TERPTLEKAIPPLPGSAAATAATTGARTTFSPRKTTTTTTTITTGGINGTTGTVKTVN INGRLSPISDKPQLDMTGGEAISYQGLMRKRKTKMLRHEWHEHFFTLRGTRLAMHDKA AAAGDAAAMNKTLEYIDIDDYAIACGSIAGGSKLNAAFKAMHIRRAGSGNDDAKAKGD VGAFSFQLIPQDNKGGVKLRKRESVASAVAGGGGASSSSSSSSSSSSSSSSSSAAGAN KGKDAPPPIEGAVNGTGKTHHFAVKSRDERIDWMRELMLAKARKQKGEGFEVVVNGNM I MYCTH_2295915 MLRPRLRIPLRPRVGTPATSTLLPLACRARSIHAIAPLEYNLKN EASQNGIADFLSPAAFNIAWTQYQTHLLEKLNALTAESDYESRHVKDIMLSTARDPAS APLFNYASMIHNNHFFFKHLTPKPVEMPDALRVHLERSFGSIETLRREMVYTAASMFG PGFVWLVKTSQPGLPVAFKVLATYAAGSPYPAAHWRRQEVDMNTAAGATTDAAVEAGK SYLERTAYGAGKRNSSVAKKVEHAPGGTDLLPVLCINTWEHVWLWDYGFGVGAPDRGK LGYAEKWWKHINWDLVLKEANVQRLEMSSNLGAPSS MYCTH_2295917 MAVTLPAFSNIAAPDVRSTVAPVLSTLPAAASSTEPATTVLPSL SPILRQRVQLLASASSEPWIRLLTYTPSKVAELTGIARSVNLEPHPVSGEIELDWDQE VGIRYKRIDEETLQTMVVLKELNLFFRLVYCTGDPDGGGDGWRVGEVGVADPSFLASF GGFSSIDEAEKSFRASKSTNNAPPSAANGSHAATRTNGGWSAQAGAYHDDGDDDDDDD DDDYWARYDATPSRTPAMKRSPAPGAGGGLALRPDINRSQCTEAEDAYFARYDSVQPA MDNHDPDEETNLGENAEHVEPLPPQGLGKAQPVKANKANGAVDSAKEASNGSNLAQPI PIKPDSVHSSGSGASREESWVMAHPRPGSASSTGSQTVAKLEEAAENRQQAEFGVRQH ISRSIRSLFLLSRASGIDREEFERMVRTELDVLGIVEDDI MYCTH_2295918 MSLKGEHLKDEGTRLEVTAAGATAGLIARFVTAPLDVVKIRLQL QTHSLSDPLSHRNLQGGPIYKGTLPTICHILRHEGLAGLWKGNVPAELLYVCYGAVQF TAYRSTTLFLHSAFGEGALPQSAESFIAGAVGGGIATVATYPLDLLRTRFAAQGNDRV YTGLWRAVCQISREEGLRGFFRGLAPGLAQIVPYMGFFFAAYETLRPPLSGLELPFSS GGAVAGTMASVLAKTGTFPLDLVRKRIQVQGPTRGRYVHKNIPEYYGGTIGAVRTILR MEGLRGLYRGLTVSLLKAAPTSAVTMWTYERALSFYSGLGNRLRERREDSL MYCTH_2295922 MAARVLLQRRVAPLAAAALVGSVGFSPRTAHAEAPSERRYSRKP IYDDDFDIPPVAKPAPAPAAHPTSPAPSEQAVDAAAGNTSPAAPPAQLQSVLEPDVTT VVRTRAPTPTDRLAAQIRRARLFLYAQACVAEDAVNGAMARAFALERSFTSTIASLAP PRESGEKLMPGLIYVLVAGMAGSIVARNRNILLRGATPLAFGLGAAWTVIPVTMGNVS GLVWEYEKRVPAVAHAHIRVREGIENGVYMARLHAELAQNKVHEGVRGAREALEEWVR KGK MYCTH_2295923 MMKAVTRTRQWQRWVCSSCLSQRAVPALQRRRFSNSPKSAAVEI EPIIPASHAPPLYRDEDNTLRSVFDYPRFWREFSSHPGGVSVGLFRNAYLKEPRGFLT FARVSLRKARAVVSKVLAASSVQEYRAIVRDLDRLSDILCRVLDMADFVRVTHPDRNM QRMASMAWDMVYQYMNELNTMTGLHDQLAAAMANPDVTAVWSEEEKTVAEVLKLDFTK SAVNLPKKYRDRFVELSSEISAVGSAFVQEMAPDQDLIVLPSSDLRGMDPLQARELTR GGKVHLPTMSGQAALALRTVHDADARKLIYYASRTASRRSVELLEHLMRLRAELATLS GFESYGHLALRDRMMARSPEAVDKFLRALAENNRPKAMQEMAELLAEKRKAYPAVKRL DPWDKDYYSDLIRRPLRLTGRQGDLLSPYFSLGVVMQGLSRLFTDLYGIRLVPKQPVV GETWHPDVRRLDVMSDTEGHVAVLYCDLFYRPDKSPNPAHFTVRCSREISEAEMAEVW QQSKQDPDVPPFASPEYAANDGMTFSRQPNKTIKQLPTIALVCDFPHPSGHGEQQPAL LSFFQVETLFHEMGHAIHSVLARTSFQTVSGTRCATDLAELPSTLMEYFAADASVLGQ FARHYESNDPLPYRMVAQKVRQARRFEASDTENQIILAMLDQALHSPRAAQPDFDSTE IFHGLQRTYGSAPPDPPGTRWQGFFGHLSGYGSTYYSYLFDRVLAQRVWEVVFKSGDR GAALRRENGERLKESLLKWGGSRDPWKCLAEVLGDERLAEGGEEAMALVGSWGSTRQN MYCTH_2295925 MRPLVSHVSLLPLSLSFLLPNPPVLLFLFPFPVPALAAAQQTER AITITAAIKRDNAAPSIFRPPSSSGYVYYGCYNETTELPDTAGVRALHDGTNLVQPDS MTVEMCLDFCMTGAGDADGGQTGRFQFAGLEYAWECWCGQMLSSMSAKLPDAACNLPC DGNTTQACGGSLKLTVYMAGAAIARIAWSAGLAVMGATLFALL MYCTH_2295926 MGSRLEKNSEAVRKRIANHEFNDEDGEEYEANEFGGFSDYFRRK KIKLQNLDVELRAARGDKPQIFKGIVAHVTGYTQPPLHVLHKELVQHGAAFLQYLDSK TMATHIIASTMPPKKAVDFAKYRVVKPAWVMDSIKAGKLLPWSDYRVIDDGPRQKTIK FDRGKMLSQAAPQSPAGYREQTQNSFYANQFQKPSQSSSGSSSPFQPPSARKTASTAL EAIDDFDDADVDAVFEGSAASIGAAPSDAPASNAQPLQEGKPQGAEALGSEQAVDLPQ PQKLSGKATTSEEHNALLLQDPKIRNSSTANPNFLKQYYSESRLHHLSTWKAQLKSRM MAMMAEKGPVARGKRTSGSRRYIMHVDFDSFFCAVSLNHHAPDYRDKPAVVAHSTGSG SEIASCNYPARKYGVKNGMWMKDAQELCPSLKVVPYDFPAYEEASRLFYDTILGVGGV VQSVSIDEALVDITDVVLSETGSNGVGVSEGSVWREQEKADQIATTLRAEIKKKTDCH VSVGIGGNILLAKVALRKAKPAGQYQIRPEEALDTLGELKVEDLPGVAYSIGGKLEEI GVLFVKDLRQTSKERLTTLLGPKTGKRLWEYARGIDRAEVGEQPVRKSVSADVNWGIR FVNQEEAEEFVRNLCKELERRLLNEGVKGKHLTMKIMRRALDAPLDPAKHLGHGECDT FTKSVTFGVATNDSGAIGKESVGILRSFKFSPGDLRGIGVQMTKLEPIKPSAAPEGSQ KRLDFGSAAPPTKRPKREPVHEEPNARPPSRRLSGREVQDDPITVDPLTPRKPKVHPA LALAKANAADEKANSPLNITGTQFIMPSNADPTVLAELPQDIRSKLMHQPQRPPTAPS REASPAVKSRSHSPAVTDGIPSDVDPEVYNALPEEMKAEILASYGRKKRESGGQVLLP QSPRKDRIIQRPKERSTPTKRGDIKSFFSRARDRERRQDAEAGLMQASLAPPVKQQGP TEPSGLDAAAVPESLDPEFLAELPEDVRCEVLEDHRRRRFAAQKSATAGLGYHVPVSP PSPPIPGRGMAHERADQGGTGGVPQGQTRLRFPAKPPKVAFTQSGLTSVKEVKEMVGV WHGATQEEGPHQADVEVFEKYLAMVVVEERDMEKARMLVRWLGWLVEEGPEGSGKESW REAVGTVKAAVQRAVKERSLGAMDFG MYCTH_2295928 MNNEQFRRLLLANTAKSGSDTNGVSPPNNARPASSTSLALGSKL KSSIPMTPRSVVGARGVDFAKQLAERNQSSEKPQKKFRSFAPRGSRLAEGYVDRARTR EEEEEDERAERLRNLEESFKKGDIDRETYDRLRNEIVGGDLSSTHLVKGLDFKLLERI RRGEDVYGEGQRSRQAEDDKAQNDEPQEEDPDEVLEKLEATEVRAIEKEKVQKKGQLA TTALQPGQKRTRNQILAELKAARAAAAKTKEGSTLGAKFKKIGEKKTPGVRIERDSKG REVMIIVDEDGHEKRKVRKLDASASEEQEKEKELLASGKVLGMEVPEFYKKQLEAQQA EEDGKEISIFDDAGSDYDPLAGLEGSDGSSDEEDDAKDQSKAKDQLETKDQSEAKTAA EMPPPPKPEAPAARNYFKDSKTALTSEEKYKAPSLDDPAFLAALKKAKAASAMEKSEE ERKAAEREERLKKKLEELHRDDEDMDMGFGSSRVEDEADLDETKVKLSAWDDDDDDGR AGGGGGGGGGKSQRKRGKKKKKGDKNSFADVMKVIQRQKGGGG MYCTH_2295930 MHAPTDIHGESGLDGTDLLPPPAVEARTNPPAIDAAYAALKATP PGTAWVVATGAFTNAAALFLKYPDLVGHIRGLSLMGGALGGGFTPAVLGEVAGVPRVG NWTQFAEFNVLADPEAAAAIFANRELARKTTLIPLDVTHLVLTTESVRDLLLYGREEV ERGTDGEARRNGKPGKTKLRVMLVELLMFFAKTYK MYCTH_2295931 MAQVLFDLLSSLGSCLNCFPGSPTLKINNRSLKILRLLGEGGFS YVYLVQDTSTSELFALKKIRCPFGAESVAQAMKEVDAYKLFAHSPGIIHSVDYAIATE RGGGEQTKTVYVLLPYYRRGNLQDMINANLVNHTRFPEKKLMLLFLGVCRALRDMHLY RGPGEAGGGGAGGERMEMRADGVDEHARAKGTRAADDEDETEQQRPLMTEDERMPAAT TAGATRSYAHRDIKPANIMISDSGTEPVIMDLGSIAVSPLPITSRSLAIATQDTAAEH STMPYRAPELFDVKTGSVIDTKVDIWSLGCTLYACLVGKSPFEMRSDETGGSLSICVL SGDWRFPDEGPGGKGKGKGKGTAAGPSNGTSGGNDTPTSEPIREVVRRCLKVEPSERP DIDELIEMVERVVEELPEDDST MYCTH_2295934 MSSATTTTSTYNTTTRHTLSRRPGRSGIATASTPNLNQLYTAQS SRLQPPALARKGSVAVLTQNSLAAIPDDSEGYAYKSVLTSDAETMPMPNTPGRFGGGD EVAIGDTVEVPGNMTGTVRFIGPVAGRKGTFAGVELHPEYANRGKNSGDVDGVYYFTT SQPGSGIFLPLAKAIKRDSSSISGSSFPMTPASGGLKVASQNSTNFTPPTPGVPKFSQ SAGPGRAPSPLGKKVRPSLPRPESPVRRLMTPGFRPTIATPAPKTGPPRFGSPTSANK FAQSVRSTTGDPSKRAPSHTRQGSVGPRSVSALGTTSNPHYADDDTIGPVSSFSLKVR PASRAMSRAGTHANDEEIERLRAELEDRDRQLKEQAATLAEMESSLTELQGLIENSEA PPAQRRSSIDDKDAGQLRALLREKNDKIAMLTAEFDAHRADFRSTIDTLEMASAETER VYEKRIEELMQEIQELQDRTADVDTIAAQLKQLEELVQELEEGLEDARRGEAEARGEV EFLRGEVERTRAELRREREKAQSAINGANGTANGGAMSKELEQKEDEIRGLKAIIHSL SRDSVPNDNRDQTPTQRHSSFRSHPGEPIEDRLTREKLEREVAELRGLVESKSASIEQ LERELEAVRRESAMTSGGPAGQRSSTMTVGSAANDRNSIRDSRSTVIAPPSNNNSELQ RHKGVPPPSENHTMTLEAMPESDTYSTATENSTLWCEICETSGHDILTCTNVFGPEHQ TKGSSNSNNNNSNPDGKREDGGQEDDEFHEAVSAAGIKPAPLSPLKPSSKPAATPPTA TAPTFASAAAPAPLAPAGTRTIPNPMESGPVAGKNSGVVDAGKWCALCERDGHDSVDC PFEDAF MYCTH_2122835 MATTRATILGWLSRLIRDDRVLFGYLAINAVGWLMGVRVLLKKW RDEAEIKPVQPKTQYITQETEDALKLGTLDTLLGHYNQSIRETAAKIVCDRAVNDRET VDRLLWGITRKDYDERMRNLRALAIITDPQSLERLHRWKAYAALVRCLELSLDPEQEV LDDADWDEYPLRDMTEKLCLMFISQLLSCYDAEKLVKAKFVEKWLARQNWGTTEEERQ RNFAQYLRCRGNRITDIVASIRGTRSGREALEKTGLLPRSPPSDTDIEDNISLIERFS VLLSSNINISNLREDEQARVLIRSLQGAQTAEEQRVRHRHREAMVLNDGTRPLNSEDI IQRPDSPR MYCTH_2295937 MAGSQLKRLKASLREQGIIGPQQSKKQRRKNAEEQKARPDKRLQ RVEALASIREQFNPFQFKTNARGPKFEVTTNKPANDKAAMGIKGRPGLSKAMGEERRR QTLLVEMQRRNKVGGLIDRRFGENNPNLSLEEKMIERFTREQQRKHKKISAFDLEDDD EPMGGLTHMGKPLFDDDGDDGDDAVPVKDDFDEEDLPSGDESDTSRAERRMLKRQRLL EAVEELDEDNEQPERKKTKKEIYEEVIAKSKLYRYERQAAKEEDNELRVQLDKQMPEI QALLLQRPKPPSKEEPPKIIAGKEKSALEKDYDIRVKQLAADKRAQPAERTKTEEELA EEEAKRLKELEEKRLKRMRGEAVEEDEEDEEEKDKREKEDVEKAYDPFNTEEHDEFGL GKGVKYRPTATELGLDDEDDFLIDEDLVASGSDLDIESEIEDESEDENSGSESDSDDD EFVKGVLSEAVTKDPVFQTPSNTKGDDENGIPYTFPCPQSHDEMLKIFEGIDVTKLPV AVQRIRALYHPKLDSKNKERLGIFSQLLVHHVAYLGDRFEPHWFPTLESLSRHIHSMA KSFPIEVAKAYRAHIQEIEQGRPLALTVGDLVLFTAIGTTFPTSDHFHQVVTPAMLAI GRYLGQKIPQALSDYATGTYLSILALQYQQFSTRYVPELMNFCLNTLCALAHEKPQGK LGFFPVHEPAAGIRIRDAGNTTIRQLNCGDCQQKRSLSAAEESSLKVAIINTVAAILK AAAETWHKLPAFYETFHPASLVLQHLTGKANSVHLPEALTSKLTDVNSAITRLLQLAR LSRRPLELHHHRPLAIRTYIPKFEDSFDPDKHYDPDRERAELAKLKAEHKRERKGALR ELRKDAAFMRRENLRIKKERDAAYEKKYRRLVAEIQGEEGRAANEYAREKEARKRKAR GR MYCTH_2295939 MGGETPSQRGPRSDYPLQAPKPVGQLISNIYKDRISQFYSGGQY EHQNLLAMMKEAVVSGEPHVQLSVWHAPDQTRPTFKNATSHKFTKTSVGEWFGPSWTT HWFRVILKVPEELRDKRLLEFHWDANNEGMVWNEDGHPLQGLTGGGERVEWILPDSFR DGKEHTIYIEMACNGMFGNAPGGDSIQPPDPNKYYRLDRAEIVAVDPDARQLYIDIWI IGDAAREFPQDSWEQHKALKTCNEIVDAFEIGNRESLKTCRRIAEQYLGPNVNSAKVY ESGKEPVVFGIGHCHIDSCWLWPFAETKRKVARSWSSQCDLMDRYPELNFACSQAQQY KWLKELYPSVFDRVKRKVDEGRFHPIGGSWVEHDTNMPSGESLVRQFLYGQRFYESNF GKRCQTFWLPDTFGYSSQLPQLCRLAGMTRFLTQKLSWNNINRFPHTTFNWVALDGTQ VICHMPPAETYTAEAHFGDVKRSMSQHKSLDQDATSLLVFGKGDGGGGPTWQQIEKLR RCRGISDTVGLLPRVHMGESVDDFFDRIERKADSLVTWYGELYFELHRGTYTTQAKNK RDNRRSEVVLRDLELLATIASIKDKSYKYPKKEFDDMWELVLLCQFHDCLPGSSIEMA YRESDEMYAQVFKTAESALKDISKVLGFSQVQTTTVADGLALNTLPWHRKEVVDISEN EAAVACGNGPLLKLRTLKTSQDKPLVTLQQPSKSVFVLENDQLRVRVEAGVITSLYDR TAEREIIPKGQKANQYVIFDDKPLYWQAWDVEVYHLDTRKPLQPGETRVHEIKNHRVS VVTRTKISDESSIETIISLTAALPGQQSHVEISSTVEWHETMKFLKVEFPVDIRNTEA SYETQFGIVRRPTHYNTSWDMAKFEVCSHRFADLSEHGYGVSILNDSKYGFATAGNVM RLSLLRSPKAPDAHADMGTHHIRWAILPHQGALSHVTVRKAAEFNSPLKLAAPAGAGA GAALADLSSPPVYLTPDSSEALVLDTVKRGEDDEDVSRGELPVKKGRSVILRIYDSLG GRARGTVATTWDVAKVYKVNLLEDELEEVVLAEGGRFAVDLRPFEVASYKLQLA MYCTH_86821 MATSLPLPFKAPTPVTPPPELQMFSRGASSPSLLSFNPAVHRHP TTPPIPNSTLAREGPPLPREASQPSLNPPASNPAAFPTTAATRPPNPENIGNGNGNGN VSSSSNNTTKHSQDQHQYHYHYQQYHGANPRPQQGPLPFPPSSDPHYLAMAARIASYY QQRCREWASEQERRRQTSMQAATLVVAWYMRDRVLRRRRRRRRAFRRALRARSVAAGG PAGRAARGERLRRWLLGLPPPPTAPLTGSPAGSAAAAGGLVGDQQRRTPPADRDEAEF DIDRDVPTDGDAQLLETTKNIINSQLARLDVPLLGTVSFDDSESETEDEAFMDYEDHE CEDGDDEVQNEEAVEEEEEEEEDDDEEYTEYDEDDDEGVKDERGEDGEGNDADKEEVG KVEVQRGGGGVKRKDLASASKDVQLGTTAIGSRKRPHSMIS MYCTH_2295942 MLWPRSKPVPRLRIARLGPSGSLPRSGPATVGRSGTPTATTASI LLPPDGHRGFASLLGARFRSRPPSPQLDQVRGKKTRTVVKLDELPQGFVAPSEPLPPD EDEPAYPTVVLQARRNMQKFDNCVLLTRVGGFYELYLDQAEEYGPLLNLKVAQKKTSV GPVPMAGFPFFQLDRFLKILVQDFNRHVAIAEEFPNDPSEKVKSGGLMHNRKVTRIVT PGTLIDENFMDPYAPNYVMAIYLPDPVASDHVSHRDGPRPTAASEPAIEAPARLGLAW LDLSTGCFFTQPTTLPALGSVLSREAPREIVLDKVLESDQNHSLLSVLREERYPVTYS ARGELRQLSDWAPMLESEIPAQTVENFTDCEVQAGNILLNYVMDRLQGLSVKLQPPMR HESMHIMNIDKNTMRSLEIKQTIRDGAFRGSLLHAIRRTVTKSGARLLNEWLSAPSTS LEVINFRLDLVSRFIQDEDLRDAIVVLLRRSHDSQRLSQKFALNRGDADDLLRLANTI KATEDIVNLLKTAVRSQTAGGPEKDCLSAMVDRISLDQPLKLAKQIRDAIDEEGLVQQ HEIEDSNAGEMMALAQEIVKTEGTEQDSTILPKGAAAKTARKKTASIRDHYGEDNEVW IMKPGASALLSRLHGQLAALRQEKDQLTETLRARLNAASLTLRWTPGLGHICHVKGKD ARSAPSSGSDSGPFRTLSSSRSTRSFHQPEWTVLGEALDKLRLQIRGEEQRVFASLRA RVVKNLVKLRRNALVLDELDIATSFARLAVEQRLVRPILTNTRQTIIVGGRHPTVEGG LAEQGRTFQKNDLFLGAAAPSGVGQQQQQQQEGQQDAGGHGRVWLITGPNMAGKSTFL RQNALITILAQIGCYVPADYASVGIVDAIFSRVGSADNLFQDQSTFMVEMLETAAILR QATPRSLVIMDEVGRGTTPEDGTAVAFAALHHLVRVNKCRALFATHFHGIADLVGKEG LGIANGGPDGTVDTYCTDVEEDEQGGFVYVHKLRKGVNRQSHALKVARLAGLPEQAVQ VAQQVLQESGVEISLRKGEPAMAASSAAAVVG MYCTH_2295945 MGGKSGNKAGYFDKLKGLLEEYHSIFIVSVDNVSSQQMHEIRQA LRGTGVVLMGKNTMVRRALKTFIADAPEYERLLPFVKGNIGFVFTNGDLKEVRDKILA NKVAAPARAGAIAPVDVWVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITSDLKLVE AGAKVGPSEATLLNMLNISPFTYGMGIAQVYDHGNTFPASVLDVGEEQLLKSFSSAIN TVAAISLALNFPTLPSVIHSVVNAYKKVLAIAVETEYSWPEIEELKDRIANPEAYAAA APVAQAAATETKVEEKKEESEKEDSEDEGFGGLFD MYCTH_2295948 MILPFHLVKVCGGVIFAAQGCDIHSFNSDFKHISTWKYPVQNPN ESSGASKDAPQDSPAPEEPPTKRRKVESGAGSEASVKDLDQGAGSENGRPKSKKAAQY DVPANERPFVQGLYATADGRHLIAITGSDKTIWVFEHDGAGNLKQRSQRQVAPWSVHT IFHGSPLTTSPRAMPKRPCSLTLTRDNRTILSADKFGDVYALPLVPSPTATPSPAPAT QPPSRCSTPSTPAAAYKPQATELTVHTKRNLKALENQKISLDRKARQQEQEQQAPQRP QFEHTLLLGHVSMLTAICVGVAAASTTTTTTNTTSGASSAGQDEARVREYIITADRDE HIRVSRGIPQAHVIEGYCLGHEDFVSRLCVAPGGKEDILISGGGDDYLFVWDWARCRL LGKAGVLEHVKGVVAGEEGANKVAVTRVFACRWEGGVGVFVIVERVPALFRYGLLGDN TLVHRETIPLPGNPLDVDAFDIGGASPRLLVALHPKTSTEVGSSSSLVALDKEEAGWR QTPLVDSLATGSSSDISETELQKILYSTESLRKLSDFD MYCTH_2088290 MVRETKLYDVLGVSPNATEQELKKAYKTGALKFHPDKNHNNPAA EQKFKEISHAYEILSDPQKRQIYDQYGEAGLEGGAGGGGGMAAEDLFAQFFGGSGLGG GFGGMFGGMNHPRGPAKAKTIHHVHHVSLEDIYRGKVSKLALQRSIICPKCEGRGGKE GAVRKCSGCDGHGMKTMMRQMGPMIQRFQTVCPDCNGEGEIVRDKDRCKQCFGKKTVV DRKVLHVHVDRGVRSGTKVEFRGEGDQAPGILAGDVVFQIEQKPHPRFTRRDDDLIYQ AEIDLVTALAGGTIFIEHLDDRWLSVEILPGEAIAPGTVKMIRGQGMPAPRHHTFGNM YIQFSVKFPEKNWTNDPVAFEALRKFLPSPDFVNTPPAEAMTEPADLEDVDASARGFN GAMEEDEDQEHEHQGGERVQCTSQ MYCTH_2295952 MAQKSQSSAQSEDQAGSGSRTSSVKDGAGSPSSARQSNSSGAVP SSTLPRISDPTPNSTSNSESPQPPPAPAMEKQSAAGSQPSPYGTRSRNRTGAARPNYA EDKDLDLDIFDAYPQRKDDDSKKSGSKQQGASSTSTPANSSQTAPRSGNGSSKKPHPD DGKQQNGTKDQHSNHNQSSATAPSSSSSTTTTTGNGTSSAANGTSKGKKRKAGDTAST ASGSQTPSGSNGALSSSALQKRLGTTGQGSGDGKPSFTRYGETNMLTFENCKARPKDG KMIADDGTVLEVNDHVYLVCEPPGEPYYLGRIMEFMHIKNDPTQPIDALRINWYYRPK DIGRKVQDTRLVFATMHSDISPLTALRGKCQIRHKAEIEDMAAYKQTPDCFWYEKLYD RYIQKNYEVIPTRQVINVPEHVKKVLDERWKYVLTEQGRGKELTSAVKTCKRCTNYCA NTDSVDCAVCQNTYHMNCVKPPLLKKPSRGFAWSCAACSRAQERKLEARHTPNISDSR LNDGEDDEGFDDEDEDMGGADGADGADGAETGRTSRTSPAEENPHPPPTAEQIYHASL WPYRYFGMHCKVEDALDLDDRIFPRASTRLGLRHQAVVPPWYGRPVQYVKPLEFKKSG KGNGKLTKEQQAALEAERIEKEKRPKWIQDEPPGYIERGGDDTSTLLFKPPQDCGVDM SSEELDDYMNTARSMAVSLGLPPHSTNLQDVARDLLFRHGFDAKKALEELTKVPKADF GEPELTPAEQKKFEEAVAKYGSELHSVKKHVKTLSAATITRYYYTWKKTERGQQVWGN YSGRKGKKDAKKAEAAANKLADDVADAQDDSAFDTEKAREKKKSFVCKFCGTKKSRKW RRAPVAAVTPVTENGGKNSNKEKKEQFIQALCRRCAELWRRYAIQWEDVDELAKKVAQ TGGRGWRRRVDEDLYRELVAIDKMVSNTRSPTPEEDATATPAPSLNGQAAATEPPRKK LKNSLVDKESEKTPSESGSVAGGPTSKKKEKEKAEKQASQAPAPPPAPEMPKPKTLPC AICGEMEPMGDQHLACRECRLTVHRNCYGVIDNRAPGKWTCDMCLNDKNPQLSIHYKC VLCPIEFTPHDFVEQPKISNSHKKKTEKERERERMERENAQRAADYYRKKQEEMNRPV NPREPLKRTADNNWVHVTCAVFTPEVKFGNAKALSPSEGIPLIPRASYAEVCEVCKKT DGACVECRHCHTPVHVECARQAGYILGFELTPVKGSRRDQSRIVTINGNSGAMSAGVW CKDHQPTKTTVYRMNEVVAEDGTNALQLFVQNFKQADLTLTGCARKANQITVASKMST SPSSTTTQHNRRASLLATAHGDHEDAPSSLQPGGKICLTCGIDVSPRWHPIDQTQERE LTNGYRGSIGSEAQKFVEQRSFQCHKCKKAGRQPTIRSVPAREPTPPPEPARQASQAA SAILPPRIDEQRHSNRGPYGWPPAPGPSSAVQAPILQAPAPGPMAHPVGPPVQTQPVA PPALPPAIAPRGPPVQPPAAYASPRTYDWHRSTTGHGPPPLHPSREVNGGPSPPPSSM PPLAPPNHLRPPPISIPHSTAQSPPNGHGHMAQPPFVNGVQHPPSPRRSNGPPPPPPN GPPGPYHPHHSHPPPDLRPHHIPPLNPIPPVGMAHSAGAEAPHPPNYLRQWGSHHQSH HSHGPPPQHHHHGSPPPPGPPPPMRDGPSSMAHHESGMQPHPPQHPTSQHPPPQQQQQ QQHSQHSQHSQHSQHRENRPASGASASPSLRNLLS MYCTH_2114843 MADKPRLLGSWHTSAVQFGPENPQRYPTTPVAGQKRGYAAFSSQ QDADRNGTGLQRRAVLPRPHSTIRSDSDSNGAVERPQLVASAIEYPDGSVTKNDGPSE YSQRQMFAATPTSTVDPLLSLSHPAYGLPEQLVANFAALGIKAIYPWQRQCLCGPGLL EGEKNLVYSAPTGGGKSLVADVLMLRRVLEDRAAKAIVVLPYVALVQEKVRWLRSIVS GIPRADAANLDMPDDSHGFWAPRADKDTIRVVGFFGGSKIRASWADFDIAVCTIEKAN SLVNTAIEDCSISSLRAVVLDECHMIDDDYRGYLIELMTTKLLCLGQPLQIIGMSATL TNIELLKRWLQGHSYETRYRPVPIEEHLVCDGKIYGSETTAALLKASAAQPDTGSQCG LPGLQPMRLIKPSDHKELADPVRNAVVALANETVRAGYGVLVFASSRAGCESDALLIS RVLPTFAEADPLIQEKRLDLLGDLRSLSTGLDPKLEQTIPFGVAFHHAGLTTEERELI ANAYDQGVLKVCVATCSLAAGINLPARRVILHGARMGRDLVGPAMLRQMRGRAGRKGK DEVGETYLCCRRSDLDAVVDLMHAELPQVSSCLISDKRRIQRALLEIIAIGLATSRES LDDYVSRTLLAYSTEPRSIQEHVEASIAELQEMQFVTVDEYGSFEATRLGKAIVASSL DPEDGIFIHDELKRALQAFVMDGDMHVLYHFTPVHDLGGVMVNWKAFWNEIQQLDDSG LRVMHLLGLKPVVVDNMLRGGILRESTAEEKEMARRYRRFYLALQLRDLCNEMPIHRV AEKYDMPRGSIQNLAQTCQGFAAGMIKFCEMMGWGAMAAALDHFSERLNAGARADLLA LSKITFVKSRTARIFWDNGFKTIAAVANAHPEELLPVLMQAQPNKVKLGAKDEQKFKD KLLAKAKIIADSANRLWEMELEYDYE MYCTH_2295962 MSAAPSASSDTTGPAAVPGRNANTGSSRDDISLDLLRNASTSRT LDDVMREPSRSVPDVVVLDSLPEPTRHRDSLDLKEDLWLSNVDG MYCTH_2295965 MPLTQVALSRGYQVLYLDYRGTGLSTPINADSVLAQGDPPQQAE YLKLFRANSIVRDLEAVRLCLTQDFDEERKAWSLFGQSFGGFVALTYLSKYPRGLREV FMTGGLAPVKRTADDVYRATYRKLMERNAAYYRKYSEDMVTVRRLAEYIQDRGGIPLP SGGKLTVQLFLTLGLAFGSHGGLDDIHSLVLRLGADIEQFGFFTRASLAAFESHIPYD NNPIYAILHEAIYNTKQRGSPPSNWAADRIGRELQPFSWLADPANFLKSTPSHEPLYF SGEMVYPFYFEGTTGPELARMATAADILAKTEEWDEDLYDEDQLRRNQVPVYAISYVD DMYVDFELARETAALVKGIKVHETNGWYHDAVRSKSEEVFAALFKLRDDNID MYCTH_2295966 MGSVQLLQTLTESFIALSDEVQSLIDRKTILEHKLRYAHEQYQC LADKYAPAVPEVAEILAQIQLPPDLNPHPAAATAAVPLPRRGQAGNNQHQIALLIREG RKAAQELVAGIVGANASREGSTSLGMEGLASVSTVLEKDFTVEGKKGALACPFSAKPD QNGGLRSGAQRVDGSQDPAGAADPTPHKSSDPICAAMLEDSTKPSLSAPSKCPIRFLD KHSPEEIARYVETHKHAIPRSHEVCVRRYQRNEEQVKKLDAKYGNLVNMVKDLSQLHR PLMPPSQDDLKEADRASNKRVEDWAQTVVAGNPEPEDGPEPPVDEEREARFDRPLRDV RVGESPSRPWGISVPLEAGLRQREPSPKPVEDVAQADKEASGPPKCPFDHTKMFTGAA RREDTAEQPSPTTKPDAPPPSTPQPTFVNLPEAPAANRDRADRPQVVFNISGPVFIGY PMEQAIEFMKQFQGR MYCTH_2295968 MPGPSPLEDDLFSDHQSPRPFASPPTIITTRPSSDNDEADDDYD GAARPDQDTRPAWAPLPHPTPAGARRLSSHRATGSIGSISTAAARQKQEEAAPFLATA LAALSSLYAHYVRFYRSLPLYQRILLAVAGAAALATAVLFLAYGHAILSALGTAAQAW RAAAGGWGWLPVWLATAATAFPPLVGYSTCVTLAGFVYGFPLGWPVAATATVAGSAAA FLAVARGPLRARVHRLVGRDRRFVALAQTLRHDGLAVLVMIRLCPLPYSLSNGFLATV GSIDLGRFTLATAAATPKLLVHVFIGSRLALLAESGDKMSWGDRAVNYISMLVFGLIG FAVGVFIYRRTMARAAELAREAEAENGDPLLDGASGDLEQGVVAGDDLENGRMVDPDE LDAAVLMDDDDISLWDTAGGDGYRDSWDDEPAGTGIGANGHANGGTNGAKK MYCTH_2295970 MVSDETYEICLPVLQDSSIEDEDKTDKLEELLKEKTNLTGQALE NAVLDALWRYREGGRSATSPPPIRQSILRRPSPASWRGSGTPLSSSPRLGVSPLAPPG FLHTPLNRTKSSTASPFGSPRPSPRLTFAAPVPHSPSLNAYQFAQDDTPSQEVFGEYQ SDNVDWLVSDDAVSVTSSVGGSNGLNVSAPEFVSTQQQQTDMTPYDMLRSILGQSKSD EEISASLAMHGYDLGATVAAIMENQVQDNLASAAKAEENRVVIGKAMNSDGRPGTPAE QQKSGVICKFYLSTGQCLRADCRFSHDLSSHICKYWVAGNCLAGNTCIFSHDPAHLVN RLHIDGAGTPPAQHATVNLQDYSNFPALQPGTPEQLFATTANYPTLGVTPPPGFKTHH TYPDRPRSRPGSRHQQKDNTQSAPSPDDADAFPSLGAAVAKQGKKHHGKRGGHGHGSK ENSGPSTLADIVKMSPSPAPATPNRRAPRNGSSANVRNGENSAAAQAIPTPKHIPWLE TGERANKAYLKARQEAIKHGGLRNKFLQSAAQAWNRNDARAAKALSLRGQSENDLMRK AHREAAQQLYEDRNKDRASCPEIYVDLHGLHPEEAVEYLEGILMENVNESRPIYAITG TGHHSKNGKDKVGKAVRNFLNEWRYAYREFSVPGDRNSTGGILGIDARSWDRSLSQDG KTLTRKEESKEEVDILSQGVEIGEGKVKLLVRDTSVGKEPPKGPSGGKSR MYCTH_2295973 MMLLSSNEWQNQNCALEEDGKGNQLSSHSVTGRGGNSSEDDSTI DSSIPPHPLGIKPLGNKYFSAGADARMSIGALQALPDEVLMQLLEYMDARTLRMLGYA CKYLFACCMADDVWKSVFLESDLGKKTSFEWRGSWRATVLGLSPEQTARVDCSNVFSD VLHRPFVCSHISLLQYTRNIPAANQIDRLQNMSYEEFAEKWSKKPFILTDCIQPWPVT KSWTLEGLLSQYAEVVFRAEAVDWTFATYYQYMRNTQDESPLYLFDRKFAEKMSLKVG KENGAAYWKPQCFGPDLFEHLGAERPAHRWLIIGPKRSGSTFHKDPNATSAWNAVIQG AKYWIMFPPSATVPGVFVSKDSSEVTSPLSIAEWLLEFHAEARRLPECREGICRAGEI LHVPSGWWHLVVNLEDGIALTQNFVPRSHLGGVLSFLRDKADQVSGFEKRISDPYGLF VSRLREEQPDLLEEGFKQLAERKRRWETVVGDVDSSGDQPQKKNTGFSFGFGFGDEDD EIP MYCTH_2295976 MPVIKGHDVIAQAQSGTGKTATFSISVLQKIDPNLKQCQALILA PTRELAQQIQKVVVAIGDFMNIECHACIGGTSVREDMKALQDGPQVVVGTPGRVQDMI QRRFLKTDNIKMFVLDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVT TKFMRDPVRILVKKDELTLEGIKQFYIAVEKEDWKLDTLSDLYETVTITQAVIFCNTR RKVDWLTDKLTARDFTVSAMHGDMDQAQRDLIMKEFRSGSSRVLIATDLLARGIDVQQ VSLVINYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYSTQIEEM PMNVADLI MYCTH_2055144 MDRALDRSLDEILEERKQNNRSSRGGRRRDRSDYPRDGVRKSFR DDAPRNLDSEWVHDKFEDYGTRRSAPRRRYSPEPTSETRGTKLRVDNLHYELTQEDLE DLFSRIGPLISLEMKYDRAGRSEGTAFVTYESRRDALQAIREYDGANAAGQPIRLTLL PGGPSAGASRGGGRSGGNHPFDSSANAGRPLAERITVPGQGRSRSLSPHRRGPGGGGG RDSDEEAARRGVDRYRPRGGGGSGRLSRSRSPIGGRGGGGRRRDGGGGGGGGGRRSGA RGNRGGGGDRSGRPKKTQEELDAEMEDYFNSGSGGGGGAARQSEGAAAGAAAGINGAV AQQQQQQNLGEDIDMIE MYCTH_2295979 MGLGKRNPQRPVQPNDRNAAVVLASRSLASWLDDDAFVARLLAA SGMADVRSANVSVLTAAVDEVPRYDRRLDHFTSSEGISILRGNNRRILPFFPLSAAPS APSPPRNNLGGSHPPPGSLEFRPPPKTVGGPQRVYVPLANTLFANGRPNTIAASQWWF PNQMRIGSQLPLLMQKVHLKEHTVVLPPDDLGFPEGSGSLVSARVVPVTPARKVLNSM GNILSKLEVDGKSVPASEELERIIPLLLKAPRKLRDGDHPPGGPFNVWALSIPPGYAH SRHILQPLQLANYSPDQEWSLAKLAAYRMNIHLACGCRLHKVVSGGGGWGAKQGLLSL DPQTEPLTDEDQDLQSFIDSFSRKYDDGSVDGGSGSGSGIAPPGWYVQFFVEAAYPEP QQYLIPEPRGYDPEERPRSVTTVFGTPGAVIGASPLDIVRSYPNLFGGVSSEGVYLVR RSKAQQALLAKEEKLARERLQLASQEEKEMTWEEVKAEEKRRAREEKEALRELQAWKT QAAEEDQPREEGRMTKGDQAREEEKQARDEEKEKEEEEEEKKEEEKKEEEKKEEEKKE EKEKEKEKEEKKEERKEEEDGQNKWIVSKMDTPRSYLLSQASPYAGVPLSPANRSPPP SAASKPRAPHAGRLTGRKPHVPQAKPSTGQRVTAHKSPRRTSTPRPRSQNRQQVGKAN GSTQSL MYCTH_2295983 MADSDVVTDAGEGRRSSIEKKPIVIPNDDDDDDDGLKPTDPPAF ERLPDEIIEQILRATDPNGFASLALLNSKWRSVAQQAHLYAYHLARCPSYSMSHNGPP KATSDDDLPKLRRLFAREVKRNLFEAYLRPSRTIIKIISNSISSSSSPSGEDIQFSPS PRGHHLLAYNSSRIHVLDLRAPEIVVKREFKILRRPAATCINDEGTKLAVLLTEMEVD IYDLNEKPPKRTHSMILDHNPRAIALSPCGSVLAAAYEGGIEVSSINPGALATDRRAV KCDSVDALTFSFDGTQILGTTVQSCQPNTVILTAPYYDPASHMSDDNNISALWTTSIL FPNTSRDCSHAVLIQNGTYEDAAWTFTYDRSFETFRAVRIDDLRNGTTYFTGPVPSPN SQARLLPCTLPAASYHGDLVSAGFQGKDVWLYGVPEDLAAVPEAASAGADNGSNGSGL SRGPSIRSSARMQENDSVRVPQWQILCDKLRNTFVAGYKVGELEGVNTVKFVADFSDS SMKERLVVAARGVLAPNMGLTEEEAVDFVDGGRITIMDFDYAVSNGTTRECVIEVGTT EEPEVLEEEHRDMATEVAIVRRRTVAQNRRNHGAAVLRSTTTTSRPPPLPTQTSSQSG AGDDDPLVPRRIGVPPTRATQQTETSDDAETQSIEEQEALDAPYSHASPRSGTTLRRA ATAAANTRRLHPSAAAAGHIVYRRADGRAEHPHESDADNWVPPPPPYQKEDPCDLPSF LRHSAILPLVGPITQPAGQDAEPRPDRKALRNSSASIHHHQHRRAHTATSANRFSSPV PPVPPLPSLSGIPPVPPVPPVPPVPPVPSLPPGVAGSPLPPAAPLPHAQSRQRSGSLG GPSANEDAARPASRSSRYLEGENIYDVSPPDSPALAPVRQEVSRDQSNPEQPAQTSMV SPASPQTADGGATATTTGTQTASVSPEGGYPATLDVQAAVQAATQASSSLVPPSDEPP AADPLVRRISVSRTWPIQPMPINTNAAPTGYPYSAPPVNMNAGEAFSQALPPPQGEPN PNTSRRTSYHRPVSQQQHYSFPRPRPQSPTAQFHARATIHEPQPRRPLDDVGTVSTPP VGDMPLIISTPKGISGAFDPPERQPSQSRAETPILAPIPRHPRPQTQASILRPQDQQQ QQPPPSQPPHISTMYGGPLPPPGSNSVPPVPPVPPVPPAPGSTTSTGRLSRRLPSLRR RRSRAGRSAAKNVADAKRRGWSGQSTRSKSTKRGGGGRTGEADFDAASSAAWTDVTAD AYSVRDAFGMGGRGRPGTGGGASGSGPGSRAKKGKCVVM MYCTH_114166 MARRPSTRQSIRKAAQSKAAATETKTTTSAADAKVDVKVDVIKT KASKRKTAAAEPDLDGEDIENTSPAEDANSRESQETKKKPAAKKRKTANTTTANTAKE EAEGITMPLAPRTAVASLKRAMYIGAHVSGAGGVHNSIQNAVHIGANAFALFLKSQRK WVNPPLADEAKTQFRALLGEHGYDAGKHILPHGPYLVNLAQPDEAKAAQAYGSFLDDL QRCEALGIALYNFHPGSTGGEPMASACARIAAQLNRAHAATSSVVTLLENMCGSGNVV GSRFEDLRDIIALVDDKSRVGVCIDTCHAFAAGYDLRTPDAFARTMAEFDSVVGLRYL RALHLNDSKAPFGSHRDLHANIGTGFLGLRAFHNIMNHELLQGLPMVLETPIEEKGPD GKTVENKQIWADEIKLLESLIGMDVESAEFRDKEAELQARGASERQKIQDQVDKKAEK DARKGAKRGPKAKGKGKGNKKDEDSYTESD MYCTH_2295985 MYGCSGLSCTQSLSKQLAQSHIPIHHHEVQVTVNPTTGKRERVF VDLRAVYPTPDEPGTELSFEEVWAANRGWLGHEWEDEEAPRVSDENSAAAVSALADSV SQKLAVHHDVLRLDENGAPIYPKESKPRKKKVIEVNETQIIKAKLDSPSGPKIKKKRR STAEPTMTLHTKAATDDIYDIFNAPIKPAVQGLGASDEERGFESDDYTSGAESTVTTT NVPTSEADDVEDEARDDIDDENSDGKSVASDWSDFSMHKHIPDIQGDAEERDETLTSD LTHGQQDEGNDNEGIEPPRTRTMFVPIPPEDYVPTRRPYRDPAEVANNRLPFMTPITE RTETSLDMTSDHSRYGKTPSRRRGEEMIDEDEDENEVEDEDGDGNFDLEPLSSPLREV VENESPKDKVPQPLLPKAKPAPAGKPFAPKALAPKGPIIKETQCNPVDESIRAEILAN IHPPLSSYSGFYDHRDERCERGAEIRKFAKAAGKGSRNSTDKTGNLGTAVVLEFPEVK SQYTIRRELGAGAFAPVYLVENSYPDSSSLDGANDGDENRPVAMMGRGAFASTHNRRH EREALKMEDPPTPWEFYMMRLAHSRLGPQHRATASLSPALEMHLYQDEGFLFLPYYPH GTLLDVVNLFRGEASGVMDEQLAMFFSIELLRTVEALHLKQIMHGDIKADNCLLRLDH LTGGGSHSHDQQAQQQQLSSQWHRSGAGGWASRGITLIDFGRGIDMRAFAPDVRFVAD WKTTAQDCAEMREGRTWTWQIDYHGLAGTLHVLLFGKYIETVRCDAGGLATSGAGGRR YRIRENLKRYWQTEIWAECFDLLLNPAAFAEREEGGKMPVLRGMRAVREKMEAWLEAN CERGIGLKGLMGKVEAWAKGRK MYCTH_2295989 MPISKIHARYVYDSRGNPTVEVDVVTELGLHRAIVPSGASTGQH EACELRDGDKSKWGGKGVLQAVKNVNEVIGPAIIKENIDVKDQSKVDKFLIDLDGTPN KTKLGANAILGVSLAIAKAGAAEKGVPLYAHISDLAGTKKPYVLPVPFMNVLNGGSHA GGRLAFQEFMIVPSSAPSFSEAMRQGAEVYQKLKSLAKKKYGQSAGNVGDEGGVAPDI QTPKEALDLISEAIKEAGYEGQVKIAMDVASSEFYKPDVKKYDLDFKNPDSDPSKWLT YEELANLYSELCKTYPIVSIEDPFAEDDWEAWSYFYKSQDIQIVGDDLTVTNPKRIKQ AIELKAANALLLKVNQIGTLTESIQAAKDSYADGWGVMVSHRSGETEDVTISDIVVGL RAGEIKTGAPCRSERLAKLNQILRIEEELGDNAIYAGENFRKAVQL MYCTH_2295992 MAGGVNVQARELLPTNVIPRHYNITLEPDFKKLTFDGTVVIDLD VVEDSKSISLHTLELDIHDAKITSGGQTVSSSPTVSYNEDTQVSTFEFGNAVTKGSKA QLEIKFTGQLNDKMAGFYRSTYKNPDGSEGIMAVTQMEPTDARRSFPCFDEPSLKAEF TVTLVADKKLTCLSNMDVAYEKEVKSEQTGGIKKAVTFNKSPLMSTYLVAFVVGELNY IETNEFRVPVRVYAPPGQDIEHGRFSLNLAAKTLAFYEKVFGIEFPLPKMDQIAIPDF AQGAMENWGLVTYRVVDLLLDEKASGAATKERVAEVVQHELAHQWFGNLVTMDWWDGL WLNEGFATWASWYSCNIFYPEWKVWESYVVDNLQRALSLDSLRSSHPIEVPVKRADEI NQIFDAISYSKGSCVLRMISTYLGEETFLEGVRRYLKKHAYGNTQTGDLWASLAEASG KKVEEVMQVWTKNIGFPVVTVTEKDDKTIHLKQNRFLRTGDTKPEEDQVIYPVFLGLR TKDGIDESQTLTKREDTFTVPSTDFFKLNANHTGLYRTAYSPERLKKLGDAAKEGLLS VEDRAGMIADAGALATSGYQRTSGVLSLLKGFNSEPEFVVWNEIIARVSSVQSAWIFE DQADRDALDAFLRDLASPKAHELGWQFSEKDGHILQQFKAMMFGTAGLSGDETIIKAA KDMFKKFMAGDRTAIHPNIRGSVFSMALKYGGTEEYDAVINFYRTSTNSDERNTALRC LGRAKSPELIKRTLDLLFSGEVKDQDIYMPASGLRSHPEGIEALFTWMTENWNELIKK LPPALSMLGTMVTIFTSSFTKKEQLERVEKFFEGKNTNGFDQSLAQSLDAIRSKISWI ERDRADVTAWLKENGYRS MYCTH_20364 MAKDKKGKSESKKAKLAEKKLKAEKKAEKKAKMKASKMEGSDGE DVDLDAVLEEYKKEQEKFLKVTETVADGPPRARSAATLLANPCNSNQLLLFGGEYFNG SLATFFNDLMIYYIDRDEWRCVTSPNAPLPRSGHAWTRGGNQSNAVYLFGGEFSSPKQ GTFYHYNDFWRLDPTTREWTRLEPKGKTPPARSGHRMTYFKNYIILFGGFQDTANQTK YLADLWLYDTTSFVWHSPALPPAQLKPEARSSFTLLPHEQGAVLYGGYSRVKGTAAAG GGGHGGNKQQQQQQQKQQKQKQGAQRNVLKPLVHTDCFFLRITPPPPDAPPGTPPTVR WERRKKPANAPNPPRAGATMAYHRGRGILFGGVHDVQESEEAIESEFFNGLFAWNVER NRFFPLTLRKRAGPAGGGGGGGRKGAEQKGAVGGRKGRARENEEELLRQLAALRAGAG LEDDDDEEEDGENGGEKNRVVRREMPVRMELPHPRFNALLAVQEDVLYIYGGTFEKGD REYTFDDMYAIDLGKLDGCKEIFNRPVEDWVVNEDDEDDEDDEDGEDEDEEEEGEAEV ANEEPKPKLFTESKRKKKQASEEASNAGSAASAPAEEEEETESGAAPEDDGLPHPRPF ESRRDFFQRTSKEWQEILMTKLRWKGIQPETLTIKEIKAKAFELSEEKWWDCREEITK LEEEQEEAGIGEVVSLADRGDSGPGAGG MYCTH_2295997 MREHHLSVTSLEEYEPNPEFVGRNFNAGEVIQLVLKARSGHWLP FNYVQMVMMHELAHCKQMNHSRAFWAVRNQYAEQMRGLWSRGFTGEGLWGRGALLSTG EFEKNVVEPGEPLPEHLCGGTYRSRGRKRKAKKSLTYKEQKERRILNKFGANGVTLGE DPQMKQRLEGKKVASKPRVAGSARGRELRAAAALARFEQQKKEEEKEEKEEVETGSET ESGDDYEDEDISGPDAVDIDGKKLLDAKGRGLVRVCEDENPDDRDAQDELRELQSYGM RKQTSKPASKPPNPPSAHQKNPWTTRASNNKIEAPAETDSSKPQPEPRNGAEPAQASI ACSVCTFINEPGSTICAMCANTLRPEGMLDSWACHSKTCKGSKYRNAGDCGVCGVCGE RRQPSGLP MYCTH_2295999 MSRLVLLAFTLALLAHLTLAAPPQPWYEKYNQRRVIVPQSYYEA LSLRRQAPVKPNAVRDMVCLDTSTRFLLYDEYAASLAICGSIAGPGATRCEGNPAETV GTSGRAAFALQATERDRGATINVSKEAWARCVAAAREACPQGRYRGVCVGGATKGDVS FELMSV MYCTH_2136876 MNNFGVIEIASTTTKTTPGWAYVPDTGPTPPAALQPTNRKRAAR NQPALSLSDLSAREETRLRKDLEALDRDSNRDVNIPIPPRPGANSKGDDARNKHTPNV RKILQSQKTFANHLDDYHAMLALAESNPAAAAALNPAQAKPSTPSAAASKSASPAPAA SAILPPYGRSPPPPHPGDADPLLVSRVPPFPSDDELRALMTAPPLSYLEARAGWDEDG PGRYPVRVFCAVCGYWGRVKCMKCGTRVCALDCLEAHREECVTRYGL MYCTH_2296001 MASRVLSHSARALTRIAGPARTFSTSAARLDAVAAGGAASPLPA RKPVGALRGGLFGFFLGSSLAAGGIYYYAIQEYKVSNELLTEDIYALQNAVERLSKYL TTLEEKMEALERRKN MYCTH_2296005 MYKAQKFALGLLAAAAVATASDVVQLKKDTFDDFIKSNDLVLAE FFAPWCGHCKALAPEYEEAATSLKEKNIKLVKVDCTEETELCQQHGVEGYPTLKVFRG LDNVAPYKGQRKAAAITSYMVKQSLPAVSEVTKDTLEEFKKADKVVIVAYVDADDKTS SEVFTKTAEKLRDNYPFGLSTDAALAEAEGVKAPAIVLYKDFDEGKAVFTEKFDLEEI EKFAKTAATPLIGEIGPETYSDYMSAGIPLAYIFAETAEERKEISEKLKPIAEAQRGV INFGTIDAKAFGAHAGNLNLKTDKFPAFAIQETTKNQKFPFDQDKEITFESIKAFVDD FVAGKIEPSIKSEPIPEKQEGPVTVVVAKSYNDIVLDDTKDVLIEFYAPWCGHCKALA PKYEKLGSLYAASEFKDKVVIAKVDATANDVPDEIQGFPTIKLYPAGDKANPVTYSGS RTVEDLIKFVAENGKYKASISEEPEEKTSSSSAASESASASETKSEEAKETDHDEL MYCTH_2296007 MAREAEVKTRNGGSSEVTPPSPSSRSPSRSPSPPPLPPRRKPSS DGPAQVAPAQAKPTTAVSSIDISTLSFPDGSRGTFSTSAPRDGFTPNASGYGTPSRDA TATAGAGGDLADAMSVMSLAPTMRPHGDLASLVAGGLNRKSRAWNMLRSQSETVQPFE SIELGAAGDLAGFEREFDEIPSDKPDDVRLSMWKAKLKHYMILSSAGKPIYSRHGDLS LVNSYMGVVQTIISFYEGAKNPLLGFTAGNARFVIAIEGPLYLVAISRLGESDAQLRS QLGALYMQILSTLTLPTLKNIFTHRPSTDLRKPLQGTESLLSSLADSFTKGSPSTLLG ALECLRLRKSQRHAINNVFLKNRSEKLLYGLIVARGKLVSVIRPRKHSLHPSDLQLIF NMLFESGGIKSGGGESWIPLCLPAFNNRGYLYMYVSFFADTPDATFAPESALPPTPES STDSHYYYPRPANTEEKQKQDDDDDNNDNDNDDDEIALVLISADKESFYDLKEMRDKL AAQLTKAGHLELIRSAAREGRPRVQAVAPGAQIAHFLYKSRANVQFCMSALEMPPPPR PPPGSWTAAASSSSSSSSAERMLTRRRLMSLYHELHASIHAKHAHLKVLHAVSEDAAS LAWITPVFEFYCVAGPNVSRAAMAQGANRIIQWAKREEERLFIIGGGVF MYCTH_2296009 MVLSSRRQALQARPSSVSTIPSKATVAKRLSQCLNPSLPSGVHQ KALEVYSYIFSVIGKDGLSRDLPLYFPGLASVLSFASLTVRAPFLDLLERYFLDLDPR ALRPAMKSVVLALLPGLEEETSEDFDRTFKLVERFKAAIRPPGSRDITETHSSGDGFF WQCFFLASITGHSRRTGALAYLLRSLPRLGHPLHPEASKASSAEEEISPKLSQLVTTP EPGLLIRCFAAGLADDQLLIQRGFLDLLVTHLPLHSNVLQRLAKRADLELLLRAATGV TVRRDMSLNRRLWSWLLGPEPPASAEHDGGLDSPTSTASTAAAAAAAHTHGYLSSRTT YFEEFGLQPLTRALLALVESDSGNSPSERARPYRICLSLMDRWEIGGLVVPELFLPIV NSVRKFKAKAASKTDFAEVLRSASVFFDGVESGLIYGEILALMAQAIGPGDLSSAERR DKLDLVKFILAHFNVREEEMITLHAPLTALSILCMIGETKGDSAPSKGASVPLGDVSI SETALSIACTLLELVPERAFSEGARKQSTTTTSVQSPTSAPSPNAEVLKKIKTFYDTD QGNLEVASPPFAAHDLAELLIQKACDLTCSSLARREPGAALATKSRIVVLLLPKLPQT VSFDTKKLLSALHDCLVADEPISFSTYSSVLSLSSHLFSADRISTVELSDLVMPLVRH AWAYLSAAEPKYHVETVRSLWLLQSALSRSNRDIEAAICSLMLQKDTAGTFAQRPADP GRSFGVLWTHTLQDNPSALERRGPKTTNGDITGPARLAGMEHYEIMLTRPLFLMLDAL DDEKTQLFMTVKTWLHSLIGIDRMFTVFVTKFSDFAFLRRRRASNSGPAEYAEDDDLS LALYYMRTLSNVLRWAPEATWAVLAKRVIRSDAYQPPLSEIKIFLHVCLQCIAHDKAP GEEGSEARATQLHRAALTVLQQILHNPFSEHFAKLHLEDTLIEKLQHSLSGPDPYVQA LLLDVVYAALKLRELVPAEPPSSPTQEKRMGQLEAARGSKSSLTEKPMVLQPPPPSLL KCILAGFSSPNSRPVLDSWVGFLTECLPLYTDSVFQVLIPLVETLCRQIGDTFGSLQR LFRATDQTPSHGAAGPETTLISLLNGLEKVLARGHDRLLAEEARAQVVKSPDQPQGFF GNMVSGVFSSDAPQTRSATANDRLTVLLAFQDAVRMCFTIWSWTQGSDAAGQDATSGA SLNYTSLRMRNRARRLLEHLFAAETLECLETVIGIWRGALDSADASKAAEVFNLLPAL DGSRPKHTIPALFNAIYSRTNPGVLDPSRRSTLTVELQDTDLVIFLVDYTRSLEDDTM DEIWQDCTAFLRDILANPFPHRQTLPSLLEFAAILGEKVDNTNFGEQRKMRRDLADLF LRLLTAIFTARPASFDSTGSSGGSGSEKRPSEVARHSSLRLPAERADDIVGILSTIVP NLPKILVENDRILAAAAAISTNVIGPALRSKSFPDTISKSMLTLLHELSRLPNNQKAW KKDVSDAFNDPRFFGSNLALVHSDWLPLLKQWTVADKERMPELLSRLTPPTTAGIVFG VGATSARLEADRKTQLTLRRMATLILACGTDAFVVELPAIADKLTELLSATATSSPSS TTRAEAYMLVRALVLRTSAVHLAPLWPAVNAELHAALASVVAPDHSPASDTYGNAAVL QACKLLDLLVCVAPDEFQLHEWLFVTDTIDAVYRPEFGGSSSSGVGGSGGGGGGGGGG GYQPVALVDEVSEELGAVGLMMVGDAPSSSMAAPSSSISPSPSSWSGPRRPLLGTPGG ISDEVGLERKDELVAKVLRPFFGQLSIYAFESTYAMTPVDWDGCVALLVKDLFDERTI VRAL MYCTH_2296014 MALTPVRGTLPLTDECHHYDDVREVPWELKKYWHQRYSIFTYYD YNIRLTDDAWFGVTPEPVATQVAKDMRSHKRSNPPKDIIVDLFAGVGGNTIAFALSGK WDRVVGIEKDAATLACAQHNAAVYGVPEGAITWVHADCLDFLARLKSDAEAAAAEIRD DDDVVDDEENKETRSNKKNKKKKKAKKHRGLDPSLRLDLSKTVLFASPPWGGVSYRDQ DVFDLGTMEPYNLATLHEACRPMEHALYLPRTSDLRQIAELVPDGSDVKVEVVQYCME GASKAMVAYFPAE MYCTH_2296015 MFNALNRFISRLDGEPMPAAKGNQHGGFGFQVLRNTNQQLPIEP WFDFIVGINGRVIDDSDPRLFAQEVRNCAGNNVMLGLWSAKGQRTRTLHIPVPADTGS LGLTLQWTALSVVSNIWHVLDVPANSPADAAGLLPYSDYILGTPEGVLHGEGGLSELV EDHIGRPLRLYVYNNEYDVTREVTIRPSRDWGGQGALGCVLGYGALHRLPAPLSEPVN APGETLFDGDASFTGQTGFVPATAAAAAAAAAARVGSPGTTATTPPPPPPPPPSGSAD LLVPAQLVGAQVAPAEAPPRSKKKERPHRGGPNLMDDYFREEEKKSRELDRVPSGRNS PLPPPPKGGPPRGGPPRAGSTPPKEEGGE MYCTH_2122870 MPGLDHISPASLPAAIFHLPFAIFHFPSPICHLPSTATACSWGN SDITVYRQVERVVEYALDLLSDERGFWALAEAGRRAWERGFQKPLKNRRPSLGPFESL AKSFLNELTKSEEAFLQSFWTSNHPDYSGYLRGEWKWVGLDEKVEGSWKSTGRDVIHL NKHMLESMDRAWKMAQTGTSKSEDAYRAHHYFRFTLGANVARQVVNAFMKYLAGRKWT SAHDAGAMWESLMFGGEVFNFDAPVDPRAVEYPEDPMGDDRCGTLWLVKWLDESRDPS NTRVRRLRVVYSKRYGIGWGVVEAPVYTLNDFRRYKQMHEVRPKMRREKYTNVKQAPT GNRPSYDEDLGRQRAGTGTPNSASSITSSAPSSAPSSATSSAPSYTGGGYVGGGSGGS RSSSSRHRTAGAFQAADGYQYMHYGSAPGLADRQQFASLPATAARAANNNPATPRQGE GIVSGAEQRRYK MYCTH_2296019 MATILEALHPHPEERLHLRLHRARSVILTSEELVEIRAAQRTFE GAYMRTALSQFSFALVVLKIFTSEFYPIGALLACYGAAVLLVAVYRRYEGNRQFFDSE EVQEEEEEEEEQEEGVGEEREGGVGGGGGGGGGGGGGGGGPGVPDDLGETMRRRSSRS NGQRPRARQVVVKKKFRTSGNSVGLLVGLSLVSYIALLVLLWELVE MYCTH_2296022 MSYQYPPPPQNGEMDMSAPGYLPTYSMPAPASAIDPDASLSSRE RSDLSRPISTSGIGPSPAHPQQQGSTGTDPSGERRRNKLGYHRTSVACGHCRRRKIRC VPSQNDVQGRCINCIRLKKECSFYPVDQQPPVETRQKSGSRSSVGPKAPSASSSPAMQ PGIPAEVHGQQPYPQLAMPSLQNMAPALKPSGSDSHGPDPKASSTRRYEYGQPGMSQW MPTDVSPSSSKPGDMNATWRSYPGDSPITAAFSYVPQGPPQSATWSAPVGSDTSSRDD VAWSTYPAPPPRSMSFGAESMSGHQQYPSISQISNHSARAYDRKSSLVSADMYPPPIA TTIPGIETVPGTSMDHAASLSAGAVPPSTYAPWQQSYTYSKPAEGYGGWYGDAGDQGS STHGHPSSNVYYGR MYCTH_2106779 MISESPPALPVSIAGLVKHIAQHPDTSMMELLEPYRKFEAHLRQ LFAQDPDNKLVKDPYIQVYHASSPYDSAPEEFQRNSNIFSESPLSELDWSNVVAAGSS VVNTPLSIPEEYSRTKRGLREFDHEKFCPASDVDLFGLTEEQAIEKIKAIEAAVRRCC PYRDYRREDQAGHHHQDPDRVDIDFSAAAYDGKQVYCTPRALQSYMTQIDHINLSRRS PSYENRLSKYSHRGFEVYFPELDRSRIDATVFERSFRGTVGLARLLVPEQLPTQEVRD AYQSGRRLERDRPPLNHHRRQIPALRGDIKRGHEDEVADWFTEEDISDYRTFTMPYGP RFNAKRIETLCYTRDLLLNAEWNQHNGREVYLHRHPAFFVRVEDVIDDCCGHCPVPKT AEEKEVAQQDEKVFVSDKITFMKDDPGRDRLPREQQIVGPRDLEIVKILLHKSAANEA EYEEKKLQRRRQEDPKDTDRGETEGKVTEGGDESDGEPVDGSDSEAGGKSMATGSFVK VKREEAAPLDDAAGDDNKDEPDFYDINVTAWDTPCSALHLAVVNGHMHVVRALVQEYG ADVLLPVKFDEKDALLTLVLALALPVEKAKEMTRLWSASGPLQLKRPTAINHLGFSNG WSCDSPLQAAVRNGNLGLVVKLLDQGAAPQIDFEKWLKSAKQSSTMTNQLRTLPDKEM TFKRMVEQPLMLALKSSRPDMAIELLERGADPNVILKDMQYYLSKGFGGPGVDGRCPL DLVDHCLQTLRKYQKESARVSTRPTLPEGIDAYLNQFEEGTYQHWVVSGDIERRRKFH EQNLKEYEKEQSLPDVPSELREKRLPLRKRSRSWKRSRKRSSVAQALDAEDEVSGFYS FPIDDFKVAIEGGYVKLFGEIIRRTGAGLPLESMGSTVYGKKRKDWAEAGRGGISSSA GTQTSPLLIAVFAGQIETVEWFLSDTPQRHYLAFANSKGARDDARLKHLAQAPGGFEG AISRWLSDQILHAAIYALPSKRASWLLTWAANGVAPLLLASRLGWLDAARILIEAGAD QRTKDHGRNNLLHGADPAIIRAVVDFDPSLLWIEHAVGRTPAEVAHDCYLADFVKKSK NWTCEGPGKPVTWLATASPFELIKPKVCDEPREHETATNVAKNWRFCAEVLARNGQPR RTLVGLGSANLVAKRLGRRHVHDRYNFRFVEKEQDEAVASEPAESKDDASDGSDRGAA PSTEEATRPAGLATEEKTGRRRRRRTDEITQRYDSRHHAWLRPEGEKEEKEKGNDDGR ESDSSACDKTADDEQEG MYCTH_2313617 MKPIVSAMQAWSCFVISIFAIVILSVLGLLFRGNHPELVGGEED PENGPEVAATIFTAVIIYAGFLVFCGFQGLLHLRENRRGAIAL MYCTH_2296038 MRVSTHLSVLLAAVTTQILAQETGELGDAAEVTNNPVGVVYKAT LPDSAFFKPAYPDGGNIQGEITAEANSDGKGVKFTVRLSNLPKTGGPFPYHLHVAPVP EDGNCTETLAHLDPYIRGEDTPCNADAPATCQVGDLSGKHGKIPANKTTFETSYVDLY ASTVEGIGAFFGNRSIVFHFPNKTRITCANFERVDGGADLPGDDDDNDDNDDNDDNDN DGNDNDGDDDDDNAGGSSPSPTTAASPSITSGPSSTEGAGAPPTETSDIATAGAPGLR ASVAGAVVLGAAVAVLL MYCTH_2075738 MNQGTYLDHGGATVPALSTLRRTTALLSRNLYGNPHSANRPAAR SGAAVDAIRARALAFLGADPARFDLVFTANATAAIKLVADAFRDLGEKVLSPTTPGAF ATAAAAAGGGGGGGGGSGFWYGYHREAHTSLVGVRELAAGGHYCFVGGDDEVEAWLDG RVVAIRPGSGPVVPGYPGMAPGLMAWPAQSNLTGRRLPVTRWVRRVRENGRRRGRAAA SASAAWRDTYTLVDAAALAMTSGLAELFADPEAAPDFVCLSFYKVFGFPDLGGLVVRK ESGHVLALRKYFGGGTVSMVSAIGGAWHLSKGLGVAAAAGEPAAGEGRQHGGALHEGL EDGTLPFHSILALGEAMDVHGELYGSGSGSMDNISAYTTALAERMYRGMRALRYADGQ PVCVIYEEGSVSEYGNATRQGSTVAFNVLRPDGGYEPYDRVERLANDMEIYVRSGGIC CPGGLFTALGYEPWHLHRARSAGHQCGPNGIGIINELPTGVVRASLGAMSTVEDVDRF LSFLRETFISREDSAYASSNGDGSASLNRPED MYCTH_2296040 MTTTTAAALGSGSDRDYFAHHPAVADTDTTTSGIDDDDDADRDA SNNGLVLTFSDIPSDLVQLSGACTSDDPAQRLLKAQPQNHASASHSPIGDLPKGSSPP QQAEPPQPQPEPGPRQPQQHQQLQQLQRPPPPPPPPPQPSASSPPASIRVHRVRKPSP GLAARLKALGFGTTRKASPPPSHHLEPGRLPEEAIRRLEQEHLAASAQTVVERRGRPW KGAIARIPSISSLKSDKSHKSQGRTEPEESASEAAITAAKPDFLPEIAATEPLEMDTN KYRLPEHTNGNGVKAQPETRDEHIARDTRPPQRPGTPEIPPPPLPKDTPPPTRRATNP TTPTARDSFDPGSIPFNPLGLQRPGSIYTLSRASFANQLAQLTSLQLPDADSLSNKIS AIPTAQAATKALLGAAEQIRGWIYKASEVIAGLESDDDVEWAAAGGREGVEEVEKAIV RFEELIKVYVGAIEDLQSREDIASVAADDLRTAVSQMEAILEEWAKMRNTLHNVKAQV EIAMEWEELWDMVLSDIQNEMDDLARLVFEMEERRHKSMLSVPTGDGTDIGDLEPFAD DDTPRAPVRLQMANNRFSMPVFPVSPTSPSAGATGISQDDSSLLALFARMQPLRASLD FLPMRLSVFEARADSIFPTACEELEARRAELDASYKKLEKDAESLRKELGEDRWVLVF RGACRQAQKMYESVERSLARLREAIDAGLHLTNQPVMAKKLENYEAKKTHYGPAIERV LAIIDKGVKDRLTVNGEILRLHAEMRAKWEELKETMADMDASIEELHADSKEQQLRDS VSSMLSNDMSTLASGHETPQSSPPSSVILSSKGPNSSTQHVKAPKQRSASALGHAPAS RRQSSLPTPKTQLTRKPVTAQTSMLSVPVPSRDTSATPTANRVSRLSPSLATRPKWNP STNTRDIDTGHNFKPLTLTTPSPYSKQAPTPVRSGSSAASSTTSKLPTLRTPNSRATS ASPIPEASPSKNGLLSFRERLASPDPSSTALRSFSKPRLTSNPSAPPALSSNSTNRRA SLQPPKPLDFSPPGATSTTTTATTTPRRPASSLATSRRTSMPPQSRTSSTGVAAKGSG SVITGRESPQAVAGARFAMRKGSSSSASAAPGTKDARPRWRV MYCTH_2296041 MAASSNPAASRSASPAPDSLPRKAVGARSEMSRCFSTGSTISHG SATTDNSHHRESLGSDASAVSRNSSSSYRLSDISVNSDQLRPRDSTCSVPLTPRGRSP NRRRGYMRPQGTDFAASARHRESVLSLGSIAHIQYYFARTGLLDGKGGRLMRRRENKA HTLDLSALDPGVFLTPKPGSDHDSSYASMGSSPDLTGAQGGFSNAVALDNGPMVESPV DEYHPDQQDEDYFSDDFEIDPNMPPPTTSTYIHREKPIPKPPSMAELRSDLTSSLDVA EQTLREAKNAKMPPELTIHVESADSQPQDGRRPSVPFGGWYGVQGMSILDVMTLAIRA AKIYYTSHDRPDRLDAIKSEKELRGELLSVMDVLKRMATRGFVGGMRGDEFQTMDSWI ASVRAMLAAEDAMEAKEIAERASWTWLDPEGWEGREFEREEAFMRSMLKGPDPIENMP VIPKWTPIDRSQPLESQSLPTPFLAALSNGQRLVQLHNCAVRKSRRRFGFIPTFYANT QKPYRGADNLRYWLKAAELRWEVLLKADPIGLQYNSSPELWVEFEDAVLQWCRKVREE ITAELREPEPEPEPKPKTTTTRTTTTTSSSA MYCTH_2296042 MTRNNLGEHMGWLLNTIRKSPPTGPQLPAATDSLSHGQPSISSI VGSSTPDLGSQPTGATNSKSSNSTFTPTDSSTISSISTATSTSTSTSGSHSRPRAQPI PTTTTPFTPSGSTKSTSNARKTDRVSARKNESNRLPSNNSKRPTLLTKQQPLPTPAPT TGTTPIGPLQSAYSASLAREPRFSSVQSRERPQSPPPNTHNSRSTRPAGRPVDFIEID DDIFSDPFDLAESDDLDGSSSVLAFGEDKRLWREDFAERPEPVSNRTKRSKMADMVSP PGSPVKNEIEDTENEFPDIEDLIPPSSVLRSAVKQRASSVPAGADSPRSQIATESALL HENDVEHKTENWMRGGTDRASTSEPAVGLRRDSLQSTPITTAAETSDFATSSRKRKTP SSPTPAVHEQPLEMEPSPLAETSRKVKRTRHDVILDSDDELHVPSTYQSTMGGETSLV SSGSHRAPDESECMDIDVPPSSIEPSPKMSQHSGAPLAASQEKGTGKLSRDAREEARS DEPSEDTQTKDASQGSRDSDIRRNKHVFGLFLERPSVLEEKMRSLNDQLRRNKEQYTQ CLRDNAPREDRERVRNARNALVQKQKALDAIRAEHQAYKELCNKREELLVELGNAFEE GLDTTEDEAQLDILSEQVKAKEIVLTRSLIAAGIDDLDFLKDPNDSIAAPDAPQTPVV FATQPSHKLGSKGLSNKGADIPEYNSQVVLQTQQLSQSRAQGTASTTLANTHTLPTTA SFSQAPGSARHTTSYTDNTDSRTLAGISDDGGLASTAGGPQTALGRSASSSVSIAAEI VRDPPRLMLSKPDRTPGKNMKTYGSEYIDDFSDDEMMLAAANSFEQQQSMLSAGVSRA RSPAFESFENPATPVRKRPAARITAPQQPKAKIDSELMKFPWSADVRRALKDRFRMTG FRHNQLEAINATLAGKDAFVLMPTGGGKSLCYQLPAMVNSGKTRGVTLVVSPLLSLMN DQVAHLKRLNILAATFNGTISAALRNHILGAFHQPHPEHHIQLLYVTPEMLTNSPAFR NGVQLLYRKNKLARIVIDEAHCVSHWGHDFRPDYKALGEFRRGFPGVPVMALTATATK NVMADIKHNLDMENCEVFTQSFNRPNIYYQVIYKQSRFIRGMGELINERFPGQCGIVY TLSRKSAEGTAQALVSKHGIKARYYHAQMDPESKLEVQEQWQAGEIHVVVATIAFGMG IDKPDVRFVIHQSLPKSLEGYYQETGRAGRDGKPSECILYFAYHDIPALRRMINEDKD KDKDEKERQHQMLNRMVNYCETSHTCRRVQILRYFGERFDAADCNNMCDNCANGSTNE EPPVMEDFTECAVALLTAVEGQKQVTLGKLVEIVTGSKNVGKHTNAPGFLLCKGMKNH EVQRVVMALHDEDALVDCQETALSNGIPITNFKLGKNANKYLKEGRRLRLEVRRKDLP PLVEEPTPGRRRRKGRKAKEDEGLEEPFDASRTRRPPPSTNVSSPVSGLSRKRKAKPV IETAVDEEDEDDFVVSDDTDAEEAPFAHSPPSRHPPPHRRQQTLDELGPPISRDPRLE EAGLDEIHQDIVQAFVERAHRVEEELRNRHGLRRALFTEQQYREMAIRWTTTVAAMYT IRGIDKYRVDQYGAKFATLVQQFLREYREMMGRAPQPSMPLPAPPASSSAAEIAPRRK NKRDVVDLISDDEEPRVGHCRPPLLTRRNEAEAEEEDFEEGEEDLSTSRYFRGDGAGQ AGPPDQPDPAAVEQWHKRFEELRRAKAPKRSYNNESGSGGSSTGWKGGRRPGYLKARG GRSGSSRGSGSGGGFSKRKGSAGRQAGGGDASAGSSRGRPAPGSKRSGGQGNSVPGMP Y MYCTH_2296044 MKLSEPPSSSRSSSSSSPSVLAFKASNPPCLSLLWKLLTHDPSF PDAVSFPDFHCFASNQTGNTVFLMLAIVLPEANGVMFYTANIAVALGFFLAAAWLTGQ LGHIIGARRRSWLVFCNLVQTCLVSAAAALQYTHSSSQHGGGGGPLVLDTGAPTASTL WSIGLLAFAAGSQVVQARAFRMTEITTAMATAAWVDLLIDRELLALRNRGRNRRIGFL VALVLGTLAGAFVFRRLGSPAALAISAAGKLLVTVMYLFNPADRPKAESPEDSA MYCTH_41043 MGFKLSYLYARPDVNPVTLKARSIPLLNPVDIYGRVFFFSWFGF MIAFWAWYTFPPLVANSNIVSLCATLLVRLVAGPLCDKFGPRNVFGGLLLAGSIPLGL APLVHNARGLYVSRFFIGILGGSFVPCQVWSTGFFDKNVIGTANALTGGLGNAGGGIT YFIMPAVYDALAGAGYLPGQAWRLTFLVPLSMVITTGIALILLCPDTPTGRWRDRHLH GQRDGPLDLDKANSIVDVPGRITDRPDPSDTFEKSPAVAVAITIPIAGVEPPNTNNNN NNNNNQPATETVQPPTARELVRVALSPQAAFHALTYSCSFGTELAVNGVLAAYYAERF PRLSQTEAAGWAAAFGFLNVASRPLGGAISDLLYRRFSSPPSALWWKKGWVVACGVMA GALLILIGALNPGREGAMFGLVAIMALFAEAGNGANFSLVPHVHPQANGVLSGLTGAA GNLGGVLFAVVFRCMDGGTNYAKGFWIIGVVNLGVNLGVCWIPPLPKGQVGGR MYCTH_2055557 MANEDPATASHGEPDGAGATDAPTATDAPAASGGSVGGAPRNPN FAAFVQTPASYGGDENLARLMQDMERGKTEGDVDELCVVCEKRYISYCNTCRGARYCS RACQVADWPVHKKLCADLSGPAAERNRPSPARRRILFFPTYSPNSTLIWALHHETPDK QYEWIEYNHPDLDRFFSTPGVSADDPRAHTVLNLVHSLKNRRIGHKLTAVTHNVVRPT GDIVQPAPINRSINELTEPGYLRPTFGPVAFFADARIPGDPVPPKTRDISSRDVHSIV RLIERHDGTCIATPALYHGETVSGLRINDPKSEVSAVLGLRRVFDMTSVPLCPAFASD YAIALAFHLGLRWYIRPGNFLGIKSGTTVWSDGNLRYLGFVCTISERTETAAATAEAT KTTTTTTTTTVDTVFNYGPFASSVIVLHGSGRPVDVHHVLAFNAYLDEVYVTKAAASR GGFVRFWADFKQRGGSALASVPSPYKSEKRGVLDRLGYYDPAFVMDQVALHIADVWTT VAHTLNSFTSHTFEAARPQQQQHQQRRREFQY MYCTH_2296049 MLRQIKPRNARSKRALEKRAPKIVENPKKALFLRGTTCSQITQD ALGDLYALRKDNAKRFQKKNAIHPFEDASSLAFFSDKNDCSLLLFGSSNKKRPHTITF VRTFDYKILDMLEFYLDPESFRSLSQFKTEKIPIGMRPLMVFAGTAFESPVPNAFTMA KSMLIDFFRGETTDKIDVEGLRFCVVVTADEPTESAAAAAAADPSSAPVLRLRVYAIR TKRSGQRLPRVELEEHGPRMDFRLGRVKEPDEALLKEAMRRPKTNEERTKKNISTDPM GDKIGRIHMGKLDLSGLQTRKMKGLKRSRDQHDDAERDDDDDETVGTAKAAQEQQPTK RKRKVKA MYCTH_2296051 MSGASAGSSGSAPLCRPPPTPAVSQTTPPGHRLLELRLMHHYTA MTCKTFTFTAPVTEDIWKITVPNLAFSGSQHLADAILAVAALHLRSMMPNDKELIRAS HAYMAASLAEYSAALTKGINSSNAESLFLTSALIAFQSTATRVFMKDEVMVGDDADAD ANQKNRPPGCYSIPFSWFHSFQGVKAITAASWQYLRSSPVVTQVINSQAVLQLDFNAG PKTFFGHLLEGLDEELAAMGDVSAASLSSPAVTPAGPFPAPPQPPPPPSQSTSASYQP PNKPAAAASPELIASTRQAYQHAVAVLNWAHKIPHKGAPLAFPATVSRRFIELLEERR PRALAILACFFALLKSMDSIWWLHGMARREVLGVVSLFNSDYFGPDAYRTWWPHLEWA MRIALYEHPEGCAGEPIPADIWGADWYAEERALAEGQAQQGGYVRHIELLSQMTTAMQ SIPAVPESVPPMGNQA MYCTH_2296056 MTAHTDQAIATPPRAPSPEHGFGTLAVHAGSPHDPTTGAVIEPI SLSTTFAQTAVGKPVGEFEYSRSSNPNRNNFEAMVAALEHAKYALAFSSGSAATTVIL QSLAAGSHVISVSDVYGGTHRYFTQVAKAHGVRVTFTPEIEVDIRDHITESTKLVWIE SPSNPTLRLVDIRAVATQAHEHGVMVVVDNTFLSPYIQNPLDHGADIVVHSVTKYING HSDVVMGVAAFNSDALYKRLAFLQNALGAVPSAFDAWLAHRGAKTLHLRARAATANAT AVARALEASPHVIAVNYPGLDSHPHRHIAKKQHRDAMGGGMLSFRIRGGHAAAERFCQ RTRIFTLAESLGGVESLCEVPSSMTHAGIPRDQREAVGVFDDLVRLSCGVEDAEDLKK DVLQALECAVAEVEAEEAAAAAAAAKDGNGVDGTNGTNGVNGH MYCTH_2296057 MSGSRGAAVASWAVESRTPLAFHVSQPTLDSLTLTGPGRALPPK PSPPLSPSRDAAPVDRSPGFKSLPPLPDLAAFDIPSFDLVSEFEKSFGFATVEGVARE QPPQRPATATEGTLPPSKSERDRHPTTASETAAGVTVVGGHVRNRSRSMVDRPLSLFP GSTNTTPSVRAGEEEHRPKRLGKPLDRSRTIESFADFAKRSWITKSRSPSPPSRPEKP RGASRSVSAEAAPALGTETTPGGQTKADAASTAEPPPKRSALNRASSYLTRIKQKPQN VFSRGPPSLTLKTSLGRSGAASESEGGTPSAPSPIGFPASPAAVPKNASYNSVSSATS RTSSKAPSVDTDPDTDTATASSASENTSQSTVDTNVTMPHPTSRDPLWATYRTLDMEF SKFAAKSSTAGRMGVVRSILVPFLRSTAYHPSNSNRAVLTAEDFDRRATILNKWWNGL LVMLTGASSRLPTGLGQAIASLGVHFPVLQPVAGVDRPALLEAITMIMMRPEWRACTS QFQPLANRCARERVRPRSGTGSTVAAAHDPDSLLIAESAEHNVRTMFVTNLTVQMALV VEKLSLRHAPMSLVNWCGKACAYAFFFVPGVCDVLVRLWGLNADLLRRVADEFGLPRR SKGESDDIVALFPSHMHKLGWSSVKTLSDKLRVAAKLPLLVAKIHWHGPWVSRWRGGD TDLLFIFCRYFHVLAQEFMPEGLPLVEKARAPAFALVHAQLLSILDSTIHRQASLDAV LGPPLSDALHGADAALTAPPLPSNLMKGMDENRLLLLLKDMLADNSFAVGPEIKKTFA EAFVAVTKAATKRTPRFEHASCFMLCDFLEEALVVLDAFQTSVNMGAATSPVDETGRS DYFCQLGLAKPTDYIDWPFWFDVGKMLMDSNNTMSEIRVLSFIYTLWDAITADPARKE AVCLGWLLSEGVFAKFFNHWSPMVRAYYMRLLCWRICRDSGSASEVDIKIFLVVSQRL KTVWSHYLWLKQDAEAKGRMPPSTAPACPAPGKRFIIIRTEVQQPQRSLRLGFDSFSG AFPTVDTLFDYRAPATGTGDGASSSSNGKADGGGMSFKKRLSLLGKVLPFGASQDSPT TETKRWEEELEQARRDTAASRPTGGSGKPNPFGPPGPPTPPKQGPSTGVAPSSASVSS TGSTPTFDAATFVFRFTLTWQTGPGGTPMPCPPSRDRIITRPRLPAPAQARVSARSGA DGPPPVSAGLPPETRRVSGLLQTGLISEARNARPLAANDGEPRSPSRVKDAEKRPLLN VDIAPLGITGFDDYGGDTEGKADGEGRLKVQSRIRTMSDFGPGGSELDRNGTLDGRRR SLDRSSNNNRAGPAVSAPVPPPVVRPERPTGVYAPGAVYAGRALAEWSMVVGECNNFV DRRRDEGVLGLSEVEVPTLSVEGLGMRARG MYCTH_2031594 DVEKQEPQSPPRCETDPSASRLSAFSSLGFLDRFLAAWIFLAML LGILLGNFVDHVGPALQKGTFVGVSIPIAIGLLVMMYPILCKVQYEKLHEVFKTREIW IQLGFSIVINWIVAPLVMLGLAWAFLPDERALREGLILVGIARCIAMVLIWNNLAGGN GDYCAILVAVNSLLQIVLFAPVSLLFIRVFNQGASGSSATTTPTVSYSTVATSVGVFL GIPLGAAALTRLALLPLLGPEGYRARFLRLVAPWSLVGLLFTVVVLFASQGRRVVHQV VSVVRVAAPLVVYFSAIFFATLAAARRARFRHGYAVTQAFTAASNNFELAIAVAVASY GPHSDQALAATVGPLIEVPVLLGLVYGVKWLANRRGW MYCTH_2296063 MNALAKKLGLSDDLTFYDVYSLDEPELLAIIPITPAWKKDREAE DEALGDPATYYNGGEATGAGEEPIIWFKQIIGDTCGSYGFLHCAINGQTPKFILPGST LERLRNDAMPLPRDERARLLHDSKEFEEAHRSVAALGDTPEPSEGGPEHSGQHFVAYV KVNGRLWELEGSREGPLDRGPLGEGEDVLSHRALDLGLKRIMNLSAGTDEENINFSCT VLAPRTA MYCTH_2296064 MADNLPSGNEFRAGRAASTTSASSAGSWAGEQAQAQAQAKQADP KGKQQPFRRPSHSLFEGLTAQKRKDDPDLVARRQSLNEQREKPGFIGRMWDNWVRGEK MYCTH_2296066 MSASPQPTHSAKRPLEDTSSPSVADQPEAKRPALDKATKDEEEV KEPISGSNGEAAPAPPAPATEADGPSKTNGEKSEAKDAQGDTVVPDAPETKPITSTAS GNAAQGQSGPPPGAHDETSWIHVRAVISSPEAATIIGKGGENVSKIRQMSGAKCTVSD YQKGAVERILTVSGIVDAVAKAFGLIIRTLNNEPLNEPSSQHSKTYPLRLLIPHILIG SIIGKGGARIKEIQEASGARLNASDSCLPLSTERSLVVMGVADAVHIATYYVGSTLLE QLNERFGGAAASAYATRSGGPAGAVPGGMQVIPYNPQPAGGNYGNRDNYYRARADPRA HHMPPQAYPPPYGHPHPAHPGPAVPMPYGAHPAAAYGAGPHVQPHPVGHAGPHVATGP HGQPMPGAAHVPGAPLTQQIYIPNDMVGAIIGKGGQKINEIRQISGSVIKINEPQDNS NERLVTITGTEECNRMALYMLYSRLESEKHRI MYCTH_2296068 MRASAGSSGAGLSAKDSLEIMTGAYKIMTEEGPYMPPAGSPLPA LLAMRRARQTITETNEHNDAQTDVLEQLRQRIEAEEANLREQQALQTALENRIQSLRE GLESREKKSEEQIAEERMAELRKEKEHWDEQTSSLMKELNWFIGEHLGPMLAAEELGG PVVGGLMDIDPKDLSAGFSAQGKPKKAKEQPDQDRRQRRIDDIWGPREEQGQASTRRN HERDEAAAASAEMRDLIEQLMNKLVEAGGDTSAAYVELPRESAAARFLVRSKVALFHP RDARKLRLVDFGRDFED MYCTH_2296069 MTAQTTSTPNEPIVPTSPPAKRIKTDDGANSASETIMDSSDAAK QQQQQELQPPPLLIKKLSDKARLPTRGSAFAAGYDLYAARPTTIPARGKVLVDTDLSM SLPAGTYGRIAPRSGLAAKHSIDTGAGVIDADYRGHVKVLLFNHGETDYEVQEGDRIA QLIVERIYTPAVVEVQELEESVRGVNGFGSTGKN MYCTH_2313627 MSEGLLVPETRVLAIASHVVSGYVGNKIAVFSLQSLGCDVAALN TVQFSNHTGYRQWTGSRVSAQEITDLYEGLKQSYLDDFDMMLSGYVPGAPALEAVGQI GQELKRKAKSKPGSFFWVLDPVMGDNGRLYVASDVVPVYKSLAHHADLILPNQFEAEL LSEIPITDMASLGRAVQVMHERYGVPHIVITSVSLPHPDHPVSSLSVVGSTMTSDRRA RAFKIVFPAIDCYFSGTGDMFAALMSVRMREAVHDRGGGLMEREAWLSDDSVDALDLP LARAAERVLASMHEVLTKTAERMQETVKKAQVEEEAAAAAAAAAGADGVVDGEEAAKA REKRMHLVRSKAAELRLVRHLDSLRFPKVEFRATRL MYCTH_2296073 MLEARLEQASILKKVVDAIKDLVQDCNFDCNDSGIALQAMDNSH VALVSMMLKAEGFSPFRCDRNIALGINLTSLTKVLRAAQNEDILTLKAEDAPDVLNLV FESSETDRISEYDLKLMDIDQEHLGIPDTEYAATITMPANEFKRITTDLIAMSESVTI DASKDGIKFSCQGDIGNGSVTLRQHSNVEKPNESIEIELSEPVSLTFSLKYLVNFCKA SALSNQVKICLSNEVPLLVEYSLGGSSYLRFYLAPKIGDEE MYCTH_2296077 METVIQDHTPLADYLKDEGEEQQAWATPAASDKHDDSPPSSPSF APVGRPMVRKRFRASMPNPLSLGVPPKHRLSSLRDSCSKVVVSRIDRGDNLKFIEQFR YTIVASQLLSGHSIAAHPNAQSRSNDAPETAQNAATPTTTGVLAAAAAALVVAWMVKW VHSGGWAHLTKKRVTFTALVLVAVAVVSQAYLKQQWLRYLRNQALSEVTTFVSRSQDF DSACSAALSLIQEVELVSRGYRISAPLPPISRMEDRSQLRRCNRLRKALKERLSDMIE THLQVSTAIKGFAEQVDQEKYHDIYDVSDFDISDAVRGFSEKEFEDPEALRSLKIAAS RFHIIRKLLLCSLLAFEAAGDSTDFLRWSTAVEGLKTLNNATSESYEKLRRLLSEEET FPTILETKSPLSPNREKRRSQFMKLNSLSMGIRGLQAKLALLREESERILNEADDVSD LGSSLMAQYESIGQDLKTLTQAWEEGKAALASGIDRNEKRLSSLSTLLSPATSLSGLT TVEDGGASEAFRALTGESPPGSTLGSPKGGCSDEAEVFEAVSLPSRPRSLLTREERIA KMKEERERRELARKRAEASRGMLRELETVINLRPKRATMPAPSRISL MYCTH_2296080 MPSERELQISPIVHESVVHNSRTLSNLQTLAASLFGVSAGILGL ESYSGFVFYLVFSLLTAVLFYALRVAPTSLAAGKPPLDTSRYFRGPYEFWAGGLMNGL AGFILTWTLFYGLVRA MYCTH_2296081 MSVFTFDPNPPRVASPWLRPGNSDKFPGPTQSADRQGPVGFGLL SDYGVTKLEPEPQDGPTEYKLHLLLRPRRKYERISTATRISGSTQSRPSSDSRTVKAG PPSPGPSVTSTQSRQDRLEHLTTQLLWRLQQSCPYHAGSASPGLVIPQLPDENAPLDA PVQLGKLLPGLEASRGALYEIGVADDGTLAGLTKDEMDESLSTLRIMAASLGCNMEVL RMLVVGECEWVDASGAQDSGSSGSEGIVHRAKLWVAEALVTPDLRPRDDTRSPSTRSL GAARPAEALPDPKQGPLTTDQLRVTVTGPTTSGKSTLLGTLSSGTLDNGRGKGRLGLL KHLHEVASGVTSSVTQELVGYNNEDIINYAHSGVESWIDIHDFTKDGRLVYLVDSAGH PRYRRTILRGIVGWAPHWTLLCIAADSAELVSAAGATSASQDVLGTVGANIDLASAHL DLCLRLGLPLVVVITKLDLATKSSLSTTLNKILSGLKGAKRVPKIVKGGSAGDSEPTR MSDQDRKAVRDALDCIKASEDPSSVVPILLTSSVDGRGIGTLHALLQGLPLPPTPTSF DYIGAALNPEQPACLFHIEDKFSLPASYALATSDNNQPTDLGTVVAGYLRFGSLSIGD KVVVGPFPPDDDDQGNTPEDRGTPHSCGLSLSHPSSAELSRAASRNAVSASTISGEWH TASIVSIRNLRLPVQTLSAGQVGSIGMVFDPPPTPAGHHTNGGVDTNGASGRHALPAT STKTPRLRKGMILAVPSEHMVSSGLSLQAVSGLTAVFDESNRGIGALTVGSLVNIYVA SVRSAARVVRVSRMERQNGGGNGDGDDGGKAGADGLDDDLFGLSEDMGVENIDAREVA VDGSVEVQLELLSTREWVELGSRILVLEGGRQDRSGLEGFVGKVVEITE MYCTH_2296084 MGRFGSLFKKSEKEKPESNPYAQQSPATQSPPPPQYDQYTQYNS AQYNDNQSISPAPVGVPSGLPSGPRPGGLPSRVASGYGNDQSPPPQYSPYSPDPAQPS PSGSQRSPYGGGSPYLNGSSTSMGLGFSKEKYGAQDGVGKSRFEPPTSPYGSSATPPL QSQGGYGNLGASSSGDLFANYKGPSQQATSPSGQPPYDTPGQDGELQMMSEQDREEAE IQEKKAQIIQTIKDTRDTAARSEAKVNDALARALAMTQQTFEQGERLRNAEKNATAAG REIAKGKVNLSALDHAQRMLDFAGNSKTAIQMREERKMEYDHEQQAEVEAIEREEREA RARYAQVQAIMEKQNQPRPRTLGAGASKASAFEFEDEEFEDDTGEQRMHNQQIREHQE AIVHGLRQLNGVLKIQGQELERQVEQTARMTERTERNNEGLWRNRVHLESKYGK MYCTH_2296085 MSKKRKNDAAGNVIRIGDKVISLEGYLTKKPKTEDSRASEPGSD TADAARQQPESSKTDLTPDGTKKSSPSEFVPSRPPAGDPQYFEARKALPLWTRQDEIR RILRQKDVLVLVGETGSGKSTQVPQFLYQEKWCQRRRVRIKPSEGEKAQEVSVGGMIA ITQPRRVAATTLAHRVSREAGTPLTGQKGGGDGNGADSKGLVGYSVRFDHRVPAGTKI KYLTEGMLLQELLRDPYLRQYSAIIVDEIHERSVDVDLLSGFLKQIISGDKAGRGGIP LKVVIMSATANVEGIRQFFSTAGPGGSKSNRPQSSVEYLQIEGRQFPVDIIHTPKPVP DIQEALLKTIFKLHTEEALSDKYGRKDILAFLTGQEEIESAQKLIEEYASTLAPGLPK VKVFPLFGQLSMEAQHEAFQPIKGGHTRKIVLATNIAETSVTVPGVRYVIDCGKAKLK QFRSRLGMESLLAKPISKSSAIQRAGRAGREGPGKCYRLYTQETYDSLYDRDLPEILR TDILSAILTMKARGVQDVFSFPLMDPPQPESVEKALLHLHILGALDDNGAITETGRRM VQFPVSPPYARVLLAAAEPKYDCLLEVIDIISCITAGEDIFHQLRTEEAREEVEEYRK ELFRREGDLLTYLTTMQLYAAENTDRVKWCKDRKINLRNMKQALNIRKQLRGLCVRQG MMEQQPPDPQPFSPTSPEQASSILKCFLTGFSIKTAILAPDNSYVTSHGKHVIAIHPA SVLHGQKKEAIMFLEHVYTQKSYAKKVSVIQAVWIAEALEG MYCTH_2296086 MKPSLRLLASAAQTGKGLSPGPMALLPPIYLYRRLLRAHRKHLP PEMRLLGDEYVKAEFRAHRNVENPVHLIGFLSEWQLYAQKIEGDAWKGEKLDQGKIEK MSDEQLGQLYELMKAIQKRGTEEGETDS MYCTH_2296089 MSSAKDEAINLLSLDGGGVRGVASLVILHEIMLKIKESQRLDHL PKPCEYFHMIGGTSTGGLIAIMLGRLRMSTEEALHEYDQCASKIFSSRNKKWNTATEK FRATALKEVVQDLVRRRNTGEYLRDPTLRYDSKGQCFVCVMPAHQVGEPRRLRSFGDP GTQELANVKIWEAARATTAASVYFKPMTLKVGPRQTEDYIDAAIGCNNPADYVLREAV WQFGSARRLGCLVSIGTGTRVVKIGRAASGLKNIVQTPTFVKELLGTLKNAATDSEET HRQLQAKLGSYPDAYFRFNVPDAAAEVRLDEYLKMGKLKSSTAVYLSDPNVSSRIQAA ARVLKDNSSEHGLTLGHTDALDKDQVVLSTLEPQPLGYTTRFFTGREDILAKLRACFY ERNTGGKPRREFLLYGMGGVGKTQIALKTADDLEDQFKYIFHVDGKSLLTASQSYASI CRQYSLGSGTTEVMKQLALEWLEGLSDEWLIIYDDCPEQDRFRPLLPRRNKGNIIYTS RSQGLQAEIPAQYVCEVPPFEEADAVDLLLKVSGREQSEWDEEELGSAREIVASVGYL PIAIESAGSYIREGGCNAITYLQRFRDSNARSELLSRSNSDASSPARPALYTAFDLSY DAIVGVRRRRGRSVIGMAAEHALQALNLLCFYHNERIPVIMMERAAVERLKWGSLRVC PFYELTDDPFFDATSLLSLNYPDGTWNSLPFDLGVQTLQRFSLAKLSPHRRYVSMHVM VQAWAQDRMEDLVREKQALIARMVLIESMVVSWNRTEAAWMRSLPSHVKACLSHKAAS VAHDPYQAILDFKLGWYYAEEKQFSEAIRHLNNALRIWRFEKGVHSEPATTVLDRLAN VYQEMGNAADAELAYLEVIDRLRLRYQDHGLDRRRARERRAKAHPRRRAKSEELARML LRRKFGNNPAKDQEAQTWCDDRAVKEQVGNGEDPTGEPAGMPHAPGPGPEVGATPDVG GTTMEQLIEDVKSVVVQRKPRELTPDDLRFEMASVYTGLARLDFDQGRFSSGAGLVMS AIELLKQSGYPDDVRVWSLEDELIRRFRNGGDLRHWARRSSALRSMPPDQKEDVASHE YSFVWQIGYAWYLVNEDSWDEAYQVFQGVLKLATVHYGAGDRRTLYLLRKMALCQLER GLFEEAEELARTAVERAKASYGQWHLQTAKCLDTLSIILMSQSLDLLPGSEFWTITRE AYDSAEAALPPDHYLTVRLKQRLDKVSRTESEWMSKAVASAVVQECGLSRARNLELAE PSEDTGEETDEFFQHIDRMFADGYPETKEEYMRIVNAEYREYNRRKLRAREEERNKKK RNGMKQPAAAARAPAVVSKACPSFSTTGSSKIAGESSKTLGSRAGAGSEQRATGEGKG RADDASPAASEGVF MYCTH_2296092 MTPVELMAQPNGHAEAINKPPRPGGTYTVREQPLGSTRHIRIVA IGAGASGLNLIRTLRLNLTDYEITVYEKNADVGGTWLENRYPGCRCDVPSHSYQFSWR QKKDWTNFFSAAEEIGEYLCQVCDEEGMRGSIKTLHQVVLARWNEEHGRWELIVRNLE SGEEFNDHADFLVNGSGILNDWKWPDVEGLDAYQGRLIHTARWPKDFDHTGKTIAVIG NGSSGIQVLPELQPAAEKLYHIVRTPTWVLPPRIQAWKLMGQAGEVLSKIQLDAQENF SQETIEKFQSDPDFYREFVKKLEVEVNNAFPVVLTNGPVQAFARAKVTEYMTAMLGGN EELCRALIPDFPLGTRRMTPGHGYLQALTKPNVVIKRSGIRRFVPEGIELETGEVLRV DAIVCATGFNTSFIPRFPIIGRDGNLQERWKKETPKAYMSCAVDGLPNYFMFLGPNAP IGHGSVFTLSEHIAKYITGAIRKCQTEGIRSLAPSRGAVDDYFEHVTAFMPRTAWAAP ISSSDGSGGGSGGSRSWFRSDDGRVTALHPGSRIHFFHMLERFRGEDWEYDYLPSGGE CESEGEGEERRRKGWNRFAYLGNGFSTKELDPASDSTWYLDEDAIVRRGWNKPRKVV MYCTH_2296096 MAFSFSFAGDDIEEEDPRLEAPAPPPPPPASAPSVASPSTAGAF PVQGKPLLPPTRHGLEDLLSGLPSKIAFSLLDVPLADGRVLRLPRRELWDVRVQLMAE DDADNPSASDAAGLGEHDVKTGIYEGGFKSWESSIDLVKVLAAERVADILTQEPCVLI ELGCGTALPSLALFQWALTERKSDQKQPVVLSLADYNPTVLYLVTLPNLVLTWALQQR KEDAVVEAAFTPDDELELTPEVLDAFRQSLSSNRISLSFLSGAWSPEFVHLLYGAGIS AGGLPETARTLLLGSETIYSPFALESFAGTLLSILQRERSHRPAGHARALVAAKRLYF GVGGSLDDFVDKMRGLGASVRTLFQETKGVHRGVVECLLP MYCTH_2313632 MKLSVSTVLAFVAAALALPKFTNSDFTITEGEPFTLKWAGAEGP VTIKLKTGDPENLQTVTVLTTGETGDEFTWTPTDLPSGTYAFEITDETGESNYSKQFE YEGTVSSSTSVSSTAASTVTSTTSSVSSTSTSESTSSESSTSTESSTSTETETSTTTP SSESSTSTTLTTSTTSTEAPSTTRESQPTNTIADINGSHRFASPLGFVLVTVAALIFF N MYCTH_2296103 MPAQARCSTSTPPASTKFVYNIAASYIAKGRAFDPSTHVFQFNP YHRVQPPKARRGKASRPESGQDAFFVSRLGANPGEVALGVADGVGGWMDSGVDPADFS HAFCDYMAAAAVAATATAGATGKPLTARQLMHKGYEAVCHDPAIKAGGSTAIVGLLTA EGLLEVANLGDSGFILLRLNGVHAFSEPQTHAFNTPYQLSVVPPSMLLRAAAFGGAQL MDQPRDAEVTRLRLRHGDVLILASDGLWDNLFNQDVLRIVSRTMARAGAWATTERGVQ VAPDLRPYTAPGEAADTTSSAGSQQHPYRTNTTTLQSMLATEIVGAAKAASVNQKLDG PFAKEVKKYYPHEAWHGGKEDDICAVVVLVSEEEGAVAAAAPKAKL MYCTH_2296104 MAPAFTRLLRRQYEDCTITEDGYLDGDNCYIPFWATRTGVIVKW SLFLGIIALIILYFLVAYIHLKQRLRKGLPPLGYHRFLVSGVTLAQVDPRYRPPPPNN FTYDPAERYYDMYAMPPPVYDPNAPRPPKYEPPANSTKVEPNQPQGAQQGQQQAGESA AYGPPPGPPPAPSQPQDDYAPPPGPPPNALQPQSSANPNASRD MYCTH_2296107 MDGVGIDHHFHRQQQHHHRLNHGHFAAQQLPSKSPRKRKAEAPP ENNERLSKRMSLLNLEQSGQKLYVPVENPDSQPPQPAPSKGSRRHNKHALQDDSQMQL DDTKYKVYIYNIDDELSSSDNEDGADEGKLVFLPDIDKHLRNTRIPTRVLNPGRPDES SSAGKELVLYQVPSSISIPEEQDSVRKAIMEARERARERQRLEREQERERQARAGGEV PAVPHAGGNISSSPVSGLTASDLTAEPLRARASGDAATPATLPSPVDADMFSGLQRAE DPDAMEL MYCTH_2296109 MPSSSVVPHNDPTLLFTNAGMNQFKPIFLGTIGKTEPLAQLKRA VDSQKCIRAGGKHNDLDDVGKDSYHHTFFEMLGNWSFGDYFKKEAIQWSWELLTKVYG LDPARLYVTYFEGNPELNLEPDLEAKQLWLDVGVPEDHILPGNMKDNFWEMGDQGPCG PCSEIHYDKVGGRNAAHLVNQDDPLVVEIWNNVFMQFDRQKDKSLKPLPAKHIDTGMG FERLVSALQNKTSNYATDIFTPLFARIQEVTGARPYADKYGKDDADGIDTAYRVVADH IRLLAFAIADGAVPNNIGRGYVVRRVLRRGVRYARKYFNAEIGSFFSSVLPALVEQMG EQFPELKKKQADIKEILDEEEVAFAVTLDRGEKQFEKYAALAVKSGENKLSGADVWRL YDTFGFPEDLTKLMAEERGLTIDEAEVEVAREKAREASKAVKEAVTTFPKLNVHQIAE LENTINLPRPNSEAKYEKGDTKGKVQLIFTGTEFRKTSKELPPKTPLGLLLDRTNFYA ESGGQVADTGRIIIDGVAEFKVLDVQEYGGYVVHNGYLEYGELTAGDEVICEYDELRR QPIRNNHTGTHILNHALREVLGDEVHQKGSLVDQDKLRFDFSHKSQVTLEEIKKIEEM SNEDIRKDLKTYAKDVDLDLARQIEGVRAVFGETYPNPVRVVSIGVDVDELLKNPKNP EWRKYSVEFCGGTHVEATGLIKDLIIVEESGIAKGIRRIVAYTGDGAHQAQRDAKTFA EKLDHIEALEFGPQKAAEIKAAAVELDALAISALTKEQLRQRFAKIQKEVVDEQKKRQ KAESKTALDTVQGHFKKEENKDAKYFVGHLPISANAKAIADVMTYYKSKDKERTVYVF AGSKDDAVMHGVYVGTYLSSKGITAEQWTAAVTEVIGGKTGGKEPSRQGAGAEPAKLD EAVAKAEQWLVEKMKELNI MYCTH_2296114 MDSPIVTQLFRQLFRRHPACQSRRNLATLATALHDVRRQRLREQ QLRHLQHHQPHHQYQYHQHRCYVARGRGSSGMGIGTSQAEVTWQQRSDMFQQDMSEEF QKYPMVTAMDLRTRTERPRRVKMLMRDFIEDSLYNPNYGYFSKQVVIFTPGEPFDFPS LYDELDFHALLSRRYVEFEDALDAVSPSDTRQLWYTPTELFRPYYGEAIARYLVANYK LTTYPYHDLIIYEMGAGRGTLMLNILDYIRDVDPAVYDRTKYKIIEISAQLADLQNSQ LMRSFAARGHADKVEIINQSIFDWTSPVPSPCFFLAFEVFDNFAHDVIRYDTETLEPL QGLVLIDENGDFYEFYTRQMDPVAARYMRVREAATGGRYKVPYPSTRLGRWLAAQRPL APNLSAPEYIPTRLMQFFDVLGRYFPAHRLLTSDFHSLPGAIKGLNAPIVQTRFQRRP VPVSTPLVHQGYFDIMFPTNFQTAEATYQAITGKLTRVLTHEEFMRRWAYVEDTETQS GENPLLTWYKNASVLFTV MYCTH_2296115 MSLSDHPRAYGTAALTVAFIAGVLVTLGFKDLYPELEARYQRRR KGSRRGSIFFGPVNLEDREESSLVDYYDRGNGIVDGVEGTIGNTPLVKIRSLSDATGC TILAKAEYLNGAGGSPKDRVALNMIRAAEEQGLLSPGRGDTIYEGTVGSTGISLAVLA RARGYKAHICMPDDMAIEKSDLLHHLGATVERVPVAPITDPGHFVNLARSRAKEHTAS SDTPSHGFFADQFESLANYTAHMKTTGPEIYAQTGGGRLDAFVAGAGTGGTIAGVAKH LKEERGLTSLRVVLADPQGSGLYNKIRHGVMYSSTEREGTRRRTQVDTMVEGIGINRI TENLEAGRPLIDDAVRVTDDQACRMARWLVEHDGIFIGSSSAVNCVAAVVTALKMPKG SRVVTILCDSGTRHLSKFWKHIAAMGLEDETQKQDEDLLAVLGLENLRRAAD MYCTH_2296116 MDDQSLTKRIKSLNKAIASDPPSVVVGLLEELKSVPAPTEEQLR STKAGVLVGRLRHNPNKDIARLASEIVSKWRKSVDAAKEAKKRKLEQSKLEQSKLEQS KSPTSKSPTSKDSPAPAPSPSYSTPYEGDPEKRHFKTDNVDISRTGNKARDGSIGVLY NGLAYRRTESIEEVLQHAMAIEAAAFAVYKDTPEYRNKIRGLMTSLKRKDNAELGRRV LDGEIPPDKFVVMTDEELASDAQRERDRALERENMLKAQVPMAQKSISVDLQCGKCGK KKVSYSQAQTRSADEPMTTFCECTVCGHRWKFS MYCTH_2296117 MLLFFNPKRSNKAGRGFIERLVDLDLIGNVLLLGAAVMFFLALE YTTQGMAWGSAKVIGLLAGSFLAALLFAAWQWRKGDGALMPPRIITQRTVAASCGMAF MIYAALINLTFFLPVWFQAIRGVSAMRSGVNMIPYFVVNAVFSLAAGWFVSKVGYVTP PAVIGSAVGTAGLALMTQLRVDATTVQWAGYQVLTSAGFGISIQQGFMAVQTVLREED IAIATAAVVASQSLGAAIFLSVGNSVFQGQLIRATAARLLDGLDIKKLIDGGAASFRH LVPAEDLPAMLEIYNAALTKVFIVSVPLGALSAIISCFIEWKSVRPATRGDKEKAIQQ ESGEEAEKNPG MYCTH_2296118 MSMWEWEQEYQRRQGAGMHHLSPPRAVHGTIPLEQTLFTADDIE EFRRIDDAQRRKQKSPRLLGSSKDGQRVEHGDASDTSGFVTGFPLACLMAGLMFAQFL ISIDRTIISTVSADRTNTLVPLPSGVLTRVHLGDSVHHPRIPVDA MYCTH_2296119 MPGIKFNTPLPLFALAAAASFYCTRKLDEEVWPTTPILTAYVSL AYWVIWRGWLYPIYFSSLRHVPTVPGFPLWGQFIPIIFEECGIPQRRWHRQHGPIIRY FFPFGCERLSIANDTSIRHLTVKNPYNFPKPVRAKLWMVRILGEGVLLAEGADHVYQR KTLTPGFSISAIRTFTPVFWEKALLMADLQRRQLDVTGKSSAPLEVLEWLNRCTLDII GMAGFGYDIDSLREAALPLRHAYRLVFNFDLASRILHGAQAFFPSTKHIPAQMNRDME TARGIIMDEATRIIKDKLEEAERVPTAKDILALIAQENLKLKAKGEAGLSFETMRDQI MTFLGAGHDTTATGVTWTIHLLSIHPQVQTRLRDEIREHMPFLFDPDWTYDRSMTLTD PDRLPYLDNVCRESLRYIPPIPMTVRQSVADDVIDGYKVPAGTVVYMLANAINRMEWF WGDDADTFDPDRWDKLPETAVPNAFMTFLQGPRGCLGRKFAEVEMKVLLCVLLSRWEF SRDYTAEDPEDWKMWRLVLRPRNGLAVVAKPVIMPTETPPDSPALPPDEPPTDPTCRY RSPDNDDDNDNDNHLMNEEFFDQPSYVVAPTPCNTPAEQSPTSTPEESPINTPPETPA ETPPASPADAPPETTHVDNNRAPETHVQPPVERPEGGELRRERGARPGARRAPFPVRA FRLLAILPRLMAPGNRILVWLRHRRLQL MYCTH_2296120 MGCFLRMLSMLIFFAAATALAAPAMDSHQPANRHAIRSLSGAEA ARTADNKTRAAGESGKMADRDIIPGVLTALDDTAHRASIARRPSPPGDAADNDVVDDF ERLLQVIAGHVDRMRQQSSRADDDNNDTDDTTANGGGGGGPGRVEAAPSPALAPAPVV INYDAMFPDRAPPAQGWSSQHKGDNADDGGGGDGSGNTNFNITCKGISVCNPVTVVNG GVRGGGSRRIRLDRFGSRARAAWRRKKAEKQQRLKTQRKQEGAT MYCTH_2106818 MHLSALTLTTILAPFGLATTGDFATQDGSSTPTPTPTPALRVRM DVPAGDHPSSTARVTIPTPAPYQPAIAGKRASSATGLKHFDKGDDEEEEEEEEEEEEE RDGENEQEEEPITTSTTLIIMETPPPANSLRRTAVLTTTTFPAPAPGPGPEQTGGAHH HHQPATITAGPPPPQLLPPLLARARDWRADRDRAISRARNDAARGRDKGREKGRQGGQ EGRYQAQAAQGRGPGRQQPAAAAAAAEAEAKPTADGEGVVGMDEAAAAAADLAGSSKM GVNARDWQEDLASARALASSAKSYASSIASSASEAAPGAAADHHDGDEGRCQQHGSST VTPAPSTAGFFSTTTTTTTTTPTRGKPQTTETPPAVVVQVGGATCQKMKTGDAAAAAL AVASSVLLVCFGQPLAVWRRTMGRG MYCTH_2075799 MALPQASLRRLGAVGQRQLGGLRVPLRPQLLHLGRFQVCTRLTH SAAQSKAAEGVTPDQKAVGQQLREEWSRYNSFPKPSGARDFKEGQTVTVHGFLARKRI KSSKLAFADIQIDNGPAVQITSSFQEPDSPGHAANQALRSIALYSPVSVTGTVARLHF DTQTGQAQSPCVDHENASSRPGSFPEHVFRIDLDLQSIQPLNVFPKDIIVSKGVQFPP SARHLQVRFSDPLRTRLLVRPQIAFRLRQSLNDLAFTEVETPVLFKSTPEGAREFLVP TRRPGLAYALPQSPQQYKQMLMASGIRGYYQFARCFRDEDLRADRQPEFTQLDLEMSF ATGEDVMRTVESIISDLAVFLDSDFCVVDEGEETYLAPRKSLKAKNREDSAPGSRYMM PPFPRMSYEEVMTRFGIDKPDLRIPFELHRVDHILPRSFVSMITHLENPIVEGFRFRP SSGEGSEVSMSGFVDELMRTLPPALAQNPDGAPVALVVDSSKPLRGLSPLGFEGAGAL ESGEAPGFGDLEDGDVVVFQARQDKSFQGGSTALGTFRNLVYQAAVSKGLLPKDRSFK FLWVTNFPMFTPDNETDPGQGGQAGFSATHHPFTAPLTDEDVELLATDPLKARADHYD LVVNGVELGGGSRRIHVARMQEYVMREILKMTDAGVAQFSHLLEALRAGCPPHAGFAL GFDRLVAVLTYTDSVRDVIAFPKSMKGEDLTVKSPGRVTKEQLETYHLTTFPGKN MYCTH_2296126 MASSFEKSVKGATKVKAAPPKTKYIEHILVATHAGEAGVGEVFR ALQYRLRDSTWTIVFKSLITVHLMIREGSPDVTLAYLAKHKNMLAVSVFSDAQTQGRN IRHYSNYLTERARAYRETKIDWVRYKEPRLEKLSVEKGLLRETEAIQYQLTALLKCDV MDNEPENEITITVFRLLVLDLLALFQVLNQALINILGHFFELSKPDAERALNIYRTFT KQTDYVVQYLSVARQYEHHTRVEVPKLKHAPVNLGRQLDEYLKDPDFEIHRRQYLAEL EAKKSKGASAGASKTAKFDSAKFSSSANTNERPSQAPAKSEPPKVPDGDLIDFFESIE QNQTPMAVQPPQQQQQQQQQQPQPQAQMQMGMSPWGPAPFQPQQSPPQLSTGFISQSP AFGSNSPFQQQDQAAFSPAGQTTPQQMQPAFTGAGFGGFSPQSQTTGFQPNTLSPIPQ DSVANFQSATTSASFQGLQAPQQTTNPFRQSMLVNQQTGTTPFGPAAAQANTQQRPAT SQSTNPFARSSPQAAQPFSTPTSSSPFQSSQPAQSPTSQPPPLQPLQTGTNPFAKNFG AGQPAQPTQQRPVTAGGILSQPTGSTNPFRQSAFVNHNTGLGWQHNQKPIGGGLDQLE TIPVFPRPAQQTPWQQ MYCTH_2106821 MSRKPGSALPNINSEDMCPVCKTIRYLNKDMEFLINPECYHSMC SNCVNRLFNDGPNQCPYAGCHRTLRRKGFRSAFFGDLAVEREVDIRRRVAAVFNQVEE DFETLQDYNNYLQMVEDLTFDLVSGSEAARRAAEATLQQWEVEHRADIERNRRAGKEA DEQSRRRLAAEREAARQRRLEALREAEEEKRERARSREAELDSLAKGEPLPAASASAS ASASAQRVQLKRRGQAAANRAAAEAAAAAAAAAAANGSGGVAGAGTAAAADVGRLSIR GLKEKKKAPTPEGPYDPFGGLDFVPSRYKLHDGLSHPAIEKYKVDPAHVTGGYDFDAY TARAMFEAFAGLGVFIEDEKEVGIGLSAGEVATIGAGIAAAGDKMELD MYCTH_2296128 MADKEPTAAEEAAARAREKAEQDALPYKWTQTIGDLDVSISVPG NLKGRDIVVELKKDFISAGIKGQEPILKGNFPHPIRTDDSTWTLSTAPDNTKVIEIHL DKVNKMEWWAHVVTTAPKIDVTKIVPETSKLSDLDGETRGMVEKMMYDQRQKELGLPT SDEQKKLDILKKFQEQHPEMDFSKAKIN MYCTH_2296130 MAQPPKDHLSNLDRHVQLLESKVNKLRASLTHWQQWFLDYSALK EEVEQLPTDPPPHEQLRRIRRDFDSKLLTKKEIHEIMGKNDLRTTEQIVNTLSRRLDY VEQNIGTLSKLLEKEENRLAAASVVAQPELGTDEESGLPFTDIIEELDENDNVVNFRL QTGADAEPKIVDALKKVGIEEKDLAATEADLSKSVERIDLDDAKEGEARDEPAPSPGQ STTDAARQSAPSTEPAGRKKSVSFAEDTKAGHEPEEPKPAEPAPTEPTPAQSRAAQNL ERLMQKAKEQEAIDLSDAVIPEDESPEDSKLRREMLEYGMSEIGPVVAELQLEEGGSD DEDSLWIGSDDEFESEVTEEDDDSEDELGRSKRSPLTPDYIKRMQELERRLNAQSAFT VGASETKPKKPDEGIGRIAVVDDSKAAAATTPAAPKAKKSVSFASKLDIAPDTASRPT PDARPRERKTVQVGDVVEKTTPEETPKDPDEPPKRVSRFKKERAAASSRSRGGLPPGP QHLPANFGAANPSSVPDEPHPPEDVPLAPTVVERPTVPNPTEPDDLDDALLYQAAAVE YNRLRNKLIQKQGGVMQQDGAIDSETGQVPLDEELGGPKRMSKFKAARLAKLQ MYCTH_2296131 MAFPRPPSSSSLSEMQLPIVLAPDIDDEMRAGTGLEVDTRPRQD EPQQPPVPQHPIPSMQQAFAESLVEATQGDAVKKPKLRTGDARARRDELLDQDRDDPP PTALWRCRPGQKTHELCRLIAQISFGVYLLLNGMANSQILVVSILQGHIDEVDEFLET TLEDVDLAMRDIEERIKHLKLPMDNIGVFERMLEDRKFRMQILEGNQKIEHILARTQA ALKQTTRDLAEGLAATREFTIYLAEQQHGSWRQERPDVIDIFDAMKGNTDGWFNAFMD LQAKGSTLNALMVRLTDMVSEMERRAGELTVQPYSSPGHSPQPSDASSVTTPPSSPPI NIPNSPPRISLRLSTLNSGKLSLDAGALSAAELDGSTEQQSRNDTTPRSPLVVTSRSP PPEESPPQSPSEPPPPQAPPARNPRRLSKKPPAPSDLPKLELPKEEALGEKKEEKEEG GEKEEKGEKEEKEKTEEKKEKEKEEKEEKEKEEEEKEDKEMEEKKEEKEKKEEIEEES PLYILQPRTYTPQPSPQPSPGISGRRVPRAQPDDVQRPRVDSSSASSVESAAQITLEP ARPRVEQVVSRPQTARLKVVEIGARTDTKPSPTRTDERPEARPGSRRDPSQAPELVIP QDPEVVPPQRTSLRQRVSLKGTVPISIQVPPPNSMGPQQRPLQAVHRAYQSRDSAYGS DMERPPVDSMASINASMVEFPPPFVQPPMIPSPHSERQFFRPVQPNPYSPLQQRPHTS GTVAPHHFPAPPRNAPSRMGMSIMSSGTAMTNQTGKTVKKKRSAFGWLKKAFALDEEE RAIFEQRKREQIVDNPYYAPRSQEFLDGKRIRPPPGH MYCTH_2296133 MALQAFKFGTGPWDPTHRFETSWLLSPWALFFCRALISLYAFTT LLFVLAWQCARAATGCEASRDTFSYFTHLTYWGLAFYFLASATHTLTYARSGVPLLDR FPRPLQALHSAFYTTIVVYPFIVTIVYWARLYSGTWFAEVFAGWSNVSQHALNSAFAL FELLVPRTGTPPPVHMLWLVLLLALYLALAYVTKASKDFYPYDFLDPKEQHGLVAAYV FGIAVGCLIIFGVVWSIIWLRKYVTETKLGKTGKFAKKDHPANAAGDVEVATDKPQE MYCTH_2296135 MASSHGGSRGRSCPLSFLSSIYDLETLDTRFTTPSSVPYRAAND KREGDRAVADKRVEPPKWRTPEFYFYYLVFLVVVPYMFWVAYDVSRPSDPRYYKFQKR LRDGWIPGRKIDVSDAQYYTFRTNLPYMALLLVFHPLLRRVWNAVHPVPPELRAARAN VPEAAEARLRQRTSYDAAFALFFLVALHGFSAAKILAILAINYRLATSVPKRYIPAAT WVFNICVLFANELCSGYKYREIARLLTGSPAENLVTGTSGLVALGEWMDRHGGLINRW EILFNITVLRLISFNLDYYWSLDRRASSPIEKKQLDPANLSERDRIATPAAPHDYCFR NYLAYAIYGPLYLTGPIITFNDYISQQRYRPATLSTPRTLKYGIRFALVLLAMELILH YDYVGAISKSRPDWSSYTPAQISLLAFFNLHIIWLKLLLPWRFFRLWSLVDGVDPPEN MVRCVSNNYSTLSFWRGWHRSYYRWLLRYIYIPLGGSSFRTGLDAARTVLTYLVVFTF VALWHDIKLNLLIWGWLVVVFFLPEIAAGVLFPPKKWESRPTAYRMLCCVGAVGNVLM MMAANLVGFAVGLDGLESIVKGIFRDYSGLAFLITACAALFVGIQVMFEIRQSEYRRG INLKC MYCTH_2296139 MSSEVPNPDLESFREQWKAEVRAKHGAPGVSQQQQQQQQQQQQQ QQQQQQSRAAGPSHTHARPVAQHPRKPPQSAQKAAAQEDDEEYVQPRPFDESAASASA SSQTTHSVGSEAREPVSALEHYEKAVEKEAAGNLGESLRLYRIAFRLDDRVDQKYRNK HFPKPPPKHVQAASEAAVSTAVSTARKVDQPQSMKDLIASFSAMSISPAPPEVEGMPP PPCPLATLPEELLVHILQDVAILDVGDFVRLAQVCKRLAYLVATEDRIWRRICLGSEF GFGGMHYYWQQRVNWKPLTEADIESEAAETESAEDADGSGADSESVQLSTSAPHLALA ERARRHARESAANTLALYRSLYGCSWQRMFRQRPRIRFNGCYISTVNYMRSGQASANQ VTWGSPVHIVTYYRYLRFFRDGAVISLLTTAEPADVVHHMTREALVLHAGGANPHLPS FVMRHALRGRWRLSREADDPDAPLADAERGVTVETEGVSKYIYRLDLALGTAGKGARN NKLGWKGFYSYNPLTGDWGEFTMKNHKPFYFSRVRSYGVKGA MYCTH_2313641 MGSQSDLKPIKAYGKGSPNTDKVVIILEELGLPHEIETVPYSDV KKPEYLAINPNGRLPSIRDPNTGLTLWESGAILQYLVDKYDTDHKLSFPAGSNEDYLA KQWLFFQTTGQGPYYGQAVWFTTYHQEKLPSAIDRYINEIKRVTGVIEGHLARQKEEH GAKEGFDGPWLVGNKLSYVDFSFAPWQAIAKRLFGETGQYNEDDYPFVKEWLGKLLAR ESVRKALE MYCTH_2296142 MGWSKSTRIRVMLALDTLFFLLELGVGFAVQSLALMADAFHMVR TSSTSQTRRPAY MYCTH_2296144 MSIHPASFRQEIIAASRPQADDGTSSDENTGDEIAVVDETDPGE ETPLLKGRGTSQSSRGSKSPSKRARRGSGIHHSHNHNKPRESGKSHGHGHGDLGMNAM VLHVLGDALGNVGVIITALVIWLTDWPGRYYADPIVSLFITLIILRSCIPLTIAASKI LLQATPEHIDLNDVREDIQALPGVISCHHVHIWQLSDTKIVASMHIQVAFPISEAGGE KYMELSKMARKCLHAYGIHSATIQPEFCLDPAHAHNAPGGANDDAAMRMDGASALASA SASASASGAATGSAAAAQVSSKCGNVSGDVCLLDCVDNCIGQGCCSPSASRPESSHSA EGHGHEHEHEHAHDH MYCTH_2296145 MSGPGPGPGHGPGDQQDHYYYHYSSYSSNNTNTNTNTNNNNNNS SSSSSGGGGGNNNNSSSYRNGYSNRLPYAPQLSTIPARRPVGAPTSAVVNPSQVSPSE LAYPLHPAPSVSSTQTRTPQRMGTISSGGVFTVSPASSIHEEVRQDDEACGDGGRRGP LGTSSPSPPQQHCRSPEPQLHGSGSRGWDGGGWEAGQAGLWHGAPTQVPQAQTATTAG AGKTGVAQPTPTTYGPAPTQAVATFANSVLVAAPLPFPAPAPVHTTTAGNGIIPGVPA HTPLQQQQTRHQQTPNSHQETTLANSQSAAQAHPTTTGRPNPGSGPFMHYEDVTYLTP PAAGAAAAANGFDEEPKDETQVEVGVPHADTFPPVPPELNRPEARRSWDRQRKRRRKQ HGLGPPNGDGGGGHYQQRLRQWRNGSGTEYGGERGGGRGCTAFLRFCNGWLVEMLCCL LSVVCLAVVVAVLKTYNGRSLSDWPLAVSLNTLVAFLAAICQVALAVPLTEGLGQLKW NSFARGEKPLEDFVTFENARRWPVFGSTLLLWRRKGRCGRFRFFSHPVWLFLSPGLAF RSVWFDVLIKCWSTGRSARLRPPHC MYCTH_2296147 MRAMSPASATGTVLTTESYVHVQWAWLTFLAIQVALAVSFLFGI MVQTAVWDVKILKGSPEAALLAISADEKAYLESREDMFLGNGQGSETTRKMSNITCRF RLGERGWGLELGKREDG MYCTH_2296150 MTWEDAAKRFRSHQSSTHGLSPVTGPSISPAPVNRRLSFAQESR DVDMDTSPTQQHAQPALSEPRIRTPLPSGPRPDNKHVSLPPFPGFDSFRADLQGSADR ILASPFRSRYANVSVLLVRWQDDDDPGVQSAINELAKTLHEIYHYTVHIKSIPSSTEG SRSPWLWLSQVVTDFVAEHNQRDCLRIFYYSGYSYLDADRQSVLASSKHADPATAIRW SGIQQLFENSRSDALLLMDCAYYPTHSATRRFGMLELIAASAGEDHVELLGRSAFTRA LTEQLRTRAAQPFREPFSVAELHSKLTSLYPGMVQERNPGKQAIASFPTPLSMQLSGT KTLPSILLAPLRHDAPSASTNGLQISMTFRLTDDPFNMDSWAEWLRTMPGGIAEVRVE GPYRDTFR MYCTH_2296151 MRLSDLLPLALLPHCTSAAAGEPVIKRTAVRNDDVTISARARPD APDGYAPAVVPCPQDKPTIRSASSLSKSESDWLAKRRPLTVEPMIQLLKRANIEGFDA EAYINRVADDVKDLPNIGIAVSGGGYRALMNGAGFIAAADSRTPGSTDKGGIGGLLQA STYLSGLSGGGWLVSSIYANNFTTVTALRDGSEDSSVWKFDSSIFTGPDLPGILDTAR YWAKVASQVASKKDAGFETSITDYWGRALSWQLIDAPDGGPSYTFSSIADDDDFSNAR TPFPILVADERAPGQKIISLNATVFEFNPYEMGSWDPTTFGFAPIRYLASNFSAGVVP RDGNCVRGFDSISYIFGTSSSLFNAFLLQNITSVDGVPDFLVDAATAVLEGISAEEND IAQYVPNPFLGWNRQANPAAESDSLDLVDGGMDLQNIPLHPLIQPLRAVDVIFAVDSS ADTTYSWPNGTAMRASYDRSHGDIANGTLFPPVPDDRTFIAHGLNNRPTFFGCDVDNF TLSSSSTAPPLIVYLPNAPYTAWSNVTTFTPSYSLAERNAIIRNGYNAATQGNGTLDE QWPVCVACAVLSRSLARTGTAVPDACRACFDRYCWNGTVADATANVASGAGGEEYQPT FKIDNGSDDDTSAGAKVALAGSPAWAALFVGLVAAVVML MYCTH_2296153 METTLPLPFLVSVNVPPGLGGEKEGLKREEVSCLGCVFFEVAPP TFDKILRFLSRHNTEFQSFFDVTRLESRDDVVTLLDAGARKVFVRPEQLPDFAEFGSR VAAVVSGGDVSNLSSADTERGLLVSGFDQSAPDAARFAEEVKTKKIGSLFIKPVAGTN LRDFVQLAAECSAIPILPSTGLSSKPQDDKLAVSQILAATWKSDRPDGLVPTVVVDEH DTALGLVYSSEESVGEALKTQTGVYQSRKRGLWYKGATSGDTQELVRISLDCDNDALK FVVRQKGRFCHLEQPGCFGDLKGIAKLEKTLLSRKQSSPEGSYTARLFSDEKLLRAKI MEEAEELCDAKTPEEVAFEAADLIYFALTRAVGAGVTLADIEKSLDAKSFKVKRRTGD AKGKWAEKEGIKPDASANASASATPVEPAQGAAPKEAPREAPKKEVAAEKITMKVYDM ATVSAAGLDEALKRPSQKSSDAILKIVTPIIDDVRKNGDKAVLAYTHKFEKATSLTSP VLKAPFPESLMRLPPETVKAIDVSFENIRKFHAAQKEEKPLKVETMPGVVCSRFSRPI EAVGLYVPGGTAVLPSTALMLGVPAMVAGCKKIVLASPPRADGTITPEIVYVAHKIGA ESIVLAGGAQAVAAMAYGTESVSKVDKILGPGNQFVTAAKMYVSNDTNAGVSIDMPAG PSEVLVIADKDANPAFVASDLLSQAEHGVDSQVVLIAVDLSDAQLAAIEDEVHKQAMA LPRVDIVRGSIAHSLTVRVRTVDEAMEISNKYAPEHLILQLKDAEAAVDKVLNAGSVF IGEWTPESVGDYSAGVNHSLPTYGYAKQYSGVNLASFVKHITSSNLTAEGLRNVGEAV MQLAKVEELEAHRRAVSIRLAHMQR MYCTH_2296155 MSFSGASAPQTNGAPPSSAGSTSQAASTQQYQQPPSTQQSQQPT GTNTASASSSQQQQQQSQQTQQQQSQPPPPPPQQQQQQQQPEQQQQQQQQQQQQSQSA HSRFQSLTQPSSGNPSATAPRPRDARTIELLLTAQGVTSFEQRVPLLLLDFAYRHTSA VLSDALHLSADPYTSHAGARPSAASGAAPVNVGEATVSTNAVQLAIASRLGFQFHGGS GVGGGGGGGGASKEWMMELARERNKIALPRVMPSEWGVRLPGERFVLSGVSWGLRDVW AAQEGLEESSSSSSSSDDEDAEMADGPNGSGGGGGADAMDVEAEDVGGDGVEGGTIGD VFGDDGLEDEEMAEA MYCTH_2296156 MQYVRNLSDSVSTAWNSINPATLSGAIDVIVVEQEDGSLACSPF HVRFGKFSLLRPYEKKVEFKVNGVKQPYSMKLGEGGEAFFVFETSDTIPKSLQTSPLV SPASSPPLNASQNGQPPLQEPESLDLNDGVGKARSSSIHRPPPTVLHSVGRDGLLTPR STSPDLSRKAQSSADGSPPRPHSDNVLPRTVRKPSSDHGSSDDDRPTYSERPISPPPL PPAEALQRAMNLSKELAAVNIPTHVTETGDLMLDMTGFKNNDEDAIRAEILARKVLSE ELDGNYDIGALFGVDERGNLWIYSSEEAKAAAMKRAMDSTLRGSSGMTSDAASDPGYQ SDSSDATASPSTASHRRADSDLGQMSLQTPPSSPGSSAAGDPNRNYAKTLRLTSDQLK ALNLKPGENSMSFTVNRATCQAYMYLWKYETPVVISDIDGTITKSDALGHVLNMIGRD WTHAGVAKLYSDIAANGYNIMYLTSRSVGQADSTRAYLAGIVQDGYRLPRGPTILSPD RTMAALRREIYLRKPHIFKMSTLRDIRSLYGPNHSPFYAGFGNRFTDQISYRTVDVPR TRIFTINSNAEVSLDLLSLNRLKLSYVNMSEVVDHYFPPVNTLVKGGSEEFTDFKYWR DTPLELDEFSASDSEEEGGRRGGGQEDDDDEYDDEEEEEEEEEEEEEVGDGLADSYIS RNSMDEDGEGSVLGESYDEDRMRGSTMEDEYADDEENEEYEDEEDYEEEEEEEGEDDV EEEEEEAEAEEEQFPTPVGKGRSGNTGMHDLDAELITGVKNLKVEKK MYCTH_2296160 MSWLSWTSLVPTLLVLSASLAWWFTEPKNARINLIAAAGAALFC WAVAPELCRDLSYSAYVLTADAVAALRLDLFLLRNAKMLVTGIAVVWLVRRAWQTLWK PVPELINILGVDVPDPPDVSLAEIRADAATVNWTRPPPNRSVQKFLIQVNGVVVGEVA ANQEPAIVVSGLKPDHFYNVRVIAVGSNNFQAGSRVIRLRTFGRDGRPHLGNSRLPSN FVPEEPRHPGPNDAGDETGGGRSPFPALEVPTVQEPPASPARDPSSTGAAGPRRNTVT RRHSPSTTSIDQPIREDVDADPKQTLPALTERFEAIRKETEDVLALIAKEEADHKVLM DELEAEKREKRKEQKKKEEQTERLKKDVHATDRAMRNAMQRKGQKEKALKEKQNERAK LHDNIAKWEKGVEELRRERESFGRQMAELEQERDQKVERSREEIGNLQAECSRLEAEL KERREQVRELEAARKLLPGGDEDGEWREKIIEERREWYRSGVGKELQDTLTLESKRGR ALDEQVRALSIQVQHIPQANFNLYSQPSATGMEFDPSAATHLNRRNRAGNAIPNVPVS APLQHYPQIDQAIAAPAGFGSSRPANAPPPGFTSAPFMGRPEDVGHLDELGARNAPLS PSATALLPSNLMADIDDDDPSPASRFGPDPFLQRASPDNAPQSPASSGKSFNVFSSPH GSSSNLPFPPFQDASDRMSLNAAATAPSPVATEPPPNKLSAFFPFPRSRNTKTTTDPD GLHGLPFGSIKPGQSQSFPRQDDDSDGLSNKRRSWGVFNRNSAGLEIAEGQGTHSRVF PKSLNPFSSSHRAPGGLFSERDKSSPRPPSIASAELPRPSTDSGSIWGPQPGEAATLG KPSRLWSPDAWSRTPSRRPSLHGSPSALKTTLASADDEILGEEMLPNVSEVGVIGSRP PTQSKAPRLNPNAPAFNITSFFKSKPEKEKDKESKEKSKAEKKDKAKAKEGKEKSKNA EASSGTPEGGQQTWPPEMESPSEPRMSRDGLSVHTQTSVSESRDSLSLDQSFSNTPSE PTSAGLSGSFKDDNNVVRKLFRKGSSGKFSIAGRLGGKESGLFKKGPSSTASGGAASD KRASMERSSIGDFEDIADEALNPAFLGRSYDSITSSSPGLAPTVSATKSKESKAPSRW LSNFGKKGKKEKESLDLDRSQVSELDDLAEESSRELKG MYCTH_2296162 MASEGGSDQAPRLPMPSRNPLPLSASQEAQIRDLFYQRVRKKCA AEIKAFADCAMNRTFTAGFACREPHRIMNSCMKAHATQEEQDAAREEWFARRMERAKE RERRALRKAEQEAFLREWWGLPEKDREAARKEMERLAQAERVGGFPAASRRAVANQPA AAAAAKREGGENSNNSQSQRQDGTGQGR MYCTH_2296164 MPKHLVVVIGAGVIGLSCAVLLQEAGYAVTIVARDFPGPFETTD PTAKINFTSPWGGAHNRWVPPHPSLPSHLERDHRFSLRTYARMKQLHDAQPGTAGITF LKGIEYLERPGPEYLALSPSGIPASSSSSSSADPLPGLPGFRLLSPSEFPADGRVTWG CEYDTWCVNPMVYCSFLLRRFVRRGGRLLARELQRPEEVFSLSRAELGLPPLPARGGE SNSSSSSSSNSRGNDGESVVAAVVNASGTGLVPDPHMTMTRGQTCLVAEDCDATVTRQ NADGSWTFCVPRGFDGGTIVGGTKEPGNWDPRPSAEVRAELLRRFAETYPKILGGKAE LTVLRDIVGRRPTRDGGPRVEGEVIPGAGFVMHAYGLGGRGYELSWGVAEAVVEAVDK HVQKKEAGARL MYCTH_2296167 MSAHPTGGFSLFPNPNDDPRPPSRSQSRPRATSPRSGRRGSTAR EEKHRAVSNNPWQHALDAARSAAAGPSTAPDAADDAVVETAVSQPVTDPPQRCETAFS DAQTLVRSSSQRSRSSIAKPPIAHTTAGPSSSSRSGESQEPGAIRSIFPRYNPDLPLS QQEYYPTQASPTHIPQSAISRPLYSPPRAAAAAAAEASSNAPAAAHTAGPPPHAGHSA ARWPPFMGQRHHEPAVMPSVSTTEDLRGLWKVANGWRASGSEGRNYCLKMTASPDLPP SYTVSSSTGHPFYCLRVEPTSSSALVTLHRYDPTKPFKPASPSAGSTSARASPDGGGG GSTPSPRASLSSRQSNYPVVPQTTAYSPSNTARKYQKNWQEVLSTHLAPAPLLPSSSS GGPDSDLSSRAAAAAAAAADENDGLVAQLWPAAAARLVADRANDATTVALAQQESARL VWDADSGHHFLVHPALAVPFCVTVDRHPALSRAEYALEHLESPAHLARLVRDGTGSGW LEVDTAVAAKVDAVYLVDVAVAALVLVAHLDSKKNNGSPARAGNGGGGGLEVFEPPPV VYGGPNGSVYSGGGDGGRRSSRSARRVVSRASGRREDREQGGGEGKERYGRKKRRRRR PAEQFELDLESQSSDLGKGKGGADLDKDRVPGVLRALVGLVTVTFKCLVWCATLGVKM LVGVLSLFTRCCGLGKL MYCTH_2296169 MSSLRNSIQRRAHRERAQPLERARLGLLEKKKDYQKRARDYNKK KEVLKSLRQKAADRNEDEFYFGMMSRKGPGAPVTRGKAFTGYVDGDRGNRAMDVDTVR LLKTQDLGYIRTMRNVAAKELRELEERYILAGGTDLGGNNDNDDDDDDDDGIDIDGGM APSSSRPQKPKKILFFDEAEEREQALERAQEEQEQEEDEAMDDLEDEYDEREAEAARK AQILEKLGRRLKAARKKLKTLQDAEYELEIQQAKMAKTATSGGVTKTGRRIKVRERKR MYCTH_2296171 MAVMSIRKFLRLALAAAVFLASYVFLSQTDFVYVVYKGRQWRSW KYVPSSFDWGKRPEAHPVEEDIMVRLPEGNPLQLPRVQHDFSADELSSSHNETQRARR DAVRKAAKRSWRAYSKHAWGRDQVAPQSLIGQDTFAGWGATLVDSLDTLWIMGMEKEF RDAVGHVATIDWDNATSGHCSLFETNIRYLGGLLSAYDLSQEQILLDKAVELGNMLYA AFDTPNHMPANSFSFDLAKEGKLTASDHEASAAVGSLSLEFTRLSQLTGDPKYYHAID GVKRHLERTQDSTSLPGMWPIFVDLENDFLTPGTSYSLGASADSAYEYLSKMYALLGG LDPTYEKLHTKAMATAEKHVLFRPMLPDPAPDVLFPGTVLSNGRIVELSPEIQHLACF AGGMFALGGRLFRNEEHVEVGKRLARGCAWAYDAFPTGIMPEKAELIPCRVEEKEGRK KEEEGGEGDSNLAPCAWNETRWRERARERRAGELPPRGFAALLDPQYQLRPEAIESIF VLYRVTGKADLLDVAWRMFESVTAATRTRFAYSAIDDVRATGETGKLDSMESFWIAET LKYFYLIFSEPDLINLDDYVFNTEAHPFKRPRPNNGDEE MYCTH_2114926 MTSIYSPGSPILLPNGARIFNRLVDFLRQQYVRYGFQEVITPTI YKKALWAKSGHLENYADDMYTVTSTSPSRAEVTEGGEEAEYGLKPMNCPGHCLIFASQ TRSYRDLPIRYADFSPLHRNEISGALSGLTRVRRFHQDDGHIFCRPSQIREEISKTLD FVRLTYRVLGLGPYRLVLSTRPEQYIGSAEDWAQAEGALRTALTESGHDYTVSEGDGA FYGPKIDIILKDSDGKEHQTATIQLDFQLPKRFNLEYTAPAPEYERRGETTTDPKLLA EYGPVQPVLIHRAVLGSAERLMALLIEHYNWKWPFWLNPRQAIVITVNSTEPVVDWAR QTRDLLLGVSPSSSSSTTTELASPTGLAVDFDDSDRSVGLKVREATTKGYGLIVAVGP RDVENKTVGVNATALAKPGASAEELKRLKRMDMTPEKLREYMLSLKINEAMDLTNPSD PPPDYITATRAHGVPLRQSAPIRKGPFPLELPILSYLRSKRVILASASPRRRALLLQL GLTNLEIIPSNEPEDLDKKAHTPEEYVAATAQRKCLAVYQAALDRQQEAAENKNNNKE KKKEEEEEEKEEDKEGSSGANANANAKPNPNPRELEDPAVVIAADTVIATRGGQILEK PRSEAEHVRMLKHLRDTVRHRVLTGVCVLAPKADASHPGYELASHVEETRVFFAGADD GLPDDVIESYVRTREGADKAGGYAIQGVGGMVLVDRVEGSVDNVVGLPVRKCLQLCEK VVFRQGHDDEEGEDE MYCTH_2296175 MVRTTRSSARQEYRPESSWRVVEGGENDSFDTSVLHDDEDLITS SGSQATGSQSFSMNGSQPWSIGGSQDDSIENFLSKAEEDEQVLLRSPFRPSVPKEVRD SSRENLGHRSPEPEFYMPRVDVESPRRASTWSSATVRAAAPPSSPLELRRRQGRASGS PEKERRAREGEQTSTAPAAGVSTTLPAVIINALSWAFGVLGLALRYAQKPLAVLVSLY ITLGGVIVLQNMATKSLYTSLSPICRIPGVSWMDLPFCPDLKPTREDGEGHKQPVEFD KLMDVQGQFERVAEMTAERVSLPMEMKRSEASIRDLRSVVRHSNLINKEELVLEFDGF IDRSQMATSVLQRFNTRVTSTVDWLIMMNRWTARNLEPFDLNGGHPDDHGGLLGAWMS WLFSPFQPTLLSERYLLDRYVEHTALVSDKIAGLILEARSILHTLARAEEHLDNIYSF VTRTQRTVQSRRDDVLWTLWTLVGGNRRRLDSLDGQLTLLKQVDAQRSDAVRQVSELI VELEKIQAGLGDLRERVGLVRERDEVPLSVHIDSINRGVERLEAARARIQAVEEDRMR EVLARGKVEEKLIDA MYCTH_2296179 MSLGSTMLGRRHVLPEVCSTLHEIQVEGTFPAGTFLVTVQNPIA TDDGDLARALYGSFIPVPENADELFPKYPDEAYAPEKQPGAVVCVKNEVIKLNEGRKR IRLRVTSKGDRPIQVGSHYHFIEVNPSLEFDRLKAYGYRLDLPAGSSIRFEPGDTKTV TLVEIGGLRTIKGGNAIASGKVDFGRLKDIQDRLQEAGFAHVPDPTADAALVSEGAGS VVHTIEGATVDRKTYATMFGPTTGDLVRLGRTDLWIKVEKDYTVYGDECKFGGGKTLR DGMGSASGRTSAESLDLVVTNALIVDWTGIFKADIGIKDGYIVGIGKAGNPDVMSGVT PGMVVGSCTDVIAGEGKIVTAGGIDTHIHFICPQQVDEAISSGITTMVGGGTGPSANT NATTCTPGPYFMREILRATDKLPVNIGITGKGNDSDPVALREMVKAGACGLKLHEDWG SHPAAIDSCLSVCDELDVQCMIHTDTLNESGFVEQTIAAIKGRAIHAYHTEGAGGGHA PDIIRIAQYPNILPSSTNPTRPYTRNTLEEHLDMLMVCHHLTKDIPEDVAFAESRIRA ETIGAEDVLHDLGAISMMSSDSQAMGRCGEVILRTWHTAHKNKVQRGPLPEDEGTGAD NFRVKRYVSKYTINPAIAQGMSHLVGSIEKNKLADLVLWDPAWFGTKPAVVVKSGIIA YAQMGDANASIPTIQPLMMRPMFASYIPRMSVAFVSQAGVDSGLVGTYGLQKRVVPVR GCRTVGKKDLKYNDATPAMRVDPESYVVEADGQVCESEPISELPLAQSAYVY MYCTH_2313647 MAPLEGKNGGSLWVKGIEEDLWVGGGFGTFSRHIMSVFVFWFLQ MHFCSGLRRRKLGCTSV MYCTH_2296180 MPRPPKNKAPEPVAAPVVAAPTVVPATVAPQNLAFKAPPVIDVD QFIRVRDSVYQRLQTIQDLIRSFSQDYLRQTNLLIGEGTNLENGPELDRIGNPYGGVV PATAAVPQPPAEEKKERKKRSHDPNAPKRPLTPYFLYMQTARPIIANDLGDQVPKGAV QDEGQRRWSVMSPQEKQGWNQAYQYNLRLYNARVHSYKNGNPDAKNMTDEEARIYADQ HGIGMPPLTHAEEVPANDQEAVSEQLQQSAAAAAAAANANAAAPEPSAAEASEEEPAA PVAKTPKKTAATTRKRKSTAAATPAAPEPEPPKSAAATPASPETKKRKRTSKAAEQVV EEPKKSGRKKTKSG MYCTH_2296183 MATFKPYPFLLGFVILFCFVRPTLAFGAGNIASISKIEGQNWRH GDIEDALLKIAMARVAGGKKFDKLMVSRVYFGNWLRDYSQAIDVGTVKSVSAEAIRLL LCILGFLTFGYGSGEFEVTADRLGCYRPEDHIDNPKNYADNLDARQYDRRLRGPVDES VELAIDEETGMKNYIANENVGIMTSAKHVRRLFTKCIELGRSYGQSRNKAELYEALRL MGTGLHCLEDFFAHSNYTELALIELGEDDVFPHVGRNTKMRIPGARHEVYPLVTGTFG GVDFLHSVTGEVSDKMTQSEIEDLEGTLKQSSNNDTSLLRDLLDKIPDGLLGGNNKSK LDDIQSNAQAAQMENLSVSPREPEELTRYVQEVFRQIMPAIKFHDQLLQDISEAIDKI PVLPKIVEQLEEQMSIFVFQIMAPFVVPLIEQIKNELATGSSEIIQSSRAEQHNVFED DNATDPTHSMLAKDHFSNILNEIGGRAASKVVSWVVPQLMEAWDDDSVDVDRLLDKII YGVFHHPAQRTMGPEGASEGRELIFNMVREWWEDMSDGQRDEYRGKLSREGVERGDNH REGQHDCGHGCGGKLKMHKNFRNEAPQTVEDQIAGAAAEAIMGGVKQGLSQAVQNAAG RQESSESSGLGGFISSVAGGLLGGALKRDETESYQAGGRTEDGGYTQTTTEYGYSGGR YGQAQYTETQYGGGGGGRSEYRRYEQREDDDGRVQSYGYTEQRTETRYDSYSGGYGGR EETSSYGGGGSASEYIRSSQQSSYGGSGYGSGYGRRDEEESSGYGSGYGRRDEEESGG YGGGYGRRQEEESSSYGSGYGRRRDEEESGGYGGGYGRRQEEESSGYGSGYGRRDEEG SGGYGGGYGRRHEEESSGYGSGYGRRHEEEGGGYGSGYGRRRNDEEEEEDGGRRRWGY MYCTH_76901 MHLSATTGFLALPALALAQLSGSGQTTRYWDCCKPSCAWPGKGP SSPVQACDKNDNPLNDGGSTRSGCDAGGSAYMCSSQSPWAVSDELSYGWAAVKLAGSS ESQWCCACYELTFTSGPVAGKKMIVQATNTGGDLGDNHFDLAIPGGGVGIFNACTDQY GAPPNGWGDRYGGIHSKEECESFPEALKPGCNWRFDWFQNADNPSVTFQEVACPSELT SKSGCSR MYCTH_2296191 MYCQKCRTPLRLDSSLEDLNPAAYDLLVATHSQQPPKKPAAPRS LHSLDRARKATYDRAARNAPSPIFKRHGGGPGRGDGHPRDSSAAMSFIYLTESQIAPP NHAVQRNQQVPVPDASPQPPSSAAESPADEDDATKSYEMERITKLFEILSARSDIDHP VCVDCTDVLLEELQKKLEITARERDAYIAYLKELQAGAPTDDEVRAQEEALRRAREAE AAAREEIRQLEREKEALDAELLALEEESRQLDAEEEAFWRERNAFASRLAEFQNERDS INSKFDHDSRQLEKLQRSNVYNDTFWISHDGTFATINGLRLGRLASNPVDWPEINAAW GHALLLLHTVADKLGFRFEGYELQPMGSTSRIIRYDQPSPSSSSRLGGMTGRSAPPPP PKRHVLDLYSSGDMPLGLAFMHRKFDNAMTAFLELVRQLGAHVYAQTAAEGNPLSLPY RIEGDKIGDFSIRLGVSQDEGWTKACKMTLTCCKFLLAHASNVNGASAT MYCTH_2313651 MANPLDTDAGTELFKHYETEFQLVQADLVQKLDQISELSGEPRK AAMSAAERALEETGELLDQMQMEKQNMPSAQRAAINRRLRDYKTDVDGYRRRLRTLAD DKSALFGARYSDNPSSSSRDAHYEQRQQLLSGTERLDRSTQRLRASQALANETEAIGA STLAQLQQQRETIEHTTSVLYESEGYVDRSIKSLKGIARRMATNRIITVAIITVLVLL IIAVIFSKFK MYCTH_2296196 MEVPPAKRPRFGPAPFEDPDYDDPEADELNEQPEDVNARRDPAA RLERSRAFAALKLKSAFERIFEKYGHDFTGVADEIDLRTGRIVVDNGHIQSLKDAQLG GTNESDDEGSDGASATGSLNEEDRMLRGKRVESRLSQVGQTVMPLASPGPGAIPFSTQ GWPGASPSLGRGPSPVLSNMTYPGQVPFGGQSVQHGTPISVPATDPVWRAPDLPVPLA RNGPASGGFTGSVRKKVARLSLSAAREQGGDDEDDIFLGSATLEKEKENIGGLTMKQK VLQPRPPQENTPTKKRGRSPGTGRKSVEGQARRRGRPPKSAKVLTKMDESKATAVRRT QPAGPASDAKSPTKVRGPRPAPQDMAAGTPTTPTPTKTDEPPVSSVLGPSPGPASNNA LESLPETRESMPGESRALTGMEAEPDLYVNLSGEETKLAQKPRNQILRVEIVAKTPPD SRAFRILTPETTDQDSQTAHIDPPDISYLRTDPHRSNIQDAYLPVPSRHTVVRNQNTD PAASEEVFSRNLVDPAYAFSDEEEPTLPKEVSQLKLTDKNENSGHGALRETHPQNDTA AVASSSEEPAVDEVAQELGDSVGTREQSPALSLILDDTEARHGNSLLQPPGEERDVPR GQGSVAADGRQVGREELRSFAETRANLETEVSSIIRPGSKAQAVESKLETLAETAGTT PLEKRQRRGKEHQSQETPVGTAGRPAGLKRISLGLPRRQEIPETSPNTRQPVGCPSTT VRTLEIEDSDPPFPTTGEEDQDTSTHLATAPSPTLTDPMSKAQPSSPSLSGQEEPASQ PQPPPPSGGPRTPIKATARRRRRRRSSEPHRGAAATSTTTAKKKKKKRAGVLSLLAIS RANGKDDEDEDEDEDEDEDEDELSILTPLKPTASSTVRSTPAGHHVRLGLLAPGSAGP GTPSANRGQRGQRGSGKGRRKSATGMGMGNGMGTGRGDGPATPSGSFVRSSFFSGSLL SDESELVRTPGGTMRRCGEAGFRCERDFCFSCL MYCTH_2296197 MLPVCPSIALLALFPTTPPTCYINASSPAGCAHPSANVANMARV YADVNQNMPRSYWDYDSVNISWGVLENYEVVRKIGRGKYSEVFEGINVVNYQKCVIKV LKPVKKKKIKREIKILQNLAGGPNIVALLDVVRDSQSKTPSLIFEYINNTDFRTLYPK FNDIDVRYYIYELLKALDFCHSKGIMHRDVKPHNVMIDHENKKLRLIDWGLAEFYHPG TEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASMIFRKEPFFHGNSNADQLV KIAKVLGTDDLFDYLDRYEIELDAQYDDILGRFQKKPWHSFVNSENQRFVSNEAIDFL DKLLRYDHAERLTAKEAMAHPYFAPIRDPEILQQYLAGNEVVLR MYCTH_2114944 MDLASRRIPPAGLDDGTSIRSRAYYNSSHLLRHAESRYSLQEQF AVTKKEYEFGFDDASSVLERSTLASGAVRANELDDDDDGKQTPVWVLSSVANRTYYEL LCLPKGVSLSPDQVCDAAYRLLEVLAVDKQPPRLRSSAAFYLGLTQAACETLVEPSRR LAYDLYLSEAHEPASDSPEPLIDDDLPGPGSCESYENQIQEQYLLLTQRESRANTDLC FRVGAAAAAAPLLTSQRGSRQHGLELRVLDFSLRKTATTGVPALRQSVERMVVFLQGL PNKGAPKVEGSPFVRVADPTVTITGATHGLLDERIRLAPSLSDRYQLPGPSIHGRRRA EQLLASQFLPLLSLNLRQALSWRGDPTLVAGPDLVVEHELELLPHLSTTTRVGYSVNL SGADEPLNIEVSARKPLTRSPGLHSTLGFAVHERVGSGTAFLIADGGDWNPSISKECQ ELSRCSKTVGGLAPMVEAFRNSPTVEIGYAFGRHDLGMQSGQALTKPSERGLSTLDSD LDERKPSSWTASVGFTPGNAAAYLRYGRDLFASRAQTRSSPKSRTGLRAEVELASTVQ HDFFFLAFRALKRIGRFSKAGLEIGFSPSNLHLSFYWSRLGQRISLPFLVANSTTARS RFATRLLFWTTIFPFAAFAAWECYRRRRWCARKASSTSTTAEPERGGAKTVQLHIARR RAEADELTVVLATGVEPRQAAQRQRGGLVIAGAKYGVRDAPPEESRLLGFWDPAPLSG PGDKVLRVRYLWRGKERTVEVGELEELRLP MYCTH_2296201 MTPSGSQSFSPPVQHSAWPLRRLLPASTAAYGAAVNGTRERPAS HVHPVGAAPPSGAPTPVPPPRRKRSAATTAACGACRKRKSKCDGERPACSICRDRGTR CEYDTNAAETHTQALKRKFHELQSQKSAYQQVYEVLQTRSDDEAKEVYRRIRTGSDAA SILRHVNYGDVLVQLALVPEARYRYEFPYLPEMPPFLRNLDNPYLDSEVYEYTLRQTP TPTTQVPQAGQHQPQQLPAPETANGAGSVYGTGQRDPYLKPYLSATLVHPWLDSIKPS KWTAVSSDDGLMRKLLHDFFLFEYDWFTFFHKDSFLEDMATQNPRFCSPLLVNALLCL GCTCHRGLHGRAEFWNPQTLGYQFLAEARRLFEIESERERPCENPEDPNRERKEREWE QSRLTTIQAALLLTLVYNLNGSDRIGWRFTLTAIKMAEQIQLLGPPLKHHGPEMQRAR TYTAWGLFCWQALGCYHYLQPPPIKKPPETPLPDPLEQPQWYGEQWLKYPLSQSRLPT YHGYLFKAIADFWTIINDISVATFSPNRSPVKLPAHQISEFYNRLRAWYHNLPEPLTP KKIVLPHQLKLHMHYNHMLIDLVTPILDHTGSPEMPLPCSPRGIYNEAVTHLETLVRL YYLRHGFEATDTFLLHFLGFLNHITMNAIETSAGSSFLEARRSTLLLLTKGIYEQSRN HFVAKAILRLQVGLMRPEDVELLRHHVDIEANHVIYGPLEQAVHTNWPTYSVGLETKA EQLRQGKTLATCLETLSLDSNSSRASSSSST MYCTH_2088454 MAPTVLHLRSETKPLEHRSALTPATAAELIKAGYVLNIERSPVR IFDDAEFEAVGANLVPEHSWVDAPKEHIIIGLKELEEKDFPLKHVHVQFAHCYKQQAG WEKVLARFPRGGGTLLDLEFLVDDRGRRVAAFGFHAGFAGAALALEVWAWQLSHTEPF PGVESYPNEDALVADVKKALEEGAKKAGRLPRVIVIGALGRCGSGAVDALRKAGLPEE NILKWDMAETAKGGPFKEITDSDIFVNCIYLTSKIPNFVNMESLQVPDRKLSVVCDVS ADTTSPFTPVPIYSVATTFDKPTVPVEGLKSGPPLSVISIDHLPSLLPREASEAFSRD LLPSLLKLNDWQNSPVWARAYKLFQEKVATLPASALEN MYCTH_2296210 MPAANGPNASEGVLDYRKAVEVLKEYERPDGLSIDELMDSKVRG GLTYNDFLLLPGYIGFPASAVNLESPVTKKITLKTPLVSSPMDTVTEHEMAIHMALQG GLGVIHHNCSPEAQADMVRKVKRYENGFILDPVVIKRETTVGEAIALKEKWGFGGFPV TETGKLGSKLLGIVTNRDIQFEDDLSKPVSEVMVTDLITAPAGVTLLEANKILAESKK GKLPIVDKEGNLVSMISRSDLTKNLDFPLASKTPDSKQLICAAAIGTRPEDKDRLAKL VNAGLDIVVLDSSQGNSIYQIEMIKWIKQEYPNLEVIGGNVVTREQAAALIAAGVDGL RIGMGSGSACITQEVMAVGRPQATAVHSVSKFAAKFGVPCIADGGIQNVGHIVKGLAL GASTVMMGGLLAGTTESPGTSFVSREGKLVKAYRGMGSIDAMQDKRAASGGKDSQKSN AGTARYFSEGDSVLVAQGVSGAVAHRGSVSKFVPYLAAGLKHSMQDCGMTSLKELHEC VANGTVRFELRTASAQLEGGVNMESYEKKLFA MYCTH_2296214 MSSSYRPHPLRQTQTADYVTSVSRQSSTASSSASSAYSSASSGV YEFNRSSVSSSPSSTYSSYGHKRGQSEVSRRPRPLHSSHSHPSTPTPENIYHAARQSL RPLPQVPRPTTPPRAPARHDRGHSVDIGKLSIADRGSPSPTPPTPPTPPRGSPTVRPT SILLTRSESIRRGSDPPVPPHLVHAHTAPLSTPDLEKLGRSSTNQLRTLSKLAQSGSA EDFAITSPAQEVVGLRGRRRLQRTDQAGNGRPAQRTGGYGWEGRNWMDKQRQFLQAYE YLCHIGEAKEWIEDVIQRTIPPIVELEEALRDGVTLAEVVESLNPHRRYKIFRHPRLQ YRHSDNIAIFFRYLDEVELPDLFRFELIDLYEKKNIPKVIHCIHALSWLLYRKGIVGF RIGNLVGQLEFEHHELEATQKGLDKLGANMPSFGNMGADFGVEEPPEPEETEEERIDR ELAENEAIVVDLQAQIRGAVLRMQLGEMMQELWDSEDWLIDLQARIRGDFTRQIMGYR LQMRRFAVGLQSAVRGFLVRRRQAGRQQAWERVEDDILKLQSLLRARKVRNQVQEQRS QLMVAAGPIRAIQATFRGFLLRKAMCAQEHAVKHTSAPVAGLQAAVRGLLLRKSLEHQ QTELQSQTAVITSLQAAARAALARDQLALQREALEQLAPMWKTLQAACRGNLARRNVD GLKAELQEHSPSLGLLQSHIRAAAVRREISEKLESLVDAEDEILLLQSIIRGMLTRNR ISDLLEALDQEEDSIIQLQSRIRGALYRQQHHDFLDELAANEEEVSGLQSLARAMLLR DRVARILDELEENEESIGELQAAAKAFIVRARFEAKRRHYNENMQKVVKIQSFVRAKL QGQAYKSLISGKNPPVNAVKNFVHLLNDSDFDFNEEVEFERLRKTVVQQVRQNEMLEQ YIDQLDIKIALLVKNKITLDEVVRHQHNFGGHASNLLANSTMASVNQFDLKALNKSSR RKLESYQQLFFALQTQPQYLARLFRRLREQGTAEKECKRIEHLMMGLFGYAQKRREEY YLLKLIGRSIREEVEACSSIQDYLRGKFFWPRLLHNYTRSPRDRKFLRDLLGPLIRDN IIEDPELDLESDPMQIYRSAINKEELRTGQPSTRPLDIPRELAIKDPETKALFIDHLR DLREICDQFLAALEDLLPRMPYGLRFVCRQTFEALCQRFQAEPQGRLLQIVTHWLWKF YLQPAVTTPENVGVMDRQLSPLQKRNLGEVAKVLGQIASGRQFGGENIYLQPLNAFVA ESIDRLGELTQNLINVPDAESTFDIDEFNDLYAKNKPTLYIKMSDIFAIHNLIAAELP HLSLSRDDMLREIMHDLGSAKHNESEMTAAGSSDIQMFLTPKLRDLEDPDADIKALFM ETKRCILYIIRVQTGANLLEILVKPITPEDEHKWEMLLREDFASASNTRGAYSDANMI DVTRMSYADLKRIALENVLRLEQMGRISRHNHYQDVLNAIAVDIRTKSRRRVQRQREL EGVRLTLANLHEKARYLEQQRKSYDDYIEQAMATLQNKKGKKRFLLPFTKQYNHQREL ERSGRVPKFGSYKYSVRALSDKGVVVSWKGIPERDWGQINLTISCDEVGVFSIEGSRG HIQMPGASALVSIEDLLQAQFEAHQFMNLFEGNLRLNVNLLLHLLYKKFYRTQ MYCTH_2296219 MSNKTKKGPKLPVQQLAILAIARFAEPLALTSVFPYLPEMIASF GVEKNEVARWAGLTGAIFSIAQSCTAVAWGRASDKFGRKPVILTGLASTMVCFLLWGV STSLPMAITIRAIMGGGNGNVGIIRTMVAEMVPEKSLQPKAFSLMPLVWSIGSVFGPA FGGFFARPAEQYPNLFGNIDFFKRYPFALPNLMACCVFFVSFMTGLLFLKETLQSKRH KRDWGLVLGEKLTRPFQRPKAHARRRRLSFVDDEASAPLLADRSLSNSEQIAAKDEPV TNREIFTYQTSINLLSYTFLALHSVAYDQVLPVFLNYPRVVPDETNTRLPFKFTGGFG LSSDKIGTIFTVYGIACGIVQFFLFPALCARFGVLNCFRASTLIFPLIYLLTPYTALI QDTTIRYTVFLTIMLVKGFVVIVGFPCTTILLTNSASSLRVLGTLNGFATTFSGLGRA AGPAATGAVFTWGVRKGYAIAPWWLLAAIALAGAVVPWFIEEGQGPTRSLGDGAADDG EGEGQGRGRERDGGSEGAGGEDAALLGSSSTTSDSDAGGDGAGSKKQKKGSQYGTL MYCTH_2296221 MTSERTPLIVQVPVAERRPRYPHAVLRRFCTVALASILIWFFIA VAVSMAYFPAGGSQRDPGDDWTWPGHPKRKLSYEQLQRILLEVPSSQRAEEWSRYYTA GPHLAGKNYSQAVWTKNKWEEFGVTSEIVSYDTYLNYPVDHRLALLEKEKNADGASVW KVSYEAALTEDVLAEDPSTSLPDRVPTFHGYSASGNVTGPVVYVNYGSYQDFEDLIRA NVTLKGKVAIARYGGIFRGLKVKRAQELGMLGVILYSDPGDDGENTEEKGKEPYPNGP ARHPSSVQRGSTQFLSFVQGDPTTPGYPSKPGVPRLPVDDAIPSIPSIPISYQDALPI LKALNGHGPKADDLNQYWTRNVGLRYKGVHYNIGPTPDNVVVNLYNEQEYVITPLWNV IGVINGTISDEVVVVGNHRDAWVAGGSGDPNSGSAVLNEAIRSLGEALRQGWKPLRTI VFASWDGEEYGLVGSTEWVEEYLPWLSEATVAYVNTDISVEGTHFAARAAPLLDDVIY TAASVVPSPNQTVLGQTVYDLWDKSIKTMGSGSDFAAFQDLAGIPSLDVGFDARNGDP VYHYHSNYDSFHWMEKFGDPGFVYHRAMAQLLGVITARLADLPVIPFRAGDYARALGR YVKKVEDKLDEALSPSAELTAASLLDDDAYFELRGSTRNLSTVLTSSSMSRTPDSEAE SFRISLERLYKALHKLDKHATKLDRHADKLREEVGRHVPWWKWPARLKLWLDIRKVNT KYKYLERSFLYEKGLDGRPWFKHVVFAPGLWTGYAGAVFPGLVESIDARNWKNAERWV GIIESRIENAARRL MYCTH_2296224 MNANFPPGPPGPPGPPGPRSVGAPSPPPSVGRSSAGTNLYARSE SGRSTTQSQQSENQELVLSEHYISLKRFLSATSRDGNPKPPPNKARDKLQRLTGVQFL ELSTDVYDELKRREAASRRPPNAPPGTGPPDYLLPEENFHPKRNQARQKLSSLGAPRF RDLATDVFCELERRFPRFAGGDIPRVGSPMSVRGGPPSRSQTPVNGMNGYPPRGQSRR RPSEASSVRSGRGLPTPIQGGFPVPPSPGHPNGDYGRPMPKQFQSNTIVPNKSTMVEE DDDAISPTSADPNAPDAYGLRKGPDNRDSKRSADQSESEKKLIEEYEQQVRELREKLD GMEDTLKKKDDELNDLRDGERSKAAAADSERKEWDDARANLEDKLAEARELNDSLQRE LDRIRAEHESEIRELRNELEQARESSSSTAPGGAADADLERENKALRMALEEQEQVTE QVRREAQEFLREMKMISQQSNAAWERQTQMEKTIESLEREVREWQNRYARTKTQLRDM RGSSAGLTADQDAAKYVREKGFVDDAGLVKDIHVTKFQLAIDDLLRRARADSPERVID SMKAVVVSVRRITKDIDVAAQSGDAAVQYQKQKSRVSSTANNLITASKNFASSAGISP VSLLDAAASHLVAAIVELLRAVKIRTTPADELEEDDDGTVTPVDSTAFFSPQSNGQSK MSSVASIQTSLPAPPPFQGLGPMSRSSVDSSAYSPVSSPRESLTRGPTAGNGSVADGS EGGYGGLGKPLPIAPNTNGNGGYGNFNSSSSGRNNGQQSNRQIEDLKIYLEDQTAVLV QTVQNLVQLVRDDADIDRITREINAIADVVGQATAETQSVVGGGPGGTGTGAELVKRL TNCRERLTEAGNRGVELAAAGGDNKSREWRMWTQTLPPIAFEIARETKELVQRVGQLL LDNGADDFA MYCTH_2296228 MDTTSGNAGSAAMPESGQQENNKNGFKLRFCTVCASNQNRSMEG HLRLAQANYPVISFGTGSLVRLPGPTITQPNVYKFNETSYDSIYRELEAKDPRLYRAN GLLNMLGRNRIIKWGPERWQDWQVGMPRVKHEKDQGSAGMEAGVPDIVITCEERCWDA VVDDLLQRGSPLNRPVHVINIDIKDNHQDASIGGGAIVDLADSLNKVAQEERERVGAA LFDSGAAGSRASFDERVPEVLSEWQERWPGLPSTWTLSWF MYCTH_2296229 MTAVMSPGSSPATSPPAISSPASNAAHPALPPLITSSPPTRRSN LPRPMSQASKNRLSQYSTASSVPSRSRPPSSMFPIYPSSLPYTLVRDFAYPPSHPMHY GPPPEPSRPPSGMFSLGSEQHSLSDLPAWDTGRASWDDHSWASGGFSNRPADLPAIQF GDGPPWSEDEDLQSPIVSSRHRKHKSSSGGSYGRGRGGRDSRAGPENGGREGGSYEGA NNGGGGGYRGAEGNGPGGDYVTYPADQAGHGGGGAYQLAGQQPREFGEAGDFGSDDSS TCSSPGYHTNESRYSRDYQFTITSPDEEMHGKAVALFDFERENESELPLVEGQIIWVS YRYGQGWLVAEDPKTQESGLVPEEYVRLLRDIEGGLTSLTGNLNIGDASPASPGNDAS AGTPTQADPSAAQLPQSSAATTASGSSAATSNTGSTATNTTTNGYHQPIVSTFSTSSK DLDPYPQHLLGTQAGQPPPQVVHYHGQRGGSQANTPTLTVHQGFGSRRASQDTASTSA ASGAKKGEASVGGLETLPDAKLETSESGAGLPKKRESR MYCTH_2136940 MSLFVASRSAFRAAAPLKRQFQVRRYATEPSSADPKKGNNTLVY GAAAAALAGVAGYYFLGGSGTPAAKKAEAKVKDAASAASEKLSSGEVKKALTGGDQGW ISLKLEEVEVVNHNSKRFRFRLPEDDMVSGVHIASAILTKFKPVDGDKPVIRPYTPIS DEDAKGYIDLLVKKYPNGPMSTHLHDMVPGQHLDVKGPIPKYPWEPNKHEHIALIAGG TGITPMWQLCRAIFNNPDDQTKVTLVFGNISEDDILLKNELAALENHNPRRFRAFYVL DNPPKHWTGGKGYITKDLLKTVLPEPKNENIKIFVCGPPGMMESISGNKKSPKDQGEL KGILKELGYSPDQVYKF MYCTH_2296234 MAGAPLRVPQISQAEMAAFHSAHFSKFSRDHFEAHFLRPEHQPA STATYDGADGDGEEYYEEEEDDGLGYYPDGVKRTLTDEQIAIFRHSEIEALRRARESS PSKRPKNTESSEDATQDMSEEGEISSATPPPTAKRSKKQRKRGKSKNKANSGGEPIDL RKRTWDVVDKGLPTLDYGEDNLEPVETGTFQRRRISYED MYCTH_2296236 MASSSSSCSQSSSVAQDHESPVKTAESPIIPVSEAETIGNSSPK SVIPNTMADADAAAPKPSGSRSQLKTPEPRLSKERMNGHKDGAERSPSTPGYLAPFDW EEFEARYEEALADADRQERELLQEFEDLVKFFNVWASAASVHDTERGVKRLQTRERYV KIAEQSLSQKKKHLSEVVRAFQSALALLSQT MYCTH_2296237 MSCSICHRPHDAKKLPFLCVVDARNVLYESRVEYAQALIDSEEA ERQVEAVLLGQEGSSTAQKPTSSARIDRLRAEEAAARDRTNQIIAQADRLKREMEAAR KEIAAKKDAISRRKSDLASVSAGIAARRNRQLEEVERSTQRLKYKWNRVADDMADIRA FLCEGSARLYGLRQIKKGSVKRYEIGGVEIFDLHAMNSLSPEMISTSFAHIAHILVLA ARYLGLRLPAEITPPHADYPRPTVFSLASSYKHGEVPFPGSLTAQIPSVVGDGAEKEH VPRPRPLFIEKPLPTLAKEDPSAYKLFLEGAALLAYDIAWACCSQGVSIGDKDSYEDV CNIGQNLWRLLIGDQLHRRSVQPTFRSPLTPPAGSPRDDGRGEIANPRSTIGRWSHAT LHSYLGGPEGTEFVRSFKIVPPLKLADRLKQRLSSEAPMLEWEKIEGDELDDGFDDGV FVRGHGAGRSAPRRGDLDAASVMTVRTVGSSDGAAPENRANTGRGTSGWTRLKSR MYCTH_2122970 MDSTLAPAAYAGTLLLALRLLVEANFFEMMSDLFEVYPSWGVVL SISFLFTSAKLLASSFAFARVCLPVVAAGTSRFLACLSAAATRRANLVREAVVSASRG FVITLGSTTAAGILSWVLCRLLLPPLLSVARPFARAILGQYAAWESRVLARLGDFADL VCVDMGRRVERRRVLRTRELQWSAQRSRLDQLNDLVALIARNAADAWSESREWLEGRY EHLRPSEFAGRVAITAPEQGPLPPLGLWFRLRHRIDLDVYWADQTIARLDAAMERNQV AIADLERQVAVRSQEIRAINDQVRDCRQRARAVLVSTSFVRPTQIANFCRQLPLFRRP EARAQEAEPSAAAAAALPSRAEADSPGPAAILPSPPSPPPPPQTPRFHRALLPPPPPS PTPEEIAAAAAAATPVPKKSRKRALLDQARKQLEQSAEHTRLLAEQRAESERQEREDA QRRAARAEVHQHLAARARAARQRYRERHGTPRGEATNKTNQVLRATGAAVATQKAVVE SAVAEETPLDGLVLSVEPNTTTTTITADPEPSLEGEGDAGAAIAAANVATQESEPSLK GTKTVADVFPADRPDDEPKPSSRGMGMKAVQPETAEPIGHAQPVTVTSGSIAEELPEV EQGVAEAEADPIAEEPESPLERKVKADDEPIATEEPEPKISSSPSTEEEEEDDEAMVD VAFINVPTKSEAEPSHEEDEATMEVEPLLALPIPGPETELGEVMELEPTTLAAEEPKT AVHIVPAQESEKAEVFTDLADTPMPEASFQEEKEEREEGKKDEAMTVEQHQPAAQAEP FDDQMHIDLPQPWEQQMVTYQMAEQARLDAIDEQYRRADAEAHAAWQAELEAAEAQRL ADEQAERELALALQAAIEEHDRQQAEAAERARQEQQAMMEQVALDQARQQQQETENAA WMQELARIAQMAEATLQKSTQLAERRQREQEQQEEEQALLIAQAAATPAEPTVAAEET VVATGAGVNSGPEAQTGQPNQEITNSTEEHTDMNEQELSFLVYEGSGFDDSLFGDFSA LEEEVNTATQGAEATGTTAATTTTTTTTTTTTNTAPSFVLTLPPTTPGPADANHTPVS TTNQADPTPTPEPADQLTIPDLAPPVSEFNFRMDTREAKAKAKAKASDSKPAPAEDAE TQQQQQQQPAGASANPGARKILPAKGRLAKLRSAGYPRAELADQQQQQQSSANDDASI EKELAAFEQGWAEEERQDPEWARAEAEHLARQEEEGKRAKAEARARARAQAALELRQQ EEQRREHAARFASAAASSFGQETIFRPPEPGEEARFDPRAQKARTEAETAIAMRTADE EARRRAASHKVDPDAEPEPEDVVDWGDEEISEEE MYCTH_2050996 MEQEADEPHPSITRTAERAASPKRPGASDADGDANPESSPFQDR DLRPNPAREASPIAGSPPQPSSSPMRLTPSPQRTVPQSQRSPTRTPPSVLGSKSSSPM RNLSSPIKTTPRPPAKSLFRQDPNTGLSTPRVVLTPQGRRLSGVGADRPGLGSPRVAE IFDRRESIGEAAGNFVPSQPTNPRRNVAFADPRQMEAEIDRDEQDEHGKEDSRGASGS QEDREGTANLMQMIQGLSPKKNLLRGRKSLHVGSARGLLGKRPAELDEDDEDDEEQDG VKRLKGHQGSPSCRELKKYISEGRRIVREIETETFEENPPLFKEYMTASPEFKILMDN QFKNVKTHARLLSKAMWYEWRMKLQDGLKEGLLKTAEGMDEDDRLLAKQQELLSSVLP DMVKRLEALELEHRELEAVARELADSDPQDLEDARAELVAVDKSMEEKTRKLRQLRRE LDESVQGLESLTQQKQQYLEEIREADKIREECRGWSSEEVNKHKAQTDAIEKKHGWAI SSISGLAITMRYKREIELVLDLASLHQQQQQQQQQQKPVSPSAPIQLRYIAETPRPCP PEKEFFLRQMRERLLRAQQERMAPRVMLGIVSSAWDKAGAVAEHIRRLNLSFPTSVAA AGESVRVTASVLLVPLQTRVEAVLRLEAAEGPGDSGDGDGDGGVEVVVTPEVRVVYGE QFNAGKMTKFLAGRVGSRLGRGVGAGWDEAVLELHERLLAKGRQQF MYCTH_2031956 MASQAENTLPATRRTRKSIGGGPPARKSLDKENATADVGSSIGG TRKKSRSKSMGPGELDPLKKANGNRRASLAVPTPRSILKPTMPLLPEIPSYKPQQTSG GSGAANEAGGTKVALRTEEEQQAAAREREERERARLEKEIQDRRDARRKSLANRRVSF AAEATLHTFHDIEEMQDSTTSTDSTRRQHQQQHSDSDPPSTPPDDVEEHVDEFPANQR DLHQRRRRRSSAASSMYGSDDTVGSTVYDSDSEHADSVAEINAVEMDGSSDEDGTIMT VEAEEMTSASTMSPRSTYDGDSSASLDENLRLAARRAVTQGIGEDEEVIAGFAGWGRK NPSRENVDQNSASESHAPANGAADTGSDTGVDMDLTKPVGGVLYPQLPSPDKEDEINE DLSMDVTTALGGILSKATAFLRRKSVNPDPQPEPSESGEQTMDLTTAVGGIRQSRVSE GSPEGTDANEDMSMELTTAVGGLLSGNISKGAMQSSRRQTMAAE MYCTH_2088473 MATELTVQSERAFQKQPHIFLNSKTKVKSTRPGKGGRRWYKDVG LGFKTPKTAIEGQYIDKKCPFTGMVSIRGRILTGTVVSTKMHRTIIIRREYLHYIPKY NRYEKRHKNLAAHVSPAFRVEEGDQVTVGQCRPLSKTVRFNVLRVLPRTGKAVKKFSK F MYCTH_2296246 MAVDPSQNVHGDLLPHVHLLSTFRYASMPRVELSEVTKWLLQAP KIARDTAPFYWTYLDCPADGSIFLTWQPTARRGTEFASDGYVWAGPEVSFQQNAGNGL VLEIYFQRTGYRMGEQIAIHSRRRFRLCPPQVPVPNVPQVDPNLWIVHYGPAEKSDRL PVSAIGIPPAMQPILSFRSHLFQMGQIVRKEFMLSDRVNWPQIPFPQRGQSMYAPPIP QRHVPQTMAYPPHGAPAVGPTPKRRGGHAPAGHQGQMMGAGFQSNEAAAFDDEEDVSR GDMFDHLSPRELSMARYQQNHEWMEEILSSPYRIGQIEASDLGLGRKGELASLTEGIF EAQGADALVSGPKKPYTGRLDPGLAAQFRERVKARNAAVTEEINRLKAQHAETVAKFR GNAAIKLAEQELRSATEGTGSEIWRLEGRIEDGEESSSSQWQGTTKSLDEIVARVEEL IGKRAVAVSDVHRVQDGGYQQPAPDPEPVQPQPQAPAASNPPGSMSRQPSQAGSGVMV GDSDVDMGGTAAGLLDMHSGFSSTSTPINSFPTPQHHLSAMPSAAATPSNLNVPSPAP ASQPPAQAQQDVQMADAEAPKDNTATAPDQGTDSGDWAVVPKNDAIPPATTTASTAQP PPATTTAAPSTGNATSVAGGSGNKPASAAATPGGLDNNDFSSLGDLDTAGDALASYEP PSGDELNLDLEDSAFGDAFHGVEQAGSAGGSTPAGEGM MYCTH_2296247 MSGAVARLANINVGGAPKAGTSLLQSDENANNGASADIGLIGLA VMGQNLILNMADHGFTVCAFNRTVSKVDVFLANEAKGKSIVGAHSVEEFVSKLKRPRR VMLLVQAGKPVDDWIETLLPLLEAGDIIIDGGNSHFPDSNRRTKYLASKGIRFVGSGV SGGEEGARYGPSLMPGGNEEAWPYIKDIFQSIAAKSEGEACCEWVGDEGAGHYVKMVH NGIEYGDMQLICEAYDIMKRGLGMTSKEIGDVFAKWNQGVLDSFLIEITRDILYFNDD DGVPLVEKILDKAGQKGTGKWTSVNALDLGIPVTLIAEAVLARCLSGIKDERVKASTK LQYVSRQKTFEGNKEQFLEDLEQALYASKIISYAQGFMLMQEAAREYGWKLNKPSIAL MWRGGCIIRSVFLKDITAAYRNNPDLENLLFDDFFNKAIHKAQPGWRDVVATAAYLGI PTPAFSTALSWFDGYRTKDLPANLLQAQRDYFGAHTFRIKPEAANEKYPEGKDIHVNW TGRGGNVSASTYQV MYCTH_2296252 MSYPGPPGVSKPSHPSLPPRPPATKLSGFKPAFSPAPTAPASAA AGYTAPTPSYPGYGPTATPAAGYGAPAATSPYVGNPTAPAIGAGRGAYSTAGAYGYAS APNYPQQPPYYGAPATASYSTPPQIRNPFPAPGGAAADTDPEMAAQIAQWQSAYMPKD PNDPANKAGAAAGSRTGTNTPGGAQAGTAEAADGSANANADADQKKKTVYREGGGKKW QDDTLLEWDPTHLRLFVGNLAGETTDDSLLKAFSRWKSVQKAKVIRDKRTNKSKGFGF VSFSDPEDFFQAAKEMNGKYIQSHPVVVRKAKTEIKPVVVKEERKGKNNNNKKSGGNK SGSGMGAGNDGTGAYEPHLGPMAGSGITKPGQKTKGGLKLLG MYCTH_114237 MDTVTPSGHRRRRSSLINPANSSTNDRHRARSQSIRTVPTGDDD NKILEESSSNESVRPGEVDSGGLTDEDLHDDEETGLTGRDRRRKRRKKRRNQLLDQRV VGDHISPEEKKEADLSVVKNLFINTVLIGLWYLFSLLISLYNKWMFSPDKLNFRFPMF TTAVHFIVQFTLASLVLYFFPSMRPKNNNHHTSDLGQSRHEAEPERPIMTKMFYLTRI GPCGVATGLDIGLGNTSLQFITLTFYTMCKSSSLAFVLIFAFLFRLEAPTWKLVAIIA TMTLGVIMMVAGEVEFKLGGFVLVIAAAFFSGFRWALTQILLIRNPATSNPFSSIFFL APVMFITLVAIAIPVEGAGALFAGLRTVAEEKGLLVAPLIVLFPGVIAFLMTASEFAL LKRTSVVTLSIAGIFKEAVTISAAALVFGDTMTVVNVIGLIITLAAIAAYNWIKINKM RSEAQTDVHRGHLARAVEEEEEEERGLPGGSKSGSGSGSGSDRDDDQAESAGLLRRSV DRNEGVIFTADGGDTVAEPGMEQPVYPFSFFFFFSSLVI MYCTH_2296256 MAAQFSTQNESHMRKQGGGYLPIENYGLIGNMRTCAMVGMDGSV DFMCWPEFDSPTVFCRLLDKDKGGYFSIQPASHLSCTTKQQYLPSSNILQTRYIHEDG VVDLVDFFPRPKNAKVIFKGPKQGAYREMTSVQEELKQWLVRRVECVRGRLQLDVEIF PAFGYATEPHTTTILQEEGVPHCNQSKTAAFHSESTKLQLDVIIDHGEDGDDSWPIVR FRKVLKPGMLGEGVVACIDISEGQAVSFVIRNDVEKHVTENITSAVLDTQQHDTQTYW YNWISTSKYKGRWREVVSRSLMILKLMTYEPTGAIIAAPTFSIPEAIGGVRASNWDYR FSWVRDASFTIYILLRLGFTEEADAYMGFISERFLKSRVADGGLPIMFTIRGETDIPE RELTHLDGYRGSRPVRIGNGAAFHQQFDIYGELMDAIYLYNKYGKPIHWDLWCTVREM LDYVLTIMHQPDMSIWEVRNNKQNFTYSKVMLWVAFDRGLRLSEKRNFPCPNRVKWLA ARDSLYEEIMEKGYNKEMKCFVQSYENNTMLDSSILIAPLVFFIAPNDPRFLNTMDRI MLPPEKGGLTSTGLVYRYNTEVSEDGVGGHEGAFSMCTFWLVEAMTRASVYESKYLVR AINLFENMLSFSNHLMMFSEEISRSGEQLGNTPQAFSHLALISAAFNLDRVSEPKK MYCTH_2296260 MTPPPPLFHALLRPAVLQILRATGYHAAKTCVIDSVTDLAARYF LHICHLTAVFATHNNEGLPPSPSPSPSPPLAPSSSSPGVPPPASTSVTDAPPVTTTTT TTTTAATLVNPAVPAPTIVDVRMALQRAGALLPERIAEEQEYVGEEDTRGVDNFIAWA MGPLNREIARIALDGNDEAGDYLDALKKKHSKNDDDSKFLGTLLGRSIEHGDVLVEGG EWPSILAWEERRRLASEKTPEPPQRPQQNRHGDVNGDGDGEESRPPSSGLSSLGDRSI ADEMDLS MYCTH_2296262 METSQKPHTSIKLPSLKITGGSTTAGDSTPGTPSQGLPKIRLVS KSQPSTPADSHPPNSAPRPSVAAAENGANTASADAKITQTKAGQVSKPSAKKRTREES EDHEDDDDDVPLANGTSTTHQAKKTKITIRPTTPGLVRQPTLKVKSSGRIPHKPLGEG YDSEAEDREVDPVIEEQFLFRMMPGEHCEYLRTAIQNRQIGVPKSQGGADFQIKWLDE EGRRAVVMVKGQMFAAILLDLPTITEGMKTWDKKSMVKSSDICQMLLVFAPVNSEEEA KTAPLPKAVEHGHRWPHGITPPMHDARNRRFRKRLSKLEIKNKEAEVERLLSADREAL NVRTEWIDSNKHNGRADEEDEEEEYEEDAADDYFGDGAAEQQAEEEEEEEEFEVDDAA LEAEFLEAVETPMVDTPGAAAEGVTPGAATAATPGQQGEEEAAAEEEAAEEEEAQEEE SDEDDEEDGDEDEEEEEGDEDEEVAAIRNVIASLKKQLKSYEEQLAASVQPIMRKRLE GNIRNVKSEILLKKSAIGETEED MYCTH_2296263 MICRTCLRRAAGLASQQITARPLARTAPPAAATATATATAARAF STTSATRNAAPTPNPPQQAATSGPAESSTPDLTPLTPPPAADAKPAPISSCPEGTVLN GLNYFKGKSDPVALADDAYPAWLWKCLEVQKKTDATDTADAGDEFSKSKKQRRLALKR QRQQEARILASGDLEALVPKIPLQKQTVNLPAAEPGNVAQAIEAVDKREELRKAMRKE RRAKIKESNYLKAM MYCTH_2296265 MPTPESEAFLAKKPQVPPTFDGVDYDDTKRLKQAQDAIIREQWV QVMMGRLVREELSKCYYREGVNHLEKCGRLRERYLQLLSSAKVKGYLFEQQNYFTKDG QQQ MYCTH_2296269 MGKTKDTKAVKAKAAPVKATTPVKAAVTKVEKSVKSAKEIAKKD VSKKSKKVESESESESASESESESDASTTSSEEEEESSSDADSDVEMVDAPAKPAAAN GKAKAKKDSSDSSESSDSESEEESDSDEEESESEEEKPAAKAVKTNGKASKKTESESE SESESESESESGSEESSSDEEEEEEEEEEEEEKPAVKAVKPNGKVAKKAESESESEES DSEDEDESSDSDESESDDSASSDEEESESADEESESAEEKPAAAEKPSKKRKAEEEPE AAETSAKKTKSDGEASEKSSTLWVGNLGWGVDDNALYEEFQHVGGVVGARVVTDKDSG RSRGFGYVDFENPDAAEKAYNEKNGAFLQGREMRLDFASKPNADAPPSARAAERARKH GDVISPESDTLFVGNLPFSADEDSVSKFFNKAAKVQSLRIPTDQESGRPKGFAYVTFS SVEDAKKAFETLNGSDLDGRPVRLDYAKPRDSSGGGGRGGGRGGRGGGRGGFGGRGGG RGGGRGGFGGGRGGASGGFQGKKITF MYCTH_2296271 MAPPDIQGARQAAQQRNSASSVAQAPARSEESSPQRRNDGQLDC PLHRRFPTRSLMPADSESWVEVTSQPSSSSLSSIGDDIVTTGLRVGNPSYPPRRRRSQ QQHQMPASFIVGHPATQGGATSSQDEYDETESEEDRVLTSSTEAIHPSANLLRQQTTV RAPAVVDTDSDSDDDESATALGRPTNTTPVFRPQPNAFSHPPSHRTHRHSTGSAPHHH QHHSSQSRPQMPNRPHAHSYRGSPNYMSPSYQADNDAALRASLTTLLSCAAAARGLPK HDERRTAPATGAGVVPSSQPMELRLVPEAELMAADDSPAQPPPPPTTTTTTATATNPA SKSQARTASNSSAPSAPSSSSSREQQQRESKRTAATQSRPACATKKKRISAALADGGG GGTAADGETTPFLNISPTLLTWVVSAGVVVLVSVVGFGAGYVIGREVGRQEGAAASLG GGFGGSAAASGANASSAAAAGCGGELVRAGSGSAGSGTLRRLRWGAVGKSVAA MYCTH_110470 MNLNSASIGIRRYGDLVLSQEGGTQQPPQEDPRPPFYTRPRTPP RPNPPPTNAGEGQETDSSPNQTS MYCTH_99435 MPPPPPPPPPPPPGGGVPAPPPPPPPSVASSPARGALLADIHKG KALRKTVTNDRSAPQVGGKIVSGGSPGPSPLGAPPVPGAPKAAGGLAPPVPGLRARSN SDQGDRAQPPSSGSDGPPHLAGLFVGGMPKLRKTGGGVDTGASRDASYLSDSETLPRS APKPPAAPAPPIPGRPSPSGASSSPSTPKKAPPLPIGKKPPPPPPGLRKPSSTAPSTA PPPPPPPAAAPRPPPAPARSQPPPPPPSASTSSISQSIAAQAAICAASSVAPPPAAAP PAPPRPAPSSSPPPAPAPPPPPSSAPAPPPPPPPPPPSSSAPAAPPPPPPSSAPAPPP PPPPPSAAPAPPSSLSHSASSASGRASTFSMLDPSNFTLTPNGGGGGRTPSPGRGPSP SPGGERYIVQDSRWRFHGEEHFPKPRPFVGGPRRYRAGRGSSVPLDLSAL MYCTH_2296277 MASSGIPSEETTLTALRAIAQIDVRPPPAAAERRSEDAAAETRG PASQLLGLDGLAPQAGDAARPPRTPSQRSQDVVDKVSEAAYTIVTRPTVVITRTILAE YVKLQARLGKPQSLPQILRLYASKPTPKLVSGSVQYVERNPNKAESAVDPAVAEAALD AAIEAKDLEAAIGILENTYSAKAFLRSKLIKKGFVPGLAAAGTPVAIYYAATQLAQLQ HSLEPKVATGFVFAGAICYVGFTATIGMVAHFTANDQMKRVTWALGTPLRHRWLYEEE RAALDKIACSFGFSEEHRYGEEEGEEFMWLREYILSRSMILDAVDLMPGMN MYCTH_2296279 MWHPLSSRPWALALGTPVLVVAGIGHAVHGAVHKRVKRVRSMSR GRRRAMSASASSSVARLELAGVCGDNSGSGGLPMSRELTLRADDNDERKEVATPATTG IPAHFVGFTASGAPILRFAEDPGRLEPERAVVVGQGDDGHVMIAFKKAMALAGDTDMD GGKDNGKGNGNGNGNGNGNGNYTSWARGGGGGCGCYSNPGLGASAVGGLADSAPTSRS GTPGPRSPVVRIAPPPPGKGPGHGDSPV MYCTH_2313667 MAQEKLRCVHSGCGKEYTDPDEVCRYHPGPPVFHEGQKGWKCCK PRVLTFEEFMAIEPCTEGKHSTTDLPPQIEKKEADPAALQDATNLPPPPPRAPVTAPQ HIPTPPPPPPESEEDDDTVEIPDGRVCRRRACGAIYKKGSSREGEKCVHHPGVPIFHE GSKGYSCCKRRVLEFDQFMKIEGCKTKDRHLFVGSGKKDAAAKAPAGPDGEELLETVR NDFYQTATSVIASFFLKKIDKDAAKVEFQERKLVLDLPTTDSPVPKRYKAEVPLYSSV DPSKSTFKVLGTKLEVSLAKADGASWPVLRSDDRPTGEILQVGRAGRV MYCTH_2049466 MRATSRLLHSCRITLFTRPTCGLCTRARSVLSDVWDRRPFDFQE IDIVKPESKNWRDLYDFDVPVIHISKASSPEEDPRLASKAVKLMHRFTAEEVMAKMDS VEKS MYCTH_2296287 MEPGLKMLRSNRLKRVFTEDRRPAMGFWQMLPGANISRILARSG ADWVMVDCEHGNIDDAAMHEAVPAIAALDVSPIVRLPGTESWMVKRALDTGAHGILVP LIRTVEEVKSVVAAAKFPPEGQRGFGSPFVLQNFEAGLTLTEYLQQANDNLLTMVQIE TREALDLVEEIAPLVDVVFIGPYDLGNNIGHPILGGKMDPELHDAIARVLKAAVAAGK KCGIYCISGAQAKQYADMGFHMISVATDYSTLGEAMVERLTIARGQAEEEKGGSY MYCTH_2296291 MSLNSYTEKKVCIITTDGRNLVGTLAAHDHTTNLVLKNTVERVI RSPEDGEPSVEVPLGLYIVRGENVCVVGLVDEALDASINWAEVKGAAIGTTKH MYCTH_2296293 MAPKNRIIIDTDPGVDDVLALLLALSASPEDLEVAMISVTYGNV PLQSCLRNVVALFHVLEKEMAWRESTGKPIYGALNAYKPIVAVGAEHALEDEQLVADH FHGADGLHGVHEAHPYLSPADTWKTLFEDAPSDSNANAEPPSYSRFFTPSKRPAHKEI LRILREEPENTISIVAIGPLTNVALAAAEDPETFLRVKELVVMGGAVGVEGNVSPCAE FNCLADAVAAARVFALTSLVPSATIPPTIHGKSVLPPYPAKLSKQLKLTLFPLDITTP HELRKHVFNDKIQPLKEAGSPLAQWTDTFMNGTFNKIDSILGDGHDAGLSLHDPLCIW YMLTHDHPAWKTVPKPEDIRIETCGQWTRGMHVIDRRKRAKPAEKNGAVQTHPEDPMD AMTLDEVPGDTMGWLSVRKGNRINRMLESPGQDTFAGILLDKVFA MYCTH_110475 MGASLSTLVGWTVVIGCVYIVAFGIPGQKKGRDTVRGASQRHRL EEKQSHARKEPKEKAKRQRGEAHSKDAEDTNKPSQPKARTPKPSATPQPVNDSSDDGL DNREFARQLASIKQGTSLNAPKKNDEKRQKSVKQSRAQIIDDKADQIKDSAPSSTAGV DADDDESSTPSPEIKALDASGVSDMLEPKAAGPSVLRLTDTDKVKPKKEKKSKEPQKT ETKKQRQNRQKAEAAKALREEAEKQRKADMEAQRRQARIAEGRPAKDGSTFMAQAQQS AWTNNGVNGSPSSNATNGGFLPVQPLDTFDTGSYTDVSVPKNKSPAKTEPAKSNPADS WISTLPSEEEQMEMLRDEEAWNTVSTKKTNKKKKEAATENLVDSEPTVVQKQPAAKPA STSNGASAPPKKPTKVISQPSAFAALSTNEPEVEEENEWDV MYCTH_2296296 MSFTAAIQSALFYFLACTPCNQLHTEHELRQRQKKEKQMRERIV LDQPHLYRQPDPFHTNPYWDEEIRMGPSLPKKRKGSDGIGKSLSQRRLTAASRDGRPS IGTGSSVVVSLSEMGSVASPRPSTSPANAPGIGSTQTVVPEEYPASPTLSKTVSISTS ADWNFKRYQREDEELWGHESTWSGHRLMDAIKQAGSSAGRYMESKLGFEKQVTDEDRY NFYTAPRNPPVNDYHPPVVSSRPAHKDALRWMLQPPPPAKVMEGKVPVTRSASMASAA SCRRTVSVREGGSLGRLVGEKAVEAKIRRGETPLDDEPRPSPSLRKSRSRVSTATRTR SRRTARTCGSSTDSENDESEDESERRSRRKRRVSHRPPDLDSESEDEGVCVSKSPESL SNTSLSSHAAPKPRLPTILSSGKDNKAASEAGNNGSSQALSETTNPASKPDMVKPNSQ PELSSKDLNMGNALDEVSR MYCTH_2296297 MSAESVPITPERFAAALKDLPLSSLHLKVHELRNSIAHLDYSNE QLRPFAEGIAPMATTDDPGTPAVGTRGVPDQDCVDAIRENEVVIERMQERIRLVRAEV ESRGLNWDEFQSGGGGGVEDDIDLPKAEGETDTEEGDGSPLVNGAGVNGTAATTTTTT TTAAAAAAPSTTTTANGEPRHPAWMDGTFQTGVIRDGVVRMDSDPARQAQARQGGSLT DEELRRRVEEQMRGLGDDEDDDGEGGLHL MYCTH_2296298 MSSCSLGVNAFRPRIQAAAHHLTARPCSRFLPAASFPSRCFSHC PVSSQKHQRPPPRGGHDQIAAAGLVLASITRSVLPQRLGILRRTIFGGNKVITRYVDL PPDYKDKEGLPFAKRDLSDEEVYAIFGRLLSTVAANKLLRILHGRRVAGTLDDPTVRV NTKVFPIEQQKKALAYLRRYVPVDEVANAALRAEDELAALEGGSAPEKEKETADEPGS ASKLPLYKKTEEPKKKENVYGRGALDEISAYLKAKREEQVKREEEERKKREEEELYGR AGPLEVAGKEQKTRQLSPKMQEYMIRGQSDLKEPPKMKMRQRLLPSAAFVVALVGLCL AYAEFYRPARRADRLFPDIPPAAATVGTLILANLLGWALWKIPPIWGFLNRYFIIVAA TPRPATMVSAMFSHQSFVHLLQNMFILWFLGVRYHDDVGRGTFLSTYFASGALGALAT LTWAVVRSRFDIASLGASGAIYGVGAAYFWLHRFEYMRIFNLPPPPAEGFQGLSILAF AAALNIGAIFTPARFKIDITSHLVGMATGIVAAHLAEKKKEAKRRVGEAAATAKTTVA TR MYCTH_2296300 MSSHWQQQQPPPPDSVAADDLPQEAEASPNPYASSSRWRHVESS AYARHELGKEDTPLPHGHTHRRHVAREADSRGTGAGDLANFLNKSRLDPSETRAGNGT DRPTTPRFKPIVAGAPKEQQQQAGPPPDGREIVCGPLLNYRRMEGARWIGSVLVVTAG GGRTQPLVPTLELRKAAAAAGAGAGGGGDRTVEGTCLYSDVRNTFWRFDLVVEMEEAE TKWEYELPGLRFASATKPRVNSFFVPAVNESMRIMFHSCNGFSVGTDEAAWSGPALWN DVLRKHRERPFHVMIGGGDQIYNDGIRVTGPLKRWSNIKNPVSRREHPFPESLRQECD DYYLKNYIRWYSTEPFAGANGQIPQLNIWDDHDIIDGFGSYVNEFMKCDVFRGIGGTA HKYYMLFQHHLPPPAFTYTSDAPPAAAAEEGQGMDPNQTMNAYVHPPLSEPNYICGPK AGPYVAEHSHNLFTQLGARIAFLGIDARTERTRHQVNYPETYDAIFTRLKQELSAAAN SGRPFRHLVLLLGIPIAYPRLTWLENIFASPVMGPVKFLNRRFGLGGSFFNSFDGSVD LLDDLDDHYTARTHKKERNWLVERLQGICAEFSIRITILGGDVHLAALGRFYSDPRLN IPVEQDYRYIVNVISSAIVNKPPPAAVANLLARRNKIHHLNHDTSETLLKLFDKDPGD SQKTAAHNQVTMPSRNFAMITENSPNNSTTTTTTAHPNGGAVANGGGATTTHQHQHQH QHLRPPTASQQSAAAGTTTSTTTPSSAPPSNASSRPASQGSKDGKHDNSKDGRLPLHG GEENCGTKHKAADPARHGRDSDGSLDVCIWVEIDQHDPAGRTQGYGLTVPALAYNGPR PPSVDLLPPRSRGSSAAGGGGGGGGGSRA MYCTH_2136960 MPRNFLPTPASSTDIKGQDGSKMASLQLAFELPPPAVATEAASS NAPTSAAVLYPAQASETVKSRRRSSAANQPKDQFTLPPPPTRSRKIIQMKPREEHGSE TLTSTPKTASRGKTGTTSATTTAGTKRKEPSATSAAGRKMARKTAHSIIERRRRSKMN EEFAVLKSLIPACTGEMHKLAILQAAIEYVRYLEDCVAKLKAQCGSGTELDPEPRSIQ SGLPSPSLEDNYSRASCGYPAAAAAAASDTRSTDVDMTSSSSGAPSPSFTPIAGRSQH QPSVSPALGPRETSRNNSYSSVSSDFRLHDYCTSATTSPSFGPQRVGHPTDSQQQQQQ QGSHSAYGSTLTSPALPPQRDMDQEVTTALLMLNQMDRRATGGGTGGGTRGGSTATGP RGMSVRDLLSS MYCTH_2296305 MASRQLRVLSLPSGITRAAFSASKPRFQFSPKLPSTTRMSTIAA FKIPKVANEPNQHYAKNSAQRAGLTSALEALQKKGALEVPLVIGGKEVKTSSTGRQVN PADHQTTVAVYSQASPEHVRTAIESALAAKADWESLPFADRAAVFLKAADLISKKYRY DIMAATMLGQGKNAWQAEIDAAAELCDFLRFNVQYAEELYAQQPVHHSAGVWNRVEYR PLEGFVYAVSPFNFTAIAGNLPGLPALLGNVVVWKPSDYAIASNWLLYNILVEAGLPR NVIQFVPGNPEEVTKEVLAHRKFAALHYTGSTAVFRKLYGQIGAGVAEGRYQSYPRIV GETGGKNFHLIHSSADLENAVVQTVRGAFEYQGQKCSATSRLYVPASVWPKFKTRLVE ETQKLAIGDPRDHKNFMGPVIHEGSFKKLSGVIDEAKNDKDLELLTGGTYDKSKGYFV QPTIYLAKRPDHKLFSTELFGPILAVYVYDDTKDPVGAFAQACELVDTANEYGLTGSV FAADRAAVRFAEEKLRNAAGNFYINCKSTGAVVGQQPFGGSRASGTNDKAGSIAILGR FVSMRSIKEEFNATTKVEYPSNEI MYCTH_2296309 MPTDTSTITTRNPPAAGNSAESSDHGGSAIGSHRTLVITLSTVL SVLGLALAVGTVLVCLRKRRRRLPFLPRGVSPVDDDEIERWKSPRDDEKARFRAGDTD VEADAAFHEETGASSHAKHPSTSSVKKPPSVIIYNRPYDPQPPRHSTDAESRRSFAQN HPAYGGKTSFDKALPQTPVLARAPNSRAGLTDATVPGDEPFITSPKRTPSRRLSKPPP PNSATGRRSHHARARSSRSSTRSFGEYCSSSGGIGGGGDRGSRAASDMELSPRYSHDH QQQHVVRHGSQHQHSRSHATTPRGSHSRVYSSSSIPPRLSFGDEALFGGVSSPARPKF PDEGGVGRAIG MYCTH_36377 MPSRKSDARRNDVSVARFVLAEDDSAMATESSAPGPASSSSAPS ASKTDHSASGPAPGTQQQHQQQQQHQSGEKKEKERDKDKDNLTIEIQANAILALTKSA TVFINHLANAANEFTVRANKKTIMPADVFSALDEIEYGFMRERLEAEFASMTPSSPHP MYCTH_42359 MYAAQPNGADSATINPAALNTDLIDPAPRGVKRSRSPDNFGDAG PPGSAGDDGGDKPRKRGRPMKPRTSGGAPEAAAPAALPPLPPTPQTIPPQTPQSQNTP LPAQTPTYAPPQTSPPKSTPTKSTLKALPTVRDHTTDQLGPGGDEYLPREIDEAGEKK VLPNGQLTGNREYRCRTFHVPNRGDKLFMLATECARVLGYRDSYLLFNKNRSLYKIIA NQVEKDDLVNQEILPFSYRSRQIAIVTARSMFRQFGSRVIVNGRRVRDDYWETKARKQ GFTENDPAGEKRPGASKAREAEANHNASLLGAPHGEIVYSTNPGQFGGGPQPQLVQPG MLGGPPGSSTRMPVITLGPEYNDTRSRDYSSILKTGPRQEITGPPYQDRIQPSPVSEL HAQAHHAAEFNRSVNQQRDMRSDYMQSIWRRPHEQPPPTTLSQSVSSTDATVPTSRAG TAPHATTTNLQQPGIVPNQSPIMMTAAPYSQPIHAQNPVGQNTLRGMAHNPAQSTSRP TYPSSGSTGTLPHTTQQNYSYSQNQMWPPTPQTPQHGYSAYTTQSQPSPHPQQSPAPQ MRHSSASGPVQPGGLSYSGMPSMGQGYGPAATQGMYPADQTPRQYMQQSPQAPAVTQA WSQQQATPGQWWTNQPQ MYCTH_2296319 MASLKRSLDSDPLAANISSKVYVRSTKSGKVQKIVREVYLRQDI PCSSRLCRRCRDTAPRDAAGRQTPFVLSEAPAGTSVFPQGHYLVPDTNAFLTAMDLFE QSSAFYDVVVLQVVLEELRNRSLPLYNRLISLTKSEEKRFYVFFNEFRLETHVARQEG ESVNDRNDRAVRKAVAWYGQHLARSGAAKVPAVVMLSNDRDNLRKAKEEGIPACSLAE YVKQLKDADTLLDMIPETETEDQETAKDKRPGEPLYPEYFTMSKMMTGVKNGLLHQGI FNVSPYNYLEGSIRVPAFPKPLLILGRENINRAIDGDLVVVEVLPKDQWKEPSTRVIE EDAITKNENPDAEETADIVSEKERKALQEEVRRTHSKTTEGHAQPTARVVGVVKRNWR QYVGHIDQSSVSQSAQQGRKQDTVFVIPMDRKIPKIRLRTRQVAELVGKRILVAIDAW DRSSRHPIGHFVRSLGELETKAAETEALLLEYDVQYRPFPKTVLDCLPKEGHDWKVPQ STDDPGWRDREDLRHLLICSIDPVGCQDIDDALHSRPLPNGNFEVGVHIADVSHFVKP NTAMDAEASIRGTTVYMVDKRIDMLPTLLGTDLCSLKPHVERYAFSVIWELTADADVV NVRFTKSVIKSREAFSYEQAQLRVDDASQQDDLTKSIRTLMALSKKLKQKRLDAGALS LSSPEVKVQTESETSDPIDVKTKVLLDTMSLVEEFMLLANVSVARKIYEAFPQTAILR RHGAPPKTNFDELADQLRVKRGLELSVESSRALADSLDRCVDPKEPFFNTLVRIMATR CMMAAEYFCSGTQAYPEFRHYGLASEIYTHFTSPIRRYADLVAHRQLAAAIGYEPVHP AVRSRGRLEAVCKNINVRHRNAQLAGRASIAYYVGQALRGRAAEEDGFVLKIFSNGFV VLVPRFGIEGLIRLRDLADPEPAAEYDAETYTLRTRGSREVTVELFQKVRVRVTDQKD EATGKRGVKMELISVY MYCTH_2296320 MAGSINKPKKPKSKRTPVRLRHKIEKASAAKQRKARKLAKKNPQ WRSKLKKDPGIPNLFPYKEKLLHQIEETRIRKKEEQQRRRELAKASTAQAEKSEGDER MDADDVAEEFEGEGSDDAMDEDASDIDESNPLAALIASAKKSAEQYEKELQSGDEMDE DEDDDSDDDDDDDDDDEDGAVIEVPGGASSRKAYDKVFKQVVEQADVVLYVLDARDPE GTRSRDVERAVMAAAGGGKRLILILNKVDLIPPPVLRGWLTHLRRFFPTLPLRASSPA PNAHTFNHRDITVQSTSSALLRALKSYAASRNLKRAISVGVIGYPNVGKSSVINALLS RLGGSRSQRAACPAGAEAGVTTSIRAVKIDNRLTLLDSPGIVFPSTGSPPGAFVPKNP TEAHAHLVLLNAVPPKQIDDPVPAVTLLLKRLSATPELMERMTQVYDLPPLLPNPESG DATMDFLIQVARKRGRLGRGGVPNITSAAMAVITDWRDGRIQGWTEPPVLPVAGVTDA KAGGGGGGGMKIAGEEVMPDQKVIVTQWAEEFKLEGLWGDDEGTSKAATAAGEVKEVE ME MYCTH_2296323 MGSAEPAASAFAAPPESVTFDGLLFDMDGTIIDSTDAVVKHWQA IGREIGVEPEVILQTSHGRRTIDTLQLLAPEKANWEYVKQMEGLLPKLYGNDAVEIPG ARALLQDLIAQSAPWTIVTSGSLPLVSGWLTVLNLPTPPHLVTAESVAVGKPDPACYR LGRERLGISGAEKQVLVLEDSPAGIRAGKAAGCKVLAVVTSHTVEQVLGAEPDWVVRD LSSLRLVSVEGGRVTLEIRDALLVKTE MYCTH_2296328 MDMDYLDPYQRRHPDLPMQLFAGDQQAHPQAMQYPYWNPLMAYY QQQHRAAALVGHAGVHLSKPAEPKPRLAKDEVELLEREFAKNQKPNSSTKRELAEKMG VEVPRINNWFQNRRAKEKQIRKTAEFEAQQARERAAAEAKSASDRDQDGKAADESSSH GGSSSPSQHQGQNRNEPGRPSTGALGQGDNMSHGDHSDHDDHHVKPETGPLDMTAQPS PAAAPECESPAQSDSGASANDHHEPASASQAQSLATREAQGSMPSFGTHDQRGLHQPT AFPYRPADTGAPEDLAQRPAFDVLPTHHGMSIGDAGVFSPFAEHDYFSVPQTSQFPSE MAVPGAPMDAGTPLLRHHVSVESLAQSEVVSPASLPGSSPSAVPGLRFKSPPPPADIA GRRKSRRPAPLGLSSLRCGPGPKTGVEVPRRADTVSPMRRISSATGSLYGRVQKTFVG SGGPRSPFATDRNREALLQSLKENHAPAMAPLGHSVPAVTAETTTTEGFLCSTMGECG LGTHHVPDDNQGYPFGTLSTVGGGGSSSGNVPLYDSADHSVRSQPGTPGLQIGIPEGY FSASSGGQSWVYAPQDEPLPTPSLCSHGGSELEFSMAPQPSGYIASQPVTPSFPPAMG PPYASFFAAGLGQADYQFPESYPAESSARSSPVVPPRSKQFQFAQNVTPQDFNMDKP MYCTH_2296333 MASDPSPRAVRFSPPDDEGHPRPDKYKPTSRQTTVMPDSDNPAT GDIHLGPRLAEQQDELGTLGRFVDPGQISAVQPHPSGAIANGAASRQRSAADSASYSN GTTPRPQRPTGPARTPSNTYQPYTQRRPNQPGTSSFTSSGGLHIKDAPRRTVGTSTFR AQEREYVRRLRQQDYNNDYFDTYGSGEVYDSDSEGETPSSEGPFDSYDDAHIMFVNNE GSQITEEDLKDPHNRERLEWHGMLESVLTGDVVRQEKKRLIGSADEDLGKSAHKAELW LGIRARVCGRHLPVQRRMVEEARASLDRAIDEVVNFSIAGESEAGKPPIEQVRDTIAK IERIESLFPSSAALIAAVKPATYQAYQETCDAVISWYNVNEMINTELSILKKWVGNDD LDFQRSQENSGRLNDETSFLDRLMKEEGLKSLHDDKASDGKNLGKKSMLDSISMVITK AKDTLVVNAEAFQKRHLPPYIEELLTLISFPSRLIEEIIKVRLAYAKKMKDSAQQSPM MQDQMISQFQILLKLAIKIKSEYMAISQPEPGWDLPPCIDEDFDRVILDALKYYFKML NWKLSGNKNTFKEAELLFQEWGFGNEIGSHLTHGDVEVAEQFSSLTYKAFNRLNQTFE KELQRRPKESISEMTKRYKQTLDSVRVRQRMLQRFSRMLSDNYENACDFTVAFGPEKL QELFDRLVESGHFQICTGSPEHENVVILASPSLHGRSADIQLLMGTFAYETITEDPTD PYILIIRAEEPPAWFGAKLHLQVRDEPLDIKLGHMRLIAGGSQSRLVNARKAFLDNID MHIDLIVEQRSSLQKVNLRLMEIRKVAFKLSNAFMDSVETIRRQTRGLNCQELIQTCF IFATEFGQRSLLYMDNNRRTMNNMKLTKLALDWVSFICDDCISSDRKTFRWTVQALEF AMGMTRGRHILALGDDEYAKLRSKVAGCMALLISHFDIMGARSSVAAQAEKLRTEALM NQLRRLNKGQTADDATAAKFVEEHRLMELAKIDERRRQILLERSAMGRVLEISNEVDR SLAWLSSTATNFTMRWQQGHFVGGGTFGNVYAAMNLDTGQLMAVKEIRLQDPKLIPNI AGQIRDEMRVLETVDHPNVVSYYGIEVHRDRVYMFMEFCSGGSLANLLEHGRIEDEQV IMVYALQLLEGLAYLHELKIAHRDIKPENILLDHNGIIKYVDFGAAKLIARQGRTLVQ DVTRTKPNKSMTGTPMYMSPEVIKGENPGHFGAVDIWSLGCVILEMATGRRPWANLDN EWAIMYNIAQGNPPQLPSPDQLSPQGIDFLGRCFIRDSKKRDTAVELLQHEWIMTIRN RVVEPATPSSDASGSQQNTPSTATSSMRATFAGEEGSR MYCTH_99456 MSSLVGQSGSASRPSSRLENHPHPRFETRPSSRPSSRASARPST VAGIPASAHDAESEFSRARPQSCHGSPASGSATPGSGKRKRTASSAGAEDLLRGPIVL KPHPSSLMVKPRILHPLMLLPRESLPLSALDLSQPHGDLAASRLFESKIKILDLEGRL GSNILLARSEITGMVYAIERESNGLYVLCKLGSWVDIGLLSESATVVCKERIRSGKLV KQDNTAAVPLTTPSMYNETKRRRLAIEEIQSLVRKRSVSVAEKEAPGQAATVTATLTA TEPQQPASRADGSEAANVAPAQVELPPEPEPAAPAVRDATIPAMEPANESVNGPASQS VDDPFAQPNAEEIFSNLRAQYIEALYHSKGSLAYFAKGPLSRARAAFNLDWETNLNMI DLVEFLKSLVMTTVVIDKKYRETIPEIVAKMKTTVQESETGASKPKKRKNKKPKLGKD GLYPGEIDHIRRWWSSLQHVSRADGGDDDDDDDKRLTATEHKYHISCLRRRETQLQMI LILEILALEPVALAKGAECQLPGTESQIASQEASQEPSAKKRNKHNLPVLLDVHADRL CIWQSTTLDEVKAVAESQVPTEGQQEVQGDRANSDPLRDFCVDIIMPFFSARLPGPCE SLNRKLGGPVAQSPPKAKPAKPTSAVKPKPGAPVKRPTTSKRDKDKDKTLERALSVER SRRSVSRGPAAAIALLRSASQTVIPGLKREASESSVMGLVPRAQSGSLKERPSNIFSR STSLGRADLKAQRQAQVEAELKDAISALKKPNRALAVKDFVEAAEKRASAGQLKKMKK PSRASGVQVKATPANNRYKDALAPEKARSQPSPFADVPPSSASVVPASTLPCKFANRL PTATTSTRLTTADRVQVTPARASSSSIPATGLRLAQETPGESGIPPSSPIMARKAAPP QPPPPAPDFMMSGREQTRSRRLPAPTGGFDIPSSPNLGALFETPIAPRSGKERSNVVD DTPIRSRLPLGVAGDGGNRAADAAGAKDWGSREKKGVARSEGGGSDDGGSDGSAVKEN GGLSIYQRLGWETTDLDDIDDLL MYCTH_2296336 MALPDPNGARADDTLPVADAFLEQSYLTYIIPFATNFSPEEALQ HAGNSIEGAISSIEQRDQLFFDEAVDVYLILRAPYADEQTLRSHLRRLVITLDAHIVN GAPTDRDGAAPASELIYNGAVEDVEQAFVVTEEEGSQEGQTQRRAYAVWKLPVFLGRP RMRLQLPSVVFSGVASLRMNPEPSDQTGPPRNGYMQSCAPSGMNLLDSFADDPFLGGI RPRLSAQRVTKVAPLTKAKDSLRRIGGLQALKLKIYPVLHTRVRFSRPNTSPPSASMI ALLEIDFTPYFDCEATLDKLSLGVTGGTVEDLNTQDGMRLPLSCVAHDHLTFLYKLAP QQRDTVRKNLAADLAITIEVVLLIRPDGEPDPPCTPRLVMTWTTGIDFTPPVNPGFGQ PKTAPIQRAHRPSQLSIGGGVDSQPLVSPSVIRPDALPSLEAAAARTTTLETAPPDFG VTMTFAGPPGPVALGDEFVWTVFVVNRSKPETALPPPPSAPASVLAAHHAAAAGVPRK LMLVPVPRRRRGAGSELRVIRPPSSTTAAGSKRDPLVVADAVLDETVVHAVQRNSVVD APELVCLSADVRVGPLAPNACAVAELRFLALKEGVVGLEAVRVVDLGTQEHADVRDLP LVVVEKVREGG MYCTH_2051026 MNAPFAPPGGAWQEHRTPDGRLYYYNALTKVTQWTKPEELMSPA ERALANQPWKEYTAEGGRKYWYNTETKQSSWEMPDVYKRALAGGGEATPTTPATPATP HTAQGGSHHGGLGGADHYRDHREPLNESRQLTSGNNIQAQAFVPASNDPEYATAEEAE AAFVKLLRRAGVQPDWTWEQTVRATVKDPQFRAIKDPKDRKAAFDKYCHDVVVQDKER AKERLTKLRADFATMLRSHPEIKHYTRWKTARPIIEGETIFRSTNDENERRQLFEDYI ADLKKAHKEQQVAMRKSAMDGLIELLPTLSLEPYTRWSEAQETIQSTAPFQNDEKYKS LTKYDVLTVFQNHIKALERKFNESLQEEKNKRLRRDRKARDDFIALLSELRKDGKIKA GTKWSSIYPLIASDERYLAMAGRPGSTAMELFWDVVEEEERALRSIRNDVLDVIDDKR FEVTPKTTFQEFESVVKGDQRTANIERETLELIFERVQKRAKRTDEDRQLDRQQRRAL EDLRAHMKRMDPPISVNDTYEQVRSRLAHVPAFQAVNSDEARRGAFEKHIRRLKEKED EAERERQRRRDRPDSYRDRGERSHRSSARSVRSRSPVEHNTYEAERRHAVAERERNYR KTSAADVLLSDRRSPARRSPSLRDRDRDRERERERNRDRERDRDRDRDRDRDRDRDRD RDRDRRDYRDRDYRDRDRDRDRERDRDWDRERDRDRDRDRERERDRERDRDRDHRDHR DYDRRPPRLDEVNHYDRERRSREEDRERIYRRRILERDVDELPYGDERPPSSSYSRTR PRPSEEDDHDRRDGNRPAAKRVKVEGGSSERSSSGDRPPSRGQRTPLPSKEKERPSPS VRAGSEEGEIEED MYCTH_2296345 MPRPGNVLGLVGTNGIGKSTALKILSGKLKPNLGKYDNPPDWED VIKYFRGSELQNYFTKLLEDDLKAVVKPQYVDQIPKAIRTPDKSVKALIESRVSMDNL DEVLDTLELRHIYDRDVTHLSGGELQRFAIGTVCVQNADVYMFDEPSSYLDVKQRLSA GRIIRSLLRPDDYVIVVEHDLSVLDYLSDYVCVLYGQPAVYGVVTLPYSVREGINIFL DGHIPTENLRFREESLTFRIAEGTEDFVAEKSRAFRYPAMEKTLGNFKLSIDAGSFSD SEIIVMMGENGTGKTTFCRLLAGSLKPDGTQKVPEMRISMKPQTITPKFEGTVRQLFF KKIKAAFLSPQFQTDVVKPLKLDDFIDQEVKNLSGGELQRVAIVLALGMPADIYLIDE PSAYLDSEQRIMASRVIKRFIMHAKKTAFIVEHDFIMATYLADRVIVFDGQPGINAHA NKPESLLTGCNTFLKNLDVTFRRDPTNFRPRINKANSQLDQEQKLSGNYFFLEEGEKS S MYCTH_2296350 MSTSPDPGPVNGHTSPSPDEHSTHVADAAQSNSHLSDTQPSGVG GASPDSADDAGSADDEPEFAPDEQDDASHSSEHDAPDDADFNEEDSPASAQSNDASDR PVSASTAPKRKAAHILDDEYIRENPELYGLRRSSRPSQRRKVVESDGDEDESDSDVTP ARRKSSNKRRRLERSQPTSKRGTPARQPSVDDSDSDTYGGAKARSFRKKVRRQQELQP TLALAEKRWSNRRAAQVSAGAYQESDVDDEEEDEVTPGYWAADLEDNSPYIEKILRHR PKEGVELSPESTRHDFEYYIKWQGKSHLHNTWETTESVAGFRGFRRLENYFKKTVEYE LDLKFGGDEISPEQREQWLLDREREEEALDDYTKVERIVSVRDGENGMEYFVKWKGLQ YDDCTWEDAALISSHAQDKIDQFLDRSTRSWQSDRKQANLDTRSRMTKLEKQPPYIKG GELREFQLKGLNFLALNWTRGNNVILADEMGLGKTVQTVSFLSWLRNDRGQEGPFLVV APLSVIPAWCDTFNNWAPDLNYVVYLGPEAARANIREYELFIDGNPKKTKFNVLVTSY DYILADAETLKGIKWQVLAVDEAHRLKNRESQLYYKLNSFGIPCRVLITGTPIQNNLA ELSALLDFLNPGKVLIDEELELLSSADNKDATDEEQNEARRLKTQEKLRELHSAIAPF ILRRTKETVESDLPPKTEKIIRVELSDVQLEYYKNILTRNYAALRDASNGHKQSLLNI MMELKKVSNHPYMFQGAEERVLAGSTRREDQIKGLITSSGKMMLLDQLLAKLKKDGHR VLIFSQMVKMLDILGDYLRVRGYQFQRLDGTIPAGPRRMAINHFNAEDSEDFCFLLST RAGGLGINLMTADTVIIYDSDWNPQADLQAMARAHRIGQKKPVSVYRLVAKQTIEEEV VNRARNKLFLEYLTIQAGVTDDGKALREQFKEKGLKIDEAKTAEDISMILKMRSQNLF EQSSNQEKLEQLDIDAILENAEVTKTDIDDKINLSSGGIDWDNWMQVTDVKFDHMNLD WDQIIPAEELAAVKAEEEKKKHEEYLAKAMEESAPRKAALKGSKKNAESDRADRLAKK RQREKQELEELEEQRALLSDPRRPLNEKETRNLIRAFFRYGFFEDREDEIVHDARLSD RDHDFLKSIIDDLVAVSKQAVEANNERLREEEERAGKPLAKKDKKAVLVDFGEVRKVN AETVVERPPQLRLLRRVLAEHGDVLSFRLPDASKAAQYTCEWGAREDGMLLVGINKYG FGAWTQIRDDPELQMHDKFFLEEHRVDKKEERRRADDKGIQSPGAVHLVRRSEYLLSV LMAKYSDDANAKKAVENHHRSKKLLTNGIRRGDTGSVSASPAPPMSKKPSQHRDRDHH RSYSNANDRSTPRPDKRKYNDDYDDRGPKHRRLEAEGRQEKKPKDKERPKMDPETMER YKRDRQRAVERFHELSKLRDDEIDSSDNTQLVWSVLRPLKANMERIMYSKERFPAAKE RAKILGEEIRTFGSFLQNLRAENGADLDSLEPQFWEFLSSIWPIGEVKVSGKRLQRMF GDLIEKDKEKERLKREEERANELKRARNPDLEDGEIASDREERRPRDPYRDDRREERR EERRDERRDDRREEPRRRNYYEDGDRLNFYRHRRPENNRNVPLRHPSWQSRSPPARHS PY MYCTH_99461 MLDSTEEIRVRTDEPPPPKRRRIAAPPKPRTTAYIDLEKRGVEE DENLQRLLSALRKKKKIVVIAGAGISVSAGIPDFRSSTGLFATLRGQHKLKASGKHLF DASVYKHDASTESFHTMVRELAQITSKAKPTPFHHMLASIAAEGRLLRMYSQNIDTLD TQMPPLATTVPLNTKGPWPTTVQLHGGLEKMVCTKCGHIEPFNASLFEGPEPPLCENC KEQDEVRTAFAGKRSHGIGRLRPRIVLYNEYNPDEEAIGNVSKADLRRVPDAVIVVGT SLKIPGVRRIVKEMCQLTRSRRDGLTAWINIDPEPQGAEFKDCWDLVVRAKSDDIAEL VNLPRWDQQDIGDPKGYMVSGDEQKEKRCADSLKRDRVDVLLERKRSGGADKDGFVSP QASQTITDQTPRPLEQGSMPTPSGSPRALSPVSTAKPVAKGQSKQSTLTFGNGLPSKP TQPSKKAPAKRKSRQPRREPTKPKNRLDQTFRATKASAAAPLKDAQTPQKQPPSDPYS SDLSSPLDLPNDFVSLPSLRPENKVGKVAPLTILTKPPDADGYAAAWQPGRKGEDGTL TTPTEDSNAAAALGTISPRSKPRSMGHLID MYCTH_2296357 MAPPVVLTVRSYVPEDTSSYHQETQSIIDRWEILLDQPQALHPA FEQLASKNGTASVPPTMTRLRKLEPIVLPKVIVTVTTAQSGRVLCFAFSDGTVQYRNR FTMEEIYNESDTESIMHPLQVGFHFTNDTPCLQVAFSPTNCSFVQLCEDLTVKWNRLH YPLEDQGASLESADQKAVLAALTVALSATLNQGSGSCDDILAMARPFDRIPDFASAWV REMVNMFKIVVDYSEAAHHEQLVKNTPLQHCLSVISHLSFRGDFKPRSRSGRFAMLAL GIRSVIVVLTVAANTSMSMRERGNPMDDPDVVDAVTGCARWGTSLLAWLTDSLFQLLE DPEMAAMLNSPKRFPELAKYLESKNHVALQLLLCSFTRGCLSALCRRLQLVESVSNRT AQYYETRFQQQQQQQQQQQQQDSAAGAAASSRPHPALVQAYQRMERVMSSALVKVSWF DRILSELSSDIQAAYQKSLSGLVAANVKPQAPNLTEQQQQQQNELFIKKAQAHFELDM LLGQNPPPSFREVLLKLFSVTLPAFRNQTDRAKLYFASYDLLEVEDSPVTLAKRKAAG KYVDVFKRVELVVGPRPKRAASPEGGSNAAEGHHGSAAQRKGAAGGEETASAAGANGI GEDNGMASYRAALMGSWTGIGNDTGPQWRRCVRCAAVMSDLFTTKPGYNFVLSQQRKC VCGGSWGTLPRGT MYCTH_2296360 MASEDQHSAGSGDGAFRQYLPDLSIPRFTTMQKQDAHEYAKAFK ETGVPPWLHGLYLHWRKLYQEPFKGITNDGHVRPGLFRLQDEGIPIEAILSAAETLLS KLTPDQQATLSYHIDSPEWRTWSNPEFLLSDKGLRLDELGPETRAAALGLLEATLSPE GYAKALAAMRINGFLGQLVGAPRVMNESSYNLVLFGAPSTASPWGFSFYGHHLCLNVF LYRTQAVISPWFTGAEPNLIDSGPHAGTRILQREEALGLRLMQSLPPPLQARAQVYKL LRDPAMPEGRWNHDDQRHLCGAYRDNRVVPYEGVLVSDMAEDQRALVRAILAEYLLYL PARARELRLAEAERWFGETYFCWIGGFGDDDAFYYRIQSPVIIVEFDHHSGVFLTNKE PARFHIHTLLRTPNGGDYGMALRPLIPGMEQGYVWKGEKE MYCTH_2296361 MAESIAVVFQTFPGREQLDPQNAKSIAEVDGYRSTLVGRKLEDP GTFVLYTEWNTTEAALNYVNKKLFPDADKTFVFAISQSQLQRWSDAVRSPTTEIFTAF GTEDGFTDNLGTFLNALDKDRPEGFHGGAFGTGVPLEGEGDDKLARAALGWESRDAHA KAKENPGVLQDNIHLVRALRRDVDLFHVQFTSV MYCTH_2296362 MDGIRKSIAYIQSVLSPEAATLGGKKEKVVLMGISQGAAICHVN AAVPGEP MYCTH_91615 MDTFETSKPDRLHQSLSNAWASFLGAQQCGREISSLKGALDEHA RETNLSIASLKRDAVTKNEVLSAAISDAKFKIDQHAAHLKEVSVSPAQLSTLQLALEQ DKEDSSKKISELSEKVAAQGSCLDGLQSSTSRELNAIQEQYRLALEEVVSLQNELREV RADKLALEQRLAALETQVAALAQGSREMPDDNVKLLKGFLPPWGNPMALLDSQRQQET LALGSVLPSCQRDNPRSQTPTALNGNNIREPPPRQIAAGGQQNVGKNNLSPAATKRTI EERTQEPPKRPRQHEDACQDIRSLYLVFRERYKTKPPKSDTAFIWQFIGSIEDQAMSK YIQESLTAMLPEHVTPCRDMRRKNPRKHVTISKGLTWRKFREALVNIPGPS MYCTH_2296363 MTDRVVQNYSHTTAQQRRGSSEARSHRPDGQPTGQRATGARRSR SRNLVHSAGDCEIN MYCTH_43571 MVRLGSSLAGFALAASTARAGSYTLVDTFDASNFFDEFDFFTEP DPTHGFVQYVDGDTANREGLAGFASGGVYLGVDYSSTTTTTTTGRASVRLTSRKAYTR GLFVADIAHMPAGAAGSSSCGLWPAFWMFGPDWPNSGEIDVVEGVNSQTSNSVSLHTG AGCTVSNPGASPGTKLVSADCQGGEGCTQDTSAPDNYGAGFNAAGGGIYAVEWTDAAI KVWFLPRDSPIASQLSSSFSSSSSSSFPSGGNNNNTNIKDNKSLDPSAFGTPLAVFAG GAECPIGDHFANHHLVFDTTFCGDWAGRVWAADGACAALADTCEDYVAAHPEAFSEAY WLLGSIRVYQLEADAGAGTGTGGASAGGGDDGQGEGGGQGQRRGLRPKMARRWQA MYCTH_2296368 MSLSRSPSPIPGGGWTSPGLNIPSGRSSPATAFPGSRGTPAMWE SSRLRKGAGPSYPSFSTRSQSFFGRHMRRLSSSLPRFGSSLYYADKEKLGRGRWSVHD VPLLGRVRGIMARMGRKMKIRILILLAFLLSVIIFYNSPLVYHWRRSPWFGEGKKFVI LLGANVGGGVMDWKGAREWAIERDSVKNKKKYVARWGYELEIVDMSTKKRYAHEWRES WEKVDHVRTAMRKYPEAEWFWWLDLNTFIMEPSYSLQDHIFNKLEKHVYRDINEYNPL NITHPFTEPWLDAEERSPVGDGKVGSINLILSQDCSGFNLGSFFVRRSVWSDRLLDIW WDPVAYEQKHMEWTHKEQDALEQLYVSHPWVRKHTAFLPQRMINSFPEGACAENGIDP RIHYNQKDRDFLVNMAGCEWGRDCWGEIYHFRELSYYLNRNPWERFKEDIVAEIWFKL TGKRVKL MYCTH_63343 MSDPLGLLQPDSSNRLSAESKDDWEEWEDDEVITPLTATTREGP LLDAAPEATENSGGGATRHVGQQASRRSSRLTVRHSVRGIARLTSRRRQKAQNAKFGI KLVTDMTKLRQQQQQQQQGQQQQHVAYQMGQSPESRESRTGKFVDLAALRALEGTPSD ESIGTFAWLRRKGTKGKKAEKPGAESSLQADLSPGARPIMIGFAMPPDSKVVISPQTA VVETPVEFPQYFKPTTTAPSPTQPVSAWSPDTEDGASPRMTDRGFVPAVPSIPIQYRP AEVSPTTAGSRRDSFPAPPDGKDKFGQTANSSVYVDDDDDDMGTPVTLFEEDGSPATT RGRSLRVIKGRQRSATNGSSRSQGWWDQVTSPFGPPTPQSAGSGEHKAEEDRSWWNSV DKKKPLSPLGAEPEAGPSSGPRSVPRMHHQNPEITIEDVSSSSAAPSSSRAVPKPQAL RRAEPEKPRTTAGEVQTPGELPPPYSPPRKLHNARYRAVFPPGHPLNALYPPSPGPVP PGLSQTMTSQGAIGLSDVPLTPPPTQLHQQRLPDRPLGSFVPPDHFIDVSGHGPRQKA ERERRRHEKEDAVAWKAGRMWHGRGCFPCCGCFGCLGRPGREGRKRRRVCLGLCAAFL LVTALGVVLGVLLSRRTGTEETVPSRFLNLTDFPPIPTGISTVIGPESDSTTACVQPP TLWTCSLPKEQADSVAPFDASQPSFVIQIQFDNNTRQTWNVSGEPPRPTPTDRGTPLP LPSANGTRTTPTQTASPRAVPATGFGTSLVRRLLGPRDGPDSGSSLSLRPDPPPPSFE EMFFLGNTTDGVVSDDKAGEPTPFYISILRSINDTIGPDVLARRDDLNDDDNNNDDLE LPGNGNVTAGQGIINASDIAPPPALDRDGTGAPAVLLPFPTQQPLRLYDRGLPTERYG FYTYFNKTTYVKSIATLSGDGVDATPVPADENGGCLKTEAKFLVTWLSVRYKVEIWTR MGGDRARLTGASGAAAAAAAADQQPGSFPYPVTVTLDTHGGQRGRKFAFVRGVDDRQR IIMDDARFVLNRMNTTGDLINGAADFNPSFGGMDGGTGGCKCEYRNWWRRNKKKKQDL EPEPERIVTLAIETSCDDTCVAVLERRPTSTTLLFNSKVTSDNRKFGGIEPITAVSSH AAQLAPLMQAAAEALPRTSLESGLPDFDPATNRICGRRRRPDFITVTRGPGLTSALSV GLTAAKGLAAAWQVPLIAVHHMQAHALTPRLPDPDPDPDRWQERWQEPEPAFPFLSLL VSGGHTQLLLSKSVTSHSILADARNVAIGDMLDKCARVILPPDVLAGTDNVMYGARLE SFAFGPPPGEEEPSPPPEDPYEAYYGYTYKPPLKRRDEIRPYVSPTYGWTLTPPLAER RDMAFDFSGLGGQVQAIMKKNPDMDIPQRRELARETMRLAFEHLVTRLRSDQSSTPTT SSSVPKTLVVAGGVAANRFLQHVLGRMLSARGYPPDTLTIVRPPPEFCTDNAAMVAWA GMEMWEAGWYSDLNVLPQRRWSLDDGDGDGDGDGDGPGKGGILGLGGWLPRSQLENKD DKKAEAEARARERALDKLEKEIEDKAVGEGSKKKNNATGAGGGAGAKAAPLQDWTIYW NDWNEKWGKPPEPGLHYDWAADWEDWDKFERRQRLRNEERRRERIQRWLESRKLTAWV GKLKKRQARKLERMRKERIKLKYQ MYCTH_2296370 MDTLVARYSRPSYHQNETFTEEEQQDLTDTVPSLKFAMPPVAHP SAWLRAVTDDRSNPSCPIKIAHGTTTLAFRFQGGIIVATDSRATAGSWIASQTVKKVI EINSDLLGTMAGGAADCQYWLAWLGMQCRLHELRHKRRISVAAASKILANLVYQYKGM GLSMGTMCAGVTKEEGPALYYIDSDGTRLAGDLFCVGSGQTFAYGVLDAEYRWDLTTE EALELGSRSILAATHRDAYSGGFINLYHVKESGWEKHGFTDTNPVFWKTKLEKGEFSN VTSDLAE MYCTH_2296372 MAVVLTSDDDSYFSPNLRRSHSQPKLGIKRSGFHTSASTSRLSD LFSQPPPESIVSSAPSSPQPTQTESVYLSDSSTPATNFSLASDTDEPSATPDEVILQQ CNDEDYFSSLGNQEPPSSPREAGWNGDSAEEQVESAATSRPGSPEFQERAEDDIAVRP LPSQHVDYLSHNWREEDIWSSWKLIVSRRGDYSNAARLENASWRTWMKAKNNLTTVSA ETLNWLKDYDVTWLYGPLQTGSSSWNNTSNDSTSARLSKSNSFINKKPILKKRSMSEI MLQRSLSTSSLVKQAAAAVQAQQKGGLKRIGRRPGLERANTDYITFPFSSRGMSHDGT SLFPSARSSGVVSPNSGRKHIHFNEQVSQCIAVDIKGDDDDDEVDTDNYRYDSDSDDE AITIKVTQAKKRRSVLKKLKGNNNNKSGESKTIAMLPSTTLKYREDTPEPAETSTKRS SNGVLRSPVLSPSSSQETLRPAKKSGKIFFAGDEDDEEDDEDDDVEKINIGPSASSSL QSNQGGSGLRRSVSTTSLAAESHGLRRTTSGMFMPPETSSPNSPDDGMLGRIINTVNT ARDIAHVIWNVGWRR MYCTH_2296376 MSGPGNIFEVEGDMVIFRCPIVRSGTAALNRALFSKTIPIAAAA LHDNKSISKYRKLLEASREILHAERISPIAPHPDQALANKGRKCLLLNPNVKAEASDT WGPVVKDGVQKEELTVIPYELRLNYDYWTFRDVMASILPEELHDEIPSGFNIAGHVAH LNLRENYLPYKYLVAEIILDKNPQIKTVINKIDNVGSDSEFRTFQYEVLAGPDDLNVQ VSENDCIFDFDYSKVYWNSKLESEHRRLINMFQPGEVVCDVMAGIGPFAVPAGKKRVF VWANDKNPESFKCLEAAIKKNKVSPFVRPFCEDGRTFIHKAADSVLEASRNGECAVLA PKKPRTPNPQNTAPPKEERIPIPPTISHFVMNLPASAIEFLPNYRGLYAGREALFAPH TATKLPLVHVHCFSVKADDETPVRDICERITKELGFRFRPAADGEGESAADAEGVIKI HRVRDVAPAKSMYCATFRLPAAVAFAARE MYCTH_2296378 MPYLARDLEVYRRDERLGHKLLTREERQRLVEPYLPTPPSRSRS RSRAAASTTNARDGKKARIGVRRFLRRQYYVLVFTLIHIFFSIYIRLRQAYHAVANRI HSIYYHHHRTPELIQRDVKGLRRLPKHLSVILTLEDQRGSGAGLEKLINEVANIAAWC ASAGIPQLSVYEKTGILKGFLRETHQVVMQEMHAYFGPNYPSVTLGAPHIPPVDSSGL ASGTDYQSGSGSGSSSDEDEEPRKHLSILLISAEDGRDSIVDLTKTLAEMSQRKKLST ADITMELVDAELTESIMSEPDLLILFGPHVELAGYPPWQIRLTEIFHVQDNQEVGYQV FYRGLRNYASAQMRKGR MYCTH_2296379 MERGGSSASRPLFPQGPSFTLDDFSSKDFIVSDFVDSLAEAALP VSRRSGPAQHAFDPKPLIRGFESALSRLSVLSEELQEKESELLSQVRRAEIQHDQTLE TLGRKLDQSMAQFEALDLTLNNPGPTANGNTRADGGGNIAVQIGEKLEELDRKRRKAQ DANFLIQCWMEVTETGQLTSLEEIQRQGGAENKVRCAVIARQLMRISQRLDPASWGQA TTNGFRGNGITNGVTGTNRRHNTREALEKFSELLEQDLLRQFNNSYRRQNFDDMMECA RVLYDFNGGASVIAAFVNQHQFFIDRDQLITDEVTMDGETWDQLADPDSEPPGVEPSL QSLVDEVRIVMQEESFIIKRAFPYYETVLIKFIQRVFQQSIQQRLEMVLDKATTISSL AFLRSLHSSRAYISALVEDLKTHGLTEHPEPCSAQISQTLDQQLEELFVPYLVGNSYI EREKRSLEEMYNSLLFKFTIYHSRRKKAPTGFMAAIAQQGTQLIASAKDAYMERLESS DLTPTQKRTMLRVAGVQDSSSNKNDIEVSEEDGVLSVANAKRMIGWLAESVRRTLEMG SSSETPKDVNILLNLLLTTMGQVYVETALDAALDQATSQENSKTEPDLSYLPNLRPAV TITNLMSRFINTVLIRLAESNTTVRRSMEAQSKVAIENTERKVNAVIKSTLDVAINWV AKLLSQQKKVDFRPKDNDLEGLVDSLQTPTCQAICTFLSKVAACVRQAIDGRNLEVFS SELALAVHRLLFEHFKKFHVNATGALMVTKDIAKYVSTVKEWPLTKEVEQSLELLTEV GYLFIIGPEALRERSRNLASGSAGAGASGSGKKLGKADFKAFVQKREDASSVGVQSVL SGL MYCTH_2296381 MMRRSSQSYVCWRCLLPRQTPASATATTTATAAFSTRAATAAPR KNPVLLRALARHGRLQLRFVSTEIPASRTASDPAADLTADLTADLTSDWVHQPRTLRS PSQQSRIRQQLGIWEAQNPGRPPAVLIDEPAAGTVGNTYTKSRGDLTFSLDVAAQDDD STRPHFDGVDIVDLGQTGSVLQAGDLVEVSSSSWKIRLLAICLGNFNGHFHFYTNTGK WFTSRAIRTGFVVKKFVEDPAELRAVVDAIPSLSPSSAELNELQDLNIGPSRDLAASL IRKMHTFQAASRLIHQTYVERLSRAQTQFGKRERLLSLREIADALLPASLKRNKGAFP PEALYAVYSVVEAEDVAFSALDRGARHHESYMFALRSAEVQGNVAHVEKLVRDYYELS SGRSKASKRHPASSPTLTGFLEQARDLIDQARKDRDWSPHGMISPSKGRGSGPSALNI PAWSETSLSVIKFMEHWAAAGGFRSGSRCHWIGASILRALQRYDDAILDSTTGWTFLQ EIGWIPPWDVSARHALRLPEQQLDRHAGLLPAPASEAAAAELGPDQLAHLRQDFARST VYCIDSADTLDVDDGISLEPAGNGEYWIHIHVADPASRIAPDSELAKQGALRAQTNYL SGFYQRMFDRDDVRDTFSLGPDRPTLTFSARVDETGRLLDSKVTPGILRDVVYITPED VSAVVGDADPSAVPPQVFEVGTRPTGDGRSVRSMTTPDGLSKAQRDELLTLSKLAAAI QRVRLDNGATPVYLPKPKAEVSLDGVSPGVTNGDSAFYAGDPYIRVAYAGQGSPLVSS LMQLAGEVGARWCYERDIPIPYRVQLLAGQNAEALRAFNRDVFYPQLLAGKNPSAEDW HTLRSLVGGYDVSTTPAPNLSMGLDLYTKVTSPLRRYLDLLVHWQIEAALLEEHRRGK SLAVRKFSSSSRSSSSSSNDAAGTAAAQQGKAAKKESRGFLPFSKKDLEDTVLPRLRI RERHGKLLDNVDGNSQWILQALVRAWRFGEGSSPLPRTFRFTASDVVGGRLVKGRIDW FDQPATVELGDMNGVERIANVKPGDVFEVELSNVNVHTNQIFVRLLKKV MYCTH_2296382 MKAAVMAAAAAVLANGVSAARVHGHRHAHALFKRGDTGEVCTPG CTTIYSTITGEPTRYHDAVLPPAPTPTTTAAPEPTTTSGPVLVPTPIQQTCPTPGTYT IPATTVVLTETTTVCGASSTEVPSGTHTLGGVTTVVETATTVVCPVATTETQNGVVTS VIKTTTYVCPSAGTYTIAPITTVVPSVTTVVVPVVETYCPGTYTAPELVTTVTETSVI VTCPFTSVTPAEPTSAPVPTSEPAEPTSAPAPTSEPAEPAPAPPSSSSKVAPSPSPSP SSPSKGPNPDNNGKRWAMTYTPYAETAEGGCKSASEVMDDVAAIAKAGFKALRVYSTD CDTLPNVGAAARAHGLRLIVGIFIGKVGCDNNSPDVADQISALKEWKEWDLVDLCVVG NEALFNGFCSVSELASLIGRVKSELGSVGYNGPFTTTDVVAAWTNNDVSAICDAIDVT ATNAHAYFNADTEPADAGKFVAGQLAIVEKVCGKPGYVMETGWPSAGNCNGVACAGEA EQATAIHSIEQELGNKAVFFSFRNDPWKQPGECNCEQHWGCANVFGV MYCTH_2296384 MAPLPMASPPAHDLPRRLGPLATDSSVSSSQATLNPDSESNRDH ESEVSSYTLDDADDEDQGLDEPDDDQEEGEDDEGTDDDDVDIALHSAGQHACPIHSQQ FSLGEPATYQDHLDPYDSDSLDMSDDAGAPLVDYVVNQLFADGVPDTDDSIGSDSDAE LPWAADGSSNPESDIAADPAVTPEAQTATIGAHAQAATFMATAPFAALAWDPLPPGLA WTHPFSLSNPNPATIGSSNPGLVDFLHHWARQSRILHGTARGSCPWPARVNRLLSFED KSIEYDDLEGDQCDFQGIDWEDIGVTRRDARERRLLTYSNYMNIPGSDRWTPDLPDVA LPRRDSFFRFRRMDIRWNVHLSHFQLRNVLASTSRSRVFYPAIGSVQQFNPMSGHGRP VMELSDAPHSQVSTLAAGHGVLVAGGFSGQYLLRHLDSGEPDNTACHEGVITNSVSGI TNHVAVYQARTSTTPLAAFASNDNCFRVLDLAAETWLSEEVHEFAPNCTALSPDGRLR VMVGDSLDVIITAAESTFPKGQPDILQRLSGHRDYGFACDWADDGWTIATAFQDKTVK IWDARRFTDSAGNAVSVCTIRSEMAGVRSLRFSPIGSGKRVLVAAEEADFINIIDAQT FRSKQTVDVFSELGGISFENGGQDLLVLCCDRARGGILQLERCGQGDECSRNSDCEDK QDWPQSVFTEERQSRKSRYRMRGKAAAHVDLDPF MYCTH_2296388 MSLPERPGATPSYEERHSYRHSQSRRQSRPADLEAGSYAPVSGG QSLHQQRTPLSPSSPHGQPIDPPFQRKRSLVRPERNRIGKDHPNYHYRKHAANMNTLP SSTGNDPIVEDLEGTTDLSGSASRNDEALSDVTPPRRHRSTKHRAAEAEKSGRTRGKA SSGAKHGKISKDLKSKRQREVDEQLRPPSLWNIYCAIVTFWAPGFALKCFGLPSKAQQ RAWREKMGLISIILFIMAVVGFLTFGFTQVVCGNPPLRLRVNEVGTGYMIFHGSAYDL SQSHHPPAEGIPRRLDGSGANVLFDLPEKHGGKDGSFLFQNVNGKCKGLIKAAPDSDV PTDDDGNLAWYFPCTTFNQDGSSKPNLTIPYYLGYACHTSAAARDSFYLQLTGAADVY FTWDDIKNNSRNLVVYSGNVLDLDLLNWFNDSQVTYPDRFKELRDRNSAANQAIRGRD ITRAFQSSNDKQYAECFEEILKVGVVDTDTVGCIASQVVLYISLVLILSVVIARFVLA LVFQWFISKTYAAAKTSQTSDPRKRNKQIEDWSEDIYRAPPRMPGDIGSSVNGSSDRQ SKRSSILPTTSRFSAVYGNERANRKSGVPTTMTSQNAAGQLLSPNSIYRQGNDSRSSF LKSDPYATSNSATDGLGPAGFIHEAVVPQPPSDWMPFGFPLAHAICLVTAYSEGELGI RTTLDSIAMTDYPNSHKVILVICDGIIKGKGEEFSTPDIVLGMLKDHSTPPEEVQAFS YVAVATGSKRHNMAKVYSGFYDYGANSAIPPEKQQRVPMMVVVKCGTPDEATKAKPGN RGKRDSQIILMSFLQKVMFDERMTELEYEMFNGLWKVTGISPDFYEVVLMVDADTKVF PDSLTHMISAMVKDPEIMGLCGETKIANKRASWVSAIQVFEYFISHHLAKSFESVFGG VTCLPGCFCMYRIKAPKGAQNYWVPILANPDVVEHYSENVVDTLHKKNLLLLGEDRYL STLMLRTFPKRKQVFVPQAVCKTTVPDKFMVLLSQRRRWINSTIHNLMELVLVKDLCG TFCFSMQFIVFIELIGTLVLPAAIAFTFYVVIISIIHSPPQIIPLVLLALILGLPAVL IVVTAHSWSYVLWMLIYLLSLPVWNFILPTYSFWKFDDFSWGDTRKTANEKTKKAGIE YEGEFDSSKITMKRWAEFERDRRIRNNSYWGSTENVVGSGSLSHGHGPYDGYYSDH MYCTH_2296392 MKRIKPGTGREEDGDWLGESKAARDLAAVSRATAIEDNRVTLAS GFPPPAFCFLLPVSCFLLLLAS MYCTH_2296393 MAAVGSQPPSAPASPSSPSRKPVGGMHGLSPIERYDWGENVKPL SGMPVSESPRLRQSPVSPVKVNFQPDDWARPSTGNIETHIPHGQPAPLPALDRALPKT PDAPTPPPHTPSSHPEHGHAQTSAPDASDFRPASSSTQKPLEPQTAAALSSKFDELSM SDQLGGAGENRNSRESDATASTSRQQTSIESSATTSASSVSGILDSSDIQDNSADASA ESSVIDDPALRPEPVPQLQYHHSAFLPRPASMAVTQDPRAQSNPNLAEGGAINRHLTP TTNAHRRSSLPRPQSAYSAYSDLGPRGRSPGHLGGSQIRAPSAHSRKSPETRPSSFAD LLNVPYPQPAPAPITFDNSQLRSVVGNNASLLSTEKTLEMYRQNVKKTNDFSILYSFA VFLISTAQEQGLDFEDLKKAKSPKPGSGTPPQSSPYELIREARAILQKLANNSYPFAQ YYLADGYASGLFSKGKEDYNSAFPLFVLAAKHGHAESAYRAALCYEFGWGCRKDPAKA VQFLRTAASKRHPGAMTRLGKACLSGDLGEKRYREGIKWLKLAAEAADTIYNAAPYHL GCLYETGYGDDVFQDESYAAELFTQAADLGHPEANYRMGDAYEHGKLNCPRDPALSVH FYTGAAERGHAAAMMGLCAWYMVGAEPVLEKDEEEAYEWARKSAELGKYFCRCQFRRP HGELTQAVRFVRLRQSAIRCWILYRDGHRMPPRHSRGECLVRQSRRCGR MYCTH_2296395 MTYCTPYLLSLGLSKGQTSLVWVAGPLSGLIVQPIIGVIADEST SRWGRRRPIIAVGSVVVALSLLALGFTKEIVGSLVTDPTTARALTIMLAVLSLYCVDF SINAVMSCARSLVVDTLPIHKQQAGAAWSSRMNSLGHIIGYAMGALDLVQIFGPTLGD TQFKQLTIIAALGMLLTSSITCWAVTERVLVSVRRDPRRAQGRFKVVRQIYSTLLTLP PRIRGICNAVFWSWIGWFPFIIYSSTWVGETYFRYDVSPDARASNDALGNMGRIGSMA LTVYSTVSFASAWILPALIQAPEDETFTHRPPASLKPLIEAFNKVKPDLLTAWVASSV LFALAMFFTPFATSFRFATAIVAFCGIPWCLSQWAPVTFLGVEVNKLSGAAGPAIRPV TPRRRLSNDISSIELLRLEHGPAALESSRASGGGAPHTSTGELSGVYFGILNIYVTIP QFLSTLMSGVVFALLEPGKSPELATEALPSEHSDPTGPNAIAVCMFLGALSSLVAAWS TARLRRL MYCTH_2296399 MDLVNHLEGRLLFAVPKKGRLLQASLNLLEGADIQFKRENRLDI ALVKNLPIALVFLPAADIPTFVGEGRVDLGITGHDQVQEHDAGVRALARARRMSNEWD PSRTGSAKPQGCETIMDLGFGACRLQVQVPAKGEYTESKDLIGKNIGTSFVHLTREYF ARLEMEQEGITDPAQMEGRKLRTKIIELSGSVEAACALGVADGIVDLVESGETMKAAG LKAIDTVVETSAILIKSKAPSNPALVDLIASRIRGVITAQKYVLCQYNVPRAKLADAT RVTPGKRAATVTSLDEEGWVAVSAMVEKKRIAPVMDELVQVGAEDILVLDIHNTRSS MYCTH_2296403 MSDSESADYLQPDFDPWSLTVPRLRSILVTHNVHYPSQAKKPQL IDLFNEHVQPQSKQILASRARAKRTTRGIVDADSHSTTSTDLHDEELPPPPSTRRSRS PRKTTRIKRESEEPEYGLATPVPPRESPRKRQSRSASAQPPPASDTDMAPDHAEPPRS VRSTRRMTPAPKLEPPEEEDFFKRTPETASVFTNDNPFQSGANSPASPLKTPTDRRKT SGLESVLRQAQSTTRRQTDGYAVADAKEPSTTVSRDIEVPVSQVARQQTQEIESDEEF TPDEQLALTQEEAANPEVAIARRTPPPSKRGSSLSTPIWVLVTTLLVAYAAWYRQEKI AVGYCGLGREPTQLVPANIQLPDWAVELGSKFDIHDMQNIQVPDWLTGVLEPQCEPCP PHAYCYEDFTARCEPDFILKPHPLSLGGLVPLPPTCEPDGEKARRVQAVADKAVEELR DRRAKYECGEPLEPEGEPLESPAIEEQELKEILNKKRSKRMAAEEFDELWSAAIGEIK ARDEVEVQQEETQTPETGGASAFPTTKISSTSLASLSYSCALRRSVKLGVARHRLSIG GLILSLLSILYGRRRFLHNRALAAQVPGLVDEVLERLANQKEVAFEGGDEDAFLFLPN LRDDVLRSMHNLSDRERLWQRVRAVVEQNSNVRTGQREGRNGEVGRAWEWIGPSRLGA GDGAASRRRKSARVSWDSEVKGQIDDSSAPHGLERKAIHREWEESRPIY MYCTH_2296408 MDSSSWAVGDHAVHHPARPEDDFQQFLDMNGMGNMSDSINYNFE EFQSSTGPQLLQQHAREQIDIPMTGTDAPILPSPAVSTMQHHMPTLTTAAPHQGVPVS MMPPPTPNEAIVDSIDAQIQFLQQQKLRHQQRHIEEQQAAFFARQQNGMVPPTPQSLE LQPGSDHYYPQSSGPEQQQQQQQQQQQQQQQQQAMEYRYQRMKDQPDMSFTPLVSPAV TPLDTHFSVDSQFGVSGPYFSPLTSPALHAQSDPLAMFDQMHNVTTTNSSADLDMDSS SALPTSNAAPGDLTKKARKNAAKARPKAGIKQSPISKPLRRRTTTTPSLNAQVLSELA ENVESPQDSQLLPTPMMQNSSSTTGVTDSEDSVSPETLNDTTAIEMPPPPVPQPRSAK PSPSIAPQASAVPGTLQPRRPGLASPATPASLMKLSSPSTRSAGRRADSQEVVDTDHI ETFELPESANFLSKAAASTNDPTPGRSSQEADSSSKTSSMAPSSSPAIVKPPATPATT TQSPQLLAGPGSGPKKTPQLLPRGSKKRGSVSSIPVSPALRPRISPNIKPLLPGGPDV EEAASLLLATKSNYQRILEGNTLPGVSYPSELSTNLTSKRTSHKIAEQGRRNRINSAL QEIAALLPRPPPKDSEGEGSDGKKDKAGGVPSSKASTVEMAIEYIKQLKQEVAEANRR AEEAERKLEMKAKANASEEKEAA MYCTH_2296409 MPLPQPKTALNNACSVIFNNTLYTYSADAFQSLRLEPGAEWEVL PQGEKVTGGVCVGSTTGTPSTSAFFVVGGVSDTEGYHGLQKYTYATGQWESIKLSTKA THQRVGHNAVYINSTDSILVYAGNQNGQNAPSSQTFTIGASAPYAVSSYPTGGTPALN PILLPWSAGEAVLLGGSTWNTQVTLFSAADGTWKDSGASLAAPLPKDTSAIQAVVMTG DDGSKNLITFDMSVSPNAVKRTVLFTGPGEPVPEAAPARRRLSRRKQHESSRKAARAD EPLTLNNWPPYNSTGAPRVTRSNFAFAQGPDGMVVIAGGTAADDDLLCMFNARENSWE DPESKLIQVKILASESSTTSSTSSSTSAKTTTPTSTTLSSSSTSVSASATSPAVATQT QTEADPSPVSDTSHPHLNTILGGVLGGILGLAVILTLLYICIRRRKNQRAQMDAGHAR QSNGASLDEKDDFGFAKETLAFGQGFRGHKPQGSQSSFSSMAILMGRAGQSKLTAGLS RKSSNESKRKSSDSIYSAFKNTISKPIPHPAPDVPPLRLQQDAQDPKVAPLAANTAEP RARTLPTGGDEQGTTRRSSGWNRYWSGGSALNLLGFGSGNSNSNSNSNIAVARDSSTV SNSQRTTLRSVGSSNYSNPHRITQDSATVPPLFPAAAESRMSLSRVNAHSPTIAVYDE KLNEGMSGQIETQRPISSVSDLSASAYSSGIPESVQDAWDPTAANKPWGADRSLNDSF TGTYPTALAPASQGLKPPSQGPSRPAPPQREQTPVRDDMSWLNLNAN MYCTH_2296410 MAPPTGPRASSHGSRPSLRGTRGGGVGKRRGTPRTDRDGDIAMD SVSAGNPPSSSAAHSARGSRASKGGRGARSSTRLAQNVRNFMSEQDGTVRNVKQPNKV TLKIHGLKDSKAASNPDGGLRSLLDFLERKSSKERPITLGRGVIRGDHVWLKVNPADA PHLLRLNGYTYAGASLTIEETSEPMPGPGNQSADGPEPKPSETKDKLLGVLASRYNVE QKLLDLSALGADQTLISMGAFETRALAEKSFKALMRLTATNYQSAAEKEASIQAVTIA NNDIHDVGEVFTLATTLPRLRRLDISGNKLEDMSKLSKWRHEFRLLEELHMVGNPVAS SQNYPAQVIEWFPSLQILDGHRVRTPEEAAEALKAWFPTPLPYLPSNLRDGGNNVAST FLRSFFTMYDQDRPALARQFYDDESAFSLNIGTELNPSTYQNYSRNLETIGFRNPSAH QRLFTGSNLIAELWARLPATRHPSLDLPDEWQIDCHTFPSLADPTGQGLAMGLAITVY SRFEEMDPAQQLPGTRKFSRSFILGPSKPGAPHPYRVISDELTLRDRISQQTPTVAAQ APAAVAPVPAPVPSPAVPTQPPMLDDAIRAQMIEELSRQTGMTAEYSRLCLAGVADWN FELALRSFQEKRAELPPEAFTHPA MYCTH_2296412 MEDEDFDDFPDEDMIQALTQATDAAAAPLTGQTNRRDPDVDASP SSPSAPRSHLPDVLGSSASLSGTPTTGARADGSACDLPSGLFDSSPEKPSHAPTGGPR RIRTSAQKQTFRQTTLWGSTIREDRPTGLQTTNGRPFRADLPPETPTHHELNHGELST WVYPLNLGPIRDYQFSIVKNGLFNNTLVALPTGLGKTFIAATIMLNYFRWTKRSKIVF VAPTKPLASQQVQACLNIAGIPRSQATLLTGETPPVLREGEWQNKRLFFMTPQTLMND LSKGFADPKSIVLLVIDEAHRATGDYAYVKAVEFIRRFSKSIRILALTATPGSTVEGV QEIIDNLGISHVEIRTEESIDIRRYVHSRSIDTVTFDPSDEMHQVRDLFSKALKPLVD KLSSQNIYYGRDPMSLTTYGLLKSRNEWMAGPGRHVNQGTKFMMVAVFSILQSLAHLI KLLNFHGIKPFYNGLAEFRASEEAKPGQGSKIKRQLLADENFQKMMSMIERWMRLDEF NGHPKLTYLCERLVNHFMDAGEGANTRAIVFSEYRDSAEEIVRLLNTQPLLRATVFVG QADSKRSEGMKQKQQIETIEKFKKGVFNVLVATSIGEEGLDIGQVDLIVCYDASSSPI RMLQRMGRTGRKRAGNIVLLLMKGKEEEKFLEAKDNYQKMQQLICNGDSFTFRHDLST RILPREIRPEVDKRLVDIPLENSQDPSLPEPKKTAAELRKKPAKRKFNMPDGVETGFV KASFFGQAAGRTAKAPRVPAETDFLADVPELEKVLLSKSQTDHFERVYKSLPKAPFDK VKELGLDEINLGAHPAAQRVLRRTVNLKHGEYTKRCVKLFRTLGKYQDPLAHFFPPYA EVDPKSWEQLPVKPFADDILDQPVRGDRLPAASTTSSKTPARPKSSLGRISESEETGN NEEDHEDDDEGAVNGETTPQRRRRRGGRTARKSHGRLKSVGDHLEDLGDDCMRSSDME ETDGSDSGADLDDFIVADDVFSSGNRQRSTGPTSPGSSSLFLRKGRTEQDPTTAERPF YEPIEFDPTQDTDDEMPDLIELHVQSTKKAAGPPRSRTTYLEEEDTDYSLSELSPRRP QAKRRRQVLADSDDDDD MYCTH_2123045 MNRVLSSLGCLVALREPRETTGKTTTRREAGGVSCTRDDSGTDV PYRILPHFHEETKAYLRGNTDVLDRLPAVKATALERANRSVPQMPLGTTEAQGNAGSA RLRAATFARLRERWREARGGVLHASGATGQPTSRRRARGAAPSAAGLADHKPQSIESR NLNTATLVTPGRYPVYDGLRSSPPFAGAHSWKLYTGPCFDTQSPRKSKKKSSLENLES EIEQPSPRLELVTKARGDRKRGATR MYCTH_2028011 MSSNPRRTPVTRSGSRGLSIKTNVSLKKGATFHSPTSPLESPEP VFTPPSLPRRSHTSLDDVIDAHRRRVALTLGDIDKTLTGLVKDDSTATPVRKTLRDDT LPLPQGVLNHTLDSVMGKGQPAERRVLRPRIRRPSRFHESDSGLGTSVASTKEKEDAG KKEKQSRTTAVTRSAAARSASAATPAGLSPCATSRICEHTLKPLLANASFKDFHPLLL ECPRKMQEKQIVCLRDLEKTLLLVAPERTKVAELYLDFCLTTIRCIQATVEYLSDREQ TRPRDVPYSSGYFIDLVDQIRNYAQQLADAKEKGNQDEMDVDPYVQTHPLASTDHTDV SPSTDEIKLHGGIHINGRPAELVRVKKNGKMISMATGEPIEKLEEEADGTVRFKRSAS EELRDEEEIMRSMARRKKNATPEELAPKRCREHGCNKEFKRPCDLTKHEKTHSRPWKC PVTTCKYHEYGWPTEKEMDRHHNDKHAASPPMFECLYKPCPYKSKRESNCKQHMEKAH GWTYIRTKTNGKKTSSASVNGNSAHPTPQLQNIPTPSSEHSMGVATPPDTWGQVYGNS SLDFPAYIPNDADLSMIPQEISLDFSPVDNPTPSTDSGMDHNSAYQDIGTDFTLYDDI YSARVQLPTPPPIQTNLYPKQLPQQFNPFTTADFCQP MYCTH_2296424 MASTSTPQGTLRQRNVGVSTKKPKDGASSDVELDKLVKAAAEKS SKNSERDFKVVFVVMTALAFLTRFWGISHPNEVVFDEVHFGKFASYYLERTYFFDVHP PLGKLLFAFMGWLVGYDGHFHFENIGDSYIVNKVPYVAFRSLPAILGALTVSVTYLIM WESGYSLPACIIAAGLILLDNAHIGQTRLILLDATLVFAMACSLLCYIKFYKLRHEPF SRKWWKWLILTGFALSCDISTKYVGLFAFITIGSAVVIDLWDLLDIKRPGGALTLAEF GKHFAARAFGLIIMPFLFYLFWFQVHFSILTRSGPGDDFMTPEFQETLSDNIMLANAV TIDYYDTILIKHKETKVYLHSHPDRYPLRYDDGRVSSQGQQVTGYPFNDTNNYWQILP GGADDQKLGRHVRNHDLVRLRHLGTDTILLSHDVASPYYPTNQEFTTVSIADAYGERA ADTLFEIRIEHGKDGQEFKSVSSHFKLIHNPSKVAMWTHPKPLPDWGYKQQEINGNKQ IAPSSNVWLVEDIVSLPPDHKRREKPERKVKTLPFLRKWFELQRSMFWHNNQLTASHP YASLPYQWPFLLRGVSFWTQNETRQQIYFLGNPVGWWIASSVLAIYAGIVLADQFSLR RGIDALDHRSRSRLYNSTGFFFLAWATHYFPFYVMGRQLFLHHYLPAHLASALVTGAI VEFIFAQDSLEHEVAYQAAKAGKKTGVQKRHLSARERFAGQSMVASWIATVVILIAVA ASWYFFLPLTYGYPGLSVDQVLRRKWLGYDLHFAK MYCTH_2296428 MSATPVFTKNAAPPAGPYSQAIKTPTAIYCSGQIPCDAEGNLIE GTIQQKTAACISNLKAVLEEAGSSIEKVVKVNAFLTDMSNFAAMNEEYAKWFVHKPAR SCVAVKQLPKGVDVEIECIALP MYCTH_2296430 MPPPVAASADRGDARRLRKPSTLYKLVMTPVLFVSFLLSLALVD LRYSSLRALYHADSGDQPPGRRRLPGWLHRIIYRYRPYRYAVAVDGDGRPVGTTTTPR SPGSPEGGATPSRTAREAEDYYHSKQRELIKMEAEEAFEIRGVVVLVLGFVGLAVLWA AWKVVSWGVGAVYRSMTS MYCTH_2296432 MATSLAPPGSHAPAPTDSDLPGSVLSLREASRKLVREWGFLRPT FSPFSLSPAAVHCMIEIGDYGRRSVSDLCNELKVTPAQLSRILAELVSNGIVQRVQEG EASTGHREIYTLSAAGASTLAEINAYAQEQVTKALAAAPPGAGTSITAAFQAYATALE RSRSSRADVAPALAPSTAPEQPPAPPAVSIVAGYRPGVLARTVEMHLDYYYPRNGWGR EFEASFSATLSDLIRRLDRPVNQVWSAVMTTPAQDPAASPRERTVGVVYVDGERSGLE GVARLRAFIVDKSARGLGIGKRLLAAAMQFVKDTGFRECHLSTLRSLTVARRLYEREG FKEAGESWFEGFGKGLMELKYVWRRPEES MYCTH_2296433 MFSYRLISGISLGGAYLVSSGRIPQWPSPGFLTAFTVLWGIQGL AWALWSVILYPKLFSPLRGLPEPSGNSWFMGQFPKILAMPTGNPMIEWINSIPNNGLI RYLGPLNRERLLVTSPKALAEVLVTKNYDFVKPDRFRHTLGPILGTGLLLAEGDEHKM QRKNLSPAFAFRHIKDLYPVFWAKAREGVNAMTGEILRAAAGQSGPEEKTAVIEAGNW ASRITLDIIGVAGMGRDFDAIRNPDNRLNQAYTQIFNPSRQARIFQVLEMIISPRLVR LLPVKRNEDIQASAGLIRAECADLIRDKRQKIARKESTDVDILSVAIESGGFSDEDLV DQLMTFLAAGHETTATAMTWAAYLLAKHPDVQSRLRAEVREHLPPPADGAPAPSSADI DRMPYLNAVCSEVLRYFSPAPFTLRTAAKDTSILGQFVPRGTQVTIVPWAINKSEALW GDDALVFNPDRWMPKSDADKRAASGGATSNYAFLTFLHGPRSCIGMAFAKAEFACLLA TWVGRFQWELHDKELMDESKLVIKTNITARPAKGMYIKTTVLDGW MYCTH_2296436 MLLPSALPCDSSSQTAPLTRLQSALFASPPASPPHLPTQTAIGN LFSTCRSLQSLLASPAAPSSAGQDPTTPPTLSPAQLPSPPLAHAPPPFKLRLRSRKTD STAATGEHGPARMRIAKRTSAVAQQAPRGPNKRRRAADDDMGRHNQGDSDLEREADES RQDHNSSDGTSQPDSAPHTPKRSRIAPEIIPLGLERADYHSLHSSFSSDNNDDDGIPS TNSNDTDSYNNHNNNRPQDHHGTDVVVEADGEAWSTEEDRILVELVLEKLKLTKSDWQ DCARSLGKDRGSVGRRWKSLMINGDVGLKRAPLRRPRIHGTWR MYCTH_2296438 MVDNTRTRSIIPGTGTDRDDAQSALAGAPPGPLPPWADYREHDL LVVDIYSSIYGDRLSLDFYRVRTQIPNHWTGGWQTSASQYHGEPRPYTHNAYYPAHNH PAGHAYEHHANDVHAATDRAHHQHMYPHHHSSYQHYPEVSL MYCTH_2049780 MPDPDFLRRLVGLVAVAAVVLLTVPAIIYTYKTSTRIGRGYARV GGDDDSYEDCDGIATEDSIRAFSDTRPRVTVWLGALIGLGASVATRIVRLKANEHTEM LAELFAWAEPACWVRLAPAVTACGFAGFPRRPDVFDETGLVDQQYTLSLLSRFTFSWN RAIFNVARERQIQIQDIPNLGYATRSRHLELKFREKRFKGPLWKQLIKAHAGELALQW FLTLIIAGLSLFPQVVLYNFLSRIERSRQHTAADPTVFLWVFGLLLSQLLQVGVNNWL KWITTSRLEIPVSSLLQSLVFSKALKLYETAPPGHNAVKDSGHKNSNFSGDQDGPRGS GGKNKSKISETRQSVINHMKLDSGRVTIFCTYNNNFPMAVFKLILAGGFAVSLMGWLP VLCGLGAGSLMVPLSSMLSRRYTALHFGLMKYRDGKAHLLTEALQGMRQIRYAALEQH WEAKILASRDEELRQYWRVALWQCLVVFMVNLGPLLLASVTFSIYVWQNGTHIRASII FTSLGLFDQLDEAVALLPLLQMYLLEAWTSAVRLEKYFNQSDMMSVAEQGETISFENA TVAWPRVQDTDIPQGGAEDQRDAHSILRDATLDFPIGKLSVITGKTGSGKSLLLAALL GEVKLLSGTIRMPAVPDINDSTGYIPETEWIIPQLTAFVSQTPWVEGGTVKENITFGL PFVEGRYRRVLAACALEKDIELLVDGDETEVGPKGVTLSGGQRWRVALARALYSRAGI LVLDDVLSAVDAHVGKLIVDQALTGGLAHGRTRILATHHAELVLPYASYVVRLRSGEV ESAEPLSPSGVEAATTGEPVPKTASASSERALENASSGQDGHDSHSGNGGYNNVHPSP AAMKKSRKEEEQREIGRVKWDVYKAYYKASGGAVYWLFGVSILLLGHSLTVARNWSLK ELSQQAAIEKVHLTSQTARLIASLANPSDFASRRLAGTERDIFFWLTAYIIIEFITLL VQLLRVIVFFFVGLKASRILFHRMTHAILRAPLRWLDTIPAGRILNRFTSDTFVVDRR LSNQAFALIRNVLFLAVVIATSLSVSAYVILFGILLFVLYAAVAREYITAAREVKRIN SVSHSPIYDQFSSVLSGLSTVRAFHRTRSYMDRMYALIDNSSKATWMLQLSTRWMAFR MGVLGALFVSVVANAVAFSRVDAALAGFSLAFALRYTNALTSLLQSLTSVELGFNACE RVLEYAELETEAEGGNDAPAAWPAEGKIEVNNLTVSYTKDLPPVLRNLNFTVGAGERI GIVGRTGAGKSTLAAVLFRLIEPLKGSVYIDNVDISTLKLSHLRSRLAIIPQDPFLFS GTLRSNLDMEGVLDDAELLESLKRVHLIEMDEEPKNSVVKPDVDTVVASSSASPIPPT VDSETSTQASVAMGPETTMVDAQPELQPVQDKSNIFTDLSMPISTGGANLSQGQRQLV CLARALLKRPKIVVLDEATSAVDRGTDSKIQESLRKDFAAAGCTVLVIAHRLSTVVDF DRILVLEKGRVVEMGTPRELLLAGIQRSAAEAAKSGEEAKEDRDEGDTSTLQDQDNDE IDGTGAFWELVQKSAEKEKLLEVILGQEKDRLMGEILCGFRSGEGAR MYCTH_77059 MSSKPQFQSGHEIPVSSQEFPGLESKMPNPRPLFDEIPTEDGKS QKYRGAEKLKGKKAIITGGDSGIGRATAILFAMEGADSLIAYLPEEEQDAQETKKRVE QYGQQCHLVATDLRDKANCKKVVDEAAKAFNGQIDILFNNAAYQMAVDDIKDLSEDQW LHTFDTNIHPYFFLAKYALPHMKRGSTIINNASINAYIGRPDLLDYTSTKGAIVSFTR GLSNQQIGKGIRVNAIAPGPVWTPLIPSTMPDKAQKQFTSPMGRPSQPSEIAACVVFL ASADSSSISGQTIHCNGGSVVNG MYCTH_2296446 MSSHDIASYLSANDLLYGFDICDEETRQFLASLINSGRAPQTEI NGLRDLSLLRGAEEWDMLFECASNLRKRGEISGQTIGEDAKSSLVPFLELLLNGPSGT GVSGLALRYQRPTSRSAREKRETRTRRSRDSRQGSTSHFWGDDEGGASKVAPKQRHQA IHSFPIPTGVGNQVFDATGCSSAEKHHVGSACVVVLEKKGVSSTASVAPARAGQKSPE SITKEQENPSLLCSPNQPANSHAEVTKTIGRAVKAAGGLKSPFFGTTTPTRGGQPKPG PSPPSTAKKSRPPRGIVSSLPIPPLTADRFGLIQEELADDPFRLLIAVTFLIRTPGRA AIPVFWQLMERFPTAEALAAADPAEVTALIRPLGLSAVRCAAIQNYARRWLERPPTRN VRYGVKNYPRPGDARHVRAGEEFGPEDDGADMLDGRQPADAAADARDRAVGCAWEIGH LTQGPYALDSWRIFCRDVLLGRSCHWTGKGSPPEFQPEWMRVLPRDKELRACLRWMWM REGWEWDPLTGEREPLREDLRLAVNEGRVGYDDGGNLVILQ MYCTH_2296450 MLARSCLRSTRAFAGLKNGAVHIPKRAASSSSSGATTESPLRLN IAAAAATAAAAGSIAWYYHLYGPTADAATPAEEGLHPTKYPWVHQQWFKTFDHQALRR GFQVYREVCSSCHSLSRVPYRALVGSVLTVDEAKALAEENEYDTEPNEQGEIEKRPGK LSDYLPAPYPNEEAARFANNGALPPDLSLIVKARHGGCDYIFSLLTGYPDEPPAGAQV GAGLNFNPYFPGTGIAMARVLYDGLVEYEDGTPATTSQMAKDVTEFLNWAAEPEMDDR KRMGMKVLVATSVLFALSVWVKRYKWAWLKSRKIVYDPPKTTNTPQRR MYCTH_2075999 MSSFYIENKNVGNKTESEDWRIRGYNPLTPPGLLQHEIPQSPQS KKTVLEGREEAVAIVNGTDEKQRLLVVIGPCSIHDPKAALEYCDLLLKEKEKHKDELL IVMRSYLEKPRTTVGWKGLINDPDLDNSFNINKGLRLSRQLFVDLTSRGMPIASEMLD TISPQFLADLLSLGAVGARTTESQLHRELASGLSFPVGFKNGTDGSLTVAVDAIGAVR HPHHFLSVTKPGVVAIVGTVGNEDCFVILRGGTRGTNYDAQSIAEAKAALQKAGLRQR LMVDCSHGNSLKNHKNQPKVAAALAEQIEKGEDGIMGVMIESHINEGNQKVPPEGKEG LKYGVSITDACIGWEDTVQVLNVLANAVKKRREVLSQNGSA MYCTH_2296456 MAENTQEDDVKSNKRSHADFTGDDGSDSSSDDDMGPQLPSEAPK KKRRVLPYEKLYISALPKSTRYLKSLMHKEQLAYLTMTPLTDFLITTSVDGVVKFWKK VAEGIEFVKEFKAHQGEIRSVSCSQDGRSFATAGVDKTVKLFDVVTFDLLAVLQLDFV PRCVCWVHKKGSSLPLLAISDSEAKPSIRIYDGRGESLQPVHTITGLHRSPVSLMAFN DPYDCVISVDEGGMIEYWRPSGSYEKPDNVFKYKSSTNLFEFKKAKSVPASLTLSPDG SRFATMSFPDRKIRIFDFASAQLQRTYDESLQVMEEMQQAGTALQQLDTVEFGRRLAQ ERLIESPELRDKFNVIFDESGHFILYGSYLGVKVLNTYTNRVVKVYGRDEGLRPLSVA LYQGRPQKKGVTTVAMAASNNPLLQESEARDPILVATGVGKVRFYMFTNEEEISKATR DVQNEKPTVLGQKKAEEKKKKKETGTAAIIHTTYGDIHIRLFPDAAPLAVENFVTHSR RGYYNNTIFHRVIRKFMIQGGDPLGDGTGGESIWGKEFPDEFSSLKHDKPYTVSMANA GPNTNGSQFFITTEKTPWLDNKHTIFGRAVQGLDVIHRIENVKTYKERPVEDIKILNI DIA MYCTH_2137000 MSGRQRKDGDGGEERPPYGQYQLDLYAQAMVGGNKPVVTTDPNK LEQAARQAMSPEAFNYVFGGAGEQATMHANRLAFRQWKLIPRMLRPTVPRDLRVKLFG KTYDTPLLMAPVGVQAAYHPDGEKGVATACASLGVPFIYSTAATTPLEDVAAAADASL GASSSSAGASRAPRWFQLYWPLDDAITASLLGRARAAGCDVLVVTLDTFTMSWRPLDL DAGYLPFARGEGNALGFSDPVFRRKFAAHFDGDAVEDNVAMASRFWLAEVFSGHAHRW EDLATLRRLWGDGPIVLKGILSVQDAEMAARYGVDGIVVSNHGGRQMDGAVPSLEVLP EIVDAVGHRLTVLFDSGIRTGVDVLKALALGAKAVLVGRPVIYGLGAAGTDGARHVLA GLLADVDQSMGLAGVQRVSELNRSILRRINYGGDVKSSL MYCTH_2296460 MAPAFDYPASESSRASSGSPAQLEGPLNDEIADLETTYQESAIR AGEEVDYFAAQLDRYNTGSAGSAAEKRAQVFRLVDSYYTYTRRRADRLRERQPRERSV RGGSWQRGGSTDMDIDEVDNGNNSLPSEELRRVEEEAQTWDLLRRILPLRYRDQAALQ PRNVDDVSTRSRRQWWNEFLISDSVARERKVVLEWLQSGASYGPPIDEVVSELQQNAD RGDILAHGWLHTRHKIKLQKSVNGYQGVLDPHDPAAAQAHLGSNTLVTQLDPDAVTRQ GRKLEPQDEFFERAIWLGCFEMLRRGCSMSEIREWCAERTELWRAASIAPLPLSNPED EEQPDFEPSSLVLWRRMCFAASRDGGTGEYDRAVYGLLAGDIASVEKVCKSWDDFLFA HYNALLRTQFDMYLAKHGGVAAAKAAEQFPSFNAVLHHSDPMTVSKRLIASLEADSRT RKEALRASKALQGAIVANDVDRHLFHQGIVLSRHANQKQKSRLIHEVPLPTLETFCQD KFFDLADHHGLRVLAHVLIIISTLDRLAGFAEEKGALQIRHRAQENIIAAYISYLRLA NLEELIPLYCSKLYGARLYETLSRNLIHIVDNDARGHQLTIMRKLGLDLAEFVKRQPE IYLDDVQDKTLRCEAKGRFKILEDGPATLKYGRLVKPDFFGEDAEFVDQEDDLIIRAM EWLMLVPGQFVETCNYAIRIYKYLLKRTRLRAARAFSERVPGREIILAKSPIHVRDAS EDPGPGWFEEFANSDFPAEFLETCQLSKDRLITLVRNVWELECLVRALDSMETLSSLA GLSREENSTSREMWQHTGQEVRSAKACMQPVLKNWLLVSNEADKDFQELREAYIPETV LAYISCLHFAGTSLSRDNLLECMELAAVIAEKDSDVAKEFLRCGRMKELVEAFASCSK ALAIWTSDKKGSQTNSKKIRELGWSRELWSVKP MYCTH_2296462 MRWHALCSVRFKVCHVVSLVRSPGDYTCSLSSQDCLTRNAYNEA KCARFVDALYECCQVFYERNGEKAVTASCPKPDLLRLKMEQRRKGIQ MYCTH_2296465 MADNAENGSPRPPNPETVPAPSEHLNIKVTDNNNEVFFKIKRTT KLEKLMTAFCERQGKAPASVRFLFEGQRVQPTDTPDTLEMQDGDTLEVHQEQVGGC MYCTH_2296469 MFARGSAKERSSPRKVTPPSPSYMSSEQFAAYLADLRNNRIARP AGARPPPASKRDASGLTTSGDRSSVAGPSSSVSSLPQISSHQRAQSDAGSIPPAASRP SLPPSISSHSPIARGKDNYRDRPVQPLKPSEVVPSATYIERGQRWMEKEEASSLRRAM EAMDLKDRSRRGKGGDDESDEERRIYNAALDEAAELVWRHQNPGKLPEPGAPYRYRPH LRKNSYAHARAASLGRYGADVAPTDLARDPLSRSVSGSSTEADSPEPASGRASFASSR QPPNTEPGSNAGQDAAAPAGSAKSLGDISSNGPRPLPGRRSRSRQKGSMSGDCQEPFS GDQIWEEPDKETSDPPRAPSSDDLANPLRPKPKEPLNRLQFPRRGADGLKMAASPPSS PEKVVSKYEIHRNPPSQSRNPHYTINPPAPAQAAQREDVPRKHGMEIRSEEIIQATSM KLKDRSPKLPTPSAVSDSPGRPIVSFDKNWKPQEEATDGKSEKSTTGRGSPNHSGCLV PGGVRQQQSQRQEQTIPAISARGGSAASAAATSTSPTRRSQPPPPSIQVEGPPVPAIA VSDPSSPSPPAIVVEPEGAGSGGPNIPVIVAPDDAPADTAGSSRRPLPTPQSGAPRVR QAARPRAPWPPVSRPAGSRATARCHECGDVIEGRFVSLAGMSERFHPQCFTCYACGTS LEALEISPEPDNHRAARLDRIARRAAGEILPEEPGQTMAEDGDERLRFYCHLDWHELF APRCKHCKTPIMGEHVVALGAHWHFGHFFCAECGEPFEKGMTHIEKDGYAWCVSCQTK RTERRAPKCRKCRTAVIGQYVRALGGEWHDECFRCATCNGSFDDGQIFPMEGRGAPGE TVVLCTKCMEKELKA MYCTH_2296472 MYMHTQMTTTHARLPAVPVLIMMDRVVVLSVLLVNAHTWGLNSS YAVFLAYYLRSGSIEGASALGFAFVGGLSISIALLVSPIVTWCIGRIGTASTLRIGVV FEAASFIGASFSTHLWHLVLSQGVCFGLGLGFSFTATVGVVPQWFTKRRSFANSVATS GSGFGGLTYALATNAMISNLGLAWAFRILAILAFVVNGACSLTLRDRNKAVGAIHTPF DRALFKRFEFCLFISWGLFSLLGYVIVVFSITDYAQSVGFTASQGSLAAALFNLSQGV GRPLIGLASDRLGRINVAGIGTLIAGLAAFFLWIFAGSHFAGLIIYVLSGAFAGIIWP CVAPVGAEVVGLQLLPSALSIYWLVLVLPATFAEVIGLSLKTSGMDAYLNVQVFTGSM YTASFISLWLLRSWKLQQLALLGLDNDQKGEAKQVTRTAGGRLDSPQTSGVQAYLRGM VVVKRV MYCTH_2296474 MGYAGAFTIPGEPDAKAKIAALEAAGATVVDHPAKIGGALKARL DPRAPVPEPASRGGGFSSCVPGGGQHRRYHTATTATRRPRRRMQGSLSLNRQQHRHLY VSEDKCMNLLRETGKINCGAYSGSGPRKLLAIGIDRSTRSPCILASPDAEDSSPDGAI RRYAFGHIADLDKLVIGRIASHLQLSQKDSVVESLRHLVKSLAALFFEKEAFYMSTNI VERLGEVKVVGARFGFDDAAYRSSGRQADLQKLRDIAEEDPSEIEAEKHGIVYIKLQG AGAVGTLVNGAGLAMNTVDALSGHAANFLDTGGKATSETVKKSFEIILRDPRVRVIFV NIFGGLTRGDMIATGIIMAFRELSPNVPVVVRIRGTNEEEGQRLIAESGLPLYAFDDF EEAAAKAIELSTSTQTRHG MYCTH_2296478 MSTFGSPGPLPSTKPVPPQRGSFPLDHDGECKHVMMSYLDCIKK VKGVNEEQCRLLAKSYLACRMDRNLMARDDFKNLGFKEESQQPKQSEIGETGVKGELR W MYCTH_2296480 MAALGDDLLGTVNKLQDLVFNTIGNDSLDLPQIVVVGSQSAGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINVPKDDAEDDGNVGYRNPTQAGRNEWAEF HHIPNRRFNDFGDVKREIENETARVAGNNKGINRQPINLKIFSPHVLNLTLVDLPGLT KVPIGDQPTDIEKQTRNLISEYIAKPNSIILAVSPANVDIVNSEALKLARHVDPLGRR TIGVLTKVDLMDHGTNALDILSGRVYPLKLGWIGVVNRSQQDIQGNKPMDEALKSEAE FFKHHPAYRNIANRCGTQFLAKTLNTTLMAHIRDRLPDIKARLNTLMGQTQQELASYG DMHFSGKEHRGSLILQLMTRFATAFISSIDGTSTDISTKELCGGARIYYIFNSVFGSS LESIDPTSNLSAEDIRTAIRNSTGPRPSLFVPEMAFDLLVKPQIKLLEVPSQRCVELV YEELIKICHTCGSTELSRFPRLQAKLIEVVSDLLRERLGPASGYVESLIAIQRAYINT NHPNFLGAAAAMSHVVSSRQERERKRLIQEERERRERRRMKELGANGTDTPADEEEET AATEKTESIAVRKAPAKGPRSLSPAVRDTAHGGIAAALNGGRSSSPSRFNGQGLGNAK DSFLNYFFGKDGAIASGATPPNASTSRHVSNSVEPTFSQSIRRPEEKALRSPVQSVRA DDALDFAGGSKGTDLTNHGEPAMTEREAMETELIRALISSYFNIVRESIADQVPKAIM HLLVNHCKDVVQNRLVSELYKESLFEELLYEDDTVKKEREKCEKLLQTYREAAKIIGE VV MYCTH_43688 MSVDKPDAAGHLPIGEHGATDGPTALGRKRKSPDDDASPGSGKT SGFRSEHVEKKIKLADVHAEQSSRLWPVASSPLSRDRSLLPPEIWHHVFTFCPPKTLG NLLAVNKLFNFYLDPSSSFKKEPHPSASLGALGRLEPNAIWQASRRLFWPQLPAPLRS KTELEMWRLACSPRCQVCGKLPAQGSASRNPRSPGPCADGVAAIWAFGIRTCAACLLK GSEKEVDLEISPSIPSAIVPALPFVFLTQERSVLSPTTLESSQPPGDMQLTKLFSKAD VEALLKEFLQVKDMGQGTVAEWLKGLPGRGASLQHEATKWEKWELSGGVVRMCSLLYP GYESKTTSRSSLKSSINPAITSTSAHGRHERTAEEAAELKAARRAEIERRCLLLSPPL TADVLRHIPSFQAATQIVADLTDDAWERLKPRLLAQRAEAEKNLEAERVAGLKDGQQT REQSKLQATLAADKEARDRVDKEWEDVQAPLRVKIAGYADEVLREKWGKGKKVTRENC SRFAVDSLLYVRERFYADIAKDVAEAKAAGKTPTPDPPNGPFTQKLTLENMKWIFDTK FKPHTEPLRKELFYCNGCEGNYKAFGFEGVIQHYAAKHTSALSLGSIVVYWRAEWPEH PPFCAAPRSAKPASTRHTPGGFAVNGGVPPPVQYGYAPSAAVPMAPPPPYPPPMGYGY TPPPYNVHYPQPPPPPPPYQPPPTAPPPFVPPPDYEPAPSPYIPPPAPYSAFQPPAIT YTTPVAEPVSPGFVPTQGGQYGYLHEPYPAHNAATQYTSHQPSPYPSLYQTKVDDIAR NARDVWRTLGDIRDLPGDIRVFTTIHHLVKRFQSRFYETPPLSMFIDALSNNREMRPV RNVNGLACRACRLGLGNAASAEEGRKEFSLPQLSNHFQSKHIEPLQRSQAGGGTLNWV TDMVLVSDLAALPGIISSANEPQKALISAAFPAAFETRHHKEVLLKKTPTDAPDTCDG SQADSGVICRSSIPGSMKATTPADRRSSGKSPHSTMPSAPPEDDRSAHSGVGRQFSHS SGHNLGPSRFDDRKRTSSKSKRGESQGRSFSSDREPEMAYREDEETKKPKDEDGHTVR PAGRASTPPPGLNSTRPEKPGMDVGLQDQRWLEQETRASRRPDDRYPPPSSNERGKPS ITAALDSYLERIQSPQAQTQYGTPDAALYRDYRGSEEDRNPRPGPRAHDRYGRRDVGT RSPPPAGRFRSANEGQAGPLYRGRPVAVEERQEDAYSPRRAEPSFVEPLPRHAEAERF ALPAHPPNEHIRDRAAPEVDHHRYREDVRMHPRAAVETYEIVHVIDEHGEYYIRRPAR RDPDPRYVYEERRVRHGPDHYPPPPPPREPVYVPTHRPSLARFSAAPSIRPGDQRVDP AYWEEYDPRFPAG MYCTH_2296486 MRAQTLSGPLLLLLFGSLASACPLAARQSATEVASASDPGPTDS GTTGDGPKADMVAIIKTVLPTSDSCAGAEFPDECRTAEQAAPYVSKACADLSDGECAA TLAVMGYESADFKYKHNLGNNPGQGTANMMSPENVLAYATDLFGADKVAGKTPNEVLA MVTPDETNFGSAAWHLKTKCPSLRDALKTGTDAAWESYMNECIMGDKNPKRLEYWNRA KNAFGL MYCTH_77082 MSGLGHALLCLLAGSLLGGPGVSALPAAEWRKQSIYQVVTDRFA RTDLSTTAPCNTADQAYCGGTWKGLISKLDYIQGMGFTAVWISPVVKQIDGNSKDGSS YHGYWAQDIWAVNPAFGTADDLVELSRELHSRGMYLMVDIVTNHMAYMGCGTCVDYSQ FNPFSSSSYYHPYCSINYDNQTSVEVCWQGSDIVSLPDLRTEDDNVRRIWNDWVAQLV SNYSVDGLRVDSAKHVETSFWPGFSDAAGVYLLGEVFHGDPAYVAPYQDYFDGVLDYP SYYWVLRAFQSTSGSISELVSGLNNLQNTARDLSLYGSFLENHDVERFPSFTKDKALA KNAIAFTMLKDGIPIIYQGQEQYYAGSGTPSNREALWTSGYSTSSEFYQWITKLNQIR ARAIAQDKDYLSYKSKSIYSDSHTIAMRKGNAGYQVVSIFTNVGASSSASVTLASSAT GFEANQALVDVLSCTAYTTDSNGGLTVTLADGLPRVLYPKPRLAGSDLCQDSDTGVTT TPGATPVPTTSAGKSGPACTLSAVDITFNELVTTVWGETVKVVGNVPELGNWNPASAV TLDASRYTSSNPLWSVVVRLAPGTAIEYKYIKVSQSGTVTWEADPNRTYNVPCATATV SSTWR MYCTH_2296492 MTPTPPSATALTTSRSPEEQFRVVRKRNRVPLSCYPCRTRKKCD RSHPCGNCTKREGAGTLSCSYATPVSRRKNQGQGEPTPDDMQNRINRLEGLVLSLMHG GANIDASSLPGGSSTSSGGAASNGSPSTADSSSLRAKAEGHDDDGEVQGDDESDVDDG LAKSLGVLKMDPARSKHVYLGEEHWHTILLDIAEVKNYFASHKKDLETSYERIKLAKP PTAKQPPTLLMGATPATEVELRAELPPKSKIIALCSRYFSSMDNAVNILHAPTFWQQL RDHWQDPSKTSIMWLALLYAVMCLALISYHKVGDEPPEWKGRALELADEYRLRTVQCL VAGDYTKPTQHTVEAMMLYGFCEYSSRWDADLSLWIISAMVVRVALRMGYHRDGKWFS TLTPFEAEMRRRTWALVRMMDIILSHQVSLPSMISEHDCDTELPHNIYDEEFGPDTKV LPPSRPNTEPTPVSYMVQKVKLCDLLGTILQATGRVKSPVHYDEILRFDAKLRDFKAG LPPHLRMQPLEGCDAPLTLIMARFHLEILYLKIMCLLHRKYMPRARHNPRYAHSRRSA IEASLETLRILATLHRESQPGGLLHPVKWFFTSVATKDFLLPAMVIVLDLHFDNAGQG PAGQQDAQSLYFWSREQRQEMIDRLELTRDIWKELSDTSVDAFKASNILDIMLNKIKR LGGADGPASPMGLSSSSGPLRTGDSGDLLPGQLEATTRGMLSGGSGPGLANTFSGAQS SVNKSYGDLNLGLNGANAGGGAENGPSTSMGMGSAAPTNFSNPMLGFDSAHSPLTMFD NMANNNLDFSTNFDWESFENFTQSVNWGGESLQFFPGNPEFSQQQAPQDGAQFPYGLD LTGSS MYCTH_2313703 MSKIEEITSVEAWEKHVSSLPPSTLLIVSFHAPWAAPCAQMATV LSTLASEYPLTEPPSTSWVKINAEELSDISEAYNVTAVPFLVLIRNGEVLETVSGSSA VKVRNAIESHASKTGAATAAHNGSATSAANNEAEVTTEEDPEKKKQELFKRLGELVKA APVMLFMKGTPSEPKCGFSRQMVGILRDNAVKYGFFNILADDEVRQGLKEFADWPTYP QLWVNGELVGGLDIVKEELESNPDFLKPYSVKANGDGSVAAS MYCTH_110510 MSAQEYYQQGPAPNGYGGQAQYPQSYPQQYPQQYPQQPQPVYGG PPPQQGYYPPPGQMQYQEQPRQHRSGGGDSCLKGCLAALCCCFVCEEGCECCADCCEC CLEFC MYCTH_2296499 MASLNLSTNGPSIKSSYSSVINGPALSSTSPTAARWALFTVQAP LVSAFQNTGAKESVLKVESTGDGELANLVEEFSEGRIQFAFVRVKDPNSGLPKNVLIA WCGGGVPERTKGYFTSHTAAVSKVLHGYHVQITARSEADLVPEDIVQKVADASGAKYS SGTSTPAPSAPPPVSKKPVFTPTTSGSGSSYNPLVAARTQRPVNTDDDGWGADAPPVT RTELEKVEPAYKPTKVDIASLRKNKDDSRFDTPSRQDDRPSDIVKGGYQPVGKVDIAA LRAQAKQDDKPTVVKGAYEPVGKVDIAALRARSQRPAEEEESAPAPKSLAERSAAFTS SERLTELPKPKVAKKFGATPFTGTKAPTPVGLGFGSPSAPPPPPVGSASRTFADQGGK TPAQIWAEKKGKQAGVAVGSPSPPVTTPIAAQKSGSEWKSGYTGKSWAPVQTTNFGRG GVEKQKTGETERSEPEVQQPVTTGSGGVSALRDKFRDAAPMGIPTRSVPDAAAGSENE PAPPPPPMESRPAGGFALPGLPSRPAARNQEEEEEAEVAPSIPSRNYEEREPLPVRVA MPVPRSAAPEIESAAESLPSRPIPVPPEVPKEEELPADSETHNPRAAAAAVAGVTAAA AAGAAVAHAADDTAPSKADATSGGKRALVQYDYEKAEDNEIELREGEYVTNIEMVHDD WWMGTNSRGESGLFPSNYVEIIDEEEGAAAAQPAAPAPAAPAPAAQQEEPPAATSGPT ATAQFDYEAAEDNELSFPEGALITDLDFPSEDWWFGHYNGASGLFPANYVQLNS MYCTH_2296502 MYEHTPPAPPPKPPGTRDVSRMGTPTSGSVPRPPPLPEASTTGS SNPGLSSSSNIEHHHGLTPEDVADPGDKWLPKFLQDKSKQDLADILSDPALLSALTHS PQTIHPSLRSSHDALRAALSENMELAAQLLDLEARLAHQRSAAQAQLLATHVLERQWR AKQGDMDHALAPFAPASLYQRLAQGVAEQEGVCHALEESFLEGEGDRALANEREVGDW VKRYREAKKLYYLRQERKERWDEGRVGGWR MYCTH_2296504 MAVSGKQSPVPEQPEHLDVIETPTSPSSCLARSRFEFETDKRNE GTKILMVEWEDTAPEVDGSADQTGESSDWEVTWEGKGAVLPVRDVDPEADSNLRRVYF LLPPGAPIPPLVEIKRRGKSTTATDGTGNILRTKPMPAIFPAELTSQQDAGRRGVLHT IWAKRRLAELQAEIAAEMRTNGESVGLEMAIQERQWIIDHFGLVPDPDMPQPTKLHLP QSSAVPASPRSPVGGRLGEKLKGLKLATSPAELAAASQVAKSAPRAPPSITSLSPPAT SVSTAPGLGQGGVASLDAVVGAGVAPAPPAKVCEGDDANEEDLFALPMSPRSPEMKRS PFSIL MYCTH_2296508 MEPLHMTEFGSDRYLQKFNQSQANSAPSTSSVPNLPQSQFILPL RGSSLSEAEAAANKAGDQKRAEKRSFSFLRNKFHVKSPAAAATAGSAEHQEQRPPSTH SATGRKSVPFDQLFVSLPNELQIEIIASLPLSDVLNLRLASRSLHALVSLNETPITRY HLEHHVPAYAKRLYPPPEGTPLNLHYLCGLWHRLHVAAKLSYLICEWVTKEMFFRNTE EKRRQFAPQTERMRRRLIPMVFTVFHFFETYRKLHLKYIVENGYGLHKTPYTVNPIEA EILKMYDDRTLFQVHEVFPLVMASFDRRLRPPSYVGRVERSLRGYLREKPPEEVHVAI LCLGGLRVVERIWEVKGYNARRAAVDAWYASITKDIAESSESKKRRGVLGLKRKKSSA ALAKIGTNDNGTDSRVSTIAGNAKGKQPESLVFCTSMSAGMPMGPLTKEEYRLLLPDL PRLRKIWSETAEAMILDRKIVSRPADIRRSSAQIFTDLISENIVEDEDQWLYGTTASD SVRPNLDAIEEDPLEYP MYCTH_42822 MPSTSSLPNQASAPAHVSGGTSASAAALVPVASSGTVPPFEPNL QDSLNGPHRDGRIRNPVPSKLKGKTDGSKGNFGVMQIEVAGRTEAMDRDAEAKRTSES TELAAKRAFEDGYVSLRRSRFVHLPDEPVAKPFVPAQTAPPAPVRVQRRTPLGPEETK SEQARLLTLLRSLHPVLVVDQICKALAFFGGIPGAPPPEAGGFPESAEANGPGSLFVG WIAEIFPKLGGDYSQQTLDPTLQLDNPPPVKRKRGRPKGSKATKARKDKGVKKGPKPS TSGDQAQTSGVADESWADINDSGAEGADEVDANVMLLAQAANPQSQLRDSRLNVGPMT PTQTSGAARTALPETSVVGGVATGGTPTTRKRGRPKGSKNRPKSLSANSTTNLGATPQ AETLLAQGFGFTTQASQAPQLDRAAQVPSGSAGPQSFTAVNSSSPVSIKKRTGLASGP PSNQQGQDQGQISPPVTAPDRQRGEGDLEQRNPAPALQVQAPGHQVLRANQAPDTQNL TLSPLLTASQTQAKTSRSPGQKRKSRGDGNTAAPGLPVIESSNYASMPSLNGHATPPL LNNEGPQLPAAAPEPPPKRQRKGKDARPPSGKGNEAAAHVSGRVTASSRGEPRLSESV PDPSSEQASREVIAGNSGTASIPTLSGDHATASMQAARQGQFALQSPTMESLEAQLQA QFGQQVDIEQRSLASDGAADQAQAMTTLLSQPHQRKPGQQPQHQLGDSGGHNRPLSSQ PQPAKGQAHSTPLVSHQQARAAQGQYNQYNVSNSQLQHQLQQQHQHRQQKQHSYVSAQ SDQTHQHSQQQQPHQLLGGQQHAQNRKLSSASHHSANTSHQQQQQQQQQQQPQCTSNQ LPYTGSQHQYLGGQQSLSSQPRYRPHIATATSAGTASYTTHPSPQFGTPMSNNFGAAN GTYRSSTSNLANPSYDQRGQAGTTTTFRSASTHGLPQQSPPFGAASAGMQHRSASTGQ PAPQSVQDLTNTQGFAGNASSDWGLFDAGHLDTTGQQGTMGLNNPSYGISTASVRASS NPGSAFAATGLSSFDTSGLGSNERYYGVGRR MYCTH_2296513 MQSTQYLLVSLPLRIFDDDPLATLTATVGRDNGETLPFSIPSFK IGTLDALVQHADELAKLNATCEAAVAKVADSLKGILDGDEDKTAQQKMVNDKPTDHYL RNFQWNRLRYRADRPLGELIDNLQKELQNIDNDVKAKFNQYSGIKTTLATLQRKQTGN LATKSLTPIVDPSLLVQDSEYLETHLIAVPTNARKDFIRSYETLAPMVVPRSSIQVAQ DDEFTLFAVTTFKKTSAEFLQKCREQKWTPRQYKYVEGGKEEEQRELDRVAREEKKVW GEALRLARTGWSETVMILAHVMTLRVFVETVLRYGLPLEFVCVLIKTTPKHAKKVKAA LDSAYSYLGGNAFGRDKKGRVTKDDASLTSEMAAVGLGTEGSEYTAYVYYEIDLP MYCTH_2123079 MSSRLPSSASRWTWALPLRTPNRLTRASFSRFIATAAGASSPTA TTTPLIRRAASITGRVLLFTTLGFAMAAAPAYKSAVEVLSPPSDADALESFLPQDDEA KAKEDYINSHPLTAALRADPNLIESRPHMKIPASWRKHNLTGGTLIGPGKMVTPPFSW TDREGRRYVQIAHVGTDLCGHMGVIHGGFLATMLDEGLARCCFPVLPYNVGMTAKLEI NYKAPAMADQYLVLRATTIKVEGRKAWVEGQIETLPEDENQQPTVLATASALYVSPKQ ASGCGGDERISGPQSVLPHYHPHQFEAATREVGTYVDGKKPRRSLLDSGVRPRDNGYP ASYHRNLGWNVTEQPQSNITWHPSLTRQERNQLRGQRGFTVWFTGLSASGKSTVATAL EQHLLHIGLAAYRLDGDNVRFGLNKDLGFSEKDRNENIRRIAEVAKLFADSSTIALTS FISPYRADRQIARDLHAKVSHPGDEPLPFIEVFVDVPLEVAEKRDPKGLYKKARAGEI KDFTGISAPYEAPEAPEITIRTDLLSVEECVRKIVDYLAEKGLITQTRETR MYCTH_2054138 QATVSTVQLSQPHKPGEESIRVFEQIQHELKRSLVRIRHEYRKH EPECFAAAEHLSDAQLTAFGPDDLDEVRIAVSAYGLHVFGKVRIPALPDEGPAYVHFR AFTGGPDDRATLHSIHTEEREGGDGGHAYRAIFTKDDSLDWFDS MYCTH_2049620 MGVKRTKQKKKSVKPSFDETALAELTSKINQNLADSKKERPPKR KRQRDNDDEHDPKRRHTLHEKHNSQKETGRGRNSKQASTLLDEILALGGNEDDLELVA NVDSDDEGSEAPRSKGSSESTIDNSLRDELAKFASSLGFSKFHDKEDPETDDSSDVTA ESSEDDDVYDEHEERDDTLPQPQEARKEKQLGNKQHSASISNLKAYAERLLEKDSSEY LAERASSPSQKFMSTIMSSGTLSDKVSALTLSVQESPLHNRKAFESLVTLAGKKSRGQ AIAALGALVDLLGNGMLLPNDRRLYPFNAQPALLGALDQHNGLTWTEGQKLPGNVTAA HLMMWAYEDWLKAAYFRIIQLLEVWCSDEIEYSRSRALDFVFGLLKNKPEQEANLLRL LVNKLGDRERKIASRASYLLLQLLNIHPGMKAIVIGTVEQELLLKPGQSLRTKYTAIN TLNQTILSTKEPSVAEMLLRIYFDNFLSLLKTGALGNLGVEGRDERTGRSKKMSKKKA SPGTPAPGNGQETAQKLVSALLTGVNRAIPFANTEDSTLEKHLDTLFRITHSSNFNTS VQALMLIQQLAISKQLAVDRFYRTLYESLLDPRLTTSSKHALYLNLLFRALKNDVDVR RVKAFVKRLIQVLSLHQPSFTCGAMFLIAELQSTFPDLHTLLDDPEDNEEDGEEFYRD VPEKEDHEGAISETQTGTTSQLVAAYDGRKRNPEHSNAHRSCLWELVPFLHHYHPSVC MFAGNLVTRDKALPRPDLANHTLMHFLDKFVYRNPKTEESKRGGSIMQPILASGSTSH IVTSTKASARQQPAVNSSSFWSLKPDQVSAEDVFFHEYFSRVGKPAETSRVKKTATND QVASDSEAAEEEEIWDALVNSHPEIEGVDVEEDSDVDMEEYDYSGNDMEPDGFSADDK MSGSEDDGGFEGIFDDSEESDGTGVSEDEAEGQAEDKPATASPRRGRRGRLSRKEMRS LPTFASADDYADVLAAEDDLDD MYCTH_2296525 MVPPAVARLSRAWFPSGGIAASASEDAHSKLVRAGFLRQSNAGM FHMLPLGRRVQDKVESLIASHMEQSLAASRVSLSSISAESLWEKSGRLDKVASELFRF SDRRDIAYLLAPTHEEEITSLVARSVRSYKELPLRLYQITRKYRDEFRPRHGLLRGRE FVMKDLYTFDNTVELALETYHKVRSVYSQFFSDMKLPVLAAKASSGDMGGDLSHEYHL PTPLGEDHVISCNNCDYVVNEEIADAAVSSEVAADSSFETWRGITKDRTKLVNVWYPR WIQLPGGGELREMTYRDINMSAVKSVVPDLDTAVEDALPFWSAAIAPQTRTATEVINV IDSRLPSSLADGLSGPSPTISSWPATLSPPSMPLPVSSCRGVRSGSGSVSLNILRVRT GDRCPQCSSGSLKVERAMELGHAFFLGTRYSEPLGAMTTMPPAGQPSPMQMGCFGIGI SRVMGAAAEYLADRTGLNWPLAIAPYSCVVIPGKDASDSDATQVYHQINGLSGSARRF LDPVLDDRQKPLPWKLTDADLIGFPVIVVLGREWERAKRVEVQCRRLGVKQIVDVTDL AVIIEKLHMTL MYCTH_43249 MLFGVSRPAIYYTINLWNSYNTFKSLPRSGRPKILSPSEKRYIL LIIKKERYIVFCFPSKKYDYRFINLKNYIRVDIIFIV MYCTH_44903 ALILYLKGAKISEIEAITRIKERAFYIILKRAKEQRYILGSPVK DKHIINALKSRRPKVVTKSIT MYCTH_41930 FAVYYLNDILIFSKMIDKHQKHVKAVLDVLYVYKLLVNKEKSKF YVRKTVFLGYKISLG MYCTH_63472 NIEPKLHNFISKLTANYRGNRELSPYIRVAIYSLTSAGYSERSL VTLFSVSRPAIYHTIEL MYCTH_2296527 MPDKALRVVTYAAGASLAAITLIYVFGPTYFLDGDTSRSGTGAF STRKAGVVGLSNPANDCFINSVLQALAGLGDLRLYLIREVHRRRLDDETVYTQLVPAD ALAQLEVETGLRRFGKDIPGWKLEGLQRGVITKSLKDILDALNERPIHKKTISAAPFV RALEEAFRQRISRQQQDAHEFLQIVAERLCDEYHAGCSARWLSRRTAALDEKKLAEAQ QATQVPSAELPQSHRPLGQTNTAALNQAAEASTGGAGQNRGYVEHQGMEEEEGFPLEG KFESQIECLTCGFKPRPTPSTFCTLTLHVPRVPSTTLSACFDGMFKTEYIDDFRCEKC RLLHALSIFEVAARRASSEEKRLKAQSAAEKLRLAIEKDPETPPAGVELPDLHSAPKR RIARHIRITHFPKILAIHLSRSIFDASRSSQKNLAKVAFPEKLTLGGLLQQRRYKLLG VVTHKGSHHSGHYESFRRQNIYPPFSNPSTFQASGVYSRTPSPASTPRADLRGQPPQD VAAPTSAGRHSDSAPPCHLSATPAAGSRDSLCDSTRGGESSADARSGATPVAAAQASN SDSGSRDPTETTPQLSIPGAGSPPPRSGTPGAQSVKEHRLSTHSAPAARTKQRKQQSR WWRISDDKIKEANTRDVLGMQREVYLMFYELERPYL MYCTH_2296529 MESATPTQQTGGVGASWAAFLKSIASFNGDLSSLTAPPFILSST SLTEFSTYWCEHPSVFAAPAKEPDAAKRALLVLKWFLTTLKQQYASRSEQYGNEKKPL NPFLGELFLGKWEDTAGTTELISEQVSHHPPATAYHITNIPSGVRLEGYNAQKASFSK TINIKQIGHAVLTVPVPSATEPDTFLITLPSLHIEGLIFGSPYIELDGSSFITSSTGF TAKIDYSGKGWLSGKKNSFTAVLYPTGREKDVLFNVSGQWTKSFEIHSGPAKYNSKDN LVEEWDPLPLSELIVAPLEQQHPLESRRAWSKVAAAIAKGDLDTVSVEKSKIENAQRE MRAKEKAEGRTWQRRYFTARSDATDPVLAKLGPVVGLPENGDADKTGGLWRFDDAKSE AARKEPPLSEEDASKLAKELLGQ MYCTH_2296531 MSGTDGPTQSTPSISPPRILIIGAGSRGRTYADAVVSASNGVVV AVAEPDDYKRNRFGETRIWGSQSPPPEGAAFSDWRDFIIYEKQRRARVESGEKGVPPG VDAVFVCVLDEMHREVVLALSDLGGLHIMCEKPLATTLEDCVDMYTALRANRTARGEQ AVFSIGHVLRYSPHNMLLRKLLLQDRVIGDILSVVHTEPVGWWHFAHSYVRGNWRRER TSAPSLLTKSCHDIDVLLWLLCSPADCSARDCPPPHLPSTVTSTGSLQHFKRSRKPAQ AGTATNCLSCPIEATCKYSAKRIYVGPELAGLESGNTGWPVSIVVPDIESCESMAEAR DAVLRELAKDYDADTPDSEVARRNWFGRCVYESDNDVCDEQVVTLSWDDDPLPGSYGT GSRNAKTAVFHMVAHTKKICDRYTHIYGVDGEIYADSFTITIEDFRTGQTTVHRPKVE SRGHGGGDIGLTRQFVLAVDKVKNHGWSADRAQRELVGCTLEEIVRSHAMIFCAEEAR RGKKVINWPEWWATQVESRAASASA MYCTH_2106971 MPTESASSGLRPAQQSDALVWIDCEVLFTLSLARQATDQSLQMT GLDPDSDSIIEIYCLITDGQLNLLDECGWGTVVHQPRERMAMMDEWCTRVHGDSGLTA AVIESTVTPQQAADGLLAYIQKYVPQKGTALLAGNSVHADRAFLRKAPYNKVLDHLHY RILDVSSIKEAARRWW MYCTH_2296536 MDVKTVDFTPFTDQKPGTSGLRKKVTVFQQPHYSESFVTSILLS IPEGAEGAFLVIGGDGRFWNPEVIQLIAKIGSAYGVKKLLIGQNGILSTPAASHLIRK RKATGGILLTASHNPGGPQNDFGIKYNLANGGPAPESVTNKIFEVSKTLKSYKIANIP DIDISTIGVKTYGNLEVEVVDSTADYVEMLKDIFDFDLIRKFFGSHPDFRVLFDGLSG VTGPYGKAIFEKELGLGPESTQNCEPSPDFNGGHPDPNLTYAHDLVEVVEKKKIPFGA ASDGDGDRNMIYGAGAFVSPGDSLAIIAHHANLIPYFKKNGVHGLARSMPTSGAVDLV AKKLGLDCYEVPTGWKFFCALFDADKLSICGEESFGTGSNHIREKDGLWAIVAWLNII AGLGVANPGVAPSIKQIQKDFWAEYGRTFFTRYDYENVDTDGANKVVGVLKDLVADPK FVGSKIGDRTVTRAGNFSYTDLDGSVSTNQGLYACFSSGSRIVVRLSGTGSSGATIRL YIEQHSSDPATYDMDAQDFLKPEIKMATELLKFKEFIGRDEPDVKT MYCTH_99530 MESIGPANVPVESHLLLDCCREADQADIVARGLDQLRAALSESF HGHLIALAGEIRDSSRLLRSLADCSQVYITRVPFMTNYLSILLPCLSRTLKDIVTYYE EKTLTREIRWRKMYNKMTEEAGGLPLPQRFVLFNHFLSLLKQLLTRSPNFDLNTLEAL RTRVAALREQLGIPPMPQAGTLVRQNPMPLVVVQERNSHWAEQIFSLPLPSRTALKHL RSSKSYGPFYPWGHLAIPHDSTVLFKRPFENDTISVVAFLSSVDQCPYFLMRTLQNSA PWYSMFGAHELCIEREGSALQLKRWSRSEQCSKLWAALYFITWEEMVLFYCTFVALKA RSLLTVQIHPNEFQLRREKRLFQAQIIDDGFRHSLIVYEDLQTRGLRLHAAVWEGELR QCPVWTAFVTHQSQSPTWLSRRSRHRVWLKDIQLYVFCNTYRQENMRQNKLGAFEIHF DREEAARRFKELFSIRSAASETSENEQEASSAA MYCTH_2296547 MPKAAKGRSGKAEKKRSKKDPNAPKRGLSAYMFFANEQRENVRE ENPGVSFGQVGKILGERWKALSDKQRAPYEAKAAADKKRYEDEKQAYNADGDDDASS MYCTH_2052150 MASHSPSVSSPAFTETEASVLALYDQLQQLQLELALLQSQHNVG SPTTGHDLSENQTRFLEAKATLALCNSVVESVVAVQPTLNAVHQARQASAVERDLLPI IQERDIAATKAANIYSELQTARARLAELEVGSLKASHWNRELAADVLQLASENHKQDF ESIQNGRFGSGIADLESQVKSSRHRWRIIKGATSAIIAGSGIDWVRVKRLRELVLDPL D MYCTH_2296558 MAAEVLVAHTGQRLQIDTSQISSLDDFKTLVARQLAIPAHCLVA LTTQGRPLKPQTIQSEKEIYVYDTRLAQPLPQGTTPVSQAELPVPKRYTVENPPNSIE DSKSVQSWQDLFKARKDWAKMVVEDCRQMAAAAEERYSEMDVMMRCLDAAVFNLESAI KGLEAKYPELQRWISETQADHGALTARWEQYLSLARSIPVSPAMVRFMTGRDVGDAKG RSQHKATLEDLIDLDTARKAGRLAPATLRKFNGRVAEFEKVATRLFQGANDLFREYER TSERAGTPDTGEIQPLINDIEALARKIETDYEATLDYTSSTRDAVVQISRIASNHTER LLPSVSKRALEMHDMLRSATEARNSLAAESLALMRGISNITSLSRTYKFQVNAVHQED EFATFDYLRLIQQVPYMYASFVAEAIRRREWFEKMKQDSSTLANEMALFQEEEAKRRR KWYKSIGNTYGPEAPGMDSNVPGLEVNLLGEEEPWPPMTRGDLEEFHGLLQANKADPD IVGDVGKLISAMDNPTRQQSKRMKAFKNGSVHEAALGRSGLLIRGDDDLLRSLQDDKS KLESKLKTAESRVRRLEDLLHRQTQASRPSLGNLFQLPSQQLSDRVDSTISVRSPQPL EDRRGSIEGAEFLAQRVQQLEAELAAEKERSAALERDLEGQVTLCSDVKGQIDEANST KKDLLENLEALKREFMGERKSLEDEIKRLQARLEDTEEEIDHFGESRENEKASYLETI QALKLEVEQLTKEKRDDLLKSEGKINFLREETRLQRETIEAQERQLQAAQEESRDLRK KYEMASETVDLQLKALRQLWVQLFPGEIAPDDLADLTSQISAKAGDAVTRVSSLENDI SLLRAELDSTHNALESARAEKAAVERRASDEEATSIRVREELAKQQAKMVALEGELAD SREQLKQLRAKVSDGESGFETLRKRLEEEERKVISITEELASRQSQVGRLEEEVEHLR DKLERSHVRLSDMTALFDARSKHAKDLTQRLYSQNERLIRLLERLGFSVTRQEGSMTI QKIPRSERSSQNANDSDPSSSLRRSGTLNSRSAIFNSADLTLLNWMDSSDAETESVKY DAYISSLGAFDVDAFSEVIYRRVRDVEHMARKLQRDARAYRDKARAFQKEAHEKIAYK HFKEGDLALFLPTRNQKTGAWAAFNVGFPHYFLREQEAHRLRNREWLLARISRIQERV VDLSKSLKNPSGVAKEDGAAESESLNDDENDNPFDLSDGLRWYLLEAAEDKPGAPSTP GLAKSTVAANNVEAMAEKHTRSRVGSKGAGAGTRGIGASGIEGVSKTLSKNLESRRSS TGSRKALPFAMGVSRGRDSAAASETNSLRAAPADTSVPTSPTHQHATAPTVSHRDSPQ TSTHTTNELARTTGINETSAGIEPEQQASTRQFEVRKDVDSLMGP MYCTH_2115071 MSAQEEVVRPLGPWLRDRNVREILENAVANVALSIPSLGVGISG EDTSKPRFVQRPSISLDEHFEFVEKSDKKPAAHDAILLGILEDQHNRSWPDIEHRPPW KLTVIAWGTADEAGLFVLDAVFAAHHAIADGRSTALFHTALLNELNHPTDQPIPLSGR TLDLRGLARRVHVRPQEELVKFSKSWGFLVRVLWRELAPAWLRGRQPPAPWTGKAITL EPCRTRLRIVSVPSTAVTQILASCRANQATLTPLLHALVLASLSRQISAEKAAAFRST TPIDLRPFIGDGSENGGSRTLFGVYVTAQTHTFDASTITALRQGLSTDQIWKVAANLR RSMKQHLDNVPKDDIMSMLGWVSDWRSFWLSKVGRARQDTWEVSNIGSMPGDQGAEGE AAGGWKTQRSLMSQGATVAGAAVIHSSNVSGSAVTLVQVRAKSNRLRPRDQGVIVRLL EDIPRFGRKDAVFRIERGRMRNQWFPHKRAEYMTPSRFRELGLTRDDIGERDTTFGIL DVADVEDSPEAEVPSPTVLTTSPEKAHTILTTMIPDTLAFHRKPIPAPAPPPPSQPIS PLVASAKADTAHDRNAPMAIYGSVSATDIVGHIKGLLVNDVEGSRIVLEPENIRFLGT DEETDRVKTLGRWEVEILVGGTGLEPVRKTVEVLPLTEGSDRGEAQVAS MYCTH_2296565 MERDGNGRAGPDIDAKAAQPNTRFTSPLVIHSASRRRSLFSRPK AHEDNARPGSSGSVSDGNVASPSSTRIPRPAQRRPFTLSDAYRRAEEEEAAQGSPSPA PRLWRSPRESAGKKHTKASSPSVSALPQRGGLREEPSGTSHAEGHEGRPGSSQQSDTS DSTFDDKLRQFARDQRNPEETNRRSSGFLSRSRLGTRIVESGKELLVRKTSRGSFDSF FSPQPGKGTTTSPSLLRRFSGRKRESSDEVLPHEGDDQALADHETRDELLGQSTTPPR ARSAAFLDSQTPNRSFAWQADADFTEADLQISNSPPVILGRRNTKIDEIRALEARVSQ YFSENPIHESQDTQTASPGASDARPAAETRDEGPALPNQPLESHTVAKDALEDQPDDG NAGPRPRSVSQTNLKVDELRLREIETLSRKALATARLDELRERNIRISRSPSPDVARI SSRERTRAFSPLRDRLRKPGNETSGVTIQGEGGQTDKSIFGPPPPVRDRSLDNQVDTG GERSGEDRKGQHSAPEAGEDPGDEVLRRLGAATGSSPTLEVRTVANSNHSAPRSLGLA ERARQRRSIGGAKSDVRPTVGFSGLSRSPSADSKTAKRKSFAHSDVDPTERIEGEMKL FAPQENQSEKGSLRAPSPEAEEEAPDETPKPTQTDPSTQPTPKVTGAFVETPATVKVE KVESPVSAPPAENKDVESQRNGARRLSNSSSGDSKSSLSLGERDGVTARRRKRTLSSR GERAPGRSSLLSTRRRARSLSRSRTPLINSARPPTVRDDLLEIQRANHIEDSTLEDLA DLLSHQGHSPAVPPSAVSDDDKLDRQKELEAYDRISRSLETGLLGIRSAKQGIERLED KVSQAELKDHTRHAGHGDRARGESASCLVCQGSKPPVDASVTYLHLPLPYLWSRRPKF RFTLFGLSLFLLSLWYVLESWICFRYCKPEYCYPGTACDWSSDDPVWGYAIPVKLDEW ATGGQGRRLVRRLQPEVSDWLADMWDAATGTDITTIDTSRYGWEQKRQYRRRLAKKGL RKPFVERPEDKAVFSGWKSVRQANERAQSAQEMGYEVDEDETIGSDERL MYCTH_44322 MGGSAFSSLPDPPYTPRMPPAVYRRALSACNALLREIFVCVASP IEGPGKKDHGDIDILVALEKRTLFPQTRDDSIPRSPHELMAIIQRQLGAKHAIVHPAG TSAHLTIQWPSDMDQHYVQVDVHICPDIDEFCWRLFKHAHGDLWNILGSTIRPFGLTI DEEALWLRIPEIEKFDRKRAKVCLSRDPVEIIHFLGMKVEGFWDEPFESVDALFDYAT TCRLFWVRDTPVGVAEEDADAAGVIGGEDGRRRLKANDRRRMAGRPVYRRWIYEFIPR LCAEGKFLSNHPGKSAEEVRAMVRDEAFERFFVEAEYRERLREWRLKRDGEQMKVLVK ESVPSTMDPQRRACVIGALKKIILEGDPSFGFDPSGLRLADGFYDTSAVRNFIHHNLE EVAKVAWARQQQRAQEAMLLKASRKSGSGQGIPG MYCTH_2115074 MENGAATQSGEGKDPSSFLGEIIGNPVTVKLNSGVVYKGELQSV DGYMNIALEKTEEYVNGTKRRTYGDTFVRGNNVMYISAD MYCTH_110532 MAFFTDTVYGSDRSFMPLFRLLDEFDNYTREVQGTTNERSRPRG RRQAGAFQPKFDARETDSAFELYGELPGIERDKISVEFTEPQTMVIRGRTERAYPSPS AQQPADTAGAESSEEGAGNDNSKAASDRYWLQERTVGDFARVFNFPTRIDQDAVSARL HNGILSVVVPKARRHEARRIAIN MYCTH_2296570 MVRGPPRKPRQSGHAIWIGNLPPQTNLMSLVHHVCKETEGLESL FLISKSNCAFANFKDEQSCVAAQRKLHDSRFMTVRLVSRLRKSTVEGPAGVTAPTGPA ASAPKVAGTQGASPSAGGEGDGGERAESSAPSPKSAAAHSGSPAGPPPVDGGAPPRKD RFFVLKSLTVEDLELSVRTKTWATQSHNEETLNTAFKTSDNVYLVFSANKSGEYFGYA RMASAINEDPAAAIQFAPSAHAVSEVDLPKAIPTEPTEFAPRGRIIDDSARGTIFWEA EHDDDDDDDDDDNDDDNKNKNSNRAGSDGGQEDEGSGASAGGDTGSIRSGRDSSASEP KAWGKPFRVEWLSTTRLPFYRTRGLRNPWNSNREVKIARDGTELEPSVGRRVIGLFNK VQSPVPNVPPAMVPGVAPVGIRMQGPLPQVGYPPLR MYCTH_2313731 MGDDKCHPSTEAESSQPGTASATLPASEPSPGHIVAEEQASAAV PQEPQDGRSAGAQPFFTVDMAHQPQAAPFDMSAMGHALPQSPYRGQPYSHVGARYMGF AQMAPAQYGTPNGMGAMPPQPYYASPHNPMASFYQSPMSAQPPPTIPPRPDLGYYTPP LVMNQTTPAGQHFYYQPGPAYSAPTPQFPGQV MYCTH_2296573 MAAMFSQNPLMNGPNYSISDTPRMNAPEGAREHRFEPYADNGGS TLAIAGADFTVMAGDTRLSSGYSINSRQHPKLFKIGGTTADQKDATIVLSVVGFAADG EALKERLDAICKMYRYRHGKPMSVKACAQRLSTILYQKRFFPYYTHAILGGLDEEGKG AVYSYDPVGSYEREQCRAGGAAASLIMPFLDNQVNFKNQYVPNSGEGHALQERKRRPL TRSEVEVLVKDAFDGAVERHIEVGDGLQIMTITKDGIEEITLPLKKD MYCTH_2296575 MARNLRSQALQRLAALAATSSETSLDKSDLDRLCSATPTRRGSV PSINGHNARAPGPEVGRVPMTTREYEILLALCKTAPSLQTAQSAQKLSRHLVPYLMDV HVQTFAASPFFRKVEPSPTEHLSFHVTAALLSLGINHPDLRQTISDGISAFVNACAHA AESVSHATAADADDDDHYHDEDDDDPSAVEDATRTATIAVALLGFLDAACAQANFWRT GSRVGLVMRVRDLLSQPFLVAVEAAFSTIRNAHSHDRHAKEWKRWARHYDDAGRPLGA MLLQRSFMRLLVAATSLLIAEEDALRKSHILDIVMSGSGLRRPLTARSAEADFRSVEL YATVAIDQMNYLESSADFDKLSPAKQKLAFSVKACALISYLNACTLNDDAADGEILMS WLEDALGDAAGMADDELAAVVLKSMALLCRVSPSYAVNVSRLLPRFIVQSGAKSTMIA VASKSLAFVLQKLSKDAVITTLYTLGNVLGPTNDRLPANGATGADAQDPSHVYGAGHP TGSSISLPTSGEEVSSAAQGNVVQAICEIAAAIKDEKITGLAQSMLAQKIVKLSSPLD GRIITGAAALALSGGPSEFRYLLKRYAAIVHDAVVEGKDGILQAVMNARNYISANIQR DSPLFDVYLEELLDGIISQGDAHAKQNHHAKASEVELAAREIAQLLPPLAIFMSANPL AFEEPSDDDTRSMLRDAWFNIVVHGFTTSTDRGRQSLKHLRIMAVHSPPLVSENRGEQ DESDIDLNPILRRGESSDRESMQKKLLAEQVPARSSEIKGLSYRKTIFLQAACLVESL RADAGDCTKALSYFLEPSMRRGEVSRTMDTIMNAVVDRYLYKVVNMANPTFSAQYAAA QLAKIFCSCCHRIERVQQAAFGCADRLVESIPSALCQRSSLFTLLELLSLMWSSCLEA ETDRYEPRTTFSSARGDVVVELSDDYQLRQLTLENLHKKAKTWLARAVNIAPADVKGL LQTYLSEFDEESGYGHMSLGRSFALEIGSTIPSTDQRLSSLGMLGECPINTASDFIAQ YTARQEYRYSEALPDRSLLSSSMRLDHRPSSFTPSEAEGADAIAALALIEKRILSRTA TSHMEVRDVLRRAAALLCRSAQDECSIVHNLVSIPFAMFTTQSIKLGISLWLGVMNEN PRMEPRILAEIVHQWEVSVQRRQGLFNPSITSPDPFFLRQEFAPSDNAALAKRRQLVH NLLAPHSRLLQFLSSHYNATRLGSPDTHRIFLRLLDVTLEAIKRSTAHPMAREIRFQV VLFGLRVLTASTTMDAVAQYRLKDQILSAGLGWFNSPPRWSFGSNILQLRTEIRLIED VMAGIQETTHIGAQSMGPAKSLAPKEKLLVLLLESEQARLHVWARPVDASRHQISPFH HGGKDSIHEAAVQPLVRTAWAENPSLAVHLASRFPYPRVQREVRWLLLNFPAKAAAEP EAIPILIGGALPDDVSFQLKYLLFWAPVNPITAVTLFLPAYRNHPYLIQYAMRALESH SVDVTFFYVPQIVQTLRYDALGYVERYILETAQFSQMFAHQIIWNMKANAYKDDDSQI PDAMKPTLDKVMGHMIDSFSHVDRDFYEREFAFFDEVTSISGKLKPLIKRTKPEKKQK IEEELRKIKVEVGVYLPSNPDGVVVGIDRKSGKPLQSHAKAPFMATFRIRKNKSGIEE AEEMLEESAKGNGKAGNQDNTVEVWQSAIFKVGDDCRQDVLALQMIAAFRGIFHSVGL DVYVFPYRVTATAPGCGVIDVLPNSISRDMLGREAVNGLYDYFVSKYGNEDSLRFQQA RNNFVKSMAAYSVISFLLQFKDRHNGNIMIDDAGHILHIDFGFCFDIAPGGIKFERAP FKLTSEMVAVMGGSPEHQAFRWFEELCVKAFLASRQHAEKLSQIVLLMMESGLPCFKP ESVRHFKERFVLEKSEREAAEFMRGLIKRSYSSYSTGIYDQFQLLTNGIPY MYCTH_2296578 MSSPTTPRLPRSRGAFLLQDEPPLAPAGHGALASLIKHRAEDDG HAGDGLEDEPPRRDERRMSAILNSANMRSMRLIGNSNPRYCWERYWKTKAELATMPKH IRKYYERVNHLVQQYLYIDRLLDSSLPHDLLNEYNNMPASAFRGVEIPATILEASSAP TSQPVRKVERTPKAIYRSTETTPLVSADDHVSYGADGDVENGRSKPEIPWLEDDVVDS DAPIVTLAIYVNFAANLILLAAKFFIVISVPSLSVLASLVDAMLDFLSTVIVWVTTWL IRKQDHYRYPIGRRRLEPLGVLVFSVIMITSFVQVALEAMTRLASPDHEVIQLGVPSI AIMLSTIVVKGLCWLWCRLVNNSSVQALAADALTDVIFNAGSIAFPIVGFYANLWWLD ALGGLTLALIVIFNWSQTSWEHIRHLSGCSATADQRNIRESLGWQLRCFGLLFPGLTT TSVLYLTMRFAKTIKQIQGLQAYHAGDKLNVEVDIVLDASTPLKDSHDLSESLQYVLE SVPIVDRAFVHVDYATYNLPTHMQQQIN MYCTH_2076088 MHNKGPAPQLHDGSNLRIGIVHARWNNTIIEPLLAGAKAKLKEC GVKDSNIVVQTVPGSWELPIAVQRLYAASQVQSASAGSGGSAGDLLGSSTTDLASLPS ASSSKGPFDAIIAIGVLIKGETMHFEYIAGSVSDGLMRVSLDTGVPVIFGVLTVLNEE QAKVRAGLIVGSHNHGEDWGLAAVEMGVKRKAWAAGTIE MYCTH_2296585 MPILPLTESTARRLGSTLAITSPVLLLKELLDNAIDADATSMDV LVAPNTVDRIEVRDNGHGISPEDFDGLGRPGHTSKLRFLEELDTLGGRTLGFRGVALA SINALADVSITTRVATEHVATVISLAKGGGVATRRHAASPVGTVVRVASLFSNLPVRQ RAALKDAQKSLDRMRDLLRAYALTRPSIRLRFTVLQNPGLSWSYPPAVNGGVKEAALQ LFGMDLASQCTFLTFPGEHSERDDGYSNFQDDTECSTRQEGGAIFEALLPGATADPLK VSKGAFVSVDGRPISTALGTAKKLVYVFRRCFEDHFATTASRNPPRDPFITLNIRCPP GAYDVNIEPSKEDVIFKEEDRIVGQLESFLSRIYPPSAPHGSKQSARADVGPSAKAGA ERSSEGSLRSLPPQVR MYCTH_2296586 MFLPSVLLRPAAAATVPFENCLPESYVEHVPTPLQWVPEWVDAS FEATGPKHTLRVTMWGNVTGSFTNVALPPPDSPHWKDPSKTDGKIVDEPEPDVPHPKL TTLHSKVEVLTYEPWRENTNFCNTSLVNASCPLAPVFVEANSSALPHILPSLPSVTMS NDFYSSYAFTSLTATFIIIYGDSKGTNIGCVSAAVTPDLGDLAWLLRFLPLLVLLFVG AATVFAGIFSPWGAADIFHWSSNYGRDPDLLRLVTPGFGDCLQYIQFVVLTGGLTLNY PGFYQPIVSRGSWAALMFNQSLVSKEPGWISVRDGIYVTDGKYGLQKLAQLVGMSRVD DVWAGMMVWLLVIIASMIVLVQAGFFGHWLYRFVMKIPEEDLRSKNIPFTLGNVIRIV FNYFLLPIVALSTFQLVVASGSPAYAVALAVLTLVLIIGFAAWLLYLIATTKPRAFLF DDLPTVLLYGPLYNTYSDEAAAFTLVPVLLTFVRGIAIGAVQPAGVAQVVLLAICEVI QILTLHAFRPFHSPTSMNAYHTLFSALRLITVLLMVAFVPSLGVTDGPKGWIGYAILV THAGVLVLGFLLNAVQTIVEVLARMLGAGGDDIRGQTRGALSKIFGMRQLSRRMSRRR ENGPSRHSQLSTSAMLDADEVSKNGYIMPGGRLRSESAGSIGMLMHRRQRSSSVLDTL SLDTPARNLDSGASSFTPTTPGETSTFSYLPSPKHALRHHGAFGMQTPDPYYRPPRQR RQTMETSSSPSSNAAGALRNSELSQKRLSQAWSGAAENPAEAGVSISRDATPAPYTVP FAPRADYSTREVDFYYGVRGERLNSDAPNRKLRTGPADPTKPVTSPAGWFWGMFGKKR KDKGKGFEVVRSSRMPPAMRVAGSDFDDDPPPEGIPVAMGVLRNGPIESDEEDEGRDV QRRGNGSARISRVTSPEREPLNSGRRSQDDSLDGLGASDAPPASALSRNGAPETGTND TVEALLAEVESDLRVPEVPRKSSKRNSWTRSQSRESHPKQPEPDQQANLTPSAATPSR LPFERTSSQKTGSSAGLTDDFVQVDLQDSNSGTPAGYGFVNQGSANRVDHQVDLLGSS AEVVDERR MYCTH_2296588 MSIEGRSHARSLGGLLLVLAWASATCASMQPFQPVETAAAIARR QQSCLANFFSCADQGPVFDGTCCQNGQRCALDANNEPACCPTNAVCTGTAPASFVTPD PAGATTAVSYVPNEFFSFPYVATSFANPGHCSAAVMQCSSNYAACTSQLALVGGSGDG GYAVTVVVPGGGGTTVTAAAGISADAAAATSICSSLSSAACGSLQPSMCTRSGTAADG FYFGTGTGNPATRPTAAACAGVVGVFAAGVAGLGIL MYCTH_2296591 MGGEAAKNDFKGKGKATAADHDEASSHGPAEPTASGPSLSRMAQ SAASLLLSGPLGGGPDAEKGDSSRVGEALVRVGESSVRLRPNGPGGASMRTGQVQEHI AQEEASFAAFLDSGSAPKLSEPGGMEEAWQSAVPRAAAAQVTRPMDSVPLSVADQEAR DGADVVALLSRDGDFDSVFEHVDEPPSASDLSALRQALFGDGADSGNSSPIAWDNVLN FIPEYLRTPTISAPGIEPDGQLSAHLGTVAPDEAWQTWISQWSRVLTSYQDEVWGDLS ALVDEARTEIRRMEEVKPGEKPPVPTALLRLRAILGHLREP MYCTH_2296595 MVDAEANVPTWKFTQCFGDKGDVEDITEADIISTVEFDHTGNYL ATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQN ASHYLLSTNDKTIKLWKVFEKSLKVVAENNLSHDLTPANIAGGGGAPRPLPNVQFRSA SDLKLPRLTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRINLWNLNI QDQSFNIVDIKPANMEELTEVITAAEFHPLSCNWFMYASSKGTIKLADMRQSALCDQH AKLFEQEEDPASRSFFSEIISSISDVRFSYDGRYILSRDYLTVKIWDVNMERQPIKTI PIHEHLRPRLCDTYENDSIFDKFEVVFSGDGKNVMTGSYNNNFMIYPSDPEQEVEVVL QADKSAFKAKKVGVPTPINSSASSTANGKKGGSRAGSPAAPGQGQRMRKETDADQIDF NKKILHMSWHPFEDSIAIAATNNLFVFSAL MYCTH_110536 MSDQNHAPTSRSKPPPPGEEEAGAVLKLGEFENVDTLTLSEASL VLDALLTKRRKDRKDRNETDVLNKTLDYLDAFARFKEKENVEAVERLLSARKELTKFE RAQIGSLCCDGADECKTMIPSLADKISDEDLQELLDEMAKRMSH MYCTH_2123119 MDTTSRVCENDSGYFWYILHLDNFILQRLLQYVTFDLISIDPDG HENTLDIALFVPSPFNTDSSAIWSRHRREKKEERVHVARLDSSRGPGEELIPPGLESV FNPMAQIGPGSVFDRSEGRMAKADSLVGFSPLMHSSRQSILSSGAHHGIGTVSPLSPD SSGGCASRYSPPELDTNPIRGGMINSTKHNKQANIGPQIVRGEEAEAVPRATLGATEN ERETGTYVNS MYCTH_2296599 MASKHSTSAASTKRKAASGDKHSDGSNRAKKAKTATGPKHQKFD NEEEAALDSDGSGFSDSVDGGAEQADARRDRVPAKRKENGRNKGHPAERAQTSREAHA RQKQLAQERKAAKPLADEVYRTKQLWEKLRRKSHVPKQERQQLVDELYGIITGRIKDF VLKHDAVRAVQTAIKYSTPTQRKQIAKELQGTYAQLAESRYAKFLIGKLLVQNDDEIR DMIIPEFYGKVRKLINHPEASWILDDIYRGVATKEQKAQMLREWYGPEFALFKAGKDA EVTADLSKILADEPSKRGPVMKYLFDMTNTLVQKKMTGFTMLHDAMLQYFLNLKPESE ELKEFVEIVKEDDNGDLLKNMAFTKSGARLVCLLLAHGTAKDRKQIIKTYKDTFQLMC GDPHGHMILLAAYDVIDDTVLTSKTIFPEILGRNEEKNIENIIFLANDLNARITVCYL FEGQSKSLFPASHAYDLELLGEIHEIRKRTSKKDPEVRRKELVAAMSPPLLAAVATSP ADLVATSFGCQFVADVLLSAIGDKKAALEAVASTATGDPSPTQPEDGDPLYPSPPHIS LTTHGGKMFKTLIAGGRFDKAAGVVKRVDPPLNFADILYPIIKEHILQWATGPSSFTV LGLLEAPDFSSKKELLKILRSNKKTLEEAAAGETADKAETSNGKKSKSKAKGQQQDKG KSGGNQGAKLILEKL MYCTH_2296601 MGREEQIEEREVLNSIFPDEITDISETEYRITIKLDIPDDEGDP PVMLLTVRYPEEYPDKAPLLELAAPQNSTPHQYLNIAEDKDQLLSGLEATIEENLGMA MVFTLVSAVKEAAEKLVEDRKQAAAKAHEEAILAAEREENKKFHGTPVNRETFLKWRE QFLKEMEEARLREEEERAAEMKKAKIKEPVKLTGKQLWERGLATAGQEDGDEDGVPTE DVQKLKVGGS MYCTH_2296602 MAPTKPKKKSQSDRARDRARVKPAAARGANVNPRDLLAQATAHL EAGDPQTAAKIALAAYEHVGEGGRLAGAVLSILGQIHVELGEVDTARAFFAAAVKVDE DGSLPEDVGGGAEKFLWLAQLSEEGGQDSVSWYERGAAVLRAQIQTLTESLESRPLTR DTQEAVIAEKRKRLAETLCAVAEVYMTDLSWEEDAEQRCEALVTEATMLAPESAETWQ TVANVRISQTRTEEAREALKRSLGLWSDLAPEDPAVPPFPTRVSLVRLLIEVGMEKEA IDVTERLIAEDDRSVEVLYLGGYARYISGENLKTQGQPSDAETWKAFWRSSRKWLAQC LKVFKQEEYEDERLGEHAQELLESIKGELGEAAENGEDEDEWEDTDDEEWEGIDDDED TEMQ MYCTH_45081 MVLASYLRLAVLGTVLLSSQAQAAFDFTPCVENCISSSGCEPDS ARCVCKEARGLLLDSVISCLFFNCKSDLVNFEDAFLDPVEEGCEDRHRDIPESKLEAA ESLASSYIDKLPSPTTMKTTMAEASRTTTKPSTTESPEASSSSSTSTTTTATSEEDAR PSTSATHRGAEQPTSVTSAPTDGVAAPSSTVEAPAAQSSTTSSSESSQSGSSGSNPFD SNPFATMKSAVSAVKPLVTLLGLPLAISVLALA MYCTH_99556 MAIDVDKDGRVGCAYYIAMDQTLVLEEDVSMGGVATVDTLLLQV QPTSIIIPNRAPGDLIEFLERDAHRFDNNENWFGGQGAYVLRYISSAQFDYEAGKEAL AKADPRPAKPDPIAILAVGEEPVQSLGSAAHNKLMRVGEKISFESYLSVGCAGAVLTD LERRRRAEGLSSDEEEDMAFLFCVKDIRMNTATDTLLVSGDSLVSLQILQSELHPNVQ ARFSNSSEPKAKEALSVTGLLQALASSAQGKRRLRQIILRPTTDIRLIQERHRSIEVL LRPENQEIAKNMRMLLRKVKNAKTLLLHVKKGFAMVSTQLKRAVHALKGVSEVDVFDK IRNEVDVNRFLYLGDIIMRTIDFRLSKESGHAEIHAGASEYLDGLRREFANVCHILPE LKDSVVRETPREAARFIRHCTVMPQIGFLVATELDPETGEGAYHGQNDPDSEWVMCFV SEDMGYYKNRLMLDLDSQYGDLLSRIADEEIEVMMALATEVMEHEGAILHASDLFAEL DSMLALALAAEKYNWTAPTMTTSNVIDITGGRHPLQELLVPSYIPNDTTVAGGCGTGG IAINGGRMELAPSMLILTGPNNSGKSVYMRQVALIVYLAHTGSYVPATCATIGVTDRI LTRIATRETVVDDESAFLVDLKQAAFSMNFATRRSLLLIDEFGKGTTAESGSALLAAY LTYFLDLGTESPKVLAGTHFHEVFDNEFLRSGKNIAFAHMDARLDPEAEDLEEQITFL YRLVPGRGPSSLGVMCAAVSDVPSNIIRRAEALVALQNENESLLEVCSGLSEEDRLEL KQAELIARNFLELQFQGLGHGEDGGASIRELLQAILAPKEEGTSNMMATNCDRF MYCTH_63536 MTLLSRSITVLGGLLLAHACYSAREHTALQSLRVATTATVTSSA ASLPVDIKLETIISTFIILLGLVLGTRPLRPIEWRVWAGKIEREGEEGFTDNAGAVEK DYMGNPFSVLESRPNFVDIRKQRREFTDWIQKGGAQQEQ MYCTH_2115094 MDRHTPPSPAPTKAEPLPTGFHELIQHNASASYPCGMIHAESIQ AHSQPASRYGTPDDSPYGPLPEPYGTPATSPPTPSRGSDVASGRSNVRGEASAKILGP RSTRIEKSTPKRKKERARPLKNMPVLDKPMSELTKGSSIPIADIEAYVNRPAEVRRQE VETGKNPGRVKRPMNAFMLYRKAYQQRAKEWASQHNHQVVSRVCGLSWPLEPEHVRQQ FKFWADTERDNHQKAHPNYKFTPAKPHKPPPKFDAEYGEQSDGSDLEDYDWAGVADQG IRSATHTPGADSDYIPTRSVYAAAHPHPNQFAGTHPLSMLRHTRSTGLGFDPGKPLPG MYDGLQAQYYETHMRNQHHQQRHQQPGAGDEVLMHRTPSPSLAFQQPTAAGLHPYYDL DQYQQKIESQVAQAQAQAQSQSQPHQHQQQHHAHHQFEHRIDPSLLSQEGSIFDAGSF NGLPSMFGGSLGAATQQTWQATQLASATEADGQFSNAFMGLAEPLSLEQQTQFLGGEW QVEPLPDAAHLDTSWVDQKD MYCTH_2296610 MPTGRKRSSQELSTDDAQDPAQPSMLHRLRNMWQFANLYQFIVL FGQALKLDDNLDIEDLEAECLKPGSMALQEIGLGLLKFLSSHRGLTHELFDEYTRRQF LSKAPEKNPFGTAEEPAKFAQFDVFTKIRVLQQMTQLIMMNPERLRERTEEQKDTEQT SWRIEPYGWDRHDRTYFVLDDNRLYRLTDAPPPPPKPKKNTKKFRAAQRSSKRRRVAT GAASDADAHDDACESNDAAEPEDDGLGGMKWECIAVTLGEVREFLSTIQKSKDANEKI LYNQIQNHLVPILEKQEESRKRKQLQREKELLNLQKLAFAKRSSRIASRMEQQRMEER AREEERKRLEEEAARRKQEEQRLKMERERERRMMSRERRLREREARRRLHEEELAQLS EGSRGGSNASARMSERRRLAEIERNKKALQELEEEEEDWIFDCVCGVYGKVDDGTHSV ACEKCNIWQHSKCLGISEEEAEKEDFHFICSSCRRRQEAADDSRPRMIKIKVNNHQQA SNTLTGQQPVVEGTAAPQQQPQGQSVAELDARQQPNAADSSEPLPTNQGRSVQETPAV QPAQSSASNPQQQETSGDATNNCVPGRETIQGPPTSQRNSPFSSPHPSLLPSGGQSTQ RSLDESTRGTLTLLPSGDDGKAGLVAKSAEVTAPPSTLPGSGSGASPSKRRDSPPSPP TKTSSTVSAAPSGLAPPLSAENIGSVASSSSMTLPPHLTPAPSHDVRSDHAASSSSPL PPSSGGLSPTKHSPPAPRRPQQHVNGGASSAASPMVGGSHPPSTVFPPVASLSPSPRQ QILTPPVKPAEPVRVPPPQVTKGVQPPKLAPVSSPQSDAQSS MYCTH_43419 AEQPAVVAEYFDKLLDVLGDKGVDPEDIWNIDETGFRIRIGKDQ LIVTKRKRAYYFGITENRELATAIEAISAGRRVIPAFIILAGQVHISQWYEQPELDPE MAIITSASGYSNDEISLEWLRHFEEHSRRGMVRKYRLLIFDGHGSHFTWEFVKYCEDH DIIPFGLPPHLTHLIQPLDVIVFQPLKHYHAKELDILIRDGLINITKIEFLIVI MYCTH_2296615 MNENTPQSSRRAPAPAPNQPQSIPLQELQRPGQADGLRGASVPA GAGSGGTAEEGWQQHSGLGRHNGAGEPSSPIIMSANLPGLSSYWERHDPHGDDPASAT GSGGISPIDRMGLQFALPPDIHPSTSSRMGEYMTSADDPYRTPQAYFEERADTDSIES DTVPLKPAAQPISRLGPGDGEPSPRTSFQTVSDLGNTPSRSRSAQMLGFNLETGLASD RHRSYGDHLSPVDRRRSRSPSTGGALSRASSIMRAMSQRVVMISGEGDLMDQASRGDR SRSPSPNGRHPAAPPEPMLVDTSYPSQTFSTPAEKRSASDYFQPEPPVPVLRRRGPLP NPLRGNSLGIFPPDNPLRKRLCDLLVNPWTEPFILVLIIAQTILLAVEAAPDVFVHGN GRPERWGSTRIDWAILGLFVIFTLEIIARIIVSGLVINADDYAPVGSKRRFREKVAEQ YRAIFQPQRQKSVRQPPKEQQFVPTFARSFTMMHGMETQISLEEQQRLHLARRAFLRH GFNRLDFVAVVSFWISFVLGITGLENNHHVYVFRMLSSLRIIRLLALTKGNLIILRSL KKSAPLLVRVSFLMGFFWLLFAIIGVQSFKSSLSRQCTWINPEDPTNFDASFTPDLSF CGGHVNLTTNKTDPWVYSSSPTRNLSNEFLIPGASSAKGFICPRGSICLKQDNPFNGT VHFDDIGHSLELVFVIMSANTFSDLMYYTVSSDFLPAALFFGAGIIIMMLWMTNLLIA VITSSFQVIREESKASAFTAEEEPPIFQTSTDHGLRRQSALQRITETTSWVWVLVIVY GLFCQCLRSASMSRSREHFIDVSEIVVTSLLDVEIALRLVADLRRFHHKYRNLVDLGL AVITTIILIPPIRNSGQTYNWLTIFQILRAYRVVLAIPMTRTLIELVLGNVTGIGNLM MFVFLITFLMAIFASQLFRGQLPAQGDDGEYLRIPFNTIYNSFLGMYQILSSENWTEI LYSVTSHTVHMHTAWIGAIFLIGWFILSYFILINMFIAVIQENFDVGEDMKRLEQVKA FLQRRELGTSSNLALSKVFGFGRSRYRVDPLDHTTGTGVVDMLFKEGFVREFLDEHLE HLQEPSKDKSSSQRLGAIGKANLGFLAAAREKVLSIFRSREPNPFYSDVRFGGPIATL DPAELARQAVNSTAARRKAQREYLMRHPNYNTSLFIFKPRNPLRRLCQKLVGPGHGTE RFDGVQPNKYAWYTFSAVIYAAIVAMVILACITTPLYQKEYFDKHTFSILNWFVWTDT GFALVFTVEAAIKIIADGFFWTPNAYFRSTWGIIDAIVLVTLWINVITLFTNAGAISR AVGAFKALRALRLLNVSDSARDTFHSLIIVGGWKILSAAFVSISLLIPFAIYGLNLFN GKLVACNDGDGIVHLSDCVGEYNSTPFNNDWPVLAPRVASNPFFDFDDFGSSLFILFQ IVSQEGWTDVSFAAQAITGKGLQPQGQPPYKAQGNALFFVVFNLLATVFVLTLFISVF MRGYTEQTGVAFLTAEQRSWLELRKMLRQISPSKSAYDDKKNKWKMWCHKRAIEKRGK WYTAITSVLVCHLLLLLTEYDAEPLWWTLAKDIFFLVFTLIYIVNVIVRIVGLGWTRF RRSSWDLFSLVAVTGAFATSILFLTDRNEVTYVQLHKFFLVALVLMLIPRNDALDQLF KTAAASLTTIGSLLATWLVFFLVFAIALTQTFSLTRFGSGEDANVNLRTVPHALILLF RFSCGEGWNQVMEDFAQIKPPFCVEGETFFDSDCGSTAWARVLFVAWNIISMYIFVSL FVSLIYESFSYVYQRTSGLAAVDRDEIRRFKEAWRSVDPSGTGFISKDAFPRLLGELS GVFEMRIYDNEDSVRAILEDVRNENDAASIRHASLVGKNQYHTGIDLKKLNERLSRID VAKVRARRRRFNIFFEEVMVSADPERGISFTTVLMLLAHYNIINDSKSLRYVCYFFLC RAWQGLTRRHTRLEEFLRRKLRLQRVEEEVRRRIVLGFFDMIYYSRQFKRHLETKRAG RMTAIPQLGIPEILVDNDDHQSEDDEGRNKDGQQQQQQQQQQQQQQQQQPAVTRSRAK SSAAATTLGGQGSGSLLSPTFTRTRSQHRSWAGLGADLSSYDTSYGHPLAGPRSSRPA GSSSSGQRSEANHFSFELSEPGLVAGDDADEATILGNRGSSVDPAAVREMLDDSEWVK SLRRSATRKSGGRKSGWGGVGGGGFK MYCTH_2313737 MQTTGFQSIRARLLPRSLRGSLRRSMSSSTTSSRASSTDVASFT SLASSPVSVINSIVFRQKSVLESYDEAERPPHILEPRPIAPFGSVEQRLFM MYCTH_2296617 MLDYIPFYRPLAAPNNRLAKYVLLPGRPSHIDKEPILTGLRPGL SFESRLPRDREDPCSFRTRLIDPLTGSDVDLTLGSTETLLSSDDFAFDDTQCVSVGRW TGKFMANPEIPTLWGPGRTQPNEAGIMKMDVAPHPSSAPADDAAPKDYEKLEPIEPAA TDDTCRLAIAPFGGHQDRAVSQALSNSGNGEAGGSMEAMNMPFWDLPRRPKRHPARPA DAIPDDMSVISDASLIPTYCPTDVARQQRPPPRALLVKRLIRAKARAARQAMRQLRRS CRDAVEHVFVEPAAHATTPSSSSSSSSSTTTKCFAVGLTQ MYCTH_2296618 MPVTRTRNPRLVTNENDENSNAPVRVTRAKAAATMNVDELAMPT KVLQTKKTTTNANPGKRRAALGDVSNVTKVEAGDTKKPVGRTGLVSKAAQPTGVKKTT TRSTVTLKDANKKPEVKRSGPGSIVAQKRKTLSTVAANTVSKEATPEEDEPIRKKVHT LEDDKKTKTDVKQEEPVLKEAAPSPAPVTDEPQPRPPTPEAARILDSEDLDDPLMVAE YANDIFEYLRDLECQSIPNPQYMAHQDDLEWKTRGILIDWLVEVHLRFHLLPETLFLA VNVVDRFLSEKVVQLDRLQLVGITAMFIASKYEEVLSPHIANFRHIADDGFTEAEILS AERFVLATLNYDLSYPNPMNFLRRISKADNYDIQSRTIGKYLMEISLLDHRLMAYRPS HIAAAAMYLSRLILDRGEWDETLEYYSGYTEEEIQPVVTLMVDYMARPVIHEAFFKKY ASKKFLKGTFTLCHLLPYIRRV MYCTH_2296621 MLKPRLFPLTSGVASLSILCQRTLQLNAIRRYSAVVPSDAKDAP SEDTTAAFGPPSAQLEAVKMRTYKPRTPGLRHLKRPINDHLWKGRPFLPLTFPKKGHG KGGRNNSGKITVRHRGGGHKRRIRIVDFKRWTPGPHTVERIEYDPGRSAHIALLTEQA TGKKSYIVAAEGMRAGDVVQSYRSGIPQDLLDSMGGVIDPGILAAKTAWRGNCLPLHM IPVGTAVYCVGSRKDGGAVFCRSAGTYATIISKEEETRDDGTKVMTGKHVVVRLQSGE IRKVSKDACATIGVASNVMHQYRQLGKAGRSRWLNIRPTVRGVAMNAFEHPHGGGRGK SKGNRHPVSPWGQPAKGGFKTRKKSNVNKWVVTPRARNMGKRRNKNTA MYCTH_2141906 MPDDSIRPLIHSRSVSPAPTFGAHNNMNNLDVAGGSLRRKERTS WVVTISYVFDWVVLAIFAAVGYVLGKITPNKRPFSLDDRNIAFPYTVEETVPVWLLVI IAIIAPICLIAVICLIFVPGATVPVGTPKSLIWKRKLWELHTGLLGLALSIVAAWFIT NGMKNLFGKPRPDLLSRCQPDLDNLPNYVIGGTKGLPMATAAGQLVSPSICKNPDKHM LDDGFRSYPSGHSSSSAAGLIYFSLFIASKFAITIPFLAPAGFADASAFTAFPSRTRL PNIRVSGPNSYELPDRNRGAPSASTLDTALGNKGISRHNQTISAVRRQAAAPPIYLLC IAVVPFFASVFIAGSRWWDFRHHGFDIIFGYLIGTVTAIFSFRYYHLPISSGAGWAWG PRSRDKAFWAGVGSFSYATDHLRGTYRSGDEEEALPSADPYGRGSALNGTTDHAPSSR KAAGPEGRDVEYAGDSRI MYCTH_2296626 MDDYATESDSDYTSYWRDWFISSRGNEYFCEIDEEYLTDRFNLT GLNTEVQYYQYALDLVTDVFDLDCDDEMRETIEKSARHLYGLVHARYIVTTRGLAKML EKYKKGDFGKCPRVMCNSHPLLPMGLSDVPNLKPVKLYCARCEDTYNPKSSRHASIDG AYFGTSFHNILFQVYPGLIPTKSAERYIPRVYGFKVHAAAALMRWQNGVRDEMRRRLR KMEVESGFNDGEDDLDDDEEEDDPEEEEEEERMALTRPNPAGAGSSGAFGGEAQMGSA A MYCTH_2296628 MYWPLGTPRIYATSNSRQTGSLHTVSHDGLPSVPNASGQRADKG WLSSSPDSAHQDASTSAAPPPTTTPLTPVTPITPLTPGIKPVEPDYLDEDSPAHSTGS AASAIPLHEPILALRVARAGHIFAVITATSITIWQTKPTVLLAVVVRSQASLETYGTN TDLLLRPDSAILVLHTSLGYLITYTLATDPEARIYRPHFAHHTNVQRRRQNHVGDPGH AAPDQILWGPGEGSGVRDVSVRFRMVIKVDAGIESALALDDELVVATQKPAAVQCIRW APDSSGSQTSTELLSRMSWLEKKVTVRDMTYDRPMNLSTWITSDGRAYAVQRLTPGQQ DGSSNGPPDPKKLFKGYCFHLPQRQEDYAVRCVINARFSLIAVGCADGSIRVYSARDY SGNITASHIHKIHVSAAASGKLTTLNYSPDGYCLFAGFEKGWATWSVFGKLSSSTFQA DHAISSANGEEWLSGVLDAAWIGNSCDLLLASTAHAAVWLLEMARSAVTGCYNPANLF RTVLQSTSSVMIYRGYDMPDLTSISAEPSLWHTSRVPAVYLWNQWPIRCTAISADGRY VAVAGRRGLAHYSVNSGRWKTFANEALENEFQVRGGMCWYQNILVAAVEANRSFELRL YSRESALDGTVAYTQKMATPVVLITATGEDSLLVYTYDNLLYHYVFAPVSGSIKLVEM GHIAFHGIVRSPARVRGLSWILPDHQLLEGDPTQDVAHASVLFLVDGKLVLLRPSISE GTLKYDMRVIAHNVEYYLSMRDRPHSPEPAPAPQQLTQAANYTGGRVLEDSLWLFDGT ELKAWTDMESVMKAISGEESSRELPPMVSVPIDFYPLSVLLSKAIVLGVEPDLIQRRD IGFSFFRFSIRTHLFFPDILRSYLAANRATEALQLAQQYEHLEYFAHGLEILLHHVLD EEVDANPTPAPEHAILPRVLSLLSSFKQYLDIVVQCTRKTEVRSWRTLFAYLPPPQEL FEESLQRGSLKTAGGYLLILHTFDELSTASEQSVRLLSRAMHEEDWDLCKELARFLAA LDETGDTLREAMEMVKARMSQGPEMDEGPVRGGFLEIPSAAIYPSLGGGSAGSGLGRT AGSDSEAEGRSASDAGSFVSSP MYCTH_77195 MSQPGRPRIGRISKELFSSYFKDIPSQPYHEPAPGRGSSSSHHR LRLIAWNPLSTWVATVTSEKTIRVWNPEKREHRYSTELRGHVAGIEKIAFNPAKEAEL CSVSNDGAVKIWDVRTKACVSEIKGPSGAHSLAWAPDGSSLLVGNKRGELFQISPTKS AAVSSHQLGVDTNQMAFCWSGKKVFIPTVDGTIRILSYPELEPVLHTSYSAGDGGPAE FMLKGHTASCLTTELSPAGKYLATGGADSIIALFDTKDWICQRTISRMVGPVKCLSFT FDGTYLVGGSEEGSGIDVIHTETGELIHTFKTAGPCEAVAWSPTRYCLAYGDLGVLRI IDADKKPSQKP MYCTH_2296636 MSTSSETPPDTIMVSEPRTDKLADSDHITIETPAGGNSSVPKPK RLACMICRKRKLKCDGIKPSCSTCSRLGHNCAYDEVRRKSGPKRGYVKALEERLKQVE TLLKTQEISSGTDSSKGMPISLDGTAKPPLNQHAAATANFNVTDPPIGIASGRDMDRW HFNAADSPNNPPLEDFNFNGGMGMGMGGVDNNFTWEMIGLGLEEPLPPQETIDELHQI YFEKIHPSLPMIHKYRYLAAMNLAPAQRPPVCLRYAMWTLACSVSDKFQTLKDLFYAR SRKYLESDYIKGYGEHMISVAHAQTHVLLASYEFKWMYFPRAWMSTGSGVRLCQMIGL HRLDGSGLEVKQCLPPPRDWTEREERRRTFWMAFCLDRFASIGTGWPTMIDEKDILTN LPASDEAFELSRPEQTPGLQDAMGPAGAGKLSPFAGVALMACLFGRNLVHLHRPDADD RDHDLNGEFWKRHRQMDNILLNTSLCLPSSLKLPNGLGNANVVFTNMCIHTSTICLHQ AAIFKADKNRLPASVSSESKVRCLTAAKEIASIMRMISHLDLSAMNPFISFCLYVSAR VFVQYLKSRPDDSQAADSLRFLLSAMNALKRRNPLTESFLVQLDVDLEALGARIPKLK SAFPRSCDSPGSPARLHPTLQKQARAHAMGAERQGYMSFSNQSNYMKVSGDDGNPVTA PEILDIDPNPVAANFSPTEQHTALPSRERSHTGPYLPARGLVPPAFSAYGAPRDMDSG SNNDLSGPSPDGQSSGRTPNSSTTGTSDPQRQNLAAPAANGHVNGGSGQNSFDTSPAM SHQNLGSMPGTTQNDVERGVSAFFGTDPSSYGMPASVSTGLTTPDQRFGMSGAGDTPG AGATPSGAVPGGGSGSGPAEFTGHTPAWADMTGQHGMTPATDGMLRSIMAIGPMDGIE MGWETNG MYCTH_2296643 MLASVFLMQPIGQALAQIVGVWVLLGEDAAHGLHDKQCGIDMLH HEECKTIIDGTWRIVIGSGAVPALLAIIFRFFLYDCGLYTLEVKNKPGNAFRDTQRVY GAPPATSGTAASPAAAGHPYETEAVPRQFSRQNLRAFFVEDKNWYYLAGTSITWFFLD VSFYGFSLDNRSTLAGLWATTDRAPIGPDLPCWNSTLDGGTSLVPSWVTAGLPTWQSD PTRPCNTIYETIIDQTKQYLLAVSLASIAGSACFILFANRIRRREWLTSSFITLTVLF LVTGGVYYAVAHRSGAPATVVLVAICHFMFNFGANTLTFIIPAEIFPTSYRCLCHGIS AAAGKLGSIVAVLVVYGIRAGYDSPTRQGLVFLLFATFMALGAVASWAYLPDVQRPVP DGRGRTRLETRTLEELGEGYRRACLEGQVIGLRNKWEDLWGRVSGRSRTKRMRMGMRM RMRIGRSEGTRSGAARGEGVEVEEEEEDRREDMGTVMPVMVEDNRTVHPVEMDGRGIA MYCTH_2296645 MTGKQLTDYQRAILTKLLQLPKLSNHEIAWVLGIDDRTVRRRRH EFETTGGIKKHKDVSKNAEKLTPQHLEKLIEWHKEHPDALLDDMQMFLRTQCGLEVSL TTISRQIRKAYGGTFLRDGRCARIRARKLREQEGRSIALELQKQPGVDSSDQGDRAAT PDLCRDDQRQLAPLAQALGRQHQEPGCPPGSLAR MYCTH_2132093 MATSWRSGSSSSFTSSALSAQPSHPQHASPADSTFTPTSAAISA LTTESSAPQTPPAPLDLGPPGYHATIKLFERTPNEMIVYLGPWEIVGSNPRRLLWQCS YAGEVLEHFLPSDNPGELFPYTLHAQHRRFGDPRELELYLSFQEPHRIRYTTTSGTLH DEYIEVKYEFTTIESSIQLQSDIRKRDLIDWFDVDVVWSDVHRRTDSYGNVRGLGTIQ RMKLWRDRYTTFHYITFYANHRRRWKEYLVDGFERELRQRDDRHKRVQLGVRGARRGS AASESSSGRGRRLSTSIFSRSSRHANSSVANGNTTSPTAQNALGIRYLGIQFSRNANV QAETDDHARFILRWESAHAADSQFSATFPIDRVELPLPYVNGYHEGARPSVDGVAELP SEPSSREQSGRLRPAGEPGYEPDSDETP MYCTH_77206 MGTVILAVNAGSSSVKISVYTADQGQSPKQIAEAQVGGLTAPPA TLKYELGGETVIDHREVSEEVKGQRDAFSLILDTLVKDHGLPRIKSKDDIGIICHRIV HGGDYTKPQLISESTYHHLEKLNDLAPLHNANSLGIVRLCVKEFPSSRNVACFDSQFH STIPQHIRTYAINQEIARNNRLRKYGFHGISYSFITRATAEFLGKKPDETNIIAMHLG SGASVCAIKGGKSWDTSMGLTPLSGLPGATRSGSVDPSLVFHYASDVGRLSPNSTKEL HISRAEEILNKEAGWKALTGTTNFGVIAAVGPSEDEDGNGADNNNNNNDDDDDEEKKS NDAAKRLAFDLFVDRICGFVGAYYVSLRGQVDALVFAGGIGEKSDRLRAAVVDQAGCL GFVIDRERNDARRLADGTAVVQEVGKEGVTPRVLVCRTDEQFEMARACAQDPAFW MYCTH_2313746 MPAGLHTRDASGRRVSILNDDHSPHFTTGVSPIVTPSYDPRAPR TASTPATPELLRSNSYDSHMAAVEPASPLTPLYDPGFRYNPPAPEYRSVYEDYYVEGT HVHAGMKRRPSAFSDGRSVTYDDDLYHTAPTTVVSCSSSSSSSPSSSSSSSSSSSPPS TSTATSSTTIPGSERPGKRFPCRYRETHGCDKTFTTSGHASRHAKIHTAEKGVNCSFE GCPKRFTRADNMKQHLETHYKDKSRSSISRPSVSGGRRSSSASSSSSSLGKLSSSSSL SSASAAASRNRIMAMTTAAMAAIAATATAQSSPSPPPPSSSSSSLPRMNGLEPALFRG MPVQPPPNGSAWDMRDLDDKLVNRTSTRDALPTRTGLDTLALAALRQGSGR MYCTH_2020422 LGLAHGFVSSGLFICAGGVLYDRSGTRLIYFYKGAAQVMPLFSI LFFILSLGNCGVPLTLNFVGEFMSLYGTFERLPLLGIFASSSIVLSAAYTIYMYNRIS FGGSFSKFFEENITDVTKREFFILFTLIV MYCTH_2296654 MSTLADELLQDFEGSGSEAGGDEHDDGLFGGAGLSDGARANGED TAMEEARDEDADEDEDANMMDGADESPTSAAAGDHDDDDAKAKIEKMQLGGVRDVRTV AGLMKTLMPVLEKIAYYQSQPAQSTENVGNVEDHPEYHLLTQSNSLSTQIDNEIVLVH KFIRDHYSVRFPELETLITNPLEYAKVVAILGNGPMDSESIKTLQSSTNNPLGVTLKQ VLDGPSLMIVTVEATTSKGQAMPEDQLQRVIQACEMVIDLDKAKKTLTEYVQSRMNIF APNLTALIGSLTAAQLLNQAGGLTALSKTPACNLPAWGSKKQASAALATNVGIRHQGF IFQSPVIRSIPSDLKKQAIKMFANKIVMCARTDCFHQFRDGSEGERLKDECLDRLDKL QQKPLSKAARPLPAPDDKPSRKRGGRRARKAKEATAMTELRKAQNRVAFGKEEQEVGY GVGDSTKGLGMLGQRDDGRLRVAQIDNRTRAKLSAKSKGWGGASSLASGSASSLRGLA GGGSAGVGNLSLASSKGLRTSGVGTTLGSTTAGTVSSLAFTSSQGLELVDPKVQAELN RKRKADEDRWFKSGAFTQVGGGSGNDGFKKPELPPSKKLDTGSTKS MYCTH_2296655 MESSRGPPRVKNKAPAPVQISAEQLLREAVDRQETAIQKPTQRF ADLEELKEYQGRKRREFEDYIRRNRLRLANWFQYAQWELEQKEFARARSVFERALDVH PNNTQLWIRYIEAEIKNRNINHARNLLDRAVTRLPRVPKLWYKYLWVMEMLGDIPGTR QVFDRWMKWEPDEDAWNAYIKLEKRYGEYDRARQIFRLFTAVHPQPRTWLKWAKFEEE YGTSDMVREVFQTAIQTIAETLGDDEVDERLFIAFARFEARQKEYERARAIYKFGLDN LPRSRSMNLHAQYTTFEKQFGDREGVEDVILTKRRRLYEEQVKENPKNYDVWFDFARL EESGGDADRVREVYERAIAQVPPTQEKRHWRRYIFLFLFYAIWEEREAKDIERARQIY DTCLGLIPHKKFTFAKVWVAKAHFEIRQGQLAVARKTLGRAIGMCPKDKLFKEYISLE QRLYEFERCRTLYEKHALYNPSNCQTWIKWAELERGLDDLDRTRAIFEVAISQPILDM PEVVWKAYIDFEEEEGEYERTRALYERLLEKANHPKVWISYAQFEINIPEADEGGEEG EDAEERPVSEEAKARARKIFERAHQSMKDRDLKAERVALLSAWQEFENVHGSPEDIER IQKQMPRKTKKKRKLDDDTWEEYVDYIFPADDQQTKNLSNLLAMANAWKQTGGKITGG D MYCTH_2296656 MASYDHYDAPLRERTTRHYYREERRDDPRYYESRETLHVQPSRD LVARAREDSDLSVEEIRRDFPPPGYSSRDLRCARSAEPGYYDEYDDRRSYYGRDRAYQ DDYDRKSRKKTESYYEEERKKARMLSKQEQIIAAVTGAALAIGGKELYDRREASQHGT EVRRNILASAALGAAGALAGYQGTELYNKHKEKEERKAMAHRYGYYSDDDESVKEKKG HKNFLESALAAAGLGGAVKALTGGKDDRSETRSRRGSRSRSRSRSRSRSRSRSSSRSR ARDKDKDSKNDAAGKIQKAAMASLIAGATEAFRISKQPGSWKGEKAKRILTAAAGAAT LDAAQNPDKAGSKLGLAEAVVGGLLGNRVINGSKKNIEMDERTGRSRSRSRAPSKDGG GGGVSGLAALATAGLGAIGAKKIMDSHERSRSRRRSVDSRDSRDDSPDRRRRSRSRSI VDSTRRRLAKVGIGNGPDDDDRDRRDRSRDRSRDRSRDRSRDRSRDRSRDRRRDYDDY DDSPGRSRRYRDDYDDYDRDRRRYDYDNGRSHRGGGRDRSRHRRGSVSSDDLGDSEDD RKKSKKLKGKQIITTALAAVATIHATHSVYQSMEKRHLRQKALAEGKISEEQAAKLKH KAILQDAAAVGIAALGIKGAISDVKEANDLRHEMRQWKLEKEKRHRRRLERQRQLANG GTDQLSRRRADSWDSAAPPKADRYDEGPRYVDGNPYGAILPPSPSDRR MYCTH_2296662 MAHSATSSGASASRSLSPDSANRKTRLTEAEKRANHLASEKKRR EQIRQGFDRLSVIVPGLEGRARSEGIVLRGTIEYIRKLLVERRRMIETLEAKGVAVDV HLKR MYCTH_2296663 MSATTFSYAQAARGRTVSQPSQQETSSPAPSTTGSQGKDDASTG ATSITAPSVASTTPETRDTEQAAQPQVESKLLKQGQEAASVANSNSSAASVTEQSGKP AEETSVKSSDARPQARSQSEDKASRSTSRTSRFNDSADGRKGRKGKKGRTADKDAPSD QNQDEDAEKVKEAPKPVILTEAPLPTVNPWAKRMEAQKAAVQVKLGSDNPTVDSESKQ NASQEESNVRNAAPNGASGDKWAQKKTAEASRPTDQAPRRSGPRGSRAGDKEERTSVA LPPVADPSSWPDPKSAAEREQTARKSQEKLDTAEKDGPEEAAPARKKTWEKLEIEHSV VFQTPLPPLRGPKPRGGAPRGGREAGPMRGNHTAAAAATAPQANLGSVSDKAASPGGF TGPKPTAARSRDGSIPSRAAPQSHPPHASKRASVDVASRDQRKQSVPGSTTEQARDAS FDASSSSKRASATRDIRLENGSLNSEAGQARALPQDRASNFQSRGDNQQYPTREGRPE RGRGGYRARGSHNNSSSHISLASYVANGHYPAPNGFQARQNPNSHSPPPFPGQFPASF GHSSRGRGNKWASSGQAAGRNAAGAAGFPPKAAHVNDYAVGQYPPYIYSPLFDPSIPI LKSQVEYYLSVENLCKDYYLRQHMDGQGFVHLSTIAAFKRIKAVTEDLELLRLACSLS DQIEFGVGDDGIERLRTRDKWQHFVLPVSERAEPHRNDGPANWTPYVRPDAQFAAPFP GQMVPQPYPPAAVGVYPPYSEDQMYPPAFINGAAYDPTVNGSTANGHHAGQETQLSAG VPEYAPPQSPVTLESMTNFPDSQVENLMVILGYDEKDDAGSSTASGMAGYVPGKNQAA NSPETSSVSGEESVAPINQADQSERGIVWVDAQASTSTNEQRDRKPYVEIRKAALEQR QSAKAGETPKEMQKLYRFWSQMLLKDFNAKVYQEFRDLALEDARQGTPSTWGLKCLLE FYDKLLLKTNTRKPWPQDRAVPEIFTAHMNEAVELDNKLGGKGVATI MYCTH_2076157 MASASFRDSINSLGWSRRNTDFPVTTTPQRGFLSSLQSLNPFRD RGYVQLPTTEGAGAPLPAPTRREEEEGWFALSRWDRLLIFSACNLGALACFVICFALF PVISLGRPRKLVVLWTLGSILFLSSFAAIMGPLAYLQHLLSTPRLPFTAAYFGSLGLT LYFSIGLQSTLLTLFAGLAQLAALVWYLVSYFPMGSSGLRLVSSFGARRAAAWMTG MYCTH_2088766 MAQHGFSMNGHGGIDPNDLAMTGNYQAPFQHNFNHNNPSNFSSG SAGFGDDELLEGLNSPIEAPSGVQDFGNMNDMGVSYSHGVYGSHPGLPINQQSLNGYS STPDGDPIQSPFAHNFAYRQMGHAQSFGALKSPLSYAGSPLANAELNDNNDPNFLSAQ AQARNRLANAMQRKPSSTARGSLTPKSAAMTGMTVNSESTFGAQPIRTTGAHHEKSHS GQWIQTPNSLSSFPGSGFSSPLQQGLPGNQINDLILKGGVSMPAKLGASSAAAASTQE ARRKRRRESHNLVERRRRDNINERIQDLSKLVPLHRLEDEKIRKAIQNGTPLSPTLSG IGGSSQATSGLAGPGARRAAGGSAGNITTGLPVEDKDKGPNKGDILNGAVSWTRDLMW MLNLKIQQQEELINALYERGGQLPFEITDDERRMQTELLEAMARIDETTGQAKSLSYS RTAGSGLRVPHHTDYRGEPLNNNNSNNSNNSSGTSNNNNNGAGGSRSGSSSNSNSNSN NNNNGVDHDSISPGENGNGMTHDMLDSGDFVDFEDDGSGDVFREEDEFGMDLTA MYCTH_2296673 MQDLYEAVSQNRIAALQLDTAEGTVTHSVQIPVPFHVSDLPPEG HDSQRGLWLTTANSLMAEEAAETPGFLDKNFALLLMMDEKRVIAELQTDPDETTLAMI EFVRHCKPTLSFYQVCQQSSNILTPNQVRKFAQHFIFWRRAIAIPPLHARDMYIVSPN CDLRKLPQAAAQWARQFPLSPSLPNFLAELSVAPRPYKLHCPSKAHRPVYMAMLAWLM RGGWVTQLCTFAYVVVWPEIIYEVEYALEAEEIARAKRAQAMGREPGSLESDDTTTTT TTAATMSAVPSPGATAPDDQAMAGSASGFLPLLSDLTDPTRSTTASLSHSQSSLIPTA STPVSPAYTRTNAVTSSHSPSPPPPTPPPPPPPHDDNDNDYRHDRDHQHDDNGNNEPT PAEQAAEKARLARLADRTARQLAERATAHARKAVPQATEHPSVNHAPHLEGITPHIIL DAKKATGKESLYLSAIERRFRDKARKNRGVGKSDGAFGDAGLRQGGSDRSHDDRNWER DPALKGKEDKGGRDAAATTAPGARGTNRFGMPPSSAAATTSSSIRDTGDWDERVANAW PVMCKYFNGRSALERIALQEDMKRKDVWNLLTAMSEYLLCVRHW MYCTH_2296676 MTVGRENAARSNGGSDADGLQIRFIPLSYDSANSRESALRLVLS IRPDWGSPDSNVEFVRFTDGITNTLLKAVNKRPGLSKNDVDKEAILLRAYGHGTDLII DRHRETQNHELLMRHGLAPELLARFENGMMYRFIQGAVTHPEDLRKPDIYKAVARRLA EWHAVVPCISAKTGHSRKNSKADGAAASALDGGLGDAEFQQALDNVAPGKPPPNVWTV MQKWIFALPTETEAQRARQAELQQELKKLVSELSQRPGLGVNGLVFAHCDLLSGNVIV LPNSQLSNGDKSPTPVVAFIDYEYATPSPAAFDLANHFAEWGGFDCDYSVLPTRAQRH EFIEEYINTYFTFLEKKSPGAAATFDKEAEIEQLHQEVDHFRGVPGFYWGIWALIQAT ISEIDFDYASYAETRLGEYYAWRAEVTGERRRSGKEMPLREKRWAQEE MYCTH_2313750 MQSTRSTALAGTLRGACRIPSRTAASARRFTVCAVADSTPLPRV PYRPALRSHPCQLTQSRRYGSSASSSSSSSSSSSTSTTEPLRTTPLHSLHLAHKAKMV PFGGFQMPVQYDALGVRESHLFTRAHASLFDVSHMVQRVFSGPGAARFLQRVTPSGLE ALPVHRSTLSCLLRDDGSGGIVDDCVITRLPGDRFYVVTNAACRDKDDAYLAREADKW NRSSPAADGWEVKEERWGLGGLIALQGPDAAGILAGVLEAGGLDLTQVLFGQSFFAKI RLAGGKVSSPVLVSRGGYTGEDGFEISIPAEEAIAVTEALLEAGGPDKVQLAGLGARD SLRLEAGMCLYGHDLDESTTPVEAGLSWIIPKERRTADAGFYGAEVISKQLVSPAKGG SGVARRRIGLIVEGAPAREGAEIVSRAEGSNDRIQLGVVTSGCPSPSLGKNIAMGYVK EGFHKAGTEVDVIVRGRPRKAVVTKMPFVPTKYWKGNA MYCTH_2296679 MTSMITSTVWVPRGFAAPFPTKYQFDEEEFERIANLAKLQLDDA KEDLEEAEEEEAKQGKGSKKEQKEKPSKEDDEASDIEIDDDLKEYDLEHYDDDDEAGD GQPMAMFGNIKSLAYYESNEDDPYITLKDDAEDEEEDREDLQILATDNLLLAAKVEDE LAHLEVYVYEDSADNLYVHHDIMLPAIPLCVEWLDIPVSKPGVEKDAIGNFVAVGTFD PDIEIWDLDTIDCMYPNAILGQGGNAEEDSKKKKKKKKSKRANDEYHVDAVLALAANR KHRNLLASASADKTVKLWDLHTTKCAQSYSYHTDKVCALAWHQVESTVLLSGSYDRTA AIADMRAPGEQPLKVGVESDVENVRWDPHNPNFFYVSTERGIIHYFDARNASRDPCSS KAVWKLQAHDESVSSFDLNPVIPGFMATGSTDKTVKLWNISAEGPSLVVSRDFGVGKV FSTSFAPDKEVAFRLAVAGSKGTVSIWDTSTNAGVRKAFGQRVPTKAEGDGEVEDRLV GVEDDESSSSDDEEEEGEGEDENEDGAALSHDGESMDED MYCTH_2313751 MSIARAFTTRRVKQSIEAAERAAPHRSQSTREPASTLRHKISSP VELIHTTNMLSYNAPDIHPMSASSTGSLQRSDDDMSDGALTSGTTPPTSPEVESPSKK SASPKPNHLSSFFAVAPAQHTGAPTAQPEAPVIPQRAASHSNKQHKTLVRQRSASALS QQSHRTVSTKASFSFSRSSSTSTSTSVSSTPMHHHTKPNLSGSSSPAPASSSSFDPPS PRRQQPQASQHSQRNSSRRDYPSDRDNHPFGNELAQVSELAEEYGVKDQVVSRAEDLE EQDMARKGLLKFSADEYLCEVRGLFARFIVAPRPVAAAWI MYCTH_2296681 MAIIGAETDAVPQVVFRHGKKGKTYRQRAEGSSSATGSGETPDQ AGHGTSNTTNTTTIERDEDEEGLSVAEVLRRRNARKHKHGGVGFRAGPSGPGNDAVAS EENVERGLVAHGNADAEQGPEAAIIGGISKRFAPQTGLVGELVNKHMEEYVESELARR KRHAAEAAAQQQQQQQQMEQRGREELTSNAADGQLGSRLAAPGGQNDSQRVLQGRLLE IDLGDEARARNIEMTERARRRLQGQIDEEEDEESKGRSKNGRLGRDGKPLRSRNRRGS DDIKRDQLVEEFLSENRLDMYDTTQSGQAGNSTALEDEEGAADDRIAEAFRREFMNAM AQRSRRRRMAHSSKPRARKQEEILRGPKLGGSRNARAAMRDLLLKEQASKKKR MYCTH_2296685 MTRSLAPAAQTSRFRWWASRGLASYAANQPPSPEIARSPAVRQH LQQMRGSW MYCTH_2052748 MTPQSPGPNGGGSSSNDDGNLGGGLNTSAAEPASGGGGLARGAV IGIAVGCGVAGLLIVLGLAWYLLHRRQRKKVLQSVDSPYGSGTRGEELMAEKEAAADV DVSPHSPYSDDGVSRAGPGGTYPGEAAVAADAAPTAPHHSHLQDPPRSFTPYSDRPST AAAAAGPAAGTPSIRAASLAQTDDARVSAPSPVPGRATPRALTTPYAHLVEEGMTEEE IRRLEEEERQLDAAIEQAARR MYCTH_2296687 MEQPQPAPGSLTWRLSSHPITLLTFLGFRVSSLLVYLFGLLFTD NLVMIFIITILLLAADFYYLKNIAGRRLVGLRWWNEVDPSTGDSHWVFESSEPGTKVI NPTDSRFFWLAIYIQPVFWILLAIVAFLTFKFIWLPLVAIALVLTITNTLAFSRCDKF SQASNLAGSAFSGGNLAGSIASNMVGRFFSR MYCTH_2296691 MASSTMPVLRRALLYVPASSPKFLAKSLTLTSDNVTYDLEDSVT PSMKAKARTALQTHLRSLSSKQATSIGEIAVRINAVSTPHAIADIRAVASLPNLDAIV VPKVSGAADLALVEDVIRQTAPQRAGTTTTTTDNPDPDHNSDSSNNSNNSNTKNRPIA VLALIESAKAVVDLREICTATPNLRGLIFAAEDFALDLSLRRTPHMHEMAYARSAIVT AARAFGLESAIDAVCTSLRGPGAQEQLAFECENGRGFGFTGKQCIHPDQVPYVQRMFS PDAEQVEWAARVVIADEKASAAGKGAFSLGGKMIDAPVVGKARATLAEAKQCGIDVDA LRDKFKDESPE MYCTH_2296694 MSKLFIGGLAWHTEEATLRQKFEEFGEVEEAVVVKDRDTGRSRG FGFVRYINEDDAQKAITAMNNVEFDGRQIRVDKASDTGPKGGGRGGGTSNNFNRGGYA PIPVAGGPVPGIAYGTQQPYAMPTPNMYHQPYGRGYAPAGPAAYAVPSQVL MYCTH_2115123 MATNGTTAEADEFWLFGYGSLIWKPPPHFDRRIPGWVTGYVRRF WQASQDHRGTPEAPGRVVTLISRSYWEQLVDHHDSAPDKVWGVAYRIKADRVAEVKEY LDIREINGYTIHYTPFYPAPGVDPALLPQNHHHDFPFRTLVYIGTPDNEQFVGPQDPQ KLAEHIYRSSGPSGLNRDYLWGLEAALGELSPESGDEHVTDLSNRVRAIAAREEKKDR GEREQQHHEQPHNFRNAGDIDEQEETEKTS MYCTH_2296698 MAYNRPYNPDELPRFAEPEQRGSTKSPVSAPPPSSRYETKPPPP RPIESRGSGDPYGSHHQERLSPRQQAPPDRYGMSPPPTSGGGRPVHHNLPPANSKPPS SPTPRDSSADPTLLPLFRAVDKDGTGQLSERELSAALVNGDWTAFDPQTVRMMIRMFD SDRSGTIGFEEFCGLWSFLASWRSLFDRFDTDRSGNISLDEFSRALVAFRYRLSDRFV ETLFRTYDKRNEGVMSFDLFVQACISLKRMTDVFKRYDDDRDGYITLSFEDFLTEILR QLR MYCTH_2296700 MPPRSSLTSSFSITDANNEVVCPLRNQDGSSCRKRCIGEKRYRS MQEHIRRAHPEHYIAKLPATEESFLLMISTSPSDRSQPPPTSAPAHHQTKPPSHSFRR DESNGSNTPRRYDDYSGGAMLPAAAALAQLHNHKSDSGWDSDVEWHSDTEGVRRPRSS VELPPIHLATADIITSAPLPGLGSGRQRDLLPSIMAHSPPGRSSTLPPLHRPLGLTRP RKQSISKRAHHRRRSKGGPDWLRRIQNDGNSDLLKPGGLDRKALSAEPATEYGKRWED LIDAAASATEDIDEDRTPMPRSPVSIQRSSLPPFTQHAVSNYQASPLQRALTPPTYIP EAPEPFPSVESGESGDTFHIDSRGLTSDSSPSYSSQNTQIYCAACQGISLLRESYACT ECICGLCQACVDVLMGEQGARRKCPRCATIGGRFKPFQLDIR MYCTH_2313755 MGTRHLICVFWKGKWVLAQYGQFDGYPEGQGVKIFNFLSYARNI DNLKAGLDHYIYEPTKEELDAIWAECDAWDENRLAQGLPYQHNMFGINQLYPSLARET SAGILGIIARASQTGSEDGTDGSAGMRAKKVPVSLDLEFANETLFCEWAYVIDLDKEI LEIYGGGEHKHDGHRFKDVGSEDAPVPAFICSFSFSELYLMRSAEEFLDKVREAIDEQ SGADEPSDIIDGEGNH MYCTH_2296708 MTGYFFTSWELWEEMTFVLAMGIVSVFCAGLVKLWWNNRLMKKE ERLDAEKRARVEEMRKSGIPIKRANAVPFGVRAIQSGVEVEGIWISRPASLNELGEKL TSSTTLAGGRDSDSQKKGQISSEDEKPVRVTTTANLGSKQSQSTASVLQKLTDAESMD SSSSAAPPRLAVRVHQTNDALFPLPGNAKRRCPAPTRGPIPLTNLDLRDLYTKHYYQN LLSTPAQPPTPPQRAELGDILLGGLGGFASPLSQKHQRPELRLVAQRPGVRGSDGSVP TRPRAAAMV MYCTH_2313757 MFNPDPKKPIGGNIIAHASTTRISLKKGRGETRIAKIYDSPCLP EGDCLFAINEDGIGDPNPKDLEKE MYCTH_2296711 MASRDFETLYKETRLNLEPASQSLTIQVRVAPQNAYGRSSSNRG GASADDEKGYRSRSLATASSIYYRNHGHSPRSFLWRVLENGTVLSLRVADVRKQEKEA DAPLILNLRFPVAIRTPCIGFGDYPDNDLLCVYVIDHSKQLWSLTLRPDHFRKRIATD GGLGDACKSYSPPGFGFKHPHRLAVVNPDQLIVTMHDGGILRLDRNRSSDTNGGTWRE TIYNVAGWGQSLRGLVPFQRNPTVRYDKINMELTAAASTAVTAMGHSGTAFLFTICLD HRMRVWDVGTGQILYTGDILNAMRDPQDVGKWTVDPSQGNLIRIMENGRGQCLVVTYS PVGAGEFKFWKVKANDQGSLHVADCFPDAHLIPPSPSSLDVWILADFAVTQQPEGPEL WTMWKNNTSYRVYRLQVRPEDGTSPFDDGWKAVHVENTVPAVRASGPWNPTDSAEKWL DLIFFPGRFSKSTLETALAMYEKGLGTYKETGSKTSRSLAESICSVLGSTTTLDRSST GVADYDQFRNTSETQWMRFWRLLLELDKQRGEALSLVFDPVDGMIWVACADLVAAVRQ CSDLDRVYHNLHSLEKKNEDVAALISAGLTFVDSFSDSMYQLSRSALRAELYDNPTLS DSERMQLFLDRAGFWPSVTDEDCAQVMDTLGQNYQMVTARLYEDLFDLITATSEANSQ ELREPFTGFGRKLVVRAVQETIELHWQILFSQLILLVHMEFDIESEDASPLHSRFEVG LVYRRLIEALRRLEHLRWMAKTELSFSAPKSGASGSSSPVLTKRGQDENNTKTALEGL TGHLFGLPESNNVPLLSSITDLVLDLCAPSSTTVIHTWLLQCSLLKHDRPDLALELHP FADQDAFSTYVQGRVFLALRDYDTASLYFKKAAIGLSIPTKHFDRHSAGLLDDTEWNL LHSGLPNYYVHIVSLYDRQKAYSHVIEFARLALQFVRQGGSSTNHETAGLRTEMLSRL FTAATSISHFEEAHSALLSMDDEAMQKSYLRRLVEKMCETGQSSELITLPFSGLQTKV DDILVEKCRATRDVLNGVPYHQILYAWRINHNDYRGGAAILLDRLQKLRRAGEGDKVI ANEHGNEDALDTQVTRQYLLLINALSCVPPQEAYILEDVLPGDGRGGDDADGDRNGGK AGDDLEADIDELEKKLDVEGGADAAKGDEMAAEEDAALIEKMKRFSTRNGQNLPARRL LMLADLRKQYQQELDRIVAIQNNQFGFGAEDDLMDLA MYCTH_2296713 MMSYFFATPVDIDIVLDDADERSMVDVKLDKNRREKAPLYMDGE SVKGAVTVRPKDGKRLEHTGIKVQFIGTIEMFFDRGNHYEFLSLNQELAAPGELQHPQ TFDFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDIWVYNYRMPPELNSS IKMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGAAP NQYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNKKFSTRYYLSLVLI DEDARRYFKQSEIILYRQAPEVSNGQEQAALPNPPVEQGKEVRALPA MYCTH_2313759 MRYIHSEETLEVPENVKVSIKSRLVTVEGPRGKLTKDLSHIAVN FSVVKKNVIGIEIHHGSRKNVAALRTVRTIINNLIIGVTKGFKYKMRYVYAHFPINVN VEKNKETGNWEVEIRNFIGEKIVRRVIMRPGVEVEISKTQKDELILTGNSLEDVSQSA ADIQQICKVRNKDIRKFLDGLYVSEKGNVVEE MYCTH_2296721 MEPQISKLGTKSALWLLFASFARDAVAHPYPRDDLHAAGYGYLM PRGCHQYCGYNNMFCCEEGTECYTYGEYAGCSSTAGGGWARFTTTWTLTQTFTSTYDS WIPATTAPPGSEECVPPEGSGWIACGKICCASWQYCASEDHCVANPGAGPAPEPEPTT VTSGEETLTTGFSAPYRVTSGTRTATATTATNSGAFSTTTGAVSPGGSDGGLSGGAIA GIVVGTIAGVALLLLICACCVARGLWHGLLAIFGLGKKDETSEEERYQRRGSHAHRES HGSWYGGRPSSAAARKEKSKGKGLLGLGAFLGMLALLLGLRREKRKEEVRSRSRTRSG TRIRSDVSSSYSSESYTVTSPSKQTPELSSPTFIISPRQSWLMHPRQAREAATDEPAT QDIAGPQAE MYCTH_2296723 MFLDPPTYLASLQGNIRQRPIPWEGAVRAGTLTEDQLARIRAVD KVKRDVRKQIVEDDLDGYRILFVGDPGTKSVLESASKRQDVVQYILVLLGDLLESVPA LAKAIIRAGDPYRYFLPLLARSSNPDDPIPLLTSTVLVSLMAGSRDESATAIDTALPV IFSYLSSLTKNSDAGLQDIGVQEYSSLLYGAAPRRQFWKQRSETVAPLVEILRAAAGV GNKDASASLWSGTAGSTGSSLGGSLGGGVGLQLLYRVLLVMWQLSFESASIGDDLNDE YDIVLLYTQLLRLSPKEKTTRLLVSTLLNLLIHNQNTLLPTAVLARLPSLLQNLKTRQ FADPDLREDMDRLRELLDEYTKTKTTFDEYVGELNSGHLRWSPPHRNAVFWAENARKI VEYENGALVQRLAEIMKKPWDNDKAVLAIACNDIGYLVREVPEKRGQLERLGLKTRVM ELMGEADENVRWESLRALGGWLQYSFDTK MYCTH_2296724 MVKRVYFLVHGGVVQGVGFRYFTRHRAVELNLTGWVRNTDNNKV EGEAQGEDDAIATFLKHIDNGPRHAHVVKLDKEEREPVEGETEFEIRR MYCTH_2296728 MPSGDGASAGTAQPVSPSTSSANAKSEQPKPTVAMTIEYDEFGL PVKTYTTAKQDETPVTSTAKSDGPRQTTKAGATKGAGQAAKPAEETTGNRRSGDTKNG VEGGSKQGPEDAVPADGTGRANASRAAAAVSESEHAAAETASPAPKDQEASAEAAKVE DQAVAEAKKSEPVTAEERADASKRTSALGTSEFSHQQLTAAKPEKKDDDEGEWKPMPA YAPYDIYDDDNKLVAKEYVEEEDETYGYSGLGGAGKGYTKVLLDDDAESATSMDEHTQ YLFKDGGGTTTSALDDDTQRDAVSQLQATKELLTEGQRVAYVGLTRLELSSMLKDAED LAQASSKSKKQLTLAAESMKMWSQKMMIRLYSHMDISSDEQIMIEQLSSHGVMPKDLT PVLMANARVRNPMAEQDGRTSVSSPSSSRPASVASPAGGGQVADPPPPYAAEDDSELT APVKTPSQMPTTQKIDIDIRWTVLCDLFLVLIADSIYDARSRLLLEKVAKDLDISWLD ICRFEKKVTDALEMQANAETENWNEDEHMENRRKMALKRRYVMMGLATVGGGLVIGLS AGLLAPVIGMGLAAGFTTIGIGGTSGFLAGAGGAAIITSSAAASGGIIGVRAANRRTG AVKTFEYRPLHNNKRVNLIVTVSGWMTGKVDDVRLPFSTVDPVMGDLYSVLWEPEMLT TMGDTINILATEALTQGLQQVLGNTILVALMAALQVPVVLSKLAYLIDNPWAVSLDRA TMAGLILADSLIDRNLGTRPVTLVGYSLGSRVIFSCLQELSKKGAFGLVQNVYLFGSP IVVKKDEYLRARSVVSGRFVNGYNRNDWILGYLFRLTNGGIRRVAGLAAIEDIPGIEN MDVSEFVVGHMDYRMAMPRLMRECGWLVESDEFTEIEDPDPENYRERQRELISEIEEA RKELEREGKGSKGGAFSFFRRKKPPQKQEWEVYEDTKGGKGGKTEDKDGNNHGVLFDV DAIRAEIAKENQNRKDGGVDEELLQVREIKSTLPPIKLDLNSGPSPPPKTAPRQSRRE SKSVELRPRSSSSSNDNTPSSYGRFSSHEPTPSRDRTSTFPASRAEGGSSLYHGYGYG NNPSLYHDYNEDDDGIRMFDTSFDGEQRGQKPASPPSTSTGAWGVPPVPSSSGSGFLD GDDGTPARPEFRSVQTVPNITLADPWADDDEEFGKEKEIQMTFA MYCTH_2296730 MGKRTKQYKKLMRSFELLGFRQPYQLLITSDVLLDTTKLDLINL FEKTLSTKAVKPMITQCCIRALYAKNMGPNRDPTVVAAIDRAKTFERRRCGHLMDKDP LTERECMLSVVDPKGKGENKFRYVVVTQDEWLRDRLRSVVPTPLMYVRRSVMILEPMS SASARAMEREERSKFLDGIIRRPNKRKREEDESDDEHSGAEGDGNGEKKGGAGRDDEP KKKKKKRYGPKGPNPLSVKKPKKKTPAVPPPKPKATAPPRAEEGTIEAPTEKKAKRKR RKKSKGGMGSGESGGGSGNAEQAGQSETAPAQPAGT MYCTH_2296734 MRGLAAQLPAPALYARLRPTVSVSQSGITTHVSTKRSTASWHRQ HGRLKGVLPSQIHRVSCASQFSQSGPSAYPSANPSRPLRAATSPPLDENGKLDSSVFS KFPFRFDTGIALFAKRTPRPFPPPFLSPPSGSFSDPLSTHDRSRDRRRAYVDGHLIQG FTNGDDAVFASDYFICANDGVGAWSTRPRGHAGLWARLMLHFWATAVFQDAADHGDSY RPDPVAYLQRAYEQTIEATSPPNDWQGTTTTAGAQLHYRRVTTPSTSNDSTRGPGPDG EEAGDFEPLLYVTNLGDSQIMVIRPTTRELIYKSAEQWHWFDCPRQLGTNSPDTPREC AVVDEVPLREGDVVLAMSDGVIDNLWAHEIVEKVSSSLERWMAGDCPRALSSRVKFDL GEEEEEEVKDDSGMGFVAEELMEAARTIAVDPFAESPFMEHAIEEGLASAGGKLDDIS VVAAICRRNHAPKQG MYCTH_2296735 MGRNRVLSFIAQLSAPHRDSATPTHPANTSSPKSDSLHTKPSLP KQHARTDSADSPASADAKRDLASDGPSASSAATTPPSEGEHAATPTSVDNSPSSSPAR RSRRSSRPLSMVQTYHPPVMAVNEQTLPELQPIFTLLNSHANKLYQEGYFLKLDDQDI RGKPNPDRTWTECFAQLVGTVLSLWDAAELDAAGEDGEVLPKFINLTDASIKMIESLP TKTNDEQPLQNILSISTAGRNRYLLHFNSHHSLIQWTSAIRLAMYEHSTLQEAYTGAL IAGKGKALNNINIIMERARQPIGEWVRVRFGAGVPWKRCYCVIEPPSEKELQKAQKEA KKRSPYDRSHPPVVKGEIKFFDTKKDAEKKKKHQRPIASITDAYSAYAIYPQAKELID SSTLLKVEGNITIHTEPASPTEGFVFIMPEVPPAVSGFEMLLRFLFPTWDTFALYGRP GRLVASSLDSRSLMFAMPKNKRYGYLDLLDVSGLILTDGSSSWSEREWRKRLKELTGQ RMNAMEEASGSQSRSTSRNSKRLSYGNQNSGSRPKVGFADDSGSVRSSRSMSLSRPGV RNDSAPPDPNRERAPSAMAGNSRHSRHISDTQIGDYPPSSALDNPPPGQPTLRTGPDR VRAFASDLASTPERVSSEDDSPTRGPIIAGNLEGIKRLETPEPVNAPPAFAHGAGSRP QQRPSPSPEMRRATNRLSSTTLSQLARASGLVPDTISDELNELHLGSESSGQEPRHAD QRGLAVQPQTSANMVEMNANTSRPREVVTPPTSASDAPAPEAPLEDPSSRPSNFPLGG PSAHSAGNHPPASLHHGPQGGPHPRGRNTPPPGPKQPQQSSPVAGGSPPIHRKPLPVR TTSLPRDHHDVVSPISTHSSPKGYPSPASGTRFRPMDEFTSPIPNTHRGQNGERIAGD GRDDASSTTSPDYASTRPSTDTQESAERPRAGVLKVVGSSGDTSADKEYDIPEINFGP TLNYAAPVLPTDKAPSKKTPPSSGRKSPGFPKVFSHTRQQSDDTIRRSVVWPGPSAAS SSTDNLASLLQPRTPPAQYAHHRAPSTGTLTDYKASHSRHSSADLLTSGRLSGQSHSR HSSLDLLSSGRPASRGAENTLSGGEAPKPPSSRGPLHSRNSSADLLALKRPPSRGAAA VLSAGQVSSHLSAREQEHVARVTGSPLIAFAGSKGPSQTQSGLVGAIDAREREKAQIK QGISGQAVAQAIDQRQREQNQQAQRAAQVAYAQQQAQFAAQQMPIRPQTPGGRGMMMG GGMPAPYGPQSPARPQTPGARGMMMDGGAPMPFSPPMGGMNSRSMSPAPGMMSPPGRF GPAPPQPPSHMMPHAPYGGGAPTGPGWNMGNAPHRQGPHGHHGPMMPSPGMGFAMQQG GRPQSPGFQLPPQGQYARPGTPGGPRPGTPGRMQYHGQAF MYCTH_2296741 MDPYGDSQPTQPTQTVADPKRLGQLTSGFSDEEVSDIICLLLPY SEAARQEVRRMAAEASQHMVGREDVDNLRVDYTREDDLRRFGGLQSDVGEHHIALRFS SQVKDPSQGFTFGRHPGCCDICLRNDPHRRLSKIHFRIFLNQWGVLMLEDMSTNGTVV DDVLLKKKDGVRTETTRTLESGSKIQILMHEPGRDLSFLVRIPIRDGACEEAYKRNLD AYLAKQLPAAYDANETIVPGPGGHVDIFKPPPAKQPTGNGAVVARRAQVAAKPASRSR ADALPRPWNGSDKYKRVCEIGRGAFATVHKVTLRHSGQPFAAKELDKRKFMKNGVLDQ KVENEMRIMRKIKHPNIVEYIEHLDWDDRLLIIIMEFVGGGDLGRLIAENGPLSESAT KTMTRQLLDALGYLHDMNITHRDVKPDNILVDSLDPFVVKLTDFGLSKMVDNEQTFLR TFCGTLLYCAPEVYSEYAEYDNHGRRHPRNRRLRPATGQRYDHAVDVWSLGGVLFYAL TKRPPFPARNGASHSELLHQIMTKPLDISPLIEAEISEEGIDFLRRMLDRRPETRATV SALQEHPWISGSFTTVAQSFDEAADEELHVNASQLSLDDNERGQGGCRELRVPSDDEI SDDDEDVDFDAAQPADYESEKENYTFGPDNRPQRLFGEVGPSAVRSTGAVASNRLNLP ISPASFGSTGTTEILGNETEIKDSFESEDQSTPRQKPQKSQTSPGLGLVHQPSLQSAG QSRSVDELNNMTFDVASQSLGGAESILENLNMKSRAGSLLRSHATGDLNSSKRKPSSD SGEEDNVPVPGERRGLKRLRSAASAKLSANHRAVEDGEYELLAQMPSILRAQSGRQID NPVHKSTYWSAQDRRTWHLNYPEMTQLQLDAFKTAASAREEEFGPGKTPLWDLAMKYF PPTNCERRGAATKESADHAENGVLLPSTAGADATVPATPPPADDPGPLLVMPMQSDPG RPVVACLQSAPGSAIPGVSIMITESMVSWGRANENTRSYPHKYDLRVPKYAFRILLWK QDYDPAKNFRPWNRAPEADEKSFHFYISTKATAGIHVNGVHLPSDDAKNPANPSTYWM ALYDGDRVSVSQTPDRSVVSELIFRCGWGGSAKSRASLGLPRKPELAPEAVASKLDEV CVKTERKVRSLSEHDLKMEEANHDVEERMRRVDRERQRSREFEARRLEACRALGIRRV SPAPGITLGEESATQTPWSSYVPGARTVPTYRHASPATLELLRAAKRG MYCTH_2123181 MHFSVTKEVDWEADDESDGFTPTLGVKKRYRLVLDFSRRSSKHR KEGKGIDVEPSENIDHPISYRHRCYGCNAPRSDQYQQEFPPRRGRKPRPSLCTRCRYY REIRVADRARRSRGRRRTDKLTARIDEREWCAGCGTLRSNKYHSKLLSGELSVWDEIC GQCIINKERRDKRGRLGTCYKGQRVVGCSEEEDDQNGFPGHSNPQDCRSSPYAFTPTS FRDEMKLVLGTASTLDNRAGPENSKVSPSCNNEQSAIKAASRADARRDPVNVGKVSEH QRANIRCYASKSEASKHGQDQGAPARQGGKGGSRREGSQQQNTCRESSEKSTGQPRLR GVRLTAGKSPSWQSPKSYELCVRQREPKDAEKGRLPAASSIVGGTSRSGEKEEKEKAE EEVEGREARDYFKPPHLEDWYNKHRQPMAKSRSEERHGAPNVASFPAGYQELQEGRDY FKLPQQQQQQQQQQKRCATRWRRTAADSKIQEEAGERHSDGHGHRKHPSTSTSSTRSS RSQPQEPFYFNLHSRDGSQPKPAASTDRHLTDRNSQARRKAQPAPPRRQQQQQQQKKQ RQPGSQPRPMGVSDLYWASEYGQAERASTGAGGGFFLFSDARASGISTTTTTAAAAVS PSETGASPPPRSADQKQIWEVDSDEADEIERAHARLVAALGRAAAGARGC MYCTH_2088816 MSADPLQVLASVGKGKSTRGVLRVIILALIAAAAIASRLFSVIR FESIIHEFDPWFNFRATKYLVANGFYNFWDWFDDRTWHPLGRVTGGTLYPGLMVTSGV IYHALRALTIPVDIRNICVLLAPGFSGLTAIAAYLLTNEMTPSPSAGLLAALFMGIAP GYISRSVAGSYDNEAIAIFLLVFTFYLWIKALKQGSMLWGALCALSYGYMVASWGGYA FITCLVPLHSFVLICMGRYSTRLYVAYTTWYALGTLASMQIPFVGFLPVKTSEHMPAL GIFGFLQLLGFLDYVRSAIPSRQFQTFLWLFAGATFGLGLLGLVIATSAGFIAPWSGR FYSLWDTGYAKIHIPIIASVSEHQPTAWPAFFFDLNLLVWLFPVGVYLCFQQLADEHV FIVVYALFGSYFAGVMVRLMLTLTPVVCVAAAIAVSTLLDTYLSTKSLKPQESQATEG PESKKAAKSSGLKSTSKPVVGVYALWGKSMMVGALAVYLLLFVLHCTWVTSNAYSSPS VVLASRLPDGSQHIIDDYREAYQWLRQNTREDAKIMSWWDYGYQIGGMADRPTLVDNN TWNNTHIATVGKAMSSREEVSYPIMRQHEVDYVLVVFGGLLGYSGDDINKFLWMVRIA EGIWPDEVNERSFFTARGEYRVDGEATETMKNSLMYKMSYYNYNNLFPPGQAVDRMRG ARLPEVGPTLSTLEEAFTSENWIIRIYKVKDLDNLGRDHMSAAAFDRGHKKKSKGITK KKGPRQLRID MYCTH_77277 MSSGKVKTGQLWSKNKEELTKQLAELKTELSQLRIQKIVSSGTK LTKIHDIRKSIARVLTVINAKQRAQLRLFYKNKKYLPLDLRAKQTRAIRRRLSPEDAS RVLEKTKKRQTHFPQRKFAVKAA MYCTH_2313766 MSAAPTTKTFGKSTRTVPHPSEKAQKWYPAEDEPKPRKVRKAVR PWTPRSSLQPGTVLILLAGRFRGKRVILLKTLDQGVLLVTGPFKINGVPLRRVNARYV IATSLKVDLTGIDEAKLEEVSRPKYFTAEKAKEKAGEEAFFKQGEKPQKKEVSSTRAA DQKAIDKALIANIKKVDMLASYLATSFSLRKGDKPHAMKW MYCTH_2051705 MATTAGQGWAQLRQQARSLETQTEALLQTYAQFSAQTAIPAKPT EEEKNAEARRETVIAQLGRLLDSEATLTSSALKQNNLALLRDKLSDHRRDLSRLRATL KEARDRANLLGSVREDISAYRAANPEAAEAEYMLGERARLDRTHDVADSVLSQAYEVQ NSFALQRETLASINRRIILAASQVPGINNLIGRISAKKRRDGIIMGSFIAVCFLVFWF FL MYCTH_2137079 MITRTGIWTGSRTASCLLCQWRSFGVSYRRLADKPPAPTPGATP GTTPAAGASSDPLAAEGPLAHAPRAYGKKLKEFTPTPLARPIGMNHPPSPGENTGIDK RTLKERHADFTNYEKHLKRREYLKDKISRPYFRDWRNLQFHEGKTFLAPPRPFRADLS LYFPNLYGRTLAKADADTTPLLEGRASVVAIFSSMWAENQAKTFISPDQNPALHEVLR ASGGRAQLVQINVEEDVLKAWLVRLFSGSLRRRIGKENWDKYFLVRKGITDEIRESIG LLNSKVGYTYLVDHQCRIRWAGSGPAESGEREGLVKGVQRILTEMEQEGVGEHYVRKF VAKKPEEK MYCTH_2296757 MARAFHASRPLLAVKPVLLADIGEGIVECEIIQWFVEPGARVEE FSPLCEVQSDKASVEITSRFAGVVKKLYYDAGEMAKVGKPFVDIDIQGDANEENSGTA ARPEAPQIEAEAQPAQKPVRSPAEETATAQVDISTGQEPATAPKAKGKHATLATPAVR HLSKELKVDISEIDGTGKDGRVLKEDIYKFVERRNAASTQAPSPQPAPATQSTGALAP NVSTAGPQQETRVPLTRTQEMMFKTMTRSLSIPHFLYADEVDFTSLVELRGRLNKVLA KSGPSDGQVGKLSFLPFIIKAVSMALYKFPILNARVDVDGTGNGNGNGKPALVFRSQH NIGVAMDTPSGLLVPVIKNVGSLNILDIAAELARLQGLANAGKLSPQDMTGGTITVSN IGNIGGTYLSPVVVEREVAILGVGRMRTVPAFSTVPGEKDRVVRRQVCNFSWSADHRV IDGATMARAAEVVRAIVEEPDVMVMHLR MYCTH_2054249 MSSGRQSIPSSPTASRFAAALSNSVTSNAATSAAESPAKNQHIW LVTGPAGCGKSTVAKHIADSLHLPFIEGDEYHPKANIDKMSAGIPLTDADRWDWLTAL REASIQELDKGSDGVVLTCSALKRKYRDVIRVAPYFTPNVHLHFIYLDAPEEVLLERV AARKNHYMGANMVHSQFEALERPRADEVDVITIDVTRPVEAVMVDAVDKVIKTMDSTR Q MYCTH_114376 MDAKELSQYLADAPPSVVRLEIEKHFNALSDKQKRYAHFISKAS FAGNRIVLRQVSPESEDIYDFILALYRTAGGDWKALADKAGVDEQGLTAFLQYAAQLL GNSGNYKSFGDSKFLPRCDESVFAALASTSPEAEKHYKATNGAIFASDKQGLLHLGFT DEGHLTTYYPDSPSITKDEVVAVSKWMEDKGLLPENTRLRKTEKGVYELLIASATTSI PSQGGDIGKDTEYVISEGPLAGKTVRLVYGDYAREMNTIAGFIRKAAENAENETQKKM HLAYAESFETGSLLAFKDSQRHWIRDQGPMVECNIGFIETYRDPAGVRGEWEGFAAVE RTRAFGELVRSAPELIPLLPWSKDFEKDKFLSPDFTSLEVLTFCGSGIPAGVNIPNYD DIRQQEGFKNVSLGNVLSAKAPDEKIPFIAESDLELYQKYRDAAFEVQVGLHELTGHG CGKLLQETSPGVYNFDVKNPPISPLTGKPITTWYKPGQTWGSVFGGVAGAYEECRAEL VAMHLSCEFAALKIFGFGDGTVDMDGPAGDVLYTSYLSMARAGLTSIEFWDPKSQKWG QPHCQARFAILKAFLEAGDDFCKLEYKNEDLSDLTIRLDRSKILTSGRKAVADFLQKI HIYKSTADVEAGTKFFNEMSSVGLEYWGTKVRDVVLKNKQPRKVFVQANTFLDEATGK VTLKQYEPSPEGLIQSWAERDV MYCTH_2030723 KITKTYNTEDSPVVTDLSTNPAVSSLSKGERTGSRVFYCLWSYV LAVLQSWGLYIRLWAEFISVS MYCTH_2296763 MDGHPDQEDRHSGSGDAFHRHSHSSADHLALDFSSMMHTQLAAG HQAQSSEASAAAGGAYGSHDDLCVDRCMGVGLYNGFQQFNHRGAPTSLQNRWARDNAD AVSRYGFQMGLHDVPMQFRPADLASPATNFYQQQWYGQQQLTGCVPCTDEDCRSMAGS CCDSECTMTDKCTNAACAGTEDACTDQTCPERPPGALPSEVVSGAAALISINHAPEET PHHQFGNLQQPVVNGLGFGLSSPPQPNYMLPSGFLPGQLGNITNHLLVAHGDTASADC TGSCPLADPQIYQYCHMPLLDGPAAFGAFNSVGPDYQLSRGFVECGAQIHDAESFLAH FNSQHRPYFTAGALNMPRASVEAQDNDQVMASTEAMSPPATPLDTSDSGRSSGTPSPL TPVSNSVEVTDAKPDSSLQSRSESVTSSADHSFDLRAEEEHRCLWRDQGASDVCGKLF ADAEELFKHASETHIKNAQKGAQGFRCGWDDCPRSEPGAAGFPQRSKIERHMQTHIGH KPHICPTCNKGFSAKQALTQHMFIHSNEKPLICNICNKAFRYPSALTMHQRVHSGLKP LKCPICGKGFSESSNLSKHKRTHEVRGRFTCAVPGCDRNFHRQDQLRRHMKTHQKEGD SRQVTSSTASVDGVFEQPPQS MYCTH_2296764 MGNLCSSERDPFSQPGRPLGTTPTTPASASVPASARSPRTVGGP PRTLGGGPGPGPTSPGRDADDPRARAAAAAEARLQESQKRKGKLQAQLDKQRRMTDPK VLQEASKTERGQRALDESVDALAHS MYCTH_2296766 MKEKHAQKEAKEAAVTAGSTRMLLEEMDQKASGAPGPHSPAANS ATPAPASPAAPVPYAGKFDPKAIAKNSKAARPQSPTRLGDVKLAAPAAKPLVVKKETD SKGAGLLPANRAVSAFGFGKSADNQKSSAKRKVDMGDEEIIKRKLVKLPDYVPDQADA TAEADGEGEEDAEDLDLRMARNEEDMAEAHRLLQERRDERIQQEGMVMDVDSGAPNGE AKDEKAAPAPSMDVDEDVDPLDAFMADLEQTGSAGGLGPKPARQEQNGGKGFEPEAYF SDDDFGYEADQADPAAILAMAAKKKRKDIPTIDYSKIELNPIRKNFWVEPQELSQMTE EEAAELRMELDGIKVSGKNVPKPVQKWSQCGLTRPILDVIEGLGYEKPTPIQMQALPV IMSGRDVIGVAKTGSGKTMAFVLPMLRHIKDQDPVSGDDGPIGLIMTPTRELCTQIYT DLQPFAKALKLRAVAAYGGNAIKDQIAELKRGAEIIVATPGRMIDLLAANSGRVTNLK RATYIVLDEADRMFDMGFEPQVMKIFNNVRPDRQTILFSATMPRIIDALTKKVLRDPV EITVGGRSVVAPEITQVVEIMDENKKFVRLLELLGELYADDDDVRALIFVERQEKADD LLRELLRRGYGCMSIHGGKDQEDRNSTISDFKKGVCPIMIATSVAARGLDVKQLKLVI NYDAPNHLEDYVHRAGRTGRAGNTGTAVTFVTPEQENCAPGIAKALEQSGQPVPEQLN EMRKSWREKVKAGKAKDASGFGGKGLERLDKEREAARMRERKSHKAEGEEDDFKDEET AEDAAKRDKAKSAIEAAASAIVSRDAAKADAGGIKGAAVEGAVKGGVAVGTGKGGGAL DKAASAISEINARLARAGQLRAGQPIDNKGPDAGAFHATLEINDFPQKARWAVTNRTN VAKILEATGTSITTKGSYYPPGKEPQPGGDPKLYILIEGDTELVVGKALSELTRLLRE ATIAAADAESRAPASGRYTIT MYCTH_2296769 MLSAIGRAAARHACVGTNLPIRGASRHTAQLTSRTTVVVPSGSR IAARFARGFAAEAGSTRTKTATKATTKTPAAAKKSAKAAAKAKATAEKKKPGPPKKPL TDEEKTKKKIKELKKTALAGKEPDKLPITPWLVYLKERMHDVMGRDTKPTFLDAVHEL SSDYKALPESEKERLAAEGRKNKVTNDVNFRNWVDSHTAQEIREANLARQLLRRKYSI QVGPTIRDPRFPKPALNGYAAYVKSRYHAPEYDGVKPSERLVRIGEEWKTLSPEQRKP FSDIRETDLQRHKKEMEAYRESAKL MYCTH_2296770 MDTTDTEILVHIAAPARVTDDKRYRALATAYLDFQETARTSVVL GMPADCQESDRDVLPPGTSSQAVIRSPMLSFQSATDNLGSPRLQQPGVGNVPESQSSS WRPPPSVIEDSMPDNDRAFAQYCTPTRILSHYTSAMDTSPLSQRRQGQALPASSQPQK SPSQASVSDSSIQHQASREETDSAIPLSPGRQHESQGTATPSPSAKKREESKIASAYP SQPAEPPSSFRAESEPPLPKRPRTSWDAEPGKSLARSASDMGPRRAKAPVMFNQCQND TLEILSPPPITSLRELRAEDMVTDVLASLARELDLEKRFRPESQTRELRPFERGYWLV DCSAWEPDLKRSAWAFLTDYLRKGAAGWGTSCRRDREFSRIRLYCWGCVVGHMYLVLY LMSKRRVLYSGASWVDGEGKAVVVMGPRPAPV MYCTH_122939 MASEKKPALGSVMVIGGCGFLGHHVVRLLLRDYTCSVSVIDLRC TRNRRPDSDGVAYYEADITDADKLQTIFAKVKPDVVIHTASPPAQSNDTIVSREFFKK VNVDGTAAVIKACQQTGVKALVYTSSASVVSDNKSDLINVDERWPLVRGSKQTEYYSE TKAAAEELVLAANRAPDAPKLLTCAIRPSGIMGEGDTQLLLNLIKVYQDGRTNVQVGD NDNLFDFTYVENVAHGHLLAARALLMTAASKTIPLDHERVDGEAFFITNDSPVYFWDF ARAVWAAAGCPHGTEHVKVLPRSVGLVLGYLSEWFFWAINKPATFSRQRIVYTCMTRY FNISKAKRRLGYQPLVSLEEGIKRSVKWTLEQQQQTAQKLN MYCTH_2296774 MAGYDYGGSPGADWGHGHHQPGPDVPYRMEQEPSGLAPALPRHN GAHGHQGGRPPASPALSADNTDTESRRSEERNRSRPRGSRSASGQVRTCKKCGEPLTG QFVRALDGTFHLDCFKCRDCGQIVASKFFPADDENGMGQYPLCETDYFRRLGLLCHQC GGALRGSYITALDRKYHVDHFTCSLCPTVFGAQDSYYEHDGQVYCHFHYSTRFAQRCN GCQASILKQFVEIFRNGQNQHWHPECYMIHKFWNVRLNPPQEAAVPISDDPAGRELVR EEEERMEEKVYRIWSVLSTFEESSAACISDMLLHVSNGAYIDGVMVAKRFIFHVDILF RSADRLDAAMARLEMNALAYGREAKLLCKKIVAFFSLLSKTQDKANRKPGVTQDLLTL VTGLAHYLKLLIRICLQGALRIEKERNNSDGLYQFLDDLNDLETLKADDNSTTTLQLT SGTSRLSAHDSDQCALCRKPIEDECAKIDDRRWHLACANCSRCGKELGRNLQDAHLNP YDAKIFCNTCEPNSPPNAAPLQHVTKLQQYVFLLQVALARLLEILRVSGAIPPHSENQ NGDGSGSAEGRSGPYGGQYQRHHRESSYESAVNDVKRLKSTRLDKHLSSSFRKARTSR ILDGPESSSARPGSAGGGQGEGGFNKGFQIVEERGPADEEDVMLPNQDAITLDDIPKI IAAEQAREQRSYPPQRQEYYRSPATEPHYGAREPAGGNGHQRSLSDGKGTGACAPGDQ PPPVRNRRYFSELSGLEYFIVRHLAVLTMHPMVESEFTLEELLSFIESRKPPNFWKNI GKAFKNDGRKNVKKKGVFGVPLEVIIERDGAESTDGVGPGTLRIPAVVDDIISSMKQM DLSVEGVFRKNGNIKKLGELVEKLDKEEQVDFSSTNVVQVAALLKRYLRELPDPLMTH KLYRLWLTAAKIPDEQKMRHCLHLTCCLLPKPNRDCLEILFCFLKWVGSFHQVDDESG SKMDIKNLATVMAPNVLFDKNKTSSLDSDPMYAIEAVEVLITNIGEMCLVPDDLVELL NDQALFNSNGELTTKDILKKFSDRAALGLGPRQYAEVTEIVGRHENPTRPPPRRMETD PSQWQQESSVRHVQEPTQPYGQMHVGTPPPKRGGPYDAAPPQHSPYNQPDHQAGQTPD GNGRNGGQQLPPQQQQQQQQQRDWRNSGWGRQNSGLTTGTV MYCTH_2296776 MKKFWNRTGEETNPGSSPGRASSEDARPDEHTRLLPNRVDSTPY LSPDDPAVSPYNLWTVRLVRWITVALTCVTFAWWVLMVVTIFITPPGLHVRGSPFFSF SYASFALLTLIVSLLFFSAPSKLARILSIVNAAVLLVDATLILVVPGLRHAEIWVGVA SVLWAALMALWFVTVDRTVQWGKAEEEERLTGRPESRRTVTEWLEVTLSTVLLAVLAC VVVLMTLTLMLRAIDSGLAPPGKRYWVDNDRYQIHVYCRGNKTDAAGAKTTTVLLEGG EDPVERGLCQLAENAVKNGSISRFCFADRPGMAWSDAAPSPFSASIASDALSEALLRA GEEGPWVLASAGIGSLYSRVFSSRHGDDVRGILMIDPLHEDLLSRVGTPGRGFALWIR GVISPGGIDRLLGALLGGRRSADRVWGRSSYQSGTTIFAKLQESLVAESLTKRDVVSS RAIQNRETPLVLISSGQQMKKDRSWEEKVCDHAAHPLALANAT MYCTH_2296778 MPDFARDRPILTHTSQTDQVGIDPPPAQPIDFDSNPDVLALKSA ISILQLQRARATADIRSLSKARDAALADPQAFAADLAAGRVQVEGDPLFSGTRASGPA EEDSDDDSESDADEGEQQDGSARQEATPESESKPDGSTPPADGAAAPTRGNQPKGKRK PSAAAADPDAAKPQPWQRLPRPQNVVRCPPINWEKYGVVGESLDKLHAEQVVAPTPGS PMVLGPGGTYEFKAGGSAADQSRGATTAGEQPRRLVGVAAPYNPLRDKLEKRGKVGSA ESRPHPPSPDPTFNKQDLHESV MYCTH_2296781 MADQSIFRITKELSDLQKNSDLSLAVACRDIDVRNVKALIIGPH DTPYEFGFFEFDFKFNKEYPRKSPQVTAITTNGGRSRFNPNIYANGRVCLSILGTWRG ERGEEWSAAQGLESILLSIQSLMSANPYENEPGFEDANEPSDQKHQKDYIQKIRHETL RISVIQRLEEYLKIGSDGSVQAPASAKGEYDLDMDTVEDESSVPFEPFKDLCKRRFLW YYDSYLAAVQKGKQEVKDGEYFQRMPFEGSSNTLDGKFNYSELERRLHAIKKALDEET ESWAAEGLVAKAKETTVAVNLQRQFEQIVEHFKRSGAAHNLELVDGNPFVWMLTYFGK PMTNLDGGLFRAKLCFSPRFPEEQPRVKFETRLFHHRIAADGTPCYVAPASRREDVKT HIEAIIDALEEEHPPYDPRTLVHPEAFKLYWGSPEDRRVYNRRLRRSVQQSME MYCTH_2296782 MSNFFDLKARKAAAANGTASTSTEKQTNENARAQPWVEKYRPKK LSDVTAQDHTITVLERTLQASNLPHMLFYGPPGTGKTSTILALAKELYGPELMKSRVL ELNASDERGISIVREKVKDFARTQLTNPPPGYKSRYPCPPFKIIILDEADSMTQDAQS ALRRTMETYSKITRFCLICNYVTRIIDPLASRCSKFRFKSLDQGNARRRLEDIAKLEG VPIDDGAVDALIKCSDGDLRKAITFLQSAARLVGASAATAGGRDEGDKMDVDKKTVTA KVVEDIAGVIPDDTIQKLVQAMRPRTAGETYQAIAKVVEDMVADGWSAGQTLSQLHQA IVYDETITDAQKNKIVLVFSEIDKRLVDGADEHLSILDLALRVSGIMAGR MYCTH_2296783 MGSAIENTLKDIQKTLEPYIRPREEVARIRQILATHLNSCIKDG DAVAPLSLVDTRRVELSPAAQGLQKEYLEALNANTRARQEFAAFCREQRRPKTQASDT NDQDVDRLQEHLATIRLGQRREKLQAIERGLNALEQKPAASPSFLKPEEIFRDSRPLP NIPMELMNGITLEKASNSPQLKDLLDRLEKRVLQAKLLLKREEQLLEKVKSRATLRPE NVDEGAKFEALNRTRAELINWIEAELGKATGEDSEAEGQEIQRHTSHTDFNMEEQLAS IKEKYAQYLEARKTLLQLVSQQPQPLVAPPPKEDRRPAPAEPNPAPTAHLLSPYLEQL LLISREQKGLIAQKSHINGTISNQLKENNQALDLLAEESQLIPAHPMPGAGRANSAFA EATSVTGKCGLSDRVRPWVFAADSAKIATLEAVAEKIEEGQIALEGSMSALGEVQELL GQSPEGEEKGGRASTAEDSLRLVEGQGPEKTAGAERQTRREADKPPAQTRTAWDMLDG NLGLLRAEKDAP MYCTH_2296784 MSDYHRDVSQYKYSAMSNLVLQADRRFVTRRTDEPTGDPESLAG RLSIKDMGTRVNRGTAPKPKKTSAMPDVERGSMREGADVLQHVKQQKSKADARGGGIL SGADALIEGIRYRPRTQPTRDAFNLILTIVAEHLGDVPHEVVRSAADATLEYLKDDDM KDLDKKKEIDDILGVSMSPKQFNELVNLGKKITDYDAQDEDEDMEDVKRAGEDEIDGR QGVAVNFENEEDDDGMVDVVRDESSEDEDQEEEEEAPDLQEVADEDGEGLDRDGEGAG LADGEAMVIDAVPQGKDKSQEKNFVPARDIDAYWLQRQIGRLYPDPHTQHDKTVQALK ILSGEPDEPDGEEKQLRDIENDLMELFEYEHHEIVQKLIENREKVVWLTRLARAEDRE ERETIEREMASEGLRWILDELYGKPKDDQKKPKLEIKMDIDKGAFEAAQPQKEERAEG QLVGGLQPKKLINLENLVFDQGNHLMTNPRVKLPEGTVKRTFKGYEEVHVPQPKPRND PSDQNIPITEMPEWARLPFSTTKSLNKIQSKCYSTAFLDDGNMLVCAPTGSGKTNVAM LTILREIGKNRNSRGEIDLDAFKIVYIAPLKALVQEQVGNFGMRLQPYGIKVSELTGD RQLTKQQISETQVIVTTPEKWDVITRKATDISYTNLVRLIIIDEIHLLHDDRGPVLES IVSRTIRRTEQTGEPVRIVGLSATLPNYRDVASFLRVDFEKGMFHFDGSYRPCPLRQE FIGVTERKAIKQLKTMNDITYQKVLEHVGQNRHQMLVFVHSRKETAKTAKYIRDKALE METIDQILKHDAGTREVLSEAANSVNNTDLKDILPYGFGIHHAGMTREDRTDVEELFA SGHIQVLVCTATLAWGVNLPAHTVIIKGTQVYSPEKGSWVELSPQDVLQMLGRAGRPQ FDKYGEGIIITTHGEIPYYLSLLNQQLPIESQLASKLVDNLNAEIVLGNVRSRDEGVE WLGYTYLFVRMLRSPGLYSVGPEYEDDAALEQKRVDLIHSAAMVLKKSNLIKYDEKTG RMQSTELGRIASHYYITYSSMDTYNKLIQPSMNDIELFRVFAQSGEFKYIPVRQEEKL ELAKILARVPVPVKESIEEPTAKINVLLQAYISRLKLEGLALMADMVYVTQSAGRILR AIFEIALRKGWASVAKLALNLCKMAEKRMWPTMSPLRQFPNCPIEIVRKAERIEVPFS SYFDLDPPRMGELLGLPKAGKTVCGLVAKFPRVEIQANVQPMTRSMLRVELTITPNFE WDVDVHGFSESFWIIVEDCDGEDILYHDQFILRKEYAEVEANEHIVEFTVPISEPMPP NYFISVISDRWMHSETRMAVSFQKLILPERFPPHTELLDLQPLPVSALKAKDYAALYP DWQQFNKVQTQTFNSLYNTDHNVLVAAPTGSGKTVCAEFALLRHWAKREPGRAVYIAP FQELVDQRFQEWQKRFSNLRGGKDIVKLTGETSSDLKLLEQGDLILATPLQWDVLSRQ WKRRKNVQTVELFIADELHMLGGQMGYIYEIIVSRMHYIRTQTELPMRIVGLSVSLAN ARDVGEWIDAKKHDIYNFSPHVRPVPLELHIQSYTIPHFPSLMLAMAKPTYLAVTQMS ADQPAIIFVPSRKQTRATARDLLTACLADDDEDRFLHVDVDQLRKLLDRVQEEALAEA LSHGVGYYHEALSQSDRRIVKHLYNNGAIQVLIASRDVCWELDCTAHLVVVMGTQYFE GREHRYVDYPLSEVLQMFGKAVQPSKDGRSRGVLMLPAVKREYYKKFLNEALPVESHL NNFLHDAFVTEISTKMIESGEDAINWATFTYFYRRLLANPTYYGLTDPTHEGLSQYLS DMVEETLRELAESNIIDMDEDDGTVAPQNAAMIAAYYNISYITMQTFLLSLKQSHKLK GILEIVTSATEFESIQMRRHEEAILRRIYDNVPVKMSDPAYDSVHFKAFVLVQAHFSR MNLPIDLSKDQEVILTKLLSLLSAVVDILSSEGHLNAMNAMEMSQMVVQAMWDRDSPL KQIPNFTPEVVKAANKHGIRDIFDFMEKMNPDENPDYGSLVKDLGLSQAQLAQAANFT NTKYPDISLEFEVEDKESIRAGEPAYLKIHIERELGEDEEFDPTVHAPFYPGKKTENW WLVVGEESSKTLLAIKRVTVGRELNLRLEFTVPTPGRHDLKLFLMSDSYVGVDQEPTF SVMVGEGMDVDESEEEEEE MYCTH_2296788 MSSENSHTTPPAEGATPAVETKEDATKNTAAEAQKEEAPAAEPK PAAESEAEPSAAAAEPTKDSDKAAEGSTEKPADQAEEKPDVEMADDATPAESAPQTAD AAEPSGTEQAEQPATDAADAGSADADKSKSRRKSTGGGAAKGKKLNKKASKARTLHLD AKPGDHYFVKLKGFPQWPVIICDEDMLPASLLKSRPVTAKRADGTYREDYADGGKNVA DRTFPVMYLHTNEFGWVPNTSLIDLDPATVLNTNLDKMRKPLQAAHQLAAENHPLSYY KEVLQNYQEELIEQEKAKAAKAATPKGKKSKAASEDGDDVEMEDAPAAETPAKDKKAK KRKAEDSMETPQRSDSVKKPKIKLTTNSTPKGANGATATPKSTKAADSKSAKSKGKKK DADEGKAQEAAVTKEPELSAEEKYIRKTKEIYFLRHKLQKGLLTTAQKPKEEEMKVMS DYVTKLEGFPDLEVSIIRETKIHKVLKAILKLEEIPKEDEFKFKPRSQALLDKWNKLL AADPTPAAPAAEVNGTSKGASKANGAVEKSEPAEPAKPKEEGKEAGKEETKEEVKEES KEDTEQKSKVEAKPEDGPEKAAEEVSCTHSG MYCTH_2296792 MTEYEHADASFDEDDHRAAFIFNPTSTSRGGSNNDNRASRPLKR RKLAKTDVSEPQQNCASELAFPPLFNGAESPDAVRLRKDLFETAWPVLETRIQHVLRE ANRNTLDEVTSFLEQAAGAETEKLTAGFIITGANIASQDLLFEQLAERVRTTASARFV RLRAAEAPNLKAVLKKIIRDATVRNAGEEEDEDLGVTQGGRKYLDYDLEALHAFLTQQ QQSRRVVVAFQDSEAFESGLLTDLISLFHSWRDRIKFDVLFGIATSVELFQARLLKST ARQLHGAQFDVVQADSVLESVIKTAVAGTRARLRIGPSLLRTLVERQQNQVAGIQAFV SSLKYAYMCHFYANPLSVLLAGEESLNRRLLTSEHIESVRMLDSFRVQAEAAVEAGQL GHAQSLIEDDGYLVTQILGQGQKRQQYLLRLLRAIHLIVATGLDPTSFTDLYIRALSA GIDIEQSSGTVPLEDSLKRLSADEFVSLIERLLDAIRTGSADLGLDGWESDAEEFASS LADLRDEVEGLRERSQSNRAALKSKYSAQSKVIRTTVVAQKVQLSQDTATLTEEDKAF TRAIDALLGLLSHELQCDPVDNLFLHEVWVFDSKMPYRDVFVPRPGATFGRALSRPHD YLACACCSKANGGLEPTLPTSAILYHLYLEAGALINVADLWSAYYALVGEESDIGLDE RSALVCFYRGLAELRMMGFVKPSKKKADHVAKVKWL MYCTH_2107070 MEHPFPQQPNTPTDSLSPSIPSPNYDDSNGDSNNVDSSINTSPD ETRKAAFGTRRAASNPAFQSKLAQMTLPLAPLVQLTTGQVHPAFPRTLLAFWLLTDEQ LDSLAHFYHQRTPCQWTWQYPCPVPWPASWRTSGLTIEEKRRKLGRFIGLRGCETPIG ELLDPEVAEAVGRTEEEIWGAARAASSRGEADDVEEVRRKMGWYS MYCTH_2313775 MFDIDWRGLLLPLAYLVVLVGTFMTFSTVYRKRKAVQSANLAPW FGPHLQRNIYLSLLHMEPEEGSEKAPKVPDSVIRAALLRRAVEDIHRIIQIRTAKQAC SSLLQRGSVGDDLWQRFQRAEKEMEEELRDVVMEANALVPGWGQIIFQSANEIAANKV LRDRLGEIEKQTERDKEWWEKRRASIKNEFMKELDEEAK MYCTH_2296798 MLLRLRSPDGMFRLSADKDESFADLIGKLVPQLPSTVDPQSITL SNHPSGGDAKKIGEIARFKISQVGLSHGDLIFVSYKHQDTVTNGAVDAHPPTTLSSSS NRLSGKPILPTEDHPIDPPPNTSPERIRNPWEVVRQSPLDDRLDKKDGKIPRKRDKMC RHGPKGMCDYCMPLDPFDPQYLEEKKIKYMSVHAYMRKINSATNKPELGSSFIPPLVE PYYRVKRNCPSGHPEWPEGICTKCQPSAITLQPQPFRMVDHVEFASPQIIDRFLDAWR RTGTQRLGILYGRYLEYDVVPLGIKAVVEAIYEPPQVDEIDGVTLGAWENEQEVNAVA KLCGLEQVGVIWTDLLDAGNGDGTAICKRHADSYFLASQEVVFAARMQARHPKPSKWS DTGRFGSNFVTCVVSGNEEGEISISAYQMSNDAVEMVRADIIEPSADPTLMLVREEEE EDGSVSRTRYIPEVFYRRINEYGANVQENAKPAFPVEYLFVTLTHGFPETPKPVFTDS GFPIENREYLGEAQEVSAVSKALKVNQKGDALPVSDFHLLCFIHQMSVLSKEEEALLC RVATQHDLAESFQLRSTTGWQTLHMILQSTG MYCTH_2296800 MLSAVYKAGRAPAVLRHGRRVPVTSSQLSTLAASSQNVLFRTQT QSPSARLSQKRLLSASRVLASAGAAQPAPDPKAYLSSGVVKPKDIIDVKKVLVIGSGG LAIGQAGEFDYSGSQALKALKEAGVASVLINPNIATIQTNHTLADEVYYLPVTPEYVE YVIQREKPDGIFLSFGGQTALNLGVQMQRMGLFEKYGVRVLGTSVRTLELSEDRDLFA KALEEINIPIAKSIAVNTVDEALEAASKVGYPIIVRAAYALGGLGSGFANNEEELRNM AARSLTLSPQILVEKSLKGWKEVEYEVVRDANNNCITVCNMENFDPLGIHTGDSIVVA PSQTLSDEEYHMLRSAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSRSSAL ASKATGYPLAYTAAKIGLGHSLPELPNAVTKTTTANFEPSLDYIVTKIPRWDLSKFQH VKRDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPRFVGFQGEKFADLDYELQNPTDR RWLAVGQAMLHENYSVDRVHELTKIDKWFLYKLQNIVDCTKELQQIGNLRGLKKEHIL KAKKMGFSDKQIALAVNSTEDEVRARRLEFGIRPWVKKIDTLAAEFPADTNYLYTTYN ASSHDVTFEDKGTVILGSGVYRIGSSVEFDWCAVSATQALRQMGEKTVMINYNPETYS TDFDTADKLYFEELSYERVMDIYELENASGVVVSVGGQLPQNIALRLQETGGAKVLGT DPRNIDKAEDRQKFSEILDSIGVDQPAWKELTSVKAAEEFAEEVGYPVLVRPSYVLSG AAMTVIRSKDELKDKLEAAANVSPDHPVVISKFIEGAEEIDLDGVASQGKLIVHAISE HVEQAGVHSGDATLVLPPAKLDQKTMERIKEIAQKIAQALEITGPFNMQIIKVDDPAG GPPALKVIECNLRASRSFPFVSKVLGTNFIDVATKALVGKNVPEPTDLMAVKRDYLAT KVPQFSWTRLAGADPFLGVEMASTGEMACFGKDLVEAYWSSLQSAMNFRVPEPGEGIL FGGELSKPWLTTVVDYLAPLGYKLYAADQEVKQFLESTSKHKINVDVIEFPTEDKRAL REVFKKYDIRGVFNLAQARGKTIMDVDYVMRRNAVDFGVPLFMEPQTAMLFAQCMSEK LPRPEGIPSEVRRWSEFIGGKPL MYCTH_2296802 MSEDPGPSSAARQLPPWSDGEYDADEHAVHSENSRHDVRSDETS ASRRQKRRREGLVKKLELVRHLQKSLDMIVVVYICTLYYMECSFFRFILRLVPHYSSL SPKDGPLLPLEQPNIYGIFVPSVLCILVHMFFGLPEAGEATRGYLHGGVIIDFIGQKP PTSRLAFLFLDLVILGAQCLMLAVHRELEGLKKAVTPGLRTTSPDLGQPDQITVALAT TVQDHDAEERGVLREESLLGYGGGIELQPLSGNGIRQRDGSAMEDERMGNTFSSAADS ADMLDVIRSGNAVLGNFHVIHAVRTVGNGAQAAAAYSLSTLGYGATLAALAAERRSRL IRRQQR MYCTH_2088876 MSGSKPEFLRFTGHRAFTQRLVLATLTGRPIHISKIRSSSPTNP GLAPHEVSFLRLLEAVTNGSVIDVSYTGTTITYQPGLITGTAPGVSASLETDVIEHVL PANCTRSITYFLLPLALLAPFSKAHMNVRFSGPGVITSATSATTGDLSIDTFRTAVLP LYGLFGIPPARIELRVLSRSCPGPGGKGGGGVVEMRFASQVRLPKTLHLHRRPGRIRR IRGVAYCTGVSASHNNRMITAARGVLNQLVADVHVAAQYDPAPLVAEKGSAQKKKIGI GFGLSLVAESSADGVLYSADEVAPPQGGVVPEDIGTRCAYQLLEVIAQGGCVTAAAAP TVLTLMAMGSEDVGRLRVGREVVAREEIIGLARDLKQFGASSWGIRDAGDDEGAGDLI ISVKGTGVGNVGRKVA MYCTH_2296804 MPPRTFALDLLSRRSSYVCKSCLDSLRTASARPWLARSSSTAAR GSQPSPGNSKRTGDAPNHAEFQRILTEEFERGPEPTSSSGDLDVNYFNQEAPGKLRRL RDKEEFGEVSGGLDSEIETAINDLEKKMITTAKMLQRMEKQGERDKADELRKQFKKTL RMQYKGKTGPEAEDYGLLRISGFSGPRQRPVANLNAFLARDSVVKGGIPRSRDVAECW KYYSAARKTLAQAWHNVPQEVWNFLWMILSWEGDGVENPNRMQHIYILAKDMQAAGVP LRDSQQLLAIEAMFIEGWEEEAIEAWKKAVVTLGSKPETFTDYWELGVRMCCLHGDTD RAQRAAETLLRSSQPPNARILLPIIRALAAKRETVDQAWESYTHMRTLLGETITIEDY DEVTAVFLASDCVEYALQAFVDMMFSRAIDVRGRTRLPLSVGNHFFIGKWLKRLIGMG DLEGAYKVVVFVQDKGITPSPIQLNGLIGAWLRSGSAENLEKAETLAWGMIRARLDHV QSRQRQDPVRFYKSGSMPATAKTEGEPEFVCRTRATAETFSLLAENYCSRRLHDRLRE LFEVLNQAEIGPTSFLMNQLIRSHSQNGEADEAVRLYETMTKEQNIRPDGHTFLTLFN SLSVNRLVQRDPDLTKKDIASARRFFRDMVAAEWKFDSPEIFAQLPRTILFSMLKAKD YRGMIIAARAMKELFAFHPPEALLIEMASGAGSLQVRSKRNMERLVGGRRTIEALMRK HRMELIKAGHPGVQMTEEEKLNELHDVLEKLILLKAGAHNSSQEEVEPLLEEAAREMG VYDIVVAKDADQIARRRKLGKQTAGPV MYCTH_2296805 MSPLTKNKPPRPEEEKKKEDEEEEEEDYMTMTFSDDPPGSTAGE TSLQRRQRLRREAEARARPKSKAELAAEAEARREAALSRSLLTANPKSKGLAMMAKMG FKGGALGKQQPPVAQSSEGGGNGASGDGDPEGKRVGGAEGRDGEGAAAAAGAGAGGRG GDGPRIEPIRIEIKEGREGIGLESERKRKLREAAEAAGERAKRAKEDEAGYRERMRRE REEARWERQFVAAQRVAERMVGEREDREAGAGTTGPAPAPAPAPASRPLKAIPVVWRG LVKAREEAERDRRMRRDLEQGLARLPTYDDADEDEDDRKALGKTATEVVIAEDLDEED AELDEFNALPPDERLRRVVEYLRREFHYCFWCKFGYPDEQMEGCPGLTEEDHD MYCTH_2123212 MNGTTVKANDKKKRAKKGHYKRECRSPKKEWKPVPGKEIADIDE TTKNVTEVAATSYEDKDSDIDSLGHDGDGANRRAPGPTELQRVYGDEGPSATQDARDG RNFWFQLLRQASTTTVGISCRF MYCTH_2107078 MTKLYRTRPGAPRHPGFCSLFPESQAQLQSLLELVGRCAAFAWV DTALENPLIKHSSVAKWRRCIKPQSHKGLATVKNPGYWSRILVSTPLFVLPGRVSSTW NFENHDQPRTPYIHALTSGPLMNGPGRQPVVVFDNMGGLYPKMEGYAVSVSSPDFAGA GGPGRLVMV MYCTH_2296807 MEMEILMAAQQSGPAFFFYNPDTSSESRQHGHFLPQQHLQQHSA LQQMQMLPVVPPLPSTPIYSRPGSSSSQPPLLPKGLTSAPTLPSTLTPVASPMPIAPK PTIVLDTELCESEGLGSPLTPPLSTSGSAISSPGSCDMLQTPLNPMLSGLDGKEACGS DGDLESFPSFDWSACASPPLTPVYLPSQTQASKIAPIQTQACDLLSPASSCPSLSPSP SPYARSSSSESFCDPRNLTVGTVNSTLAPEFSALPTLCAGEDEDQKFVLRGASPAISP DSSFDFRPQSNNDLPSFDALSDLDSEDDFVNGLVNLGDCTEEAQPSRSRASSDALSPN ASSDLCADEAGDAFGLLTPADSSDDSDSHRDKRQKKTGKPTMSTAATSQAGSNQSQSP PSNEGSTSSNATEAKNESEANSGSENAGPAPLPAPTSRRGRKQSLTEDPSKTFVCDIC HRRFRRQEHLKRHYRSLHTQEKPFECSDCGKKFSRSDNLAQHARTHGSGAIVMNLIDD PDAIAAAGMPGAYPAHPALMGDQYSLGKVLFQVAAEIPGSSSELSSDDGSDHGKKKRK RAE MYCTH_2123216 MVQNGGDFDLDTDMATDKLVNEAYAKIEALEGAKAKRIKIEPPG KYGGTKEDLVGFLTNLRSYFRLNDDKFPDDKAKVLYVATRLEGKALRWFEPTWNDYLT EEDEDDRDAFT MYCTH_2123217 MVKANDKKKRAYVSTSYGTYPGAMDVDAAQKQDWKKTTQDKSNV TCYNCGKKGHYKRECRSPKKEWKPVPGKEIADIDETTKDVIEVAATSYEDKGSDTDSL GHDGNGEDEQAPYSELVTVDPETGLAEWDTAGEYAPPISILLALSQWGFIVMQRRDGS WTTDT MYCTH_77342 MSRVAKRSTKRSVKTEERIALAAAINELGFEVMPCSHCFSRGLC CRMIESSSRCGECVRRGRSYDGSGVPVSSLSRIVDESKRLDRLEQDAKEALRADHDSL AKAQRRLDESLARLDRIRRQKRSLLSRGSEMVRRGLASLDELEEVEQQESGAVLGVQL NSGVDVVD MYCTH_2296812 MASTKTEGLNVIALVSGGKDSFFSLLHCRANGHRIVALANLHPA LLPRRQGSCDGTSQPRLDAKVPAGEAPSTAVSPRALESAPCGPCDGPHLGSAKDARPP AVLPGREGGVAGNEATPEVDEDERDLNSFMYQTVGHQVIPLYAEATGIPLYRRAITGP AVQHGKDYDANRHRSSTASPAGGYSDEAGDPERADPDETESMVPLLQDIKRAHPEANA ICAGAILSTYQRTRVESVAIRLGLTPLAYLWKFPVLPTTGPSGPVAGADAQLLDDMTA AGLEARIIKVASGGLDSSFLWTNVASPAGKARLATAMRRFGPAETGAVIGEGGEFETL VLDGPPTLFQKRIVVAEEDRRVVREGGGSAWLSLRNARLEVKLTGKEGGGDIRIPNLL DPGFVSVLDALSGSETLPTSQIGSHARDEELPRLGTLQPSSQGRLEQWSFTSSKSASA SIGAETRGIVEHIRQRLQQRSLPASAILSATVILRRMADFPAVNSIYGTLFDAPNPPS RVTISCGGAADANIAVYLTVHTALQPSQRHGLHVQSRSYWAPANIGPYSQAISVPLLS LGRAGEADAPSSSGPRLVTIAGQIPLVPATMTLPDGALSLQLTLSLQHLWRIGVELGV QWWTSVVAYFPACRSPAGDEGEERSKKMEMVQRARMVGEAWRMAHMSNGKDQGEDDDD DDDDDENGPDLWDRRFNPQYMAFSSFGGEERKADPALPDRSVLAPPSRGRRPPAFVAE VDELPRGAGVEWHAHLGIANADEGSVIVKEAVAVEDKGDIHVAQTIIRPPGEEEGVRF VQTVVAEQLGCGRSGEHGDITTRALAQLGAVDSTEEPAAVVRYVDVEVLRAAGERGVP QEGRGPVVPCRSLWDGDGKRLAAVSVYHSVFE MYCTH_2296814 MRYAAAPIGDLRWRAPLDPIPSDTGTVEKAAAFPPRCLGIGSAY PTDDESEDCLFVNVWAPTNATVKSKLPVWVFIQGGGYVANTNAYWDGAEAVEKSGHNV VMVSFNYRVGLWGFLASERVRDDGVLNVGLLDQRMLLKWVKTHIASFGGDPDHVVIHG ASAGAGSVAMHLIAYGGRNDNLFIGGMTESLFFPAQPFVHELEYQFDRVVSQTGCDGV APDRQMACLRSKDVAVLQAANYAQPFPGRPDPPMPLFYWTPCVDGDLLRDLPYRLFEK GQFISVPVVFGTSNDEGSVFVPDADTPSDVAHFLRNNYPLLTANDTTDILTHYPQLPP VPAHKPWFPTASKAYGEATFICPTNNLLSSYPIRPPQVKSNTTAAKTFPFPSSSSSSP SSPSPSSFPSKRTKKKKSRTEAEAAGAAAALYTYRYNVRDEATVARGLGVPHLSDAPA IFGPGNVPGQEAASYETYNAAVVPLVMGYWLSFVRTLDPNVFRAPGSPRWEPVWQEGG DAGVMRRLLIETEGARMETVDAAERGRCGFWKELGSGRMRQK MYCTH_2313777 MPSAPTLVPAAQDGDSRTLATNGALTPSKGNPETGLDGTLTPAA VPGSTQRNGGSLGQNVGAAESTGDIAEHEADDLSSLGGYEPDGPLNTLTKLPPNGAVR GASVSSEAKSNGLSRPDGELRDRSRPKAKSSQTVRDTYPDLTDEAGKEAAARERDRIN AYRTGGIRFAPWNIPYRRRLQTMAVLLHCLSIAVTVSCFFSLCAIPLTWPILIPYLLH MLLSKTATDGRLRARSERFRRLRMWKFFADYFPAALHKTHDLPPTRKYIFGYHPHGII SHGAFAAFATEALGFSEKFPGITNSLLTLDSNFRIPLYRDYILALGLQSVSKESITSI LTTGGLDGDGMGRAVTIVVGGARESLEAHPGTMRLVLAQRKGFVKMAMRTGADLVPVL AFGENDLYDQLSARQHPSLHRLQMWVLRTLKFTLPFLHGRGIFNYDVGLMPYRRPLNI VVGRPIPVRKIGAGEKIEAREVERLHAEYVAELEAMWNRYKDTFCPSATRGGREARLK IVD MYCTH_2296820 MASERGPTATEPPRAPSASTVPQSGPSFGTVTELARSKPLKRTR ETTPTSQDSLVSSGDMSPSKIARLVGFARQSQNPSTGEAEEDARSRQEEEEHRQLARL EQSDNQPPTDELMSGVTADMNRPQEAPPDSSGHSDIEAAAAAAAARALSSVTIPTSHV PGDDLHDVSPQSGASGASLGGDNGQVVDSPAAMDVDSRNDEGLYAPQPEAPMEDKAGS SLSYPGAMPAPGHPQRVMSMSIAGPQTPNIDLTPRSPNSNKKHKCPYCETEFTRHHNL KSHLLTHSQEKPYVCNSCQMRFRRLHDLKRHSKLHTGEKPHVCPSCDRKFARGDALAR HSKGAGGCAGRRQTMGSFARQENFDGGTDGSAMSGELYDGGGADMSEEDRRRLSMPNL KPQHVAGQAGAEGYGGSNTYPPPGQRPGPPSGGLYPPNLDRASSATTNTSPSMPSSHT PQTSISSVPLSAGASTVYSQGGMTESPKPLSPSGTQGSAAGQQRASNEQQQQQQQGAP GLSLPTHGMSPAAKQAWLSQYPPADRDAIQGSAAQGQPAAGRGRARAASGDNNGNSGQ PDPGLWAYYQHLEGTVKRLSSQMESEARTKAQLLEKLNAHEQHIAALTAEVAALRQQV APPPQEGEGSSADPAAAQQ MYCTH_77354 MQGLVKTALAAALVASVAAQPHNHGHRHLHAKKHNHLQMEKRDT VIVTKVVEGPTVTEYVMGNGKKVDAAEAKKGLEKGDFIVMGETKPTFSAPPPVVSTSV ASLPHGGQFYEKTTSVAPSSTSSVAPKPSTSSAPAPEPDLPTGLDADFPSGKVPCDKV PTEYGAVEIPWVGTNGWTTLAKFGKWVKGAAIDNIESPTDGTCEPGMMCSYACPPGYE KTQWPEEQGATGQSVGGLWCNEDGFLELTRPNVTKICEPGAGGVYVVNKLPGTAAVCR TDYPGNEAMVIPLETSPGGKYPLTNPDSATYYWWKGQPTTAQYYVNPLDVEVEEACTW QSKKYPDSAGNWAPTNIGVGKSLSGETFISIFPNTPTSSAVLDFNIKIEGDGVSGECW LKDGEYYGSSSGCTVGLKAGGTANIVFYK MYCTH_2296824 MRFGGALQAAVVLATALWATPLAAKKKDHPTFHVKAFENIPRNV NYFSDSDTVLFHDTDANNVYLSHDHGVKWERVDAVPEGRAWLLYMHVFDPNRAYILTE GTTHFKTSDRGKTWDKFKTSADTSAFRGDILQFHATDPDRILFNGMRCDGFLCQEVAL YTTDGFETPAKELRSNTNGCWWAKSSDLFTTGSEDLDKNRVLCIVRDAFSPFKQDQRL LISDNFFSPSHESSDIQEFEPNLDMDKPVQGVVNVAVVKKYLLAATSSMNTDEMALFV TDDTKRWHRAVFPASHDDHDHRVLQEAYTVLESTNYSIQIDVMTTRPSNPMGVLFTSN SNGTYFTENIPHTNRNSRGHVDFEKITGIQGVFLVNKVDNWEEVAKKGSAKKKLVTEI TFDDGRTFESVTADGKRIHLHSVTELSNVGRVFSSPAPGLLMGIGNKGEHLKDYWEDG DLYVSDDAGVTWKKALDGPHKYEFGDQGSILVAIRDSQEADVGEISYSLDHGLTWTKQ ALPDGLKIRPYILTTTQESASLKFLLIGMTETSPRWRVISIDFEGLHEATCGKDDMEE WFARVDKDGKPTCLMGHTQSFQRRKKEAKCFLKQEFKHAVAKTEDCECTDMDYECDFN FVREDGKCVQKGPIIPPEGACRNAKPDDTFKGTSGYRKIPGNTCKPTKEMDEKYKEVD RKCSEIVGAPPTGPATDEIEQTRNVFEGDWDGWDKHYLERGESSSTEGETIIMRGRTS TKVGPVYVTEDHGKTWKAPKELEEEKIQYIIPHAYFKDMVFFITSGKKVIYTADRGRT FHSFKAPNELDPDVFPLAFHPDKKNWLIWNGRKCEDSNDCYGVASWSKDGGDHWQTAA KSSRRCEFTGSSAYKYPNRKQEQIICLKHQKEGKAKENPMVLVSTDDWFDNEEVRAEN VKGFATMAEFIVVATSNPANETLQASASLDGVTFADARFPHGFDVPHQHIYTVLDSST HAVNLFVATDMDGGYCNFGTVLKSNSNGTSYVVSAKNVNCNEAAYVDFEKVAGLEGVA LVNVVANPNEKTSAPKKLQTKITHNDGAQWAYLAPPADDEFGKFPCHSDGDEKCALHL QGYTERRLRGDGNTYSSETAVGIMFAWGNVGDRLGPRKDWDTFMTTDAGLTWKRVKRG QWTWAVGDQGGIIVLVQTTASSSPEKTKNLVYSLDQGKTWKEHEFASEEVEVWDVTTL RSGGSRNFLLWGKDSEGAFTLNLDFSGFSERVCKYDDDAEKSDYYLWSPKHPMQPDGC LFGHVSQYLRKKADRKCYNDFRLQPLYGKQNCTCTRADFECDYNYELDAFGQCSLVPG LSPKDPVEWCKQNPEAIEYHEPTGYRRIPLTTCAGGRQLDKESRTHSCPGHEDEYERR HGASGVAVFFAVTIPFTLAAAAGWYVWRHWNGKFGRIRLGEQGGTVFEADQPWVRYPV IALSAVAAVVMSLPVVAGAVWRSAKGMFGAVGIGGGAGAGDGRGRWSRLGGGGGTRRF TTRDSFARGGDYTIVDDDEGELLGEDSDEDV MYCTH_2076293 MAPTQEAPTRDGTISGWATPGILGGAMAVIDAAHDIGGMPPLIR EEDAGVASPSAYPLLEEGPLTPRAASPGFRFLISSSSTTVSHGSCPGKLFLDRDTCQS RDPNLAPQADCVLLSDTFYLSPLVSRSSGFFSLRSSDSGTETHDARSIMPGQIFDAPT EHRFPSSTTSGYFALTDDAADPRFDGTTQLPPCSAESGKSDRTASPLNFACWAKRQHH THKQDRHKEEQGSSKRNVLSRSSSTQKRQAQAAVPQMTREEFEALPLAIQRKYFSTLE RLRFAQDACLVEGISQHYDDISNFKRRNPRRDRSPSEDVVGRSRRGSVAELHSSASDS PPLCAGLQEATREEKPCREDKARPAMRHRASVILDAADEAVYKFNKQANRRNPSTTVD VLTPPLSPSRHSMDSQDSLRKDVDQSANGHVPRSFFESFRWLDEEEDLDLRLFLDDYH ANLREGTPTTKQRPSFRRRISISKIPFGRRNSVSSADPVTREAVAPTKSPPIRSSSSD GPSHARRKSRALSLIAPKHVSQPPVAAIDPSAAHYQDPEARLKLRVYLASPQKFDEAV EFGFPSTDVLSAASPFNADGGGLSGQTKQRVADASLDMQTFLADQDEDEEDIDNVSLY SDHASVADPDSPKTPEPLEHRTTGPRHARFASATLLSGRDIGRKTPEGAFYAQAPATS REMTLRMTLTRPDLRAHEDEIYGWQQQKYVYQQHARRPTALASLAAENRVAYNGNGAY NSLEKFPELDHWNGTTTEKGVIRRIWNRVRRG MYCTH_40990 MLLSTSPLRDIFGHGPRILATRLVSAPPVGYSVPTIQAQIADTS NNLDSNKTNLQRRAFHSTASRGEAPPSVRPVASAVQHQQQQQQQQQPGDEFWRKVPLW SDVSAREFLSYSWSTKPQLLKFLQAVLPDEVPSDKPDGRAQTRDQFIQDVFEGITAAT MAVRMTPYILSRINWHDPRNDPIVRQFLPLKSRMLPDHPKLTLDSLHEEADSPVKGLV HRYPDKALFLPTSVCPTYCTFCTRSYAVGADTATVSKASLKPGRRRWEEALAYIGSQP GLSDVVVSGGDAYYLTPEQLTLIGERLVALPNVRRFRFASKGLAVAPGRVLDGADAWA DALVRVSDKARRAGKAVAWHTHFNHPAEISWVTERASQRLFEEGVVVRNQTVLLRGVN DDVETMGTLIRRLADNNIFPYYVYQCDMVKSVEHLRTPLQTILDLEAKLRGSIAGFMM PSFVVDLPGGGGKRLACSFESYDRATGVSRYKAPAITGRDKKNKVYEYYDPVDSLPGN TGS MYCTH_2051606 MRNSQKKESELKLTAICPAGTQNNPPRAHQQKRNSQSPVAGPAS SSPATPPPPVSVDKTGPRPGSAEKAEASAAPRRRQPFDWGGVRPWKVALGCFALTVPT YGLLSGIGLFQTYWHQTVLAGHSEADIAWIISLFGFLDCLLAAPAGVMLDRYGCGGRG SGRLLLPLGSAVYAAAFVALAWCRTYAAFMACMAVAGAAAGMSVPTTIAFTVVGQWFD KRKGIATGCVTLGAPLGGIFFSLVLQTLFDRYPWRTAALVLAAVLAAFLLLGILLVES NPSSSPVQGVRDLGQQAAGTGRCRPREILQILRSPKFWLISFALFGTSQLTRHLKTTA YELVLFIQWGSIPSYAVAADVGNKQFYLMMSYNIGAVVGRTVPPWLSDRLLGPLNATI VMNGFTLLAVLAIWLPLGGSSVAALFVVVVLMGIGTGSFVPLGVSCINALCRSDNAGT WLGSVYSIVSFATLIGNPVSAAILTRYHPSGLLVFLAAVLLSGMISAAALRWLSHGRR WLLKARV MYCTH_2123230 MFFLHNLERRVTLHPSYFGRNMHELVTTKLVKDVEGTCAGDYYI IAIMDTFDVSEGRILPGSGLAEFTVGYRAVVWRPFKGEVIDAITQTVNPHGFFAQAGP LRLFVSSHLMPDEIQYDASATPAQFTNNADIVIEQGTHVRVRIIGLRTEVGEMWAIGS INGDFLGCLQA MYCTH_2046431 MSLNLEKQLTFYGAYHHNNVNVAIHMVCVPLILFTFFELLSNYG PFFTLPSWLQIPYLEPHLGTFAAMTWGGLYLLLEPVAGGVLAAICLGAAAGTNYLRLQ DTANANKTSLAVFVVSWIAQFIGHGKFEGRAPALLDNLFQAIFLAPLFVWLELLFMLG YRPELKKRVDKAVEMEIAKFRERRAKKAE MYCTH_2296837 MDIPLQWTLSYLKDSLPPDLVIFLGNVRAALLHPSSPVHALRLL SIVRRLMLFWTRLAFRMLFWSAVALLASAVWQRGVERSARDAVVAASRLAGWLAGAAD LFWHEYEKAREAQARARAQAQMQYQARGYRMAGAGAGAGAAWGAAGAGWP MYCTH_2296840 MSKYGVMVMGPAGVGKSTFCASLITHLQLNRRSAFYVNLDPAAE HFEHPPDLDIKDLISLEDVMDELKLGPNGGLLYCFEFLMENLDFLSEALEFLTEEYLV IFDMPGQIELYTHVPVVPTLIKFLTQAGALDMRLCAAYLLDATFVIDRAKYFAGSLSA MSAMIMLEIPHLNILSKMDLLKDQMRKKDFKRFLVPDTTLLEDDPADRSRRKVKVDVH SADPTDKDAIMSGATFKQLNAAVANLIESFSMVSYLKLDSTDEDSVQAILSYIDDCIQ FHEAQEPKELADEEYDEDE MYCTH_2313783 MAANGVNGTNGPARKHYNEGTFLFTSESVGEGHPDKIADQVSDA ILDACLAEDPLSKVACETATKTGMIMVFGEITTKAKIDYQKVVRETIKGIGYDDSSKG FDYKTLNLLVAIEEQSPDIAQGLHLEDRLENLGAGDQGIMFGYATDETPELFPLTLLL AHKLNAAMAAARRDGTLPWLRPDTKTQVTIEYKHDNGAVVPLRVDTIVVSAQHSPEIT TEKLRKEILEKIIKTTIPAKYLDDRTIYHIQPSGLFIIGGPQGDAGLTGRKIIVDTYG GWGAHGGGAFSGKDFSKVDRSAAYLGRWIAKSLVAAGLARRALVQLSYAIGVAEPLSI YVDTYGTSEKTSEELVKIIRDNFDLRPGVIVKELDLAKPIYLQTAKNGHFGTNQNFSW EKPKPLKF MYCTH_2296844 MAAMEAANAHYHSGHQQPGPPLPASPTLTNPDMILPDYDRSDSP DPDLGSSQHASVMMWKNQNNSMSAPDMHQMFVATGMAGSRPYGPSGPITPTTPIIYGN GTLLSDIGEVTEVESTVGKPSPGRSKSSSRRSGSTTPEGGNGAASRSTSTITNAAMLK KKPKRGLNPKHERRSSIESTSTITNGDHAAAFADFDDSVSVGDSVFQGDDEQSMASSY TEGTPAVEPARLGVAKGDNTDRLSTYSTTSLSRRAEEILANAKKRLTTMEGNLNRARS SLYYNAPYGSDGSTPSPPYQRASSAMYPAATGAEPSTPPASHTQGHTRMSSDIAMRNG LPYRVSVPRSQSALGAAGGYRQPLTASKSADHIRGNAEVECHRSVHRTSLIREAGLKP LTEDEVARLGEADSESQKARLEAFLSPPLDSPSYGSFHSDSGTRYSQRSSSASQMRDI KDIKEQMRDLKGKISSLREQARADSLKRRSLQSLRTPSPFTHSQFDHWHAEPPSNRAS VVIAGNSADRNPWNGEESSVDGDARNAAQWLDNGYVAEGDTDYADAEERLDLDRADGP RPLPPSTRVVETDGGEEEDVSDMQTENGDVDDEQEDTEQEEDTFHDAEDADYLSESGE SLYHDTVQHQISHEDREDAFDYGHFFLHSAMGTMSLERRSSTDSYTSEDSVETTRGPV ASGTSSDEQRPMVGRRNSSNSISTIDTFTTAHEGRSLKSPVGSDEHYGASEPSSRATS AQSHRHRSGSTATAKRLSLTSETLHPPTSGSATRDSDGSISPIAEESSAAGSPAHQPS SSRAASRRASVVLRPISAAAVTHGPVHHRPSISSFESVGTNRSFPLVNKTRSSEGVRK ASSTGVLTPRDSPSPSSSAPVPSSGKQGQPSELGTIASRLLSDVEQHAITEVAKGEAA DGANGMSDGEAGSIGAPRPLEALLREDKYLVDRIVACLGRCVLGLTENGRASAESRMY RRRLDAARRVLEGLDAV MYCTH_2296847 MESQEVPKTCKVILADTIAKKLLAEVKETLASVQSPEAGKPTLV AFLANDDPAAGQYADWSKKTCEENGFNFDLRKVDKESLEEAVVAANEDDAVDGILVYY PIWRNNQQQDRYIQETVALSKDVEGLCHTHLFNMYHNIRFLDPPANTKKSILPCTPLA IVKALEHLQIYNPILAYGNRLFGKTITVINRSEVNGRPLAALLANDGATVYSVDINGV QLFTRGSGIRAPRHQVHDKEGWGLKDVLPLSDVVISGVPSEDFKVPTELIREGAVCIN FSSYRNFDGPAVKEKASIYVPSTGKVTIAVLLRNLVRLIANRPRPEGTSAESEKARNE AFQDG MYCTH_2296852 MFSYDHKAGITASAKALRQLYSMKGFKPSMGVKVLEDVCKIKED FRLQTAVTRLEVYELFLSLVRDPAVSSELKHKYGSACGFALDMIQVCQSERDPRNLMV WFEILRILLADYDPSAEVTEELFKVFSAYFPISLRSSATPIGITADDLKEAVRSCFSA HHRVAPHGFPFLLQKLDQGDAVTVAVKVDILKTIKACIEQYENPPANIVPHIEQIWNS LKYEVRNGEVKETIDATLDVLRAIARRLDGTKNHKLEVSSLKGYIGLVSRDCRDDLAN PTYTKQAGLLLMTVITATIRAYVLYNAGFIDILRQNLRQPKSPSHTRDLILLVNSFLK TRMELFNARKEAHPDDEKSLRAESRSHLETLFHDIYLPVWAGKAQAPTSEEKDVLKQV IQGLALLVSQQVLQPDGAPVLLCSSSVCSEICSLLTSTIIKGLTLSPNDNNDEDSSLE DEAVLALRTVVTNYTEGYSELANRVRAEVKKRDWAKPSEYSLGALRNLLSRFTFIGCS EIPSRIGADDQKRFSPLQHFVTLAASLLELFPLSSESESAETSRTKDDASANAHVISS LHASVIWFRDACEAKYGKDALNSNLTSSQNWLDEFKQLPEDWLLQIHRGDGASSATPL EVLQEDDPKVYLQFLKLSLFIVWQLYRSASAKRQGPWDERALVQLSQTTALVVQSLDE ELQVRCNLAYEAFNLFRDTHAPIGKDSWDLSTGLLTLGVLQGLRPGALAGLYEPGGQA ERFMRDSLGFVHSPSRESDVLAAIGAILANKYKGGPSTADPESLLMKRVLDFWGNWLG EATTTKPTEETTFQAHSTIAMNIVAGAAARQDKHVLSLIPSIHQAIPSPHPNGEILAN SLGLVIKQNPFLTPESHSIVKRFYKQWIYTHLAKPLLGPAQPGGSEEPNSAARYRAAV LSVVSNCPFAVYQDDLQALIRLLITALSSAMATETGAAAASPFTWSQIIAALEVLVEI LTHESEALKGYLREVIGGVTKVYQASCPPRKRDTETVGDGGPAQREQQQQRAVCRRLS LQVLGGIPSRFEERLVRAHALPTLRMLAVACGDPVRKVREVARTARGSWAKVVQSG MYCTH_2296854 MTASQEKITVYNLADLKNTSDDALPNYLNSIGFTQSHRLTDVRL ALGYSAFALAAACFAWDYRYGFEATKYLTAAAVAAYALLNGALTAWVLYVERGTVYVG TSRDGGETLRISTSTRKNVPEYFVTVEVTRKDGGREEIKFARSFTEWFDAAGHFVAAP FQAMFASSVPVIGKADPKRAAAAKGKEGGDGEEAATYTPEMLDMLAKANVSVVGSAAE EATGSQAAAGKKGGKRRKA MYCTH_2313786 MAQQIPVQTIHRDPQLFYWILFPITIVMILTGVLRHYATVLMAS APKKQDQKTMREQRALLHGINVRGNYHVLSKSSFTARRDALISAYEAGAYLKDPDNRG QPPPNPMSDPNAMEGMMGMMKNQMAMIIPNTLIMSWINAFFSGYVIMKLPFPLTIKFK SMLQAGVATRDMDPRWMSSISWYFLCIFGLQPVFNFILGSENAANQMAQQMTQMGPQG AGQMFGPGVDPNKQFLAEAENLAVIEHSSVLDGVEQRLLEGIKV MYCTH_2296859 MSYNYGPPPPPPPPAPASGGGSAVYPSYGPPRGGQSGRGRGGSD RGGYHQGAPPYGYGQQPSYGPQAPAPYSGPPAQGGYSQPPPQSWHPEHGHQHPPQGAT HGPLPAQNYHPNYAPQIYQQQPAYGGQPQYQPTPQPPYGQPYPAPPPPAQQWAAHPQP PPARGGYGAGGRGGRGGYNDRGPPQGQMMGPPIRMGFDGSQPQTPPAPASAPYPYGAP PAAPAPYHAPYQGYPPPAPYMPAPPSFDGGHSGHGSRHHGRGGFHQNNKSRPHFGGDK NRGRNHGQNKGPTAQTPPTQHQKPDASSAGKKKKRKTNTLGLTPGDDSGDDDENEEER LNEMYGADAPNPQTPSDIAAWIAERRAKYPTKARIEAKKAAAKAQNGDGQQSKGDSLE QKAEKLRKQLEKVESSIKRKREQQDEGDDMRDIDLSSPSSDIKSDDEKPEVMSTRQEP SNVPPPPKKADPTKHCKYYSTGGTCGKRGKCRFVHDPAVREAALKERELNGGRITLQQ RITLNDKDQEDLAIVETLKYLQDKGILPKKVVPPATEASGEQPEHTAAETGSTAAPAD AESSNGLPPIPPQPNSSDDDTTKYPGWNLSGFGNTGVRPGE MYCTH_2296861 MVEYRLKMLPLNLLALMGLLARGASASSSAAGESPSSASPSADV ELICHTDNPAECYPKVFQATDEFQVVHPDQELPMGLHVRLNVYTGVKEAKLNVPDEHN PALEGLPVDSSVVVVEREKAEEQAKPPPNAPSYDPAGSVKKPKSADPEEGSAFYKSLT ILKKGLDVDGALEMLEEISHDIYYGLKIAEDYDTIHELFCLSTRDPEDETALGRARIA SLILASTLQNNPKALAEIESHWAKLAPSTCRGGDPLETSIFALLPTTSKGSAQAHGQT QTQAEPAVTPNPGLTKARISLLTSLLKSPLFRTAFLSPSQPASAGGASHILRILTTPY SGGQQQQAEQNKDEEWNSAQRRAAILLVDTFLDADMGATVGQWPTKAQLTDKECAALF DGNPESEGREEECADWLIKRLSDKYKKDKGHWSHDLWKKMKGRDNKGRREKVEL MYCTH_2033463 MSALRQRAAQLARQARTARPSGARNARSYASSHGHHEAPHTVEE SLGPAFYVTVGAVLGSVFVYKISRPGENGELSTIHKWAQKLADYGNEWERRNELMSAA LEQAAKDKHLFYNAERSKHFELTYPEVFTHGSPFNVPAGHYPKIDKVVAHYQKQYEEE EARKAKKLSAAA MYCTH_2296866 MANPSGESAKHEVFDASKQAKPPPHFLRSPPLALPAVRIGLVSP EVGYGLFAARDLAPDEFVFHEAPLLTAPFNEKFAADRALVLSQTAACRAALAAHRDLV AVAYPALAARLGVPPLPWEEARRVLEGGGLGMNLVVGGSGGGDGRATTQFAGASVKQA EYEAYTAGIKVSASVSEADVRQACLDFFKHYAFQVPPKGSGGAAGVGGVSALMNAAST REACIYLLGSLINHCCTPPASASSSSASLVMKGKNKGPPPGPNCSWRIGPSGLAHFVR PRHICVQARRAIREGEQLTWDYGKREKGFDCQCDTCRDGLIGGLGSCHVL MYCTH_2107102 MADIEYNAEEAAELKKKRAFRKFSYRGIDLEDLLDLGSDELRDV VHARARRKINRGLKRRPMGLIKKLRKAKQEAKPNEKPELVKTHLRDMIVVPEMIGSVI GIYSGKEFNQVEIKPEMVGHYLGEFSITYKPVKHGRPGIGATHSSRFIPLK MYCTH_43163 MMLTRFVAGLLGISAADAALTYRGVDWSSVVVEERAGVSYKNTN GNAQPLENILAANGVNTVRQRVWVNPADGNYNLDYNIAIAKRAKAAGLGVYIDFHYSD TWADPAHQTMPAGWPSDIDNLSWKLYNYTLDAANKLQNAGIQPTIVSIGNEIRAGLLW PTGRTENWANIARLLHSAAWGIKDSSLSPKPKIMIHLDNGWDWGTQNWWYTNVLKQGT LELSDFDMMGVSFYPFYSSSATLSALKSSLDNMAKTWNKEIAVVETNWPISCPNPRYS FPSDVKNIPFSPEGQTTFITNVANIVSSVSRGVGLFYWEPAWIHNANLGSSCADNTMF SQSGQALSSLSVFQRI MYCTH_2107104 MASLPPSVPATHAAIAITALGKPVEQVTVPTIPPSAGEVLVRVT HTSSTPVDLHRVAGGLRVPPEDGGKAFILGITVGGTVVAVGPGTATGLRVGDRVFGFV QDGSDREAAFQEYATVPAWKLGRVPDRVDMHAAVAVPANLVTAVHTVVADLGLPLPWP VPGAEVAAAAADDDDKEEEVVLVWGAASSVGMYVLQVLRHWGYRHVLAVAAGKHHETL RGLGARACFDYREGDAAGAVAAYLDRVVRRSSSSRPGRPRLPYVVDCIGSREGSLRPL TRIAEKGTRVAVMLPVINVHAGKDRAAELEMDVNKALPGEWKDGVELRGVRTFLYHKN EFLRDHLQPEIVPALLEQGVIEPNKQRVVEGKTLLERAQKALDLLRDQAVSGEKLVWR VAD MYCTH_2296873 MASPRRIRVITYLVLAAIVTLLFLSQSRSADSQAPQDFYAKTVN AMDRHHAAAGQAGQKPLADHGVGADGDIDEDDSVLSRQLADRLRQAEQEAKENAQAKG PNKPETPEEVVGVGSSARGQERPAPVEKAREEPEKTEKDHEVEAELNSILKRSPVIIF SKSYCPFSKMAKGILLDKYIIEPTPFVVELDQHPLGARIQATLGEMTGRRTVPNVMIY GQSIGGGDDISALDKEKTLADKIASLGQGRISVSLRFAQSAQKAG MYCTH_91802 MCFVEQMHYKCGHKNQGKVSKCRKFLAANVGHLFLRKPVDCGNA VLIHHDLPGICLTCTVSQAKKLMEKKKRREMELRKQEQRRRERAQFTAQAAAEKKKKE EELMRRQRMERERQRKRKERGHAMSREEMEALRRERVERARRERNRRPQETRDLPAVS STTPSMSRPGNPISRSNEHHFRQDGTATLKDPRGRTRNAETKPQVRPQVDTLPVQTVA ARKPVVVNPPVSGIPQPSRARLVAAPSTGEQRRSGVEQGPPVPPKDPWRPHHPSLAPA PLSVPRSRPDGSAGASAGQPSRKPVGHIREGPNTPPAPSQKTQVPQRSVTVPGGVSSS SGGSSSRRLPSTAAPAQKSSLPVPAGYKPSAVFANRQGNNKSATATARTPAEAAAGAA ASVVAKVRARAKEAAASSSSSSSSSSSPPLVRGKQQALPSANNTAAAPPKEEKKSRKS LLKKMMIGLGSDESFEWVSKDAARIERGE MYCTH_2296875 MSRALLRSVLELRAPVVRLVPSIRPTTIATPTTTTTKTVTAVAP TVRFFNQTAQHIESSHPDPTIVANAASSQQQQQQQQQQPQQPQFPSKREPPRQPPPVT AKPISDSVRTLLPVLAAQPGHYITIHIHGRPYLVTEGDSVRLPFRMPGVEPGNVLRLN RASILGSRDFTLRGAPYIDERLFECRAVVTGTEAEPMRTMIKKKRRCRRAKRVTSKHR YTVLRISELKIRTDVLDEA MYCTH_2296876 MSPTPAERDGRQAAVGRRTSTRLVLRSQSAQYRNLDDSSDDEVL SPMKLSAITKALLGAGHVSDASSSTNVPATGARHSPESLGSSIPAPVRATTGGDQESS WKRTRDSNNAPASQPPTPPSGSHSRIGSRRGSIPAPVQPASRDQESPVQAARVTRHSR RGSTHSSRNPSPVGSRDNSPAPRKRVVRLNAPSSANGASGSRRNSLRNSFSSSSRGKQ PESADGSRAKTASSPAAQQDRPAKTPVLPVRTVRIAVGSSGQKPPSGASSDARPKYTT RSSEVEGRNASAAAIAPPIVAPQASLRVKRVGRTPGSFLSGPARRGRRRQSEDDADGP FEADAPGSGNEPGSQQAREEQQQEEEVAPSAASEYPDFAASGSPVSAKDSARAALRRH RSTFITPVSQRKPEHHHLPLAFQDPSPPAPDSAEQDKENEGPSEIAPVIPASMRAANP PPSAINQRAPSQSVAPSNPEAVEPHGQAHGAPNNHVLTARSHNIPQRPAPPPPPKMSV VETATAAAGASTAAQASKKRQFLLRVNGKTYTRIDSLGRGGSGKVYRVSAENGKLLAL KRVSLENLDERTIKGYHGEIDLLQRLAGVNRVIQLIDHEFNAEKQTLSLVLEAGELDF NTFLRSRLSEDCRFDPVFVRYWWKEMVECVQAVHEKDIIHTDLKPANFVLAQGRLKVI DFGIANAIQTDMTVNVHRDAQIGTPNYMSPESLMDFKEYALTSAYNGQVNAPPLQRPK HFKLGKASDIWSLGCILYQMVYGQCPFAKITNMMARVNAIKDWSHTIEFPEHTEHGVR VPPSLLRTMKRCLNRDQTQRPTCEELLCPTDPFLYPMELSSDVFTAADHGKVIPITQE LLRDVVWDVAQRVRRGDAADAEVLKLWPAAYWASCKKSLAMRSGAGPGGAAASGNGAG AEKQH MYCTH_2313790 MKHLAAYLLLGLGGNASPSADDIKGVLSSVGIEADDERLQKLLS ELEGKDINELIAEGTQKLASVPSGGAGAAAGGAAAAAGGAAAEAAKEEEKKEEEKEES DEDMGFGLFD MYCTH_2296883 MPILDLIASITGEKPPTSANPPPRPSPALPKRKAEDEIRPGGTK VARVDSASEKQSKSNGNSPRSSPRPADRSLGGSGDKQAPKVPSAADKKSLNGKPSAAG NKPSNAGATCSTANTPLSSRPATSRPNPTEAGPPRKRSYAEIMARAKANSEQREALVK IQHKTVERSMTMKERKELKAAEAKKARMAGGKSAIGRSGTASTPVRDTARAPGARNGP SSASTNGKKATPVEGKKVKKAALATTGYTGTARPRPGSTAARPGVSSRPSSETHARDR PRYGLSTSRRSYEEDDDDLDDFVVDDEEEEGAPGYGIAREYRYESYDDESDMEAGLSD IEDEEKMAETQALREDMEQEALERRLKREKEERKRKFLEATKAKAGR MYCTH_63776 MPQNEYIERHRKLHGRRLDHEERARKKAAREGHKQSENAQNLRG LRAKLYAKQRHAQKIQMRKTIKQHEERNVKGAPAEKEPSEAIPSYLLDRANPTTAKAL SSQIKNKRAEKAARFSVPIPKVRGISEEELFKVVKTGKKTQKKGWKRIVTKPTFVGPD FTRRPVKYERFIRPMGLRYKKANVTHPTLNVTVQLPILSVKKNPNNPLYTQLGVLTKG TIIEVNVSDLGIVTASGKIAWGRYAQITNNPENDGCVNAVLLV MYCTH_2296887 MELACTICTTPLPNRPDRGGVVVFPCNHAHCLACLRRNYTLSAG PGPGPGDGPGARGPFRPVQCCPGSRLPVAALRRALGLGSAEVARYRARLAEHDAPRKL YCHDPRCGRFIPELLRDARAGRCRACHARTCVRCGGRAHFGAGGGCGEVESDFVGGGG GGSGFGRGRAISELDKRSKKKKEEEEEAEKRFRRVAREMGW MYCTH_2296889 MSGALEGLKAATERIILDPKYHDLLAIIKGARNGAVYGTKVRFP HALVMIMLFRSGTLREKARLIFRATRTHARNLAKFATIYKATCLLLKHFGPTPGKEGP YDSFLAGLLGGYIVFGQRSPRSGRVSSVNQQIVIYVFARVALALARLAVQPGRGLPGV SEPETSAVIRRHAWPVFASLSWAAVMHLFRWHAAELQPSLRSSMTYIYRDSDRWDGVR NFLWHNK MYCTH_44521 MDPTVRRRRRPALSCWECRRRKVKCDHSNPCAHCARHGKHCVYK PFARDDSAVSPKQRSGSPGLITTSTTTATATTTTTTATSAAATIATATAIPPSIGVLP NTSSSGATARENIPGSNQTPSGFDSAIRGGRDSGLDASGPCHVLDRPRRLEEPSASQA GAGNNSLRAPAPRLPGTQDWQPLLSKQRDWGRTRWVGDATEFSSIIACYAAILGKEHP HVASYQKPEIAPLIAEASHALQACKNSAKGIKVTRPTRGLPTPFAFLGAPSPEVSRKM ASLYFENFESTHRILHAPTFWADYQRYCDHPASVQEEVRHIILLVVGIGSSLYEHADA AARQHNTEVVHQWIYAAETWLSGPLEKDRLDIAGLQVHCLAMLARQIFSMGGDTVWVS TGSLLNAAMQIGMHRDPSHLPAMSLLQAELRRRLWATILELVVQSALDAWMPPRISLD EFDTRPPSNINDDEISESTTVLRPHPKETFTSTAIQIALRASFPTRLRIVQFLNSLNS DRSYQRALALTAELTSSIQTNAALFAPKKNSPKPRCTPFHRNLLDYLTRRFLIPLHFP FSHRARSNPLFHYSLKVSLNAALALAYPEKPTPQGRRRRRRQQQQQQPAGNGKGEGET EEEEEEEEDESGKAGGDEDAFSRLLTTAGGMFREGLRCAAAAVSLELLAHAEAQRRDG SLRRSAHLRGALVRAVAHLLAHAEARVARGAETNVKLHMFLSMVLAQVRALEEQEQEE QGSDHDNDDDDRRGGSVEAAIARAARDSLRFCCGLLSARAAAEGNLAAVGIEGMPGFD FDGFGEEVAWESFFSNGWFG MYCTH_2296892 MSPTVLRVLISGGGIAGNALAFWLAKQGHIVTVVERFPHLRATG LQLDLRGPGIQVLKKMGLEEAFRARAAPEQGMQVVDKSARRRAYFPANKSGSGKQSLT SEFEIMRGDLCRLLYDAARGCENPPRYVFGTAVESFEQNKNGSSVEVRFENGQRDSFD LLVGADGQWSRTRRMMLGIGPTDGMQRIPGFHIAYFTMPLPMQKGEECLATTYMAPGR RGFMTRRHSPDKVQVMLTSKTDPDRLQSVPRGDVEQEKEAMADIFRGAGWITEDVIEG MRAAEDFYCERIGLVKVPRWSSGHVALVGDAAHCPTVLSGMGTTCAMVGAYILAGEIG RYSVSGSGNGEPDGLEAALKRYEETLRPFVDGMQEGILERADSQWVLSGSAFGVELWN CLMGLVSFFRINIAEVFGIRETVKGWNLPDYEVLRE MYCTH_2296894 MQSLTGRFRGCLRTGCVPFSSPPRQQFLRPGSPFWLAARRQNSG QATQNRADAIVVGAGPAGIAAVGNLVDKYPRGNVVWVDKSFDGGSIGQLYREVPSFSP AGNFLEYATALPIFRGIADAVPRPNAVTRLQALDPNMTCSLSHAADMLQLLSDGLIRH PSVKPVLGTVTEAGRNSSTRQWRVAVQPNHDIGHVPHQEEYHAPLVVLCTGTFPRATP LPAPVSRLGLETCLSPTRLARLLPRDRDRTVAVLGHGYAAAVALKNLFGLATSSHPRL RVRWFAPGPEPWSDGALLPPSGEVAEFARAVLGGGGGGGDGRRESEAGRFIERVVLPA SQERAEAVLREGLLRAGGVDYVVECSGFRRARLPELRPGVAQWTKLAFDPETGLFGPY RERSDKVIGLFGAGSAFPGWGSAAASRERETAGVLESLQYVKRMLPAWVDATKRGYWW RRGKL MYCTH_43727 MHLLALGFVTGLAATVDAQVVKVMLLGDSITEITCWRPLVWEQI AAAGLAGDVDLVGTMSDLDGRCSRPSGFDPDHEGHSGWQAYDIARNNIAGWVRSARPD VVQFMLGTNDVNIGKRDVQSVLDSYTIMLDAMRDANPNVKVIIDKLIPTSWSDATIEA INSAIPGWAAAHSTAQSPVEVADCSRAAGFTNDMLQADGVHPNELGDRFIAEQVGPKL IQFISDARARVRNY MYCTH_2050974 FVRAYINNIIIFSKTEEEYLEHLHTIYKILNKAYIYISAAKSFI GYPAVRLLRYIVNGEGITKTDDRIAAFKKLKFLDTLDSLEHYLRIAG MYCTH_63778 MSTFPQHRAMHDAGPFTPAIQTGHHHGSHALHQQAAVSGPYVPC PYEDKVTKDGTTARMVLLFLRELLEQLSLGEQAQQSYGCPMTRCHRSFAAPLQVVQHL LSCPELPNGEFDCDKCSTSHSFPTNEKDWAQWVGWRSPQPMHIQRKRSLGSKMKDFAL RKKDPSRKQTSAFDSNFKNASSMDTRPSTATSDAPSSIFTTKGFQQHAVFPGQNPEPP SFSAVQKPVLPSSLPEVDPGMFWPGFNGTASDLPSTVSSVALSSTVDETQSERLSQNT SQSTLFTSNLDPYQNTVGSPQDSDISASQQYMYPPQLPFNPGLTSIPSHVPTTSSMCL DEPLPLSQSPVPPADLRSAGSNDNGWWRPKAEAETPQPTPPSSGPDPGFPLQANVLGG LAQGIGSGMSSPTSPSTAASPFYQIQQPPSTQSMSRALSQESMQSGMTTVFGTPISEG GGPGAATPRAESHQPPAHQHNQQQGQQSAAVSSKQETASVEDLVCDECQWKPRGVREN LKGYLRKHKNTHKGVRLACDVPGCVKTFSRLDNLKKHKKDKHGIEDAGGSGSAKRAAG ESAGNGAQQEAESKRPPTRESHHMPMSVPIVSEDYSMLWPALHF MYCTH_2296904 MEQGVHTHKGHKKAGHPHLHPKPETGYTDEAAEECHDLIDQAEE AAHLHDPDSMYSITNKPEHVHRATGGLQGSVGSAGKGAAQKMQETGKGVAQKMQETGK GVSETVGQKVDQMKKTVGLEK MYCTH_2123265 MTDVLWLKDARHGGALLERFQPVRHFAHAWEQFFHDINGVMETD LEDSLEDLSEKLPEFDGNFAGSENTLSVVHPEEKLGEPTGSNGVTPSPGPLPNDEEGH LKTCISYCQEHGPTAQSLSDVDWYRSQIIQPQPQRKYTTAYEEEDSRPDIRGSENIRT SLADALKTPLAPRWDQQVQAAPFAPPPPQPTTQLTTPEDEERILEQLHIERETAALRR KEHISEVVRVTKAAAAATGGVPPAAAASGRDRRQAHVAPATAAPRRQMTVITTTMMRR RLPGPNVNCAVDDSGHLGVACEVRLARKRARIAEDPRKHGLPSCTWRKSKTRSAPKDG IPTVMLTEPEGESWYLVDSMCPVDEEAEGKVDGEDCSGDPNPRIKTFKIELSIILPAL SNQLSTAYPE MYCTH_2296905 MDQQRPASQKVPAGLACVLNSPEENRDSAYFSSTDTSSKHTSAA SGMGVLSPPHSGFQPSPVDKTPSPTTTTSHLLPQSLVSPATSNGNMSVASMVSPTTPG SSDPRRFDRTQSMDSAPNSAGFVSGDTPEALSRRESVDSRINQGFHDMRLGNSPYASH NHSTTSIHTTLQQQRNPRPALDTLSVHRISNGYQPSAERNPDGHPKTMRIAPAITGPA TSQIARAAEPTKGQAWAFPEEEIQRMPSTANGSLIDSRRSSIAESRLPPGQRRLEESI TPYQRLSNASGEYSTVHHHTLQHKQIGELRSEEGSAHAGTQPYSRTPELRVSHKLAER KRRTEMKELFDQLRDLMPQERGSKASKWEILTKAISEHQRQADLIRQLQTINATQQNE IDMLRRELERMHGAQQSRPVTDPYSQDQYGRPRPPELPPLRSLQSPTGPPPQGPESMT GVQYEPPRANGYRPAETGRF MYCTH_2028710 VYIDNIIIFSKIEEEYLEHLYTIYKILNKAYIYISTTKSFIRYL AIRLLRYIINSKGIIKTDDYITTFKKLKFLDTLDSLEHYLGI MYCTH_2296912 MHSLRRAVLRSAAASASRTATASTATRIAPFAARSTAECNAAKI ASPQVVRFFSQTARKAAEDKQLEEAQRRSEEDFERQAAADARQDVEEAIANTEADIQE AMQESSFPSNASQAVKAKPAAGGQESQNTAFVRNIVFELSEEHLTKAFSKYGNVTKVY IARDPRGMSKGYGFVSFETPEELKAACDNVNGSFWHGRRITCIPRATEGRQKQTKHRN SPDHPTQQLFVGNIPYETTDAELNRLFRGMDNLEDVRVAVDRTTGWPRGFAHADFTTV EAAIEAKKKLEGAKLGNRVLRIDFAEGYTRKIGKSRNQSSGRGHEAQNSRL MYCTH_2296914 MNGFLRQSPGLPEMALEQPGPMGQVLIDQNHGVHLRARTGSPMS PGWAPPDTQAAMEAAFNAPPGTQFSPEEFAKFQQMNGTSSAHATSMPRSSSNIMTQPQ RPMMMGGMGSYGMMSRPMFQPMYNPQMQMAHQQPQQQEAEGKGKGKVVELDENQWEEQ FKRMELQDKELQEEKDEAKAMEPELDQMDEKLLHSETGYGDLESIWRGIQAEQEAMKE LDDIEDNFAKFDSSYFGGDNLNDWGLSNRFGADPVVQDYLFEEENLFKDQPNPFEEGV RIMNEGGNLSLAALAFEAAVQKNPDHVEAWVYLGSAQAQNEKEEAAIRALEHALKLDP NNLAALMALAVSYTNEGYDSTAYRTLERWLSVKYPSIIAPTELSSAAEMGFTDRAQLH QRVTQLFLAAARLAPDGDHMDPDVQVGLGVLFYGAEEYDKAVDCFQAALHSSELGTSN QREQVHLLWNRLGATLANSGRSEEAIAAYEKALSIHPNFVRARYNLGVSCINIGCHAE AAGHLLAALDMHKTVETSGRQKARELLGDDAAGPGADARIEAMTTQNRSTTLYDTLRR VFTQMGRRDLAEKVVVGVDPDVFRGEFDF MYCTH_2296915 MATLATTSGEGEAVGVLESAQTVAGNAAADSLESPPTSKESAGS LKRASPHDANDDEDDGWQTIERGRPVKKHKKVPGPGSSRYPGIHFSHHARLQSKIGVS SLRDLILYIFADGPAPQWVSVKHRPEFRKIVTIMVPGLEEAMFKKGVDFSSYSNLTPD QAIDRVATSPDDYYPRELKKEALPEALQLFADMFPHLWPVKAPGDDKYAKLHSPVQSM LTVPLNKDKKSGVKPVVDPQGWKDVRTRITEFLATPEELMENGFPKHPAMLQGQQKET FKDPDGWVHTRVDNLEDGDVPEAEIEQGSITAGRRVLALDCEMCMTGESEYSLTRISL VSWDGEVVLDELVKPDKPIVDYVTRFSGITKEMLDPVTTTLGDIQARLLDILDPRTIL VGHSLESDLKAIRLAHPFIVDTSILFPHPRGPPLKSSLKYLALKYLNREVQKGGGTVH GHDSIEDAKTCLDLVKKKCEKGKAWASGDVQGENLFKRLARAGTAYRATAGPEATGGL PVGKTSAAIDWGDATRSACNAATVTISCNSDAEVEAGVIRAVKGDPDGLEVPGGGVDF VWARMRELEALQGWWNRNKLSPDASAASGPPPLPTGEGGETMTLEECLAGLARRLKRI HDALPPCTALIVFSGTGDPREMSRLQAVQAQFRREYNTPGSKWDQLSVQWTDKEDQAL RRAVRAARCGIGFIGVK MYCTH_2123273 METVFCECKKCDAPIGRLANLWTQIGKGYFSPVIEPEDDLAIHP QGAIRIGGRGTLVDECHLQDVVCTSCNALLGLRCVQTPVNHVLDKNQLLLRLASVELL NSDGKGIKFNIQRILVVNEPSKANGERFQNPPQESPGGFTSGYPGIRELRQLQLDLRS QREDIRRIDSNGFRIVSALDKRANRIQYEVGKLSDAMLGLHRDIGGLQQDLRTVQDEL SKVPTVAHDPNALAALGDRVTLATAAVGRLGEQLAAMDAQFKIDTDQIWLELCQHQEV IENSKSTIGSTVPAAEHAQEMANIRAGMAELRQQMNEIRAYETVQTNSAFPFPSRELE VITSSIAKIGNRANQVETLKMELEMLKGRAQFRGNLMPFLACGNEVHPQTSNPSPRDL RPPSNAQAMQIDGIRRRLKSRLYHRVP MYCTH_99686 MAQALPPGVRIHNDPSSPKRRSLRATRAFSPGSTIATFSNPLLA LPDGATMRTTCNYCLRTTAPSPSPSQSAATPPAFKACTACKAAVYCGPACQRAHWKAA HKAECKMFARVREQAGKDWLPTPVRAVAQVLLTLQQGKSGGGGREAEMRRAFIGSADG EEEDGLEGNVEGFKKDGEVWKDMELQATAAVVYAGLLQGEEVLEKAREILCKIQTNAF NRLDADTGMAGIFLDVGLAMVNHSCVPNAFIGFDKRTAILRAERPIQEGEEITISYID NTLPKAARYEALRLYHFQCDCVRCKDDLDVYEVCQSSPVIPLNSFSLHPDLAKLRDPP GDRAKVSKAEMEVIHKKWQALAKPDGDDEESHLKLARERWKVCRPLIEARMWAAEPLP TTILQLATTWQTSYKKVVYALPLFCFLSTECDPYKFVAPFMPWRIKNIVAVVKLLAVT GELTASGALATRCSHEALVGTLATADQVSICEALLRLAVHHGASSVSDDWEVFTQAKS MLEDVESLEGREQESNMLRTWVEDPEDPRGAAFFENQVLRPIQTLSTFAVEILESTLD GGSLVKK MYCTH_2296918 MASRGQQQGEAVDLNTLSAQQLSAVKKQLDGEVEHLSSSYAQLA AAQAKFKECLRIVKSGSSSLDKDKSILVPLTNSLYVKGRIADPDRVLVDVGTGFYVEK DTKSAAEFYEAKVKELAGNIQGLEGIVQAKTNNLRVVEEVLRQKVLAQGSSGAAAPAS S MYCTH_2107129 MEYIRKRKVLTAGADQVFKEQSPNLSVTVHVSWPAPMRGFKDID PSDVDVAALLNRLAREHKAAIAAEEIGSNIFVTVKATNRAKAQEVIGYLRSQLLYRPG EDSVWRARLLVYPPSDSWPSIVAALQTREGTTGRRITALTSQPLGFVDTSIYPTKAEY KENLTAALNQTAEFLRNNPNGMRMKVQFGSLIVNEWTKDKTEYTLEELLRLINRVGTR GTARMLDTVSETAAKALVNCLIPSNAELPEFTRDYLESGDPDRIFSIILQTKNLNVES NIELVDGQQEKFKNVKRARQYTLGPLAIRQLEKQYRAAEVITICPESFYDWGFKIRKD AAEQDARPSAPFKVEELQQSVKFTGESLQEGFPNMDISEPFLKHNEIQAVYGTTILRY NLSMHYSLDIKFVHQWRPKTPGQKTLPTMATTATVLLYGDDWDFQLRAGVPVPRDWND SFVAQFLQQSPDDQGPRGMTGEPMNHLLGWVEWIQKALDSGFEQDKVIGNSA MYCTH_2296919 MPRPARATRHLPGVAREDSDDELGTDDYPWEWIYEKEAGEEEAA EENGRKRKRSTVHEPQIIGARMGKFECYLGDTVLLKADSFNEAWVGIICDFQVDEDGE KAANFMWFSSPQEIRSLKKRTDYLENELYITTAFDVNPLTTVNGKAHIMSEAAFKAKY PSGKVPRKAPEYGKVFICRRGCNTRTCTYTEEFVWEEIYRGRGDIDSLQEMVRKNTKA TRRRKQTRDEPTDQDYKQEADDDREGEYAPGTPRTPKRARTRDGAVTPGSRRKPGPGS KPTTPSSHRRVLVKKHLEFTPLATRVLSPQHVLASPYQLARSQLHVASVPASLPCREA EFSLVYSHLEAAITDGAGTCIYISGTPGTGKTATVREVVAHLDAAVRADELDDFIFVE INGMKIADPHQSYALLWEALKGQRVSPAQALDLLEREFSHPSPRRVPCVVLMDELDQL VTKNQGVMYNFFNWPGLRHSRLIVLAVANTMDLPERTLSNKISSRLGLTRITFPGYNH EQLMRIVQSRLEGVPGDIVDPDAVQFAARKVAAVSGDARRALDICRRAVELAEADAKA RDEGDDGAAGAPPDTPSRKGRKGGKQQEEEEEQEKEKEGQTPSMKKKKMRSAAGRVTI ETVRRAISEATSNPLQQYLRSLPFASRLLLTALLLRTQRTGLAETTFGDVLEEMQRTL KLAGESRPLTLLDRKACGIITNGAGTDPGFMGPARTKNVSQLVRPAGLGAAAVDLTGA GIINLEAHRPERPSKMRLAVGDEDVKLAFRDDPEIKELGVIL MYCTH_2296924 MINLLIKHRSPINATDSAGQTPLHHAVAEGHGDAAIALLKAGAE TDKKDVDGYLPLDTAPSKDVSCSPLLKKVRPA MYCTH_63795 MEEGKNLASADHKHRALVKKLRASISDNEKELNALRTAKNKIDK ELETLRSRARRADELEKAHDDLQKLLGQTQKELNTLRTEIRSKDSTIAELRSQLQKAT EQADALAAKANEEAREQDKRRIADLEEEVAALKVEKALITDRSKAQATELREKAERAN ERARALELELKAEVQVMEGKLEEMRARAEEASSGAAGDTQAKLLRQIETLQTQYSIAS ENWQGIETTLLARITGLERERDEALQRESEMRRKAREAAARAKRQEEELEEAKTKIPT IQEDVKSYRTQLDSLKKRAEEAESALAKATADFEKQKQAWEAEKEERQLREVPERPRS WLEGIPGGSFLKPESRPASPQLTTPQRTFSTDFLGISIPANKLRKASGPSSKSDAGGA AFESRMPFSRRPSAQPPSGRPTLNSNPSGSGIFSPPSVFSPTSDAPPSHYPGGGAGAG HPLDMEDQRGDDAFDSGSRAGGIIIGERSASPQQMLQDMVSVSTVAAGPSVQLVERMS AAIRRLESEKVAAREELARISRQRDDARGEIITLMRELEAGKAAAKRAEALEAEMAEV KERYETTLELLGEKSELVEELRADIDDMKAMYRDLVERTVK MYCTH_2088965 MSLISALRVPYREARDGFWGEQTSTLNWCEEDYNITFYCAEVVN TLTNLVFMWLGFKGLRNVIAYSHSSVLILVFLGYIVVGLGSMAFHTTLKYEMQLADEL PMIYTVCIMAFATFAYKRPARVRALIAIALVGLAVFITVYYLYAKDPVFHQVAYGLLT AGTIFRGFYVMETDLRPRLRQRKQPTECDEYMLRMYKLAVTGILMFLAGFFIWNMDNI FCHHLTGAKNKLLLPWSVVLEGHGWWHILTGLGKRSSCWTGRLCGPFHRWCPSRARLQ MGTGRRRPSNRVLSSHCCSDSPLLIRHGSRSRYNCIPQLFGNLRTVKMSISNEALQKL VREIESQAIAAQQQISLVRTQAASKQREMRLAQLTRNEIASLPSDTAVYEGVGKMFVG VGVPALQDKLGSQIKDLETEVDALGKRLHYLETTAKNSQEHIEKMLKGAGQS MYCTH_2296933 MASYSDDESTDFHVERHRVRVREHRRDPSPFHRVPPPPPPGPQY IQTVTRDVRDHRAPVGYYHAPGPRRPEERMTVVPARSRSRERRSPPIHHVAPAVPQVP NVIINQQRPIIHRSRSDSDSDSSSDDSSRSSRRHRRRHRYRSRSRARSRSSSTHSYLE DARDKWRLQQAEEQLNQLRLEARRREEQRQMERYTKEQAELAQTRAELEMRQRREEEE LRNDRFRDEQELIYLKREIARIKREEEELRLERAREEHLRLAKDSEELARLRRDQDER RRQKQAAEEAEYQKQKAELERRLREEEAAKHDKAIQEQAKAKAALAELERIHEEKKRK EEEERIKRELELKKLEEEKKAEEERKRKEKEAEEIIAQYKAKEAERIEKEKKEKEARD KELQRIMQERLINAGLDEKEIEAVLKNEKIKRDKKEEEDKQVARPTYTKMSLRHLDIE TLIAHQIDFELDRTDPGYVIIKRWVPEWEQAILWEHTRKRRSGKVMLEVEDHHHHHHR RSRSGEYEFVRRKSSRHRSKSPSLLLYLAGGRPR MYCTH_2296934 MSDGSRSALSKSTFHFAAGLGSGVLSAVLLQPIDLLKTRVQQSG AHSLSAAIADIRAAPRLLPALWRGAVPSALRTGFGSAIYFTSLNAIRQTVARLSSPPS SPTTTTTTTNYRGSSSSSSSSSSLPKLSNTSNLLAGAVARCFAGLILMPLTVLKVRYE STLYSYTSLASAARDIAAKEGPRGFFAGYGATAVRDAPYAGLYVLFYEQSKKRLSSLF PPRDRDDDARGREAPLGGVGIQGRDGPRQEEEETTGAGAGARARPAGMGVSRAAAINF ASGVLSAVVCSVVSNPFDAVKTRIQLQPGRYRNMAQGARRMVAEDGLRALWDGLALRM SRKALSSALAWTVYEELIRRAERGWAAGASDTL MYCTH_2296935 MADILYELLLTPDTSSSSSSSSTPSARAPPDPSALEYLKTLASQ PLSSLSSSEPQALAQSSHGLLLSLQALSKKSHKQIIESASHHATLRSTLPALAASTAE LRNAIPRLDNEAVRFSTTYSKSSESDVLARRKKALLLSRNVERLVDVLELPTLLSSAI STAPVNYSSALDLNGHIRRLHSIYPKSPLVESISSQADEAMRTMAANLILSLKAPGLK LAASLRTISWLRRVLPDLEAASAVATSPPAAAAASGSSSTARARESQERVLGALFLVC RLATLMNMLEALEPLRELADQEKARQRAIGSGNAWSGGQQTERYLKRYLEIFREQSFA IVSMFRSIFPATTAVTSDGPLAGPPPPPPQQQQQQQQEGGGSDPLEPMPSALATFPLY LVDMLLETLRSYLPTVKDQAARDSLLTQVLYCAGSLGRLGGDFGLFLATLDVGPEAED EWVEVVKKHRALAGRLESIVGEQRRGTGDKGMVG MYCTH_2296936 MEGQPTGPVLLSKVRSKYGRCDYKRFDNIASTHMRAGRGSIGKV EVDCRFLFTKSQWGVIGEPKNPAGIIYLDLDFSQPTDCRLEAATVVVTLTEDDGEEAR IQSRSACPVKFTDHYGPKCIRGPEVLVQTKRVKNRTPEVQVLGYGAGGVGLNKERIVQ TRTRWDFSGYISSTKDSFWYNRLRWELKENSLEWQPTHRNMFHTAFALEHNATRFYMT VQVSGKLAKRSDQLKSRLKFGERGGKDEEIVTKIEWAEGYSCPRRLDRIAQDLHEAME YANMSRVPVEIPSALGATYRPAIASPVPLTGQVQTRPSNSRMGQADRSSSESWPESRP LTDAAASEAPGIEPMLEELRRAHAGFAQRPSHRLRPPSPAPVGAPETDAEDGAESLSS VTLVDTAVASQDGRENSSPAVSESNCQEKWNEKEREQIEKKPRVQGLWLWMLAILMNS FGDLAGVVLGLFGLSALPSVSI MYCTH_2296937 MTQRGRSSRHEGHGLQTQSNNAIPIPADSMAKNHKPPALRSLQN LIMILFVVFLSIWAILTLNIAPRRQHRGVAFGSGR MYCTH_2296938 MIVLCLRVLGYLKTCTSKAITGPVRVYAKHLFDWRRLYNDLVGE SCVWDFKDLFLACVSVFGWPETIEQFFGTTHTPLALDTIIKDWNGEPLDEASMMGLLD LFTSPVLQSHIGRKARKTLLMHHAKALADSVEQNKPELMKTRPFIQWLLAKTAHELEA PPERPDGVRMEHFGGLKLDQGAGIHLPIYVPTRHSRKPDWDMFFFRSTPAQRRVVELA VRTAEQIGDYALQAEAMKLLILQSQDPWRLMSALASLQLETQGDKEGYLSTCLSMYLV ATDLTAEANLLRDLERPCQGGNMLLFEQCENASLTWAWVMIRILLASSINGDAGSDTT TDSSEEPSPFLARRLHLDGTRLAPYIAEFGRAELGIDISPPMEPLSVDDRIEEPDYSD DQRIAALRRRFARGASEAREASPRANPWPYYTGQESDPLAHGISPSVRVNGWPSTWYE DAQH MYCTH_2296939 MRDPFPILPIPALSRAVEPLAERLSMPTLPIHIHEVVGAALFYT LIQVVVSPVLSARLFPKYYPVHNRTKKANWDTHVVSLVQSLLINGLALWVMFADEERK NMDFEQRIWGYTGACGLTQALAAGYFVWDLGITLLNLDIFGLGLLAHAISALTVYIFG FRPYLNYYSPIFILYELSTPFLNIHWFFDKLNMTGSKPQLYNGIALLTTFFLCRLVWG TYQSAVVYVDMWYSLTRVPSPEYIAAAFADPLTASDPDANPMFFSPDPQPVPVWLTAL YLASNLVLNALNWYWFVKMIAAVRKRFEPPKPGKKEPLPAEPVPASAKASAVDRKDSV TARHRRTPSIAIEDVVPDTEELREGTIQ MYCTH_106293 MGFVGVYKAVYDYTPQAEGELEIAEGDLLYVLEKSTEDDWWKAK KKATAEDEEEPVGLIPNNYVEEAQPQGKARALYEYTRQTDEELSFPEDAELVVFDTSD PDWVLVGYDDDYGFVPANYIEIGAPETKEEEPEASAPAPPPLPARTPSDDVQSPPLPA RNQAPSEEPSSDATSAAPNPATMIAGMMAARANAAHPPPAPLDLQPRNRDADEESDSV KSPPLPARPRGDSHLSAESPRPSPPVEQRRRNDHGSRNDLDTPRTPRTAPLTPGDFHM YNINEMVSIMGKKKKMPTTLGLNLRTGIIMIAPEYAEDGPTQEWTADRMTHYSREGKH VFIELVKPSKSIDFHAGAKDTAEEIVAMLGEMAGAVRAEGLREVIMAATGPKGIQQKK GVVLYDFMAQGDDEVTVAIGDEVIILDDTKSDEWWMVRRVKNQREGVVPSSYIEVTGI LDTPASTVTTTTGINVGKSTVEQNRLEEERLYKEAIKASQREEQKERERSRSEVGPGL PLPDRSSSLSARKSNTEAQQRSRREDGRAEASASSRSNGRSKPDPTKIRTWTDRSKSF TVEAQFLGVKDGKLNLHKLNGVRIAVPISKMSLEDLEYVERITGISLDEDKPLSDLKK RANGGEGSRRSPPKVGATIEPKKPDYDWFQFFLNCEVQPGLCERYSQAFIRESMDESV LPDVDVSVLRNLGFREGDIIKVMRYLDAKYCRGKKAAGDDEGSGGLFSGPGGALRNNT RKGRPAPPVETNDTIDPKALSLDKKKDEAKATSPATTSTSPGAAKPASGFDDDAWDVK PSKTPDPAPQQTSAPPASTAAPAATSAPAPAPSTAKLTGSMQELSLLTQPLTPEKVQP PASAPPPALSLPPATTTAPASAPAPAQVPQPTGATPGFFAGMQPPAVNGQQPAQFAQQ LPQNLARQRPMAPQYTQGQGGLMPPPPARPLSAPQTAQPSAFAPPPLQPQMTGYPSSA AGQVAPPGQSLNDLRQQQQFAQAMQQQQQQQQQISMMTGMQQQPQQTGFPMQQGPFMP QPTGMGVLQPQPTGLAGMQPQPMPMQVQPTGFMGAGFPQQQQPQQLQPQMTGLPPPLE PQRTAMGMGMGMGMNNVGVGMGMQPQQQQQQQPMQPQPTGFGTAGGLQPPAQPLVPQK TGPPPPVKFGINEGQKKLAPQPTGRRANLAAATPDNPFGF MYCTH_2296944 MPELDSDNEEYRIRDQGDTGSEGELDGHDSHGSDEGHIEAGSGR DDGRGRHQLEMEDGMLPRDMPPKTAFYDMVTERQMTQTDAKLFYQRSQAESRTQLNQL SQMSPQGSPLLAAGGLASPSPDLSSNLGRSFSNLKLSDIGSAPTPESVPDHSLRKETG FIGAANAASLGSHAQLNSAGPVAGTPIDAALHQQMLLGGGVPGLGNSSYLDADPQINA ELSTIFKDIKKILDLRNKYIRLSLQGPFDNPKDDPSWNIYPPPPEPAWTEDREKAARG GNSASNSMQNSLVLNVDKQRPDEQASDQGGGAARKSKAKKRKPGQDIGEDFDLEDLLP VPGPCEMTFRMDDMGVYQVYENQQSEEADTPFVKVPTIKEYYLDLEDVLNISSDGPSK SFAFRRLQYLEGKFNLYILLNEYQETADSKKVPHRDFYNVRKVDTHVHHSACMNQKHL LRFIKSKMKKFPDEIVLFRDGKHLTLAEVFESINLTAYDLSIDTLDMHAHKDSFHRFD KFNLKYNPIGESRLRTIFLKTDNFIKGRYLAEITKEVIADLESSKYQMVEWRISIYGK ALDEWDKLAAWVVDNKIFSHNVRWLIQIPRLYDVYKGSGLMDTFEQIIKNIFQPLFEV TKDPSSHPKLHIFLQRVIGIDSVDDESKVERRLFKKFPVPRVWDSKQNPPYTYWIYYL YANLVSLNHFRKQRGFNTFVLRPHCGEAGDSEHLAVAALCCHSISHGLLLRKVPLLQY VFYLEQIGIAMSPLSNNALFLAYERNPFIQYFKRGLNVSLSTDDPLQFAFTKEPLIEE YAVAAQIYKLSSVDMCELAKNSVKQSGYEFSIKQQWLGPNFHLPGRSGNTQVKTNVPD RREEFRYQTLMEERRLLERYTSAPGGTPSVAGDPITSTGLPPRSPAPTTQAHATASTA GPISDPRQQQQTAPLTTRNALEGQAGGIGSPALTGIPMSLSQTQLSAAGSPLTLAPSS GLGTPAAGVAAVADPTLHSLSGHEPRYFPGMVSRSQKKGSTRRESGHESDVVRGDGEK GANGGRD MYCTH_2296946 MTARRRPALHKQTPPNSKDRGDKTHVMPVPSPRVINAAPSLEKA EMYGIDDNRPVFSRAMALAGRVFIETIPQWLAIGAMLSLIFGGCCSNVFALESIIKVE PASGTLLTFVQFLFVAVIGLPSQFDPKRPPFFLKPNKVPIRRWLVNIVLFFSINVLNN HAFSYDISVPVHIILRSGGSITTMLAGSLYGKKYSRVQVTAVLLLTVGVITAAWSDAQ TKGSSSSKSTGSTSFGIGLAILFIAQVLSAIMGLYTEETYRIYGPQWKENLFYSHLLS LPLFLPFLSSLTKQFMKLANSPPLALPVPPPEDYPNLSPAIQKGLEMIQIPSQLFYLV LNVLTQYACIRGVNLLAAASSALTVTIVLNIRKLVSLLLSIWLFGNRLATGTLIGAVI VFFAGGLYSLDGKRKPPQRRAAAK MYCTH_2313806 MVLEAVMIVVDNSESSRNGDYTPTRYDAQCDAVNIIFQNVVQGN PESSVGLMSMGGKGPEVLATLTTDQGKILDGLHQTKKKIRGNAHLSTGIQIASLALKH RQNKSQRARIVVFVCSPIEEGEKELVVLAKKMKKFSISVDFVLFGDMDEENQAKLEAF NREIKGNTDSSHLVVIPPSSKLLSDQLISTPILLGEGAAGGAGGLGGDAGGSSEDFPF GVDPTQDPELALALRMSMEEEKARQEKRAREEAEAAKKNSLESVKEEGESAPLLDKDG QPSGSKKDDDDKGGKSDDADKMDTS MYCTH_2141997 MQSVSNKKRKSDQLDMSSDNQHVVNMSSQKGAANGGSAHPQEGP LKRQRVGITLAQKQALIDNLQLEITERARKLRANYNIHAQSLRTRVEIRVNRIPLSLR KLTMGELLERYSEKSQQRPNAHPESVRGPPVPAKDVPSRPPTRGTATSAHPVKRRSHE ISGGDKENEVQTPQKKIRPHPITTDIARNPAQVLSPAPSNSRFAPRTAATPGRPGTAR PPVTPGRAVAATNMLNKMVDGARSTTRPATATGTRKALASSTGPGSAVAAGSSSSSAA AAAAAAAAASARRKRGATVTAAVSVHPNPPSSASRPATRTARRASGTSESSEGSTSTV IRKRPMTAPPGAQPKPPPPTLQQQSGAASTATTGRRTVVGTVKKSVAGSGPGKKAVPA GKAAAASNGTGTGTGTGTGTGRVLRKRP MYCTH_2296955 MAEPAPVGRKVIYCGVCTLPPEYCEYGGTVKKCQDWLEKNHPDL YAKIWSPEALEAATASLSVEAQERAAKDAAKKAAKAEAAEQKEADKRAKSIVSIKRIE RNKRKYVTAVHGLEAFGLDLKKVAKDFGKKFATGASVTKVPSGGEEIVVQGDVSAEIE EFLLEKYKEIPEDNIELVEDKKKKAAAG MYCTH_2123297 MAVTSLLAAVAGELLPPLKHELAALASRDPNTLDYNGSVRCNLT RKGNGHDDEDSGNRLGRKGTASENPVPLTVTLDELHHGPLGPRSKLMYRLHWLVPEND ISDHRIRVCMETDDGDGMCGSSSWDGEPGPINSGSRPCKRPLNLPHLRRRLSLANHLI RAPLLVLSSSHEKSVGARLTLAYHTHRLAVQVVPQLIRQLRRLATVMLPSSSQCSLSV RRPCIPPATAEAPMLLSAAFQSHQACAVTWLGGGLASSFSYLLFSRLSHRLQQ MYCTH_2296956 MTDQLLLPRTAYRPPSPLGRRRMAQTLNPIMEEPEDTRDSFVER RRKRRSVEKIEQWLSPMSDHFPTPRGQHFLAAPVLPATPSCVSSDAEPEPEPELDLDN DDTSSQASSSIPSLQWNRHNNSSNRDSIMTDVTEFDDLYDVSDDEITREQRLQSNGIS RQRSSTSLRRASRASVGLLRSLAPLVIPEEQAPAAAKKLMSPIPPTPPSAVAMSPAVK SFMELRQAQETPRVSAPPSLDGSINSEEMAQMSAPPTPSIGAQDNQDEEWAGVHLQPG ALETLQALSGSDAEYEEEPAQVIEIPQEMTQARQQLPRLVTGAGVDRAPSLRHSLIGL TRLEIPSPGGFFSELSSASRRTWNSQPEEANPPTSTTAENFYKTPWTKPAEKTPPPPP PPRRPLHLDDLPSAIVERVVELPLNNDSGDPPTAIREQKTPVTALRIPPTPKQPEAPS SGAAAEEPLSPADVDEIIAEYDPECVAKREENALSHIDRTKMWLAAQRAYLQGIVGFS EEREASEESESESGPHVESEGPSAVASPEPEGAEPKKKSVRFSEVVSKKDIPRRLPSK LARQESSYYRAFTDYSVRTCAPDVFIHRHTRFEALQAQRACLRDAHRNQLLGKFQLSV VPQSTKKRMSANVVRGDDVVVDDPEKLRAEKEAEALSQMRMATWHVAATKLLNGGRLI AAPVGKRLGRQSYMATRADGTSRDRARILDLGGQGTCDWAWHAALLYPNTEIYTVTTK AIRQLSNSNIRGPPNHRQVAVTSLAKLPFADNQFDLISARELHAVIKLFGEHGINEWD ACLRECMRVLKPGGYLEFTLLDADIMNAGPLGNAKAVEFHFALQTLGYDPNPTRSFLG RLHAAGFDEIRRAWVCLPVGPKHPPQSVFTTASRNSTTSSSSSSSSSSSSSSVKVHRL EAMVTGSTDNIAAVTGIAAGWSWERWLLRAEMESAAGELRLADTVTPGTAMREAGKMI ADVHSVVEEGRKIGSCFRMLRGYARKPGPAPAPKATTTATRTTTRATKRVEMEEVADS GFLDRSGSIRICLDTESLM MYCTH_2296957 MVKTHLDFDDGFWWTEDLATLPPSNPSRASFRKDAIERPPPTLN VLAASWNMKQTMYSFWQLLKITGLLSDSRESELASFPVLYRAKLLLRETLFYDFQQAD PAIQPEPNSSAPHVIFDDADSYGRFHVLYNCMVQFLQSFDSLLARPGTLGPKHWLAGF FALCIFSVVKTILVDRAAHLRFNSPSHANIQAMHAVYKALVSVFAWCTPMLLDGQDME LGHDDRELLLSVGIFLGRSAWAERGIASSKDFLMLLGGGETEAIYYHGFIKPRPPARQ GSFVLPPITRTAEEPRKPLPDMRPLITSWGAVPPGQPDREVYVFKGEPERMLASPQTI DPGRRHTVAESPTSSRQPSRVLTSPVPTPRMRASYQRPPLRRVYCTKCNEYPEGFRGE HELRRHNDAKHAALVKRWVCTQPPDLSGSPQPVIPLAKCKACVTQKRYGAYYNAAAHL RRAHFNPHRGGKASGDWPPMSILKDWMREVRQSIDVQDQDDGSSGDDEAQDYKAVHEL VAPPHRRSPVPEVPRLAPAPAAPQQTQGPPLLAPSVDRVNSVSLPPIMMHSSQGAFIA PTSTLKADEPPHVPPSSSSSSARSRCPHPECGRVFKDLAAHMLTHMEERPEKCPIETC EYHIKGFARKYDKNRHALTHYKGTMVCPFCPGAGTAYEKAFNRADVFKRHLTAVHNVE QTPPNSRKMVLPSGAGRAGGTGAKCNICQSQFGTAQEFYEHLDDCVLNVIVPSTPQTA GSGSGSSMTRKDSTRTPTTASTEKGKELDMELETRDSQKPMNSRERHEDVGMRRAHAM DREHSMTQQQPEYLGVFLSDKDAMQIDRQDRQQQQQRPEEQRSERRVSNSTIPPLRSA SSNTQEKQQISLEPTSSEPEPKAEIEVAVATTVSRRDEEEATDLERSRSSSAGESGNH TQLQEPDQPPPNHGGMPEIKRHQLPRPEVTLGSPAPDVMDTDTQ MYCTH_2296959 MSFPAPSLVHHSLPVVVMAVLLVWAALVLVPRWRRTDPATRSVT LKPPLATDLVVFSGPQGLPEITTAAAATQPIPIPTRNPHARDRTHATNDTRHSHSTTS AVSFGSSSPPDSTRTQVTDPESDPNTTTGTAISVFPWRSPPYSLALLPQHHRLRRPRR PRRRHLVRRRSGTPAAAEHSAPGAVLANKSYNDDREGNDAGSGDSVFAQKGSSSSSSS SSSSSSAAAAAAAATVWSGSGSGSGSPDGDGDGSAGGGRGSYSEQVLLVGVGGQF MYCTH_2296960 MFVGRLFAGVVLWHGVIGGPLSPAADGSAAAARSAPRKLHGRFL HITDIHPDEYYKVYSSTDEDAACHRGKGPAGLYGAETTDCDSPFSLVNATLDWIEAHL KDTIDFVIWTGDSARHDRDENLPRNTDQVLGTNAWIADKLADLFRDGSGEGMAVPVVP TFGNNDILPHNILLPGPNKWLQRYAHIWRHFIPEEQRHSFEFGGWFSVEVIPDRLAVF SLNTLYFFDRNAGVDGCASPAEPGYKQMEWLRVQLQFLRERGMKAILMGHVPPARTES KRLWDETCWQKYSLWVHQFRDVVVVGLYGHMNIDHFLIHDKDDIDIAALAGSSELGVR EVEEEDSEEEEISVRSASNYLLELRADWAKLAPPPKAGKGIDKVGKGKKGKHPQDPWG EQYFLSLVSPSVVPNYFPTLRVLEYNITGLEHALSWKDAPKKTISQKTVDESRKHLEL RDLLTNNVDDTDLRPETNDADTAGKKHKKKKKKKKKHGKKPPSKGKDPNLHVPLPPSE SAPPGPAYSPQPFTLTGYTQYFANLTRINNLNLTDPSISSPKSSHQHTRKSENDNEGD GFGGDGWWAWDWLRRWRKGKHGGKKPTRPPQPREFAYEVEYSTFEDKVYRLEDLTVNS WVELAYRMGAASREVSGPDVYGDDGPEEWEELREDEDGESCESDEDSDEDSERDDDDG DDDVDDDEDSEVEAEGKKKKKKKKKGKNKKGKKDRKKKGKPNDTWIHFLRHAFVKTMD EDELDDLT MYCTH_2296962 MATLVLLPLVLFLLLFLLPLYAVYKPPSPLLRFLSHRWTDVLFR IWLPPSKPLVALTIDDAPSDHTRAILAALAASGAHATFFVIGSQVPGREDVLREIVRG GHELANHGMRDEPARALPEAELEAQISHVQALIEAAYVAEGRPPPPVGKGRYYRPGSG FFSERIRRVTRRLGYRVVLGSIYPHDAQLPWAWLNARHILSMLHPGGIIICHDRRSWT EPMLRRVLPELRRRGFQAVTLTELLREVTG MYCTH_2123303 MHRSNESRTSGPRVAPGSRVSPRRRGRPAVDWTWSRKRRLLRLY LCTPEAELPLKKILEILATGPFRPKPRHTQCLLKDMLSKSYRQKRPKSRATMNERLAF LRSVRDGRLPMHAHTAPPGEVPQECAVILLDARPGRPKSKPQERHVRGESEPEVLTCS PLQLQQPPDSETNSSFGPLEISEEPKWLLGGESTDAETLPPVLFRNPWSSLEEARYEK AERLGERCPSRTSSFLADVASLLSGLSIQSSLSRSPSCSSRRSTRSVSSRAEGLSGSK GEQEGPEERPAAALSAAKYLACSADLHVSADADETMHSPSTARDAYELGSSSPHTLEN QELVRFCCAARFAMRAK MYCTH_2296965 MVSNSKIEKKADGANPDQLTAAFGRQLSLASRSIHADDYTNTHV AVAPPMHVSTTYRYPRNPDELVPWSDVDPNDWEDFYIYSREKSPNTTRYEAILSSLLG GPVVSYASGLAAFHAMIVFLNPKRVAIGGGYHGCHGILKILKKLNGLEQLELEDEADL ARLQPGDVIHVETPLNPTGEARDLDHYRRKADELGCYLTVDATFAPPPLQDPFRHGAD IVMHSGTKYFGGHSDLLSGVLAIRPDRALPRDGRPGWLAGLREERLHLGGVMGNFECW LGVRSLRTLELRVRRQSDNAARLVGWLAEQRRAGGNVVADLVRQIRHSSLQPEAADPD SWLSRQMPNGHGPVFSLLLKSEEHARRLPSKLSLFHHATSLGGVESLVEWRAMSDSSV DRSLVRVSIGVEGWEDLRDDLLRGLQTLSEELKD MYCTH_2296969 MPIRNPFARRAGTAAAVQDENQRPGSAAGTITAADAAPTAGFER VDTVGSKASSAFSIRSGRRSYDTGEYKMSVVNDSGVYLPPSPVEREASWPRRYLSRTS SGRSSSLAHRDSGEIDQFPISRESFDSYRRSFDICARSPVVHPITTNAPVTARQSLDS ATLRPACFPPRSPLSGQQQHQQHARRWRGPPTPEESATVAGGSDGARFEDVGLGDQNG RTGGGERGSSFFFFSHDRDASGTPQVPQAPESQPHQAPQARKRGFFFGKFGGGGGGGG GGVGENGADAPADGGSTGTVVSRLLPGLVGGGGGGGRKRAQSGGQGAELGVMPAASRL DGPAAAITAPPGLEGMQAKEVEV MYCTH_77465 MKRKAAGSADGKNPAKKRPKNTLGREDAKARFRKGLFDKEVLDK YTSQYASSSPYKHCVISGLIDDDLLRKVRSEIKANVHFTPKETDIYKIHQSGDLANLD GLDDEALSKLPSLLALRDALYSQTFRDYVSHITNCGPLSGRKTDMAINVYTPGCYLLC HDDVIGSRKVSYILYLTDPDTPWQPEWGGALRLYPVVDREGKDGEVAKTPLPDVVKVI PPAWNQLSFFAVQPGESFHDVEEVYHASSKEELDKNGGRIRMAISGWFHIPQIGEDGY VEGAEEKAAQKSGLMQLQGNPDQHDRPRAKPVPVEPGDGEASDEFPLDEADLEFLLKY IAPTYLTPDTLERVADHFEEEFSITLSDILHPKFAAKLREYVESQEAQPLPEDSEEID KGPWKVARPPHKHRYLFLQPGQEKLEASPLQELLEVLLPSKQFRLWLQMATRSRIESY DVLARRFRRGKDYTLATGHEGKPRLEVNIGLTPTDGWGDVDDDDGGSSEASSESEEAQ ESSKTPGKNGKKGTASAVNGESKDKGKGKGKGKAVEKDVEEEEEEEEEEEEEEEGKEE DGEVGGHEVYMAGDDDGDEDAAIYKSSADDDNILFFQAAAWNKMTIVLRDSGTLRFVK YVSASAKGDRWDISATFEIEEQDEAPDEKDDGAEGSGAGVPEDESEEEFNGFSSSEAS DSE MYCTH_2055104 MSSALDMNAVRGSFPALAGEQVYFDNAGGSQTLGAVAERIRDYL LNTNVQLGASYDTGKKATERYEAGYRAAAEFIGASREEVVLGPSTTQHFRNVSYALSF REGDEVIISAIDHEANIAPWLDLAERQKLVVKWWKPSSTATPDAKTNPKLLASDLPAL LTHRTRLVTCTHASNILGTIHDIKAIASTVHQLSPEALVCVDAVAYAPHRKIDVKDLG VDLYSFSWYKVYGPHLSILYTSRRALSALRSLGHFFNPHAAAENRLGLAAASYELVHA LPAVTAYFSSPSSSSSSSSSSSSYGGINNSNDGSNDGTDKWAAVAAHEEALQATLLGW LGARADVTVYGERSADAAARVPTVSFTVRGRGSRAVVEAVEAASGGRIGIRWGAFYSD RLVREVLGLAGEEGREGVVRVSMVHYNTSESMLCFVFLLLLPPFFPLSVPGQQCE MYCTH_2296974 MAPKAIVAPSILSADFADLGAECSRTMERGADWLHVDIMDGHFV PNLTFGPPVVAKIRSHVDRPTESYGKGTFDCHMMIAEPKKWVKEFKAAGCDLYCFHYE AAINSTAAESPEAHSDLKTSPRELIRYIHEQGMLAGIAIKPATKAEVLYEVLDSSDPA ERPDMVLVMTVEPGFGGQKFMASELPKVQALRQRYPDLNIEVDGGLGPSTIDQAADAG ANVIVAGSAVFGAKDPAAVISLLREAVAKRGEKL MYCTH_2296978 MASFLSYVPLVNRLVTPRDEPTTISLPPVEVHALEGNKDRRPRT LRHLLRANHINHSILYHDLQFDNHLPHILCSAYHLGATPEQLYHIYDVESKTLEPWTD SPAQIAEDDWRDFLGDKRYQRAYVDFFEDALAMKHAYNWKELIEKYMFKGDEPLVNGL IGGLGHPLIHLGYAYEFDSREIATEALGLAATQYNFLHRYIDDPSYTRPAPFSSTSPL ELLDRLASDTRFDGLFREPGYANIEPLFRDHEDLLLEYWNAWDLRPSGSGSAGGANAD PLALFRASQEAAVALLVATVRPGTHAYNFFLVHVLTASHAVRILLPVLPPRFHVPVVR QWWLLALAVYVAVLRPRVDRDYVPRDLKGKSWRYVEQRALTSEWATDAHYVKAIRAMR EAARTWGDVHDNYLAAAVWFSDDFEGWVH MYCTH_2123311 MSCTDYAVPEADLKSDSARTAPTLRRPLNGCAGFRPRKPQGPIH QRPAPAGLSERASGLANADRRIKRAYPGGFRPKGTPEAELFEPPSSLSS MYCTH_77476 MDSTCTSQSFDDSCSRTPRSRAGSGVSTTEGLLQNLHLAETTSL ETVSYITSRSPSSQGLASPAYLSPNLSHPPGYLPSSLTQHQTSFPPLPSEAAASAQEC TITFAALHRNRSYESPVMESSSGLMGPHDLGPYGSDASLTSPGYSRSVTASPPRTALT PEQRELKRQRDQARHNSKIQARSRRTDSVASSSSSVYSPAVTLADMTSGAASMPVYTT TSPSQMPLLAEPTAQQYLPPFSPPLQDQSQAAAMFTNPYPSQSYLPDYSYPASTAPSL PSHYGYDPNVGMYPVPPVPPPGPPDASRQVRVVHSRPKPQCWDHGCNGRQFSTFSNLL RHQREKSGQTAKATCPYCGAEFTRTTARNGHVAEKKCKRMKESPKQD MYCTH_2296986 MPAAVASHWRERQQRVFQSVFQTDVAQPTPQSTASNLFPELGQP CDRPAASLLDQQKHTPSSRGHPIREEGSFQRKVLDPSLHTASQPPLPSAAIATSDDQA AYDRAWHIVTAHIALPSSATADNSFRSSPIPTTTTTNAISPAPELQQQQQQQQASSRR RRGRDRDVTTSTSASASLVPDPGLGSSDFYEAFALLVNARALLPHATRTDDILAWHVR KVRAHFAQHVIPLLAGCADEVGDGLDDESGDGDAGDSEGRGGEQGRVQGSRRRQIGRK EKGKGKGHHYEKHMVIVMTSIRMLESALRLYFCGMDQLLSGFARLADVAPDAAREAQM INARFRRDLHALISGSVPKGLMRSVKAVLVRLASTILGIPTRDESRADGEPAARPAAP GPDDLKVQAARERLIELVQQLRNVGLTGERFQVLFAEVMDALMSEFVVGAYAGLWSAP DARSFSATMDTISRGAAQSVASPCIASLSDWVENHFARLSFEVLACIAVNPQGTLPVT LADLKTYQSLALGRLATLRIRELFDIVLAWPESRPALDDLRATVTTSARRKQLTDSFS RDLQTRLLHPGCSTLEILRTYISIIRTLYALDPSKVLLSRVEPGLRLYLCQREDAVRV VVAGLLASREEMREARKAREVRKGQREDTRPDDAAGQFHDLEEAANNPFGTSGSFGTT PREQTLETEVASGYATKTTSAPAKDVRHPTKLVELALFLNNDPSIQPQSRSSRAAPAP SSDDADLDWNDLSWLPDPIDAGANYKRPKRSEDVVGTLISALGSQDVFIKEFSAVVAE RLLAPADAEQRFEQELRVLELLKRRFGEASLQACDVMMRDVLVDSRRVNAVISGGAGR AAAARKGREAAAAAAVAAGPGASTGGGAGQEETEYHARILSRLFWPGLEREHFLLPAP VVERQQRYEAGYEGLKSRRKLTWLNQLGQARVEIELRDRTLTVDCSPAEATVVYAFQT DDGATGEDGNNDDADDGPVVQKSVEELYEQLQMDEDLITAALQFWVGKGVLRRVGGAS SSTYAVAETLREEPDPAATAGGPTTEPAAADQAMEEEPTAATAASSQAMSAKERERRE IYWRYIQGMLTNASATMPLTQMAMMMRMLITDGFPWSDEELQDFLAEKVAEGLMELVG GKYRLVKK MYCTH_2296992 MAALDQMDFLMHQADPATSAAPGSGFHGLPQHQGCPYFRNQQHL NGSSLPPPHFDPNFHPMPHQLPHLSQLSHSTGHPSSAQSRPFNSPADENRGSRSQQQE QQHEQQQQQQQQQPQAQHQPQLQSQSRSQPQQSQQPQQPQQQQQQQQQLPPLQPPTLP YPQPYSHPHHSHSQSHPPYDPVHAASAAWFQGSSQGSTWSAPPFHAHHAPATQPPHLR PPAAEAHFGGPGSGSGIVQQGPVGPSQQFLPHHDPYSPFSFPGFHRPVTYPRSSMVLP TPPQLSGHQQHQQYPQHQQQPTRQQHHMDETQPNQPGQPGQPGQATNTHSPQAAMSSD RGAPTPGRSAFALPSLSPSSHQPPTGSQPHPQPAAASRESSPHASAAAAAPAGPANRT PIFRHPDGHLDTSNRGGPAEGGPSSAAPAPQLPPPSDFPTTHANQSEGFFRATQRNLP PGPGQPLGSSNSAVPGFSSRRRHSLARRLQQSDHDSDEDPGSSADEEEQMMRYIDEFG VNPAHFRSLMAEDHIRATQIIRGQLTNKRVASRRAIAQLQSVELDSLPETERTCVICY NDFGQKSPEGVIEAPLRLPKCQHVFGDHCIKKWFEESDSCPYCRDKVPSELQVVPGVR AFHNIFRMRNRISAGAAPNPNDDSFFRLIAHQEYHETAVGRLRAQREGASDTTTSTPR PPQRRSPPSEAENRRRTRVRHSSFQSGYPVGLYASPAANRSSASASAPAQQSPTRERF LPGTQRYFYAPINPANPSNATLQHDQPMPNTNPTSSGLRISSAAPVHSFPSSSQTYYS NMSFGNAPPATFPQQLPPLSASGFQNPANSGTGVPSTGGTENQMQ MYCTH_2296994 MAGRVRQPIDTRALEKWISQNVPLIEVPLDVKQFGFGQSNPTYQ LTAADGTRYVLRKKPPGPLVSKTAHKVEREYRIIHALEKTDVPVPKAYCLCEDESVIG TPFYIMEFLDGRIFEDPVIPSVLPDHRRAIWADAVRTLAKLHRIDPRSVGLENFGKPT GFYTRQVNTWRAVCDAQAAVRDVETREAVGQLPHFSELMEFFADERQQPADRGTLIHG DFKIDNLVFHKTEPRVIGILDWEMSTIGHPLSDISNLLTPYFTARLDPRRSVNVHPGF LPRATRGLPTPDEITTLYFSVVSSPSPSSSSSSSSSSSSTGEAGDSSLELTLATATAT QGLRDRRRELQWAQAFNIFRLAAICQGIAARQAGRQASSEQARRYGDARNPLAEFAWE LVQSAKAASGAGGGGGGGGGGGAAKGRDSKL MYCTH_63866 MAPPAKRRKRAIVDDDDSEDDALQNRNTLKRFLFSSPDKTKSPD KANATDAAAASSNSPLLSPSPARKRTTRATAAASTAAQPTPGATRSPSTSPLKSRTRA GTQKKRKAAATAASASGAGAGEDEKGGRSADLPTLFSRQAQRSHDPISEGNNNGAEED EDDVPLMMSSASAAASSLVGRAKAAQKRFATSSGSGAFQRDGGTQAAAGGQKFLLSAK SNASRTGASVNDDDDARPWSERFAPVSLDELAVHKRKVQDVRRWLDDVLSGRLRQRLL VLKGPAGSGKTTTLRLLARDMRCEVLEWKNPANSFGLAGHGYQSAASQFEEFLGRGGK FGQLDVESDDATPQPMPPPPPSGAAANGAGKATERRLMLVEEFPNTFMRSSSGLVGFR NAILQFLAANTPALAGFGFGYNSEPVTPIVMVISETLLTTTSASADSFTAHRLLGPEI LRHPGTGVIEFNPIAPTFLAKALELVVQKEARKSGRRRTPGPLVLQRLGEIGDIRNAI SSLEFLCLKGDDEADWGSRVAFTKPKRGAKGVPALTKGEQDSLELVSQREATLGIFHA VGKVVYNKRGSEFPAGSVEAAAESLPDYMSHLSRPKPSEVSVDTLIDEIGTDTHTFMS ALHENFALSCERSGPLDPNSSLDYINGCLDYLSESDLLCPSWDVFFGGKGFGGGYTGK DSASHILRQDEIAFQVAVRGLLFSLPSPEELEGLVDLWAAKMLRGEDGGPGPPSSAHS QGFVRDGSSAFRKAGGSNGVLGSKAPASSTAHQVGKTSGQAQTNPTPLLSLGNAARQE LLLERLPYMAQIARGKWCSFGTMKIRDLEKIISFQGIGPLGAGEDEGDDAADEESGSS FGLAESWATDKPTEEGSPRKRRGLGAILSGRTGRGRSEGEEEPSLPMQSLVISDDDIE DD MYCTH_2296996 MASFIEGLWQSIFTPGPTPTLLVATNATFAALQVLLAVLLLATY SIHFLVLSVLCGGLWAAINWFARELKAHQEQEEEKKRRALAARPPPPRAASSSPLDDS ETEVEATKSTPSLRRAPSPPVVPAAKPAAVSTVVEPAEPQGELKQRVAEELLPSPSHG HKSGVSTEDEWEKVSENEKDK MYCTH_2296998 MKLVRFLMKCANETVTIELKNGTIVHGTIASVSPQMNTALRNVK MTPRGQDPIPLETLNVRGSTIRYFILPDSLPLDTLLIDDAPKPKNKARKEAERGRGRG RGRGMGRGRGRGRGRG MYCTH_44053 MLPTPSTSHVPYARVYEPAEDSFLLLDTLSSASETAFLAARFPS SSSPPSSSSSSPSSQTLSDSDSRSAAPLVLEVGPGSGVVLAFLTAHAQVLFSRRDILT LGIDVNRFACAATSETVAKAPSSNRGVAGYHLGTAQGDLATAVRPGTVDVLVFNPPYV PTPDLPPVQPEGNHHQQQQAAAAATAPSHEEDSRLLALSYAGGRDGMEVTDRLIEALP EVLSARGVAYLLLCAQNKPEEVKGRIRRLAGGPWRAETVGTSGRQAGWEKLQIVRIWR E MYCTH_2107169 MCLVTHYRDFHCGHRWATITVPCYPGAGFDTCPEFVNGRLKPLP PRLVAQAEKCPKCDLGSKYDRNRTRMVKKIQYGFRWGIGPDGTDPGCDIDAAKTALLF DGS MYCTH_2297002 MGDGPAKSGLSSVPSIITNNHEQSRAGAAAGAGRGGPLSTGTPT TSPSITGFRQQAIRIQEPPERRPLLAQRAAFPHNLDNEVFSCRIYGSSNGSSSPDNPS AGDHNGGGGGGGGGGGSPYRHLPVYTTIHRIRRDIISVVEDYLSLEQLRDLRLNVSVI RPLVDKLYEQDDISIVYALLVNRAQFLNEQAHLSNRQNVNYTRAALCELIATRILRRF NEDNEGPRNLLVLAHILIAGFEPFQNAPDEIRAAVGASTARQRTLPALEVAILSESRV FLASTSCQKIVDAIYEGRVVYTPSSFMDILPDRYKQLPISLYNPREAPLLNHYRLLVP RTRNILEIMHFIILLALYLAFMSERDSSRITKLEMCFTVYAMGWVLDQFATLLGHGWD VYAQNLWSFLDVTFSVIYWIYLVIRLYSWAKGSVAAGQQALDVLAMGAPVLVPRLAFN LLSDNLVFLSLRSMMSDFAMLSALAIWCFLGFLLSLVWLGNGLFSAGTISKWMIYIWF GLDGSGIQHSSDFHQVLGPSLMVTFAFLGNTLFLTILVSILSTTFSHIVNNAPAEIQF RRAVMTLEGVKGDAIFAYPPPFNILAILLLVPLKFFVSPRWFHKIHVFSVRLINLPIL LIIALAERRSLAPQHKLPSLSPAPSISKTRPTIRIRRHFWDRWRITHSDIETVFEVPV PDSVLAEIAADDDMTRHLIRRQFVAGSRGDQHQQQQQQHQQQPVSPLLAKPGQAGPLP TAAAPPPPPPPPTTTTTTTTTTSPQQPQRQQQQQPGGPPGARLTTRRDSIGPFPGLRA ELQGVLSESDEVSDITTRLEALEDGIQRIQGLLERLVGSASASASASASVAASSAGGE VLDAGGADAREGEGQGQRGLSLAELNRVAEE MYCTH_2297004 MASRLARSAVGAARLRPSIAPRALPAISSLVTARHNSNVPSTDP KTKAQSILDALPGSSLLSKTAILSSAAGLSIYALSNEYYVMNEETVVAFCLLSVWTAL IKFGGPVYREWAESQNEKIKNILNAARADHTQAVKSRIQDVQQMSSVVDVTKALFEVS KETAKLEAEAYELEQRTALAAEAKAVLDSWVRYESQVKQRQQKELAQAVIAKVQKELE NPKVLQQILQQSVADVERIFSSKAQ MYCTH_2297007 MPSVVDDAAAKAAGKRKRQENGDVSKKRRKSGSGHGNGHGDDEN DFQATIERLETEIQESKRHYNNIATLVELAQKHEDEPKPALPASEALCRTFIRLLAAG NLVKRKDVSEKDVTVISWLRARLADYRGVLLSMFRSKKLAPNALMLAMALLKAEAQHL TDREEAVFPRLFFSDIVATLLESPVEPLLAQFSERFVDEYHDIRFYTFEAIKTYLAER ENTADESIRNAVFNLLISMGDVPGSSDDLEKFYVEPPRKKKHPLRSLSQHKKQAQEAW LALMRLGLSKEQRKKVLEAMSTSIAPWFTQPEMLMDFLTDCYNSGGSISLLALSGVFY LIQERNLDYPEFYTKLYSLLDADILHSKHRSRFFRLLETFLGSSHLPAVLVASFIKRL ARLALNAPPSAIVAIVPWFYNLFKKHPLTTFMMHRVPRTREERELLEREGLEDPFLPD ERDPMETRAIDSCLWEIVQLQSHYHPNVATIAKIISEQFTKQAYNLEDFLDHSYGSLL EAEMSKEVKKPPVIEYMIPKRIFSKANAPEESDSLLVSLWDFGSS MYCTH_2297010 MPAPCPGGGGGSVLPRSLILDANGQASSGRGEIEIAKKDNWASR ILSSITGGEPARQFRPKSRGAN MYCTH_2297012 MVSAFQMPSFYRAPLTVDTTNAQKFFEEEDANILDENILDHSAL DSGLDMSPQMVESRRDSFGVTPSIFSPKTEEWQPVDMQSVPSNNPFFDQHSNNPFLRM DQSQQALYQNHAAWPITTSGSATPQLQAFDGLPVEYDSSNLAAFQRPATMQTPTPFTA PPNPAAMFQQLGQAAPQSIPTSPQKDAWMGQDLKTQGMKRPRPASPLIRSHNELRRGD GIRKKNARFDIPAERNLSNIDQLIAQSTDEQEIKELKQQKRLLRNRQAALDSRQRKKQ HTERLEDEKKQFTELIAQMEETIAKMQRDMQKLTLEKQNCESYIHELEKKQEELISKH TIETGELRKKISVLTNEVQSMGRASNTASGFSGAFSDMDGINMDCSWDNMPMFGDFSM EQNTSEVKQEMQIVPAAKKPEVSLPTTADSEKPAQQGGLLFMLFLVGAFVLSSRSSTP SIPRVSEDVRAASATLLENVLKDAGVSQVASGLGSAAPQPSGTTWSQASQPSLSMATP MVDNVAPSTLGEMADALTRPTEQQTNEQIFSLSAEQYNDLTSQDFLQAAPPAERSTSQ GRRNLAEALASMRSSTKQSAAEVYTRSLLWDQIPSDVVRTFAKMVAESNNAATSAGEE NRA MYCTH_77492 MGLREKFRKKNDSEDEAGANPSSPEFTFIRTDTHSAEVIYPPSA GPQRDPGQDANQFLSADPRSDSSSRQRRSLDVFRSSRSRSASASSQHSSTARRLSQRL HLSRSPSSSENVPQDLPEIVMPEDGTEDKEATESQWEKRATILARENEKRRSRPPSPV RGSTPPVIPRLQLADESSDGASGARARAVSSKAIDDDIQEAIRLHEAGRLEESTALFG RLADPKGANNPLSQVLYGLALRHGWGCQPDTAKAVTFLSAAASNAAEIEQLALQAGLK KGGAAKGELVLAIFELANCFRHGWGIPKDPIAAKQYYETAANLGDTDAMNEVAWCYLE GFGTKKDKFAAAKYYRLAEQNGNKIIGNSWIWKEKYDPGRGKK MYCTH_2107175 MGGAFLFLYTNHSLRVWNTTRSLRASGSTYTHERKIEVLINLLN CREPDACVTRFPRRRIGQSYERERMLSHRMVREPNGAFVRYRAPTYRGPEEDARRDRH QVARARHRGRAFRSPRGGGTLSVAERGCPTHTPGNGRLSEERLEHETSSSSSSSSSNS RNEESLWQRAADLGQQTTLCYSWPCIIPGTRTRPSASSSNARETRSTAAQPGPVIFAK GQNREVDVPLFHLPLNAHQLSAQSIMLLFMSDCRLGPCLANIVYTLVPYYLSYTWTRA QKLLQ MYCTH_2297018 MVKSYLKFEPSKSFGVVVSSNSNLVWTSRGKAGTGAGQAIVAAN EEVLVWDIKKGELLSRWRDESCKANVTAIAQSRTDPDVFAVGYEDGSIRLWDSKIATS MVSFNGHKSAITTLAFDKTGVRLASGAKDTDIIVWDLVAEVGQYKLRGHKDQVTGLHF IEPDPIVQGEGEEEQALMAVDTEAAEGFLLTTGKDALIKLWDLTSRHCIETHVAQTNG ECWALGVTPDFSGCVTAGNDGEMTVWALDVEALASSARKVDLSQSVNFLRPRGTLHRS SKERAAEVIFHPRRDYFAVHGVEKSVEIWRIRNEAEVKKSLARKKRRKREKLAKEKKA DGDLEMDDAEGKAEDITQAEISDVIVQHVIVRTTGKVRSVDWAINPGNKDLQLLVGST NNLLELYTIVGKDKLKSKSDVPDYSKALAIELPGHRTDIRSLAISSDDKMLASAANGS LKIWNLKTQTCVRTFECGYALCCAFLPGDKVVIVGTKEGELQLYDVASAALLETVNAH DGHAIWSLQVHPDGKSVVTGGADKTAKFWDFKIVQEQVLGTTRTTPKLKLVQSRILKV SDDILSLRFSPDARLLAVALLDSTVKVFFVDTLKLYLNLYGHKLPVLSMDISYDSKLI VTSSADKNIRIWGLDFGDCHKALFGHQDSILQVVFVPHNSDGNGHHFFSASKDRTIKY WDGDKFEQIQRIDGHHGEIWALAISHSGTALVSASHDKSIRVWEETDEQIFLEEEREK ELEELYESTLTTSLEQDPDEQDADREVAAASKQTVETLKAGERIAEALELGMADLNTL EQWREARQANPAVAPPQRNPVLVALGNVSAEAYVLSTLQKIKPAALHDALLVLPFSAV PMLFTFLDLFARREMNVPLTCRILFFMLKAHHKQIVASRTMRAMLDGIRANLRRALRR QKDEMGYNIAALKVVGMQLREKSVKEYVDEKWEQENQGPISGARKRGFIHVS MYCTH_2297020 MLLLDYQNVLIQSVLTERFSGAPPVSIDQTVSDFDGVIFHISTP ESKTKILVSIQIRCFQDLLRYGAAEVLNREYGPYVVPPEPGFDFSILVDLENLPAEQE ARDELVKKIALLKRNAMAAPFEQAYQEHYQLKQEAAKFSSEEAPQGVREGGEVKAIHY REEEAIYVKASHDRVTVIFSTIFREETDRVFGKVFIQEFVDARRRAIQNAPQVLFRND PPLELQGVPGVKNTGTGEIGYVTFVLFPRHLTPQRMPEVISHIQTFRDYFHYHIKASK AYIHSRMRRRTADFLQVLRRARPDNEEKERKTASGRTFKVGA MYCTH_2052693 MGEMPTPNGFNEKVGDEFTIKDVAVENMRPLRVIVVGAGFSGIL AAIRIPERLRNVELVVYEKSERVGGVWWLNKYPGVACDIPSHSYQYSFAPNPNWSNLY APGSEIQQYLEDVAERFGAMRFIKTRHQVEHCAWDDVSKKWNVRVTNLATGEVIEDSA NVLVTARGQLNDMSWPDIPGLGTFQGKLMHSGDWDTSYDFRNKRIGIIGNGSSAIQII PSLQKVEGAQLTCFMRSPTWISSAFGDQGMIELGMDPANTAFSPEQRQQLATDPVALF KLRKVFESGGNLIHDSTIRGTAMQKECQAAFHRAMHDKLSSRPDLLALLIPKTFAPGC RRLTPGKGFLESLLEPNVKVVSDRIGEITPAGVITTASASSTTSSSSSSSSSAETETH QLDVLICATGYRVSSAPPFAVLGRGGLSLAERWAGRAESYLSVAVDGFPNMLIMFGPN SAIGFGSLTRILEAEADYILAVVRKLQREDYASAEPRPERVRDFVEYVDAYFRDTVYM DDCRSWYRRGDKVVGLWPGSTLHALEALRAPRWEDWVYEPVDDAGRRNGLRWLGNGRS VTQVEGDPSWYINPDEVQVPLEGRPEENARFKARPWCY MYCTH_2297028 MTSAEDREMMERISRLAGQINRHKNQQAGVGPARHARTHHHGGY SSGWRSGGFPHRGGHSSARMPVYRNRTLILNNGTQQSRSGDPDSGATSDASSSSWVTK NDRHLQLINSNVYKKDAQARAAAMEQTRRQKLANRDKQERAKLISHLNRMANSGGFGA ANQQAVGDNKYEIAVQGVRFVVAKNGSKLVRVPGDGNSAKATPKMAVIGGVKFYRSKN GNLYRHGVVKAQRYVSWHLRAGQLTPAISGRQSGAVKKVNVPCKQFSMTGNIQHFLDR RPERHPPDRASVSDPRLTNRDTGSCTLGPRCRYVHDPHKVAICKDFLQQGECPSGDNC DLSHEPTPERTPTCLHFARDNCTKPDCKYAHVKVSPAAPVCRDFGFYGYCQKGAGCSD RHVFECPDFSNTGVCKIKGCKLPHRERASVLRRGASSRDQSGMEDEEMEDLSSDDDGE SIDEDDVDSDEVDEFISQDENGGLDFAAQKDYIEL MYCTH_2297030 MAEPQIKPDPDAGSSFVDEVDETPDLEFYDKLPDADAYSRMYLT RLPNYVWEAWSKLDEDEEIEIGTIRQWIDENGKTRLQMRLKPDLPAHAEVPKEYNMEV TNHDVNNTFVFTEQDLPSYAAKNKERANALAQGIPAHLLRKHQRQMEPPAERGKKGAY SRRPIPKKTRIAGKIKHEVVCTPVRNAEADRFLSIRAEAAQKPQKTVTMLKGFPAGDT TNPNEWESFLKTREKPTKAKKMENKATRWPENQLLDAIAKCFSEHKYWSIKAFRGRIP QPEAYLRETLDKVAVLHRSGTFANHWSLKPEYEGILASLPKPADDAAVPKADVPSDDE DEEDIKMEDVL MYCTH_2297033 MALRRLLLLDFDGTITQHDTLASLVALAIDATSSCTVAGGQDQT AVAAQQQQQKQKQKQKQRALWDQIVRDYVAAHRVHVAGYSAAAKAEERRTALRQELAF LESAREVERASVTRVSRAGFFAGLDAAALEELGREAVRLAAATATTTTTSSSLSGLEN GGGDGGDGDGSSSSSSRADGSLEEKREGAVRLRKGVGEFLEQQGKDGWDLAVVSVNWS REFIRGVVEAGCSRGRGGERIKRVVANGIRFPSGQVEGPEELGGEPLVTAGDKLRAME SLRQRLADEKVVYFGDSTTDLACLMEADLGVVMADDAESKLLNTLRRVGGEVPHVAEA KSDSKLVWARDFDEVLHSGVMARI MYCTH_2089049 MCFHRRLVFGCAHHAWLGITRPCETEASFDRGETDTGCGVRWSH GYDTIRVRAKCRTCIKAQEGTSFRLGIVKEQLKALREHLTLIKGESLETVREEEEKEK GKEKNEEWLGEQLGRLDEVMEEVRIDPKHRALKLPSIVSPSRVVEGGNEGSDIFFLSR TSFLRATVATTLASEPGSPPMTLPINRSRLTTSLKVRRWGEIRTRQQEYGAPKSESSR KSWLQMKANDRPEASPPPSGAKDDGSWADSVRDHLGVPQNWIAPVAAAALTLGAFRFY QSYLRRIPTADHIAPSFFRRRSLLGQVTSVGDGDGFHLFHTPGGRLAGWGWLRRVPKE RKQLKGQTIPIRIAGIDAPEGAHFGRPAQPFAAEAQAFLESYVLNRRVRARVYRRDQY ERVVATVYVRRPPFFFPRRDLGLEMLRRGLAVAYEGKAGAEFGGAQMEARYREAEAAA KRKRRGLWGAAAAERRGRGLFGIGAPAAKGLEPETPMAYKKRMRALDGLNKGREGGRR QVMNE MYCTH_2297035 MRLPFGRRHAARRSFLSPFSLLISLSTFAISPASAISFTPVPPP NLDLSQLGRVVLAGDYSGISLYQFEEQSEQTLRSNGSEQLLVRTPNGVFVPIVYTDAS IRAMCDLDANNGTVVVLGGNFTSIRGPDPGAQARQSNAIALFDPSTGAVTPLPGVSGE VNAMLCDQDKKMVYLGGSFVGANSTNALAMNSDGKLVNLPFAGFNGPVTSIVKASNGN IIFGGSFTGLGNASAPSTPDGQIINLSTATITSGSSTTAEGFSDPRNIICKTGGEDGP GNTWLLQDNTPGFWNAQFGHGFRPTKLRLYNTHQDGRGTKTWRFTAMPINGIMNFTYI DPITRQNASCTSECPLSNDENVKFQDFHFVNVIGMNEFRLDISDFYGSGGGLNGIELF SDDIFSYAVNDFNEPSCAGLQSQSTATATGPWTVSPSYSSTAEYLTAQLTAPITEDSA SVVFTPDIRESGHYSVNLYTPGCLQDNTCATRGQVRLTGQMTADPTKSVPISVDLYQT NNFDKYDQIYFGLIDASSGSFRPRVTMTPLAGQSLAQMTFVAQRIGFTLINSTGGLNG LYEYAPGSPVNASEFMKSAVNRLGASFSTGSAVNALATAEDTTYIAGNFTTGSMRNIV SLKGDDTKAQSVGGGLNGVVHSMFVNRSNLFVGGTFTSTSDNSVKGLNHVAVYDTSKN TWKPLGAGVSGRVTRVVGMTMNITSSTPEVVISVNGDFDQLLAFDTNPAVKVKGFGVW VPSQENWLQNLDLPVELVEGVLSASILNVNGSSLYSGTLASASLGANGAAILGSDTLG RLPVDIQPSAEPSSSSAGLAKRDGSLSGGARRQGVVTGVFDTSNDRNLTILGGHFTAT TTDGSTIHNLVILDGSDEDKVTGLGDQISPNSTFAALAVEGDILFAGGDVAGTVNGDS VSGLITYNLATRSFNTQPPALSGGNSTVSAIAVRSSTKDVYIGGSFTSAGSLDCPGVC FFSTTNSQWNQAGRNLDGNASSLMWATDDILLAGGRLTVNNTVTTFLARYDASTQTWD AFPSADQLPGPVTVVTAGTSDGNQIWAAGTSTQDGSAFLMKYDGSSWQRAGQSALEPG SDIRGLQIFSLTSSHESSPLVEEDEALLITGSILLAGFGSASAVLYTGNDKFTPFALT TSSSGGGGSIARVFSESNRFFAKGGGHMPLGFVVLISLAISLGLILLIVAGGLVLDRV RKKREGYIPAPTSMIDRGSGIARIPPHELLEGLNRRGAPHV MYCTH_2297038 MTMTMADDHSRRRFGPLSFHHMPSYSSQPHFSDPWASSSPTGPN PQGGSQLYPGNQDSAGLPHLNLGTLPRQPPTPQHPQHPQHPQHPQHGQHSVTTGNSTS MAPYASLPVTAASANATLSGVYRQHDMMPMPSDPFSMNRLQQPATSAAYDGSAYTSAA SPVSASYAPTSASPYDQLGYAPAQMRGTFALGHEDSSRRGLQPDDRRSFQDALEASHG MLSMSQETPRNIYDVRNRGRGSDDSYGFPSTHSSTSSMSSAGFSGYYGGSVDGSVSDY SAAGSDIESLSGRTLPRPQGLMSSQPPAPQSMMGSFSSRVSSSAQKKHKCKVCDKRFT RPSSLQTHMYSHTGEKPFGCEVEGCGRRFSVVSNLRRHKKVHKRGGETPSDTGSDTHE SP MYCTH_2297042 MSDAEFEQIRKLQAERNAAAAKKGSRTFDPANQRADTSTKAKLN EAWDTDLYDRENGGDKFAGYHRTLPVAGEDGDEEMPDADNSRRLVGQYTATRAQIDEF ARGNGVEEEDPLAGRGERSNRITDRETDYQKRRFDRALTPTRADPFAVAQDGAAEGET YRAIMERRELEREEERVRRAIEQKMKEGPVEEHKPTLKDSADKENGDAASTEAAAGRK RKKRWDVSSTETTGEAAPAPAEPKKKSRWDQAPSVPVIGAAPGAEPKKKSRWDQAPSA TPIGNVGLATPMHPSQVPVLPAAFGSDASSRYMPLSDEELDAMLPGPEEGYKILEPPP GYAPVRAPAHKLVTAPTPATGFMMQDPSSGRITGQQIPKEIPGVGDLQFFKPEDMAYF GKLTDGANEDELSVEELKERKIMRLLLKVKNGTPPMRKTALRQLTDNARNFGAGPLFN QILPLLMEKTLEDQERHLLVKVIDRILYKLDDMVRPYVHKILVVIEPLLIDQDYYARV EGREIISNLAKAAGLATMISVMRPDIDHVDEYVRNTTARAFAVVASALGIPALLPFLR AVCRSKKSWQARHTGVKIVQQIPILMGCAVLPHLKQLVDCIGPNLNDEQTKVRTVTSL AIAALAEASNPYGIESFDDILNPLWTGARKQRGKGLAGFLKAVGYIIPLMDEDYANYY TSQIMEILLREFSSPDEEMKKVVLKVISQCAATDGVTAGYLKEHVLDEFFKSFWVRRM ALDKRNYRQVVETTVDIGQKVGVSEILERIVGNLKDESEAYRKMTVETVEKLVASLGA ADIGERLEERLIDGILHAFQEQSVEDIVMLNGFGSVVNALGTRCKPYLPQIVSTILWR LNNKSPTVRQQAADLVSRIAMVMKQCGEDALMGKLGVVLYEYLGEEYPEVLGSILGAL RSIVTVVGISQMQPPIKDLLPRLTPILRNRHEKVQENTIDLVGRIADRGPESVNAREW MRICFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNLRVQERQSRVNTA VAIGIVAETCAPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMAKDYVYAVTP LLEDALIDRDQVHRQTAASVVKHIALGVVGLGCEDAMVHLLNLLYPNLFETSPHVIDR IVEAIEAVRMAVGPGLVLNYVWAGLFHPARKVRTPYWRLYNDAYVWGADAMVPYYPNL TEEGIDRTELAIVL MYCTH_2050220 MPSADCALYAELLANIRQISLAVTLPSPCDSSTRVAVSADCQTV ELRHRSSTCVLRLPGKASLGGVVLPIQNKQCGSTSLEWRLPLDAPSLPSANQADYTPW SATDLDAGSGVDCRQCATNLVRPGSVKVWKDLPSENWAEMMEFWHCHKPDHGHHHHHH NQNHDGKTGKAGDESLAARGYGASSSISAQKGVGFVDLTTLLFDETDCQPLTLGFFNF RTSAVTLLKWQVSCKSASGTAPSIPECLAATLISTIARSGSSKSLITPLDEPSREADG KTGPDSALHIWVLNSSIVYSSSETARRIPAIKLLYRNLSLEEANKMLEAVTCDSQEIN IPAQAIGDVIRHLEHSSGLLPSKERKFKEWNVGLLTRWEQKVW MYCTH_2297044 MGTAGEDSKEDDTASLLHSYFALSLSLSSLYQQWAASDANFARR APAFTGIRILNQDAWEALVAFICSSNNNISRISQMVQKLCIHYGPYIGTVEGEPFHDF PAPEALSGSQVEAHLRQLGFGYRAKYIAETARIIASEKPRDWLLQLRNPACPALGTAI NTTTAAVGKTKDSDLGGSAPAPQGPSSSPSQLPTYRAAHEALLTLPGVGPKVADCVCL MGLGWGEAVPIDTHVWQIAQRDYGFGKKLSKSKTFSKAMYDAVGDHFRAVWGPHAGWA QSVLFTANLRSFAGQAAAGATGGFEIKKKEEVAVAGGEERGGEDLADMTVDAVAIVKV EEGREKTGAVVDGHAIGRRSRKRKVTTVVQVSEVNGGHVQAVEVSISTRRRTSKRIKP AGR MYCTH_2297048 MNGDSYSSRDSGRHSSSRDYPSSRRDRDDRRDRGDTRDRGGGRR RSRSPDYRSGRSRRDDGDIDAYSSSRSHRDREREDRYSARDRRGGDRSDREWDRDRGD RGARSRRDDDDRRDRRADRDLYDDRRGGGSRRDRDYARDRDRRRSVSPPPKQREPTPD LTDVVPILERKRRLTQWDIKPPGYDNVTAEQAKLSGMFPLPGAPRQQAMDPTKLQAFM NHPGGAVNSAALKPTNSRQSKRLIVSNLPPSATEESLVNFFNLQLNGLNVIETADPCL QAHIAPDRSFAMLEFRHNTDATVALALDGITMEAEDADAANGNGAATQGLHLRRPKDY IVPAVVEDPNYDPDSDTPSSVVLDSPNKISVTNLPLYLTDDQVMELLVSFGKLKSFVL VKDNGTQESRGIAFLEYADPSATNVAVQGLNNMMLGERALKVQKASIGITQVSGEMGV NAMSMLAGTMSADAGGSRVLQLLNMVTPEELMDNDDYEEIREDVQEECQKFGKILSLK IPRPVGGSRQSAGVGKIYIKYETAESATKALRALAGRKFADRTVVTTYFPEENFDVNA W MYCTH_2297052 MGRLHSKGKGISGSAIPYSRNPPSWLKTTPEQVVDQICKLAKKG ATPSQIGVILRDSHGIAQVKVVTGNKILRILKSNGLAPDIPEDLYMLIKKAVAVRKHL ERNRKDKDSKFRLILIESRIHRLARYYKTVGVLPPTWKYESSTASTLVA MYCTH_2115286 MSEPPAPQTIPAMDNSAAQPGAVNGTLAEANDISMTDAPSQATT TQPSQPQPQPQQPPLSATPTPTTTTTTTTTTARVPTPVPAPAQQQQQQQPPPQQQQST SRAASQHPDPATTGPATGTGTAGFTMPAEAAPHGAPVRQYINSKITGVLLEGMKIVAR EQ MYCTH_2123347 MDRFIQRSKRTQNLSPANTAIIDSNGRQASEPLKKKARIGEVKD SDAEDTEPTPDSITEIDGDLLLLKESSGSDESTLDPELSDHHASEPRHQTAFESSLPA VATGEKAVEEYEVLCGSQISQNDAEAASARIGTKQWVRGRSSIYVDAFNLALDTVLED ESHLFDAKEKSVFEHWRSLGYEAQYL MYCTH_2297055 MSSAIGRLLEFRSLPRDVKAQSDGDDEVSRGSHLHDTYRFADDS ESCINTIEEAVSLLTLDELRGLAKEAKVQGKNKAELTKAFCRMSARQIGLVAVGVRRS STNGSADSGDSLGGQDPNRNTHFLKKILAITGPMIRLSEPVFKLFERVHLVFYRSTEW TEKSLTTIILAKMARRNYPEYIACRSANIFPSRQHLVEFEFSMRKEYEVDQILEFNGP PGEEGLRKVLEVFQSIAPRWRELIREENDRENHVYEFGEGGYLRRFNAGHAFTRIAHK AAHALGRLHRYREEHELLTELLNQRLFHPARRGAWYQRKALLEERYMWVADVDPVSAD PETRKKHWQRIAVITCETALEDRDCHLIYHYDLQKRLVKLEKKLRVPRRLQHDFGHVK LREPEEHTVQGIQIVREEPDAKGNTGRSLNTKTIWLDELGDCGEDGQLLHVSVEEMCL SYYRSQGWKGYHSEGGILRTIFAYLFFDVLFLYVPNVFQTPFQTCPLDLHTDTFYSAR ASQINHRLVEIANGNAERILREVHEREHERRTCVVGLNWDFDIENLAELVACFDGGAL AAICKVMAQEYKARAGGVPDLILWRTGKDGPPADGNDSDHRKGEVMFAEVKSANDRLS DTQRLWINVLAGAGVRVALCHAVAREVRPS MYCTH_2313825 MEHDLQKTPITPPVAYLDFLKGMSLVSPCLASPPQTGKSLLNRT STASTTSTTSSRSTEAEDSEKEKGDQVESAPSTARSELSCECDGKEKEKEKEKEKEKE NDEEKEKDEEKAKGSKPAPIDTKKQPDPPRGGPDCPLSAPPAGPTVFPSMKLPASPAV STSGLYSPRSPLSAASLRSPFDWEAALRSRRYAETPGSKRPAAPETPSIPAGAPGTPG TPGHTKRESRTSVRHIREVVTRTVTYTPRMAPAPKGKRRKLDPDTAVKS MYCTH_2107194 MVGWLGAVVTALGVKNLSAYQSALGCLATRQGDCQYAFVCRLAS ELEEVESMAYSGYYPRVPYSGPLYVVATGARKTRLMFKRNTDDCPSACRMHVASFNCF SGVGSLGSSIPIKIRGQCRGWNEPAFPWFLEAQPGGDESFGTQDHIGGQHDKSSTTQR HGAGKVLATRGLECI MYCTH_2297059 MYQPQYTVAQQPMHYGMPGIQAAAMAATAAASGSSYPYMPSDPS LQQSPRMSGVGAKKDNRAGPRSPQQMNNIPQQRRLSQVASPGVPNGSTMLNHGGPRSA VPPQMTAAQQMPPPQSPEMASGAVEESPLYVNAKQFHRILKRRVARQRLEEALRLTSK GRKPYLHESRHNHAMRRPRGPGGRFLTAEEVAQMEREKAAAAAAANNSAEKTEGSEQP ASAKTATGATKRKSESDASDSPSKKNKQESSTPEGEEEGDE MYCTH_2137175 MLFFSFFKTLIDHEVTVELKNDIQIRGVLKSVDQYLNIKLDNIQ VLEEIKYPHMSAVKNVFIRGSVVRYVHLPASAVDVPLLEDATRREAANQAGKGK MYCTH_2052357 MAPQAKPKLSQTRLTTPQKPAPKISFSTTKTAGSKPKTKVKPNH SILSFFKKAPAPDEGLFIGEDGREGDQKEDLNGTNLSSATCDVNDATRYNELGGAVKK RRLSPPLRVVRTEADGGDSVSAAGSAESLGATTAVSRPDLNTPAAPKRKAGNPFLDDS SDDDDDDDKENSPMVRPPPPASAESNHEEYEGPEPAKLTKEEEVSRRAPLLRQKTSGE DLNSDDKMCGGPDDSDSNKAEATLIKDFTCEELEAMIYMEEQARREAEEEGEEHEQSE YTSDETMTECCPVCNGSLAGTTSDEATAHVNSCLDGNPTPLPKPAVQVCEITDETEGP AVGKRFAKAAVARPGQANPITLSDNAEDPKSNSAFTKLMSGHAEDAAWAAAAAAETVS RGMPAYKRTCPFYKIMPGFFICVDAFRYGAVEGCKAYFLSHFHSDHYMGLTANWTHGP IYCSKVTGSLVKSQLKTAAKYVVELEFEETVLVPDTGGVTVTMIPANHCPGSSLFLFE KSAAGRTQRILHCGDFRACPAHVEHPKLRPETVDAVSGRTKQQKIDVCYLDTTYLNPR YSLPPQDDVITACAELCALLNKALLANDDREWEALLRRQRAGSSSDTKDVSKFFTAAA AAAAASSATSTSSATPRPDQNAFTALDGRRHHPNRLLVVCGTYSIGKERICVAIARAL RTKIYASPAKVLMCRQLGDPELSSLLTSDPAEAQVHMQMLMEIRAETLAEYLEGYKVR GQFGRIIGFRPSGWNYRPSAGKPGNGGSATIGANLPPTSLPTTELLHGPGWKTRFALK DLVPQRGSSREVLCFGVPYSEHSGFRELAMFVMALRIERIVPTVNVGSEVSRRRMRGW LDRWLSERRRGGLVRVLPSGEEEGGKGRGNAAELWEGKDGRGGGVYW MYCTH_2297063 MAEPLPGTSALVDLINSLPDPDGTWGPPITNETTLNGVPYAPYS KSDKLGRMADWTDAKDGRDGRSRQQYNRSYRDQQVYGAGSASLFAAPAAEDEASFSVV SNVRDSGKARFGRGAVFTRGRTQRGRGGQDARGGGRQQFQRAGRAGQQYGGYDSRSGA RGGGARGRRFGWKDYDKPQRNRDASINIRPDWKLLEEIDFNRLSKLNLEADEGEDIDS YGFLYYYDKSYDKPAVKSAERKLAVVDRAVYNVTTTSDPIIQELAEKDEATIFATDSI LSMLMCAPRSVYPWDIIIVRQGNKVFLDKRDNAALDMVTVNENAADAPLEASEGSKDA INQPGALAEEATYINHNFANQVVIENENQKVEMAHENPFYSASEETEPPASKAYKYRR FDLSTSEEDPTYLIVRTELDAVQKNATNGEDQFITVHALNEFDNKAQGSGGALDWRSK LVSQRGAVVATEMKNNSCKLARWTVQSILAKADVMKLGFVSRATPKANDKHVVLGVIG WKPKDFANQMNLQLSNGWGIVRTIADMCLQREEGKYALVKDPNKSILRLYEVPAGGLD DEEEGSEAGSASAEEE MYCTH_2297066 MASVSLNPDTPQQGFGGEPTGQGKTSLASLNLDFLRSVSERRTT KDGQPQKRRGPKPDSKPALTRRQELNRQAQRTHRERKELYIRALEDEVLRLKEIFSNI SQDKERLAEENRQLKALLAQHGLGVSLPGGGVLDDSASNPSIGYSPSASMAGSYAPTS SNTTAFTPPPLYSESSGARGDGMSPNSAAYPHSNHQHQLFGQGGVVAENGDEAQPTGN SNIDYEQAGIDFVLTLERPCMNHLPWMLERGAATGVREPCGHALMASCPPEPFSQLSP NVPFSNPNDTHVHNGNGIAEAEEVVTSQATTAVTATDADRRGGEGPGTWTLNKGDLTT LLDLSKRLNLDGEITPVMAWGMVLAHPRLAELSPEDFGRLAEELRSKVRCYGFGAVME QFEVRDALENVFSMKADFSIGVY MYCTH_2054051 YLNNILIFSKTIDEHQKYVKVVLDMLYAYKLLVNKEKSKFYIRV TVFLRYEISLGQI MYCTH_2297068 MEGEAAAAPNMLAPPAVASLRAGPMERSPSEGIREEREELREAA EQTLNVIMDLNIDGTIRWVSPSWVDVIGTQPESVVGTPISDLIVSDNSNIFSEVVQSM AQDDRRSQFIRFAVKLGPLSKLIPLDMLKGPEEPAQPVIVDLEAQGIMVYSGPSGGES HTMWMIRQWAAPREIKIDLPPVIVDSLGSGAEVLASYLTQLAESGVDDPETHPPPPPV LCRICERQISPWWFEKHTELCLQEHRAEMDVQMAQEGLTEHRHAIVKVLDALEARHSR SLAGDQSNLPVSDYKGLAIGPPTSSNSSSGNSSPAPGAVPGRSRERSSGFGHARARSF AIRRPQARIVELLLDLCDTAIEISTPAIKESSQTPGDFRTQSPQSESRITQVMQWETP GTNTLEQEQGLALLCADTEKFAKDKVEAVFRHRRILEYSERIRIEFAYMVQDCIDAAM RKAAKIAAGRLSDSADEEEPDVGASPAIEEGIFAGSFDASSTLALALENADISDDPDK RRLSALRSPRSRSPKECPTPRSYRGPLGTLAGASQSRRGSMILESDAGDSDGSLRSSS VASRAPQRTDSPMSEFGDLRRQASSRQHQRRSLILPGAVSPRRQESPSRSGPPSSPLR IHKPRGLPFPSDVLVSPEASPMLPGSEFTSPSISHSYHHHRRQSSAAVFSVPGEVVGV KPPPSPRLSAVVGGPQAKAVPPSIKDFEIIKPISKGAFGSVYLSKKKSTGEYFAIKVL KKADMVAKNQVTNVKAERAIMMWQGESDFVAKLYWTFSSKDYLYLVMEYLNGGDCASL IKVLGGLPEDWVKKYLGEVVLGVEHLHSRGIVHRDLKPDNLLIDQKGHLKLTDFGLSR MGLIGRQKRALNSGTDAAPDLLKQGPFARSTSMASSRSTSMDLHGRSQSPGSTPQMTP SDYGSSMAQPSYFSLGSFSQEPRRVSNQRSDSGSSETLTQMLGGLSLNDPFVNNSQAI LSPAEGSEAEASGSPDLIMLSHSSTQNSTESGKGTPPPPAMAPPNWALFDPEDTNRRF VGTPDYLAPETIKGEPQDETSDWWSVGCIMYEFLYGIPPFHASEPDQVFENILARRIH WPDESEDEVSPEAKDLINKLLCMDPRQRLGSNREDKFQSGGEEIRNHPWFSDINWDTL LQDEAQFVPQPENPEDTEYFDARGATLQTFAEEMEDQHSPPTTAPAADYPDRPHDALS RVRSQVISMKRGLMPLHIPPHVRDLKSRRLSEPVVADDFGNFSFKNLPVLEKANKDVI QKLRAEALAAQSKPISPGGLGSLTSPGPSLEGSQTVTNSVQKPLANAKASHRPQSPSG GSHANSSPNRVSQPSSPLLVSFVAGGTDGRRKTSSNSSSLSQPSGSSLQPGSFFEVPR VPPSLQKAATSISTTSSAGKGRGGAPAQLPNSQQKSVPNHVMSTPRHSSSSSGGRSRS LTVGSQDGSPVTTDILAAHHRNRRSQVLDMSPSSSDNEGDKANALLRVQRRRLSSRRL SYIAAGDGPVFRPLDVLICDDHPVSRMVMEKLLEKLRCRTISAATGSEAIRYAMSDIK FDIMFLEFRLPQLSGSDVARMIRDTKHANTHTPMVAITSYLKELPAPQYFDSLVEKPI SSSKLTEVLSTLCHWKPLSPGQTNSLSLTLPHPVPSSLRQESLRLEDSPTSASSGYMA RSGSSFREDSISSVYGDSESVATDDIPVLISRKATGDWDEGGLGLSGSDAILAGPNNP PSSPLQPRFVTQQSAPAQIEHLSVPPKGPVPKRSLEKLKAKRESIEKRRYEGSVDSAD DEDDELGLGATAAGAGAPGIQTSSGTTSGGRSGSGSVSGSNPGSPSSGQQYRSKSVLP SSKLGIEMMRANSHDSMNAGSESASTVEPATQVVTPNQEVQRPEFPKIEASAPGPETP AYTPLKETRADAVRTPPSVGEGEGELDDKAVVDVEETPRLTSALGKAPFEDEDPTPRR PPVKVTGREESVSPFPGAI MYCTH_2297070 MTDTVRQHHRHNPPAGEIPVPHGPEVGNEHGHYPAGGATEQERT IFAHLTHPDDCYTADGVYWADLPFRKRVAFVNKVQNEETKKELAAIGRMMKADPLSPL SWYFRNAVLPGAGLGLEGYVLFSIGNLEPLFKAAWPTCWGKKPTECDANWIASVTYLE VVGIMVGQTAVGIIGDWIGRRWGLIQDAAIMFVGLLMITASWGLNLNGWVICYAWSLF FYGFGVGGEYPITATSSMENAVSAGKLSTREDRLHRGRKVTMAFLMQGWGQLINQAVL ILLLLILHHGDGNPPYGTTTVQWIWRLSFAIPAVGTLWLVYYRAYKMPHASRQLAAAK KKNNVTGYDVESLRLAGTFFGGRLLATAGTWFCNDVFFYGNKLFQAQFIAVISNNPSS VLTGWIWNLYNVIVSLAGYYVASILIDNKFYGRKMMQQVGFLMCFIMFIIPAFNYEYY TSPAGIKSFQAMYFLSSFFNQFGPNSVTFLVAGEVFPTPIRASAHGFAACIGKSGALL ASVLYNYIDTQTKFYVVPWFGLAGMFLTWLFLPDTTGLDLKEQERRWAYIRSGRDSEY HGIAIHPKHLSLWERLRGVGKNYNPELDIKQKIEDMREEWAGKERLRRQREAGGEPAG PEELDDEDWTEQVNEYFRQTTDEGLVTGEGSEKGKSPARELRLSEKSGSESA MYCTH_2297073 MESTPVTPTIRSSEAFSKVTPASLSTAGSLEMSQRKERGAIAAQ ACDTCRSRKQRCDEQRPKCGTCQKFRLECNYREPQPTKKDKTLVEILDRIKGLEGKLD NLGHGTSIGLSAPVCVCSVPGSLTSPPPQAQAVLGQPVPASSSYAFSGEASTPEVVEE DHYRYVSSVHQMLRWPAIQQLLASIQHRIPDVDLSILDMEGPLSAIAARQSGLRRLST ITGKAIRRGSTVSMTDRGAAQGPITITDLTWDTMQRLSKAYFDSFNLLCPILDRHSFM SETLPALFNHGFGDDMPSTIAFLVFALGEVAIAGSEGPPVHVYNGRASGVKGGTRDRP PGLELFNEARRRMGFNSTECSLENVQMFELASIYYGTCFSPLDFWRMSGSASAACQAL ITSHPSVLSSAQADLTRRAFWHCSIVETGLKLELCCPLTGLANMESLVGLPDFSGPFP EDDYISNQESHFQEHFASQIVLRRLLVDFHAVLNQDVTLQPHVGALQSRANLRGRRPD QDSPIRPPASKMARHAPSPPALAG MYCTH_2297077 MSPTSHWRYIAAGCLVLDKTDSLLPEGLWSLLEPGEWRHFRPQG HSATEYADEAQVENGDCSPTRKGSSHCQCLDQNIQEHLVSSSGCLAAYSSLLRHGWIH ISLSKDVDRAEKLIVRVYLLPDDVENRTVPRQDPSLRKARLNLLTRLDFSNSTWRGSA GSGFLPSPPLVVNSETVSSMRDGRGESLLHMFNSIPSPDPNPDDIHDYDARKAAYRLM SSDVFGLSTTLYSYQRRSAALMLQRESQPRHLLDPRLMEVADQLGKPWYYDPTTGTAL REPRLYNGPCGGILAEEMGAGKTLICLALILATRHMPSSTPDLFRATEPVVRKTVGSL ADMAAACITRHSVPWKTVFGALEPDGLEYPSCVDAIQQNPEVYYIPRSIPHRQSRKSS ALSPPIKVYQSHCSLVIVPPNLVQQWKQEIAKHTVGLRVLVVDKNLALPTVQELLEYE IILFSSTRFEKLAEDLGADGNGAQVLRNPLAAIHFKRCIVDEGHKLGNSTLGRKSNML LIIDQLQVSAKWVVTGTPSKGLFGVDDSPSPGSDAGQRHFTRRQAETSPDLEKEDLRR IGSIATLYLRLRPWANQWFEAGDSPADWAVHVMQPRHSARSIGRTDCLRATLRSLIIR HRLSEVGELLPSVNEKIVYLDGCYQDRLALNLFSMMIIFNAVQSQRTDQDYFFHPRQR KALLELVHNLRQASFFGGSFFSPDQIRKAIDTAEEFLREGKVQISAEDEALLRDAIAL GRVAERNNIKRCANLFREIPLYVRNFPWNAGREWSLDLRDGDPVCTDSRMISALQRFL SPLVDAPTSLQVIFDTGRFAAHGWEERAKGIEEQGGHERNAERKSLAGNTQMGQDSSS PSKRRSAILTNVLPKAEVTPEPAEPLGSGIAVPLAQTQLVSTASAKLSYLIDQIVKYK DSEQILVFYDNDNVAYYLAGVLDIVSVPSRFHLAILTQEMQLQIHHLIYAKGLTSERR AQYVATFNHNPKFRVLLMDISQAAFGLDMQSASRIYFLGPVLNPQVEAQAIGRARRIS QRKPVSVETLVLRGSVEEVIVRRRGEMTQAEMWKCRSILDDRPIYDWILNAKILPLSP GREGEGEGEGEDDGGEDQMARLEVPQYLFGRGFGRELSHPDQDLFTVNGSPPGAKKNG VVVMPERGLKRRSPGGNTPRVGTPTPDGGATPKKARVRFAGSDGEAG MYCTH_2132220 MSPTQPPINYIPEAKSVLVPDDQPRALALLARILGLEHAIWGPV CGAQTDKRRDVHSWCGGTWDVAFTLKFGSFHLLVTPRRAPSADMTVILAARGIKACSL SGDMAEQGRTTSSSSSSDGRPQTSLLSPYCQSPKLFVIHPTRARHKP MYCTH_2297080 MCDFTKNYYVYTSCVDPGAHFFRTSLDGNSKQSCPKGPHERYIM LPGECPLCHGG MYCTH_91894 MQSGPSSHFELGQGNSSAERFVQSARVRGCGGERAPRLDGAGEM IRWMVQTRLSPAYKWSQPKGVALLKILLRLAEVSTWETQVGEQEKTDESSLAVVAAPC GEQQRGLPALISEASSISAVLQFVTQCAKHKCTAYPNRSNACDKRRHVLAAFRLADCR MWNAATLYETDLVITICAIANAIAIALARYFAWVSHCALSRMAAGSLQIFEQAGHRIR ESGNRGFLVWRMMNFARDRENREGLGYSEEVHYIRTLELYIPHLIIRDRRAGLRCPTF PSASSVAAGPADHGQ MYCTH_2297081 MATRTDDPPPPSPPPPQRSPWPWSGRKRRNSESSLSSLREFVTG RRNSLSGTGTEPNVLRKRPPEPPSATSGARKGKETDEERKPSMGAAAPRSGAGKKDSK SESR MYCTH_2297082 MEHAPLVHMPTAHPDGALPGPERSGGYNKPRRLSNSSASSATSS VVSGQDSFDSRARAASVASSQTSFDSYSPGVSPRPGVTPPATWASSGAGQQYPWQRPA PLKQRRKAQPGELFAALPGEVLELILEELRKLHLRPGSSSCATCWMRDCCSVAVAARK FLRYAREALYQHIQLVGHEGPAMKKRTKTAYGSRLVLLRRTLRANPQIAAMVRSLKPP ARPLSVAAVAYNDLIASVVMACPNFERLVGYYPTYDHSFQRLFHALSTRTSLKTMNWI LEPSAQQRQQRTRSSARNDNWGPEDLPSQEAQLFMAYHANWRQLTTLVVHCQPGAALG PADLLDCTIKALPSLENLYLSHAPRTTFDDTSLLSLPPLKKLSLNYCTGVTTAGLSSL ATRRNSVSIQTLTLLHMNVESLPAIARIFSYLTNLETFTIVQTYAPEMPPDEFIMLFP YLASQSLRKLHWDIPYLPNTVTPADTILARSIAAGGFPSLRILCTPNDPEGIFQALCA PRERIDLPADRYRAPFPGAAGPGSNAPWGHTRNSSSFSSTHSRGSFAGGVGGGLLFGN GKTTGFRNSPARPGTPGTPGTPGSTPPASPLFPPPDALTIARENSNLLQARLAAQARL EAAQRIPRYLVTVVDERGALVEKFGVGAYLGRVESRIRYVLAPDGATGATDEGGGVVA VEDLMREDGGEMVGLIDGGEKKSKRRGGGDRDGIDSDAEGSGGVGAGAKGRREGCNGR WNSHNSAVVDKKDKERWWHQERGRWRELVLS MYCTH_2297084 MRASLASHSGRAATYLCAACRREARAFLDSQGALIRAVPVAPSR RNISTIAIPADRRSSAQRWPSTRQTRSATTRASPDATTPSSTPSQPSSIPTYYALFPE TLPDGPPPKGKFAIDLRALRNEFLRLQAASHPDFHHHAAASEGQSPATEKSSSGGGGG GGSGGSGNNSNSNSNNNGHKSAARIRAEATSALINAAYKTLSSPLLRAQYLLREQYGV DLEADERGAQSGAPDPELLMTVLEAREAIEEAEREEDLEEVAAANEARIGESERLVGE ALESGDVEAAKEEAVRLRYWVNIRESVRNWEKGKPVVLQH MYCTH_2297085 MASPKVAAALEEAAKSANASDYSAILANIKSLSSPDNISTDLKA FADAVLTGNLGVVSTRSLVTEFIAALRSLDNHDLWIEVGRHVVETTPTTPLSSSLVEQ TATLRELIATAHEANEDFVEAAKSLAEIPLDSSQRRVPDAEKAAIWVRIVRNYLEVDD STAAETYLNKLKNVMHDVADPELNLHFRLSAARIQDSNRQFLQAAKSYHDISFSPAIA EEERLHTLSMAIKCAILAPAGPMRSRALGQLYKDERSAGLEEYGILEKMFFDQLLSAA EVDKFARGLAPHQLATTSDGSTVLAKAVVEHNLLSASRLYSNIGFDELGLLLGLDGTK AEDTTARMIEQGRLAGSIDQIDRIIWFEGAEASGEKGSGRAEVPVGKEMRRCDSNVQA LAEDVERVTDALQAEFPEFVAAQIAV MYCTH_2297087 MTARPDLRVLCFGDSLTAGYSYWGSVHHPYNEMLENMLSMAYPD LKIVTVEDGLSGSTVKHEFLSRMNTQFAAPKKKKQDEGPDGKRYDWAIVLGGTNDLAM GFPPEDIFETLKEVWDVPLSHQCKVLALTVPEAGVTGESRERLDARRDKLNNLIKGYK RDNFHVFDLHKAVPYWGMSESDRRRYWDDHIHFTPAGYDLIGNKVGISLVSLLAMEKA NSPQRPQKRRRMFKDDDKEFEEEGGDPSAINQGYVVVRRADLD MYCTH_114482 MVKVFIAKHTTPDSCWVVLHGNVYDVTDFLREHPGGSRIILQLA GRDATAEYDPIHPPGTLEENLKPEAKLGVVDPESLKKLQRQAAQGKPQEDEQKAAPPP LDTLLNLDEIEAAATKQVSKKCWAYYFSAADDLYSKTLNNLAYRNILLRPRVFVDVTS ADTSTTLLGHRVSTPLYVSPAAMARLAHPDGEAGIARGISRFGAMQLVSHNASMTPEQ IVANAAPGQIFGWQLYVQTNRAKSEAMLARIAKMPEHYKCIVLTLDAPVPSKREHDER AGIEARLLLESSKPPEPSSSSAGAGGSSSGGGADKTNKDNNKKPDSNSGVGQQLFFGT AADLTWETTLPWLAKHTSLPIVLKGIQTHEDAYLAARYAREHPGTVRAIILSNHGGRS LDTAPPAVHTLLEIRKYCPEVFDTIEVWVDGGVRRGTDVVKALCLGAKAVGVGRAALW GLGAGGWKGVERTFEILQGEIVTCMKMMGARNLSELGPRFINTRMVERDIFDGDAGLD RSGLWTPSRAKL MYCTH_2297089 MGDVDKAAVAQAENVSDKPRKRGCLGHLARFWWAYLIVLVVIVV IVVPVVLLVGVPKIAQNKLDDAELILDGIVVTNTQGQNFSMSINSTIKSDGSVHAKID GFQGVMYLEDHEPHTPFAKIDFPETTSDALQTVNVTQFVPIENLEALTRFNTWLLVND SLRVTVEGDTHVHVRGISRSYAVTFKKTITIPGLRGLNGTVVKPTWVSLKPDEKGNNF RATTVIPNRSPVSFELGNVTFHNYLLGREVGTVFIDNLTLRPGTNEYPLRATIDNGAV IDALGQKPYCEQNGVLPFQIRGKTVVNHGQSLPYFADALAAYNQTVSIPIGEAVKSSL GVTVPCGGLGGGNN MYCTH_2132225 MGLVQYDSSDEDEEVQSPPTDPHPPNSAVKPTSSSSQKSAPDSA PAPGPSEPAAPLAQQQPEASSSSSSASASEAQASSAPDPAPALGPVLGPAFGPSRPPP KEERPRLEGELELEEDEEGGREGGLGEVDLSFLDDAAGAGAGGGSPPRSPYTRTRALL RNLTLPSVPNMDIPPSPPGSPPAHLDALTAKFDSFLRLKRARGVHFNERLAGSSGMAN PAVADKLLAFVGVGTEFPTDGDGGGSGGGRGLEQYATVLSPEVWDGGASFPEWAFKGA LRKTQERVNKERERGRGEAVEFVSAGVLVPGAAGGGETAGSAGGSRAGTPGAGSGVGK RRGR MYCTH_2313833 MCGIFGYVNYLVEKDRKFIIDTLINGLSRLEYRGYDSAGFAIDG DKKKEVFAFKEVGKVAKLKSLVDEAQLDLTKVFDSHCGIAHTRWATHGPPSRINCHPH RSDPNWEFSIVHNGIITNYKELKTLLESKGFRFETETDTECIAKLAKYIHDQHPNVGF TDLAKAVISELEGAYGLLIKSVHYPHEVIAARKGSPLVIGVKTQKRMKVDFVDVEYAD ESNPLPAETAAQNVALKKSAGDGNFLSPNGLLGAADKSLLHRSQSRAFMTDDGLPMPT EFFLSSDPSAIVEHTKKVMYLEDDDIAHIHEGSLNIHRLKKADGSSNVRTIQTLELEL QEIMKGKFDHFMQKEIFEQPESVINTMRGRLDIANKTVTLGGLRNYISTIRRCRRIIF IACGTSYHSCMAVRGVFEELAEIPIAVELASDFLDRQAPVFRDDTCVFVSQSGETADS LMALRYCLERGALTVGIVNVVGSSISLLTHCGVHVNAGPEIGVASTKAYTSQFIALVM FALSLSEDRASKQKRREEIMEGLSKISDQIKSVLQQDQKIKELCAKTFKNQKSLLLLG RGSQFSTALEGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDEIFKKS LNAYQQVVARGGKPIVICNPDDEEFKASQAEKIEIPKTVDVLQGILNVIPLQLIAYWL AVMEGLNVDFPRNLAKSVTVE MYCTH_2076503 MASDQEREHALASFKQKLIESREWETKLKNLRMEIKSLQKEYEQ TEENIKALQSVGQIIGEVLKQLDDERFIVKASSGPRYVVGCRSKVDKSKLKQGTRVAL DMTTLTIMRMLPREVDPLVYNMSLEDPGQVSFAGIGGLNEQIRELREVIELPLKNPEL FLRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGESARLIR EMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGKTK IIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHAAGVAKEGEIDFESIV KMSDGLNGADLRNVVTEAGLFAIKDDRDAINQDDFNKAVRKLVEAKKLEGKLEYQKL MYCTH_91906 MKSCSVTRTVLTTWDEPGRAALEVLPVLMTPDGAKNLTPRSDGD PPPEAGGKRFEVTNTPEDASLFRELEDDVLVPMHFEFWAHFAEKRNALAAVLGKDVLE DR MYCTH_110640 MSTTKTRPMQHIDRKSLYTDLESRIRYLHSFLDFGASDIEALRS GSRYIQALTPAVVNIVYRKLLQYDITARAFQTRSTAFEGPMDSDPDETSPQILHRKSF LRAYLKKLCSDPTQMEFWEYLDKVGMMHTGLGRSRPLHIEYVHIGATLAVIQDVLSEA ILSHPRLPLARRIAIVKALGKVVWIQNDLFARWYVTDGEEFTDGVDYASRLAEKEGYL HGKRVLTPDQEEDAAAAAAAAAVAAAEGEEQDGAKEEAEKEKSPGQQGQGQRGEEAKA GVCPFAGMVSGMEGLKLQNGVQDGQAGVDGAVPKTVGVGFNEEEEGTRTC MYCTH_106343 MTRECMPTLPNDKTVLVTNGFEPLRLHIIKRLLEEGCRVRTTVS MANRAEWLDEVFKCFVESEQFERMVLPAEPPLRKRYWRQAVKGVDAIIHTPTIPNLDR KPVDDAWQESADSVTSILDAAELEPQIKAFVMTGNLGAVTPLVVETDTRVDAWDFNTR EVIGAYEESGKPVPNYQMLFNSCHVRAEEALWSWMDEAKPHFKANVVVPSNIIGYNFA TKYTTAWKHNWLWRLWKFGKSAPAVRGGGPCQAHWYIDVEDAALMHIACVFDDTIQHG ERIQAWGCFREWNSAIEILQEREIEKARKELGEQFDKKAREAAEKRYPFVGEDGVRRG MIYTNLRRISEICTRWKDYPGWKQFEETVKECLDLFENGGDEKPEPPAPPRRPNPFVL PPGTNIDEYHNRWLYRDEPSPPPLETVRTW MYCTH_63965 MSTSPTFISQTGTLQKYFSLDQKGKIIAEYIWIDSEGNTRSKSR TLDEKEDGYKPEELPIWNFDGSSTGQAPGDNSDVYLRPVAVFPDPLRRGKDILVLAEC WDADGTPNKYNNRHETAKLMEAHAAHKPWFGLEQEYTLLDLNDRPYGWPPNGFPAPQG PYYCGVGAGKVVQRDIVEAHYRACLYAGIKISGTNAEWEFQVGPCEGIEMGDQLWVAR FLLHRIAEDFGVKVSVHPKPILGDWNGAGLHTNFSTKEMREEGGMKHIEAAIAKLGTR HNEHIAVYGADNDKRLTGRHETGAIDQFTYGVANRGSSIRIPREVAAKGFGYFEDRRP ASNADPYQITGIIMETIFGPV MYCTH_2297116 MSDKSAVLVTGSAGHLGKALMLALPELGYTPIGIDINRSPTTTL RGSITDTAFLASVFGTHKPAHVIHAATLHKPHVQTHSQNDFVQTNIAGTVALLEAAAA AGARSFVFVSTTSAFGSALAPPPGQPAAWIDETVRPVPKNIYGVTKAAAEDVCQLAAQ RRSGGGGLPVIVLRTSRFFPEEDDDEARRGEVADADNLKVLELACRRVDIADVVTACV CAMERAAAREILWGRYIISAPTPFAAAATATSAVGAGDDNGEGVEDAKKEEREEVLRG LDRDPAAVFERAVPGVRDVFAAKGWRFLTRVDRVYDSGRAVRELGWRPVYTFQRAVDK VARGEEWRSELALKVGKLGYHAVPTGVYTTQERAQQGDE MYCTH_2123382 MAASLAFLQIRAAREVFAHVIVGNVPEFTLADWEEDMRLAQEAK IDGFALNIAAEDPSIEASLELAFEAAGERDGFSLFFSFDYLAQGPWPAERVTELVKKY GASESYFKPDGRRPFVSTFEGPSNADDWRNIKAAVDVFFVPDWSSIPAKEAVAAAGGV ADGLFSFDAWPDGAANMTTEGDDAFRAALGDDKAYMMPVAPWFYTNLPGFGGKNWLWR GDGLWDARWRQVAEVRPDFVEILTWNDFGESHYVGPVRDKELGLFESADAPLNYARGM THDGWRRFLPFYIDVYKTGKVPDRVGEEGVMAYYRTAPALACPAGGTTGNNKAQGQAE LPPEELVENSVFYAALLDSDDGVAVEVSIGGKKLPGRFGTVPAAGPGTPGVYTGSAPF GGNTGEVVVTVSRDGKTIATVEGGKDISNECENNVQNWNAVAV MYCTH_2297117 MAPVEPEYGGALLYRQQDVSDTELLDRLIADIAAENGRLDRVVA AAGMRQVTPALNKGLLLPVYNSSKAAVIQLTRNLAMEWSPVREDGSGGIRVNCISPGH ILTPMVRKNFEEVPGLRETREAENIMGHLAETTEFKGAVLFLLNNASSFLTGSNLVID GGHTAW MYCTH_2042961 ADANRTGALKKPTEIRVLDALRRIFIVNNAPLPPSPLQHHWIVD CYGHEYIESTGGNAAGRDWAMYHSVSYWKLCNGQSVGTHWVTQGALPPTAIVGRMARQ VLSTLQFLYTAGPRPLYHRDAHLGNVWIHWPSASSANPANHDDDKGDDAAALPLPDFY LGDFGEAAFDDD MYCTH_2297118 MVRIAIPTLALAATTVTALSKSKGFNLVAHVADPGSDLSPSVEG LLLTTAHTGAGFNAAVFADADAGSERVFYQNGTAARPGGMRSKSTLLTDGGTPPFPFS MQVQSGGEPRRIVDISVGQGTSHYVDARGRLVNAEDAGRRGSWLACNAVVPYYNETFT TLQYAYDYHRGDSPAGLEGCAAIELVARCAELNDLPDDAYSTHEFAVEVRCEK MYCTH_2297119 MLRGTIAVGVACLAQLVAGLDGPLFRTSLTLRDFREQLERRQAR DGAALEARSSDLQDLYPAHTLQVPVDHFHNDSLYEPHSSETFPLRYWFDASHYKKGGP IIVLQSGETDGVGRLPFLQKGIVAQLARATNGLGVILEHRYYGESIPTPDFSTEKLRF LTTDQALADMAYFARHVVFKGLEHLDLTSAKNPYIAYGGSYAGAFVAFLRKLYPDVYW GAISSSGVPEAIYDYWQYYEAARIYAPHDCVVATQKLTHIVDNILLDKADTDYVRRLK TGFGLGGVTRNDDFANAISWGIGGLQGLNWDPALNDTGFGEYCNNLTATKPLYPTSPA LEQEARELVKAGGYGKEADTLTTQLLNYMGYVNATTVQTCHKDSQDECFTNYNSTFYQ QDDKTQDWRLWPYQYCFEWGYLQTGSGVPANQLPLISRLIDLNFTSVVCREAFNITTP SQVERINKLGGVNISYPRLAFVDGERDPWRYASPHRIGLPERKNTISEPFILIKDGVH HWDENGLFPNETRPGLPPKPVADAQRAEVKFVKAWLKEWKEKEKCRGRKFCWP MYCTH_2297121 MALTPRHIQNLSAAETLEPHWGYADRAIPCTNDPGSCAYLDVVY AAHDRGMIYTGIFWLTIGGILLVWAVCRRLFPARGPEDDLIAEQLSRAQQKGAEQQQQ QQQQQQPPSLETDPESQPSSSPSASASASASASASNPPNPYPYPQTHPRRPALARLWR AVAATGRRHLLPTAPVRILFGATTRLQLLVLAVLAGYLAVWTFVGIAYARWVTPVAGR AGLSNTRTSLGPWADRVGVLAYALTPLSVLLASRESLLGLLTGLPYTSFLFLHRWTGY LVLAQSVLHTLGWALVEARLYRPQPEVWNEWVAQTYAVWGLVALVLLVLLWVLSWQVV VRRVTGYEFFRKAHYVLAAVYIGALIGHWKQLQCFLVPGLVLWGLDRGARLVRTWMLH YGYWASSSSSPSPENGGRSGSGGWWGFRSVEARAKMWRDAEHGDIVRLDFEHAQKPWS VGQHFFLCFAEGSIWQSHPFTPLSLPAAGAGGKVRHSYIFRAKGGETKKIARLLADKA AAGGPSAAMTTTPVIMQGPYGADVIGGLTRDVNVLCIAGGTGITYVLPVLLRLVRGRP APGRKMELVWAVKRALDLEWVQPEMEELRRLGAAHHLRIRTFVTAEPLSEHSSSSSSS SSSSKEEKVKIADEKGRTESDDDVESTQGGPSAASRTGHRPDVAAVVVDFVGGVAHGP TRVFGSGPPGMVVDMRAAVAKCNSGRKVWKGEERFDVRLVCDDRLEW MYCTH_44065 MDHGNHGGSSGPACKISMLWNWYTVDACFLTSSWHITSRGAFAA TCIGVVLMVVLLEALRRLAREYDEHIQREFAARVALITAGAGIDAGPATAGTAGTATA GGANAGQTGRGVVEPALLMEAPQTVVTFRASPLQQLVRALLHTATFGLAYIIMLLAMY YNGYVIISILIGALLGKFLCDWMTRAVVIGGGVAGGDGGAGKRTNGGATIDEPTVCCG MYCTH_106346 MLDQRGTGPDPDPAVAIAVAVAVLVALYLVRLNQLFLSTPDEVK KAAPTRWTDKLLRDTYARLEAHPVTTKSYAARIPPKLERRYIVTGGSGLVGGFIVLQL LERGQPPESIRVVDFRAPDRADMLRGPATRVDFVRTDISSAEATAKAFSKPWHPSVAG LPLTVFHTAAVIVPSDRSRLLSGFCEAVNVRGTRNVVEAARRAGADVLVSTTSASISI RPVELWVPPWRLWSTRASPPRGYWQVQDERDFFEPLREHAAFYANYPASKAEAERTVC AANSAALRTGCIRPANGVYGNPTDNTVGGALAWRLYPTRRPCGRWTSHIVQNFVHGIN VAIAHLDFEAILAATGSESRPQAGRPFVVTDPNPPIRYGDLYRLLRTLSVTRFRVLPL QPVLMILLSYPIEWYTLALARWPALRRVLPRLPGNVKHLQPGIFSICTHLVASNDAAG RPVAQGGLGYTGVLTTLEGMTQEVVEWNRDHRDAKEGPPRSYKTSVSLADDIARAAAV MESVTGG MYCTH_44996 MCHKASCSTCNKATWFGCGQHVPKVMDRIPKEEWCTCEPRVDKN GQQYPPPGSALSACVVC MYCTH_2297125 MTSTILGASGSQYVQGETLHKNENGSPTIFKATRGNEAVVFKQE SKSIFDLSRRLADEFTGSRRLRMPIDFNAQDRIVVYPYFRDTLLGLMRADPDFPPTEL KKILRCVGEAIQEFHAKDWLHLDVKPDNIFVNWTCDEDGNKTVTDAVLGDFGIAYKQV GATPLRTGVALGNFMWRSPEGQTGSGMTKASDVFSYGLVCIYALGGGDLLLLEDKKVL AELAELGIAPYEDILTRHFTYFGLVNDGLLKLVDSCKHNTLRKASAIAEVAVRNQPEL RFEVWGKELGEAALEMISGMTRPDPAARLTIDQVLGCSWWQEH MYCTH_2297126 MTLTIKSSSLIKHITRDGRTIALKYATQTDAWNRSYLSSDVAEK FVKAVENKAYIPPDVTEIIMAETDHKSPADERTHFTAQANDDKGERVEVMHILPASE MYCTH_2123392 MSLPDSTVAAWTGSPQSFLDDESPSPYTHPDEPVSRSDVLRHRY NFRLQDDSSRALAWRPFGHVARELVEPDLWPWLERGHVREYVHWVWWVKTSKGLVRDV QLGFRKDTGRFVPNVPDHLGTLRGRGRISANEMIRLGPSRDSTLRMLNFCM MYCTH_2123395 MAQPAASSARALIQSVLQHQYLQTHQFQDPPPPYGKEGRSPDMV FLERTGTFPATQLHIE MYCTH_2297128 MRYTIHFVFFFLLGLATGLALPRRQSEEPFAVLTHARNTACHTI LSSCRVESDCCAGLKCGLFDDEALCVPIG MYCTH_2297131 MGWEPEWDVGAIVEGVWQTGERTLFQGVQKVAPAGWVELGVDGE LTKGTYWDLEYADKVRFVVVVVVVVVLWEGEQR MYCTH_2297132 MILGVRERLTEAIRMRLRADVPVGIYLSGGIDSSLVAGIVTHLV REEGVKMGNRNATDRICCFSIEFPTDSNFDESGMCRTVLA MYCTH_2297133 MDEATLAENFADSAYHCEHHNMDLNSVGKFGLSTLPREHGFKVV LTGEGSDEHFSGYPFFLQDMLREPDLATPDSALSKDDELRERYREMAQKGLGEVMLAR AGAFRHRPLGDEAFRDLNGARLLDALQLWQPMLGVFAPWVQERWPGTSVQLALANQMP PAAKEKMATKWHPLHTSHYLWIRTMLANNLLTCLGDRTEMAHSIEARPPFLDHVLSEY VNALPPSVKWAYTPDAEERNRLPWAGGNTKAGNTKAVTTFFSEKWILREAGKPYITKE LYERKKHPYVAPNRWPKDGPLHKKLREICTEEAVGKLGFVDWEVVKGALDRAFGEDGD PMAFRILLVVGGWVSIGQRFGVKRAEPKAETNGILNGKV MYCTH_2297134 MALTASTKQNAGPPSQTSTISPGKKQTPTLTPFECLQREFNDDL EYVSVEIAEKETRKMLRAKEGRKQYLPGQPRIRLNQPAHNADANEDKLLKYLRQCHNT DGLDELLPYMRYIFVQTPSHTHIMPLHHQKSHAREIRVTESPGLHLVWYYELIFIKPI PAYFYSQAFWDYLENADKKLYGACLGFMRSYYMLIQYNLDFELACNLRLIPPKGDGTM PTYEEWCDFIEPFSQVGDAHVNRRYHYGELRLTRINRAAVLFKFNLAYFHIYPQWGSF LEHTLAPIITVFAVCSVVLNSMQVSLAVIEIKHESNQPLGGAWPRFMDASLWFPVVVM VSIAVILIAALCGMGIMGLKDLFRGNYVRKRKKKGDPSAGTRSHGMVW MYCTH_2123398 MPPPKKRSYLNANLDHSKLPQPKKRSRPEVISLISSDSEPEADD VHENLNNVAVEGDNRDDPDGNDVGASPKVQSHRPLSLIEQLQLDAFDDLKADLCFELD SLCESASEDAEQGLRDVLRQWAGEDKASRHASHLYYRLDHKYCDKRFPPEVFVRRDAT VTASLSRVAGDLEFELFLALLDRDDSSPTLDYLARSVVDIQNGHELISYIPVDDNNVL QAGPSLLKTPASGCETAILIIPRDGLVDFVMQYIDNLANPASCSARDQSLEGVVKYFI SRMVDPKSRIQLLPIFRDLCIRIWQLDGSKGLAVLPGFTIQNLLTALVQAEDWSFLEQ AASRLGNRTPLAVTVSQLLANGAESVVASLACKIVADVALIPRQEFPSLWLPFLGHLL RILEERKAPLSAPRYRHLFAAILETYLARCVGPFPFEWTPKLRRTHCRCTICNLFTRF LSSSVSVASFSWLSYEAVGHINATLIHSAWHQYRCQFRVEDGMLVVRKLYEVDPNIRK CWTENMEKATLNIGKLDGPALREILGDDYPRIRNFDQSHQMPQQASPVPIESLDDLCE GTDQLTTSSTPGPTTRGAPSNFTYQPQAAESCPQSNPSEPPPSGQSPLISYASLNRPN PATAPRDATSIMRNLHNSCGISRNPGPALDLNLTSPFNLFCEELGASLRRRGGGITSR TRFRTAERRWLLMSEKEKEPYILKAQTLRSPSGSNVGSSPHAAKSPSQPAASWFSSNP VVGHSGANVGSGATQPPTPASISRLPPASSPSLSRVFGAPASSSRLNSLPSAQAHSQG KIETVTQATSGMAGKQQAALVIDLTGDG MYCTH_2297138 MAIGAILEPLVVVGLLALGTIVNRNKSKAARGRSPLSHRPEPWE HLKYTTDSEDEDIETGRARADERTLLPPPLSRSSSSSSSTLAEDVSRKTIPRWRTRKL RFMSWEKDVTSPNTEIFRDRLLSRVLQRFPFLAEVWYWALIYWVYQLGRAFTALTLQD STVNTAREHGLQVVHAEQALGIFIEPAVQRWFLERPTLMRWTNKTYSFIHIPGTILYL IVLYHVTTARPRHKLQEENGGKTLSENWRDLAPQFGPAVYERRRRTMAMCNLLAFIVF TFWPCMPPRLLSDPTYTGEHAAEAKSYGFVDTVHGADGDSSVWTTNRFCNQYAAMPSL HFGYSFLVGLTIAAMPLRKRGRLGWRRLAIVCIGMIYPAIILTAIVATANHYVLDAVA GAIACIIAWNFNDLLLNLLPLEDYFLALVRIHKPT MYCTH_2297142 MCHICRRLDVVLQSPPPEVIAARKAEEEARVRRFQEQLAALRAQ GITPRAPRKQLPGPCPPEKVVVEPAPSHDGAPTFAAYSVPLPELQADPDSDDGYGNSP PATEPEKHRPKFGIRLYDTTADATAERAAMLARRISELTRYDRMSSESFGEDRIEVVA LPLPSSTTDEERAVICISHNEAERQVRLPLPDPAVAASWYIAEDFYGSRKILWVIHDL KDSWEEALKRTTHEGGEGVHGHFLQVYYGYEDDSEDDEDEPPRDFYLGAFRLEQLGWL LGDFRGVRGNVFEFFQTHFVPDRVLDKELALPTARIWTARPKATPCVSRRPEAHGSTP IQVRRPTRRRRRLSKVSFPFPPETPFGLPTFFHSTIFTLSMTLIPTSYITSSITVSLI AGFDD MYCTH_2089137 MRPILPFSDRPGECLSIGPSQGLVYAIDQEIVLKVPFQYPVLQD DSTHYLLDLALKSFVSLERELAVYDTLRNNPHLNIARRLETDRSDCLFLERLTPLAAA WPGSNELDRRRWALELLDAVSWLEDCGWAHGDLAVRNLGVDSTKRLKVFDFGSAIPRS HPDYANEVWRDHFDLATCLHFILSGIDPFAGTRSCSEVEKVRSTLTAGRGTIRHGADV LADIIQDGWTGRASSTTFRQLSRRASDALSSRDHGRPREQSESHYRCLESRCRAWLDG VSRNPMWRDIGEYVSACKAVEHEVDMDIWR MYCTH_2115324 MATRYSEYSAVVEIDAFFQKTSATRSGCDARARELTGGKVVPVE VQGVCSYSVYAGPELEYVVQFRLESLALKTEVTSLATEIYGSLVPTVSFEGKVGEDGE KEPVYVYLMSRLRGMTHLDFILAHGFPEHSLDNLSCRRNLIGDIAHFMALSWKAPRPV SSEYRDTLRQTYVRDLRLLQAALPSRFRSIIQTCLNSIDDILSLPIVLLHRDFGSCNI IVDETTCHLVGVVDWAEAEICPFGMNLHSLQSLTGKLHLRNGWTRFEDYDALQDVFWE RFKQEVGGLSGDQLRTIKLARALGLLLSSGFTSRLGNEPRPVPIGDDEHGRYNMMSLD GFLINPQTKFDGLEY MYCTH_114497 MYLPLRLALVSLGLLLACAEAAEPYRLRAPPLDTPWTKDVGTNP WPQHPRPQLRRDAWKTLNGIWTYQPTQGAPDAGALPKLPLEKEVLIPSCIESGISGIM DMSFQVTRMWFGTNFTVPPRWTESGRRVLLNFEAVDYEATVYVNGAEVGFNRGGYARF TFDITDHLAENGANELHVFVFDPTDDQSIPQGKQTKRPSHIFYTPCTGIWGTVWLESV PDNFISGLDLAGDMDGKLTVTVHSRTKKETPVEISVVAPHGGVGAKHEGVSDREFTLR VPDPDLWSPDSPTLYNVTVKLGADEVTSYTGFRTISSGVVNGIKRPLLNGEFVFLFGP LDQGYWPDGIYTPPTLEAMVYDLRVIKSLGMNMRRRVFTNARPTDAEQKEFERQLEIM VNEHKSHPSIIAWVLYNEGWGQRTDYFPEFALTDRVRELDPTRLIDSVTGWHDHGADN HKYAEPQCGTPWHSQPSSPFDPNRIGFQGEFGGIGHRPADENLWPIDGAVRTINETYE IHAGLESYHYRAHVLLTQLREQVERYACSGAVYTQTTDVEGEVNGLLTMDRRVVRVDV DRWREDIRALYKAARARAK MYCTH_110651 MKGLLGAAALSLAVSDVSAHYIFQQLTTGGVKHAVYQYIRKNTN YNSPVTDLTSNDLRCNVGATGAGTDTVTVRAGDSFTFTTDTPVYHQGPTSIYMSKAPG SASDYDGSGGWFKIKDWGADFSSGQATWTLASDYTATIPECIPPGDYLLRIQQLGIHN PWPAGIPQFYISCAQITVTGGGSANPGPTVSIPGAFKETDPGYTVNIYNNFHNYTVPG PAVFTCNGSGGNNGGGSNPVTTTTTTTTRPSTSTAQSQPSSSPTSPSSCTVAKWGQCG GQGYSGCTVCAAGSTCQKTNDYYSQCL MYCTH_2297159 MDLDFDLDAALWAGDLELAVSQQEAHMGVNYGPLETSMPADLLF VEDEGVLPAAPDYSAQPANMPQQPSAPPSTRAVFPAPRSDAGSDDARLPDEGGTPNGV ARQRLRRQLSLLSKGWAGDEIRFKNCDASKAIVLHSLAMELGLGYNHDVRSREVSMSR LEPAQAASKSRQCAAHPSSSCSRSSTELDTTLCLPELPTVPEYQVFNVASHASQEPSS QPAQPKGTGTAVNQQLMRRPSCSERISDSISKHVSTLKTSISKSGGRRGPLTETGRRD MRALEAAGGACWRCKVLRRKCDPGSPCRCCLQSVPMPHLGEDAPLWPLIGCRRGPLRN SMPPQLLCPEPKPETRGASGLPRRCRSVDIAEQCLLSAESQRLADMKAVLEGAGHKLS ITDPASQARFISFIEAGRYRDRTSLQRSYSSGGTSVSYTELIAIIAWELAENPALLPA LEIKSWESFMNMLETACIYEAEVGQTSLVIMSMVCLRHCLEALRLHSANLLSPGEHED CGGGQCQVECIHSLRTQVSAFVDELSSVIFNKENMRDRRWWLSTFYSLYIQSYVRHAL IIIEKQLRFQSSDDVPAEDLTATQYMHLPAVLFTAASAKYDPLLDGRLQYALTDNSVI PETSVPELHHASARVACEVDKWPEAGIRTPYQFLRRLLQIGSLDFFDFGLETTGQRSP LSLASPSSVRGIKVGSPVSLSPSTFEDGLGFPSPSVGQGKRDSWNSRYSAQASTRFSN LSSDSLARTMSTDVTSLYESSFAAVSFCGSVADLNGDVSVDLATINPTALFSAHHNGS SQSLDQVMAESAAARHDGSAAGLGIVAVPTFVCNCCPRAPRHFHTREELTNHEAEKPH PCTQCKKRFKSPTEAERHLNAIHLKADFWSCKALEDPLQAYHTQSYQGTTWDVCGFCG GEFVRKDGDDGVPALDRAELVAHVESVHRISDCDRNKKFYRADNFRQHLKNTHVALPG RWLKALENACRSTAAREGGLV MYCTH_2055941 MLLQLVPNAEAARFCLPYEPFCALAADIISRAPPLASLRKLAFS SISNDRFLLVDQATPIMRLAQNLEALHFDRCGAVTNLFSASLGRNSPADPPPLSKLTE LSLADSQLSVASLAALLNSVGPRLSKFKIRRSSPTLSFGDVLAVLQPWKGTLRELTIL NSHEAPSEPIGSVAGILLLREFYALETLCVEAADLEFLEYLSRQPGVSSLFPPSLRDF RLRGDPRRVAAIMRDPLVAFNATKY MYCTH_99786 MVTLTRLAVAAAAMISSTGLAAPTPEAGPDLPDFELGVNNLARR ALDYNQNYRTSGNVNYSPTDNGYSVSFSNAGDFVVGKGWRTGATRNITFSGSTQHTSG TVLVSVYGWTRNPLIEYYVQEYTSNGAGSAQGEKLGTVESDGGTYEIWRHQQVNQPSI EGTSTFWQYISNRVSGQRPNGGTVTLANHFAAWQKLGLNLGQHDYQVLATEGWGNAGG SSQYTVSG MYCTH_2107239 MPKLSTDAVDTCFSQMFREAAKVLGENPSNSFASDPIEFVKWVQ RQPALDVRPDRRWRAGYVASVFSDSEDGARVENEDEDEDEDEDGASTVSPSTRRPCYR PLKTCASKMRPAAHCARRKNRIRFLDDSEEEEVVVVVMLRWLRGIQSVPDSRIATRFS MYCTH_91932 MGHFRGQPMVIYPTFIDLGRAACCLAGAPSREGREVFTAIGTAL DSPPKWFVADSAILICGQTRAWPMATSPFSRQLKQEDEMRHVSSQGGSGNPHHRSGPK HKSARLYFGEAPRHLGSSPTSVLAVFSKMLPLPSVAGDSPSLKAAMYSYVWVAQ MYCTH_64004 MEHSTSFKSGYRLPKNLFEIRVTSTRPIRAFDTITMAASPPRSS AVDAELPCMPRRQSCDRCHEQKVRCVTVEAAGSEAFGDIAEEGTQNPGGHFISSVPCA RCRKAGAVCIYSRGIPLSIAHHPSQEFFAQDPGARETASLPCSTVASAWQQNVHRNPD TDAPPLTEQWHPPSYHTSGAPTTPADTCDLAAFAAVPAIPCASVFPQPANDMIPTADH DFVGGYSWSLPSSSESLLEQLSQINLRIHLGGRSLPEPSTTMAPWSLAAVNDVLDAAC SLTDAVDRFTAGKAMSSLEEPQESGSRRRSTLDAAFDSSTRLTLHACHQALLGVFDHI SA MYCTH_2297165 MTQKQTIDWLRGEKRLRRELSAEEHAKVHALYSAKLLSESLQML KASRESLRNTTNWDRLTNVLGLLKSVARAADVLAEGLCIPSGSLWGSFGLVVEVVSGN QKYLDYVLHLYEDLLRRLPRVESYPPQALQSSALRLALFDVYELHHSLAVRCVKTFGR ASKPGILARIRWILDSPIFEQELRQLNYLLRRVDEEAGHALQLEKAAAEERRHAELVQ KQEGILAALTDKGSSKMRQVHITERFTVPWTRSRSFIGRESILAEIHSHLAPDGEAAA AAAAVASHQRSVAICGLGGIGKTQIALEYAHLHKDSYQACFWVTCDVRVKITTAFSEM ARVLDFDDLGTDQNIMNVMDWLKTTADKWLLIFDNAEEPQSLEGFWPPSSNGSILLTS QDTSWIGQDTINHGINLGSLDADDGVKLLRGIFSRWKRTISREAAERIVHETGGLPLA IRQIGSYICSIGTEPEEFISRYSEVQSSTGVEHWSEGTQLSYSRTLATFLDFSFGKLG RQALTALGVFCFLDVDNVWAEILSGSSSGAPGPPGHLFHADSPE MYCTH_2297167 MWSFESPLIKEQISRIKEAFGTPSISCGVLHHGQVIFRHSEGYA NVQEGKKADSDTVYLIASCSKAFVSATCAILAHEGVLSWDSPVSNYLPEFVTPADEIV GQRATLADLCSHGTGLAPIDHAVMGFWDEFYGDGADQVAVSARLPVVYDFRSRFLYNN SMMGVAGEVIREVCGKSTGTVLKEKILAPLGLTRTCTSAAEYPADGNVAIGYSVLDDG SVLPLRDPHCRDGSVQAGAGFVRSTVNDMLRWAKAVMDSEKAEARGETPLLPGISFTR CAHRPLVMEDGLGENSYGMGWFRHTLPSRWLSSIGPNSKLLDDDPPVIGKNSPPRLAL AHYGEFGGFLAAFYTFPATDSAIVVLANSAQSRGDPTDLIAQALCQELFDMRPRVALD QYARESARRAGLRWSALVREWEANRVPGTRMRNPADYVGTYTNEGFKLAIRVYLLPDS AAAARSGGNNNPELLGFQVNGLPRQTAKLRHYHYDTWTFLPESRDDASRKGMELYLAL PYVLLEFKRGHDDRVCALEWDLQGGDCEGPAPGLDSLVKPVRFERVSSIRSYL MYCTH_2297169 MASTVATPLVTTVEFMPRAAYEPAKPGEEDPFRHRSASNSHNHH DLHPWGPDVVAGSREFDALYAEANNQYMYHLWYSDALTPFMATRVLSIAPIKDDLLNT LQEVLRIVLESGVAAALSSAPGQLLVDSMQRILDSATAGGESGPIFVRLGATSFKDSF DVHEPSTKPAPLQPRDADMVVRRMLTSGRVVGRLLALSERVWQADPGEAFVIQQWSQE IDMRREVRVFCYRGRVTAVSQDAFWKKLGWREQYSHGFAQAILDLWDRVRGFLPFDTC TMDLLMTPPGNTTGWKARIIEFNGFGTHLNTGSSLFHWVNDADILLGERAGLTIRFVD DWEEDGPTAQDEVVAVAELADEAPDWLELERQLQGKYSDAHKSEQRKEMENAARLPLR GRWCSAF MYCTH_2123414 MTTIHAKLLYVRDLPIYRQEKPYQILSHLPNLGDIAPSNLVFEA VDETIQDVRLADRPFTLDDNGFCHVTAPTSFSDWDSRSKVEECYLPEVKSLLKRLVDG ADEVEIFDWRERRRQMGTPATPSLDTSQTPRPPNMNDPADPLQPAKQAHVDQSPAGAI KRVKTVKGDRASSLLEGRLRIINLWRPTETVENWPLALCDGASITRDDLLETDLVRRD YVGSTMFAKYRPGYHWYYLSNQRPEEVCLIKNFDSDETVKAQCEYRLVAE MYCTH_2297170 MGSFLSQPSGRHAQKTDRDILAKLRRSRRVIDRILSITGAPSVS VRVIRGGKTLYTAHHGFRDVERRLAPDNDTLHNINSMSKAFISALAAIIADTGALNLT DPVATHLPDFSFKDKGLAHQLTILDLLSHRSGLNNPDAIWLGSRNSLLLTKEDAWRML ATLEQVAPTRSSFIYNNWAYMLVGGILEEVTGRRLADLLHSYIFEPLGMTRTGTAWDP ADCNTAKSYSVLSNLSLVEIPPPQLGAGTAMEAPGGIKSTISDLSRFYTAFLSAVMDD FRQPTGGGGGEPPLTRPSIFRSCGELITHHSTIPPASLREQGYGLGWARAQLPGPMGR IGLNPAIGDPPDVGKGAPSTLVLYHNGSMPGSTTCVCLVPSEDVVILVLQNSITAVDT ADIVCQLVLELLLNPTQPIDFESQARALTREAVARQGRVGAELLARRELGTTPSKPLR AYAGVYRNYVHTFSIEIRARGDRLCMRLQGLASEEFWLSHYEHDTFCWWMPHDEIMRR GRYTEYGPEHYLISFSLTAGGKVGALHWAWDPARLDRPEVFTKETFVLQKE MYCTH_2297171 MNPAQSTPTSSATTSSSTTSSTNATTIIAASSESTCGFEGNSDI YGLGIRVGIYLQWLAAIAAEMASPADVDAAQAAEASYQLAMFSGLILVTQDLTIGVFA VEAFLVLLFCFAGVWVGSVPAAARTSGLSSGWWRRWWWSSSSSGGHEEDPPGGGGRWS GSGGALTGPITTTRTTTTTTTTTTTSSSSSSGAGLFRQLLGCVACAYGTWTLFARLRL LPRTACDEVAFFFAPVRLFGWFHDMFKAFFVISLAASTLLLAAELVRRGAALAAVLRN WPPAMIELSSPAPGNNHDDPAAAAAAADPGAGKTTVLLRHALGRVAAWALFIVAVELT LWWNAVRGVYECRTFGQLFPLVLSASNLVGVCRRVAKAVLARKVSFKL MYCTH_2297174 MQRRSVSHSAPYGHACRSCFKAKCRCIPRSDGDGCERCLRLGRQ CIPSNSIRRRAVEQKQVPKAQAAMMEGIAFRLRSQNGGSEAAGGQGVRVGPPEGLGAI AGLDAAMDPFFAVPNHVPDIRPPEPSGSSPATCLDTFRSHMLPHLPFFHLPTHMTAQQ LHFRRPFLFRAITCVASPTSRERQTRSSELKRVLHDLIFLRGPEHEKGTRPDKTEKTV DLLLGLLVYVAWGLDHRLIGRLVMLAASLVGELCSEGPAPSDAYTLGLLIPETNGRTS IGYDHQTALVEDDLECQRAILACFLLSCAASTYSGQVHAMRWTPHMDRALAAISATRS QSDHILALQVRLQLLTEQAFQVRYQNYERNHAAAESLLEKLEALRPVIQQQQVGSLHA HLHHAELASHEALHASASTVATTLAPNPPPPSTSTWQYPGNRTGINGTGASSNRPSST APSRDNNNNNKNTSSARLSPTLWPSLSAIHACAAALLALPAPLFRGIALPQWAHLARC LVALRSRHMPNPAAAAAAAPSGGFPDLPGLLAALEERLEGLAREAGEAVPLGSEVTDR GMVAGAGTFSGLAGALRRFRERVLREFREGVLRPGGVGGRERDGSAGAAGLGPEGEGL ATFAPQKGYFVGQRFWMEQIWTGVDSYSVTH MYCTH_42730 MSANPNPVGNQDQFHSSVPPAKPMTTSGHQLGQQVGNEAVPEFR AETHAPGTAPKQHSYQPNPVHETPGQALNPNASAETGGRTGPLDMPGATSGEVHSAST FARPMEGENSREAHGAHGVGKRKGERSGLEGVGATASTSDETVEGRVRALGADLPEGV ERGIRGKGPGAEESIPASAAEVASEKRRP MYCTH_2297176 MAQPRYYSDDEMAASQPLGGPGPTPPRHRQFQQSYYEYYQDAHD RPPSQYQPRPQADPSFDRLRSQRRYNQEAANGGRGGTGQYAAAGTTLPSEYSGHGHPA SPPRASPQRGTERRSWGQATSYPPQAQRPSPQSTIAPGADNFSNAAAGGMAGIALTGA EQNAGESGLDAIHGQQQQQQQPPPPPPHQQQPYHQYGNGRGDGYGERGMLSPGSNYPG DRNSHASLQGLAAAPLGSGYSTPGSRTSSRIGVDIYTDDPYQNYSRHQDSDLGVVNPH DIIDDGDDGLEYGRSAARTSMLSIGSKRDSHGAAAVGGAGTGGVLGGLVGRNGSGSVS NQYAPVSTAAPGDAASGGSGGGGGIYTAAAVGAAAGGEKAALHSAVSKGSGRGRKWKF AILIALAVAIVAGVVLGILFGVVLRDDHKGGSKSGAGSDDSTSTADGDTKANGDLDAD SSEIQALMNNPDLRKVFPGMDYTPLNTQYPDCLTNPPSQNNVTRDMAVLSQLTNVVRL YGTDCNQTEMVIHAVNQLKLQDEVKIWLGVWQDKNETTNARQLRQMWNILDKYGTSYF KGIIVANEILFRQEMTITQLGSLLEEVRHNLTERKMSLPVATSDLGDNWTAGLAAQSD AIMANIHPFFSGTKVTEAADWTMYFWGNKTSGFLKKDESMNIIAETGWPSSGGTNCGS DYVTECPDGAVAGIDEMNRFLEDWVCRALEDGTEYFWFEAFDEPWKIRFNEPGKEWED KWGLLTVDRKLKDGLKIPDCGGKRVSSS MYCTH_2297177 MIASGSTNSGVSGRRPPPVLFLLGLKLAGLWALPLLVRAIVRRL SARRMAPFVLDDDRQSRETTKTAPANPGCRKADVAELTVTATAEATTTTTTTDLPPAA PPRPLLPPPLLPPAAAVAAATAATAPTRVEAQAQAPAPAPGSVSAPAPAPEAAGAAIQ AAEVDNAWDISVALEWKLLFPLLVPGTGDPEPGDGRPVVEARCGGAADEPGCLEQAHD CVAQTIREAGEEAATLHSLRRRGVEEKELWASGWVVKKANSAVPLEGERARGRGRYVW VPVEICSPRMRLADPATRARMRTVLAALASRHRLAANCSCEVHVHLGRMDGRPWSLPT LQRLGSLLWAAEPTLRSIRDPSSPNFDNTYTWGFALRQRSRLARRLEEEDGAATQETK EEEKDLATAVTITIPDKQIADAVVRCSSSSPRASTESRAARAREVAALAEIWKAGSHL ELGRLLSGPEKMHRRLGFNFSAFGEEDERARRNPRTMEFRIMDGSVDPDLILGWLVIC GTIAEAAVVRSDPRFAAALAVALLQRLLRPESARHLSAEDPGERRAREFRHLMRALAV PERHWRGFEDKIMREHGALGEHS MYCTH_2297179 MTTTTRSAAKNQDKQPSGARLAEEAEPGTKHKTEPEEHTKPPSP KRAKKEEDKDEGGKEEAEGESKSQGERPQAHDDTPSSILEKGVIYFFFRGRVNVDDPS SVSDIARTYILLRPIDRHADLKWGRGDEGDEGDQSGEKEGGAPLGEAAKARLLLVPKK TLPRTGRDRWVAFVEKAGASLDQLRDEFLAPSGDYETKTAGTRHVPAATPVAEGVYAI TTTGRASHLVYLLTLPHPDSGSGSSDSQPGEIQRELGLKERGSFIISTKNPEYPGPAN ARLPKGPDYPKELLEEFRSLRWVPTQPKHLDYVNTQFLLIGESSGTDKALEPQKEDQE EGKAEPAEEMEMLEEEDEKRMKSLADDDAGRIYTDLQAEAKNYPKLLTTFP MYCTH_2076551 MPYNTPPDEETPLLSTPQPRAWLPISRWRKHLTADVSRNGADRI LILCYLITGLLDSASISVWGSFVSMQTGNTVYVGLGLASPSSSTRWIKSGTSLVAFCA GSFLFSRFHRRFSPKRRWVLTASFAAQAALTAAAAGLVTAPAAAPVGKNDDDSQGGSV SVVVGGGGGVSWRVLVPIALVALQSCGQAVASRALRYNALTSVVLTSIYCDLFSDTDL FAVRNPARNQRTAAPLLLLLGAFLGGKLAAGPWGVAGALWTASALKAALVFVWLIWPA DGSVEAS MYCTH_2297182 MRLPATTILVLAAILGDLAVAVPIQDSPRQRLLDGKPLPAKYRK NPPPPYTPEHRDPYDSAVDAIGEKLDPLPYRNGDGASVLGPWNRERARQNPDIVRPPS TDHGDMLNLRWSFADSHIRIEEGGWTRQTTVRELPASVELAGVNMRLDEGVIRELHWH KEAEWGYVLAGHVRVTAIDYEGGTFMDDLAKGDLWYFPPGVPHSLQGLGANGTEFLLI FDSGDFSEESTFLLTDWLAHTPRSVLSKNFHLPPEIFAHVPAAERYIFQGSRPSDSLR DEFPAGRHVKKSRQQFTHRLLAQPPKNTTGGRVRVVDSATNFPVSKTVAAAHVVIEPG ALREMHWHPNADEWSLFLRGRARVTVFGAEGSARTFDYQPGDVGIVPKNMGHFVENVG DEEVEMLEIFRASEFRDFSLFQWLGETPRRMVADTLFQGDKEAGERFLKEIKDAKKDE VTMPPADDDDKDPGSMWDDL MYCTH_2297184 MPRCWPSFRFRSKKEAGVSTKPGKLPDSGSSASTLGSDAKSKTA EESEKVNGLEEGQEEKKDDKKKNDKKKVKKKKDKKKEDEDSKEDGDTKDKKEDDKKED DDEDGDEDKDNKDDEDKEKKVIVGSVSEAKNIYRGASDDDGNWTWVDKYPDGVEEAAE NEETAKYAIVVRNQKSNDSRKKLEAHSIIVQSPQIRAVLAEIMADYPGVACELTRLEF EAPFKPFVHRWNEFQKYIEKPDLDEKTKKDMKVLIDIMNYEIGDNVKTFQDYVKNGVV CFKDLWMIFQPGTVVIATGQGQLSAFEMVETEYQTTNRGRFLVVRCDCVDYGGKEFGR YQEQILIPEFIGTKKITGLKVYPLHFHKDKESVEAKLIKRGELFEKLAGHHYKQYSGK AIGWDRDENEIELEVSGRIIVDIDSFNRFSPWRVRYVNEWSATDLDRFSKYKEKMGIT DDKFVLPPYYQMLARARTRGYSLKFKKWLDFFVDQITEVEWNTTAFDRLVLPPDQKEL IMSFSESQIAGSQFDDIIQGKGKGIICLLSGPPGVGKTLTAEAVAETLRVPLHMLSSG DLGSSPWEVERELNSILELVARWNAILLLDECDVFLEARSTHELERNKIVSIFLRTLE YYEGIMFMTTNRVEEIDAAFQSRIHVSIEYPDLTAASRRTIWTNFLRGSTIKSSLTDR DIAELAELKLNGRQIKNVLKTAQLLAARKKSETLDRKYIETVLGIEKKRPGAPQQMMH YL MYCTH_2297186 MLTKPNLTHRYRPQPPKSFHDLYLRATTQRFYVLSRVRRGKRRP LATSSSGPDGGAAEEEQEEEEELSETVELTGSTGNIYTVTISRQPTCDCPHARKGNQC KHVVYVLARVLRAKFDYVYQLALLGSEVREILDGAPPPSGEGGPDSDGREEGKGKRKP VEGDCPICFCEMGESEGAEPVVWCRAACGQNVHKACFETWAATKRRQASSGGDEVTCP YCRSAWEGDEDMLKTIRRDGKRNADGYINVASQLGISTQRGESSQNNLRSKSAPTARF LTFA MYCTH_2115336 MKTTRYSTSRQKACQPCSNAKAKCDHKAPSCGRWSAALDFSHLD LVCPINADDIRNRWLNSYIPVPGQTTKTLSTAVTAFTYRILKSYAAIAVRGHGSLPFL HPAQPLQTGPNPPLSACLSLIRICEKPLPGSEGVAAEVLQREMDKLHGQYDTYDDMTL LAAFQAYLIYILVFYFLLGREADPFLRQAMMNLQEIACSCSSQGLVCAAEQGGTRPKW ESWIVAEAKRRTLFTMYLLDNMLSAKAGLPTFLGTELRGLLAPASKWLWHAGTRDEWE KSYNVYLAEWSEGGLRIDELWSLPPDLDEADVVKRHSRVDRWLQSVDEFGTMMYAVTS CTHGVRQTPEAPYSIFDKRQKALIVLIVSVAATFSGFASNIYFPALPTVARDLGISIE LVNLTVTTYLVFQAIAPSLWGPVSDVKGRRIAYCGTFVVFFGACIGLAEAKTYAAVLV LRCLQSTGSASTIAIGSGAIGDITTRDERGGFMGIFQAGLLVPVAVGPVIGGALAGSL GWRSIFWFLAIYSGVFLVLLILFMPETLRSIVGNGSRTPSNPAAKYPLVVYQRTTKIK WDPNTVPSEPPARKRIDLVGPFHILVSKQAAPIIVFLAVYYAVWQMTITAMSSLFQEH YGLGETEIGLTFIANGVGSMIGTLIMGKILDMDYRRFKAQYEFQLESTRAAVDTDGDA EDDFPLEKARLHLVPVFALLQCASVIVFGWTIQYPQRVHIAVPIVTTFVTGWTAIATQ SVVMTYLVDVFHDRSAAASASLNLARCLFAAGGTSFVMPMINGIGVGPACTVCVGAQL VAMLGLAVQWRLGGKWRKEAEERRRAK MYCTH_2297192 MFFSYLLACGKFAGLARAEYYPEYELDEPMLRGPPRTSSSAMLR FGCSQVVIERLDPLVEPGATPSSHMHQVVGGNAFAASMPTTDIAKLGSCTTCHFEEDL SNYWTANLYFKAGFRMLSGDSTKRESDNLRNKTQQCYRCYTAENWGGSMYSPCMDPEL DTDHFPNQQCVGGIRSNIIFPQYGLCLCLRSYPGFMVYNNWVCAKEVLRSQLQGVPRM NQPVADAFSDPGTVTAVRTPSSEKHAVVKRTQNV MYCTH_2297195 MGSSDPIPTFAPPSGMHPHHASTIQNIIARLFPIPSVLALILSG SIAHGFATAESDVDVLVVLTEEAYAERQQTGEINFVDAEVATYPGGFVDGKYLSLGFL REVAARGSEPARFALEGAVVLFDRIGGGALEDVLRRAVRYPVEDKRERVVRFRAQLDA WRCFCGEARQKGNAYWLGLAATKLVLFGGRLILAENEVLYPYHKWFLRVLEGVKEKPE GLMEAIKRLVEDPSEENVEAFYELVKGFRDWPQTPNRWGAQFMRDTELTWMSGHAPVD DL MYCTH_2115339 MNIIGTDANTQSAIDIVREHVFFNPKGRHDWQSKPEFPTAAEIL ATQSDIDELPENPVDVPWSSKEDYLAAQYEILRREATEGLRYSVRSFADHRRKESMMD DDFTNIYTQVRAKEYVMSTIGPLARVSFSTERSRYRIQWQQSKRLQPGKIVALSPKSD SFKKICKIATIAQRPYKNGLDQDPPVVDLVWANPEDAVLDPHLELIMIESLHGYFESA RHSLVGLQHAARTDSPLDKYLTGAYTSDAHPEFLKENPITDLSTLITAATSKDSEEMT EWGAYDIVNGALPDLKDLTFLDESQLLGLHRIISKELAIVQGPPGTGKTYTSVEALRV LVANRRRRRGPPILVAAQTNHALDQILIHCVRAGTNVLRIGGRTQSDLIKPYTLYEVR QKCGRNQIPADNKCRSIDARRQENVRKIQELVDSLFSNRLLDPQTLLDFGIITADQYS SLCDESMETHEAINEHGPFALWLGDSLIPARIRDERHPTQLELSEAEARKNLPECEWE DEEDIENIAYDEEDELYRLRGPVIPLKHVWSGKDPANLTSWDRAVARALRNQDLFSID RDLRGAVYQHFQARLLDAMTPKFAALIAENVDLCKQRKAFKFLGNAQLVDKQRIDIVG CTTTGLTKYRGCLAAMQPQSLLIEEAAETREANIVSALYPSIQQLILVGDHKQLAPKC DILRLGDAPYNLNVSLFQRMVNLNMPFVMLKQQRRMKPELRYILEPFYPELYDHPSVE SINHRPDVLGMGGRNSWLFDHTWPEDVNSDFSKFNEREAEMITNFFAYLVANGTPSER ITVLTFYKGQCKVLLRKLKRHPSIMGSIFNVCTVDSYQGEENDIILLSLVRSPQFDRA YAVGFLEDERRAVVAISRARRGFYVFGNVENVLGAHQASHDLWYNICSGFAKQGRIQR ERGLPLVCQPHNREIWIKEVEDWGDNSGGCDLPCKQTRNCSHFCTLKCHALPHDVLPC GEPCRETLECGHGCQKLCGQKCFCDCKEFEVLTGAIQTAVQSMSLMDKMRLMGAEETE MFKLNSRSAIPQPSRSVRKLPVPEQPVLSSGNGNGRHTQPLMQTFPIQTAQSAARWGD YAESLKQEHELLTRHNHLATAQPRRQEIVVNDVYQPTTLADGKRVGRGSKPGG MYCTH_2115340 MDDDYASSENEQDELMEDAEDLEAENDAEEENEDEEEDPDDQDE PEPEQEVEPEADVGEAEAEAEAEAEGESESIDASQALGADGQATSRDAPAGASAAPTS PAIASQWRPVLRQEYIDAPLYDIVPTMAAPQATSINALAITPDLRYWLTGGSDGYIRK YDGVNTINGKQQLTVAQRHPFVDSVVKAGVLMSYWENEEPAPPNARPEDRVLSPVYSL AVHSRALWLLSGLESGGINLQSVRHDEGKRIHCLREGGHTNAVSVLQLAPDEKSVLSG SWDKTVIDWDLNTGNVIRKFEGSGGQISAIELRPANGAPVPAEASQVEVKSETFFSET KPRANGFFQDGAADGANSGANGTGTVGDGPGSPEHESLFGSPAGSLFGDNDTMGGGGG GAFGDDDDEFSRAMDMGLHDDSNTQPLDNSADFTMGDADMPTGSGLDTGGQSTTDAPP PAQEGPSPPSSHPNGDGPSTPSMVFSSTAPPPQSDPTQTSANTFLAAAIDGTIRIWDR RVPNPVARISNRRGVPPWCMGACWSPDGNWIYAGRRNGTVEEFSIHNATSSWLPERTL KFPAGSGAVSCVRPMPNGRHLVCASHDILRLYDLRDTSAFKHSKVPFIIIPGPPKAGV ISQLYIDPTSRIMISLGGTRGWDGTSTEVMIGYEIAVAK MYCTH_2089163 MSDSNAPRQYVPLTCHGHSRPVPHLSFSPLEKEDVYYMISACKD GNPMLRDGQTGDWIGTFLGHKGAVWQARLSPDATTAATASADFTAKVWDTHTGELLYI LQHDHIVRAVAYPFDQSGMIATGGFEKKLRIFDLHDQKPPGEQTATSSDVVTPVTIES SRAFEIGEGVHKEAIKFIVWAQDPRVIITASGDTLRWFDLPTRRCTREVRLEGEIKSC ELVSLARGHSSPTDIGGGLPVLAVAAGKTAYFWGGPRAEDELKQIKLPHGIASVGLDL KGRKFVVGEEPGTWARVYSWDDAKELDVHKGHHGPIWSIAFSPDGNLYATGSEDGTIK MWKNCEGFYGLWRGGVSGNGTD MYCTH_2297201 MPDFKLSAQLKGHDADVRAVCFPAANTVLSCSRDQTVRLWRKTA DKPPAFEATITSQGHGYINSLAFLRASSAWPEGLILSAGQDAIIEAKRPTLTTTDNAD RLLIGHGHNVCALDTSSRGAYVVSGSWDGKALVWDTEKWQISAQLVHAGEVKSVWAVL AYDEHTVITGSADTYIRIFDLRQVNGNGEVEPRRTLSTNSVVRALCRLPGGIKGHPSG ADFASAGNDGIIQLWKMNGTQVGALHGHDSFIYSLACLPTSEIVSAGEDRTLRVWRGS ECIQTITHPAISVWSVAVCPENGDIVTGASDNVVRVFTRNADRTAPPEMLSHFEELVR SFAIPQQQLGPSINKERLNPKSWLLNHPGKKDGQVTTVLEDDGSIGAYQWSLGEQRWV HVGTVVDSTGSSGRKVQYNGREYDYVFDVDIEEGKPPLKLPYNLSENPYEAATKFLGD NQLPISYIDEVAKFIITNTKGATIGQTAEAPPADSFGTSSQSQPGQTTQPKKYLPYRE YLALTQAKWEPVTKKLKSLNEKHLLAGNKLIAMNPDGVNRLEAVLKTTMGSPIQKTSP PALLEAQRSVYNLITQWPYGDRLPALDVLRCFVAWPGSASLGDNKYGNVVSIALRGAL DIQDPIPSTDTPLTELIASFDASKLNINNVMMALRTVTNLFATAEGRKLAAAEATAII SLIARIAGVEGDQGGPIGADNLNLQIAVTSASFNFTCLALNEKGSVDLELLMLLYQVA EAVIRRQNDPEVLFRALMTLGMLLAMGGDALDLAKTLEVGEPIGEAAKKSGEARIKAL AQECLGYLKQ MYCTH_2297204 MFSSTLLAVASAALASAHTVITYPGWRGDNLITNETFPYGMQWM YPCGGMPTTTNRTYWPTTGGAIAFQPGWFSGHATAFIYVNLGLGNMGPELAPELAGPP NMSHPMLPPFQILGPSKNPYPGTVCLPQVPMPQNITVKPGDNATIQLIETAVHGAALY SCVDITFAEPGDPRIPLVNETNCFNSTDIGVADIYTLTLRESGQTTTLAAGNAASRSL GSLARWAYAPVLLGGLLALL MYCTH_2297207 METEWNQVKRRRGRLRNIPASIHGAADSLPDGIRPNPNPELSVD DLWKYHEAAAKDWQLIEWWAQLRQVLELASDKPHRPVITKAVCLGPGPYEPSNGSVKA RKTAHMQTAAFCYIVDHLKTMSGQEIKCVIQEPRFTQTDKDFCAKLGIDAVESPAGFS LVDGNTLLFGIHMELDIYNQALATLPAIYVGASLEEWEKVVHQGSTTDNPLAAYTTMD ETYDKYPFPDLDYMFSSTVMYWKKDES MYCTH_2297208 MDPSAHRGSPSHPLPRSHDDGNNDDNLQTVHFAGPESLASSRIY QPTARRDRSPAAASSAALPSVYWDNKKNNREVDGESDANLAGYTFYREPTPTPPEDES PEKSVGHGGPRPAAFTDSSTAGGGTIRSDGEYSQRPLNLGGAAGWSVDAGSNSLVISP AARKKALWGIFAVGALILIGVAVGVGVGLGVGLKAKGGAAAEPTDTPSSSIPELGPNT SPIPTEAPTITPGGTGASADTTTGSPPAPRPTYNSDCPALNNTIYHVPGSTKSFLRVC GVDYGGSGATDLAQAWTDSMADCMNSCASFDQCTGCAWGYLDGDEGAQHRCYMKKDLK KAHQADSDWCFAILQ MYCTH_77631 MASAIVVGATGILGREIVKQLAKSPEKWKTIYALSRSKKDEYPP NVVPKHIDLLSSADQMAQDLRGVEAEYIFFAAYLQKDTEQENWQVNGDMLSNFLSAVS HAKTKRILLVTGAKQYGVHLGQPKNPLLETDPWLTSDPFPPNFYYRQQTILHDFCAEH RGIHWTVTYPNDVIGFAKGNFMNLATGIGLYAAVSRELAPDEGLTFPGSPTFYTRFDT FTSSRLHARFCEWAALEPRAADQAFNVVNGDAQSWQDLWPRLARRFGTRVREDQFSRP PAAGAATSGCESRTELGDTPPISVAAKEAGLVGRVRGSALEQTVSLAKWSRREDVREA WDRLAEREGLQKDAFDNATWAFVDFELGRDYDIVLSMSKAREAGWTGYQDTWKAFSDV FGELEAARVLPKTH MYCTH_2297213 MQDALPIFLRALCKTGLTALSDDDDDDDLNWRNDPEKVEYIQQL LDLLYESRAIIRCYNVVLVLIVLALAVWHWAETRSDERKWRRLMRQPPGGDTSDGTAS TPAGLLSSIAIAGTATPPPDAKDNIDIERIPLLTRHGQNRTTAKPRMGARISRAVRCW LTRQPAPLPVINRTLPSNGTSLFILAWLAINVFFHLYHLPMRSDFFFIFADRTGCVFA VNLPLLYLLSAKNQPLRRLTGYSYEALNIFHRRVGELLCFEAALHFVSMLLWQFLLAR EWLIASRSAYVYFTHPIILCGIGALVCYELLFFTSLASFRQRWYELFLASHVLLQALG LVLLWFHYPTARPYAAAALLIFLADRLVWRLGLKSARVQADLRPLDGDTLILEARWDI LPPPSPPRRSCRWWRSPSFLARQTVLHGWHPTDHVFLTVPALSPLQAHPFTIASAAPG RPHEELRRGTSTKQELEGVDGEGEGSPEGGQTPTAYPIPPIPTTAAAVTPRPARLTLL IRAYAGFTRDLLHLSRQAGRVSARVDGPYGSPHALGMLRASGSAVLVAGGSGIAVALP LVWALLPPGSGPGSGGGGHRDEDREDRTVRLLWVTHAREHREWVLPRRGELDECVRRG LDLVIPEPTAEAGRPDVVGMVQGWIEAAAAEGREVGVVVSGPDGLNRAVRNACADAIG KGKKVRVAVEKFGW MYCTH_2297214 MSDTSNTKTDQKAKTATDKAGGVQSTVTNVAKGTTSTLGNAVGG LTETAGGVLGAATRGLGETVTSVTGEAGKPVGDAVTNIGAGLESGARETGEGVKKAGQ WKGTS MYCTH_64049 MGHSYPKKPSTTARTPPQWQDEIRHKYCNALDVGRAGKPNKVHR CSACLAVKSRLSRYRDGKQDCKAARREKMSWHLGAGGDETD MYCTH_2107271 MTFPAFHDILWRLRRPDPHLERLRRPVPALARGVDRVLHPRRFL SLDLYAILDEAEDEPLPLYTRWAIDAEFTPPAYAPRPTGPPPSYEDTLRHDLLGTPPV APSPSSGPGPDHHRHVTFGAGTKQRRPRPPSLQPALARPAGGIGLSQRPPTPRPRSPP PPPRQQQQQQQQQHYLHHHYHHYHHHHRHHHLQQQQQRQRQRQQQEELQLLTPAQRAM RGKWLRVPPKIAGTMRASLAAADNNNTAAGGVTAGFGGV MYCTH_99814 MSSHVLLAKLATFSDAFLSGLVTLTAVAFALFQFSSDALLLIAA RALQGLAAAALTGASSGLLATASSTGRNWLSVAFLQNVAMTTAPFIGGLLYDRYRIDA VFYSAYGLVALNVVLALLAAGSGRATPASSANERAALLASETQRGGYGTMPPTETGSL SGRSSRSVSPTSMPVRSSRRNAPTSFVAAFSWSPRLLVAFGGYLVLSLLTSALQSVLP LFVQRQYEWSVLASGSVFVPLSAPAAVLGILSGAIAARVPRSIRFLATTGFLAYIPAL LHLGQLEENTKVAQHAFLLTLGAISSATGFIADPLVKEMTNAAGSSSFGDSWSATAQA NAAPLLANAWGGLFGPVLAAALSYLYGWQFMTKSLAVISAGMGAGALLFLQGWIASPY SEARARSAGTTSDEEAGPLLRGSGPNDDAFQSPEAYSGKEDRYARSDGGSSDARHDAN RKHRPHRRHFSVDNFSVATTAGPGSMDSSTSSVRFQAALETPHKQGEQHGAALRHARG TPRTSHGSAAGCRQSVRD MYCTH_2053156 FIIYYLNDILIFSKTIDKYQKYIKNSTRYTIFNLFYKKNIIILP TKLYIGYLNVELLSFCIDSPGLTNID MYCTH_2297217 MDPFRDPPADSLAAARLHVQALTECGLSREALLQALLEDFGDGN ATVSMEGSFPQQGAFQGQQAVQEKAGHQGSFHTRFSISTTSSKSSGRASVLSTATSMS SVSSQAGFGGGIQEVAVPPPPPAPPVKSNNRGSSKTQGAYWCTFCDVAFQRKFDWKRH EDEFHERYKRYPCPNCNRIFWGANTFNQHHKNAHGCTTCPHADRVVKYTQKKTAWACG FCGGFLASRDRYFDHVARHYEDGCNKSHWNHSLVIYGLLHQPTIIHAWKELDSELYGH LPRNQQPMFEWDPKTTGHAQGFLEGESPGKLQDLLEFFREGRDDPKFLAHLAHDLATI RLRSEVQPTVSSVPSPASSTTRPSSEPPNHKAPMKSPPLTKHISAPQQQRPQQALPPP PPPPPPPSSSSSYGEQHYQAKQCNIAPLPSTFPPKNNPFFSLPQQQEQIPQQPQGVLS PFGQPMMGAGAGPYYDLSITHVRPHMQLLSQMPPEQQRQIQQEQQQQQQQQQQQQQQQ QPQPHLLAPMNVYDDWSSMAGTVVDDSVFASAMAMGWQHQQPQEQQQQQQQQQQQQQQ MYCTH_2123451 MKGSDKTGAPLPILVDLKHKPGTALDEVAPNQRASEVCAVPVVK GVLLLLLVVLLLFARRRCLASRVAFLRPIREKEATNNRRLVPAALLLLSLHPFSFIHP ASGPQNGGFRPAYMYSVQAICVNTSRNHGPAQRFKPLEDPLPCLECCRPRAATAGFEV PLLLERAMLCNGCVLEYWQTKLHTFSCVEQQ MYCTH_2089183 MTRDTDPMDEDKAGGISDAAPPAPQSGSTSTSGNKQALSPAPSA APPSNPAAAPPGGPNSGTSKRKRGLGVVTPNACTECRKKRAKCDGNKPCSRCKAQKDV ECVYELPVRQSKENLRHEIEQLRQQQRNNERVIAALVRPDLWEEVLARLRSGQTVESI SEWLAGTTPSGGGALPSITRLLNQGSAAPPTLSGYGAAASSRYTQLPPGMNALSPVSS QQQHGIRREADQQSPWRGHYSAQNRPTPSPLTDASSWKTDVQRQARLRVGSWVDSQIS SSEPGRGRTFGPVLLPDFPEMRVPPGTWTTVTSDPALVQHLLALYFCWEYPTFASLSK EHFLKDFMEGRPRFCSPILVNALLALGCRFSRRPNTRSNPDDPHTSGNHFFKECQRLF YQEEDHHKLTTIQALGIMSIREASCGRDSESWYYAGQSIRLAIEMGLHRLQDDGRDDD ESAVQAATFWGAFALDHAWSLATGSLPQCSCFPRLPPKPAIIDDIEASLRIPYTDDGA PLQRSCEQPSNVRSVYKCFCELSELVHQSLYVLHSPGRPLTSRDLLAIYTQYLNWYDR IPEVLRLGHNFTPAVLFAHMYYHFAILLLFRPLIKLRIIGSSISPRDVCSQAADAITG LLRSYAQLYTLRQTPSFVPYFVLTSSIMHLTLAAVNSGTRRADNGGPAQGDDPQRPPP KSDPHVVEAIGRGIADLAEMAPCHHFAEQALNILKFMAKKWNIDVEIKKMAGDEAREV EFNDVDKATRPVAGSLNFFVPNFKESDFNCTWGNAVPGLGADKEAGGKLKDVAVTADS PENPLFWPFPMQGRPMLPAGKELEEAGFELI MYCTH_2297226 MDRRTYEGLMDWEYQSQPPVDHSSPFAKFSQKQPTSTFSSPTKQ QSSNPNPFATEGTLNGSPSRSQAEPRPPHTSLFNPQIQLKPSAPAFRNPAFTTPQKRF DELVAPDYSPADSSPSMTDTSVMPADTPEFDREEDFRKPLVTPSTGKTLFSKTLLRNH ASGRGEIPRVSRDKVRKRKRLNGDRDVGSVRPRLAHDSDESDSEFEDGQGTGAKGSKR GKEAPRGWFSSFLSSISDHPSAPAILSKWLQLGVNLILTSLVLWAIFYVLLQIRSDLH HANEKARAALANEKSICSENYIKNRCEPRRNRAPALDGPCNEWAACMDQDESAIMQVQ ISVRNVAEIINEFVGVLTFKTWGFLISVFLAVVFANNVGFAFLRESALQQPPKPVEPL HPPPVVPPMLGSAAAHNPQQAYIWAPLSQTPRHIRKSYFVNDATDSEASPDFKMILPP QTPSGRRSPSKGDRGRSPSKGTRVRSPSKGY MYCTH_2297229 MSAANSPAGSRAGSAEPTHHDERPDGAADVHDGDAGNASDKDSD LLSEIDEDQFEDYDPALEERPVEIDENVAMTLKAAKRKRTDGETVRKPKEGRRPKKRS RAVEDDVGDGEEGERRPRKARATGERRTAGMEEEEEEVNEENLTPEERRRRAIQRAMD NALKNPTKRRRKKDDIDLEEEIDDQIANLKVAMEKACEADNEARDKGMAATHKLKLLP QVTAMLNRTAIQDSVLDPETNFLQSVKFFLEPLNDGSLPAYNIQRDIFNALAKLPINK EVLLSSGIGKVVYFYTRSKRPEPSIKRIAERLVGEWSRPILKRTDDYKKRQIETRDFD INAARLARRQEAAAAGSQITLTQRPAGKTRFELERERLLAPEVKKNRAQPPGLPASYT IAPRSTFDPSRAAAEFRPMGSAGLEAFRKMTQKGKGGRR MYCTH_2297230 MNGAQYASDTSAQQLPQGASAAAAPSNGNSPLLNKKRKKDGLKP IITTESPGAAHLASAMTHSPTSSSSPEDAAENTADEEDSEDYCKGGYHPVTIGEQFKD GRYTVVRKLGWGHFSTVWLSRDNHTGKHVALKVVRSAAHYTETAIDEIKLLKKIVQAN PNHPGRKHVVSLLDSFEHKGPNGTHVCMVFEVLGENLLGLIKKWNHRGIPMPLVKQIT KQVLLGLDYLHRECGIIHTDLKPENVLIEIGDVEQIVKRVVKNEPSDKENNRNGRRRR RTLITGSQPLPSPLNASFNSNSLFPSPGSQSIGQVLQEGNKQKESSPTPGTDSTEENQ KQREKTADILTREVSGISLDKAATPPSTTGEKRKADDMQACDIISVKIADLGNACWVN HHFTNDIQTRQYRSPEVILGAKWGASTDVWSMAAMVFELITGDYLFDPQSGTKYGKDD DHIAQIIELLGPFPKSLCLSGKWSQEIFNRKGELRNIHRLRHWALPDVLREKYHFKEE ESRRIADFLHPMLELIPERRANAGGMAAHPWLEETPGMKGIKIDKVEVGSRGEGIEGW ACEVRKR MYCTH_2297239 MASLHIHPRSAEIFVVLSGRVVTEMIPEAGVLGADGRPRTIRTE LAANQTTIFPQGSFHAQYNPDCAPALAVAAFSSDDPGAALVVPQAFEQTDDFVVNSFG GVISAADLARFRAAIPQGAIFDVEACRKKCGL MYCTH_2123458 MSTGILYVSLLYYAGISCIFKMTKIPLLYRDKNFSYNALPLVIW AFLEPAVTIMAASIPVIHANRGNNKNDNNASNRDIDIDIDLVHHDGLHIDDRATVPST KGRVWGVITY MYCTH_2297242 MMISREEVERLGMPLDSLKVTDPKTGKVGYRAAIEVFHQLHCLN LLRQFTWKDYYVNEGGDISADPEDVRGHVDHCLETLRMNLMCQADVGVFTFRVYPELG DDDPWPDFSTMHTCRNFDEIRDWARSRAVTWDDNA MYCTH_2297243 MAPMGEYQPIPLDDEKQSDASAWGRRIRHLDDFYHHQAQTAGRL CQHWVWLVHVALLSVSTTLFALAFCLHYGGSSGLVAPPQSSIYCKC MYCTH_2297244 MHQPFGHLLVAGVMAASAVSSFSLAPTGSEVARLVAAPILALAV PAHGLPGIEARIPHHQGANVQGNRNGRNGRNRNNARDVADHEEDDDDVEDDDVIELES RAPHHQGANTQGNRNGRNGRNRNNARDILASLETREPHHQGAGAGTNANGNARGNLRG NGRGGVRGSGRGRNRGNGNGNGAGNGATNQTAVFDLESRAPHHQGANTNANGRNRGNG RINRVNRINARDVESLESRAPHHQGAGANTNGNGRNRNNANGRNRNNNTNANANSANG RNNNNNNNNGQAFGLLETREPHHQGASANANGRNRGNGRVNRVNRINARDLEALEHP MYCTH_2033980 MKAILWLVFGLLAAVVPTASADMTATLQALPSCAATCLVEGVMD SACKRDPTPACVCTNEPLQKQVTLCIKANCTVKEALAAKNLTNTECGVLPRNRAVGFP IASIVLAVISCGMVAVRLGFKIFVTRSLSPDDYAVAVLVAFAIPSIVIIHVGIIPNGV GKDIWALTPEEITNFLFYFYLMAVLYFAQVMLVKLCILFFYLRIFPTRGVRRLLWATL AFNVVWGVLYFFVAVFQCTPVSYFWTHWDGEHEGKCLNSNAIAWSNAIISIVLDLWML ATPLAQIRSLKLNWKKKVGVALMFVVGTFVTVVSIIRLQSLVVFASSDNASWDNFPVS LWSTVEINVGIMCTCMPTLRLVLVRLFPALGG MYCTH_2297246 MHDTSNISRGVWAGHCFDITTWARHADETRGAEWKDVSEEVASE IAGIWEAEYGPDWRETVCNLWYQTYGR MYCTH_2297247 MGQTFQLAAPRAKMALDWGYTLGEMLFDGSARTLVTLLAVPVRP HNTSPLSQSQSDRCVAHVAAGDSHEIWMSKRSKRKADGEMLAGSRQPHKRAKAKDGDH GLDIVPPITFSDLPVELHRLIFAHLESIEDVICLGLTSRYFWAIGQDYVHDYYTSFLG RWAGQNIVCVGEDVKPGDFPPGLFSAEEVDELCQRTTDIPYDDDYPDDVAFPAVPFTL YHFTFPSISNKMRSEHKVAIDIC MYCTH_2089196 MPSAMADQPQSKIIEDNPIGNGLDAFRASFNTVCSGSDRTILSI PDALGQLDQEDLRKLVLAFLSTAQNLPAARLLPARAGRGTLRSDLLRLELSLDSDDFD LDRIKPVLNAALADHLDDTLIWDRVYDAVTEATPPPRPIASSLQQTPWLRNTGSFANS SEHRKYVDSVLKEELGPMYVDLRDFHKTYFGSVRNLETASKTFFEDCLEGSDPLFGNG WRGWPEEAKQDDVLSWFADFTEKLASFAESYNSAPAYKRRPLAKPNEPIAGSVGKRKM DIGFVNDPTAGKHSRCHWSQILVPGELKSNPSADIPSDARLDLGRYAREVLAAQDTRR FVLGFTLCGSLMRVWVFDRLGGIASEQFDINKDGLRFVSTILGFLWMSEEELGFDPTI ITAKGQRFIEITREGTTERFILDRVIRRARCIAGRATTCWKAYRYEDPDTQFVVKDSW QYPEREDEGGLLREATAKGTVYVARYYYHQTVQVRNADDDVQNNVRKGLDVTKASNYQ EDRSQLSPNIYIVGRSRTSRSRSITSTKRSSDQTGAPLPPSKRHCSISPTKAGSDVLP NRVHRRLILSDCGIPIYEAGSRQVLLAALADCIEGHKSLRQNAGLLHRDISLNNLMID KDNRGFLIDLDLAINERRVGTSGAKGKTGTWAFMAIGALQGEQHSFMHDLESFFWVLF WICIHFDGPKKDRVVRRFDKWNYVDTDERAEELAGMKLIVVSKEETFMNTTTKYFTQY YQPLAPLMNELRKVVFPGDKPWKREDERLYSRMGEILRKEPEDLR MYCTH_2297251 MAERGGLEMVLSQLPDISLAEFDFLDSSFFVSQYHGTRSCRKLP SPQEVLDASGKPLGCDGVWHFQEFQEPGLLVKFGHRSRVHLEEALALRVINKILPDGV PAPEVFGWKSTRDPGYESNFIYMSFLPGQTLRDAWETLSQKDKASVSQQLAGIVRSLR SVGQSLAGQFIGSLNRGPVQDLYFSPDQHKGPFTSTRAFHDFVQFVSAPWVPVEDRNP DPYRPMLPDAAPICLTHGDLHPGNILVLKSPDGSQITVSGIVDWGQAGWYPDYWEYCK AMIVVPYNDEWREGGWADIMLQPSERELEALEAFEFYWNSRCP MYCTH_2297253 MARLTLAGLLAVPWLLLPGLVSGDAVLDLQEKGRPAIDAQLAKS TTCTKEKLQVRREWGDISAEEKKAYIAAMLCIMEKPSRLDPNQFPGAKSRYDDFVVVH MNQTLSIHGTGNFLSWHRYYTWVFERALQEECGYNGTQPYWDWGRWADDPENSPIFDG SDTSLSGNGEYIEHRASGFIPAGNGGGCVKSGPFKDMVVHLGPVAPAIDPAPPANPRR DGYGDNPRCLRRDISNQLSSKYARTQDIVDLITTSADIGTFQTVMQGAGGFGVGPGGM GVHAAGHFTIAGDPGGDFYTSPNDPAFWVHHGMIDRTWTIWQSQDLDNRLQVIAGGSS MMGGPPQTLDDPVDLGVVADKVYPIRDLVSVVDGPFCYVYE MYCTH_2297256 MEATVIPLPLPALPEGWEAENNFKAIGKITREGATERTLEPVGP YFLAHARRARHKRTFSEDDRIQAQERAKKVEENEESEISEPEDPLMLQRDAKDWKTQD HYKVLGLSKYRWRATEEQIKRAHRKKVLKHHPDKKAAAGRADDDNFFKCIQKATEILL DPVKRRQYDSVDEEANVPPPTKKQLAKGNYYKLWGNVFKSEARFSKVHPVPSFGDENS TKEEVENFYNFWYNFDSWRTFEYLDEDVPDDNENRDQKRHMERKNANARKKKKAEDNA RLRKLLDECSAGDERIKRFRQEANAAKNKKRLEREAAEKKAAEEARLKKEAEEKAAKE AEEKAKAEREAAKKAKEAAKNAVKKNKRVLRGSVKDANYFVEGDPSAATIDAVLGDVE LIQGKIDADEIAALAQKLNGLKVADEIKGVWKAEVERLVGAGRLKEGEVKTLAS MYCTH_2142074 MPPPQIKQDLNRSGWESTDFPSVCENCLPENPYVKMLKEDYGAE CKLCTRPFTVFSWSGDGRAHGRKRRTNICLTCARLKNACQCCIMDLQFGLPIVIRDKA LELIAPGPQSEINREYFAQNNEKAIEEGRAGVEEYEKTDEKARELLRRLAQSKPYFRK GREVDQDGNPGGNPAVGAGVGGAGPIRTRDSKAAAAVGAKAGPRRGPFGAAAPPPGPK DWMPPKDPNIMSLFVTGIEDDLPEYKIRDFFKVFGKIKSLVVSHMTHCAFVNYETREG AEKAAAECKGRAVIAGCPLRIRWSVPRPIGTMDKEQRAEMLRDGRSAFPQANRRGPQK SIEGGAAQEGGSAATRGDDLSNLAVAAPPGAADVQYASLSGN MYCTH_110670 MAPTKTKVILEKEDHERDAAFMKALHGKSTEAAGGFAAMLAKDK EAKKIAVEEYFKHFDNKSAETETEADREARTREYATLTRHYYNLATDLYEYGWGQSFH FCRYSIGEPFYQAIARHEHYLAMKIGIQAGMKVLDVGCGVGGPAREIAKFTDAHITGL NNNDYQIERATRYAAKEGLSNQLKFVKGDFMQMSFPDESFDAVYAIEATVHAPKLEGV YSEIYRVLKPGGVFGVYEWLMTDNYDNNNLEHRDIRLAIEEGNGISNMVTISEGLEAF KAAGFELLHHEDLAKRPDPIPWYWGIAGETKYMQSYWDLFTVLRMTHAGRRVVHVFTG FLETIGLAPKGTKKTADSLAKGADGLVAGAKKDLFTPMYLMVGRKPAN MYCTH_2297263 MSRYLRPAARLAATARASAIRPAAPTFFLSRAAAVPSLQKRTYA EASGVKEYTVREALNEALAEELESNPKVFILGEEVAQYNGAYKVTKGLLDRFGEKRVI DTPITEAGFCGLAVGAALSGLQPVCEFMTFNFAMQAIDHVVNSAAKTLYMSGGIQPCN ITFRGPNGFAAGVAAQHSQDYSAWYGSIPGLKVVSPWSAEDAKGLLKAAIRDPNPVVV LENELLYGQAFPMSEAAQKDDFVLPFGKAKIERVGKDLTIVSLSRCVGQSLVAAENLK KKYGVEVEVINLRSIKPLDVESIVKSVKKTHRLMSVESGFPAFGVGAEILALTMEYAF DYLDAPAQRVTGADVPTPYAQKLEEMSFPTEALIEEYAAKLLRL MYCTH_2297265 MSSSPTDPRGRPMAEPPAVLPPENKPETLGQDHQYAETLQNPFP EVILSPASSTAVGTAPTSPVAVNSVDNANDASTENETRSSLHHQGTISSKEDPVMRED VWVDPREEPWYKAVSPRRWATIVICTVGITGVVLAILGAMNKLSSGRY MYCTH_2297267 MTSPTLYFAFGSNLWKQQMSLRCPSSTYVGIGRLCGYEWFINAR GYANIAPSAKDGGPGGQEPGQEFDGEVWGLVYELTHDDEARVDANEGVPYAYEKQTIP VEFWPASPSSSTSPPRGVAAAAEAAAAAAAEAEAAEAAEAAAGAGAGKATPMLVYVDT RRNRGGYPPRDEYVHRMNAGIRDALDEGVPAAYVRRVLRRYIPPPAEGEEEAEEKAGG KEGEGKAAEQATGFADSADASRARAAALTPVGSGVVSPDEGEKRNVGSKEDEEELRER ASEVCYAKYLGI MYCTH_2297268 MPGETGLLQTLRDHILATLGLLGQTAHAQGYQSLDANADIMVVE TSSPANEAAFTKPGTEDDNYGTTTFVSSSSSDAEAAAVAAGLSELESRNVHWYSYFLT IDFWLIIALGQILSLCITATNTFTSFLAGVHTNIPAFQTLFNYALLTLIWLPITLRQH GPRKWASIVLRDGWKYFILSFLDVEGNYFTVLAYKYTNILSAQLINFWSIVCVVTLSF FLLRVRYRWLQIAGILICCGGMGLLLASDHITGSNGGPASDMLKGDLFALLGASLYGI SNVFEEWFVSKRPVYEVLSFLGIFGACINGVQAAIFDRSSFDGATWNGKVAGWLVGYT LCLFLFYSIAPLILRMGSAAVFDVNLLTANFWSVIIGTRVFGYVVHWMYPIAFVLIIC GLVIYFLAGSILGDSKKPWLGDNQKDGVAGIGTAKLKALNAARKKGLAGRADGQA MYCTH_2107294 MAKVSPPPYPLALGELCSYLLKDAIGVSTDKLKPLGVYVIVTKK RVTKPWSNPGGKGGKGTERFGRPHCEVFRVLMEGPTLSLPDQFLARSNEEKGRRHRRC LITRYMPGRSLSLLGGTIVLMQQKMKQQKHQTKAEWSSPAKITRFMHNTHAHVFLAQE ALDKPTKTVTGLAAVSVQEADMEALITGRSCLSSHFNLQYARERSKYPELLLPISPHR MYCTH_2297273 MSGYYEPSQWPSSGQSGWDHQTPPPARSGASSAVPREEPTAFAY QLEEVDRAIDNLVKSGKMFGGPGARRESLPYPGPIRMYPAFGRPPAAPGSRPHSMADF GDARGPHPGANLQNFYASQRHQPSRGSNEAEQMIQAKRRMAAAQRERDLRNYHQEQQY NRTVLADMSYGGNKPDRTMSPGSLSEEDRRKLIAQQRSALYGEGPYPEGAGYVDETGT PRPGLPGPQVGPAGLRGHSPLAYEYGRAPPVHPDAGAQVAAEGGQGSQSAGTNEQARA NSNSSPQSNPGGKGVFDTTVAQQSNRTSVSSPGGSPPRQGAPGSKPSQSSVAPIGTRP SVSGAPANPALNKRSTTPLASPLSQGYNASGNEESGPSGSAAPPNTSAPATEGANVGL SGWGARPNGWGSKPGLGGVQASVWG MYCTH_110674 MTFHNIHGGNNHPQGYGQGEAASYYASAQQQQQYPPQGQPGRES YNAPQEDGQDGERGFLGAVGGGIAGAVGGNKIGGKLTGHSKTSTVIGAIAGAIAGHKI QDGVSDWKEHRDEEKEKKKKEEEERKRREEEERRRKEEEKHHRPQHHDERRADRGISY AGGFSGSSRDIRLDAHGEYLLHASCQRLDGSYQASSISLAKIIENDQGSFRWVSRHNN NNNNNSNSGAQSTVTVQPGDTLRQIAARFGTSFEEIARLNNIANPDLIYPGQVLQVPG RGSNEGNNNNNNNSSTLASSARNLRLSDGGSRLDGELLRDGRWIGSSIILDERISNNN GTLTYIE MYCTH_2297279 MATVTTSAHLDPACFAPSNLWFEVRPPGYWCNTYYPPFSSRPTK AVTLENCPFARLGPVTLENPSTDAFSCYAYARETPSGTAYSDCPEGMTVAETSTIPWL DGITIVGSTCCPTAYYFGVGNTVPTPVPSVIGGTTYPVTYTTVGMCKAASIKEFSDQQ VTLTVKTSPLSTTEVTWDYDHDFLVAEPAVILKYLYPDRAAGTTSTCYGACPTESNPL NGSPTPTPAPTGTYVPPLEAPVTRFTPAASCLDQSNLWLVSASCYLYENGDRPPWLDC TYTGAGEPNPWDTACYPTDSFVSSDGGPKTFYSACPVGYTPAHSHTDKPFDLPRYASC KTETFDVYATAQTCCPAAFGGDGDGGGGGGAVPFTYSLLSTTKTVHDGTTQAVFVYPL PWCVATGMSQLDGETVTLGLYSDSRVWDRRAWARAKRQGETYGSTTAVWDAARDTLFA QAPQVSWTVFHGTYTCYEGCDDYFTYSYGNTDPNYTPVPAEALSQREDDPVSEEEGAA EVSTTASIAAAAPVAGMGRGDVVQAGMLSVVVVVLTVVHVAVGALL MYCTH_2107298 MATQRWSHGQQGLLLWPSEWLREGSNPTINFSTLSGRREKPCSR PWSPETEIIHRSSRIMEGGHWFVHSAFLPSSTCQHTRSFVGDVVKKNLEYWSARKLSA SANLLQGAIVIGEAAISVTSRPAFMRKSMPAALQRGPLADHSPPPRPFMVMPSPLTKQ GKENAL MYCTH_2297281 MSGRATIFGYTFSGHQEICYAPTILTWTSASPPPGGIWRVFVRS QNFWNDVVCVSSAKVPIVKIWDPELKLACDMNVNNTLALENTRMVRTYVSIDDRVRPL AIIVKYWTRRRVINDAAFGGTLSSYTWICMIIAFLQLRDPPVLPALHQRHNLKLLKPD GTRSEFADDIPKLRGFGSKNKDSLAALLFQFFRFYAHEFDYDKYALSIRMGKLLTKVE KNWHIGSNNALCIEEPFNTVRNLGNTADDTSFRGIHLELRRAFDLISEGKFEECCEEY VFPKEEERIWQKPASAPRPVLLRSSSQQQSGGGRGRGGFRGSRQFHRNSNANRRASSS VTHDNSQAFTPGSVPSTLSPQDILWYQAQNPQLGVPQEIFTTSLNALAHETMRFQLYT QLNQQQVLAHAQRMQQNGSATDRSRTNSFDKPPLTAPIRPELMYGFGFPIQHTPYFHP GFTTYPSSPASAAPPSNGQPEFRRSLHRNPPTSDSSTSSGSGALRSQSQPASRTPVAA SQSTGPYSTSSQSSYHGVSASLSRQMGGMHISGYSVDEAGDGGSDDAAAKSSDSPPQD DGPRYIGYYVNDYTNHSRQANGFPSNGNAGNGVASGERSQSSQGGGGARRRLSTDQLP QSILDRRIKRTSRSPSPMGHARTVSTIGVNSTPSLSAPCLAQANGKPPSKPLVVNGTA SKPASTPGGAYPAPAAEVLAANDINIDSPLHIHGASPGNSWPEPHSTTPSSSSAEPQA TPASQRPVIVNGSSTNRSPSAMPPNMVDASFQQRVAMGLQPAYSAVAGDPNNIAGFSR VHTRQPLAPLDLAMGDFPVQQALPHLSPVYEQRTPSPTVPRKFEPSPVAQSSGAPSTK EFPKTAHKASNSGNRSPNGPPARQQQQQQQQQQQQQQSQQDATVRSPAQDHRINGLAR ENGHVRAAKSQTESFGGWQKSKSRKKGVSDLKHAAGAAANGNGLSPHSEPPPKNDADR KGG MYCTH_2297284 MLFDTRIAATLGASLLALHTYATWTRKKMTTESTTAAQGPYEPS VADVLVTKAMLCKALRLPPEIVDTIVDHAEYWPHTTTRVDYPNDSLIARGKNNFGNRS ATENVFLLRSPPLGLHNWNRVSRGMGDTPIHRTVPKPRPPGQEFLVDDFQELVASPIS LLAHPCRRIVFTIRSHDQGWGGAPGDHGTYNGSWTWFEAGLERWCRSSPSQISSAGGQ PPQQPDHGPSLKLDDLCTVYPEVEWQSEAQAYVFKHDLLAREEYKVQCNITAQRETQT HCVVWSYTDDIDPERDPEAATRLAEQGRGKATGNGKFVRDLKLGDIVTLWAKARFPGW ANHVESVRMDVYYAV MYCTH_99838 MRSRRAASTLRHAQAAVSDAVAFRSGAVVRPVCCNAAPASTRRF TAATTAAPATMALAPPGIPIPNVPRRPGFRQQFAPRAPARRCLHGQPPRRSGAPSNPA IQFPCLDALENRSATLERQASARSDNSGPEPHYTVGVTKVYHCKEPLLLDHGGHLHEF DIAYETWGQMNSTRSNVILLHTGLSASSHAHSTAENPQPGWWEKFIGPGLALDTNKYF VICTNVIGGCYGSTGPSSIDPLDGQRYATRFPILTIQDMVRAQFRLLDHLGVDVLYAS VGSSMGGMQSLAAGVEFPSRVGRIVSISACARSHPYSIAMRYVQRRAILNDPNWNRGF YYGRVPPHVGMKLAREIATITYRSGPEWEQRFGRRRADPSMPPALCPDFLVETYLDHA GEKFCLTYDANSLLYVSKAMDLFDLGRANQLATAQRRAERLAALSRSADKSPSSSPSS SSTTGSSSSSSSTAGGAPTCSLTLPDKPYEEQPQPVADTPMLSALSAETFPPHQPQQP PADLVAGLAPLRHHPVLVLGVASDILFPAWQQREVAEALRRAGNDRVEHHELSEVQSL FGHDTFLLDVEGVGGAVKNFLG MYCTH_2297287 MVSIFGLKVGGKKKKSDDRPDSERQAQQWKRVDQNALGEGQYSG ENANQKGVVNGGINSVPRAGTPQGIRSGAGPYANRDTHNLAAASMFDLGSTNTARRGS QAGVLIRPHASDANMRTRFDAYNGSSTSLATPGPGFGSRFTANNGSSTSLAALTRGFS ARFGANNGSSTSLAGPGPGAGSQPGTPGRTKAWVNPLDVHFARSPPPGRSPSGPTTPR SPLDSIQLPPTPTTDGETGSVFGEGADEMVDAVIASIEKREQEEKEAKRRERELEKQR ETARLEMERLERQKSTESTLSTRRPSAPQSPLEPQQRAVEEPKLQEPVFRGNIDLRPG SRNGPAQPSSLHQGPPPTGPPTQCLPQPPGQGPPRQGPFGPDDEVPGGRAPRQAPSVN GPGPGPGPRQNSFGPQQSRPKGPYPAPLALGAYTRAQGPSSQETPQKSPQKVYRPYRP PPLQGCGPLGPLPDGLRSPSPPPRTPGVESLRSQSPALRSPALRKPSTIEQQPSVSNS EAEESNSRRGTPAPEAQGVVPLISTLTSPSTATSPTGSIRRLSLDDEPAEQLAPPVIR NVAAKRDTLRYNTPRQRSLSMKIEELEKTLLDAQQAHVSREPSRSEAGVASATSSVYS DGIKDDDDDDGPILSIQPAPLRIPPPMSPPVPAAAAVSNRPQSPMRGPPRRGPLPRRP ALEEYGVCSSKVANSRGGTPTPASRSGSTDTYSAHSSPPSRTGTPQLRHHNFRRDLNR ASPAPTLDAAERVRPNPVVDTGFNFDFGFTSSNIRPPTPDSTNCSLASPAGEAGPTLP STSESAQTQSKGEPKGEPKAEPPSKFTRANAPAPLNLDFNFSPDAPSRDPTLSPAGGL WTPPIRSVPAASTTFDGRPSTSGGPSRFGNGGGLAASPNFVSKFPERLASEDDDVATF MGIGVARGPSIREVRRPKTSGGRRPQAGMVDSFGTGFI MYCTH_2297289 MAASSRARSVDLIDADTERTALLAATPTQTTPASTRSQSPGYRT SKSISQAVPNNEDEDEDDGDNDAAASAASAAVNHLRALLRPRVVVLSIVLIFFIELGI GMGIPPTNAVMESIICRQMHPGIFLPPANTTAPPVHLPGPNVPELPTSTSTSTTVAAA QGGKIRRFAGGVILVDDPTCKHPDVQGYLAMLRGWQNTFECFPGLMGAVPYGILSDRW GRRPVLGLGLAGISASVAFTYIIFYFSDVVPLWTTWFSAAFQLIGGGGSIVVAMLYTA VADVVPPAERTTVFFQMAAVFLASQMIAGPLGGAMLLWDPWIPLLVSLLVLVLTALSV LVYPETVHLHDGKGSREESHRVGDDTPPVTKLVHKVREGFVDMRAFVLGNVSVVFLLL SFVFVVLGRYVGEILLQYSTDRYRWSWSTASMVLTIRNAGSLVTLLAAMPVASWFCTQ RLGMESVAKDLWLTRWSGIFHVVGSFTIAAAANGIVYSFGLAWFALGSGMVATTRSLL NTLVEEHHVGTLNSLIAFMETVGLSVSGPLLAGSLRVGLDLGGAWIGMPFFTAGVFFV ISTAIVWFFRLPDSRSSSTTAEPSC MYCTH_2297291 MDIEDGSVASEEELRETYGIQNQVLYRLGVALLSIGLWAKIDWR EFAAVRRKARALDSLGGAYRKAVERLIWANFNVAAPTNLDSEDLRKEIIQTVICPLEK KAKRR MYCTH_2297292 MAEIALAVVPIFFGALKGFSKIREMAHLLRHYPKEITDLRNKVD IQCRCFKTEVYHLIIDTLDDHVAQSIIWNEHHEYWRNEALGVALEQHLGGLYHHYTET MENVNAELEEIAAS MYCTH_2297294 MKSLQCKSCGKIFATQEIRYLHEQKEHDMHKGGGSFLCTSPGCG RSRPGNGFASRWVQIEHIKNVHENIPDLPSPTIGN MYCTH_2050031 MSEGRARVISTEPLAASEAVWTKLVKKTYKDPNGVTRTWESAER TTRPKNSDIDGVGIVAILEKETGPEIVLQKQYRPPLDKIVIELPAGLIDEGETAEQAA VRELKEETGYVAEPLETSPVMFNDPGFCNTNLRMVHVTVDMSLPANQDLKPELEENEF IEVFHVKLADLWSACIKLEAEGYAIDARIANLAEGIEIAKKFKL MYCTH_2297296 MPVILAALYQSRTTLFRAWTSSSPFASRSAWAPTSSKMSTFQHP EYHIPISLPEGLSQSQLLSFRPFANWLATLQTSLAAQHSRPDHPFHRDPYALRSVTVQ SYDLFGTGKGKGGGSAPRLGFVKLTSRVANGAGETLPGAVFLRGPSVAMLVLLVPDDA ADVDAERRVLLTVQPRVAAGSLGFAELPAGMVDEGGTFAGQAAREMEEELGLVIGEHE LVCLSDLAGGVGGAGEGVGGGGGGGAVGGEDHRLLPRAVYPSAGGCDEYIPIYMHERR VPREQLAQWSGKLTGLRDQGERITLKLVRMRDLWKEGAKDAKCLAAVALWEGLRREGK I MYCTH_2297299 MPRPGDSTSHNAVEAGHDIIHGAGPEESTRVSRADKTAPLPEHS KGAGIQSKPAGAGHSQGKNQGGRGSKN MYCTH_64095 MARATCLLALLGVLGRAAPLNNSSSSSVKVSWIGDAPSQNLGTT FGLPWPQGKYRPGEVDFQISDGQNPLPLQSWVTGYWRDGSIKWSAHAIPRTDKVADEY TIEATPVSKRNHNRERKRPNQTTKLSVKDGSKEVTVDTGKITVSFPKQGRYLVSSIKT SGGKTVGKDGRLVLYSQDGVPETADDRADAKIERYKFESKIEEVTVSKNDGKDNNNND SVRALVTVRGNHTAIAKGSSSSAHGDWLPFVVRFYLYADSDAIRLVHSITFDGRYDRD FISGLGIQFRVPLGGEELYNRHVRLAGTDGGFLNEAVKGITGLRRDPGAAVRTAQIEG KALPAESTWDTRVSSRLRWIPAWNDYRLSQLSPDGFTLKKRTKAGQSWVKIPGGTRAG GLAYLGGATRGGLAVALRDFWKRYPTGLDVSGAASDDEGQITLWLYSPEAEPLDLRPY HDGMGQDTYEKQLDALEITYEDYEGGFDTPYGIARTSEVFLFAFEATPPSDELAERNA LAQVPPALAASPGYIRETKALGSYWALPENDDDGNAAASSSSSPGARARAKIETNLDF LVRHYQKEVEARRWYGFLDHGDVMHTYDADRHQWRYDVGGYAWDNSELSPDLFVWQYF LRTGRADVYRFAEALTRHTGEVDSYHIGDWKGLGTRHGVAHWADSAKQARISQPQYRK YFFYLSGGDERTGELLEHTLDADKTYGILDPQRKVRTDGWKPSPGAPVTFGLGTDWAA LAAGWLIEWERRGPRWEEARRKLEGTAAGIAALRNGFVTGSGSYVIENGTLLPPPADP ANEGVVAISHLNAVFGMPEVVSELLEYWGDEAPAGFRAAWLDYAYYYGATAEEQRARY GAAFSGVSLRQAHSRLAAYYAVAAGPEYNATVAARAWDAFRTGDGIPESQEFVSTRVQ GSAVLHPVDEAAWLATNDFAQYGLASIQNLAYIGDSLED MYCTH_44230 RSDLSVAEREEYIAAVKCLQSASPKSSKQQVPGARSRFDDFVAT HINQTDSIHYTANFLSWHRYYVWAYEKALREECGYTGFQPYWNWDRYAADPANSPLFS GNSSSLSGNSVNGGCVTTGPFADMKVNLGPGASLAYNPRCLKRNISKSYAAMTTADKT YALITGSADIARFQDTMQAVPGVHAGGHFTIGGDPGGDVYSSPGDPAFWLHHAMIDRV WWIWQTHGLPGRLSEVAGSVAGSSRPGSGKDLVNLGVNGPAVAIENLLNTVGGLDGKM CYIYL MYCTH_41142 MKLSTSVLIAAQLLSALAAPALRRDEQTTSVSEGAATTTAEGAT TTTTTTAEPAATTTGAAGGDTGAGEGEGGGEGEGEEGEENEVEQQGQFDQVIQLSGGN VKIDTLFPAGTNGVFEVEFQNQQGRRLRVTENRNPAPPPQGFVALEPVSYKVELSGGR AGARGLTLQKIDYIRNANSTVDISAGKVARFCQEANAFVLGEGELEFEAEENELALTV SNVVGEWAFFVPEAAAGAGAGADAEAGTGAGETAEGGVDAGTGIGTGTDPANICGAGT TCRSLLDALQRLIGGGAGAGAAATPARKA MYCTH_2297305 MRTTGPTARRRNHAWLQMRNRLRRSEQLARRQQQDDTSEDNSDS LDSLDSLDSSDDGITTPPGPTLRQSLGATKVRPSLAASASARVEAVGAGRLARRQQGD TSDGGGFSDNDSVNSLDSLDSLNSLNSSDNGNDAGPVPASRPTRVPTRVQPSLAASAS ARARIGRLSRRQQQDDASDDGFTSDNESLDSLDSSDSLDSLDSSNDGNNPQPTQTTSP ASVAVKVRPSIAASVSARVRTEKWIRDLHVPRLAPGVTLAADDVDAAKEPEDKREEPE EPEEPEDPESALDSASDGFESDGDVSGDEEDPAEESTTPPPAATTPPGEASPPPPAIT STSGSPGITSTTTEPIVAPSASTGSTSLDGLVTSLVGTSLASPTSSASPTVPVTDATQ SQDGQVIPPIPQADERGKEGINIGNAPSNKLDKGAVAGIVIGVLAFVGILVGAALLWR KHRRDRGLPFFSQARLRLRDDDDAPPPPAVTGPLPGRLGGPNAAKTNTQIMDDLMKAA YAADNGKNDMAGAYAPPAEPKLPPQQFQKQQQQQQSHQQQQPYQEQRHQEVNVYMDEK AYMALAGPPTPGSTMKPVMQWLEGVKTPTQPNGPEIPPTPAMPHNSATMPSGGTRGLQ QPKPAYYGRETMTTETTNTTARWFG MYCTH_2297307 MATIDTSLIPNATGAAASLAAQHAAPHRLRLYGGWFCPFVQRAW IVLVEKRIPHQYVEINPYKKEEALLRLNPRGLVPTLAIDEDKEEEEEEKRGSKRKQRA LYESTVICEYLDERYADEARHGPRLLPGSGPRSSSSSREPGAEEEEEEKEGEEDGAYE RARCRLWINHVVTRVVPGFYRLLQHTPDKPYPIEEARAEFLKGLREFAAEMVASGSGR AGPYFLGARFSLVDVVLAPWAKRLFLIDHYKPGGVGIPKKGERRPGEEEEVWARWDRW FEAVVERDSVKATWSDDEKYVEAYRRYAEDTTQSEVGQATRQGRILP MYCTH_2297308 MEFLSETYTDIRQFLLSAARWAWGIPDPLTIEFLPRTYDENGVQ PRKRWIKLILRSSRSTWHLKLTFQGTITDDRHPIFGKKPKRRKDLQDLCHCIDFHRVQ LLDDTVTEVILALDPVKESVRLPYEKRPGPDNEFFSVIDQLWVYTREDPDRVRFPTFN SNSSIPTRELSDIRSKEELDGHSVYKVLLHSDETPYIYKEIERPHYIPADTEVLEQEL YNLELFRGNTFGIV MYCTH_106388 MGQEQSSILISEDTPPQTLAERSLTAVADFIKSGSVRRIVVMTG AGISTAAGIPDFRSPETGLYANLAALDLPEPEAVFDLTFFRQNPRPFYALARELYPGA RYRPTVSHAFIALLARKGLLHVLFTQNIDCLERAAGVPADRIVEAHGSFATQRCIDCR HPFPDAEMRAFVEKGEVPRCERVVAAAGAGGAGGAGGKAERCGGLVKPDIVFFGESLP KAFFERSGAVQEADLVLVMGTSLQVHPFAGLPNMVEQGVPRVLFNLERVGSFGTRADD VMVLGDCDAGVRKLAAALGWGDELEKSWRALVGDEEAERQLQGASKRLAALHDEVDKL AEEVEEVLRIDGKEEEAEEAKREGQADHSRDASATGAGAGCQAGEAGEGEGEACPRTE PIAEPRPEDETDSSGTDNGAKQSPAEQGEKNDGGDDAEKKAD MYCTH_2123495 MVKFELPRLGRSHSTTSSRRRSRTPVPAKPGIEYYPPCFDSDDE FETDSSGSCGSDGQLRFDKRPILRTRPSLRSSGGSEVKKPTSLLTLALARSTRRTAVA PMPITTPGGEWEDTSDGADSETEVDSDSDGDYLPPSQRVLADMQTPDSCPASVFDSEQ QMARPQQQQQQPAIIQTVARSLAGLMSAIISRVTAAGHPRTRQGKKGRGEDTATTKEA ERTDPATAATTTTDSVPIPQEKSRPIAIPPPPQLETTVPFREGWLNERLQIARAGPLF LTSTTTTTTSSSSSSSSSSSSPRRVRQRVADRRGVVVMGLDNKAGLRNLIDWDRDRNW ACCGCASGNSRYEFLCWSCGAHSRGSSQPILVTLTPLHQTGRNTVRMGHTGDYHTDQP MTMDLRPEDKIAAHIEVGGGT MYCTH_2297312 MFMQRKSLQRTSSSSSVSSTSSSSSTSTVTSNSVSQPNGISMPG TGGADGWSNPPGRKRPSTKGQWSNGKADGPADFSRTASGRAPMTNGISGASALHQQQS MLASQNQPMGQNGMGRPGGGDPTGQGRQPVLYLLSLNGSFERKTITVPFFPDTLRIGR QTNAKTVPTPVNGYFDSKVLSRQHAEIWADANGKIWIRDVKSSNGTFVNGTRLSPENR ESDPHELQAQDHLELGIDIVSEDQKTVVHHKVAAKVEHAGFLTPSSNVMDMNFGDLDP SNNSMMLPMGGAPPFRGRTGSQPGAPANNRTAPGNMNGQPNTMAQQRQFWLNPVTTEH IVKKLHTEMRNARLQNQDLARTSQFLNALLSKDDIKNADKPEVPEPPKAPVPNGNVSF RSDGGKTRFSEPPAPPPSQPLPEKPDAARGADAPSLKRGTTERPKAASSSPIRQDNNN NNNNNNNNLNQILLLTEALNNAKRELDNHTARVRDLEEMLTKEREARLHAEDMIQKME EANQSKVNGSADTALVNGHSELDSAFDAAAEQAQTTETEKPSTGPAEEKAPTEADKAE TLAAAFQAKIDSMALEMNSLREQLEAFRLRAEKAEAERDADRKTLAEMVAQIRRRDEE DKKREAERRARSASRGMRRRSSQAELLEKAAPEMNGSAKAAPAVELDGLSEEKSSDAA APTPSETSAAKPSGGALAVQAQPSQEPALIHTLPYASMIGVVLFGMGLMAYLNGWQPQ PRLDR MYCTH_2313872 MPPPPSHPGAVGPSNFDKFKMGALMGGTVGVIIGFIFGTVNIFR YGAGPNGIMRTLGQYMLGSGATFGFFMSIGSVIRSDASPIVQEAYLRAQRRPIVMASQ AFRPYQSTRRDY MYCTH_99853 MGSLRGLLEGVVDTALDSLYTATGSLTQSRTNGLSLLGTLLAPT LPLFLTNNSLPDGYPWGELTDEGTNPYTECPRTGVTRHYDFTVSRASIAPDGYLRDVL LVNGAFPGPLVEANWGDTIVVDVHNDITGPEEGTAIHWHGFLQHGTPWEDGVPGITQC PIPPRRSYRYEFVASLYGTSWYHSHYSAQFAGGLFGPIVIHGPTRENYDIDLGPILLT DWYHREYFDIIEEMLAPGGSAKVVSDNNLIDGKMHFDCSTVAPGDNTPCFDNAGVSKF RFQTGKTHRLRLINGGADGVQRFSIDEHTLTVIAEDFVPVKPYNTSVVTLGVGQRADV LVTANVGEPTSAFWMRSNLSSCLPARQPYAVAAVYYDQADTSTTPSSRAWDVLDPGTC TNDDLDITEPLFPIPLPEPTLTHTMDIELFTNASNVTLWKFNGVSMRADYNSPVLLLA NDGNFTYPPQWNVVNQHNHTAIRIIVNNRSPSAHPMHLHGHNFYVLHEGPGEWDGTIV RPSNPRRRDVYSVRSKGHLVIQFDGAPGVWPFHCHIAWHVSGGFMATLIVKPDEVERM EIPRDVEKNCRAWAMWSKYNVVDQIDSGT MYCTH_2297319 MASRQYQTSLLTAQHCTGHVHLILGANPLAAARASSSLAAGAKP ILISPFPSSSSSSLSSSPSPVPASASPEQQQQHHHHHHQPPQQQQYEPQPQLHYSLTA LIAAGSLTHICRAFTKADLFTLGREDVDGVVDAVFITSPLPSPPSRAEAETEEAPLDA AAVAALCKRHRIPVNVVDAPELCSFSLLSVHADGPLQVGVTTNGRGCKLAGRIRREIA ASLPPGLGAAVERLGGLRRRLLLMQQQEQQEQKADGGGDGGAMTTTTTAAATVIGGSG SGEEGLEDDSVDQPAGLNRLVLEGDAEAAKTRRMRWLAQVCEYWPLRRLAEVSDEDVE AVLKAYPGSGSGSGSGPNEDGRAERLDRGLRPPTRTGRVILAGSGPGHPDLLTRATYK AIQAADVVLADKLVPAGVLDLIPRRTPVSIARKFPGNADRAQEELLEQALEGVRAGKT VLRLKQGDPFVYGRGGEEVAFFRRHGLGDRVVVLPGITSSLSAPLFAAIPPTQRDVAD QVLVCTGTGKKGKAPRPPEFVESRTVVFLMALHRIEGLVAELTKYTEQEEEQEQEQQQ PVSPGSEQDGEGEGQGQGQGQGQGQGQQQGDARQARRGRRALWPLDTPCAVIERASCP DQRVIRTTLRFVAEAIEQEGSRPPGLLVVGRACEALYAPERGRSWVVEDGFRGVDLDS IAGGSFTAGGLGVAGMA MYCTH_2297322 MPCGIGGSKTVQRKLVLLGDGACGKTSLLNVFTRGYFPTVYEPT VFENYVHDIFVDNVHIELSLWDTAGQEEFDRLRSLSYDDTDLIMLCYSVDSKDSLENV ESKWVGEIADNCPGVKLVLVALKCDLREAAEEDDGPGEDGNPREKKPMINYDQGLEVA RRIHALRYLECSAMRNRGVNEAFTEAARVALSVKKESDESKCTVM MYCTH_2297323 MGSGDLNMKKSWHPQRSGNIAATQKAEQEALAERKKLQQRLQEI EEERKREEIQKALEAAGGKPRLQRVEWMYAGPTDGQAGDVAENEAYLLGKRRIDKLLQ DNDIKKLQKQPSQDAFQGAPVAAVTNPRDIAAKIREDPLLAIKRQEQQAYEAMMNDPI KRRQLLASMGIDDAQDKAKRREEKERRHKHRHSHRSHRHHDDRDDERHSRRRRSDSRD RSRSPRRYDSEEEDRRRRRDSRERRRDRPASMDSRDRRDRRDRREDRGRRSSRERRDG GDRREDGRDRYRSDSRSASPPPRRREYSPDRDERRRRDRSVERSGSSRRHEEDVASYR DGGAYTSRRRHDDRRDRYPLRQDRDSREDADTDREKAKEEERARKLAAMQAAATHLDK DRERRLALIAEQERAAEEAEEKARQRNKRYGGDAGFMNQLHSRAAELKVADRVGRR MYCTH_2297324 MSDDDFMQASDEEYDFEYEDEEEEDNGDVDIENKYYNAKQTKIS DPEEALEEFLGIPALESEKGEWGFKALKQAIKLEFKLQRYEKATEHYRELLTYVKSAV TRNYSEKSIDNMLNFIEKGADNPEAVQCIEQFYSLTLQCFQSTNNERLWLKTNIKLAK LLLDRKDYHAVGRKLRELHKVCQKEDGTDDPSKGTYSLEIYALEIQMYSETRNNNQLK ALYQKALRVRSAVPHPKIQGVIRECGGKMHMSEENWNSAQIDFFEAFRNYDEAGDLRR IQVLKYLLLVTMLMKSDINPFDSQETKPYKNDPRIAAMTELVDAYQRDDIFKYEEVLQ KNTDLLADPFIAENIDEVTRNMRTKGVLKLIAPYTRMRLSWIAERLRISEPEAQDIVS YLIVDGRVRGRIDEHNGTVEIESEGDAERAKAIEAMALAVKDLYTAVFKDADGFRLVG EMAGAAGFGDSMGDFGGAFGHPDRDRARGGRGGRAQRRGGMKSVLAMGVH MYCTH_2137239 MYQPEPTSFLQLSTGFAIPQSFPDYAFDEIQLRLSDGRGATAAG AGGNTASALLYETHLFNAANSAVVGPAPSASGSFDFHLNPPSSATTAAAAAAATAATV TVAAPPHPQSSIPISLKMETHPDDLAVQEAAAREYQPQLVGPLVGEKTPSTVIMEEYA KADPIYIQKTMVLPQTYTHYRPIQGDGNCGWRAIGFGYFETLVKLGDKARIEAERQRL EGLNALIENIGQFSPYVFQDFVEETLNLLQKIAGLSGHKEQAMTALQEAFNTPEVSNS IVYHFRMLASSYLKGNREAYGAFITGDDAGVDGYCQNVLERHNVEIDHLGLVLLVNVL LKDAGFVLEVAYLDRSPGSEVNTYRFPEEANGRLSSELGPIIHLLYRPDHYDILYAPE PVNLQVHRVAGFSQSYQIQSTPMAMQNFGSVDMQTLSLIPGFGAPATGLAPILDTTPS PLSTYSPSPVSPWPYVDTLQQATPAPLPVPTPAPAPTPAPAQQTHPLRFSEYCQLREY VDNNTWREPALQTTTFKNSHFNVAHYNNPNFQPEEYKPGADDHDTPPRVSGRKRGSV MYCTH_2297331 MAPLRLRHLHLPSIHPDYVPYSLASRVQEHLRRQHLDFKDGSST GQPPPTLISFTPSPIFTLGRRQTAPLTPSEAARLTAPLHIPSPFPSPDDGGASFRPRL VHSPRGGLTTYHGPGQIVLWPVLDLRSPRHRNYTVRCYARLLESTTIATLRALFGIRA FTTDDPGVWVVLPSSPAGQPPGAPDQDGPAAAAATCSAAASATDTGALAKIAALGVHL RRHVTALGTAINVAMPEQRPGPVVPDNNNNKKKDEEAANPWARFVACGLQGRGVTSIA GQQQQQQQSPAPAASSGGTERMVADAWAEELAERLGLGLGSGSGSGDEGGLVEVVGEE EVLRLMEELVSSSSSLSGERGGESAEEGEEEEEEEVLEEERAYLRRMRHALGGR MYCTH_2297332 MQNRCPGCRAQLLSFYDALLLPRSRPVAQPILRRRLQGPSSASS AAASRLWRTTSIRQFSTSTPIRQEQTTGVDPTESRTAGQPTPEDIETIVRQARQTFGN TLPANYLTPEEYKVYERLYGPPIRETRPEDVGIPFPNGQEPEQHALLRETEHGTLEEV EYILDRSRAIRSEGVKEDTVPENGDEAGALELASALGQDSGGQIDYLQATANNQREYD ALLKLQRDFEAASLQPAEEVHEEENIIEEEEPREEEEIEEDQGEPDAVFQEPRGSRLH EYTRMGQFRTFPSTLQLPKPTFVEPITELLGRTDITHIKETAERVLGGPGLPYGVGTP KFRGNYPQRGIALEAGHHRMSEIEADAYLATILPGLYASVMSVLVEVRKRLGEEWLRG LINRPDGKGPRVLDVGAGGAGLAAWQDVLQAGWDVLREKGEVKGEHPPGKRTVVVGSD NLRHRISRFLHNTTFLPRLPDYIHSIEGSERKLDSGGAPAPRKVFDVIIASHMLMPLD KEYKRKDLLDNLWSMLSPEGGVLIMLEKGHPRGFEAVANVRDRILDEFIIPPAPQPRS EEIQPATERVREPGMIVAPCTNHTKCPMYLTPGLSHGRKDFCHFTQRYIRPPFLQKVL GASHRSHEDIQFSYIAVRRGAQPEPGSFLQGKEAADRAFKGYEDKSGGPPHPLSLPRN ILTPLKRRGHVTLDLCTPAGQIERWVVPKSFSKQAYHDARKAAWGDLWALGAKTRTAR NIRLGKGGVDPGDGGVRSREAAAANSKKPKVVDINVHPQLGVLGARERYPGGRAPPER RTKGGRKVKLDDLMDKMGANEIEDPDDIEDAEFMRGGR MYCTH_2089248 MSTKITSPAHWRQTLRSHAIVIADFYADWCGPCKMIAPTFESLA TKHAKPNKIAFVKVDVDSQGEVAQEHGVRAMPTFLILRNGTVINTIRGANPPALVAAV GEAVKLAGPGAGGGAAFSGTGHRLGGSGVGRPSVARPVGAGWSLKGFVEGLIAFFGLY FWSLFSLDPYKAAENSPFRKGNAPSAAKPAGGGTRPGGSRPAFKTLADLGSS MYCTH_2115401 MPPYEHQSKKRRLDTEDGGDASNFRAPARFRPTVPREWTVSIAV PTSLITDCVTREQRTTNVGRVARALAIFSVDEVVVYDDSPMDKRITNADPDAYTGDVD PAHFMDHILNYLETPPFMRKVLFPLHPNLRSQGLLPSLDMPHHPHKDEWLPYREGMTL ETPPKGGKGTVVDIGMPNTVTIAESIPPKTRLTLKMPDDPRGTPEPVHPTAPRTEGGY FWGFSVRRASSLSNVLTESPYEDGYDLSIGTSERGVPLSKAFPSYEQADFKHLIVIFG GPRGLEYAAMNDPQLSEMGISGSRTKELFDHWVNVLPNQGTRGIRTDEALLIAMTGLR RLWDSS MYCTH_2297337 MGSKIQYRHLLRLARRRQTALPARFPPTTPSLLVSRFQHLQPFS TSPSTRQEQRHEPSQHSALGGSAADSTAKTAAPTATGSPPTIPPSPPPPTDPSLPAGH EQQQEQQSTKKKKRPPRQARGRLFLALASTLVGLSLGSAVRVLVSPPNPPEPNTEEDE YTIRIIREQAAKLPIVQQMTGDPEQWEWWDAYESLPAEHRAQHISASALAGSRGVGAY QRVFCNRSTGELVSVIHFGSATTSWPGVVHGGCLATIVDESCGRAAFRDPEWGGRVGL TARLTLEYKKPTLANNFYVVRARVRPDEELPESERGKRHYKCWIDASIEDARTGTVTV TAEALFVGGKGNGKTKKSPLGGDEARQKHVKF MYCTH_2297338 MRSLKRTSRRLRATPLTTAQPPTPSSPFLLQRRPVSTHPASSNT TTTVTPIKSLLIANRGEIALRIARTAAAMGIRTTTLYTDVDAGSQHVKASSPHALALG PAASGYLDGARIVQLARQHGIQALHPGYGFLSENAAFARACEEAGIVFVGPPARAMAD MGDKARSKEIMTAAGVPCVPGYHGPEQAPAELRARAAEIGYPVLLKSVKGGGGKGMRI VRSDDEFEAQLRSARAEARASFGDGGEVMLVEKYVERPRHVEVQIFADKYGNCVALGE RDCSVQRRHQKILEESPAPLLDDLTRHDLWDKARTAALAVGYVGAGTVEFILDKDTGK FYFMEMNTRLQVEHPVSEMVTGTDLVEWQFRIAAGERLPLTQDEIEARILERGAAIEA RIYAENPDKGFFPDSGKLVHLVTPKTDPDVRIDAGFVEGDTVSEAYDGMIAKLIVRGR DRETAIRKLELALREYEVVGLSTNIEFLKRLCRSQAFIDGDVETGFIEHRKDELFQPR HMSDEVFAQAALGLLSSQIKSNVTAGPHGGTLGFGEKGLQNTRKFAFSVRNDAAAAAE ESEAEVVQVEVTQQGNALYSVSVARNNSRTPIVFENVVSEPSLTGATKTKITSFFPMA RIESTVVQDPAAPEKLTIFQLGEKTELTLVPPGWFDKALGLKEVVGSVVAPMPCKILR NEVAEGQTVEKGAPLVVIESMKMETVIRSPQNGVVKRLAHKEGDICKAGTVLVIFEDA EGSKSAQ MYCTH_2123512 MEQQIPLSHEASAGNTNVRVTTSLPPEVVQCLENARFLHLATCS NNIPHVSLMNYTYLPSSPYSRSPVIIMTTNPASKKMNNLASNPNVSLLVHDCTHAAAP SFARRLSSENAGGTSRSPNREPHSSLAALLFNLNTSAVSSISATINGSARLVERGSDE ERYYRQVHLENNTFDSAVDTGAGLFDRGDAAAPPATADGGAEEGRQGGGGNSNGSGAG AGRFAVGDDVRVIVVGIRDVRIADWKGGVTDWVIAAPEGQEAVVNGVP MYCTH_2115405 MGFLDIRAPTSFRLSLGDAWDPASMTTGPSPFVIFQKQPQETHP SIGNLVLLVVGAVLQVVCVSLPGYIIARLGHFDAEKQKFLANLNVMLFTPCLIFTKLA SQLNADKLIELGVIPIIFVIQTFVSYIVSRVVAKCFGFNRRASNFVTAMGVFGNSNSL PISLVISLSQTLKGLHWDKIPGDNDDEVAARGILYLLLFQQLGQLVRWSWGYHVLLAP KSKYEEYNDEAIEEGRHRDEEVEDADETQGLIEGIDILHETETHEHEHEHHRSSSPTR TDDSSARYEPAGRTPVGGSSGASPNDSEDEEQHDGVWKANGKPSADELGLHSQLEGHE DLDRMLSFPRINKADALEARPGIKGVPVRLRRFIRRWELKIWDSLRAAGRRAYNSLPR PIQATLTTLYRACQRVYRFLWDFMNPPLWAMLIAVFVASVPDLQALFFREGSFIKTSV TSAISSSAGVAVPLILVVLGANLARNTQVSDDETDPEEKQIGTRLLVASLISRMLLPT LIMAPILALFAKYVPVSILDDPIFVIVCFLLTGAPSALQLAQICQINNVYETIMGKIL FQSYVVWIIPSTLILVMCALEVVEWAK MYCTH_2297347 MAGHHDNTDPTTPADEYDPSNPTSPGSLGDDSEASTPLDIPSSS SDAQPSPSPAELPRRLPSLRTVSDPQNSSHMSPTSSVTGLLNTARRPTPSASSLPFDI MQRARALQEQRMNMAARSSPSAGNLNMGSIGRQMNVGGPSAGGLAGGMPSNLKMPGGV SRPLPPAFPKSAPSVPGSKKPPSLSERRAMKLGNLPGQGASSPAAAPPKLRDLGDDAE NQPSLNGEGRGSKLSDFKNYIDADKGWITFDGAATITGTGVNFANGQTFSISLDEVDV LDELGKGNYGTVYKVRHARPKVPRFGQGLSKFRPSVSSQSSNETDESPNDTPSSGASG GSRATSGVIMAMKEIRLELDEAKFTTILKELVILHECVSPYIIDFYGAFFQEGAVYMC IEYMDGGSIDKLYAGGIPENVLRKITFATIVGLKTLKDDHNIIHRDVKPTNILVNTNG QVKICDFGVSGNLVASIAKTNIGCQSYMAPERISGGALAAGAASGTYNVQSDIWSLGL TIIECAMGKYPYPPEVSSNIFSQLSAIVEGDPPDLPSDGYSALAQDFVRCCLNKNPHK RHTYPMLLAHPWIKSLGKPETITEDAEAEEAAADDALADATGSLSLNNPSGQVREGDY EVAEWVKAVLQRKRDGLLKEEAKKPALHAAPLDTLSPMASPLGER MYCTH_2297348 MSRTTGLAPAALLLLAGSLLLLWFVILSGVTDTSPLRQTYFLRA DTSGIQGARPVTQWTYFKTCGEGNTDCGPARPGLPLGDAWASNARGAPSDLIGRYGND TTSYYYWYMWRFGWVFFLIALFFEVLAFFSGFLALCSRLGSTLACLISLVALFFLSIA VSLMTATFVKMRNVFTSEGRSASLGRWAFGFSWGSWAALFISTILFCLSRHKRRDTTT AAPATTGTTRRGWPFGRRTASRKSYEGRRVKDEYA MYCTH_2054613 MTPPSTSPIPILGQWILDTRSWYPEVEKVHQLETHASRAFSLLS HATRSQVLRYVRPPDAKMALASALLKHYAVAKLSRARIPWSRVVATGFTAPPGGGTKP VYVDPATGTQPVFFNVSHQAGIVAIVAVAAGGAGEEGKGEEEEEEVVQVGVDVVSPAE RRARDHEYIAANGWAAFVDMHAEVLGHGEVAYLKHQVPAADDDDGDDGRLRAFYALWA LRESYLKLGGTGLVADWVRDLEFRGFRTPSPTPGWDSPAREEEGQVLRDIGIWLRGDK VEDVDMCLRSIGPDFMIATAVRTPGRKAARLGSALGPYEVLSLEGVLDFAEASG MYCTH_2297356 MSSPDQSPPNVADISSPSAPVPSDSPLPPVFSFARLFEPQYLLV VLSALGVIWVGAHGSLRRPPSAAPPKVKKGEKKREEEKFIEGLVASDAIRFPLLLAAV LMGLYYLIQWLQDPSILNKILRGYMSIMSITGLGALAGDALDVLTSLVFPTMWADGKG QVYHIDSHRRCQYVVDKETAEETVINSKDTPLPGRLSDLTLSPALKRFLWELRHLLTR DWTARLAVYGKTLAKVDFHVNDLLRFAIAGLVAAAYHWTGWDALSNLLSMAMCYFSFL MFSPTSFTIGTMVLASLFIYDVVMVFYTPYMITVAKNIDAPIKLVFTSAKGASMLGLG DIVVPGMLMALALRFDLFQYYQRQIRLEPVELATETASGTSTTTTQNRRVKAPYVDTR GQWGNRFWTTPLGRLSPVRDAAEAISATAFPKPYFYASVVGYAAGMLVTLTVMLVFNH GQPALLYLVPGVTGSLWLTGLVRGEVKDMWNYTEDGSLDTEDVIVVVEVDAEGNIVKE SKEKEKGTEKESGKSEDGRVQETAGKDKETERGEEQDAITAGHHELFLFSITAPRAVA SMP MYCTH_2297358 MESEPMSVDSAALEPPSLPADGANEPKYGGHSRFELELEFVQSL ANPQYLNYLASRKFLTNPAFVAYLDYLQYWTRPPYLKYLTFPTATLKMLELLQQEKFR QDIISPDLAHAMIMEGMKTAVEWHREG MYCTH_2297359 MPILFCPYCANLLILSRMETGSNRLECRTCPYQHAIDMPIYSRK NFPRKEKEDVFGGPGAWDNAQKGKVQCPTSNCSGDEAAFFQVQIRSADEPMTTFYKCM TCGHRWREN MYCTH_2297360 MANRAKEEEHEGASTRELLIEACRRNNTELLSEILSSPPLRDDE EATAELLNTTTTVLGNHLYHEAASRGNYEIIDVLLDQPGFECDPVNRTDGDTPLHSAV RWINGEPPEQREFGNALVKMMLEAGSNPRIKNKGGLTPLQLVDPRNEGLKEVIRKHEY AALNAGDFVSPEDVKGGKGLAASASVPQDEDDEDAEFSGSDEDERAEWERRRKAKRG MYCTH_2089267 MNHPGVGPRPPSYSANYPPGPPGPIGRTSPLANQGPTRTPPSQM VGGPPPINTGAPPVAGYPPPVMGGGPPPVPGGPPGYAGPTGYPPPVPAQPAGPIAPYA RNTAAEVEGNSRSKAQLIVGIDFGTTFSGVAFAFATNNEAKEDIITEWPGAGSYTKQK IPTVLYYDQYQKVVGWGPDIADALAPTGYPKPGVQKVEWFKLQLMLSGNTYIDPINLP PLPPGKSEIDVAADYLFKLRQAMRAALQKTLGEVFNREERNIRYYLTVPAIWNDAGKA ATRAAAIQAGFLRDENDNRLTLVSEPEAAALFCSKTGLLNLKVHDAVLIVDCGGGTVD LIAYEVEDENPFTVAECTAGSGDSCGSTALNRNFSNILRTKIRKMKLPDGSKTAGRVY AKCIMDFENRIKADFRNNGQKWAVDVGIEAEFPEAGIEEGYMTFTNEEILQCFEPVVN RILELVRNQIIAIQAQNRTLQNILVVGGFGASEYLFQQIKLHVPPQFQSKVVRPMDSV AAIVKGAVTAGITERVITHRVARRHYLMATLQPFKEGYHPEAYRVPSLDGKDRCKFTR QIFVQKGQKVKIGEPVKVSFFRQVAPGATLMYEDILYACDDDVCPEYTKDPRIKEVVT LTSDLSRKNLEKDFERMDTPQGTFYRVYFDIYLTLDGSEFSAELVCQGEVMGRCRARF R MYCTH_2297364 MALEAETQAIVDQFEFSDDDVNRAVKEFLRQMDEGLRKDGTSLS QIPTYVTAVPNGSEKGLYMAVDLGGTNFRVCSIQLLGNNSFRHTYNKVAIPKHLMVAK TAQELFAFLALEIKKFLQEHHNEDFEHHIRRRMTVSAPEGFRDEHIFRMGFTFSFPVK QVGINKGTLIRWTKGFDIPDAVGKDVCALLQEEIDKLHLPVKVAALVNDTVGTLMARS YTSPNKHGSILGAIFGTGTNGAYIEKLSNLKKGLAGEYDDTTGHMVINTEWGSFDNQL NVLPTTKWDDALEKGSINPGYQMYEKRVSGMFLGEILRLVTLELHNNPNVSFFKDENS SFNDRGSVTVIDRDSPLYKPWGVDTSIMSTAEADHDPELSALRQSLEKDLKIYAPAYE DALAFKRISHAIGRRAARLAAVAIAAVVIQTGKLDDPELLGEEIDIGVDGSLVEHYPG FRSMITEALKITEGIGESANRIKIGLTQDGSGVGAALIASVAASMEKNTSNVDYIGEL RSTVKAEPSAVDEKAASD MYCTH_2297367 MQRTQQSSIRSFFQPRRQPEYTAPPSTAPPQHNNATTQQTSTPP PPPPPPPPPPPPDSAAVQPVTIPITLPPNGVPVLPSPPSIPSGATIVPLAEEHVPALR RINSLLLPVPYPDSFYARALEPLSSGLFSRAILWQDSDADTPKVIGGLICRLEPNPFL DAQGRPLPQPSVPTHSQKPSSVPPDTPYHAIYIQSLALLSPYRSLGLAAAALEHIIAS ASLLPEAGSNIDARTIYAHVWTENKEGLRWYEARGFAREGSEPVKGYYFKLRPDSAWV VRRHIGPAAAAAAAAAAASAASTRASETASGITVTGPHGADTKPSSAASAAASQPHPP ESVLAAAVNLPPISSAPLAAPPTTAAPAAAPAAAAAAAHATASATTTRNSSNPPPPPT RCLAAAASPSPAPSTTSTAASSASSSVSISYQNTRPQTEWNDLPPDMVVPSSNGGGKR KTGGSSSSSSSSTTDLQGLLSPNSHAGAGAASGASSGSRSSSTARKKRERTYPSAAFG A MYCTH_2297369 MSAEGGETGKAGVIVAQDGAPPLDKLSHAVVDDLLYNIVHDLLL KVHRDEKIARANTAAIKVEKLAKNTTDGTTDPSPDVEVETDAAIYKEGKVMLKGNPLK TTKEILCPKCHLPRLLYPTDGKGARKPDPGVIYCKKHPYIEKAGYDIYGQTWVAPGPG RGKKKKDQKLDPNDPSSPAPGEGSAKDRPSNVLSFPSATCPKCKRCILVTRLNNHMGG CIGNSGRNASRAAAQKISNGSNGGSQNNDNTPPGSQKGTPRPGSRGASPRKRDGDEFD EDGEDSDQNNPKKKKIKPNSLTKKVILKAKSSAALGNLKKDKVKPSSMLNVEQTVDDD DDAKDSTVQVAPKKPAPISKSASPTKKIKLGAAKPRLPSPAGKKVKKDRDLESESSGT PSSPPR MYCTH_2297371 MATDVALETSMGTIIVELYNQHAPKTCQNFAALASRGYYNNTIF HRIIKDFMVQGGDPTGTGRGGTSIYGDKFEDEIRSDLKHTGAGILSMANAGPNTNGSQ FFITLAPTPWLDGKHTIFGRVKKGIRVVQRMGLVPTDAEDRPKTEVKIVRAYVVTQEE EV MYCTH_92028 MSVRNNPFARNASTSTGSSGLGRPKSVIAPSSPSLLTGTPSPPT HSRTQSQNSHNFKAATTLTSPAPVRNGHARGTSREGTPSSTTFAPSYLKTEDMRKPTD IVKGIEGENDFSGKKYVWLKDPQTAFVKGWVVEELEGNRLLVQCDDGSQREVDAESVD KVNPAKFDKANDMAELTHLNEASVVHNLHTRYMSDLIYTYSGLFLVTVNPYAPLPIYT NEYINMYKGRSREDNKPHIFAMADEAFRNLVDEHENQSILVTGESGAGKTENTKKVIQ YLAAVAQSQAPAKSRAKQHSNLSAQILRANPILEAFGNAQTVRNNNSSRFGKFIRIEF NRDGSIAGAFIDWYLLEKSRVVRINGQERNYHIFYQLLKGADEALKKDFFLDGLDVGD FVYTRDGQEIITGVSDRDEWETLMEAFMVMGFSDEEQASILRTVAAVLHLGNISVVKE SRSADQARLAPEANEVAAKVCKLLGVPLEPFLRGLLHPKVKAGKEWVEKVQTPEQVRF SVDALAKGIYERGFGDLVTRINRQLDRATMGLDDTRFIGVLDIAGFEIFEHNSFEQLC INYTNEKLQQFFNHHMFVLEQEEYAREQIEWQFIDFGKDLQPTIDLIELSNPIGIFSC LDEDCVMPKATDKTFTEKLNSLWDKKSQKYRPSRLGQGFILTHYAAEVEYTTEGWLEK NKDPLNDNLTRLLAASADKHVANLFADCADHDDETGGMRSRVKKGLFRTVAQRHKEQL TSLMGQLHLTHPHFVRCILPNHTKRPKQFSGPLVLDQLRCNGVLEGIRIARTGFPNRL PFAEFRQRYEVLCRDMPKGYIEGQAAAKIMLDKFGLEKSLYRIGLTKVFFRAGVLAEL EEQRDALITEIMSKFQSVARGYMQRRIAFKRLYRAEATRVIQRNFQVYIDLCDNPWWQ LLVRMKPLLGATRTAAETLKDEYDHLNESKITIEKELYAQQDKLRRTMEARATAEKER DEAREEIRRLRAAKIQAEEARREAEIVGERAAFKIAREREESLRKDLEAAQERLRWFE EECAKLNRQVEDLNKLILESGEFGLKNDQAKERMERELQTVKSRLAASENDNRALLNK LQQKGLEIARSASRASEASRGQVLSLQREKAKLEEQNAKLNKQLGDSHLTIASLEKRL EKLQLDLEDLNHEVAREVQSSRNAEKASSNFTVQLAEANRTIESEKQLRAQAQGTVRA LQSTLDARDAELAELRAQLLNALKVVDPEAAPLVSSDGGSDSFSNKNFDLVRRIEELQ QDLRVQKAGRANAEAQLAELRAARNGSPTRRGLEEISIGEAPFTTSPTQKHSKPNARH YSNTSTPPRRFANAENDHLFDSVRSDKTADILSFNNRMDLKAEVEELQNQLQIAQMQN RHLQSQLERSVPASDVHPDDSSRVRKLEQANGRLQDLLDESAKQVSALEKALHSGELS LRDIQTKSHEEILELLHSQEDSRRALLHSHNDAIAELTEMKGQLERLRHDRAKLEVDY RDAKSDLQEMSLAREQEAASRVQLLQEYADLQIRLDAESSKLADVTASLNLYKSRADE YFSKLEQAELAVLKASRAEQFAKSQAKEAEESCAEMMAERKRLEDTIEDLQQQTQRLE EKLEDMSTDLESAIQAKKRLQHELEDYRNQRANDIEDKESSMEQLRKKYQAEFATLTK ELDLAREEKLFKQAEIARLREELDDLRSKWDDEVLNSSTWSKEKARLQTTLADVVASR DEAVAAHNEAQGKIVSLLSQVRSLRSTVDEITADRDSLLREKRSIESRLEEAKAGLEE LAKSESPSLRNAASIDKEILELRAGLAQQEDIAAAAVEKMRRAESLVSEIQKDIVAER EASAELLKQKAALEKSLNEAQLKLIDLETKGYSTASHDIKFLHKRIQELESQLEEQET ERNKSQRSVRNVDRIVKDLQSQIERKDKQNGQLTEDINRMRDKMDKLLKTIEELQASE SNNELSARRAERELREEKERALMLERELEAWKLRVEKGSNAGSVAGSVFGGSMRNRHV GWTGDETVSIPQRKSSLSRVPSMTKGFL MYCTH_2297374 MSQYPPPYGYGQYHGQPPPQAYAYPNVPGYPASAAIPYNPSMTP SHHDASQAAYGMNLTHIPGLGMGGAAPVNTYGTFASGAASWNQPNGPPVPTSNAPLPT HGANHFNFDGPPPSSSGASKSAVPPSTQPAQGPQGHPRPSAAVEMEEGELSEGQFEDL YEPREDASDAPAQPIDKPVPLPAAESSQPASTADTPDGGFYGTDEDDGGKDPRGPEGR ERSASYSPFLSPREVQSEIPTPQRVADQGPQTASAQPDVGTQNSTVPGLRSPAQASTT ASANNTSSKLEGPAYQSQDDPLNSFKSLQEAKKEAQKAILRLWPLGVKYQNYIDEGFD EKLIKGLFLDLHLGMPKAAASPPDAPSKATQPHQGGGTDSSKSSQASNLQLQATTAAK ETSSTSEQPQKGEERKDRIARLLAAKAAKPPAALNPPKPAPTAPEKAAPEKQQPETSS AAQTSTAPRGKTWGEKERLLQQKIAALQKAREARKSAEATAGAKAVQGEDSNNGTLKP QGVTTGSPALPSIPTGPRAPNGVQRPANSQPALSQPHPSLFGLALTPNGPQITSNQRK RPGALDFVDYPSGPSAAKRPFAQPRQETSLIIDVSDESDDGEMEMDMDMGSPIEETMP VQTGGMSSQRGPVIRDFPPLTDSSSKRQLSSPAPSVTPPGGRVNRTTELDLKEKAIQE MRRKIALAEAKRKVKQSAGGSVTPNRSETENKVGEGPRPPLTQTTESTSSQGGSERGS SGPTPEPSTAKPPKPSETHLDPLQRAQRRGRIMSLEIPRVESSLSEKMKRLKQLQDEE ERLKAEIERSIAEQKKLAAELQQLDTASPSTEAARPNGSEAGDGGGQPFQSQPRETSS DWQEDESSVSQTGSVTANAQTRRSSFTQSVSGEKTGDGLNEGQTPSNQSEERPAAHDG DGCIGGRAMSDSADHPHERTTETETSPPKSDAVVQGNAGDSPIPSSALTPAANNAAAS DVPEQLGSPNADETTPMELESQSPSPQALEHASSANAESPAPLPDQISGPAQPREAAQ EIETEVAGEVHVVSSPATGTSGLTEQQVQGYPVSKSESTLKPYQSPLRYFHAYRFHPE YPKAVAGGLRSLTYSNRIDPDKELCPSELTGEQCPDNCEYQHFSSISAPDDQILLELG NSDDFTGDQKSGFIQGLRELLQKFKADKVKDFDTIARGIVEFRSRFLGDKSKVLRLEG VTI MYCTH_2297376 MADRPTLLRPPPEDPDKAQIENALEVIELAVLGPNIFTNARQPW HPPGARGIYGGAVIAMCLAAAQRTVAPDFLVHSCHCYFLLAGSSELPILFHVEQVRDG RSFATRTVQARQRGRCIFTTTISFVRDTPTSTSSSSSSNDNGGVRTVSHASEMPRGPD GQPLRPPPDDYADEPEVMAQGPFQGTKIEIVSDGADGGDKAGSKKTRQWVRARGRIRG GVAAQLEALAYVSDSYFIGTITRIHRLWRFPFPPEEYDRLPADLQAKIRTVHQWEGMG DDPREMAGRPVIGMMVSLDHTIYFHEPRRVRADEWMLTEMESPWAGDERAVVMQKIFS KDGTLLATCVQEGLVRLQQPAAKGNESKL MYCTH_2123530 MVQNGGDFDLDTDMATDELDQRDKAAQARIKELENREKYSQKLV NEAYAKIEALEGAKAKRIKIEPPGKYRGTKEDLAVFRSYDRFEEELRKVFGDKDEKIH TQERLANLRQTKSVASYAEKVKDKLYKYDRPETLDEYIAQAIRIDNRLYTREQQKRGQ INGTTVKANDKKKRAYVSTSYGTHPGAIDVDAAQKQD MYCTH_2115420 MSDAQPQGGNLFDMAKEGAKISADAAKPNVISAGEGGSRKGAAE GSAAGAGLDDSKLGATSLGEVVSAAGGELPEDIGQKYSRAGGKERRDHHRAPHGGRNQ PSHG MYCTH_2297381 MSLLFMALRFWCKRRYHKVIGLDDYILIFSWMLLALYVALTIAS TRWGVGRHLDSVSLDDSIAAGRLLFVAEFFAILAVAVSKTSFAVTLLRFAFERWHRVF LWSVIVSVNMAMWSCAALLLAQCQPTEKLWDVELDGSCWPRAVYTAYSIFAGSWSAAM DFALAIFPWVLIWPMRIQTAEKIGVGIAMSLGVLAGVTAVIKTTFLPMAGKPKSDFTY VSTDLIIWAAAEAAVIISAASIPFMRPLLLNTCRSIRGRHIALRGEIQGSRDSTQVAG SETGRWTVRREVEHSHWCVLKKIAERGGGITSSATRSSNTQAMD MYCTH_2297386 MVPTAKRYKPKAQRYEETTAMFPSLHEDVMNALAECSIVPEPWF NNNETDGTVMEDYSTFVMGRFDCRNQKCPQRGWSSKKIAICIRRFPNSGYNATVYKQR CKSCDRLGTLRLDDNSYVERVAYRLKKWAGVPMEMPLYRGIISGGPPHMFELCEGCKA GRCQG MYCTH_2297389 MSSSDSARPGGAGASTTAPGTSAPQTTSEAVAHQQSNKSHSSKL SVSGASIGRVPSNTPSIAGGRSLNPNDRGTSLESSVRKFRIVEALRNGDTAFISKAIR ESADNGPRMSTSSFTTPSGPLEDTTILHLAIQCAEQPVVEYVLSDGAGSLDINARDKD GNTPLHLAAAQGRTQVVRLLLENKDINDAIANHQGRLPIDLARNPDIFQQLQLSRSLF AENKVRQVQDLILHGELKVLEQVLEEPRFKTVLDINSPEFASEPTTVQTGGTLLHEAA RRKNSRLIQVLLLHGADPFRRDRKGRLPQDVTKDEITRAMLKKSPAAVAAQRGIQEKA VLGSTAQGAASATPGDPLAGREAREMKGYLKKWTNYRKGYQLRWFVLEDGVLSYYKHQ DDAGSACRGAINMRIAKLHMSPDEKTKFEIHGKSSVKYILKANHEVEAKRWFWALNNS IQWTKDQAKEEERQRARNAELLKQAKAEHSHLAETPSENASVAETSRSSIQLTRMHSS ARASSKSGVQASGSNDDDDPGDAATEADKTDRNGGGTVAEDDADDDEYGEVSSGQDAP PASKDAFTITAQSAKLQLESMANVTSALLLESSKNPALTLSDPKTSQALATYDAAIRS LTGLIGDLLRISKDRDAYWQYRLDRESEMRRMWEESMAQVAKEQEELEARIGEAELKR RMTKRILKEVVENGILEGGQVPASTGQAAEAALQEGADTEAPADGVSAKSPPTSLSRR QTVMTQMAVISDSESDEEEFFDAVDAGEVEVSQLPPSEVTTSQEKQQLVISDACDISS SFKGYENGIRTRLNLDADNRPKISLWGILKSMVGKDMTKMTLPVSFNEPTSLLHRCGE DMEYSDLLDLAADRADSIERLLYVAAFAASEYASTIGRVAKPFNPLLGETFEYARPDK NYRFFIEQVSHHPPIGAAWAESPKWTYYGESAVKSKFYGRSFDINPLGTWFLRLRPTH GGKEDFYTWKKVTSSVVGIITGNPVVDNYGPMEIKNWTTGEVCHLDFKPRGWKASSAY GITGKVVDASGRVRFSIGGRWNSKLYARYTPGYEATIDDAAAAAADKDSSAGKGDSIT DPNKAFLIWQANPRPEGIPFNLTPFVLTFNHIDDQLRPWLAPTDSRFRPDQRAMEEGE YDFAAAEKNRLEEAQRARRRAREAKGEEFVPAWFYKAKCEITGETYWQFNGEYWKVRE RAGPGREGVWQGLEPIF MYCTH_2297393 MELHKGWNEHLRREAQKKLKPRIRCPDCGHGFLSRDAREGVDAL FAAFEKHYSDSHAPLLLEKGEEADRSDFVKSRWENAKQSLSNERESGKAHSATQGVHP DANKRNASPPAAAAAAEGRKNKSPSPGFKREGSRSRSVSPPKRKARAAAAAAAAAADD DDPADFERGAYKGKLWTPDDDTAAAPRARPDRSNIASHQRQRPSSGSGHKLHRQPHTL PARGPAAPPPDDDSAEIIKQPETRPISQEQLVAEVKGIYAGLVMVESKCIEVDSNQSS QTDAGKLNNDQWQALIALHRTLLHEHHDFFLASQHPSASPALRRLAAKYAMPARMWRH GIHSFLELLRHRLPASREHMLTFIYLAYSIMALLYETVPAFEDTWIECLGDLGRYRMA IEDDDIRDREIWTGVSRRWYSQASDKAPTTGRLYHHLAILARPNILQQLYYYEKSLCV EIPFPSTRESIMTLFEPTLSSAPNSQQKRLQPTEVFFVKTHAILFSGRQLEELDATME AFLQVLNNHIARSTRRWLEPGYHMAISNICAISGFGDESNPITMALKAFSRVNHKHAT DSQDQPMQDAAASETETTPSKPAAPSKQFPNALSLFTKTYDIVCRRFSDPNVLPFLHV TLVFIYHLTFCPDAMVHVAPRFPWKLTAHMLNTLLTEPATSSSISSTSPAQNVSESLV PLLEDARFPGFEEVQSETGGRDAKHEEASGGIGVARRKKPLADDYAMRGFPWTETYFP DGFFVTDERIDDDEKYLELPSMLEERRQRVVWLGCRIAGREGGKWLRFDKEAQRFDVN PTYDVELDLEMTSQMPATPGESVDFGELPDAGTVT MYCTH_2297398 MTSDETTPLLGTNRVDQVGQQHERQAQHENVERSKSSSWWCWRQ RWQSAPVHPTLLLVFALNMLLYSGQTMVLAPRMQMYEEIVCRDSSSRDGGGGGGGGTG PLPLAPGSGRCKDADVQAEVAFVLGMEEFLTVFLSVLSIPYSFMADKYGHGPILSLSL LGIVLEMIWPLFVCHLNGYLSIYWVWLGIAFEAIGGGITVTVTMFNLVLLNFVSAESR TRTFLYLNASSLVSRALGQFVTTRMMHSDIWLPAYLGCGLNILALVLSFSLVSQTRAK PTASAPSSDDDECEAITSRNGCSAEELGRVALQFLRRVESTLRSNPEVVVVLISAFAF PLGEDSMFTVILLYVSKRYGWSIGDANMLAAFGTVVMLVTYLVLLPVLSNVLLEYGGV TSYVRDRIIVQTEGVLLLVGALCTAFAPTVVWAAGGVVIMSLGMAVVTILRSLVTDLV RPQDVTLVYSMVTMMMRIGSAVAGPIFAWSFGFGLKLGPVWTGLPFIVAAAFFLAGTI ALGFLPKEPRSRDGEEETD MYCTH_2297401 MTYRFVTSVRGSLCGLIYGKTLELSVTALDESIAVTLMSTDTEL ICRSFVTLHEVWASPIECGVALYLLYTQLGLAFLAPMIVSIIATVAIMQLANYIGNAQ KKWVRGVQTRVDVTASMLGSMKEVKMLAFSDTLADMVQNLRVKELKLSKAYRKLLCLR VLLGSSTQTIAPLATFATFVIISQSTGRPLDVPSAYTSLSLIHLLSEPMVTVIRTIPM VSSALACFDRIRKFLLSESCNDHRLPMNQGDSPVEGTSPEGSITPTEGDVAPRELQEL KEENSLQPGAPLMAISNASFGWVNGEPPILDNISCTIPKSRFTFIVGNVGSGKSTLMK ALLGEIKPSKGYVYTAARKIAFVSQHAWIQNLTIRQNILGVSTYDEGWYNRVVYACAL EQDLAELPDKDATKAGSGGVSLSGGQKQRVALARAVYSRQKVVFLDDVFAGQDAATEE HIHQNLFAEKGLFREMGTTVVCITNAIHRLSYADHVIALDERGCILHEGTFEQLQTAT DYLLGLSTKQNGSTIATGDRAEQQTAKRATAPGPSNPKDEKEPKSSTRFLGEFATYRY YFGSVPRWYPFVSAAFIILYGGALKSTELLLSFWTGHVGAAVAEQTSDNTKNSFYLGI YGMLSGLAMIGIVGAAYFFLIIMVPRSSEVLHARLLTSVMAAPLAFFSKTDVGVVTNR FSQDMSVIDTELPFAMIDFSINLTITLFAAVLMCVFSGYFAATLVPLILFCWALQKFY LKTSRQMRILDLEAKSPLFTQFLDLLQGLSNVRAFAWQAAFRERYLELLDASQRPYYL LFCVQRWLAFVLDVLVAVLATVIMILVVTLRSQFSSQFVALALLNVTSFSQFLALVIQ GWTQLETSFGAVARVQEFCSATENENRPTETSPAPEHWPSRGHVALENLTASYAVGAA PVLHGVTLDIPAGTKVGICGRSGSGKSSLVACLLRMLEISSNSHIRFDGIDISTLPRQ AVRAAVAVVPQHPFLLRMTSIRDNLVPRRDEQQQQQHSDGKILSVLSRLNMRDVVERM GGLDSPLDLDRLSQGQRQLLCLARAVLANKKIVLLDEANSNVDERSERLMREVIRDEF AGCTVIAIVHRLGAVTDFDRIAVIDSGRVVEWDSPKALLERDSEFKRLWDLGSS MYCTH_2297404 MHGNTSFSDTLLLAEANFGPSAKDRFDFTLTFENAILSIIPSAI FCILAPHRLYLLWRQPHKVAKSPRHVFKLVCMEL MYCTH_2297405 MASKSEAPSTVAAKLHLNGIAGRRPSTPTNPRRLPNRTAPSSSP DVILLNQPGDAERIRELGDLDRPGPEVGLSNGKTAWVGDGQPAQDGPRPSSPPALEA MYCTH_2297409 MSMGLFLHLTQEYQYQYQHQPDLVEDAAGSRSGQAEEADWHPLR SGPRQDAHHQPALSHGMSSRVAAAADDDDDDSAASMYVRDGSASPPCHSYSLAALHHE RADDAGARRMTDGGETSWVPAAYPDAVQVWGEYDRNVVSAEVNWREEDCYSRLIGRQP DEGEPEFAGSPYYEYVSQTPYAASISDVDICSLDGSRDLGASDREDKDWVVVNAAPQ MYCTH_2297411 MLSFRRALVAAAFFLTILFFTTRSTSPAASSLAVEFPKSPSDPG SKDDSQSTTSTDTGNAVHAGGNDRDRTPSNGQQPMHDMSKMTLHEKLAYQFPYDVESK FPAYIWQTWKWTPAHGEFHFREQEATWTELHPGFIHEVITDQVAVYLIRLLYASVPEV VEAYEALPLAVLKADFFRYLILLARGGIYSDIDTYALRSALEWIPDTVPRDTVGLVIG IEADPDRPDWNQWYSRRIQFCQWTIQAKPGHPVLREVVARITQKTLERKKAGSLKEVT NDRVIEFTGPALWTDTIFEYFNDPRYFDLSQSPGPIDWRNFTGMENPRRVGDIVVLPI TSFSPGVQQMGAKDYDDPMAFVKHDFEGMSPFPPLLALFFLAPTCPSLTWVRVHKGPG NPRANGISASSGTLPTLLSIFDDVLSASLGDDAHSLLISFYKPAWFGVIMVVKHCIIS GWLLEGRGRIESVQKTAVCSHVSL MYCTH_2297413 MRARIGAGRVVSTLTRSIYEGPSEPPLLPHTIPEHFSSIVREFG DRPAVICRTPTTDRDALPKLPAEGLPAAVETTLSYEKLDLASNALAHSLRSLGVKKGD RVAVSLGNTAEFAALTYAIFKLGAILVPLNPSFNVKQVTAALNHLGVELLIIGAVTDL AYKPCRGRSNLPLLQTLVPDLESGRVESPEVPTLKTVVIVDNSASHPLSGFPPLASLR SLTPFTSLVPDLTTLRASASTFAARAVNPDSPLSATDTVNIQFTSGTTSQPKAAMLSH TNILNNGALIAHRMGLEPSDLIVCPPPLFHCFGSVLGYMATATTGAAILFPSPAFDPQ ATLRMVADHRATGLYGVATMFVAMFELLHQRPDLIPRDKVAAFPTHLRKGIGAGSSVP ESIMRRLYATFGLRDLVICYGMTETSPVSCMTSPADTFEKRTRTVGRVMPHTKVKIVD PSDRRRVLPIGEKGELAAAGYLVMQGYWGDKERTEEVRRVERDGEGEGATEEVWMYSG DEASMDEDGYVEITGRIKDLIIRGGENIHPLEIENCLFQHPLVAEVSVVGVPDDKYGE SVGAFVIAREGVVVEGEGGEGGEGEEKTTETTPGAKGEVLTRDSVREWVRTHLSSHLV PKHVWFVKEYPKTASGKIQKFKLRETAKQLLEAGR MYCTH_2297415 MASAQGKTVIVTGAGGGLGKAIAAAFLAAGANVAICDVLPQRIA DVISEWSEPYGDRFITRPVDVTDAAAVQGLIDDTVAAYGRLDVLVNAAGVMDGFEPAG DLPKEKWDRVLNINLTGPFLTTKAAIAQFEKQEGSYGVIINIGSVASVHGFKSGAAYA VSKAGLMALTKNTAGYYGDKGIYSIGLMLGGMS MYCTH_2297416 MTSLASNPKVQLAATAVASAAVAAAVVLSYQRLQQGDRVSRLKQ SIPQSQSSEIELALQSVTRVGPLPRPDKEDEHNQALAHRAQNGDFDDELILEQLARNR VFLGDDGLGRLRAAFVVVVGCGGVGSHACTALARSGVQRLRLIDFDQVTLSSLNRHAV ATLADVGLPKVQCLQRRLVAIAPWVRFDLRLQKFDKTVAASLLEGWEGDPGRRPDFVI DAIDNIDSKVELLKFCHDEGLPVISAMGAGTKSDPTRVMVGDIGASFEDGLSRATRRR LKLLGVTSGIPVVYSTEKMGEGKAALLPLPEEEFQKGSVGDLSVLPDFRVRILPVLGT MPAVFGYVAANHVITKISGYPMDYQPAKARDKMYEAILAYVQATEEKIVRMFEGGRAD ICIGLKIPITPGDICFLIEEAFRGRSAVTGIPTKLMLIRWKKPQQSPLVRIGEGSEEQ KSSNLRLRDLVCMTKEEAVRHLKEVLLGDKSLEDVYSREVIELVEARQKEAEAYERYR MYCTH_2089286 MKEEKPIIELDGRTGEGGGQLVRIASALASVASQPIRITHVRGN REGSRGGLKSQHVTSIDYLAKATAADVSGLSIGSQTLEFRPQLRPSELEERHIKIAAD SPAASTLLIFQAVFPFLLFAGNEKGEPITLEISGGTNVAWSLSFEYLDQVLLPALHEA FGITVERKLLARGWSLGRQQQRGTVEFKFKPLKPGEKLRLKDNSKDGAVAVYPGDGTL PVVEDVKEIDVSMIVPLGMRESMMEALVEDLEQLFPDVPVEFKVDEDSGDDARIYVLL VAKAGTVRWGRDVLTSMPKKAKGKGGVQTASSLSATISRRVSKELYEEVSSGAVVDEF LQDQLIIFQALAEGRTSFPRAENKDSLEQAVARLAIDNRLRKDKTDEPFGEGSGHTTT ARWVVSQLLPGVEWYNRGLLCQGIGMHMPKK MYCTH_2297420 MDAQDAQDSQDWTRLFVEAAQSERQKREDHTTDVAESIRQHTAA AEAKIAAALGPELDTLLPSTDGNPRAAARG MYCTH_2297423 MIEIPLDHGSLEHLLPASWKSQVTAWLAEDTPSFDVGGFVVGDH PRTATLWGKSSGILAGVPFFNEVFAQCGCTVEWHAREGSHIESHGDKTALATVKGPAR GILEGERVALNILARCSGIATMSRRLLVNLRSAGWQGTLAGTRKTTPGFRLVEKYGML VGGADTHRMDLSTMTMLKDNHVWSRGSITQAVKAAKAAGGFSLKVEVEVQSEEEADEA IAAGADIVMLDNFTGEGVKATSRSLKEKWKGKKQFLLEVSGGLSEDNAELYICNDVDI LSTSSIHQGVRHIDFSLKINV MYCTH_2297426 MALDKPSAAARGRRNVLIKRPNATVVVDATDTTTVSESRVKRRR TCAIVGDPIQSQAAEHAPSSSSRSQTAGRRPSNTSPILNRRPTEPLNIYVLGANSGGE LGLGPSVKSGNATKPRLNPYLSGPVGVVQVSLGAMHGVALTLDNKILTWGVNDHGALG RDTAWEDKLVDIDDDDRDDDDDSEDEGELNPKESTPTPVDMSAVPSDTIFTQVAATDN ATFALTSTGRVYGWGTFRSEDGKLSFTPTVRVQSLPVLIHQVENIIKICCGSNHVMAL TADGFVYTWGRGTDGQLGRRFSSRVVDWNKQGLIPQKVAGLKDIVEIGSGSNHSFAVD RAGRLFGWGFNNAGQIGVVDDAGEYESEYDFAITVPVPSLVQSLEGLPRIKQITGGNF HSIAVTEDGRCLTWGRLYSFATGLKIDALPPQFVVLDSRGKPSFLSVPTAVPGFPASF VAAASEHSLAVTVDEKIYTWGLNLTKQIGHKQEEVEVATPITHDSVADKRFVWVGAGA QFSMLGELAAPN MYCTH_2297430 MLSACAVALRAGARRSVRRLPRSRLAAVPASLHRARPFSSSAAR SIDLSTRGMIVQTLSSVGSKREVQQYLSLFTSVSSQRFAVIKVGGAILTDYLDELCSS LAFLYAVGLYPVIVHGAGPQLNTLLEQAGVEPQFEEGIRVTDSKTLRVARDLFLQENL NLVNKLEEKGVHAQPLTTGMFRADYLDKDKWGFVGKITSVNKKPIETAINNGYLPILT SMAETDDGQILNVNADVAAAELARALEPLKVVYLSEKGGLFDAAGQRISAINLDEEYD YLMSQSWVKYGTRLKIKEIKELLDTLPRATSVAIIHPGELQKELFTDSGAGTLIRRGN KLLSATSLSEFKDLDALKEVLIRDREGPDARATVDKYLDFLQENDFKAYYDGPMNALA IVLPARNGRQATLATLTITKSGWLTNVADNIFTALRKEHPQLVWTVREDDENLGWFFD KADGSITRNGSVMFWYGIENGEEVVQLMKDFTQHGRAMLGDSNLESRLQRAATKQRAA TNGAKPVAQQARAYSTLARRPILSAPTSGQTARRTYVTQTNPNPPIGKKNASKSQPAR VALIGARGYTGQELIRLLDSHPNMDLRHVSSRELAGTKLEGYNKRDVIYENLSPEDVR DMERRGEIDCWVLALPNGVCKPFVEAVYEGRKGSDHKSVIVDLSADYRFDNTWTYGLP ELVSRANLTQATQIANPGCYATAAQLGIAPLVPHLGGMPHVFGVSGYSGAGTKPSPKN DVNLLTDNIIPYSLTGHIHEREVSTQLGAQVAFIPHVAVWFRGIHHTISIPLNKTMTS RDIRQIYQDRYAGEKLVKVVGEAPLVKNISGKHGVEIGGFEVDKSGKRVVVCATIDNL LKGAATQCLQNMNLALGYAEYEGIPVM MYCTH_64173 MSTSPYDPYIPNSSSGPGEGASAGNSRFEEANKEIQAAKLELQK NAERLMDRRERIEDLHEQSNKLADSAQTFRRSANRVRKQMWWKDMKMRIWLGIGIIVL LAIIIIPAVVTSTKK MYCTH_2297436 MASPIPLQKIQAPLRRTLARSSVFSSRTYATIPSPSDPTARPAS AGSEPTTTAPTKPARPTYFKNTTLASFSDFLPTSPSAPLPPSEAYAPRTATVGPEGRK RTITRLPDWLKTPIPSAGANPNFAKIKADLRGLGLHTVCEEARCPNIGECWGGSSKAA ATATIMLMGDTCTRGCRFCSVKTSRTPPPLDPHEPENTAEALARWGLGYVVLTSVDRD DLPDGGARHFAETIRKIKAKKPTMLVEALTGDFAGDLDMVRIVAESGLDVYAHNVETV EALTPYVRDRRATFRQSLKVLEHVKEVRGKEGIITKTSIMLGLGETEEEIWETLRELR KVDVDVVTFGQYMRPTKRHLKVERYVTPEEFEIWRQRALDMGFLYCASGPLVRSSYKA GEAFIENVLRKRAGEKAMAAENLGQAVAAEGGSSKAL MYCTH_2297437 MSMFRAKKLDLGCFTNIRVIRDHSKRKAFEAAEAERQALRYIVR NTTLPARTRATAQLQLTQMHCYTRPTQIRNRCILGGKGRGIFRDFKMSRYNFRLQALA GNLPGVKKASW MYCTH_2049706 MFRRRWSGLPADPVFPSDFRELGYFINDVDEIRSIENPDYYFKY YLTKNERWNERQRFAFNQAVRREIHTRLDAQNFTTIPLPLGTPPTDPHVPIRTTPDLA AASRVVLLFGESCQPLGVLAHRVIGGRGGITRGSVLGLVAALRAQPSSAADPSPPGVL LANAGELWWWPEGRCGLTPVDRHRVPMASAVHLGRYHDPKVNEVAGNGTAGEHVRGVF DATLRGELVDEKAKVDVIAVGDTAEEVVNYLDDDEVWEVAGDRLSCMVVLGGCYSSKK FKCEGFKRFVAERARAYIIHHAHLDTPVAGPEGSPDTAGFTSYGCPVFSAGPAKETET MLIEAQSAVLKWMQEVAMEGTAYKNAVVKIFGDNDSGATAEESNSWWDAIGVRGNNKS EARVQTKDTDRAKGKAEDNTGEVRHNAEGSGANLEDVPNRGVAARPVRNGAAGARDVE DHDDKVKTSDGPDA MYCTH_2297440 MQQSLRRDSMMRRLLLLRLVPSRKLQRTFTHSTALRTHLRGRPQ LPFLSVTSSRSGRVRYLTTERKAQLKYEIKTGIKYTGYIWIAGFSLLAAWFAIVQERL ERRYPTPHEWTFRTRMDFRGGNCARFEPSPGKITDWQQVAWWFETTVKRLEDPNIDGQ GVKDAPHEYPPGTKDVTAKSEEWRRGYYEAMMGYAKAAEHMDGWVLDKSRNIVFPPGT MIGPSNPFPKPLPPGFKGAPREEDCELRFESPDAIYLRILSTPGFTNRQKIEAGLAYG SWLEYKGINGPASVILEDAVNLAASERPGLPAEPLDKKTWTLSEAAGLPSENLLNALT AYATFRARQGALDSALPILVSILKARRSLPPPTAHSSSSSNSITAALNSNNNNNNNNN GNGNGNKPAGARSAGIWSTLTAFLAPPPYPPPPPDGTAPPTRDALDLCQEAALSLHIG EILYASSPGSREEGLGWTREAVDVAEEQLHQLPQKATRDSPARAACRECLATGLGNWA AMVRKLAREEEEEKEKRQKKGTGAGGGWFGGLWGQGVARVEEVDRWAAEEKVIEERQR RVRELLEDLKPPPRGILSFFQA MYCTH_2297441 MTTFIDGWTSKPFPKLAFSAGGVLALADLQTIAQRTAIAGGASW FDALVLAPGIHYQQAADELFRKGGAVAITDVVDEFRGSPVTFELKNAAIAHYIQQVAK PGMTVTLDVGRVVAAKGRYKLSRSNSGLHATAWRDTVKPDTGWLSHALYLTTPFITVV SIALVVIFKDWWTLLSIVALMTSRILNIWVIKRRASHTDNSKDSPQTPQSPQTPQSPP SHTSNTARGPGENSGRSNRPGRLSFSETRQRLCHCFLRVADFERKAMVHLRGKKNDLR DAISKQW MYCTH_2297442 MLSEEFVSAICGAPLSSNTAIAKDVGIYFHSLSPTYSVKSTFKK SSSPVNGLAVSETHVFAAQHEKAYVHVYSRLRGNQEAFVAFPERIRCLTLAGDVLILG TTEGRLMLWETCTGRLVSTPARHVQAVSCVAATPYHVLTGSDDSDIHVWSLLQLLELD SAAEHQPQRSLSNHRAAITGLSVSPSVSSDTNFCVSASKDKSCIIWNYQTGDALRTLL FPSSPLCLSLDPSSRAICVSCDDGSLYVTEVFSEKPLLGPGAEEATTVVQISSPFGAT QPDVGPASCLSWSYDGTMLLTGHPKGQIMRWDVAENKSPVELANLNAAVTNVLFISPF PAERPTKTVNIIKPSQAERTYALAVQFESYPGSETGFDSLLNASGFPNQAMENAIAAF NQPAAESATEQELRKQNEELWEIINEQKALQKQTLQRYVEAKSSR MYCTH_2297444 MAKEKRAADGHGIPWVTTEAFSSEGGCATRTRQRTPGNQAPPEK PRMVDIPPITTSPKRTSSLQRSRQGAESALAASDLGLTSARTAERRGTLSNGNSLQAP CCSVSLIETASGRTVIDASAPPPPIAFANRSQALHHSHARPPSAIATDATDQEDEAPG TGRELGAGACAQSLGEGRKPSLVDLRHLVDAGAETLASSRKSTPCTPSVPAPGIPLPP EIVESLRVSISCFPETMLLTSSLSVETIRVYSKKFRHRVDTDCRLRAVDTGSAFFPSA SQNHKPPRRWNMGWLGHSARSNKQDQFQPPRQRPQQQEQQQQHSYPFPRGNRSSPSAT SNLTLAGAEPRTPTWVAIKNIFPSASDHLCDALYAHLLVYNYIVSLAPPANPPSSPSS SPSSSPSSSFFHPGHSLRPRTGTGPGTGAGTGRRLGQEEAAATGAGGAVRRPSTSTSH PEHHHHDHHQYRYQRRPQPSFQATPAEDLGASSAEGGSRLGIPQKAASLLGMDDPVSA ATTAYQHHHHHQQQQQQQQQEEEQPRRRALSRSRGRRRISYYPLAGLIPSPAGPNAGG GGGWFAPRSATSHGCGCSDCDDGAGGGGGGGGGGWWLPPRSATSHGSSSGGHAAARGS LPLQHHRDQHQQHEGGGSGGSASSSSAAAAAMGELLTGLRRCVHLLVATMRTTAAAAP GDGQEEEALADEVGGILSGSGLKLGAEPAESVEAVEPVLMRALCEVVRCAEKGSLGGL MYCTH_2297445 MDAPETRAQATPTPRASRVTYACEACRAAKVKCQPGPQSGICKR CLESKRECIFKTGPRTRRPRQLNKRLNNQPAAPSGSSTGSAVVAPAAAAAAAAAAARA AAATAAYPPPPGPSKTFTIDIPMPAEDDITDSLEALRLAHDQAIDLLVPLGSAGEEEE GEGEEDEDDEDRDRDQDQDQASVSGAGSVLSNASSLPVGASALSTPPSSVAGRGPQPP TGLDGQGRAVQGEAGRGARPRTLASLRLQPRFNLDSAGKLLETFRDHMLSYFHCVVIG EGETVAGMARERPFVLLAVLAAASASRTLQGHSLYDEEFRKVLGLKFVAGGERTLELL QGLLIYNGWYPFHLRPKNKQSRQYLRMVVDIVSDLELDQDPGIDLMDVPPTPERLDQI RLYIASYYMVSVSASSWGNASSLTYTDYTELCCDLLHRHSPHQGDRVLAWQVRLQRLV EDTNDLRRTHRGRSQSEYQIGLMIRGMETQLAEWEARIEPAVAANASIRLAVLFTRVF LSGAPLLHLSWARARQAATSADPSLSATASFRPDPQRLMAVIPSLHALYGFFLTLSKT QINSLTGVEWRILILSVILGFRMSFPLAACPEWDDRAAREAVRFGEYMDRLCRMGGGE DASEIRSSSLGTTTTTAAAAAGEGGGAASEQSVRSIDVLSASKIVLEMVKSKYRERVA RLERKQQQQQQQQQQQQKELEAMLAAAAPHPMPAGEGRGGGALGHGSVPTACPVMDGS LDPYYPYWDETFNSNLAGTGLGMMQAHGTGTAQLDGGDVASAGDLWTAMIMGWAQGGM DVDTL MYCTH_2297450 MNGDNNPPSSTTGAPANGSFAALASSRASPFPATTAATKTTMAV PAYLPPAQAYRPPASSQPGYPPSLSNANSFDRGTKKSLGTLQSATPPTLSSALIRNLP LNTSEESLRLMMVFSKDLVDVEVLPVEQSADAGFRSAILKFKSPAGAQEAKNMLDGKS NISNDAEMIVEILGSSSPTALGKRFSNDAIMSAGAPNSNGSATPSAPSSRQTSRFNGT FQSLDKITPPLNNKFGDLTAHEASAPYHNIFGPQSPIGNHLATGTQMTSKSLIDSAED DETKELLKDPVGFAENGLQRRQTAPQLPISRMANLSLNTTPSPAATHTPMGYYGHAGM GTLPGMPNTMSPTAMGGHGTGYNAPMPHRMNNFPPANPADQNPPCNTLYVGNLPLDTS EEELKAMFSRQRGYKRLCFRTKQNGPMCFVEFEDVTFATKALHELYGQPLHNSVKGGI RLSFSKNPLGVRSNQNQNQNGAGAMGSGMNGMHSGSASGFTTASGPPPGLSAPPGLSS NRGGYPPSTGLATGTTSMYAPPNMSNAPGNPWGGVYNGNGPVMAGNPSAFPPYMMGR MYCTH_87116 MRALCDASSASRATTDGPTPNPVPGACLEPLENKNVERWFGHPC THPAPDTL MYCTH_2055988 MAEDCQHQPNERGHAKTNKKRKKTYNTRHSLVVTDPTTTRALAS LTRGERTGSRAS MYCTH_2297454 MITPPRDDDPWSVVNVVGQSPPVDCSKPYDPSALAGRTILITGG ASGLGAAFARHWAGHGAHIVIGDINDRLGEELVAELRSSPSAAPGQVIAYQHCDVTSW TDQVALFQTAVSLSPTGSLDAVVAGAGIAESANLLDPSPPPTLFDAPPATIDASTPPP PLRVLAVNLTGVMYTAHLALHHLPRKKHDGRRDNGDRDGDRHLLLIASIAGLLPLPGQ TEYTASKHAVVGMFRALRGTAWATRGVRVNALAPYFVDTPILPGSAVALLAGAGQTDP ADVVDAATRLVADRQIRGRALCVGPKVRLVDADGGGGEAGEGKEGGLGGAGVRVVEGL GEQPGERRQAVWEMYGHDYARVETFVWRYLAVLNLMRQVRGWVGFVGDLLEVWFRRRA RERRK MYCTH_2297460 MSQLPVKNCGVLGCTGSVGQRFILLLQQHPSLRLVAVGASSRSA GKKYRDAVRWKQASPISPEVGDLVVRECKASEFADCDIVFSGLDSDVAGEIEKEFRQA NLAVFSNAKNYRRDPLVPLVVPTVNLDHLALIPHQQKTLGLRKGFLVCNSNCAVIGLV IPFAALQARFGKIDTVSVVTMQSVSGAGYPGVSSMDIIDNVVPFIPGEEDKLEAEARK ILGSINADATAFEAQETLRVSAACNRVPVMDGHTVCVSLRFAQRPPPTAEQVKEAMRS YVSEAQRLGCPSAPNPPIKVFDEPDRPQPRLDRDLSNGYTVSVGRVREDESGIFDIKF VALSHNTAILKGFV MYCTH_2297462 MSTAETAGATTAVAPENGATSQPTPAPLSEETRAQVQEVLSSEI GISVMLNRLKQSIASAKEFAQFLKKRATLEDEHANSLKKLCRLSQDNMQRGEHRGGTF GKAYEEMMAVHERMAENGIQFAMSLQSMAEDLTELALMADKSRKGWKQSGLAAEQRVA ELEAAMRKSKLKYDGLAEEYDRVRTGDTAGRQGGKMFGFKAHKSGAGHEEDLLRKAQA ADQDYQGKVQVASAERNELETKTRPETVQALQDIVRECDSGLALQMQKFASFNEKLVL SNGLCISPLKQSPDARSLRESVLAIDNDKDLNDYLSSQHSKMPPRTGPPKYERNQLLD SANRATIPAAPAGSHNPSQQQTSNAVQPPPSGIFQGARSSTFSDAAVPSTNQGPMGHS YNQSMGSLPLLGGPPPPSQPQHERSYSHGSTINQSNGPGSQQYRGPGAQSHQTQPSSS RFDGSFGSVTSQGPPQLGALSFQTPSSQPSQQPSYVPLPSQQGSSAASTSQQTSAMVP AQAHLQSPPLTPHVPPARPVFGVNLSTLYERDGLAVPMVVYQCIQAVDLFGLNVEGIY RLSGSMPHVNKLKNLFDTDSTSANLDFRNPENFFHDVNSVAGLLKQFFRDLPDPLLTR ESYFAFIEAAQHEDDIVRRDSLHAIINNLPDPNYATLRALTLHLHRVMENASTNRMSS QNLAIVFGPTLMGTAPGSSMSDAGWQVRVVDTILQNTFQIFDDDD MYCTH_2297465 MRPPHLLPTLCSLGTLLLLQAPGAQAVFRDEVGHIDYHHQLLGL PQRETTFFHRPRRDDRASLLYTLSDLGVLGAVNPGTGEVVWRQSVSGSGSGGPNADPG GRTREDGGRGVRGFMRAGEGEGWVVSAYGGAVHAWDAVSGRNKFWVRLGGGGGGGVEV VRDLEVVELTESGPGRKDVLVLSEEGERAATVARRLSAEDGSVVWEFREVTGDLPLQV STNLEKVFVVSLKGAPGAYSLKVTVLDPVTGRKLDEMTVGSRADVHDRQDVVLVGANS AAPVVAWTDHARRTLWVNVLGTKTRHEFPLPADTVEVEVHAPHLAQSQPHFLVHSKTA AGHRGEVFHVDLKTNAVSKAYSLPLLPGPGAFSTSSDGANVYFTRITEDEVVLLSSQS HGVLGRWTLKTGDPNAAAVVHAVSEVVKKGGGSGDSYAVRAAAVTDLDDWVLIRNGES AWTRHEGMSGGVAATFAEIPESEDLARSLEVEAHSNPVQAYIHRVKRHINDLQYLPAW IDSIPSRFMSSVLGKDVPSTTGKLARDSFGFHKLAVLATRRGMLYALDVGNGGRVVWH KRAFTIPKASKWDVKGIYAHESTGEVTILGSNNDFVVLKGDTGEIIEAKSPSPEATTQ ATALVDTYSGKQLIRIGRDGKIGDLHVYKAPRQTVVTRGAAGELRGVVFVANGTSSYE STSWTFSPPQGQRIVNIATRPAHDVVASIGRVLGDRTVKYKYLNPNTLVAAAVNDKAQ TLTVYLLDTVSGQILYSAQYEGIDLAKPIECAMAENWFVCTYFGQYALRDNAAQSLRG YQILVADLYESTEANDRGPLGAAGSYSSIGPVDVPTADGVLRPAVTSQSYVLSAPISA LQVTQTRQGITSRQVLAYLPELHGIVGIPRAVLEPRRPVGRDPTPAEAEEGLIRYHPA IEVDPKTVVTHERDVLGVDRIIATPAVVESTSLVLAYGVDVFGTRVAPSFLFDILGKG FNKVALVGTVLALLVGVIILAPVVKRKQINLRWQAPM MYCTH_2297467 MADEPTLPPLPPLQAGDRKKRSRRPDSPPPPPHSSSSDPAFFSS DDDPAIDNYQTLGRRKRRYVGTWYDQQPASSDSALGDETQPRYPPPRGTKPPKPQKRE FRRQLDSGVWMGTEGSVTDTDDGFDLEPAAARLPLAAPRPRVTAMPPPSVRPRLSPLE QRVQDAIDFCVETGTEQVDLSGMDLETIPDHQIRSLSNIVPIPHVTRDVAFEQREPEI QLFLSNNRLRRFPVALVNVENITVLSLRANRLVTLPPAIAKLVNLETLNIAQNFLNFL PGELLALLRRGGKMRNFAFEPNRFWQPQATAATAAAANPQHAAEYERLTFPGRPAEAK PQPTWSGVTTELYARTPVHFLDSALNACTPFTLPPSLYNPPAPSPEKSQAREQGENPA APLLEIEPFTALATPTKPIYRDMMLLAADPHRRRSSGARGPRSLFELALRACATASIA ATGRDDDDDDDAHAHAHDCQLHPAGRRADLVPSWMPRYFARAVQRAVDIRREGGASCA VCGRDTAMPLALWVEFRRVGRAAAAAAVAGEGADNDGAEGRGRGVGGREAGEETAGAS AAAAAAAGAGFPSAAAGMGMGMGAEGGGMVLVPFLRVACSWACVPVKVGEVRTEREEE GEV MYCTH_2107377 MISRKPTSPPSPHLPRQGVLTGRIDRNNEDGSSDGESPYKQNQH RMVAGTTHAEGAGADPPVPGQPRGRTNRGGGKYGRCPRCGTGRRVRSRFNPYGQSVHR GKFRFVCSDRANHGCGYSEVLESDPALDPESYLEHSAAPPQAAPSSASAAIRNRRQGQ SQGRTRGSSASGSGGVNLDASRDGIGRGTVSCGNGKYDIEYEEERSEEENENEEDPEP VRRRPLTTASEAFSNRANDDRGAGRDTPQQRLGCPQCMQGRLFKKYKNVAPFTEAVLV CEKGRNGKNTMGGCGYMVDIGAEPADDDDAGAGAAIITADDQDKGERAANARAQIRQL KKNSARQFARERKEKEKFIMEERARAVADPNAAAVMAAPDPKTKRKKILVDLTSDDEL LRPARPELAGEAVGPYAPIFIVSSDDEAAPPVKPARRPIRTAEVTIGSLQGPEATAPD DFDSVDDAELTRLAELAEQAGRADHRTDDNSRKEKEKEEEETCGDNETDMMLLAGRLA YERTERGQSAAARAARIRTTSRDSM MYCTH_2297469 MDKMIEIFKNDLASNSRGRIDVKVARRLKWLVANQTGNEEQSDA TGNPAESSPLTIAQRQHVWVTTMDRFRKSLGIVLREIGVKIEEPSKIKLALIDDGVDI DHLRTYNGIVTARGRSYYPPSGHAENPWHRSADGHGTVMANMIARINPWVSVQVLRVH SKRLPNGDRTIFAESAAKAIRGAIRRGLDIISISWTFKSKKPREQHDDRDLGATR MYCTH_2107379 MDDEDELDMSLSDQEERDDRGGAGFHALQAQDSDQAVWLAWELG RQLKDVMDLLERKLRTWDDSQGPSDVEPLEPYKKKALLMGRGAEPTRATALHIMVSNY VLDSYDKFPDEIIRPMIRDFLSEYLASWDKTETEEEKKDKRKEEPILKVAIKLRDNDG FVQQIRESCPTEHLAALLADQDGDGQNALHHIFTWPDDKPRSRTEADAKRVLTRAQEL VPLATAEMLAAKDKDGNTPIHYAADSRQCLCRGDDYVDLFTKMVEKADTLMKADKAFS NNDESPITYAQRIWRRLLEMRKREKKDRDGIQEAPATTTIITPSAFSTDPKRVALSTD FNPTTWKDPKDSSHWIVKLGRSNTGLEGGGGGGGDRTVDGGTVSSSKSNIKKTLEGRN SRRMSIADGTKEGFLSDLKRMPPPPPVTPMTSQKKQPIDLKGAKNVMVKSTGTGNNAA AGATKKQPGAYLKIQEFLELHYIRNRPDMEARDLIYGKDASAWTMARAAATAPGRARN GGLTEQAQEPRENPNMGRSDLVSVFDKLSKCGVKRILRLQVDDLKPPAHTDAAIELAL KGIESLQLPQGRETAIVFSSWRNQAPAEERQKSREPILVENW MYCTH_2123570 MKRKNKTTATTKGRLQVRPILPTSGSIWNGLDKKEVSTRKSGPW PAALPSPEGNEADENGASHFRTSNTSGKKQRDVVTGYSSGSRRRPAFFVGCEAKAIVH GTLSRVSGTRSTLLVYGFCFLSYPRSWEGCRVGGGGIIGQAGGKFAKTIEQTETYAAE VTGNRPPDEWGNHFEASWSLKENSSQPSGIRFLLDHAAVVRLLIESGADARVECGLYD GDRDAIESLSPLTLARRSSSAYEVVSALEVLLQDLRLDKDDAGKPKCTSCPDFDVCSK CYPTIKKYHHGGISDEDSPYEFRRAPRDANGEGKRYFEWRCFKGETWA MYCTH_2297473 MADPAANATPPPAETPAAAPAAGVGEEGGISKKAAKKAEAKAKK EAEKARRAAERAAAAAASSSAAQAEDLAKDNYGQETHETKLSPDVIEINLKTLGEEHV GKTVELRAWLQNARMQGAKMAFVELREEGNWSIQGVVAANPEGTPVSRQMVKWVGAIN PESFVVVEAKVQKPLEPVKSCRVSNYELHITKCFVHAAAPNVLGMTLAAANRPIANFS DEEPPVEQEVEKLSIAGEGPGIPAATMLTHLDNIVMHKRSAVQQAIADIRAEMKELYR SYLRSHGFKEFEPPCLIGAASEGGANVFRLPYFEKDAYLAQSPQFYKQIEIAGGRKRV FSIGPVFRAENSNTPRHMTEFTGLDMEMEIKKDYHEVVYMLEGVLLYMFREIKERCRD EIALVRSVYPAEEFLLPEPGKEVRLTFAEGQKLLREEGPEEFRNVSDDEDMSTPQEKA LGAIIRKKYNTDFYVLDKFPEGARPFYAKEDPTNRKVTNAYDLFMRGQEICSGGQRIN DPVELEARIRTKGIDPASPGIKEYVDIFRQAGVPAHGGGGIGLDRVVAWYLNLPSVHL AAFYPRTPKRLLP MYCTH_2297476 MGSKRDHDAVAADDSEPSQSSSKRRREQQSLRPKQVEGKPDPTY GQRAAFPGLDDDDSAQISDDDLEFEENSDALAYLRAVRQEASGVPHVLVAPKVGPQLP PHLQGDDEVDRSIYANGVGDSRGYYHDGAYTAAPDPDPSVDSSDEEGEVLSATEADRV AARKAALRKAYFASLTRQFLALRALLHRTPPPALVAALPRDHGTEVGSFGANSWTFRV WTRRIRHTDPLPVQIAALNRQSVLKLLRVILGGKFIRRGYELRERTSRWIWALLARLP DRGELDYAEVGWVRELGKRAVLMMMSIAQMEALKEEVDGDLEGTIEGDEDDDDDGDKD DDNGGEGFIGDMVVDEDGESGLAGPLPTESKARANVATNKVEENKDGEVEMNIDDGEV TDDDQPTTAGNEDLEADIAAAKARLLARLEEGSPEVQQDEELPTPADADAGQHQRGGD GENKAAVSEGTDPQVNLRATLNMILTVAGEFYGQRDLLEFRDPFPAV MYCTH_2297477 MTGPRTKRQFAGAASDPSQRSITSFFPRTVSSAASADAASKPVS HGALGGPTLPPQIQTDLLNVGMRVRKAIPEGYKTGGAYSAFTLWAEDSTSGSANSRST TTTPSTTFTSTIRELEPFCGLNKVGGLAYQPAYYSNNHGAATAADDNDLDSVPGLTSS QDTVASTASSQTTAGFRSLNISTATTTAAAALPSRKRFFTSDEEEAADDAQAATLPYR GPTRLSCDSQESWLLEEEISPRSLVPPAGLWENARVLAVPRRSKQKLAGRKRPGDVGQ ENVMVSVPQGGQDGNDFEEAAFLDYGLAGDLRMEMEEGRKGNSLDHYRAGRYGTTDGI GWRWPHLDSLHFAALHGVLLFGLVGTTHWAEGRSCIIP MYCTH_2313891 MVLAVDLLNPSPAAEARKHKLKTLVPSPRSFFMDVKCPGCFTIT TVFSHAQTVVICQGCTTVLCQPTGGKARLTEGCSFRRK MYCTH_2297479 MAAPVDQRIAVPIDDPNADTEWNDILRKHGIIPEKPPSPTPLIE EAILEARRLAHKNRLEGKDLSDLSDLEDEEDEAFLEQYRQKRIRELSELRQKSVHGSV YPISKPDYAREVTEASQNGPVLVNLTSSQGSNVESRVLSGLWRQAAREYGDVKFCEMP ADKAIEGYPERNCPTILVYNKGDIVKQIVTLATVGGVRMSMLDLDKILVEVGAVKEDD LRVVKRRRAAEDAEEENAAGGSRGIRTSSRVAAKRNDDDDDDDDWD MYCTH_2297482 MTAISLDVPQPAQLGRGQASAQFSRAATLAASSPSRHPGSFSRQ TTHAMSYLQAQYAEASASTSAAASSSQSNKNMNGASSAHAPALAPARPGQPLANDRPV TSPTPASTHRPSSAPGDNQVAVPNNRADSGPVEGNRSAATRPAKPPLLRSKSEHRPRF DEADDNVEEEYYDLGARHGFEDHYLSEDIIAQLANNWYMYFTDKRHETTGKPKSPAFE LQDWRMRDRLKTVSAAIAVCLNIGVEPPDQLRTTPGAKLEAWQDPTVPPVGKALENIG KALQAQYENLALRTRYKQYLDPSIEETKKFCISLRRNAKDERVLFHYNGHGVPKPTAS GEIWVFNKNYTQYIPVSLYDLQQWLQAPTIFVWDCSEAGNILRNYHKFVEKHEQEEEE QMLKDPNHEKTNYRPYIHLAACDSKENLPTNPLLPADLFTCCLTTPIEMALWFFVLQN PLKTNITPERARKLPGRLQERRTPLGELNWIFTAITDTIAWTTLPRPLFRKFFRQDLM VAALFRNFLLAQRIMPVYGCTPKSYPELPDTRRHPLWESWDLAVDMALAQLPMLERRE QEGIPYEYVNSTFFTEQLTAFEIYLTRGDAVSQKPPEQLPVVLQVLLSQQHRLRALIL LGRFLDLGPWAVQLALSIGIFPYVLKLLQSAAQELKPVMVFIWTRVLAVDISCQQDLI KDNGYTYFAAIMKPQETFPVVGVVVLDEHKAMCAFILAMLCKGFKPGQIVCNSTDIMT YCLHHIEHPENPLLRQWSCLCISQLWSDLPDAKWRGIRENAHHKLSYLIKDHCPEVRA AMAHAMTTFLGIPDLTDEVARIEEGIAWAMLELATDSSPIVRKELLVFFSHFVLRYEN KFLVAAYEQLLEEKEYQLFPPPEDGLDHKMGLHYARRENRERDGTISPSAFGVAHDSV FAACWKHINILSVDPHPEVQRDATIIMDYVHHALLHSPVGAQAQSLMDEILRRSRKVS RGDTSQRTTVAGSRTAAAQPMPSPGLLKRTASYLFGPLMGTLDASSNAPTLPATPGLQ RSFSQRSWKGPSLENAPPEQHDQAAPPSNYHVANEPLCAGYKERDPKETPTLPLVSTF LEWSTEYFREPQMKAPEAEEPGSNEYNERLWRRSRNEAVLRETQPQKTYARTHRWNSQ AGVIVTGSQPSKMTFHQFENHIAVADDGNTVTILDWKTNTRKSRFSNGNPEGSKISDM RFINEDDQALLMTGSSDGVIRVYNKYDSDEGVELASSWRALTHMVPSNVNSGMVFEWQ QVNGQVLVAGDERVIRIWNAGHEMCTHEIPARSGSCVTSLTSDQMTGNIFVAGFGDGA VRVFDTRLKPQESMVRKWKDENRQWVRSVHMQRGGQRELLSASRNGKVNLWDIRMEQP LKTFQTTKDVLRTASTHEHLPVFAIGTSAHLVKVFDFDGHEITRLEPYSGFLGHKAAP LAATAFHPHRMLLGCAARGDNHVSLYTCGNERVGPF MYCTH_2297483 MAFLFKSKKHQDRGLSSRDGSQGSGSAGGAAGRVREEKGSRSTP TGSLHSLDNDGSMGSPDQAYARQRGQSLDRQPQHQQHPNEPPYRNGTAPQLAANPNAS LYPWSQRRLTYTSSHPSPFPRYGAAVNSVSSKEGDIYVMGGLINSSTVKGDLWLIEAG ANMACYPLATTAEGPGPRVGHASLLVGNAFIVFGGDTKIEETDVLDETLYLLNTSTRQ WSRALPPGPRPSGRYGHSLNILGSKIYIFGGQVEGYFMNDLTAFDLNQLQNPNNRWEM LLPNSDSGVQPPGKVPPARTNHTMVTFNDKLYLFGGTNGFQWFNDVWSYDPVTNTWSL LDCIGYIPCPREGHAAALVDDVMYVFGGRTEDGSDLGDLAAFRITTRRWYTFQNMGPS PSPRSGHSMTTVGKTIVVVGGEPSSATTAVNDLALVYCLDTTKIRYPNDSGAAPRTRR PSDVSASTSARNTPSRDVSNGPSDTRRQTGVPNAPSGFRSPTGDSGAPNGQPNGPSRL PRSTGPPAPAGPPPQGQPPKPNSNAATSRPRTSSLDRQEQSPTSPIASAAPQQVSALR EAESAAVNGRRTPPTQNPPRSSSRQAENQPVDAPKAKSSKQGRSQGSVDSSTEPTLKQ AVPRPSSPPPPNRQPSNPLSRRSSTRNSQTVALLKELDAARSRNAWYASELELARRAG YVPSTSLSPVLDNRAMETFDDEDKPLIEALLAMRTELANVQASVDKQAVLAAKQIAEA ERQRDAAIQEAVYAKAKLAARVGGSANSTPRLDGEQDVDDRVSEISKKLAVALNAQKE LQDQLDRLSTEYEAERKARKLADETANAAHKRMADLENYRQQNASELERLKAELHLSQ REAREQSVAAAEAAASAEMLRVEKEEIEQKYNEAVGSSKEHAETFASLRGAVAASAET KALLERKLDEERALRETVETKLNKLKAEHEARTAELVAATQRLRDAEELAEQHANEAR LHREAVMAGLEKISMKDTTKSDKGESERIRTLQSQIDAANALVRKYQQEADGAADKLR GAEERIAGLEAYQEQASREGVSIRRQLQNALREIQSLQAGNSELKQQLAKQQQETNAL LIQYNALKEILGERGISPTAAVRSRSSPRNSPREGSPEQMRLRELEQQLTAARAALEE TRNQAAAQAQESESTYRDKLAQLENDYQSAVHYVKGTEKMLKQLKEQLSRYKAENAKL KEQLVELEERLEAEPSSPKGQQGAADWETQQKTLKAEVERLQAELHDTASNLEEQLKS LRQELADAQRERNATLQDNEEANRRLEAAKRDLEQLQQENALLERRAQDAEQKVSTLL DQVELSVDNYRRRSRQAPSVNSETTGLGGSGPANGNGGSSGGSKHHRIPGHLRQESTA SESESLYDPSAPGAHGDTSHLSLGDARNSAALDSLANELETLRSHWEATNKNYRLSNT FDFDANPVTAAAAANASSGRKDESGAGLGLSESLADWRKRLDESHPDTPGGK MYCTH_2297485 MAPPIVSATIQTAIISAISNILAQAITAHQTGTPLIIDPIPVFQ YALFSLLSTPPNFLWQDFLESTFPAYGPSASAAPAPAAGSKDAKGAAPAAAEARPRLN KRNTVVKTLLDQTVGMAANTVLFSLFMHGIRQGMAHHYAAREAAGDGDARFGGLGLGF LLGSDAVRYRDVAWASVWAKAKGEFWDLAKAGWRFWPLVSLVNYVFVTSVEARSLVGA LAGLGWGIYLCLVTGQ MYCTH_2132318 MQRATYGRSPPLHHPVPQHVSTVPQLRSPPPPASQPQSGYDGSP YPQQQAPSAGPTMFGQYGNFINDPAAQLATQFGQSAFRQGQEYIEQNVNRFVNVSALK HYFIVTNSYVINKLFLVLFPWRHKPWTRRQATGPSGQETWYLPPRDDINSPDMYIPVM SLVTYIFLQALISGLKGQFQPELFGYIATTALVAVIVEILGLKLGCYLLSISNESQLL DLVAYSGYKFVGVIVTISVAEVVNGGKGTGGWVGWTVFIYTFLANSLFLMRSLKYVLL PENNNDNRGPMQTMHPLDSRAKRNQRTQFLFFYSYIVQLFFMWILCRS MYCTH_2297489 MLDAFEIINTSGVVLWSRSYAPVSPSVVNNFISDVFIEEKSTVA GAKDGASAASNPPYKHDQHSLRWTFNKELGIIFVAVYRSLLHLPWVDKLVDNIKAIFV SLYDDQLKKPNTTIVQCVKFDEYFDQQLRELEQAGNKSDARAYGDETVSGSIKDEPPL PSALSNHAKAQNKSSAESSPVPTPNVSRPSTPSASHLLVAKPGPGAKMSRRARKMQNN NPVPPSSGDEATGRKGKPAKAAKRGRKWDADGLADETDDVQLDYSVHASTTDNEAVAG GRPGAVEEVDASTWGSRTKGKFVLRDLGDEVHSILADAEAKNKAATETEASTGLVGSS LSAIGGLFRNVVGGKTLTKQDLEKAMKGMEEHLLKKNVAREAAVRLCEGVEKELIGVK TGSFESINSRIRKAMEASLTKMLTPTSSLDLLREIDSVTSPPATSLRKARPYVMSIVG VNGVGKSTNLSKICFFLLQNQYKVLIAAGDTFRSGAVEQLAVHVRNLKELTAREGGKV ELYQKGYGKDAAAVAKDAVAFAAQEGFDVVLIDTAGRRHNDQRLMSSLEKFAKFAQPD KILMVGEALVGTDSVAQARNFNAAFGSGRSLDGFIISKCDTVGDMVGTLVSIVHATNV PVLFVGVGQHYSDLRNFSVKWAVEKLLSSA MYCTH_92074 MASDLHGKPARSGDYQQQQQPQQQHNFADLSDGPPPSTLAAQLV QNISTSASKSSRPDETAELKHIFATIEKVKNDPGCLTTHDDRVEHNHLLVYVCGGVFL EGLKPDDAFADRERLRTDALKAINFLRVTIKETPTVLKRTTNGNTFLRRGKEPLWAWI LPRLLRLLGHRRCLGISRDVEELCRYVLLLAAEQPGLWDLGRSLMGYFQANLSAVIAR FKQTLPGSSESTIEIRLPPRALLDALPDGAARGCTYTLRDAEHLLRHALSILVVIKGV VVQEPGSQASLLYGQDTVWFLDALQTLGAILINWPTPLGVSLAPVLQASIDVVEAYRL SRSPDSALYHKGCATLSLVCAKFLRNPSALLAEDDDGVSLRRTLCFALIHLASALADH APTSRLITSGLLPLAHRLISENPVIGTGTDVWRAVQLLTETTTTSPPEGSGIEIDPGN FVDSELRSQVQSMVLRQGNDRVRGPIAKRRKVDDGDECRKVDDGESSPLLELKGQLCR LVKADSNSPLDALETHILEQLPLMDGEDQCQLIDLVSRTACAADNTLKLVRSKQSPMA GYLCSFCSETNPDVFPVAPRCEQLADMGISTFSKIIKLPKFLESRRLRVLAMIALRRL AKHSTTSEFWDLEKSGPGQWCLQSLQSSIRELRIAAGRTLSVFISEPPIPGFDWAVLS RNRANALGFLKSVSEKGAANLNETCIMAWAQVGKAVSVDGLNLAVIKLVEYLGHRNMI VSGLAFSEILNLAESRGETPAQLFEPFWGNLAFSVVKDLVTKPQTAQLVADLMQISVS DLLRMLQKHALPWLVLTKKREVIQKIAEARGDKEIWETCMDANNLPSILALLLIQDAP DIAAHTMSLLGHISPRVNSFELAELLRTDPLPIALELFKAAADASEARKARVGPIRYG RDIKETNSTQVREALTTIALLLADQKDKDKKKKSQVVGRYLQQHALGLTARLSEVIND PWLMHPPVSEQRRCLGAMEEMIRVCNSFVCIARPQISACLISALASDELRSAAFSCWE VMLTHMEEADVEALIETTFFIIGYYWKLFDSSTKKKAELLILNLLKDHRELLAHHAHY LPSLGNVDELVEIRQALDRLKEPLDNREAFAVFAKRISHEIPGVVEQALVELCAFLDR HQGYLQTSAVSEQPDSVIAILIRSLLDCSAKYNGWHVEIGRFCAQAIGLIGCLDSNRL ETEREQKQFVVTHNFEDAGETTDFVAFMLENVLVKAFLSTTDTKFQGFLSYAMQELLG STGFRDSCADRGQGSSETIYRKWLAFSEKTREVLTPFLSSRFVVAPMPRQATEYPVFK PRKSYAVWLRALVLDLLRNGQNLFSQTVFEPLCRLIKVKDLTVTEFLLPYVVLHVIVG QEEKDEFRKKISAELAAVLEYQPPETASYVEKEETKMFYQAVFRILDYCMRWKQVKQS QPGLTEKGQTWIKWVDEVIGSLDPELISQRAVDCNEYARALFFLEPHFENREKKAGKE ENDRILQSLQDIYTQIDDPDGLDGVSARLQHITLGQQALNHRKAGRWTAAQTWYEIRL AESPDDADIQLDLLTCLKESGQHDVLLNYVEGMAANANTVNRIAPFAVEASWATGRWQ TLEKYLRLYNAGDVSEVFNLGVGQALLCLKDGNVDKFKEHIQMLRDKVSGSLTYSTTS SLRASHDAMLKCHVLGDLELIASDKFKGDGDRQSVLLALERRLEVLGAYVSDKQYLLG IRRAAMELMRPRFTNEDISSQWLSSARLARKYGSMHQSFNAVLHAQQLGDGSAIIENA RLLYKDGHHRKAIQILQLAISTNSFINDSSTSVISVPPTSSKGPEAQRSLLTARAYLL LAKWLDSTGQTHASALRSQYQQAAKTHPQWEKGHYYLGRHYKKVLESEKALTPDDQTD EYLTGETAKLVIENYLRSLSFGTKYLSQTLPRILTLFLELGSQVDKAPDGKISLSQEL RDRRKIILQELSKQFQKHITRLPAYIFYTSLPQIVARIAHPHPEVFRVLEQMILKVVE NHPRQALWSLFPLMAANQAGERRARSFHILKAVRSINAKGDGFSEGLGVLLRKGEKLA EQLLIAATNGSFPNNKTSTASITKDLNFNHKCTPCPLVLPIEACLTATLPTLTDNVRK HKPFSRDVITIDSFLDHVLVLGSLAKPRKLTARGSDGKLYGLLVKPKDDLRTDQRLME FNTLINRSLKRDAESSRRQLYIRTYAVTPLNERVGIIEWVDGLKTLREIATKLLTSRG IKIEYNEAAQMMNRALLSDANIRIFTEDLLGMYPPVLPEWFIAQFPNPSAWFAARLKF TRSCAVMSMVGTILGLGDRHAENLLLEEGNGGILHVDFNCLFEKGLHLAQPERVPFRL THNMETAMGIYRYEGPFRHCSEVTLRILRQQEETLMTILEAFIYDPTLDLQNSKKRPQ KGPPLNPTRVVETIRRKIRGLLPEESIPLGVEGQVEELIKQAIDPKNLAAMYIGWLPF M MYCTH_2297494 MSYRIEISPSGRAGCQVSACKKEGKKIAKGELRLGSWVEYREQD RGGWQWRHWGCVSGEQVVHIQQKIGKDSNGEYRWDAIDGWEDLEDRPDIREKIKRVIR QGHIDPEDFNGDPEMNVPGQKGIHRRQKKTKTEPEAEPASGEEVKDGNKDEAEREQKG AKKSKKAGSKRHRGKTEVEDEEADEPEPKRPRKATGKRGREEKHEPDENADEPAPPVK KAKRASKKDSMPEPAAKPENSKKAAGKGKGGARGSAALKEESEPELPPEPTPEKPKKE GRGKRAAKVAVKEESDGVSGAEPEPEPEPAKKKASRSKKVKKEASASPEPDTKSGAGV ASTSAPKSGASKPGKEEEEERGEENTAAKTKKGRKGSEKKSVPMLKGRARKAAV MYCTH_2076744 MSRSRVEFEEREHYREQPRRAVAREYDDVELRVRERSRERVNDR LPYFMREENRRPEPGQLVLRQREVETIERPRPRSPSPVRVTQRIVQRARSVSPAQRRV EEEVRFQRVVREPSRGPTERIRIVQPRSRSPSPEVRDRIRIVEREKERAPSPAPAPQP PTPKVIKGPIIEREVITHYRDIDHGVVAAPRPPSPPPARHNRDTEIDIYTSRNQTEVD IHRHGRGRSVSRERTSRRALQTWDDDVVVQSDRRQLQVDIEHWRSTSRGRRAHSAAPP AIDYDDEALEITRKIDSRGRMGEAWNGITKDWSIIDVPPGTERVRLDGAGGASAEVTW QKYSGVRRTKFIPERDDKSSAVSSSTSLSELRAPAERERRLSVHVVDNDRAVSRERNV DVEKVTDRRITIRGSTPPPRNRSETWTEITKDLVCREAIEQLNYEYEETDYFYYIVEY LSYEDVVRLVNLSDRIRAARKERAREIAYEREWRDDWDHRNHHHHRRRRYSVDYEDDR VVEREITYETRHPGRGYRH MYCTH_2142129 MDDRPFSLQPRTAPQQGPRSISEFIQRANAQPGGFRALNWADVR RELEASQNGGGDHDVDMTGDGSEADSETAETKDIAAAREEILRAIHQTHQISMFALDF VSLLLSKENPALAVSTLSPGLRDMVGIGTLGATMLNAPTPVAQSRVPDHKMVSIGKRL MDLNRAADTALATSKRLQREIDLETKYWSEVLGVGEGGWQTFRLPHEPQTLGVKFGFS NTAPEFKASGIAPLRRAEDGSVRLEHGVMGRGSKRIQVKILEKGVVVGKSSLPPPLPP DAPLQDRVKESRDTVFAQELWYEVNREARSGLDDIVRIGKSTATYDLDPATSISLQLV TLGEEDATAEQQTGPQDAWADAVSVILGLLLTNAHRVNELKRSEPTFKKGPTPPYFIL RPLIAYHRYSQSVQKCAEFLTALISVLRSAGVASSVAMREPPLALSPGNSRTVTPAST SLATLLLKPPAVHFDLTITPTSRLRILLKPALLSGASYAVSMLPPLQQPSQPPHSTAV NPLPAFCPAASEDYLYLPQLVTYLCEAVPCALAAAYFERAVTLPGRQRAAAAAQATQD DSAADQEGGQQQGPREGEALWGMDVLGKGIVDLDTGGEYGVHFDIVREEHDGDHKAAG AGRLELRVKADYLEPTAGEGEMVGGVGGGGGGGGAKKVHREWRWPGAGESVGVVVKQV LSNGPVE MYCTH_2297503 MAAKKKIAIMTSGGDSPGMNGVVRACVRMAIHLGCDAYCVYEGY EGLVRGGDLIRQMHWHDVRGWLSEGGTLIGTARCMAFYERAGRLAAARNLISHGIDAL IICGGDGSLTGADRFRAEWPSLIQELEDTGEYTALELEPYRHLNIVGLVGSIDNDLSG TDATIGCYSALARICHAVDLIEATASSHSRAFVIEVMGRHCGWLALMAGVATGADFIF IPEKPREDNWREEMCGIVEHHRKLGKRKTIVIIAEGAIDREGNKITPEMVKDLLADKN GLGLDTRITTLGHVQRGGTAVAYDRMLATLQGVEAVKAVLEAKPDTPTCVITINENKI VRKNLMEAVKETKMVAKAIENQDFDRAMGLRDTEFSEQYRSFMMTTAVQIDHKMLLPE KERMKIGFINVGAPAGGMNAAVRAGVAYCLSRGHEPVAIYNGFAGFARHHDDKPLGAV RPFDWLEVDGWASKGGSEIGTNRELPSESGMEKIADLIELYQFDALFLIGGFEAFHAV CQLRKARDQYPSLCIPITLLPATISNNVPGTEYSLGSDTCLNELVEYCDKIKQSASAT RRRVFVIETQGGRCGYVATLAGLGVGASAVYTPEEGLSLEMLAADVRHLKSVFAKDQG QSRAGRLILINEKASRVYNARLIAEILREEAHGRFESREGIPGHMQQGGVPSPMDRCR AVRLAIRCIQHLEQFGRSVHNRVKNDPMSATVIGIKGASVVFTPVKQVEEEETDWPNR RPKKVFWKDIGEICDILSGRPKHELPEPDLKGLKAKDVKRGIETL MYCTH_2297507 MALLVGRLLLFLLGAAVSPAWAGSISAWYTAYGPQVILVNDTTN EIRYTACNSNDKPTYSYIDDYSLTLDIKPKIGTPLTGVGWYDNTMTQASIWYLDETNN ITNAFFNCNMTTGQFLLQGNWTVTSGAPSVHSNSGLAAVVLGADTGYRVYFHDEDGAI NELFYNRDIGWEYWGPISQDINSLPALGAAFSGRENITVASPRDERNIAATRWNKDET WYRTTLPHPLALVGGFATAETARDDISLDEGTPANFTLPAWDGKTKSIGVTIDNVYTR FLWYIGNDRNLYLLANQNYTWGRRASQPNTVWPQADDPNGHLGVAYDMFTSRVWLYYF VQDRLGEVKYADNTWQSWSALQEPPPLPTPSASTDDPTGSDAGLSTGAKAGIGVGVSL GAIAFATIIAIVILARRKKQASEHLAETEAGSTTLGPRTPATPDGSPALAHALGAQKY GYDQKVAPIRAQELPSEQQLQQLDGATRLEMDSNTARAELDPTARGEMYAQSQPIYEL PSHWSFHEQPAEQPKQWLEPHQQRQ MYCTH_2297512 MDSRTSDSSPSSTVSHTIYDIPALLDELAIQRTVLASLINDFPD DSDPAVAQEVERVKAKIANLRRQIARPKGKDQPGFPTMEGKEDGRFHSTSTPSSNSSS LDMSLGEGQMQDSGIPTRKRSIGSSHLAADSPSRSAYKSRRTTPTPAPGPSDFTFEAF CDVPDDQVIDLTCDDDNVWRLAIQRQKEAEARASQVKAEVDRDAAIARAFSGRASPDP NSPTSASPSQLGQNNALNRILDRSSQSSSWASGVPDRTKQEPGLTPAARPPRSVKAEP IDLTGGSADGRPWKHSAVMPEMAQNSLRTPVTYIDSDDETDASGGATSRTSIGAGPQS SRPSLPSLPSVFSRPGSSSSAASTPVLPSSSRNVTSGLPAIELARQTSMARQEGRLWP PAAPIGQRLHTISGSSQGVLNGYSSGLTPPAANRPGYVSNGAYYTLSGRSAFSGPSLA ETINRVNKYDFNSMTDMHGNPLNERLTTFLDDYVNDPRKTEEDIQKLLSNIRPDMDVP EEERGETPDAMKYPLYPHQQLALKWMSDMEEGTNKGGILADDMGLGKTISTLALIVSR PSTDNIKTNLIIGPVALIKQWELEVKKKLKSTHKLSTFLFYSKKRPYSELKKYDVVLT TYGSVAAEWKRYNQHVAQRNESDDYREEDDMELFNKCPVLHPRSRFYRIILDEAQCIK NKDTQSSTAVHRINATYRWCLTGTPMMNGVSELYPLIRFLRIRPYSDFKTFQRTFRGL SAKGYVSDYTRDNAMRQLQAVLKAMMLRRMKDSMIDGKPILTLPPKTENSEHVVFSDD ERQFYQDLETRSRVQFNRFLRAGTVGKNYSNILVLLLRLRQACCHPHLTEFESTAAAI EDIDMESLARELDGTVVERIKAIEAFECPICYDGVEDPLLVIPCGHDTCTECFTSLTE NTAQDNIRLGDENRAAKCPVCRGPVEPKKVITLTAFRKVHAPETLEAEDGVLDELSEI PDSENDDFTSDSETETDADQFGNLSGFVVPDSEDGDGDGIDGAESDTGLDTAATALNG EKGERPLGERDAKSSGKPATKGRQRRKAKEKATGKRTAEEIKPHMLKQLRNDADKNRE ARRRYMHYLRDNWEDSAKITQVIELLREIQETDEKTIIFSQWTTLLDLIECQIKYKLN LRYCRYTGKMSRNQRDEAVRDFIENPRSTVMLVSLRAGNAGLNLTAASRIIICDPFWN PFIEAQAIDRAHRIGQQREVKVHRILVKETVEDRILALQESKRKLVEAALDEGQSKNV GRLSERELAYLFGVNPARG MYCTH_2297515 MSLQPLKTTAVGGRDPSLLSPSEYDADAISIRSDQDTDSDDDER QLRARNSRELRAHDRLVLMEEEELDHLVIENRRKQERQRRGSGLPIPNPLRLLTRRLS DVSPSSSRSPSVQPGESVDDLRAEKRRARRQRREMKRDRLLADAQHGEDGELMYEMEE GGMKEGSSTGESSEREDSDEVDRKHLLHVTDTKSKRKRNCCRWVLFYAIIAVAFAILV LVAWKVSLDRKTTRYSQKLVSNGTAMFAPTTIIISLDGFRADFLNRGLTPRLNALVKE GVSPLYMLPSFPSVTFPNHYTMATGLYPESHGVVGNSFWDPMLREEFYYTDPSRSMDP KWWKGEPFWVTAQKQGLKTAIHMWPGSEANILNVDPTYLDKYNGKELLPKKVDRILEF LDMPDSDRPQIIAAYVPNVDADGHKYGPNSTEIRSTIQKVDKMLDDLFKGLEERHLAD IVNIIVVSDHGMATTDVSRLVQLEDLVDLSKIEHTDGWPLIGLRPKDPADLKDIYNGL IEKTKGNPNLEVYLRDVDMPARYHFSNNQRIAPLWIVPKAGWALVKMDEMNLKEAQAQ GAVYHPRGLHGYDHEHPLMRAIFIARGPAFPHQPNSKLEVFQNIEVYNMLCDSVGIEP APNNGTLRLPLKPIGLHDDSATDGLDTPADPVEAHTISTASTSSAQTSVPSKSVGVDQ PVPPPRPTQAPSGSDNGGESDSDDDDDHKDDSSAVDNAESKVKEFWDWFTGKVSTWWG KVTGNGKEGEDGGKDNSSG MYCTH_2297516 MAQPMGLTASDIEFAEATPEQRRISWELCSRKWAAPMSVDDYVE REQHMAEHELNRDGGCRYWVLYLKGYPRQVIASCETLRKPMFIADCAERRVREAHGYV VTNVYTNPTYRRQGMAAFLLRRVQERMDADSDCSVLYSDSGRSYYASLGWLPITSHQA TLTLLPSTSSSPSTTPSTPPRRPQTRPLNLSELPDLCQKDIAHLTRTLTSLVTATAAS NNNNTSICFLPTYAQISWHLARAKFDALKILPSTTMATTPLTVGAVTLPSQQGVAWAC WSHDWRNKRLRVLRLAREGDSRVEGGAGKEDEVLEEVEEGSDVAVDETACVDVAALLE AAVAEARQWGFLEVVVWNPSDLVKMGCKSVGNALPDEVKVVFEQRVEGCVPSLRWKGG KVLAGVVWEENHGYCGC MYCTH_2297518 MASIEIGATSWRRVQVGRVLKLENGSLAAIVEIVDHKRVLVDGP SSDPKLATPRGVVQLSRTLLTPIVIEKLPRGARTAAVKKAWEASGVDAKWKESNWAKK QLQQERRQSLTDFDRFKVMRLKKQRRFEERKALAKIRAAA MYCTH_2313899 MAEPRVEEVPEEETKKPTVEELDESSSDESEAEAGGDTSIPAGS TAVIHSRNEKKARKAIEKLHLTRVPGITRVTLRRPKNILFVINNPEVYKSPNSNTYIV FGEAKIEDLNASAQAAAAQQLASQTAEHDHAGHAHDHKQEAAKEEEEDDGEEVDAEGI EDKDIELVMTQANVSRKKAIKALKENDNDIVNSIMALSV MYCTH_2297526 MAARSAALKVDWAKITTSLGLRGQTVAGLQAFKKRNDDVRRKVQ LLSEQPTTVDFAYYRSVLKNQAIVDEIEKRFNAFQPATYDLNRQLKAIEAFEVEAIKN AEATKAKVDLELKDLEKTLKNIEEARPFEDLTVDEVAAAEPSIDEKTSKLVSKGRWSV PGYKERFGDLSVL MYCTH_2313902 MAVFQNRKYRSAAESNTFGARYRAMMAKRPFLLFGLPFMTVIVG GSFALTPATAIRYERHDRKVRQMTREEELGLGKSGRKVDIREEYYRLAAKDLDNWEQK RVKRLKGESDGIL MYCTH_2313903 MLCGLQPTSSNHLHSFLHGFRRHRNSRQHRSDSSEPLENQSEMP RRHQHLASVAGSPARPSIDSTSSPRTDLSIDWDPLRLHPSLAPAPSAPLRDAFSESSS RPYLPHELRLARSSRAAHQPPSSPLHGRNPSSETVIYDGFNFGFDNSDSNRNHSSNNN SNNSNYHPTPALTKFTNATAPHSARRRAPSLTPSDASSECSLTFSGSSAADDSWPEEE IGPGGGLGLAPAPPPRPRPRPRPRTYDGGPEDADYYFRRGGWKRRGIVFVDSGPTLAG EDETFEI MYCTH_2297532 MPPNNDPNSRETLAVHTSLLFDPIQKSFLKNVSVEVNTKTGAIA RLYQRDSSHLPGPLSEHDIDLRGKVVLPGFVDSHTHIFLHSDKERLHSHQMRDESPVE RTVRAVNHARAALLAGYTTYRDLGTEALGNADANLRDCINRGLTPGPRLLVATEALAS NGSYEIRTENRLARGSAVGLTLPRASDPADGVWAVRAAVRRRVGEGADVIKFYADYRR RVMRFPPDTEGPGGRLLFPPDRRERNPALPLYSQEEMDAIVAEARLADVPVAAHAAET STALMAVRAGVTTVEHIFADTSRCRDEMVAEMAGRGTIWVPTLSTAEEGFDEHKFECC KRAVKEAFDRGVRLAAGADTGVFNHGRNCREMEIMIEAGIPVEDVLVAGTYTGWHACG GDASGFRFGWWDEGNRADIVALDADPREDPKAFRKVSFVMKDGLVWKRDGRPVDAFPA TQWPEEEAAESAEESGTLREPAAIPLRSALCAASTASPSAPSFGGPTPATSSIKGSRD PTPAATSDVGWETDSFDVNLG MYCTH_2115472 MQSNVGNRQVYEAGDQRNPKATETGSGTRCNKGTANSHLQNDSK DQRSLSKRAAAEVSQQEESHETSRHKKDPTLPAKAHGNEPSKGAQIDAELQAEDEELM KKKGQSLTGKKM MYCTH_2076770 MFRFHKTLDIITLFHKASSPASVRLAAALRQASAAASETSTEDQ ASDHSAQSAAVRRPEFKLEITESLPTADQLRTILDYAGKQRVGTIVKGASDEQDALRK FKENVENLQRPVVVDWNNGKVAAGENESEILKMLNDLPK MYCTH_92091 MAPPGSVREVLLPHQSKVDWIWGLAKNDIAIPQPLMGFYWNMPQ DAPCILSLPKARRCFKRGEIPHGRRSVAWDDGYVCDAAAALRVRRGPKMNTLVRPRTW EDMYQYFDAVDLWTMGAWNLWRVVHFACDENEGAPGEPSSSKFAAFEVVDEWAYDWCT HEEHRTRLSAWDERSDILCVLSPAEINNVSCCGVQELSALRGALRYWSRFYKNQSRQG DNGQGVSAVSASSSSCQDAQDSSPARALDGTYELYRLDFFSPLVSAPELEAYYGETEM ANRVTDNDIALSRPEPSSIAPTSRPRRRSSSLSLPRASSRRDDGEYTYVANPAVVIMN GTTAARTHQLSSGSRLAEARTGEKQGRGHKHSKSGPAPAVRPAHSLATRGAGVEGPGP SRLPSSSQNLFSRANGASRQPNQPTQPIPGSVEAGIANRTGHDPHPRSQGSGHRARCP NHGRRNHGSDFRFAPCSCQQCVEKARSVHIAPLEIGDMSNREARAAIAEHLSQWGHVE GCELKKSYRDNYYALVRFSSEASAHLAVRRSKEDVRGHPRLGCFTISYPLRSKHFRPE PRPRLGSSGSHHSAGNGSPSSGGTGNLSSARDGGSPRYGGGSQGTRQSFSQERQLQQR FGRGSRSHHHQRAERALLSSIRTPPRRSLSHQFPDPPHPAAPGAKRSHSMMQPGQLQL QLQFQYRNQNQHRHWPSSSAGTFSGSPSCENGPWSSHHYPRGAPSFNPHLPPPPLPGH YVPPPPYQPFFSSPYPHHPWPDMSMPPAASSYYPPLPPPPPPPPPHAQHYPGSEEARH PGFHCAARSPQPPLPQPAYGCGTQPQGHSSPTFSAQTKPPSETEAFGSYPISSNTSTH SAQSSIASTSVKVRLPEFPEDKHFPDTVPILPSHNKVKEDSPVRRINFGDVSSSEMDT GGPAPVTVPDRIRARPNSSVRRITFGDFGPPETPGSTTASPAAAGRSESTTPTPAAAP APPSAPPAGSAMTCSGTQVLTSDDDTRAPRGPHAGRTQQPTPSTPTQQQQYINGCREP HVSHESKPANAENDHENETGSEGQLDKPTTLPSRQNVGDVRLDPEYNATVIRRPPRHG RVYSSWIGDGFPPVAQPTYPDASSQPQAGGINAATWLQAQDETSPSQAWNPAVAPFAP APRPQHYQHYRPGEPYMLKQKGKKRRNKYRNKSRLSSQTATRSSTPIPEAANHNNALA PQEAAAGPSAFANGETSARSSPGQHQDTTIQPLLLQSGEDHQPVVPQPQQQTPGDGGI ANHVEEAIDGVETRNGMLKRDRKGKGKAASPSRVAVQASSKGGGDWLDEHAAVGNGGE SSSSTTGKGWKKSAGHGSGSGGGGKSWHWARKKAAGDQSGGEKTAPPPSVGETRQDGN AAERKNGAAHTVKELAHDTGEDITAAAAAASEAETADKTDTTKDNEPAIITTTTTTTN NNNNYNYNYNAAAYAKRAGAATRPSAYRANAGGSLRIPRQRLAMHGGKKRPPPAARGD EQEDHEEEDHEEQQDRDAEAEAAAAAAAAVITAAEKSRGIPGKKKKKKGTSKKGNKKK TKGKKGNKKNNHNKKKKKKKRQQKGKRKEPASDLDGQGEEGDMRIKNWLAETPGGKPP ARLLVVMLFLLLTGLVQIGALWDWLGPGGRAQVSFQS MYCTH_2297538 MEQEQQIVAQLEGMDISGNRPGPRGPNGPPQQQRGPMRPPPNGY GRPGPMGPPRGPPQGYHPQDYPDQRRGPPPVPGYGPQGGGYQEENFGPPPRSMTMPAG DQMDMRQAGPLMRADSAPYRGPIGPGVPQRPSTAQGMRQPPPRIYPPNNHGPPPQEAR RDGPEEAGHDSGYGQDRPSSVDDLYDYYGGNDGYPAHNPPSAASDVPDFDAMPSHRPK NSLDRHMQPIQQELAQRGPGRHPEMTRAKSQPNLRNSQTAVFEMAGDIPPLPTHQPGG PQSHQEPGPGPAPGQGLPPGPAPYRPGVQQPSSQPGHNPDSLPSHPTPVRPGHMPGSV VNLNDRPPPVRNYSGTPGGYGANTTSPPAGGYQQGPPPDQAANGSGAQPAEPPVTVEE LEHLRATVKNDPGDQATALRLAKRLVEASDALVPNLPDPKARARSRERYLVDAQKILR KLEKANNPDAMFFLADCLGRGLFGEPDNAHAFSLYQSAAKLGHAAAAYRTAVCCEIGN DEGGGTRKDPLKAIQWYKRAATLGDTPAMYKMGMILLKGLLGQPRNPREAISWLKRAA ERATPENPHALHELALLYESAEPNDVILRDEAYAFQLFKQAAELGYKFSQFRLGCAYE YGLLGCPIDPRLSIMWYSRAAVQEEHQSELALSGWYLTGSEGVLQQSDTEAYLWARKA ALAGLSKAEYAMGYFTEVGIGVPANLEDAKRWYWRAAAQDFPKARERLEDLKRSGKSG PRTRERISRSKIGKQQEGECSVM MYCTH_2297541 MAKKPVNWWLWTKMLVVGGAICVGGPALTRWVQPTDEELFQRYN PELKKRSLERRVERQQEFDDFVTKLKEYSKSDKPIWIVQAEAEKERAKQASIAESLKL AEEVKARREAIRREAGLPTESTSSSDTR MYCTH_110717 MADVQERLKKLGASARIGGKGTPRRKVKRAPARSAGDDKKLQQT LKKLNVQPIQAIEEVNMFKSDGNVIHFSAPKVHAAVPANTFAIYGNGEEKELTELVPG ILNQLGPDSLASLRKLAESYQNMQKNEKEADDDEIPDLVAGENFENKVE MYCTH_2297544 MKCLPASKLAQANGVALHDWHAEVLAIRAFNRFILDECHRLARD DAAESDFLRRRTQEELPPISNGPWHHQPFAWREDLTLHMYCSEAPCGDASMELIMSSQ DDATPWEEPSPLCPSPSPASPSSSTALSPSFSSSSSSSPPPPHHHHHPPSSSEPTLLG RGFFSRLGIVRRKPSRADAPPSRSKSCSDKLALHQCTSLLSSLASLFVSPRGAYLASL VLPASQHSPAACRRCFSAEPPDGRMAGLDKEGPAWRGTGYGFHPFRVQATEREFEFSR RGIRARSTDGAAGGGRGEEIKVVASNLAVAWTVGGEVEEGLIGGVMQGRKGFDVKGAS LTSRRKMWAAAVEVAGTLGDKVISEALAMGSYDGVKGGHLLEGRRRVKEQVRGEVLKG WLRNTGDEDFSL MYCTH_2297548 MRTYDDSFSGQRIYPGKGKLYVRGDSKIFRFQNGKSESLFLQRK NPRRIAWTVLYRRQHRKGISEEVAKKRTRRTIKSQRAIVGASLEVIKERRSMRPEARS AARLAAIKESKEKKQAAQAAKKAEKAKNAAAAAKGQAAGRVTSKQGAKGAPIKVQAKS R MYCTH_2297550 MAPLEVGSPFPEGVTFSYIHPTGSLDLTSCGLPTKFEASKEFQN KKAVLVAVPGAFTPTCQEQHITSYIANLEKLRAKGVDSIVFIASNDAFVMSAWGKANG IKDESILFASDDGAAFSKSIGWASGDRTGRYAVVIDHGKVVYAAIDTQRGSIENSGAE AVLAKL MYCTH_2297552 MATESKPPFPPFTQETARQKVKAAQAAWNTKNPELVQFAYTPDS IWRNRDTFLQGREAIVDFLRKKWAKERDYRLRKELFAFTDNKIAVQFWYEWRDETGQW WRTYGLEDWTFADNGLMKKRQMSGNDVKIQEDERWFKDGVDVDTVEISEKHW MYCTH_106442 MEAYRATPFTPSGLTPPVGFLRRSVSIGSLKGKRARSVSSALPT RPGLTSSQPCTLCDFMDYLVHVERSAENLQFFLWYRDYERRFAAATTADLSLAPEWTQ EMEDGIIARLKKEHADKIRRASKDARANFGGADIEKGNDKRNGSEMVADKYHQLATRA FASAGVKDPLRIQPFRKEVDRIIANYIMDGAPRQLNLSEQEQKTVLQALSYTTHPSAF RVLVRLVEATLREQAHPNFIRWSVCNGNPLRLTFALVLGVATVLAGVAVAVILTLSGA GRGYRALAAIAWVAGFATVMAAHKGMCLILHGLHHRHIRPWELFDSEPREDDRDGDPI DAASRRSLDRSGSHGSYEDEPWVIRYRKRHMLRKIFDREVRIEEPALRRIQDTIIARS LLFALICAAILTAVFVAVPSGGFF MYCTH_2297559 MPSEVDQAEPPQRPLPEEAREQRRHQPENSAGPLPPTGRPRTAT ADTAVIDETLSHPGSVCINVKGAFIVDQDTATPTTPDGRSGSPGRHETKDIRLPNHTA VVSHIAVDIGGSLAKLVYFSREAHSTELGGRLNFASFETERIDECIEFMRQLRDKHLE LNGGSDESGSSKRPASELCVMATGGGAYKYYDKIRDVLGVDVLREDEMECLIIGLDFF INEIPREVFTYSEADPMHFVEPSENVYPYLLVNIGSGVSFLKVSGPREYQRVGGTSLG GGTLWGLLSLLTGARTFDEMLELASQGDNTRVDMLVGDIYGTDYSKIGLKSTTIASSF GKVFRKKRQAEQAAEDSGGQRHKNYRHNHPDHNPSSPSEEHGRTTPSPETTHDESRPF NAADISVSLLYAISNNIGQIAFLQSQIHGLSHIYFGGSFIRGHPQTMNTLSYAIKFWS RGAKQAYFLRHEGYLGAVGAFLKRQPRNWGRRGSFEEVAAPLELRLKAERGRAAEWSD AAGPAQGDVPGSLNGKGSEAVE MYCTH_2037236 MSPTSSIFRAARPAFRARPFFFRPKLQQHQAGRARFQSTTAGAT TESWVKRMWNSPVGLKTVHFWAPIMKWALVIAGISDFTRPAEKLSLTQNIALTCTGLI WTRWCLIIKPKNYLLAAVNFFLGCVGVVQVTRIGIWHQSQKRLPQKVEEAKEAAV MYCTH_2297565 MSGRYAFAKGLKELRFLFCQTGEHSSATRSFLTRAYPIMKKNNP TIPIMLREAQGTLPRVYARYGPSTSCFRDEPWDLLANN MYCTH_2107416 MAKLFRSRHSALKPPFDFDQSAYLSLLDPAASVQEQPREQSRGK IADPPSRPWMAAAESRSSWAAERLPTRKLVKDMNGSGPPSSSFELSDSDADKEKGIVR RQLSKLKELYRREK MYCTH_2297568 MPAWALALRSQSSAGGGGGCGGEAALYLALGAAFGISTCLLANT LLGFSFASSSSPPPSTTSPASHKPAIPSPLRTHLPTLAPAAVAALPYPPDVLPGGRAV ATPYGTIQVFEWGPPRGEKVLLLHGISTPCVALGGLAEALVARGYRVMLFDLFGRGYS DAPADLPYDLRLYTTQVLLALASSPLAWTGTGTGTSSAATDDDGGASNAGFHLVGYSL GGGLAVSFARYFAGMLKSVVVIAGGGLIRGEHVGWRSRVLYSAATARWVPDGLVRWFV RRRLMPRRTGAEGGQGQGQDGAVTETEMAKEVVEVKGRHKNSDASGGRSFDNAVLLAR RPEHTVSSVMEWQLRHHDGFIPAFVSSIRHAPIYDQKEDWLALGQMLAERREKQGWED DAADRSPGPGLSGGKILLVLGASDPVIVKEELIHDATAVLGEDGFEAVVLDAGHELVM TKADEVAAVMTNFWERTTK MYCTH_2297570 MTAPTVKLPAPLFAKLSPHPFLLRNLTSAAGPDRPPARTNGRST QETRPLLVKSSSLSHAHGSALVRLGDTTVLCGVRGELLPVDRIPGFRPVPAEERRRAA GDDRDRDRDGDGDGSGVGRGELKDYDLLVPNIELATGSAPQFLPGAPPTVLAQTLSTR LYSLLHSTGLVGVRDLRVWSTPGSGPQQQKGEDVRMGGAEEEGGGREDGDVEKEEEEE EEEEDRVVAYWVLYIDLLFVSFDGNPFDAAWAAVVAALRDTSLPVARWDPEREMVVCS RTEKRKLNVTGLPVACTAAVFEEKDLGEVGTGTAEGRHWLLLDPDRLEESLCRETITM VVDCSDGETRIKSIEKQGGTTIGRELIRGFAGIAGKRWEEVKDAMN MYCTH_2297571 MESLSDALWDVVISGTGLQQSLLALALSRSGKKILHIDPNEFYG GTEAALSLQDAESWVARISDGSRGLFRSASITRPEGASGLSPSRAYSLALAPQLIHAR SALLSQLVSSRAYRQVEFLAVGSFYIFNQPQESAQESGLARIPSTREEVFSTTAVSAK DKRLLMKFLKFVLDYESSPQLETWQAYADSPLVGFLNREFKMGPELQTYVVTLTLSLD GKISTRDGLAVIRRHLSSMGVYGPGFAAIYPKWGGLSEIAQVSCRAGAVGGAVYMLGT GIETMETAGDEVKLQLTSGDAIRTRMLVRSDDSPSSRTGISRLVAVVGSPFTSLFEAV VEGAPRPAVALIAFPAGSLSTAAGEASEYPVYLSAHSSETGECPFGQSVLYLTTLATA NSKELLERALEAFTHVAGGAEAPQILFRLQYEQLAGTDVTSVEGSIVTFPTPSPSLAF NDSVLEPVREAWTKVMGEAAAEAQYMVFEDREGAVDDDDVYE MYCTH_2031554 MFYSHEILTSQAYGVATVWYEDAQCQYVLTDAERVQAHMRAFYR ALGGSDNALDPQAGRSKRKDLILEDDPEFDPNPDLPAFHFDDDGNLIIPQISQTSRKT SSQLSPLQPDGSFSGSNRSILGGFDLSQSPFGGGGIITEPFGAGTMTPGKEGENLMLF GDEERELQALDDWGIEIDAEGNIVPAMEEPQLPRLPQPDQEEDAGAIQLDELIQIDDQ GDLIMAGAGGGNVFHSDPPLPAQQERQQQEAQEKQHRRAQEEQEVAVEDEVSSGQAPV RAQRKRRRPIFTPDEQTKITRQELKAWSADYLDNAEQASQPRLSVTLTEARKNAFDLV FGRGIAGVGFPTGVPGFPHPLASHFAGDGLQARLLGIVIAHPGDGSLERPRGRRRSAF EALDLEQDDAERRVRRRLSDEEEEDFEHAQNLLPQHGDDALPLPGDDELPPVEVGRRA GSALPDVSSDVPWNRPSSQVPSSSVKGAGAGSRPPSRQVSASPLHGRGALLPPIPGPE IERFSDNNDDAQQRLLDSDGFAPLHSGPAGSFGSGSHPSNPFQDLVMGGYQTASRRMR AALDREGRNFLAYVEAAAREKGSPDDSDSRKRWVPFEALFQAEDQTRAVVAQAFYHVL SLATKNVIEVRQDGQGGNVAFGPIRLGVE MYCTH_2297573 MASPEDLAALPAEVRIAIKASSDDVPFRARTAYTHRTWARTFTS LPELFIQPESLAEIEKAVTLARQCRRRLVTVGSGHSPSDLTCTSSWMLNLDRFARVLR IDRESGLCTVEAGIRLHRLSAELDAAGLALPSLGSIDEQSIAGAISTGTHGSSLSHGL VSESVVALKLTMADGKTRSCSARERPELFRAALLSVGALGVIVEVTLRAVPAFSLAWS QAIDADARLFEQWDDVLWKQAEFVRVWWFPYTRRAVVWKADRVPKEDIEAGRARHVRP RTSFQDSRLGYFIYQNLLALARWFPRLTPWIEWFVFGINYGFRNGESTRVSGCQESYR AFLLNCLYSQFVNEWAIPLHKGPEALQRLGAWLNRLRPGDPGYVDHRIPFSAEGLWVH SPLEVRVADTTVKTSAEKGNRPYLDITPDDGPALYLNATMYRPYYRDPTYEATERYYR AFEWLMRDLGGKPHWAKTFSVTPGEFATWYGDDWRQFCKVRDEADPEGMFVGPWHRRY LLGEPPASADGGELQRLALEEVGFAHEKAEGGGFVVFGKQNTVVPA MYCTH_2297574 MRKFTADPETVTWKLPHAIPVEAFRVVLRYLYLGDLPRDLVGPK SDVSEEDVFKGIDKLCRQLELDKLWEAVLSINDRRLARQRHQDEVRRAQAQVEAMFRN TVLKNKIEVDTRKAADVKWPRHNFIFADCLLRADDQAEGENPADSEAVPDGTAIPVGP AGEHAAPSDASAERRGRSVLFPVHKSFLIRSPYFETMFSSDFLEAHDDQDHLHIIKVD CSPAVLEIILLFLYTEKADCPLEHALDLLYAADMLLLDKLKAKAAVTISTLGSGNNNA LVDRTHNSHPSNSNQPSTPGQDGAASASASAPAPAPAPAPAAEVDEPINVYDVIHAAW DLKVQRLEEFAARYLAGRLEDYIDEPEFAELIRESADRIKERHETDTIELLDDIRYFL SERFRLRFEGDGLEEMLDEEAAQKVGGKEEEAAAEKGVKTGGEEAAAAAAAAAGSGGS GGPRGVRTLNGEVVEDEFASDAINYQILLEKIDRMLERLKLDA MYCTH_2297575 MSESDKYEVLEKIGHGSFGVIRKVRRKADGMILCRKEISYLKMS QKEREQLHAEFQILSTLRHPNIVGYYHREHLKATQDLHLYMEYCGNGDLGRVIRNLAE KNQYAEESFVWSIFSQLVTALYRCHYGVDPPEVGKSILGLGSTARPKPPAGGMTILHR DLKPENVFLGEDNSVKLGDFGLSKVMQSHDFASTYVGTPFYMSPEICAAEKYTLKSDI WSLGCIIYELCAREPPFNAKTHYQLVQKIKEGKIAPLPAIYSNELFSIIKDCLRVNPD RRPDTAMLLNLPIVRLMRKEREVLEFNKTLKAKEESLNKRMRELDQKMAALDQNKASL RQEIDSSLRREWEVKARLEIDRLVAQEIEQLQRKFEQEVQLRVEMELQNRIRDEESRH DLGSSASKSDYPHSSIGSNGAELSSTTDMSEISVESPDASTHPLKKSARTPFTRAQTM FAMPPAGTPMDIEMASPSPMTIASLSLSPRRNAGAKPPMVNPGNIFAANQMAGEPRWE PAQDSDSDSDDDIQIPSPTRMIKSSKNPFTSKTRPQLHAQKSMPVHRLQSKQSGAALQ GKTIPVAASTPDLQTAVRTTGGSNSPGRRISKIPSAANLRINNGSDNSSSSSSAPGPL NHKPSANTMNNKKEEQQQQQQQQQTTQTTGPQPPVLNKLPTAGGAGKNTNIRGRTLVE LQQARAGGRPMSAVYSNELGGAGVVAAGGGPNASPVRAFREHAAAANRGAALMMAEPA VWDPERDEMPSPFLVRRKQPAAAAAGGNGGGVGGLGFGRGDRGSA MYCTH_2297579 MASTMVATHYAPGAQQSLHYPYMPPPSPPMDETAKCSLPSISNL LGLADQGSPTSETSPQSQQQPQQQQCMTSSWWGMGIPETDFTPAQVTTPDTRPNSSHY GNPAMLRTGLPPSPPMSSDASFEGFNSPSTRSVSQVPNSSNYYFETTPPLPVEADTRQ MNATAAVPRVSVQPSTYQPQFAGSAYASQPAVSSYYSPIQAAGQSQTQVSGLYYQRPL PQSFPPPLPMSVTLAPATGNPWQHHHYIAPSASASFPQSQDRYICQTCNKAFSRPSSL RIHSHSHTGEKPFKCPFPGCGKAFSVRSNMKRHERGCHNYDSSSSSSNAAAAAATTTS SGNGSNPNSGRRP MYCTH_43545 KKTYNTRHSLVVTDPTTTRALTCLTKGERTGSRVFTWVWSYVLD NIGNVIQV MYCTH_64299 MVSFSSLAILGLNLAARVSSTILQNGQVRKTNFPDTRINPADYS FTTYPANATEISYKGRWDSKHVSWWSAPGIKFGYTGKTVAITFGNLTDNGVLVGYRIG GLDWMFTNITAGATHLLVSPETPGSNETGPINPWTFEMRVSNWAYGVQIDSVHVAAGE KLVKIPDFGRRIEVIGDSLAAGMYTTYEGLSSWAYGLGAGLGNTEFTVTAYPGICAAD QDCWGNPRGQVHQWFYTSDTSYRASVIHGDDPEPWDFAKHPAADIVVINIGTNDRNEA NNVSTEAYIDALTKIIEGIHGKWPKAQVIVMSLWLGFYQSGNTYLPNAPDGWVKEIYD MVRWFNSDDYLRNPIIYDGVTKKTSRTGKRAKPFVHYFNTTGIMQHNDIGPLWHPTDV GAVKVASHLQQFIRIKFGWDFYATGPEIFHETLYWNDEPNY MYCTH_2297587 MSSQQPAPDANAVPETQLGLTEDQIELLRHGQQAVAAAGGGSTS SRAASRASSQGLLLMDTSSLTALGHHFDRVMQSIQQRLEYLMEQSQIVTLSVYDHAGN LIDNADAEIARYHDIMAQIDELELDFDRIAQIREIVRGFRQRAEELERELERSGSGSS SRRPGGSSRRSHGHSSSTGKRRS MYCTH_2297588 MASASDEQQQQDPQPIKQEPVAEGEPGHSLPDMSRSDNSQAPQD AADGNDHEMGDNNDNNNNNNNDGGAAVGSAASTASKSVASSNNNNNNNNTKKKKGTAA AVKGPSKRGRPGGAKKTKGGGTKKAKTDATARQACGDGGNSSESDNGPYCLCRGPDDH RFMIACDRCEDWFHGECIGMDKHTGENLVQKYICPNCTDGGRYSTRYKKMCSLAGCNN PARIYDSARPSIFCSSEHCQAWWEQLIATLPRTKSGSDADELTQEEFMGLLDASRTSS SPDDTRPWRLGTPPFSTPSNFWDLPASSHALTPEEQEFLTASATARYQLGEEIVLCKK MLQLIEMALKQREAAIAAGKGTAKDLCGYDSRLDTVGATRQFAMFLQTPEGEAALSKF GTTATTTTTTTTTTTNGTTNGTTNGTTNGTTNGTAAVNGAASDSIPTNAVAAAAGASS MPGSDPDFPYMCPKKKCKPHNGWSAILTKSVKRDIKELAAQAKEMLDAEQRVRDGAAG RFRRRMKEGNRVIVLSDGAMDGS MYCTH_2297590 MSQPAAAARAALSTASRAARRQCARPMSTVRSLPAKRPTAPSPA RSQLRSLPCQPTGQRRFFKTVDEAKSRYRSGPFSWKAGLLFVITAAGLVWYFESEKER MQRKRVAESTKGVGKPKVGGPFELIDQNGNKFTDGDLKGRYSLVYFGFTHCPDICPDE LDKMARMFDLVEEKRPGFLTPVFVTCDPARDGPKELKEYLVEFHPKFIGLTGTYEQIK AMCKAYRVYFSTPSNVKPGQDYLVDHSIYFYLMDPDGDFVEALGRQHSPEQGAKIILD HMKDWKGTVRMN MYCTH_2297591 MPAPSPGNSTQPRRSALKADGEAERVSPLSAPVKAVQIAEPAPS PASPDDLQVKKQFSAGVAAKRLSGRPPLPATPSRPSTLSQASSEGGQNSAVATSSTAS GEEVQTQQPHAHHRHKPDMVTERLLSQVAEWLEREKVKQASRRSRRSRLRGKTPHNDV SIPVPEPGRPRADSIESDSSEASLDRLQRILDESMAALGLGSLTQPLPRLGRRHRKRS SRNLARAASSDTEWLDDDVVVPSCDAFLDNSKTLAYSGGKASAEDGASVSSRKEEKER QHWITFKNEIIRLAHTLRLKGWRRVPLDSGETISVERLSGALTNAVYVVSPPPESLLP REPGKKQPGKVLLRVYGPQVDHLIDRENELGVLRRLARKKIGPRLLGTFLNGRFEQYL NATALTPGSMREADTSRQIAKRMRELHDGIELLEEEKDQGPAVWKNWDKWVGQVEKAV LFLDRRTLSHSQNPSNGASKSPGFVCGVQWPVFKALVEKYRRYVDAYYGDPKKIRDRL VFAHNDTQYGNILRVRPDDQKSPLLQPANEHKQLVVIDFEYAAANVPGLEFANHFTEW AYNYHDAVRPYACDTSRYPTPEQQRRFIRAYVDHRPQYSRADSPAASAGAAAGSAPSP SASNGLHAVPSTSSIVDFMLDARAPAGGWKEEERKREEEAEAKVRALLEETRLWRTAN SAQWVAWGIVQAKIPGLDAAGGAKMPGKEEEEAAAAGANGETESEDDAEDEFDYLAYA QERAFFFLGDCVLKGLVKPEELGDEVRGKLKFVEY MYCTH_2297594 MNSDSHSHSQNYRNSRNSHSFNSEEHVEQPRTHLQPSPEVRPGQ DSPSTVDPSARHLQTQTTHQTAAPYNQSFPAILPDDIFTPATVARSYGPPVIIEGPYR RVPEIPPLAPYERSDWSTSMNPAMATDFRDELARLDGVITPGVDNTPFIHYAIEALSR DRDTGFSATNSGSQSSSSGPAFAPPPPGHGPQGHGPQSYQPQPPPQQQPPPASAPLLS HPPEAHPHAETAPPDTRLQPLTRPFMPGPQESAQSLAESLLKKGSRPPQPHAWRPVEQ DELLVTGSHVPLPTFRPWPLRTPALLVFMLLCLLMIAALILSAVYSQLHQGLLEWDSL YGGRYFLFRIFPQLIAAPMLLYAQHMVRTMSRMIPFVRLASKSAEEREGALFQELYPS FLWPRLAGPWNVWVPVFVTWLANFTIPLQSSLFTVILVERRWVWATVQGVAWTLVALY LALLASTAIAWRYWSGLESTGVIWDPRSLADIAALVSETNTADDYRGTQLARSRDGIR FALRRRATDRLCYWTWKDGRHGLWYTLGNPMEDADPLLPIPDPATAQRMQRHDEKHPD HDPYHNHDPEASNGASRHQYEYLPLPLRTSPLLWATITATVLVIAIFVVTFLPSTRLE KGFPPRLRTAPRGGAFSPADFLFAFLPSLLGMLVFLAYQTLDKHLRVLQPWAAMSSSL SPSSSSSTTTTTNPPLAGAPAEASVLADYAACAPGEATARALRNGHWRVAGVSLLADL FVLIPVLAGGSFMAVTPRGRAGGGGEEEGGEWGEVRMFANQVAYGILLGLLALYVAGL AVLLPARQAFRMPHGVTCLAEIVGYLVNSEIREEPAFKRCVSRREMAGKMGAGGDAPA GMQTRWVFGFGDGDGDGGVGNGELGVRRVRRFTEKRRVRKSQIRRPFL MYCTH_2297595 MWLMKPPMPDNFQDADERRWHSISRQHIANFSYPRSDLVHYYPK QHLTARNSQGSDSSPPQLVEDHGSDVSVEDDPQFRTLEADSWNSWHARGDQRVKSNHP ALIDSAGSREVTARGPTLTRGDSSPTTRSPVDSGSQTDETARRVATSCQEQVLQPPRT PQKACYSLFPSTDPCPKRSPARLQICLDGQPPGLETSPLYYDFPSPPRSRAAITSGKN NATETPRSGSSRATTPCSRHAGSSAASSPDSIPLLLRSPGPTPPGSPSTRYSSESPNC SSFEVRNYSPPHNNLRNISLSEISAQSSPSLPNLANTQRQNQPLNRSTNSRPLVRAGI YYDRPLPPLPTERSSSPPHISVFETDSDDEDGGEDDRPSSITESTRNLARRFMHGLVH HSHHHHHRHHQSSPVGREKRLGQRPDHKRSVSDEGPPTSASAAKSKDSDSSDGGSARI GIARKLNAAAGYRKGGTTAAVKAAASRAVVSMDLPRGSASPGGGVEGYGGQKAGRRRV DFFWGRILRRKGGD MYCTH_2297596 MAKARLNLRTRFDAPLLRFAESGPPKKWAKQCNGVPRCAFVPLR VPLTLLISTLLYWHMGGFKAFLDCPPYLSH MYCTH_2142157 MPKQSLLFIFQAFAGALGDLAAGSQEVSSPRTSSEFHGSPITPV QQRQQRTLPRRQGSLTSRQEDRSPTPSSHRTLRRTSRFSASSSPSPRPPDPAPRRVHL EAATLGITPRPLFSTLGGAARTAPAEQVFQSFRRQLSQELSDDPEEASTVQPSSFNPV PQTPLQTRPKPHPAVRLSKHTRSAILWALEEALRKPHPFTPDPVEENASMADLLGGTS GPATTNGHATSSSRPTAPPAQTGSPQQVIRGPRMIMRERAEREARQRAEREQMERARA EEEARLLEETQRRAAEQRSHVAGAVSGGDIAADPAAQRRQQRAQEAQASSRVPAGMPS ASAQHPSATVPPLRPTRVPETAQTPQNFSSSAPQPGVNAPAPAPAAGESSTQGAGAAG GGTTRIKNTFPHAFERWEALSAHWEGMTSFWLRRLQENTDEAGRNPISTQLSRQVADL SAAGANLFHAVVELQRLRASSERKFQRWFFETRAELERHQEVNAMLEAQMEQERQARA DAVRKAAQHEAENVKLQKMVAEMRRELLISKEEARRAWEELGRREQEERDRTVSLQQG HPTIVGGVQVVPMTQHIPSRTASRHNRSGSQAADTSDYMAGQTSQYPPAASPSHGAPQ SPAPAGDPSARYYQQGEASGQEAGDYGAGSEAGYSEGEYMVDARGNYLRDEHGNKIPF HSPSTSPSRGRRPDAAAEDYESPASQAPTSYPPSSSHWASQHAGVGDYTGQGFAAPGW ETMPRHHHPTRLSDVIEEDERSRTSMSHASRA MYCTH_2297601 MPARNSLRGLTTTALPTRCAARPISPRVIRGLRCASCLSSAAGT RRPLTAAQLPPTRTRVVVGRARGFKTDVKVGGSGLEPPPFAFAFDIDGVLLHVAKPIP GAAEVLRFLNDYNIPFILLTNGGGKHERDRVRDLSEKLGVQLSTDNFVQSHTPFQELL EGPDSLRDKTVLVTGSDYEKCRSIFKEYGFQNVVTPADIFAADPTIFPFQSASSYPHP SQPLPKPLYNKPTLDRASLPSHLKIDAIFVLNDPRDWALDTQIITDLLLSHAGYLGTY SPLNGKGNGDNGGGWQQDGQPRLYFSNADLLWSAGYHLPRLGQGAFQAALAGLWRRIT GGAAELRRTSIGKPFAETYRFAERVLAAHRREVLRAMGHHVLHYQHHHQHRQDPPADL APDGGSGGGGDKGGEAALRRVYMIGDNPESDVAGANGYASPEGTEWVPVLVRTGVWSE ARGGKLEGRFEPRTVADDVTAAVRWALRREGWHRCVTEDGELR MYCTH_2052439 KSNVTYYNYGKKGHYKQECQSPKKEWKLAPRKEIMAIDETTKDV IEVAATSYEDRDSNTDSFGYNGNSKDEQAPYSELVTTDPETGLAK MYCTH_64320 MLVEKQKSHSTYYRASLAQNIAKNGFVVMPCSWCASQGLVCKMI ARTKRCEAYVRRGRSYDSSSIPLSSYKLRRIKDAKRRAELKLDES MYCTH_2055571 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_2297629 MASRLIRSLRRRREDDVKPDMEGSVVGSVEGGPTGFASLKQFEK MHRFDPNLPLDELEEVGNALETANLEKGAEIEQILAEDNSPYPEVRASVRNFDVDMPV NTIRAWTIGLLLCTIGSAVNMILSLRNPSISLTTFVIQLIAYPLGLAWDLIFPDRVFN LWGLKFNLKPGPFNFKEHVIIVVMSNAAYGGGALYASDVIIAQRIWYHQNFGWLWQLL FGITTLCTGYGLAGLARRFLVWPAAMIWPTDLVNCALFYTLHDHSPTDPTRTNGWSIS RYKWFMLVFFGSFLWYWFPGYLFQGLSWFCWLTWIWPDNVIVNQLFGGYSGYGLFPIT FDWSIISAYVMSPLIPPFHAIANVVGGVTVFFVIISIGIHYSGMWYSAYLPVQNSHAY DNTGNLYNVSAILNDKMQFDEAKYHAYSPLYLPTQFALAYGLSFAALAAVITHVALYH GCEIWTQWKLARSQEDDVHMRLMKKYRDAEDWWYALLFVIMLGLSFAVVCAWDTNFPW WAYVVCVLIPLVWTIPIGIVQAITNIQLGLNVLTEYIVGYMLPGRPLAMMMFKNYGYL CMSQALYFVQDLKLGHYMKVPPRVMFWSQLVASIWSAVVQIAVMNWALETIPDVCSET QVHQWNCPSARVFYTASIIWGAIGPRRMFSHGALYSSLQWFWLVGALAPVATWFLARR YRRGIWRYVNMPLIFGGSGMLPPATVFIYYCWGIVGTVFNFFIRRRRTGWWLHYNYVT SSALDCGLIVSTLVIFFALYLSGTEAPNWFGNRAALSTMDMLSKAVQSPVPPGETFGP KTWV MYCTH_2142160 MATTTTDTPQTQVSSLSQEGCYIALTSSPLNIQSVMDRVRSPQA GAIVLFAGTTRDSFDNEPVKELQYTAYAPLALRTMQDIAAALRAKHGLKGIAMVHRLG VVPVGEESILIAVSAPHRQAAWRAGEEALEECKARVEVWKREEFEGGEGVWRANRDGA KGERVG MYCTH_2297636 MRDRKTNQSWRYGFAEFATVEDAIAAVAKFRASPRFTIASKPVV VAFIHTGVFIPAFDTPATENPEYSFTPIYNPAVRVKYWDERVYPSVRVVSTDPLPSAP APDRTAGSDDGTKSRPSKKVKTAKESAPVAKVSMIPQVQLWAKASAQLHGSKPVTDAA SPSSRELEEVKLAVTRGASGEAEETQQDGPVTPQWADRYLSYADWDALACLACGWEPP TQAEIDERGWPQTRADLLIEHEGRAHQFFRDEQAKEKAAAALAALGREPRQVLRRTPR LRSERLPVYKSYADLDRLRCVLCKRIFKEARLVWLHEQQSELHKRMLADPQNRARAAD EFKRMGKKMCIVEPDAEFKRLWELQRARFAQPQYRDRALERRRAFGQPKQPVAVPSTA AASVPEKRKEPAAATADSDAKDQQPAAKKSKGAGMLAKMGWTEGAGLGAEGAGRTEAI STEVYAPGVGLGAEGGKLGDASEEAARKTRGDFASFVQKTKERARERFEKM MYCTH_2297637 MKPSSAILLALAPGSSSKNVVEFSVSRGLPGNRTPLSFPPLTRR ETYSERLINNIAGGGYYVQVQVGTPPQNLTMLLDTGSSDAWVLSHEADLCISPALQDF YGMPCTDTYDPSKSSSKKMVEEGGFKITYLDGGTASGDYITDHFTIGGVTVQSLQMAC VTKAVRGTGILGLGFSISERASTKYPNIIDEMYSQGLIKSKAFSLYLNDRRADSGTLL FGGIDTDKFIGPLGVLPLHKPPGDRDYSSFEVNFTSVSLTYTNGSRHTIPTAILNHPA PAVLDSGTTLSYLPDELADPINTALDTFYDDRLQMTLIDCSHPLLRTDPDFHLAFTFT PTTSITVPLGDLVLDILPPTYPQSNSNNNNEVEDDDDDDDDDDDDDKVPPATERRWCV FGIQSTTRFAASSGQSEANFTLLGDTFLRSAYVVYDLSHYQIGLAQANLNSSSSSTNT NTIVELTADNHDDGASERGEGAGAGADAGTRTVIAGGLPSGLMGVEAQQTTFTPTATA NGHPGYGGGPGGSTRPGSERNAAAGGFTAVRTGLLGELVGVAAVTALFILLGGALIAV MYCTH_2297639 MRSWHRKLDETINQILVDSRPIAVYWGPSYITVYNEAFSKLCGS KHPSMLGMPVQEAWAEAGQRLRETMRTISANTKGAVEDEWRFFVERESEVEGGEPWLE ETYLRWSMIPIVENNECLGFMHPVAETTSVRLWERRMNMLIELGEVLVTARDVESYWE KVIQELAAVEPRYDIPLAILYTVQDDTETTDASSSSSSSSSSLSSSSSSSSFAAGPAK ICRLAGTLGVPENHPIAPETINLRANSEPLASSFSRAIDAPHPILLQTSDGTLPQELL EGLQWRGFGDPCRAAVICPIRPTKEESVMGFLLLGLNSRRPYDNDYRQYISLLSQKLA TSLASIVLLEEEARRGRSAAEQAAFDQAMLKEKLEVQTKEANESLQMFEAVAEFVPVG MSFGDPEGNITYANDAWYKITGYPGTGPVANHGFLSCVKEEDHEIIISEYEKLKTVRN VEFEFRVRCQDDAAEGGDPSPPLARTSPSFEKEDIDLVSLEAVKERHVLASARAEYAA DGSLVRVLTCLTDVTPQKRVAGEALRRAQQAENLKRMAEFATVGLYDMDLDGRLLGAN TVFFEMCGLRKVDPAVVDVRPWQSCVHKEDISVLVEKLEKMVREDKVQNVEVRLNTTW TADDGSGRKVVVPRWVQATLLPVKTPEGKIQSFTGCLNDVSLQKWQLDWEKERKEEAI ESKRQQENFIDMTSHEMRNPLSAIIHCADAITATLCRVQELLDSSGLGGAGGGGGGVP DLARKEAAEAGMGEANEDWAIEARELVDNCIDNAETIVTCAQHQKRIVDDILTMSKLD SSLISITRVMVDPIKMVKEALKMFEVEARRVDINLSMVVDPCYHELGIKYLVFDPSRL KQVLINLLTNALKFTKTGPTRNVTVAVSASLTEPTEATSKVSFIPRSQESLGEVIHDH PVPVDHANPVFLMFEVEDTGEGLTEEEKKSLFQRFVQASSRTHVKYGGSGLGLFISRR LAELQHGSIGVRSEPGVGSTFAFYIEAYRPTEEALREAEASGTLSDPAVSDLRANASL SRRGSNRRAISTSTLTSESTIATPTTSGTSPTATAAVTPTSETGGSPTPVASPAPQIT GVLVVEDNLINQQITRRGLLSMGFTVDVANHGIEALDKLRRTDRYHPATSSSASTTPE AGAGAGAGAGAGAGGSSFPFPSSASSLSSTTTTTITTTTTSSSSSPLPLPPPPLPAPA SSAQSIGFSNTSSALTPPAQPFPLSMILMDIEMPIQDGLTCTRNIRELERAGKIVGGR IPIIAVSANARMEQILEAKEAGCDDVLVKPYRMPELLERMKTVMGKVVGSEGEKAPER ESADTPAKDAAEGLGVIKMEGPTKEEEEEEGEGDGIGIVN MYCTH_99971 MPVLQRDTLFKLAGGNKQDRDRLIEAYQVLAREARKDGKPYIAD VEVRPLLDHKASQGYTLRARTSFASAEDMRYFDSECPAHKALKERVMSLGSVLAPPLV LVTELEESV MYCTH_77895 MSDEPSSHAVTGPPIPTITYGSGGSFSVSCSSRIAATPKECLDV VTAASEYPAWNRFCRKCTIDAQPPAAAAAAATAARNSDDGPCPDTFLRLGTQFTFDVH LDPEGPDGKPGRPTALEVSVLEPIDEEEDPGDGSGGRRRRRGWRIAWKQRHGLLMPAA MLRSERVQEFVEVAGDGDGRPPGTQYYCWETFYGPLAPVVRLIVGRQVERGFDAWLRG LKARVEMTGQGQGQGQGQGRGGCLKEGKRTDR MYCTH_2297644 MVALAKKITKATEKLEAYMKSENLPLPSFDADAPADYPPLPADV QQSRQDIIHAAKELSLLAHGPREAVRWGIWEFLDVLALTAVNHYGLAKLVPIDSTITL AELQTKTPLDPINLARLVRLAMTNGIFREPSPGVIAHTSASRVLAEDENMQSWIGFNG EDIFPASAHVLQALKAYPEATSLTRTGFQFAFDTVDKEPMFATFGKDPDRARRMGRAM ASLTGGEGYEPSYFVDVEKGGYDFSDLDAAGGTFVDVGGSHGFICVDLAARYRNMKFV VQDLQKTVDSAPKPLCADPQVAERITLMAHDFFTEQPIKGADVYYFRWIIHNYSTPYA VRILQNLIPALKPGARIIINDHCLREPGQENPWDERVMRRMDVVMLALLNAQERTEAE FRELFAAASDGFVFKGVTRAKGCRMSVIEAVWQPDRAAGAGGQAAGAAADNAAATAAP AAVTEEEAAATAAPVAASAEPKSEEAK MYCTH_2297650 MEQSRDQPAVPVAPIVETKSKKRSRAETDAPSPTDVVAQAALPV ATTATPRPVPDHASRSSADDVGSWRNVPLEPPFSVTGRESATANDSASILSMPDSAFS EGCSSSTSVPSYPAGPRGSLSPPYMFSGPHQPPQTSARPADNGDDGADDADDRREDEE EEDVEQAATEQAEEGSIIVDDDDLATDDGYGTDMNTSATTSLAESVRDYIYENGRRYH RFREGRYNFPNDDVEQQREDMKHAMVKMLCGQLHFAPIGDNPHQILDIGTGTGIWAIE MGDQFPTASILGVDLSPIQPEWVPPNVRFIVDDVESPWLHPRNYFDYIHSRHTVMAIK DWPKLMRRCLEHLRPGGWFEMQEVYHYPISANRAKPIQPDHPVARYWSLIMEGLTNLG VDFHAAADGRLADMMREAGFVNVTERVLQIPIGTWAKNKVLKTVGLYWRTILMDGIQA IALGPLTRGCGWTREQVELFLVDVRKAYYDNTMLAYMPFHIVYGQKPKGY MYCTH_2313931 MADAAFKPERDYSKEADTLIPEAEQLAKTDIHAAIEKLSILEKQ TRQASDLASTSRILVTIVTICKNAGDWSLLNDQTLVLSKKHGQLKQAITKMVQTVMGF LDQTPNLETKLSVIETLRTVTEGKIFVEVERARVTKILSDIKKKQGDLKGATDTLCEL QVETFGSMDRREKVEFILAQVGLCIEIGDWTQAGILSRKISTKYLARKPKKTPEQLEK EKKEREKKGKVEEEPEKEEDVTDLKLRYYRQQILLAQHEGKYLDVCKHYRQVLDTETV EEDPEKLRFVLQRIIYFIILAPHDNEQHDLLHRIHRDPRKSLVPEDAELLKLFTVPEL MRWPEVARVFGPHLTETDVFDAELGDSDDEKAFERWQDLRKRVIEHNVRVIAKYYTRI RMGRLTQLLDLTEDETEKYISELVTSKTIYAKIDRPARIVNFAKPRDADEILNEWSFN MKSLLGLLERIDHLITKEEMMARIQPGVKAKGKESKRAR MYCTH_2297656 MKQRFSSLDVKVISHELAEALVSLRLSNIYDLNSKILLLKFAKP NSRQQLLIESGFRCHLTDFARAAAPAPSQFVSRLRKFLKTRRVTAVSQIGTDRIIEIQ FSDGAYRLYLEFFASGNIILTDAELKILALLRNVPEGEGQEPQRVGLTYTLENRQNFG GVPPLTKERLRDALRTALAQAESKKAKKKTSDELRRGLVTTITELPPVLIDHAFRLAN FDPAIKPAEILEDESLLDALFQSLERGRSILDDVISSSTTKGYIIAKPNPRAQEPVAE GEDAQISRPRNLLYEDFQPFLPKQFEDDPSCQVLSFDGYNKTVDEFFSSLEGQKLESR LQEREAIAKRKLEAARRDQEQRIEGLQEAQMLNLRKAAAIEANIERVQEAMDAVNGLL QQGMDWVDVNKLVEREQKLHNPVAEIIQLPMRLHENVITLLLGEEEEEGEAEDKLDFD YDTDEEAADDGVPDKAKGPAKRLAIDINLKLSPWNNAREYYEQKRTAAEKQQKTVQQS EIALKNAEQKIAEDLKKGLKQEKPVLQPIRKQLWFEKFIWFISSDGYLVLGGRDAQQN EILYKRYLRKGDVYVHADMHGAPTVIVKNNPKTPDAPIPPSTLAQAGSLSVCCSNAWD SKAAMGAYWVNADQVSKSAPAGEYLPVGSFMVRGKRNPLPPALLMLGFGLMFKVSEES KARHVKHRLYDADVGTAGAAPVSVATEVEADATSEKGDLGDSSDEEGDEENRARANPL QSGAANGEETTPDSEQGTKEEPGPPTEEMAHLDVNADAPAGTAPEEQDAQPDTAEAEA EAEAEPEPEPEPEPEEKAETEAEQSKPSTRPETPSTVSQQPQKKQQPLKRGQRGKAKK IATKYKYQDEEDRALMEELLGVAAARQKAEAEAAARAKREAEAAAAAERRRQAQERAK KRIAEHEEMRRQMLEGGGVDGLDDSEAIEFGPLDALVGTPLPGDEILEVVPVCAPWNA LAKVKYKVKLQPGQVKKGKAIKEIVERWKLAAGKKGAVDEKSADVERMWPREVALIKG LKVEEAFNVVPVSKVTVMTSGGMAGGGGSGGKGGGKGGGGGGGQKGQKGKGGKKK MYCTH_2297659 MGYYDEDGHYHSFRHGLHKLADRIAHPEGHDRVEVSEVRETRRT RAPSSEAYTPNTVTIPCHHIRLGDILILQGRPCQVIRISTSAATGQHRYLGVDLFTKQ LHEESSFVSNPAPSVVVQTMLGPVFKQYRVLDMQDGHIVAMTETGDVKQNLPVIDQSN LWGRLKQAFETGRGSVRVLVVSDNGNEMAVDMKVVHGSRL MYCTH_2297668 MVYNLVAKHGIVRQGLYPDSFSAGASSTLNSILFTKLREYALVL QHLFSSSSSSSASSLSETKAKMLREIHTILTLTLGPSQSAEEPFTWEFTDKSGRARTA RRGMPVFLRLRRRPGQPSDSRAAPHGPPPVDWEAALNVSLLLLPPARLRTGESLMTHI MVLTGVHVDEETEPVVLPRWDPMGSLA MYCTH_2297673 MADPGRFRYMDSAGRRSPPLYNPARSSMPVTGGGYGPLYSGDLH AMSASHHEGLSRPTDEYRTSAVPVSTTTYAVRKEPLSRSTSVNDGPRAHRVYDHSAKR PVIVTTKHQPPAPARSGSPSRDPYRSSDEGQYYAQPASSIPRSRAAGHIPSSVAMDEE EYRRLKERTHHDRLGGRGADPYRSPPSGPLYAGPSHRASSYEYDDEGYEYTKPSDLAR YDLDHDHRHRIRRESIDRYYRPTVSISTDLARPFDRPDRRARGPPPATRGLEKIGWSQ ATGGIYDGAGSRMPLPPTVPLGPEARHSGLLETPRSPREEHRGGPRPLPVTEEDLRHP HDDYYYEDSRDHHYFRDDRVAARGFGILVDPNDIDIDDYRRRPERVYHDERPERRGKR YEVCELRRRSDDDLEIIRHEYDDRDYKGPSEDRYTIVDDRPRARRDRRSDDEGGGGGG GNDDDDDEPSSKKDNKVRDKAAAGLGLAAVSVGLKSALKRRDEKAGDSSPTRRHADED AGRREREEVDQRDRPGRKEPLLGDEEFEIVEHPKDREEERKPEEEPGAEARESKKDDD AAASPSRDHSSSVDDDEGKAKPRRRLRASSFNPNDTAALAEMKARLAELKVEDKDGPQ QDDRAGDEKAPPAAKEGSPERKPSPVERREADPDASALVPRDNTDDKSPPPREEKQVR LVTPPKEPVAEKKPIKGILKQPRAQFPEEPNPVREGVAPHKDDKSKANVPPGARWTKI SRKLVNPEALRIGKERFEVRDDFVIVLRVLSREEIQAYTAATAALRGRVPR MYCTH_106463 MGLMTMAQHRQAPRQFKEEPGHDSHHTHQQQGPVPPHLQHSRPS SVVHQQHHPQPTAQQQQHHQQQQPQHSGAYAYPQQNQGSNTQDHGLPSYYAHPSPYST PGGTSGYTSAASPSGHDQQRGMYGQPPSQLQHQPMYYPAPQQQYSSMPAQAAQSPYAS HAQQPHQPMGSQAGMMMSHTPPQHPISQHPTQHAQSGMTVSPRPDKMETHALSHRISG TSAPVAMNNTPSTSPQNGASLSTPTTSSGVNPNAAPGPIPATTPLVVRQDTNGVQWIA FEYSRDRVKMEYTIRCDVESVNQDELTPEFKSENCVYPRACCPKEQYRGNRLQYETEC NTVGWALAQLNPPLRGKRGLIQRAVDSWRNSNQDPRLRSRRVRRMAKMNYRNQKAGST TPHTAQLPAPAAPGSTALTPTAMAPGSNPAMAKPVMNNMAPQMHHHHGGQQDGSAQGG DEVGEGDYSGDQHHHHHHHHHQPPTQSGTEERPAHVFSGYGSYSGAPPQGSSMPSIHD ALGDGNHAPLAAARRTSHSRGDPDMPEDLFPDIPEAKKRKFILVEDSDRQSRLRVRVT LDGVDTREIPDSFRKSSSVYPRSYFPREMQSPPPSATGSKFFTDDASDGEDDGTTETE GGGGRRSGRRPGASSKRGRVMVKVPLAEGQEGEVAIPRMRKVFRGKEVRLNDLGYRMA WLQSRVFAGRTVFLQRALDCYRNKTRSAMESIMQDVKTAAPHYETRVGKRKFNDRMRA EREADD MYCTH_2123653 MASPFQFRKQSTLNCFPMHAHRPDGRRKGTIEMPFCGVWMFDEM GRAVEHWENVADPEALSRWLRGE MYCTH_2297683 MLMNAALAALLGLAMVTDAATLHQYRSPSALARRQNNQFRGGFG NGFGGNFGGNNRQNNGQNNGQNNGQNNGQNNGQNNGQNNGQNNGGNNNNGSNNNNGNN NNGATATCLAANALQTGSQSTGQNGGNVEPGQVESATDDANFINFCAGQTLTNGQQNR AGSCNGIPMGKIPAANKMVSTVILNPKNGDDLPELQTFNIQVKIANMALGSFTNAQTT YYSAPQDLNGQGLVIGHTHVTVQDTGNTLNPTQPLDASQFAFFKGINDDGDGQGTLSA EVTGGLPAGCYRVCTMAGASNHQPVLMPVAQRGSQEDCRYFSVGGACGNGGNNNGGNN NNNGGNNGGNNNNAGNNNNNAGNNNNNAGNNNNAGNNNAGNNGGNNNNGGNRGQGRNN NGRNVAAGGSAIAGIEAPAIENTNDPQRPFSVNGNTFATRDAAVQRACAIQNNACADA VNGGKAPGVTVAQCNEQEAACRAAGGA MYCTH_2297685 MKPSTAAIGAATVPGVLAWGGFGHISVAYIASNFVSPATTDYLQ SLLRNDTGDYLAGVATWADSIRYTKWGRFTSDFHFIDAKDDPPRYCGVDFERDCKKDR GCVVSALQNYTSRMLDADLRESERAIAAKFVVHFVGDIHQPLHTENVARGGNGIPVLF DGRRFNLHHVWDTSIVEKLVGGGVRRKPYPAAKRWADELTREINEGKYASERISWLRS ANLSDPIATALAWATEANSYVCTTVLPDGPDAIKDQELGSDYYEAAAPVIEVQVARAG YRLAAWLDLIVTSLKTASGSEDL MYCTH_2297686 MLPFIAAALETPYRPRLGEVLSGIFGSVSLTAWICLLLPQLITN YKTKSADALSMKFLLIWLLGDVSNLSGALFTSLAPSTIALASYFCVADLILISQCTYY NTINARRQARREAHLHHHHHHQHHHHHHHHHRHPRLSTDDEPGASASSAVADHHAAPT PTETDPLLPPSSATATAAGGATGDSHCRHHESLLNNRRGSTGSSSGGPLLSDPLVRII TSEDDDASGAGRTWLHNTVSLVAVWVMGAAGWYFSYRVAAWGADAGNGNEDLAAPVPG DGEEMMKEPVAVVGMVLGYASALCYLCARIPQIIKNYREKSCEGLALLFFLLSLTGNF TYGASVVSYSQERDYLVRALPWLLGSLGTMVEDCIIFVQFRIYSPKRQLKAGGAA MYCTH_2313945 MKLAAFAAAALSLCASLVSAGVVRTPILPDQVVPKTNGDCYFGV TTPQGCGPLRNN MYCTH_2297694 MDFSKEKDMRQSDLIIPYQEPAAKGDNPEFQSTIASTLPMAAVF MRNKYIGWAAVLFSLQSWLGESEETRKSNGTPGYFNVGMALTSLLVTYLPLFLPQPGA RVASQTGAPAPAPGPA MYCTH_2297696 MTSRTPLGVQQRPPQHKLNGPTLSQRPGAAHQRALSQQSQPQPQ PQPQQQQQQQQQQQQQQQQQQQHPSLSPVRKDTPFYDLTSADATVDGPSARHGATRRG GSRLKLELSHDSADSLQQHAAVTESPGAAESSKAFTPSRIMPPTDSSELGDMSPHLSA HPLSVEIDAPLPLPRRPPPFVPSAPRREMPPPPTSANPPKRDARPKPFAVDPPAAAPR YATRPDSQTKTGTPKIAYADFYPWTGNHPEDHFSDNVIRHGYYDKGLFSTAETQSAKN ILFPALKHKTGLTALSSVFTAVLGQRRHNGQITAPSTFKPPPRVTLTDTKRELWLKDL ANPAISLRRLSRTIPHGIRGKVLLEQCLNKRVPTDRAVWLIKCVGANEIRATKRKGVS TLVMGGETRWIKDWTVSVEQFIENVYFSFGEDDWKAKVHYTTRLAAHLYAECLLDREH YSEWLVSNLENSPESKLPMWMLITQLYWKDLLKLRKYGRRLVTALVSHHHLLYNHPDK DILLPLLKNLTQCLNTLLLSSPENFVSPSAWSKYRDALKACLPAGDEARHNAFGAINL RNEQLAAAANRSQPAARHILVRMLDGTLQAPMPNELPAQCWGISKDKDALARALLEWC TSLYRPGIAKVYVTSRILQHWSTTGLDSTTAVLAFLETDACEEEERKSLLYHVVCELV RSGLFSVSRYIQWLIARGGLRDPDLVSRDGPAATRLLVEVPVHALAPSQRNSRAEILR RASFSVMEAAADAELAVMHLKHVLGIPVDLAGLKPLSLAKLTKRIASADRALKAELGY WLWSTTLAAHAEENDGDGEGSPALETSPANFYVVRSVMEAAEDFSMFGDVLETLAKRS GVDVLAAIADTVSRHFFVFSALGITAALFRSLHLRLKAAVQEHGFALRPLLASLVTLA PRIPGMEEAASRLKRDLALNDRQNPVDACSPVSDTVVARLQDDAGELHEEIEKLLAGG SSVDRNTMERLFQTLVQRLQASWSKAADKQRAYSSLLGRLRLFNTQHFDGLMVKWLLS LRTLANRPSILRIFPLLVSVGCLDMGAILATASDIPAGQGVPTARVLTTTGAAVPQVV QITYRTRYMQEVLQLLTAPIRCSDLLTADEVYRFSIFQDQAVRECPKEVLGLIFLASA EYSYAKAQNDLEGLPLECESVRQSLLDLLKRLVLRDATGVARTLGMKSPNSQASGWID SVTTKLLVPTADAHTRISFDQVLELTNEFTLPFCQVKLALSLSPGEQSSQDSADRQQS HVELFGNAMDKAISAGNISWVGMLSCLSPDITQHLKVRAQNRFLELMPSLRKPPPTDD DALAQNLQMAENLLSVIDAIMRGSSAGGARQSQLPPAVVDKLVDLWELLAAPLDGDNG GSGDHGKRSNDPDMMEIDTEPAAAATATTTTTTIEATVAATTTNPKTAVLAHWLPLLL NLVTLHAHTLDPSRANNEVRAKVLVACAGLMQELDALPLPPPPPRHSHHMPGPLPADA ASLSRRVFDLACLLVDNLPEEARMMCVRAVAGCAGGDARLRYLFSFTSSTSGTGAAAD GGCGDSGLMLAHREKAAGGRSAGAAAGGGGGGNGGGGGGAMFGGLLGTPASLWAVDRG QATERLSVFQYRRWEMLNEPTPNVGENDTALSLALFEARKVQ MYCTH_44863 MVSPLAILLVLASAATRAAAFEYYTFDGPGFPSCHNVSRVYNAT SVDDVASLVKSLTASNNNTAVRVRAAGKGHMWYDTQCSDSQTVLVRTEDLHAISDLDL PAGAESGSVWVEAGVTFFQLAEYLHERGASVGYTLTNWNISFGGSVAMGAHRSSIRED SMVAAGVLAMDIVDGAGEVRRVVRDESDDEWLAASTSLGLLGIIVRIQLKVYPDTKVY AKQETLDEKDVLDGDVYGLIAPYATANLWWWPYKRKFHQRYYDTVPANSTPQEGFQNT FSVTELEAVTARTMLDSGKYLPTSNMLAEEIFFGLWSKPNFREKKTNEAIDEWPVYGW NYDVLIGGLYPDQKPEWEYGLAGYTLELAFPVTMANQMLKRVRQLFDDELKKGIVMTS TYRSGINIKFGKAYYDLLGQVTYNTSDGADWSKGAIMFDFPSYRPTIGDHKRFNEPFY HKLANTLIDEFPCRPHWTKNTREVFARSVKNLDPDHLRRFNAVRKRFDPNGIYRSIVG EILGFY MYCTH_2297705 MLNFSSFVQKAQQLLEPVQGLNLTESDKNPSKASLFQNQFRLPS SQHPLYEINAELTIPPTNATQGDRDRDRGYQYAGKLHLSEAYLSFSTTPSSFLQSATA STSSIFTGQTHGGGPSGNGFTFPLCSIRRVERLHSPNFQFALSITTWNGISQEAAKEK DKKDLREQRVTLQLAGSRQACERFCDGLKKGLRANVGNVAKLKKVTAECYSEYLLRPD DKKNATPPDAGLGMLFKYPGDPKKLRDRAKMRLWAEYLRDNGRNATLIRQPTFHKLIR VGLPNRLRGEIWELTSGSLYLRLENPTLYADTLAKFSGQESLAIDEIEKDLNRSLPEY PGFQSEEGIGRLRRVLTAYSWLNADVGYCQAMNIVVAALLIYMSEAQAFFLLSALCER LVPGYYSTTMYGTLLDQKVFESLVEKTMPVLWDHLVKSDVQLSVVSLPWFLSLYINSM PLVFAFRVLDVFFVEGPKVLFQIGLAILRINGEELLDASDDGAFISVLKSYFSRLDES AHPKSENPKLRAVTKFQELMVVAFKEFSGITHSTITDLRLKNKDAVLSNIENFAKRTA IRNLGPDSKLLSNDELGALYDRFYGILYERQQRDRIIKQEQARRAKNSRSRIPEAASA GSHGIEKGRVGLGPSTSLMDYDAFREFLAGMCKWAISDSPTTPQRDAFSEKDKGGFYG SFRRSDALLLSPWGAGPEPADHEFVQRLFRKWDTDSSSALTLQKVVSGLARIKGKRDI MGAINYFFELYDDDGDGKVDREGILRMSEALLFLSRRGLEGTPSPSASTVALGGDSIN GAQGVVAGQPVSERFLGSVSAFIRRCFEYADPDHPKNKDGAVQEVQQRLESTRLDEAA DEELANPDAFAIGDDDDDDDDDLLAMDSPAGSPKTAAKKPDNAPPALQLNSTSLPPDQ QDPDARRRVSKAQSEKANIALDPSNPLHITLPTFRMVVLADELLEHFFETSFPASFHI IEGLQTTPTSASSLTTFSSLGIGTGRAASAAGGAGRGLRGVLDNIVTDGMRVAAEVRR RMEEAQKELEKNALSGQPQQGQGQAQGQAQQAQRGGDDEDDDEDDDGGFDRASTRSGK SGTGGGGGGGAYRGDAERRSVRSSDRDLLTGADAEASDEALLPGGAGGVGGTADGGER NDGGGAGTSADVEAGPSKVVGVEFDG MYCTH_2297709 MAATVAHSQAIPSGLHPLQAAALRAAAGNGQQQPQPPPREIDDK PFDVTSRKLSVNDLVKVRTLGTGTFARVCLVRPSHGTEADRSRVYALKILRKTEVIRL KQIDHVRHERQILADVRGHPFITNFVTSFSDHDFLYILLDYVPGGELFTYLRKYRRFD EDMARFYAAEIVLILEHLHEQQGGIAYRDMKPENLLLDADGHIKLVDFGFAKRLGNNE SGHPEETYTLCGTPEYLAPEVIHNKGHTTAVDWWALGILIYEFLTGYPPFWHQNPIEI YKQIVEKPVVFPQEPPISDAAKDIIRQFCTVDRSRRLGNISGGAAKVKAHPFFAGVDW DAVYQKRHRGPIIPPIRYPGDAQCFDIYPEEDATRDEYTDEMAKQYDEYFKDF MYCTH_2297711 MAPSTLDLFILTFNCAKNLINPPVFAAHLHGALSQNATGLPDLV VFSLQEVAPLSYAFAGSYFLNPYYARFGEALNLASAKLLAATTTTTTTTTPTTPTRAT RATRATTTDDRDEDARPSSPPPYTLVRAKNVGMTAILLYARDPASIQKLEEAECGFGA ADMGNKGAVGLRVTWNGRQQQDEQQDEQHERGSTTTTTTTTTTTELTFVAAHLAAMEW NLKKRNANWRSIVAGLTFANPRSILPDVFPPSRAGPNPDRSGAGDIAPLRLPTGDSPD PSEEEPEEGEEEGGGGAEGAEGTDTRPLLPHDPSRDPATLAALQQISIYKPSSHLFVA GDLNYRIASTTPPPLAAFPSFDPASEHHFAAFLPRDQLTRERLAGRTLHGLSEAPIAF GPTYKFDVLPPDENDEAGGGGGGGEVTGPGEVRWRFAPHRWPGWCDRVLYLDVPRRPA TPGSGGGGSDGGGDEVENREQPKKQQQQQQQQQQTGVEVRAYDALPVMETSDHRAVYF RASVPVLGEDEMRSRLDAAAAAASYSSSSSSSAAAAVLTAVDPRLKMPVPVDVHAWER RAAARRKEMVVGWTGLLWSTREGAVALATVLALGVGSWWLTRVWW MYCTH_2297718 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVLDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTDVLCRGFPNEFAIYLNYTRSLRFDDKPDYSYLRKIF RDLFVREGFQYDYVFDWTVYKYQKNAQAIQQAAGNATNQPAQGDPKDAQAARKDAQQA LPRGTRKQITGETPDTNRAVGGSDRM MYCTH_2297723 MEDSRQDQRSAGLSLNLSSNNPFRNRAVSPSPASPFDDPPPRPL SRNPFLDPAITSRSSFSNLRSASETMSSDKRPSLTAEEIFGSLTLEDSTATNDAPPRP SAAKPPPPPGRRGPPPPPSHRDGAPAPNRRPPPPNHRPTRSQEEALRARKMQEKDGRP DPSRSPQRRQERRPRRNSDSSIIDANMTEEERRQRDLRRRERERRHRENRDKKPVSRK LDIIDQLDATSIYGTGIFHHDGPFDALNPHRNRQGSRRAPMQAFPEGSLNNTIGGAGP LNTRPDHSTFMGNQDDEAFKEWSRSGKDGSEFSSSHKEMPVFDPLSRGTILHGDQSLG LGTSTFLEGTPAARTAIQKREEERALEAQNEGLQRKKSLAHRIRNINRGNRDFQSSGR LTNPETDFGSRRSPSNSGPASASASERSPFFSDFGSGKGEDEFTVRKTVTNGSKPSPS SPRSGPGLERRSTTDATGGEDVQPKASGILGRMKSLKGRRQRPNPAAGSAELIPPPGT AA MYCTH_2297728 MAAAFPFSQASSHFPIEQPLPASLLLDREIARKEGLAANGNLMT GCRELDEYVLLGGFERGSVVGVSAEEDGEEEIGLLIGLQTVAHLLASKSTARAMVVTT LSATVLLPKLRNALVGQLMKVQGGWQNFQAELQACLERISISRVFDIEGLWEVLEELE DTVLVRDGESPRPTQTGKRSEIPNSEDDGGLSSSEAPSSKPSPQPESTPVEARSPSPT LPDIILVTHTSTLLNALFTGRDKDTAHNMMLLLASRLHALTRSSAHGAPLFIFLNSTT SPAAFPQPPHADDTTLTAPSRDTPRPPSKHLDSTLRSIFNPPPPPPPPATQTVLAAGA QQEASTSAAASSARRNKPSFGLVFSQMLDLHLLCTRVPRTRADSAALMANPGMNIMDV SYAWVVEVLLDELGVYEKRDGDSLEWRERRSREQRWAAVDVDEEGRVVNATIP MYCTH_41366 MRSVLFLVAATAAALATRDDAKRDQIVLIENQDSTADANAVQAW WDAFPNPGSLLSSVEERITKSIRGSKLDNFLSLADSDSDDEDEGEEGNPHLPHGGHGD DHRHEDKTIYELIKDSQHASKFAKLLEEHGEIKQLLDDEEHNYTLFVPTDRALDRIHG GHHGHHGHHGHHGDDGGNDDDGDRKHRHEKPSKEFILAFLKYHIVPGLYPAHRIQTSR TLPSELHPAALDGASTRRHKQHHPQRIRAFTVPIVHLTRLNFQTRLVGPEFHAKNGIL RAVDFPLIPPPSQTTVVRLLPGQFSTLALALETTGLGGELDRLERRGGGTLFAPTNRA WAALGPRLNAFLFSDPGRRYLRALLRYHVVVNETLYSDAYYRGGGEHDHDDDDGEDGD GAARVGDAGRGYLHVDLPSLLHGAPISVDIRTWKEFVSIVVNGFARVDVRDVVADDGV VQVVRQVLIPPHKHRGAHGDVGDENEGKSKREMSVEELKARLEPYLETPETTSGRDNM GDL MYCTH_2297730 MHQFQQRTAAALAVRPPARSMLVPRSTSLSPGGIAGAVVGSVVG ALLIAFCVFPFIVRARRRRLTRHDDPGLAEMGQRPGRPSLNPQEVDDISYKRSSGSGP ESATAHHVPQPADLNQKSQSALPQAVTVGHGLPSPVSSSPASPTDPSSRVDERAVSPS TVAAPALARSPVELTSKSSRDASRGTVSCESTREASFGDSHGPPSRELTGITAVGITE EPESIDRPSGSPEHGHFPHLKESLRGLIHRRRSSHHRRDSKRSTQAGTDGARSPSVST NDYLSQQPELSQTPSGLEIDVETPGLAWDYYHDPNLGIDLPDTYQQSAPIPTSASIAP SSLPLGFAPAGSSFPGGQIPVTGAGVAPSMGTGPITEGVYTTSSDSDATVTPGVLNTQ STSLQGKGPGGSLQRTDSFPLPTIVSDLPSPPSLQYTGTVPSGNPMEMMKPTNSAESA WMLEHEMRMIQNSPQPPAAPVLEPASLVSVPTDGFAGQTFGNDAKPQFQSQLEVQYQS PYQSPPQTVAEHETQIGEETTGYLNNTNSLATSEYNASAVTTVVTSDYNANTLTTTSD YSTPPPSTGPSILDTPDTRLTPYTTSPSPQAEAGATVNSHLMPSPGHSAGLSPSPGLS PAPSPGLSPVPSPGRSPARPPGGFVCDVCGAVKSSYHQFNHHRRYHDRPFPCQHPGCG RRFGTVTHLRRHVNDKHHKTRRFYCTEPGCDYSRQGTKSFPRKDNWKRHMLKKHSVDP QNATDEDYLGDDVVMDGP MYCTH_2115531 MAATERPVVLPGETIDPSLIPSDPKKALRLGPGLRHIPPTDIVP TVAGQLMTNPVKNSMWIEYNSNRYIPAPNDIVLAQVLRSTTDFYLCTLSPHTAPATLP HLAFESATKKTRPVLAPGQLVYARVTVANKHMDPELECVNSSTGKAEGLGPVTGPGCV FDVSLGFARRLLMARSREDGGIAVLEMLGGEEGGGLAFETAVGRNGKVWVGSESARTV VLVGRALQETDRARLGIEGQRRLVKKLVREMR MYCTH_64380 MSDTAAKPGVEPSERTVIGITFGNSNSSIAHVVDDKAEVIANED GDRQIPTILSYVEGDEYYGQQAKNFLVRNPKNTIAYFRDFLGKEFKSIDPTHNHASAH PQETGETVSFTVKDKDGEEEAPSTLSVSEVATRYLRRLVGSASEYLGRKVTSAVITVP TNFTDKQKAALIEAAAAADLEVLQLVSEPIAAILAYDGRPEAKIQDKIIVVADLGGTR SDVAVVASRGGMYTVLATVHDYEFAGFALDKVLVDHFAKEFMKKNSGAGDPRENAKSL AKLKLEAEATKRALSLGTNASFSVESLLNGIDFASTINRLRYETIGRTVFEGINRLVE SAVKKAGLDVLDVDEVMLVGGTSHTPRIAANLRYIFPQSTRILAPSTEPTAVNPSEAE ARGAALQASLIRDYEAEDIEQSTHAAVTTVPHVFNAIGVVSVNEAGEDVFVPIVPPDT AVPARRTVHLSAPNGGDVLVKVVEGNTHIKVTQPEPKPKPKEDGDGDDNKKAKVEDAD DSDEDDSDFSDEEEEEEEKREKVWKIGSTLAEAAVKGVKKGGKVEVSITVNSDLSVIV TAREVGGKGAVRGTLASA MYCTH_2297737 MRFFPIVNKARKPKFDVHIKISDLNNVPLVSGVSMVKWHLPHSI HGEHRGRTQKCPIVNHRVEYNYSKIVSVRIGIDRNDSLNECPIEFEVVQEFSAGGVSG AAGRDEKITLGTVRLNLSEYVEESEAVLRDGRTANAIKEALMSPVQKSSTHRRQRSSL SNAGLPETDPSPRSSRDEEPPEGEIQDGVVRRYLMQDSKINSTLKISILMVQVDGERN YVAPPPKSAPVFGGIAGFVAGDAFEPVDVGAATTPGHPPSSFTGKSRDAFEVQDMYRR ALAASWASQPGELSADQCIENIFSGGEGFEPPPAAPSSSSHARSHSRRHTAIAGHGTG AGGGGGSGTSGMDGDTSPNPGGGGGGGGGRRRRRRGGGGGVGGRGRYPPQEDSGSVSD DEGEESGGDVMGTLRPRDVARLQRHHLREQSVASDRSAATVLVVGGGGGGSGVRDLGR ESSRGIGLPPSGPLREPFPSFHRREESKITHAGGGLRSRSSSLVSVATATTLGSDRER GREGFKRAKEVDEFEVREDLVAWTVPGSRY MYCTH_2297740 MDKNRSLPPEKGTDMKKRKDAPAQSRDGSRQQDGRSTPTTARSA KRVKFQDARNIRTQPSDAALEDGKLDLQKFLNAREFEINALEESMRKCKAANATRVFQ QVPRAMRRRTASHNAKRVPKRLRERARREMIEDNTPTVEARKRRPRTTRARIRAETAK KLAKLAERKRKKMRERAAAEGIDTGGVEPKPTVDTRAARPKIRRNTLNEPPKPDSKFR KRQINKTWLPTHLWHAKRARMTEPSKPLWRFAIPLTPTEKCYRPTHRAAGQKGVVAWD MSYMSTIGLYGAAEGVERVLKALGLTGEGLWGARGQKWRAGVRKWTGILSRQTKEGSR RDIGPATIIWNPQAPAQGDDVTTSTRSANSQRQLFIRTHPSCFLELFQELRKLVKMQT PQLYIEDLRFEIGSIELIGPGSTEALLGILQPYHDGSDGEERHGQVFKSLAGVTNPAS LPEDALLAFSVKDPRLAYPPKRVDLPAGGGDGSLVETLTRWPVEEGLKPFGIFSRDSR FRASRLPSQKAINRRKGANAPGEPLRVTPADPPIPVVLLASRPSTGGQAQGTWTLLAP WKCIMPLWYALMHYPLSTGGNPRLGGLDEQRQIAFEHGAPWFPADFPATDAGRNWELE QRAKQKQEWDRRPKGKRVEWKSLDLGAGRKGEIGNGFACDFEHLFGSSSAEEPPDRQA TGPSIADGAEMMDIDAPDRSEETEVSEPLGAPAPSGLIQCLPKASFTSLLRSPNSETP PANSIITVNLTFVARGVANTCARIYRLPSRGSRVAEPSADAEVLATDPTPTTTSSRTL PRDLRDQWLRKLPQPIDRGQGSRSRLTAPPRMPLGVDMETRKRLLAQSIVETELPYPK PAPNELDIGGHHPLCPDEGDLLGFVTTGAFSLSEGKGTAIGSISAARALEAMREAGAR EGKLCIVRNAGESVGWLARWELV MYCTH_2297743 MSPMARPSLLLDEPVAGWKLQLPELADADYVREVLARSDGATEA DIEHELVSKAAALRIELPASAFPFPDPQERSHEPRAPGVQQQQQNSAASTGSDGGSAD CSATLQISDRGAASPGTPATATITRETTMTREATATRRRSRSLSFSQYERYISQVDPA LEQSKFQKSEPAGGIAAKPSGKKGVRGITRSITARLRRRKPSPDQPMPCICCREDFLV GNTALHTIPCGHCYCRDCLTIMVEQSMLDESKMPPRCCTQPIPAAIIKTVLPREKQQL FLKAVVQYSTPWEARVFCPNTSCGEFIPPASKPDTKHPFETLCQSCQTRVCTMCKRSA HQLGQDCPEDKESDAVLRMGERSGWRRCYKCRSLVELAQGCTHITCRCKAQFCYICGA VWDPAVGCPNFCNGEEEMERRRVEEEARRAELEAEKEARQREAEAEEREKEDAERRTR ESPEFRALRAEQEAERARFGAYERRVKEALRARQQRRKVALADKFSDLMDRMRERHAK TEQHLEDLQVMAEIELQASLEEKRKRVQIKLRHMEDYCAGKIAASGNDGNDGNDESSP SLSSPQSPQSPHPSPPTAPPSSSSLPSSPSSPSSPSPPSPQEQQHQAHQTQAAPPPDA GSSSSSSSRRSMPQRKVTERDLEQLRQQYSLRDGMERRHRSQINGLREKQARSMEELV GRHEREREALADRRAEEVEDLAVRFANEEDALLRTLADRRARLARRWLLAAEVLRAEL EARHRRRFAPMPVTPWPDEEEEEEEEEEPRRMAVEVGCA MYCTH_2313954 MSEARQRVIETNRSLRLIKKELESLLEKGVISDSAFDSINALLP AESPLSGPGPRSNNPTPAPPADSPASTAAPPSYAQSTASPPSLPARNNSAAPPQKQQQ QQQQPPPPPPQPEKPVLVYARALYRYTAGDARDCSFERDDRLAVHEYMNADWWLGKNL RTGQEGIFPRNYVVVVEEAHQKAAAQPPPAPVASSYQQPQYAAPASASGYPVSPVPVH GYSAAPPPGQQQQGEESGGGSKLGEHGKKFGKKLGNAAIFGAGATIGSNIVNSIF MYCTH_2297751 MADYQRDRMPPPRQPPQQQRVQPYGSDYQRDAAFSNIFGAAPPP GRSQTMTSSVAPPQFNQDGRTNTMSSTVSDMHRSPPPRPVPGGDHQFLPPRPRPDGSG QGYGYYQGQQRSASGGQQVPSPQYLQQQQQARRPYPGGPAVQQHAPPRFDPRRGPPPP QGYYDNGAQPTPAQRFYQDGRPAPAMNTDPYRSQSLANYPRPQMYQQSPPQPYLPQPA PANAFRNAQYNQHSARTTAQGRIVPERHMEDRSMTMTGYPSHDRDAHQTMSGRVIPNR RPVGMAPSRPDFSSSHGSSNPGFPAGYGPPGSQTRTTSMGSSVGKDSNRSMSMASTIA PPPSVAPSDKSDAQTLVQQRTSMTNSINGERPPTAKIRPPIVYPALLSRVAECFRQKI VTGDRTKNELTYKNAFSGAEAVDVLSYIIRTTDRNLALLLGRALDAQKFFHDVTYEHR LRDSTYEMYQFRETMTDDPEEKPAVNGVFVLLTECYSPTCTRDQLCYSIACPRRLEQV SRLNLKMGPGLKGAGNATMHDDDMDQTDEQKLWINSVPKEIADSIGDREKKRQEIISE ICYTERDFVKDLEYLRDFWIYPLRGKINGLSPIPPQRRERVVRTIFTNIIDAPSIHGV SSRFARALTERQQKTPVVRNVGDIFLEFVPQFEPFILYGSKQLEGKFEFENERAINKD FARFVDEVERRRESRKLELNGYLTKPTTRLARYPLLLENVLKYTEPDNPDKEDLPKVL TMIRDLLGRVNEESGKAENRFNLRRLHEQLKFRPNERVDLKLTEEGRELVFKSQFKKS PTENPDITAFLFDHAVLLVRIKQVGKTEEVKAYRRPIPLELLSIKEMEEVIPQSGVKR SSSGILPALRNTGTEAKKGEGWPITFRHLGKNGYELTLYASNQSGRQKWLEVIDNAQQ KLRARADFLNTTVITSGFFAGTNKVNCVAPFDGGRKLIYGTDNGIYVSDRRSKENSTP KRVIDVPSVTQVDVLEEYQLLLVLSNRSLLSYQLSALDPNEPISSKRAKKIQSHCNFF KTGICLGRHLVCCVKSSALSTTIKVYEPNDAMSRTKKQKGLSKMFNAGQDELKPFKEF YIPTESSSVHFLKSKLCVACARGFEVVSLETLETQSLLDQADTSLDFVARKEGVKPIH IERLNGEFLLNYSEFSFFVNRNGWRARPEWRLDWEGTPQAFALSYPWILAFEPNFIEL RHIENLAVHIVPHRNIRMLHSSTHEILFAYEDERGEDVVEALDFWKSQRKSELYQGGA TNPSSPPGSSQGPSRLGTGQ MYCTH_2297754 MADSVYSPKFAPFVGMSGIAAAMIFGCMGAAYGTAKSGIGIAGV GTFRPDLIMKCLIPVVMSGIIAVYSLVISVLIAQDLNPPALGGSNYSLFNGFMHLACG LSVGLTGLAAGYCIGIVGDKGVRSYMQQSRVFVGMVLILIFGEVLGLYGLIVALILNT KSKG MYCTH_2297760 MATSIADEGPGLARESFSAEDFETASVRSIRSAAPSYTSDAPSY HTINPHPEPLPPYSPPARPNPTPATASRPTPAPRNGSVSSLLDLEPFQSSTTSSSSSS SSTASSSNSSTPRRYGLPPVPPGPPRPPPAELPSLAHFRTPTWSSAGGGASNPTARIY QNVALRRASAASSSSDNSSSRNGRGSHLERAMLRRVMLERIDEEERNSLNRVRPLEDP YLVGEEAAARARAERLARERNLGDEILIREDRRWDWFLAQMRDREERERNWKRFRKDI EKRTNSRLPFRIGARF MYCTH_2297761 MLQKAAVIGSAFAALARTVGAAQPGAAQPVPAPMRDLVWGKLNF LHTTDTHGWHAGHLQEPQYSADWGDYVSFAEHMRAKADRDGVDLLLVDTGDRVEGNGL YDASDPKGKYYYDIYREQAVDLICTGNHELYVASTADREHQRTVPNFRQNYIASNLDY VDPESGERMPMAQRYRKFQTKNQKLDVVAFGFLFDFTGNANNTVVQPVEETVQEQWFQ DAIREEADVFVVIGHVGVHMPEFRTIFRAIRKQNPLAPILFFGGHVHVRDATAYDSRS FAVASGRYFETIGWMSVDGELERTAHSEDADFSFHRRYIDNNLVGLHYHTGLNESTFP TEHGRNVSAMITKARDELKLDQTFGCAPKTLWMSRSPYPGKDSIYSWLENEVLPDVAV NSSRGDVPRLVILNTGAIRFDIFKGPFTRDTTYIVSPFLSAFTYIPNVPYRIARKVIK LLNSAGKIMESGPMDNRFMAIPEQLVIHEDIVHESPAADAYADAFGQKPLGGSAEKRP RLTEGYTTKDDIGSDGDDTVHAPVNFYVVPNCVQAEVEFPTDGEPETVDLVFLDFITP WVLMALRLLGGEYSQKDVQPYIEGTLTGLMTGWIEKNWPKDC MYCTH_2297763 MARNSEKAQSMLFRFREAQAADLGIIDAGRTRRPRNITEQDSIP ACEKWRGQVLKEISRKVSRIQDPALSDYQIRDLNDEINKLMREKHMWEVQIRNLGGPN YMRAGGKVYDEAGREIPGGGKGYRYFGRARDLPGVKELFEAAAKARQEEEKPLEERAD LRRQVDAAYYAYAPGEEDDELLAYERAREKMAFDALAKAGPAETPPGWEPLPGDTGDG RVWELPTLDEVQQELIERRRRRLLDQL MYCTH_2297764 MHTIPTCCILVTLVTIVQQLGHGLLTLFSLFVKPLTQLPDIQDV VRPNKHNSFFRILKTTKAKRHLLYSGDPAER MYCTH_2297768 MRSLLSFVVAFVFAAVVSAVSTSGNRLLAILDDVADKDNYSKFL GDLESRGFQISFETPKSESLALFHLGERSYDHILLFPTRTKGLGPNLTPNILVDFMNA KGNILVALSSGVAAPSSLVSLLSELDIQLPADRTGLVVDHFSYDASSAPDAHDVLVLP PPHPTRPDVKDFFGAGAGRDEVLAFPRGVGAALGQSALLTPVVRAPRTAYSYNPKEQA EVVDDLFAAGEQLALVSAFQARNSARFTLVGSAEMLQDKWFDAEVTKAGGNKAAKTFN REFAKRVSGWTFNEIGVLRVNWIEHHLDEAGAAANESNPKIYRIKNDVKYTISLSEYA WDTWTAFSLPSNDALQLEFSMLSPFHRLPLALDAPQSSDSASAYSVSFKLPDQHGIFN FKVNYKRPFLSNVEEKNTVSVRHIAHNEWPRSYVISGAWPWIAGIGVTVTGWLAFCAL WLYSAPVGGPRETKKTQ MYCTH_2313956 MNGAAPRFWAGPLRYWRWAARERPAFFWSVVIGALGPVTLAVVP PIRRALGDEDAAPIPLTYPVPTGPRKTLTGYDDDTEKD MYCTH_2123684 MLPLRSRRYRASTSASTLQTSKAAEVLQALLERLSGTVRSAPEG SYPQIEELVDRTRQVHQHIAAAAPPSSPQDDFRHLRGFQTLLDVLRSFSGFYNPHKRR EDERRAIFDLLHVVLATLSAAFRGHPGNRRYFRDRVDGGGWEALEQTIASIGVGGGDS DLWTNCQLFGKLLSFALDDQRLDELCRSVSAWTDTDELPEPLDGTRREAEDSIEGPSS PKHDTAPSLEAIEKRVGEIVGPNTVLRNAEIIRAVVAFWESIPRGPGVGTSRASILVL STLSNLASVSLFNLEALHGAGVLSRLLRLLFAQDSVLTTLEMEKALPLCKSLMQLGIG QLADAQFLLSCRDPATSEFCLSMTEKYAGPPFIQFDLSLHGHSSIELANLGRSFPPQT APGYTFTAWIRIDKFDPSSHTTLFGVFDATQTCFLLAYLEKDTKNFILQTSVTSSRPS VRFKSVVFKEHQWYHIALVHRRPKTMVASKASLYVNGEFAEQLRAAYPSLPPAPPTNA STESFVSFSSSSSKTNPVQGFLGTPRGLAAQLGPGLVHSKWSLASAHLFEDVLSDDLL AVYFRLGPRYQGNFQDCLGGFQTYEASAALGLRNEVFHPGKDENSDILKAIRDKASSI VPEHRVLLSHFPRAVFSADGKFMDSLLFRSLCKSSASSLFHSTVKSGSAVAVNGAVPC INDALIRLNGISLLTGDPVAANPYHFDDNLWRLGGFTPVALKLVERSSTDDELLRSVE LMFHCISNSWRNSEAMERDKGYAILSMLLRAKLGFGVPGSDTQSQAWRLPLTNEARDR LSFQLLSLILQFVGYKHANPIESFIINPLAYRVLLIDPDTWRRSSPLTQELYYKQFVT FAVKSKHHQFNSRRLLRMRIIKRLLDALKAETVSEEIMPHFMASFESLVKCNYNAEVH RSIALFITYAFHTAPGSLPRTPKPASTSGRTAASSLGVSRRPTTESGRPSSVAGSRML TKKEVGIRILEMYTRLLCDKSGLANIRKFAKTVTNKWLLYLLAENDPEIVVLGCKILA RLLVTQPSGYTAKFASKTGGFWIMAHRLKQWWDIATLWPILFSILFGYDVANIDFDKS FDFFSLLEIFGDSRVVFPDLLPVITAMLQQGLRDILRYQDDPDSPSRDPNATVSSRES LSAVQTRPRARSMELRQALEPRRTQLPDKERVTANAAVLQTVVRFLSDMHNRSVNFRD FALSSDYVRHLCAALYPIVVSSDPVTAETELNSKDTLNFEGGDVIIKPVPGSSSTAIP IIKTANSAPSDTQPASQSPGRGTPLRRPSSFILVTSQSPPSAPAPARLSLAMSPTKKP TTRSPSNAVLEGVLELIISVFIDQIIVRKEFHGFGLLSKVPPGFQEHRAYFETYILRN VITHLQNTIQLDQKVLTEPKTLQNLARLNLHIAEAVFEGSFMNGAETMINFTGTLLEY LQRPDVSSLKSVRLCSSAVATIRSTFLKCTLLRLSDMGDPETKDSEAQSTMDQLLYWQ AVLLGCLSMEDDYMKLLWYQLYNKLVGSRQNIRLVAAMLWRIMLVQKPEESAEIFRQI MTADQRPLARGFEKLTELDDAAFLEWVDQHRPSLDVLFLGGMSKSWEDFVGAENHRTA EASKLRLKNRKEKLKQWHTESLERDNILLRHEMANSAWMKSIYFTEHFKHQRLLQDLQ DDNAFLASTFAKMERDLSRPGAVFSQPQTIKWQLDRTEGRNRMRLRLLPQYPSPQQQD FQPKKNNGAAGNSRATVNPGNPSTTQGTRPTTPAPAQSTDVEAGVSVNNPDISADADP VSGDADQQSVAPEEDFELVDDPNEPEGDDTFEDKNRKVMRRLQQGDSVLNVFNISRII GLDASEGILIVGKEALYIMDNLFQSADGEIINVWQAPPEERDPFSIIITGGKPGERRP NQGRTDQESRSWKWQDVLSISKRRFLFRDVAIEIFFTDGRSYLLTAINPAMRDEVYSR LTAMTPHTNNPSLLPNPEDVWRLESLRFTEEAPQTLGAKFGSIFQSSAWNPMMKRWQR GEISNFHYLMLVNTMAGRTFNDLTQYPIFPWVLADYTSEELDLNNPASFRDLSKPMGA QSPGRAADFAMRYKSLAEIGETPFHYGTHYSSAMIVSSYLIRLPPFVQSFVLLQGGTF DHPDRLFFSIEGAWNSASRDNGSDVRELIPEFFYLPDFLTNINGYNFGVRQGDGGRVD NVILPPWAKGDPKIFIAKNREALESPYVSQRLHQWIDLVFGYKQRGEQAVENLNVFHP LSYKGARDLDNITDPQERAITTGIIHNFGQTPHQVFTKPHPPREYDRCPIKRLDTSVG ALTRVSYPLLESRERVASLTYVPKLDRLLCASPFRLNLPPHYDKFLEWGYTDNSVRFF LSDNRKPAGLFENLHIGQISTLIFADSKTLITAGEDCVVSVYTVQSSPSKPLVDLQLR SSLFGHKTPVTHMAVSKAFSTILTVSQDGVAFLWDLNRLEFIRKLPLVRPGVECAKIN DVTGDVMLCAGQHVLLYTLNGELILDQNVCAGTHEAAAEDYVHSCAFYEGSGGNEWLE NQLVFTGHKRGVVNVWRKTVGPRSGRWMLEFMRRLDHVNLKSETGANIEAAITCIAPL PGLVYTGDDDGRVWEWNLVQRDR MYCTH_2297779 MRGLVAFSLAACVSAAPSFKTETINGEHAPILSSSNAEVVPNSY IIKFKKHVDESSASAHHAWIQDIHTSREKVRQDLKKRGQVPLLDDVFHGLKHTYKIGQ EFLGYSGHFDDETIEQVRRHPDVEYIERDSIVHTMRVTEETCDGELEKAAPWGLARIS HRDTLGFSTFNKYLYAAEGGEGVDAYVIDTGTNIEHVDFEGRAKWGKTIPAGDADVDG NGHGTHCSGTIAGKKYGVAKKANVYAVKVLRSNGSGTMADVVAGVEWAAKSHLEQVQA AKDGKRKGFKGSVANMSLGGGKTRALDDTVNAAVSVGIHFAVAAGNDNADACNYSPAA AEKAVTVGASAIDDSRAYFSNYGKCTDIFAPGLSILSTWIGSKYATNTISGTSMASPH IAGLLAYYLSLQPATDSEYSVAPITPEKMKSNLLKIATQDALTDIPDETPNLLAWNGG GCNNYTAIVEAGGYKAKKKTTTDKVDIGASVSELEKLIEHDFEVISGKVVKGVSSFAD KAEKFSEKIHELVDEELKEFLEDIAA MYCTH_2297781 MPKSLRGSSSTEDFGAATLESQQCPQQSITLKSRARHVSRSLRV KLKSLFLTSKPEGETPSIPCQHIEARRTHVVTHSQTPCTSVEGSAEGSREHIRSPPAK APFLHVPAELVHSRKASVDSLKSGRDDRKVSGSSSSLTSWAHSGPSTLTSQEQQQWRE WEKQRLSVIGENDAHVPSPSLRRRVLGSETFRPPDGTAEGHAPPQQKVDSQRIYSALV KRMQATNDTREGLEEQPASGILENPTLVTDKKAERHSTGTPATVKRVFQEHEYTAVSH DEDLLIAGNSATLGRGEACSGWRTSNVGSPGSHLFRTGSPYRRALRKSIQEEQDAWAQ QRAAADQESEKGTEIRYASDVHHLPETDSDSAKDLVYSESAYSSDEGESGPAKEVDAG RRKSSLGAPPMSRLGGRREASTASSVGWKTLLSANFDRFDPAVSPLRPSKTRLIQPRE VSRTATKQFASVSGHVRELAQINDDNDCDHKSSETYNGEDDVFYQSATERQTAWTNTA PLSQVQPNIITNPFPSPLRHTGSIKRRFTSHSLGGTNIASSNLLVENESPTRLPPPSP PSPPPIPPRSKLRPEPLRIWRPNAGDADVATNPVASGSGSASVLSSPGLTEAVRRQFG GNLVGLGSGSGSRVGFGSGLNGDGPKGERWGYSGPPGIAQVLEEGAECEKRGWGDEGS AFV MYCTH_2297782 MSPPRGLVSALQLLSSVLAVAAGLTPSLRSDIEHSSHPRCKAVP GSPGWPSTREWNRLNESIAGRLLRPTPPGAVCHSGQGDGESPECAAVREQWSTYEFHQ ADPVSVDWNNWANDTCLPFPGAPCSGQGYPVFVINATEARHVQLGVQFAKKHNIRLVV KSTGHDYVGRSVAPNSLSIWTHYMRDIKTHKSFRPKRCKATIDSTAVTVGAGTQMWDL YNALDLLNQTVVGGGSKTVSVGGYVTGAGHGLLSPTYGLAADQVLEMELVTPNGDIVT ANECQNEDLFWAMRGGGGSTFGVLTSVTMKTFATPRIEAATVMLMTTDVAQPRPIFDM VAYVLSQFPSLADRGLSGYSYVIRETPNPLDNGTTSVGGIVFAGVVQNSSPEGMRKLW DPVLARVNATWPGRFVHIYEPSSYPTFLSWFSEHFDSDEAGHDVILGSRLLDRAALTA NLTALSAAYDRFTAGATSTAYLVSGRGVHHARPRGPRGNAVLPAWRSAYVHATLGEGF PPLNATAAAAAKERVRERVAALRELAPRMGAYVNEVRPPQPPPLPTPHFLLAPSSIVC LH MYCTH_2297783 MNAIQQKCRPKHQVLVLKCYPRTTKGAVDVKPNSSELSYLLFYA QSRRSKIQKVGSFLGKKTASDVYRQRIGNVQVTLQILTALIEKTPKDLLLFASCVLQI LEQILKSRDITMVESSIPTFEAFCSHHDPTSLVADQAYQRQYLDVVQQYASLASTRAF PGRLEPSKPIALRWRNTGLKAIKSIASSDALSSAMTQQYDLTVPVILENLWTDNEDFL DVLHQRAEMGEKLGGTTLLRRRTSVATVQTAESESDANPIALADTAVDMDKLAEENTG VLAMECLRQIFVATNRSQVHAATVALLKFIQERVTQQEDVVKTDSDGRDSGWAIKMFL LVARWAPVADRFTILITAMDALTQHPLTDDTLRQHVVLTAMIGALLRSDINLIGLSVM DVLLQLVGHIRKLVQMPGDPDSLRTEPHVPGEPDPRYLAGLQLSGTAEQAAAQRKNLL FRLQECIGDLATHVYYADQIFDMISLLLQKLRPSRSSSSSGSPPGDKTDTPQSSVNAL ADEHHHDDSLFGLTVAKIAALRAIKSVLLVANPRTKMSGNVGLSRSRVPIRVWDGTHW LLRDPDGLVRKAYADAVVTWLDRETTSADSRARDETARPMPKHREPGASLAKRAVSSA SAREKPAKATRSHFLQLLHLSIYDNAIQYIDYENDMLLLHVLLAKLVDKLGINAVGYG LPMIFRLQEDIQDAETPIHKVRLGSLVHGYFWILTEKFDFEGSVIGRAIHNEIVRRRS KNFWVEGISMPPPLIDLMGTPGTVGRQPRLPTEEIESEALLPFDERLGLVECLCAAYQ EQTVSPSQSPAASPGRGFSHPVMGSAATNNMPNVETDQEIPASFREQMLSEWSRDAVL AAVQTASKSESLNGSRSGTTGTNRLNTQSGGLAPNGYNARADRSSPQGSRANLRPSTS PAGDAAGKASLRSGGHRIPAPAGNGEAKEQVVASVERLKLVLSGHLQPPPPPTMHGGP SFQHDDSSSDSLVSYDMTPSELSFNPATLPGNGHGDGNDFGQEEQQLGATDRLGQQRQ QRPVSRERKTQEVGRDDDEDGRLGNGNSESGGGDDDVPPVPPLPTGLVGVERVGRSAS TKTAAQQDRAATLRRPSTSRRSVKSRGGGGGGGEHRALSGSWASADDRAPPVPRMDLD ALLRGIDARVGEGEAGGQGLGAVTRPPY MYCTH_2297787 MEKQNTHKNNNNNNNNNKNKKTGALEIHLDSRPLRHPTTKAVIR LPASKPDLAHALAIEWDGLTSAQQATKQHLIPLTSLTCRALDIAADPSLRAGIAATVL RYLDTDSLLCFSPPPEEEEGRDDGSASLRAAQERAYASTVRYLTARLWPGISIVPVLD GDSILPRQQPPGTREVVQGWILALSPFELAGLERATLAGKSLLAAARLVAEWSEEGAG ARESRSPPAAAAEQQQPGETSDERFGVEEAARAVSLEVDWQTQQWGEVDDTHDVDRED LRRQLGSVVLLVSGTGKASSSSNTSTS MYCTH_100011 MSNITNKVISQTLSPVDVPPKTFTSSDTTKSRLAAQRLRIATKR AAADLEDSTSANPEKRIRLDASEGGESSESNLAQRTTDRNDGDKPNDSSKPIIGSRTD ASEEASFTQHQVIQPISNRSPAAGRPLVWANGRGSLCEALPYFRAFKGSLHSADLVCQ GFLIDQEADQRDVFGSQVIISSVGGGRTKDPGTGSMIRSKDASDTASNIKAIMNAYHN KSLVAEKQIPIGGSKDVTVWRMRFEKADLTEPSWWVPKGEDATMPAASLNTDVKAPVA ICKQCNTPSKEIFTAGWFCLNHKCENYFVLPTGMAVDAASLAYSDTFLNERSPFMGAI PSVKPAVHDFEGFHGTELALRRGFVCPDCGCCNRRVYWNRWVCENKECQYARDALMLP YPEALLRKENKEFDGRMQRRSIRYGVNENTLHQEDYLHDPFATIYQRGYLQFSQTLTL GGIFSASKEITSKPNGPDDLFRTLELTDIGLRRNPAAVVGHKLEGYTRHFQQNFGARY KFGVTVQSKGFSEAPDIILRALQRLIWAKQVAVEHSNAFIKALDPKHVGKHAVVSNSG DFNELLALGYMEQDKINYHDDGERELGPVVAALSLGSPSTMRFRPKRNTQFFLPTQQV QGKTCYKEVLEVTMKHGDMMVMIGTEIQKVYEHTVEPYGKRRFSLTARYIDPEKMESQ EDRDDAAVKGAIPKHAQAFSYDGF MYCTH_2297791 MVFYPPPWVPKLPFDPPDSVTIGEFMRNEIYGRRPISKSRNPFT CGLTGKTYTAIESYQRSDEIAKALSKIMGWQPNADSPWDKVIAVFSFNTIDYLSVLHA VHRLSGIATPANVAYSASELAHQLRSSGAKALFTCVPVLETALQAAKAVGIPEDKVFI MDLPNQTQKPPFKTVDDLVELGRSLPDLEPLRWVKGQGARQVAFLCYSSGTSGLPKAV MISHRNVIANTMQYCLFEDVSRKKFGIDTQVTLGLLPFSHIYGLVVVAHSATWRGDEV IVLPKFELTEYLRAIERFKINHLLLVPPIVVRMLSSKDLLKKYDLSSVRMIFTGAAPL GKETAEEVVRLYPNWRLGQGYGMTESSTVVCSTSEHDICPGTSGSLVPGTRAKVIDQD GKEITEYGKPGELLVQSPSVTLGYLNNEKATAEAFIWDEDGRWLRTGDEVIVTKADSG YEHITIVDRLKELIKVKGHQVAPAELEAHLLTHPAVDDCAVIAVPDERDGEVPKAFVV TPASMASRNKEEVAAEIIKHVRDHKAHYKWLKGGIEFIDAVPKSPSGKILRRLLRDKE REARRAKGAKL MYCTH_77970 MSLSRQVDPEEIIQHFQDLRTPSTSPSSLGGGRGEHLTPFSTPY ASQRDIPKYQIPQDGAPGDTVYEMIKDELDLDGRPNLNLASFVDTYLEGNAQRLMVEN LSKNLADNDEYPAMLAISNRCVSILAHLWGVRKGERAVGAPTVGSSEAIHLGGLAMKR RWQERRRAQGRDTARPNIVMGANAQVALLKFARYFEVEERVLPVSAKSRYCLDPDLVR ENIDENTIGVFVILGSTYTGHYEPVEEISRILDQFQEKTGVDIPIHVDAASGGFVAPF THAGAGGRKWNFELPRVVSINSSGHKYGLVTAGVGWIIWRDQSYLSRDLIFELHYLGG TEESFTLNFSRPGSQVIVQYYNLIHLGFSGYREIMENCLANARILSQSLEATGWYTCI SEIHRPLAPPSPAAGAGPGPRGRRRRVKGAVTGQPAAPDGEGTTGTTTTTTTETSAGY VAGLPVVSFRLTDEFRREYPHVRQETISLLLRARQWIVPNYALPPGEDGTEILRVVVR VSMSFDLLDRLVTDIVQVTETLMERDEVDLSVLPAQHVGPRPRVKKREGERLKDVGRE AGKKRMTGGIHRSVC MYCTH_2297794 MSSTLRHAKITPHRSAARGHANHGWLDTYHSFSFANWYNPNFTQ FGSLRVLNEDRVKPNSGFPTHPHRDFEIFSYILSGELTHTDSTQQHKRTKNSSSSRSE QGEQEESDLFCRVRRGDIQFTTAGTGVTHAEFNYHREEPVHFLQIWVIPWKRGLAPRY DRARFADDDKRRGFVPLLSPLRGGVDATPAQERAAEPVIPGTIAIHADFVMAAGIIAP GGRFEYTVGANATDAARRKVYVHLPMTKGGRARIRLDGREEDEGGVLAEGDGAFVEGV NAGDKLVVESVGDAEAEVIILDTA MYCTH_92165 MCYIEKNVYTLALRSCRPCELAKLKYWFCPECREHYRGYDTNSV DAILNYWAYKTLHGFSYSVSPQAVPGEVLAGLMPVTDGFARPSRSRDGGTGFGRLEKA RSVTLDLAGRWSTVTASHETREPVVEHVVRVQPQAASPMSAYPHAHVLSSITEHGEEQ EPATRVTASRKTGKPPYHHPETLARLCGEVPQRIMPQSGETQEPTTTTTDPGQSGIPP ASPTPSQTGHEMGHSAKQIPLPFDGPPSRSLTAPAKQQEATGHNNGPTGVETTNQKPR GDGCGPVSSDIDGISIPEAPAPANYRVLRSTSFDVSAEPTRDSPTDEPVPFPQPDPNA LDKELVGVILDGAPLKIVLVINEDEPSMTSHFSISDLDPDEAAELAGLRSVSPPP MYCTH_2297796 MDKTQDALLRRPLYLFDLPLEILDSLAPKPDAGLPALAEDDAPT KTTSSGEPIPSPAGSTENVLGSQACSLCGMAFVTVQEQKEHLKTDLHYYNLKQKMNGL KPVSETEFEKLVEENDVSISGSETSDNEDDDDDDDDESSRKESTLSALLKKQASLAEK RRPDDGDQDDEPKAKRRGTGKPPLLWFTSPKLPDHHYFGIYRAMFTPEELDKEDAIVE AIRQRQLPPISMPKAPKDGTSVPPAYNGRHIFLCMMGGGHFAAMVVCLAPKQNKHGST GPLNREAVVLAHKTFHRYTTRRKQGGSQSANDNAKGAAHSAGASLRRYNEQALVDDVR GLLRDWKALIDTSDLLFIRATGATNRRTLFGPYDGQVLRPNDPRIRGFPFSTRRATQS ELMRSFIELTRLKVREIRPEAPPAPAGETSNKASKPKADAKPATRKRSDEEEAAIFHT TQLQATIRRSKLPALLSYLTTNNLPPDFVFQPADSQQNRHAPTPLHLAAAQNSAPLVA GLLTRAGADPTVLSPEGKTPFDLAGDRATRDAFRVARAELGEAAWDWDAARVPAPLRR AEAEQRAERERSALERDEQLRRRAEEERLKVEGPKIPDANNNNNKKSSSSSSSSSKGG GSLLGGPPKTAEERRAEEARGLTPEQRMRLERERRARAAEERIRRMKAGG MYCTH_2297802 MKTFVPFVALAAGVSATFIHAPPFTCPANTDNQCTDKQKPGFSW DDLDFGDFFDYGGFHFRGWKCEDASEKRGRFAPRTFKKAIGGTCHSDKSQSPSFGCGP NVDKFSLGSIHVKPEFDCDLEFHYDMPDGSICKHRSPCSKSGTTVHNTQCGGAKNVTI VYPPQPNKPKPSCSIQVPTISFDCSTASSTQPPKTKTKTTETPGTSTPPADATTTTTT SEVEASSTSTAETGAPPAQTSTEVVPGSSTTVSVPEVTSTSSVSPGEETGSSSSIVNP PASESSDSSIVIVPPVTKTITTSFDSTSTIFTTSIQTITSCAPEKSAECPANSVSTTV VTIAISTTVCPVTETRTTVESQPTVSGVAPTGSAPSAPSGVPSDSTPGSPSGVVPSGV TSAVPGAGTTSTRVSGGGDSSSSSSSAVSSAVSSVSPVETLPCPAIVPSCLNTFLFSV GCSDNTDAACYCPDATFVKNVYDCLYAHGESDAIIAEAIAYFQGICGNWAGQNPAIAT GATITTYITVTATPTVAPVYTTVTVDVTTVVPCTNDAGEVIPSSSTTVTVSTSLTVPQ VGFTSGSSDVDVIPVTSAPPAVTTSALPAGDSGSSSPAGPGAVVTANGTFTGITTPSF TSSPRPTDSTVVVAGSGRVTASFGLAAALAFFALAL MYCTH_2313963 MNHLAVPPHLRVAHGGSIQQHGWSPDTTTPTPTPTGSDESITTT TLDLEATLAATSTPLFSTGSPTGSSPQVRRPSTPPEAPEYINHEIATLLRNPAGLSPS QIEWGYVYLLTTSHDGTPLVKIGSTKGSPDARKQKHRLQCGATLGDLETFGNPHVVCR YPRHVERLAHAELRDRQYPFGCACGIRNHREYFAVDPHVARRVVERWIRFCDQEPWHF PGRAASSPTTDGGFPCDHDPAGGPRWCRSPGRSPRQGACRGRLEREWV MYCTH_2313964 MDSLPTPPNEEHTRPQGSKRPHAASKPIKTVHRTLKRTSTHSHP PSPAKESHSQHSDGRHKRVWKACERCRMKKTKCDGEFPCKRCKDDGLICTAGTRKKTE YKQLPPGYAEVLENTQFVLIATVQKLYSMVRNGEPWDLGEPELNDRGQPVIHNVAAKL GCLRPNSDLDLPVHSIFPEDEAGMAELARQLQEHAAASSASSSSSSSSSSSSASAGDA SQNSSGSNSSSGQETESNTACNRTDRASSSAASDAEHSDFDLEPDYRRAAFGTASAVS LSPASLSYPDFDLSPPPAAPSDGFPPSQQSPTVPAAPNNFQWMSRPSSMDFTTQQLWM ASQGFVGTDMISSGVLGSNYTAKPTGFSSSCRNPEVMMGMGDPMIFSGFEEDSLRPLF SNTNI MYCTH_2297808 MSLQVDDGSRRGRSRSPGRRGADEDGGRDRSRTRASPNVVEVPY PGSTDSLRGDPASAAYEYERLSRWPAGTDAAAGYYPPPRGKPADDRDDPYAQARREVD YGYERDGPRDRESDSDRDRGRQRPDLYLPPKYAAKIVTVEPGSPSRQSRDSLPAGKDR ESEKERERRRKKEKLEEDLAYGKLPGPSKYEAPPSPRPESYGYAQPKPWEYAKPPEEL RYNATHLDVHGPGGGPGRSPSPDPPSPIKSAMKRDRSRSPMPPTARMSTLSVDTPRPA AGLSVSAAPVSPLLEAYHGTWQSLSPMPSPMLPPTADPQILEALSPIASDDERAGDKR RARRARFNDPADDAARLAKALKGERRAPDTEPLIEILPGLTHEQVMELRAEYKRIVKT GAERKGVNIAKHIRARLKDEDPNLMKVCYATALGRWESEAYWANFWYHGDKTRRELLI EALMGRTNDEIRRIKEGFRDKKYANSLTKCMRTELKEDKFKKAVLMVLDEQRMEEVDA YGRPLRIDYDLVDDDVRQLHHAVRSEKGGESLMISIVTQRSDAHLREVLREYKDKYRG ANFAKDALKKSGNLVGEVLAHILNGVINKPMRDAMLLHHALTASRRDELRRELLISRL VRYHWDAQHMALVKRAYREHYGRELQDAVREATRGEWGEFCVALCIVRMPDDVRQVER VDIHR MYCTH_2297809 MKASCLCLTLGVAPLAICAPVSRFLPGPGGEALDHAINRFAPEP WFAQGSQTVEKAEPQQGFNPHELPPAKVAQPNVLPPLSRHRPPPPAERPEEPETDAVF VPHPAQDDPNTPELFESQTTETSESAIPCHQALSPREHNDVLVVCLVAAFILVVVVME MWKSLFRRKGAIRLESSASPSQVSFRDTQDDGSHAQNEKRRV MYCTH_2297811 MAPPSGLHQSAIPSDSETPFPPLVRSSTVPAGLRSRRADPSHLS PEDAYAPFSPPHRLASLDRGVNASGAESRRGRIRHKDPNRSRSRRRKRFQKLLWVKQS YPDNYTDQATFLENLQRNPRVRPYDFWPLVADSTVIVQHVCSVIIFVVCFVGIFQERV SPVSVVGWSSFATFLGWLLWDWWVTKESTSGLGDEDARARMMRDGRAYREDRSPRPQD SSGLDQPVPNPNTASNSSVSNLPSAASSTSNLLQDHSHHQQPQQPQRPSLSVSGASGG SNSNSSNSGSSSSSNSGLLIPGHPHSRSVSSVASQASAATTAAARLGPDGPDNHSHAG DPAPSPPLARNGRAYVRLGTIKSAILIYFTLLGLSPILKSLTRSTSSDSIWAMSFWLL AINVFFFDYSGSSWAAGGVVAGTHGGGGRSGSSKRMPVASLSTNAALMASTVLASRLP STGQVFSLTLFSIEVFGLFPVFRQYARHRSWRYHVALTVLLVLGAGAGVGMILGDGKG WPWKKGLLGMLVGCLIAALAMGGCSWWLIGLQKYKNEIYGPWDPARPIIISRRHWDDE MYCTH_2297813 MSFRSDDSRRYGHVPPAQYSVATPPQEPAGYPARRLSFNSGDDA NYFEQPNTRPQRSYTGSTGRADEELFITGPTAESQPAANRASYVSHNAAAATYQRQYQ VPPPPPSHSTYNPQAFARSQSTSLPYHPAQNLRQTPSTSPIYTSPPTTYTPPVYNPAA YANTNSALSHRQSTGGYNNYGYGNYTTPAVPHVSTAYVPQPPSTYGAGGSQPSSATTP SAPQRYEPALHSPQYAPAAAPSAVSPQYESPYPTSYGGQQYSGSSYASNGVTAGSSPA YSAPTAHTPYPVHSLMPVGPAYSPDPNSFSNRVSRSNSQPSPLPSPPTHSQASPQVQR HPTNAPLPSRPMDDLPEESDWTINGGGETDFQVAQESLIQDIVSDLGITHTQRPQTAN GAASDDLLDKAHRYNSTSSRTTSDTGVNRYPSNASSAFNNTVVSTNTTYTWDSEESDP EGAAGLLAMRDDMDDRRFGGITFPAYMEPLASPPPPPPQQQQQPHQSPSQQSAQQHSH QPLRSHSPLPEPPEEQVSTGNEFGGMDLGMYGGGYAGNLQYGNEVGSPPAITQSQDAP RPLPMPQGQGAEYPPFSDVSVDYGGTGGLLPPQTRRLSFDDGDERASLHSRHSGSDSP PKEEYPYDQMFWHPGLSHRPLPALPSQTADGGSQVSPQPSVRSGYQHNYSLSADSRLP YPPDTPVLYQGQHSAQPPVERSISLSNHSTTPPVQAPARSRTDATEERRRALKHMSQP HAHSYQHGAAAPYESYDTGTPSSLAAYDMITLPTGRKRKFVPSKLTAADFKRCAEPWA LSGITSWIREMAEGEPDLKRKTIEEGVLKLFCAKVPTMNVADAELLSARVVDCMFATG ILIPEEEWVKFGAGQLSGVLVQLTGSGCFAPKLHEDEGMAPRLHDNGIPVRCYSHTCG RTLKKVNLDNMMSEEDVVVLDWATFHGVTKEEIQSKPKKEVERQNVLHEIVTGEEEYM GQLDVLRLLYRDWLRAWQPPIIAENRMEKFIDAVFGKVDAVQQINKEHLLAQLKYRQQ EQGPWIVGFSDLFREWIRKARPIYIEYCSSFPYASYLIRKEAARNLLFRQFLDVVRDH KRSKRLEWTTFVKAPITRLQRYGLLLETVKKNMPGESEEKTNLERALEEIKKVTHECD EKVAEMTRKVELLELQSMLVLRPGFQSVLNLDHLGRELLKQGDLQRQGSKGVRWVDTH ALLFDHYFILAKAVPSKDGRTDKKYDVSKEPIPMPLLFLESMNDDPVAKQKGLTAPLA RTTAATGSGTQLNKVNSNGGDRPGIEHTATGPSMSSLNTVSRLTSAGADDGKIIYPFR IKHLGHDIYTLYASSAQERAAWCSAIIEAKTRHARALHAQNAEPFRLRVIADSAFAYD SASLLGRQPSVSIRGTPLDRAIREMEQVYGPGRGPPPVCRAMVNCACGFTAFGKSLIA IGTDYGVYISEVSNPRGWTRSVQINRVTQIAVLEEFSVCLLIADRSLISYPLDVIAPV SNFPAPAHDSPRRAPQRLAKDVAFFATARMKDRMLVFYKRKEGMHNTFRVLEPVFQKA SEKRSRLFGSRRGGSGGTTESFRDYDAFYIPTECFSLNLFQNYIAVATAKGFELLTLD KKVTQSIPRDLGQAAIANIASRIKEPHPLGMFKLNDQEFLLTYDDCAVYVDKHGEISR TLIMEYSGKQKKAKAATMFGQYLVLFNDDYVEVRNAENGRLRQIIAGRDVRCLDFGFR GPTGTAAGGVGGGGAGLAGLQPPTGAQQDSKGTVKICMSHPEVPGGQIVLEMLLNDGH AE MYCTH_2297816 MVKAVAVVRGDSKVTGTVVFEQESESAPTKITWDISGHDPNAKR GFHIHTFGDNTNGCTSAGPHFNPHGKNHGAPTDENRHVGDLGNIETDAQGNSKGSVTD SLIKLIGPESVIGRTVVVHAGTDDLGKGGNEESLKTGNAGPRPACGVIGISQ MYCTH_2297818 MSLLANVKSVLSGDTLVLTSPNNPAAERTLSLAYVSAPRLSKDG DEPYAFQSREFLRALTVGKQIKFSVSYTIPNSGREYGTALLQDGTQLPDAAVQAGWVK VRDDAGRKEESEDILAKIDTLRSLEAQAKEQGKGLHAGPGGVIEVQNDLGGPEFMNQW KGKTVDGIIERVISGDRLLVRLLLTEKKHWQVMTLIAGIRAPSTERVNQSNGQTQPAE EFGNEARAFVEQRLLQRPVKVKIVGASPQGQLVGAILHPRGNIAEFLLKEGLARCNDF HSTMLGADMAPLRAAEKEAQAARRRLHKAFVAKSTESKDHDATVTKIIGADTIIVRNK AGVEKRISLSSVRGPRAGEPSEAPFRDDAKEFLRKRLIGKHVRISVDGSKPASDEFEA REVATVTHNGKNIGLQLVQEGYCSVIRHRKDDTDRAPNYDELLAAQEAAKEQKKGMWS GKPPKVKQYIDVSESLQKAKLQLSTLSRQRKVPGIVDFCKSGSRFTILVPRESAKLTL VLAGIRAPRAGRTPQEKGEPFGQEALDLANRRCNQRDCEIDVHDIDKVGGFIGDLYVN RESFAKVLVEEGLATVHKYSAEKSGNAAELLAAQDRAKQARKGLWHDWDPSQDVEEEG ETTTAGEAAADASVTIDKKPEDYRDVIVTNIDSNGRIKVQEIGKGTDALETLMEEFRQ FHLNPTNSATIKDVPKAGDYVAAQFTEDGEWYRARIRSNDRAAKVAEVVYIDYGNSEK QPWSKLRPLNQPQFTVQRLKPQAVDTQLSFVQLPASPDYLGDAINYLYELTEGKRLVA SFDYVDSKEGVSYVTLYDGKPEGNNSSESINRSVVLEGHALVARKLKAWERSKVFEPV LKSLREAEAEAKEGRRGIWEYGDITED MYCTH_2297820 MANSLEQLKATGTVVVSDSGDFASIGKYKPQDATTNPSLILAAT KKPEYAGLLDIAVAKAKAEGGSIDQQVDSALDHLLVQFGKKILEIIPGKVSTEVDAAF SFSTKDSVDKALHIIKLYEKEGISKDRVLIKIASTWEGIKAAEILQRDHGVNCNLTLM FSIVQAIAAAEAGAYLISPFVGRILDWFKAATKKDYTKEEDPGVASVKNIFNYYKKFG YKTIVMGASFRNTGEITELAGCDYLTISPSLLEELINSTEPVPKKLDAAAASALDIQK RTYINEEANFRFDFNEDQMAVEKLREGISKFAADAVTLKGLIRAKLE MYCTH_2297823 MAPQSIAQLFPRHRRGPSRPLILLIAGLAFLTLCLFASHLPVLR HFTSVRPFGASTDRSRMTSAGPGAATSPHQHHQGPSEAQVLLDLIEREKAKKFGELAM EYGTNKHPSFRDDPPLLIADLPREHVPSHPPPSLGDGEDRTNGKRLVIVGDVHGHRAA LEALLRKIGFDHRNGDHLILAGDMVTKGPDSKGVVELAMEIGASAVRGNQDDKVLATA REIGRFSVDGESTPGPATEEDDEQDEVGGKEGADGKAETKTRRKANARRVARSLTRSQ LAWIRSLPLILRVGNIPGATSPPWNASTLVVIHGGLVPGLPPEKQDPWAVMNMRSLIY PRVGKGKKNKDKDGRRHARDEPESEIDETETDMDETDPASVRAAVAIPTDRHGGEPWS HAWNRYQNNLPPTAPRTVAVYGHDAKAGLQVDPVVDISPYSGSPSSSKKKKKKKNKKN KHKDKNGKDHVNDAAEDEDKAEDQGAKKGIRYAFGLDSGCGHGRQLTALVIESGADGI KHRIEQVDCADVV MYCTH_2297826 MPSSCKEIRAALAQCLQESECVMVHRHTAAECLREPLVNTLPLK CQQLKKGFGECRRGMIDMRKRFRGNQPVAFRQLEQTEKTGEGYQLYAGKSAFAGTRGE TDGNEKEPQDWRELENQKYRAAVTAASADKSTKS MYCTH_2297828 MPVPFLGRLNVTEYAALVGSFLLVGLEAFVRIFTLALPPWLLDL CYRISRRLFNKLTSPAQKRAERRRRSISTTIRNASDFVDLCAAFGYTAEEHIVQTKDG YLLGLHRLAWKKGEEHVRANRGPKSVKKRVVYLHHGLLMNSEVWVCLTDEQRTLPFRL VEKGFDVWFGNNRGNKYSKKSIHSSPNSVKFWNFSIDEFAFHDIPDSINYILETTGQE SLSYIGFSQGTAQAFASLAVHPRLNDQINVFIALAPAMSPAGLSNGVVDALVKASPQV LFLLFGRRSILSSATMWESLLYPPLFAKLIDLGLSFLFNWRTHNISMSQKLAAYPHLY SFTSTKSVVHWFQIIRTKSFQMYDDDVPPLSPFSSAGSRKYTKVARYPTRNIRTPIVL VYGGSDSLVDIKAMLRELPPQTIAAEIPHYEHLDFLWARDVHEQVFRHVFDALESFTG PEHTREEYARYQLARQNSDLAARAGASGGGHGGSAVGKNTRAHVARGSDVADSDVSTA VGGCSTHEEEEEEEEEEEEDDEENESEVGGGTTLAGRAAGARVGAGTETGDSSGSPLR SPSHAGGQSPLRAVAPRLGDAPPVADANVAVERPEDAAPPAAASLPRVTVAAPLVSQK GSSQLSPDSMRDGKGISLGASM MYCTH_2123711 MPLERRQDYHSSTKLRPNRIFCDKEEVCQRIASHWKEHIREEAK QLIVDWPWDRKYFDDNDVVVRVKIRILAGGSDLEGIVPATIAAMAWTKGEFPASWHHA LAQLPGPTELRLRTKEQRLAASLKRKIDLLSFKLRESTPELPMFSDRLQHPWIDLEPI ERQNSSEDADDEWSEWDDA MYCTH_2297831 MTSTPLSTVAKEAAKNAAAFTAGRAAFKPRLTYDVSPNIPRSFF LGHHHAGLARMRQTLSTIGLIIECRDFRVPITSWNPLLEQSLAASSASERARIIVYTH RDLGPDDHPPVSVSSTAARHLRDFHLRHNHAVEVLFTGTGPSYKSPTGALLSAVKRVA RERDSLTGLRALVVGMPNAGKSTLLNALRRRSGIGRPAAAAAAPKVARTGANPGVTRK LSSPVRIVPPETPDDPSLRGVGEGVFVVDTPGVFIPYVSDPEKMLKLALVGCVRDGIL PRETLADYLLYRLNLDYGTGTGAGGEPAYVARLGMDGPTNDVTEFLEAVARRLGKLAK GGRANYDAAAEWAVQEWRSGGLGKALLDEVTPESLAAAMEEARETALSMNQARKKDKQ ARKARNEAKRLGISDADEGD MYCTH_2137349 MAATDPRRSSRARTNQSQSQNSSTTSTTSGRSERSSRYFNKGGS PQKSTSTGSLSSEPPEDTITAEDPFGTRRRTRGQVEERERAGSKAEAIDMANGDDDVQ EEDEAVRCVCGNEEYQGPPPFDEDSKHGSKHAYGLEPFFSADVTDDTAGLFVQCDICK VWQHGGCVGIMTEESSPEEYFCEQCRQDLHKLWTASNGKPENTKRQKTTSRSASPLSD NADDSDEAGTTGRNGATKSKSRSAAAGRNLRLEKVSEKEEKERQRVESANKRKGKGDR RRGDDSDPSEEVPLATRAATNKSAQASGGSNAAAAAATATATATATDAARSPATTEPP AASNPAPDTPPTITVQTKADKKRSHKKKGRNQYTRDRDGHDDDSPARSQSRDIQKDDH GAQGSGKTGGESGGKTNAKSKGGMSSKITMNDMKRRAAALLDFISRTQLELAGESLSA PGTASVNGTNGNSSTAAEKPAAPSEGAANNPPVLALGEGENSAPEKDFNELGCMEMMD SLTRRLVKWQQQYAQ MYCTH_2297835 MATESVSSLFPNRPIRPLPKRRLRERLSPEVAESIQYPPLPQSS TSLFSFPYPLPEESPEAGRSAVRDAGSHHEQRKPQSNDVVNDRKAEDTALKQGTTGRP ALQHTRLVTRPKPEHGRYADALPLSSTASSADGYDPFENTNNKKRKIPTAGDSAPSGG QVSNDSGTGTGSLAACAQSAEGHGEDSIAPSASGSLASSAHNIPGPGRGRFGRPRSGK NPLRPLYDSTNSWAGRTAKPRAGESTGIISNAIANAEKLPPHHGQENISLLHQPLPNK RSPASTQFTFTCDSQVPGSLAWPGSDRKMAMPQHQGATVSQGKENWSRTSQSAQHGHP APAMPQAADAAKEGPSRGGTGGQSQHTAPPQKASRRSATKEYLAAARARRRETQLYNK RHPPKPEDMWICHFCEYEWIFGHPPEALVRAYEIKERKQRQLEEQRRAQWERMKKGKH KGRKNSKLPAKNSHAGHDSHHHADGGGGAHGGHYDHGDQGDEYYDDEYYEDEEYDAEE EVAIEHGPGSLGRHDGTPAHFGDSSAIHDGGGT MYCTH_2076935 MFGSRSRRHRALNPPLTAATANPEAATAAATVFRRHESNSSLSA SAAAAALRARPTTPTRVADVQTKRTMRRSALSLDDDPPPPVPALPRDMDAVTSGQAGR FTNNIRMSSTPVRLASQKLASGDAPSWFGAARLGDLGSVRRTDPAMASPPSSPLPVVA REEDQPEGVRPGSQASSINFSYPTRTRRGSTTVLPAAEASSTTQASSQTDPAPVALRD HQLSTTRTTKHPPPTRQSAAPSTRGQPNPTSADQELVYDANSRRMVRQADLLAVEHAQ QALLSGISSQPTGPKKKRTPQRAGSHLAAGTMSRADAETCRTSSAAKAQPSNLASQDQ PQPSARPRQPKGPGRPQGSQRDAEEYPEDLVAGPPNPITEKKLGQPPAEKAVDQARTS SRAVTSPAASVVPDGAQFFVRRQPSVIQEKQEPEEAKGEERGQAITLDALDAVPSSRQ RAQTGVVAVAQEARVPMTPPQNVSAATRRPFGHHSASQVDQNARLDLVDISNDRKPLS DATEQGRVAHALRQRTHSNSPIRQAHFGPVQDSLTVKHSPPPRSSSPRKSAMKQTNPP ECTSPSDDTSEISGSAGQEAPVSRKKSVRVSFDDNAKEGADGPASINQNGSPLTTRPP CSDRHSWLGNNARNHVSAPFDDDFVMMPRPALPSFGSVRGRKPRDTGTEELERPLVRP KGETRYSAPTPLLPSPPLGASSDHALGTVLSTDAAGAEKTSGIPQDTLQHREPLPPIV TSVEGTGYFSDTSDTSSLLSSEFDPHPATPPRQVAEPRSEPPAGLPTEEHKAPAENST TGPGSEVVSPPVVASVRRQDALEGKPQIPEISISQPTPPGTGNGSSVQYFMDLPGGFP NDDSGQSVPSADKDNSGDATVIDPAETTQQDEHLERTPQPPAAESSSDSDSEIYSDAY EDLSEIEGEGFQSLDAVLESPLQQSPRPASQPERVPKEAPTQVSTEAPNQAAPGGMPQ PQTGAPSATTAVQSPPAPDPQDDDWGKAKAFWRSLTAEKRALLEKEALEEAGTKGEKE EEQPETRPEKETVERRDSERKALAAQMAQQMMAQQKPVRQADPDRTYMIKPGERWAGE GDLAIPAMRKSMRDEPCQETPVAPIAGSRLRKSMRANGLNASNHENRPTEARTPSKRP ESYPAALAVPAPKTGGHRRSATQVEVIQPSLGRRSSTGSESSFKRSRSSRKQGFGFRQ SLRPTSPPSTVSEDHAAKRFSLRAPSPGSSVSSPASRMRTTLRGPSTGKQTSSHGIRL PSFSLPYGGAKKSGRKGPKREVRPSRLADSSDEEGDDAAFGSGFRSRFEDSSDDDEPV VPIPASRAYPVSGNRHLRKESSVASTALPEELEESSEGSQGPSGGAAIQEERHGNAAA CPTSPNGTARNTLRRARSGKGQLLPASNHPPSSALPSQPNGDSKNEAPRSSRRKSILW SVLRPLRRRRDSGTTTTTTTTTTTTNKIVRPEISESAARRDTRLERSVDELETIRRRG QGGDDDDDDDDDFDLEETENEREDGHDGAALPSSRRSPRLQKRWSSSGRRASWVGVPS NGGAGADTGAGVAAIDMLINGDGDDGGVGAFGPAQHQQLAKRATGSSLSGSLGTRTLC GGGGGGGGGFFHLHPRRRAASMGMDVGSGGGRVGRVGDGGGGGGGGGSVDGSVLEGSL AGASSTTRRKRLGALRKMLRLND MYCTH_2297839 MGAVMPVGFFENTKEIYAEIASYPVVPPEKIRQYWNVYTTTFRR LFDPSAFRLENFWWHVWGSARLRNLSGPELARLFEEFSNGPTVVPLPSPADRFKRPKA PGPSHRAEGHGNESTPSLRDASDQGSASSGGKKGLTPSSSRPPPPHPILKKSRGPSSS GPRPTARFASPPASGEEAVHDGEAVSSSTASVTTSEMPPPPLPLSVKQRQNTASASSP PASNLTETTGRKQPAVAPAADMPPPPVPLPAGEKPAPTLGKKVVATTAASKRRPVLIR RPSSQSSTGSDSNQRVVAGLGTASKRSTSKRSTPNPPQMSGQGSSSSQTSDSGLSIKT AGKRRANPGAIKRSTSQTVTVEAGGQSDQAETEQQSHPPSPQRRSTWDVRDSVVYHEA AEGRQETFQQRSAAPPRAAPPPVAGFVADQAFGYAAPLMVRSRSSNSDRPHRAREPGV ALLPSQPTSSVAMVTATARGQFDSEMVTSDPAVPEARDIPDRVMLGSRPSSSMLDQQL KPTPPSPVPAIPFGRSKSELTLLLARGGKPRKGEDK MYCTH_2297841 MAAEERVLRLRKQKRMWFEKMMRAIARGIDSVEELERVEREEAA AAVAAEASGVTASSSTPSRLSADFGQLWDAVYPEVPLDPSLLADFGLVSGSSLSVGQG SSGGTAEVSRGNSGS MYCTH_2089545 MSLPVGRILRSAAGRTPTPRILGRISVSTHSMNPSRLRRARDLE RNQAVAGFHTVPAARRQDSSRSSSKDGQNNNRNNRPQNTTQNKPAQDPTAGSFARTDE SITVEYPPEHQLPASMPVEGTDRAGAHVSPTLATFSLQGKVAVVTGGARGLGLVMGQG IVISGADLALVDLNKEEATRQAQNIVETFRKDHPDKKPPKVTAHYADVSNPDSVEACI AEIIAEHGRIDNLVTSAGFTENFEAVAYPIDRMRKLWSVNVDGTYLFATAVARHLRNP YNAAKAAVRHLCASLSVEWAKAGIRVNCISPGYMLTALTQKILDDNPDLKRQWTSLIP QGHMGSPEDLMGPVVFLLSDASSYVTGADLRVDGGYTVT MYCTH_2297847 MSLSASSREMLLLSRQLGRQCARPRSLRPRNRNPVLPGAATTRR TVATFNAPHHAGAISVIKSNIDTSSDEYKENERLMGEAMARLDELTKKVQQGGPAKAR EKHLARKKMLPRDRITALIDPGTTFLELSPLAGHELYPEAEVPAGGVITGVGVVEGVT CMIVANDSTVKGGTYYPITVKKHLRAQAVAQENRLPCIYLVDSGGANLPHQADVFPDR EHFGRIFYNQARMSAAGIPQIAVVMGPCTAGGAYVPAMSDESIIVQDQGHIFLAGPPL VKAATGEVVSPEELGGGKMHSSVSGVTDYLAVDDAHAIVLARRSISNLNWPTKSASMP TPSFSEPLYDPSELLGIASTNLRKPIPIHEVIARIVDGSAFAEFKRDYGTTLVTGFAS IYGHRVGIVANNGILFSSSSLKGAHFIELCAQRGIPLVFLQNISGFMVGRDAERDGIA KNGAKLVTAVACADVPKFTVVVGGSYGAGNYGMCGRAYSPRFLWMWPNARIGVMGGEQ LASVMETVGQKVDTGLKERIERESDCVFSSARLWDDGIIPPQHTRQYLGLGLNAAMGG RNVVNPGQTKFGVFRM MYCTH_2297849 MPGSAISRALSRAARTSPAFPRGCQFGFGVPSTAAAAASPRAAA PPAAFAFARQQRRNASSKHPKGFQPPSQSDLAELRERVQEFTRRELTEEVAAATDKNN DFPADMWGKLGEAGFLGITADEDVGGLGMGYQAHCVVMEELSRASGSIALSYAAHSQL CVNQLQLNGTPAQKQKYLPDLIAGRKVGALAMSESGSGSDVVSMRTRATEVDGGYVLN GSKMWITNGPDADVVVVYAKTIPDGGSKGITAFIVDTASPGFTCLRKLDKMGMRGSNT GELVFENLFVPRENVLGPVNGGVRVLMEGLDLERLVLSAGPLGLMQAALDVALPYSHT RKQFGTPVAHFQFVQGRLADMYTKLQASRAYTYATARAVDEQGLIRTHDCAGAILYAA ERATECALDAIQILGGMGYVEEMPASRILRDAKLYEIGAGTSEVRRMVIGRAFNKEYA HLSS MYCTH_2313974 MCFRPASALGMSCGTVTIDPRRAMVLVIWNNRLKLYQLPKGRRN LDESMLAAALRETYEETGLHVTPLQLDVATRATPPKTALSDTSKKPPNITEGHPSHEF VGACIYPDPQSETEALKCVYFFAATAECTDIPDSGTQEEWEKLDARWIPLREVSKTLR FEAEIQVVMKAVQDMKKSGYTIEA MYCTH_2297853 MSTMPATHGHSEACCNIPPVVSTGYQPKGSYKEYGGLKTYTTGP ADADKGIVVIYDIFGYFDQTVQGSDILAYSHSQKYQVFIPDWFKGEPCPIEWYPPNTP EKQKNLSAFFGKNPPQGVADALPGFVDTLKAKHPEIKSWAIVGVVSLITSSDSNPFSV AAECHPAMVDPKEAETIRVPLILLASKDEPEHKVKQFEANLKGPKHVETFKDQIHGWM AARSDLSDNRVKEEYTRGYKTVLDFFAKNWP MYCTH_2297855 MPLSFVRLSDAPKKAKPIRSAYESESFDADGAIHVDGLVGSATI SPSGRDVALASPEGLAIIDLDSPWSPPRRLSSHGLPWLVVDVQWSPFAARDYWVASTA NHRCLVWNLNKRDDSSSGAIEHSLQAHSRAITDVNFSAHHPDFLATCAVDGYVYCWDL RRPRQPVLSFCDWFAGATQVKYNRQDPNVLASAHDRRLHIWDVRRSVEPLKTIDAHTS KIYGVDWNRINSTCLVTCSLDKSIKFWDYGKDGEEPQRVIRTDFPVWRARHTPFGYGL LAMPQTEPGNLFLFDQRPDPETPVDGAVKPVAVFPGHGNHKAKEFLWRSRGGVTNEGI DNRDFQLVSWGEDNELRLHKVEHELLESVGHFKGRPLMKNLILTRKGAPYKTFRTVDD VHRDRRSPTMTDRPGSGGQLRQSALSSGLNAGLRRVGPSWRSTSMKARTNTSKHVDKT QLQIGWMKGITMSKRKSSSDAPGRAGPEDSGIFGPGYDSGWGEPETIQDEFLRISNQL PNVKWENIDMDALTLNASLKGPWGVNGETIFIKVKVDIPKSYPKSKAPRFYVEKSSFM PEETHRKLEHELRELGNRFLQRKQNCLDTAFEYLLGEVDLETSTAFFNNVRDFDDDDA LADESSSEEDENDIPAGGSASMSQELSTSTELDPSGTLASAQRQAVTPLPMFCGARFS NDGRLVCFFPTKEEKAKALFALPHPDSSKERSKGEPTFAGFGRLSHDSPPPKHRFHDE TSATDDQSEDSDDTESTTSTDSEPTTMHKVDLWYQTGRRFRKTWSANESVRSSGGGTG AGTGTGTGTSRKKPGKPKNIISIHDLQNDLPSKKQLALEYAIFGDGADVCSHNAAVAE KYGYQDLVHVWKYAALLLRRDIPLELHDWRHSGQPVLVIARNVAVHANEEKRAGETTA PGSNLAGRVRWGHHPLARDLISELFDYFEKVADIQMLAMLACIFGDYSIEEEAAIAAS HLPQPKTPLPMKAPSFSFEYFPTDPALWNFNYKSQASSAITTPRTANTPAMYYSDPPS SADEVTGSLEPKSHSYSCGETPPNPAREHLRDVAQTQSLSTSPNTKFFHRSNSTVAAA IAASLPRALAGIVSGSPPDQVRKRPSPAETMLGNLTPNAVPWAGSAVSGAGPDTPGTS RTSFSDDEYWKDDLLAMVPIGVSCIPENQGQFDDDGWMSTFLIDRDRRQVYSNYRYAY AEMLQMWGQPLSRLEIMKFDVLNEDKLSPSTATASNYAEESAPHNTPFYPTGTTSPTS PFADRRGLFQELLNSGRGVDVTGFCRIHPGVPLEPAEYLRPAPGGATNASGAAVATAL SNTTHNSTGQPPIMNGAVGVCHRCTAEREHADLVPQTELQCVYCWAPVVGLYAPCVAC GCVAHESCLAEWHALGGSECPVGHECRCVEEASSETAGSWAGLRAAVLLAGNDGGGDN KDRGAGGGSAAGGGGGDSTATPRSGSGTPRSSTRSRRKSAPADAAKFHNVFRRANDSN PPRSPSQAQFSGLGAGPEEYSGDTAGAAMRARSSNSSRILGGGGVFSSRRRVHDGGDM DRAMDRESAASSPGPGTVTVSGVAGGLLAGVKPPPRGEEPISAAQLSLGKRLKKQLEE SGRPGVPRRNTGGLAMWKTSSGGA MYCTH_2142209 MALPKRIVKETERLMAEPVPGISAVPHEDNLRYFDVEIHGPSSS PYEGGVFKLELFLPDDYPMAPPKIRFLTKIFHPNVDKLGRICLDVLKNNWSPALQIRT ILLSIQALLGAPNPDDPLAADVAKSWKEDEQAAIATARAWTQQYAVPK MYCTH_105318 MAIDKKYTLETWTHADKYVRALRDLRLNQVLSRPRDNCRSGRRF NDILRESSGKADKAVARRSLVVRCIVFARCPTAGISAGFALPSEGGVFKSHHMIQVYS FMSCKSMVSQLPTHYLDWMYVYCGSKAQMQCFDITRLARLITVSYPKKRSWFKPPSVV RRLPFRKVQTNPFALVFCRSSGLDRAVDKRIKDPHQARRRLASSTLGRFTISTAAPPD SRFRLTTSLALYPFANANRTRLARRPTLVCSTLNPHSATMPSQTSTTASTPGGATPAK RFELPALDFKFGSLTDGTDIPPPLPSPVKEKAAPTPPDTPDVDKGQEQKGEDEANGKP DTASPKSQLSGISVAGTKRRAEDGPASPTLSNRPGSIRRLFSRSLLNQAQTNGSESAG NGRPKSRGGTSVSDSKKAKRASGWFGRLLSNDNGTSKSATPLSPPATDEKKPTGPPPP MIPELSEINTKLGIQNDNSFDGDLFKDIK MYCTH_39983 MASVLSPNAAARHLLRPATRSTPPRLLPSAILHQSGNNSTRNPR ISDPQSIAQRLGRRYKSGPYGYTQAKTLVFSRFGEPSDVLRLHTHSISPTLPDGAVLV RALAAPVNPADVNTIQGTYGARPAFSPLLGTPEPSAVPGNEGCFEVVAVGPRVGGGLR KGDWVIPATTGFGTFRTHALVENADRALLRVGGDKGTAGLTAKQVATVSVNPCSAYRM LKDYVDLVDLSVKSFARGDGATGGAWFLQNGANSGVGRAAIQLGRLWGLRSINVVRER ATAEETEALKSELRELGATVVVTEAEFLDRSFSARLKEEWTRGDREPVMLGLNCVGGK SASAMIKALSPKGCMVTYGGMSRQSFPFPTGPQIFKRLRFEGFWLSEWAKENPAEKRN TINEILELMREGKFKESPFKEVEWNWDTEEKVLKDAIQGTLEGFKSGKGLFVFGDT MYCTH_40109 MEPFSFATSDSLDYPVSIRIINLEGSEPPLPFSTLLEHPELRHI GSNQSPHSDLYVTVQVWAGSKPLTVPVQTAYKSFRNERRWNEWLTLPVNYSTLPQNSR LAITIWDVSPTGGPGAHGHAIPFGGTTLPLFDKDNQLHKGRQKCHVYRHRRADGNDDS KTPAILPKKKSRKGAPEDKDADELDRMEKLFKKHEMGEIPRVDWLDQLVFRGFEKRGL QSARSHTKSAQQQKAARADALKDGEDADSSPEPSNFLLNVELPRFDFPIVFADHEYSP PPVSSYLHPSSSQSNLVLRPPPEIQLGPGINAAEDDEGYGGRLIRVYDPEVAAKDNPA ESKHRRLIRGQHRNGILDKDLKPNAKVRDELNTIMAYSPTHTLSPDEKDLIWKFRHHL TRNKKAITKFVKSVNWHDQSESRQAAQILGKWTDIDVDDALELLGPTFDNSAVRAFAV DRLRKADDQELLLYLLQLVQALKYEHISPQPGHEVTQDSSLARFLISRAANSFTLGNY FWWYVMVECDDKSLDQGADNQAIYGKIAYDFMTELVKRPGGEETRKTLKRQAEWIAIL SKISGEIKESNESIAKRTERVKQFLADPRNELVTIDPPLPLPLDPSVEIIGVAPEDTV VFKSSLHPIKVSFKTTSGRKYPIIFKTGDDLRQDQLVIQIITLMDDLLQKENLDLKLS PYKILATSTSAGLSQFVHSMSFQSITSKYRNNPALAYLKQNNPDSQGPMGLRKETLDT FVKSCAGYCVITYILGVGDRHLDNLLLAPDGHFFHADFGYILGRDPKPFAPAMKLSKE MVDCMGGSNSEHYRQFKQYCFLAYSALRKNCNLILNLFTLMANANIPDIKLEPDKAAL KVKERFHLEMDEEDAIRHLERIMDDNLNALVPVVIDKLHELVQAFRA MYCTH_2050514 MQTRRELQQRMRGLQRQMIERPDDFLQADPKALLDFYEQSDRII KDVKQTVEAAIDSRGLVIASDLAARRVQRLTSGNVGNGIDVDEFVSKCITYMRQGRGF ADDHAAELSSTQRRRRQPDRGALGSEDEDEIGDDGDMLNWAHLGRFACIPAVRRPALP GFLLGPLSIEKKARKITKRSAPLRVNNLLEVRPEELRAEDLKKSDKNDLPSICRKIHV QLETAQQVAQDAVEDAIDNLSEDPTPEEQRALMDRYALRSTGGIDLLRFVVNPYSFGQ TVENMFYVSFLIREGSVRLEFDDDGLPSIEPVRRNSSAEPSRSKAAMRHQAIMSIDMA TWRDIIDAFDIKEPMIPHRQEEVQQGPGARGWYS MYCTH_2107516 MAEQKRASTDRLLGEADSSVGSSSAPVPQGSDAELGKHGGPVVS SESSQHHGPRTSVDTDRRDNTSAITNRPHGRHNLELVEDIYLDNPEVLTRQDLRAGLT HEPWPVETEARVRLLVEQVTEPPRVGLFERIDFGYDLRMYMEGSNTTIRMRWDRLLAC YDIAMRGRNLALSALADASNRSYEGEELEKQSQEAMRMLAKENEDLTRAIEYVESQNR HQEKQIATLEERNRTLAREGFVRRARYDKALGVLEAMLADWEGGTGVPISRPTAGASG DQEARFEAIRQGLEEVRMASAMSNTRYRQLQHKYDALLQETAEPSQGRPSQEISPFPI SDPGSEPTLRKREDDMLSNDPEEGRAHLDSQMTSQSGSQPWHQDEESDRLREEIALWR SRCADLKWTIDQLEHRLARQGLEAAYDEKSDRTSMSKMEDSTRFLSATGTGAVRPLAD ELGTALSFQSSQPSLPPRDVIHDIEAAQLQDTHPSQGSQPSLLPRDVIRDIEAAQLQT IQSSQGVMDEIKATIDELVKRVSTEMAEAKEPHRLEAQQIVEELQKLSLSADAWSREH QKLEENHGLIHGELDALQRMVQLNEQEQQRSRVGLAQSASEQRNGSRSVSTITRSTSS SYEPSVILSFAPSAHEIRHLSLVRVLIQAIRAPPSQNQMGLDTAVSMWLNHLYYLRHE LNSVDPNTPTEDLMTKFGAELETLLSYGIEKDARPYYSTIEHYDKLFFCLHELGSYVP KPSVSEKRLLENIRDSISAAEEAQETHGRLEREHETWRAQHPRLPLNSSQFGEVLRLA SSFLEVTGSDWNRSSPETRESAAAMEELLARIQKVIADKHLLERRRALQDGIKKQGDQ LAKLKEAIPEIGARVDDMIEQMAAFDRLQLRGYSGTTRDARRVDMTLRAGLKRHEMRL RREQQFSQARCEELEAGRARFQAFLDQQALTDRRLAEHVLTQALLRLQSGGSSRPARA ITSSARNSDHSRAAGSSCHGHHGHGVLASREQLWTSLCRVLTSLTWLLFLLFTQPHRV QQTASFILSSLFAIPTYLYRLLAYAIFCLRLRRGGEARTRPRDAPPPPLPPPRLALPA VPPASTLLSTALALFTLYAWLSYVAVTVERRIWVGDNDWRFAYVLDVTSGRPLPYPGW SPLRVDFRLATDPAWVWFEEAVHSLWTWERRGVDLVSDGTGVGGRSGGGGGV MYCTH_2085772 MSSKLIPPNPDEVMVIRDVTPNVVTFSVPFLRYGRFPIGGRGTL VRLSSGGLAVFSPVALTEAAKAKVASLGGDVRYLVATDIEHHIFLSDWAAAYPNAKLV GPEGLPEKRRKSSDPKIGKEPFATVVTRENGRDARAVGDDFAADFDMEYVHAHPNKEL VFLYRPDRVLIEADLMFNLPAEEQYSRVPENQKPRGGWLVRMFNSFQTTHGDALGMKR FLWYVASSRDRGAFNESVARIAKWDFDTIIPCHGETIIGGGKALFEKVFAWHLKGKGA RKS MYCTH_2297869 MSIRGRPRGLRLRHVCSSNGQQRRLLNHFVSKVPEPTTESPPHA TPYRFTLAVKDNIATTTSPSHPTTCGSPFLSTYHSPYEATIVTQLRARGARLVGKTNL DEFGMGSHSTHSAAGPVSQPFPQSTSSQPQPQPPPPPPPPPPSQLQPQSQPSPSRGED QRLSAGGSSGGSAVAVLTGEADVALGTDTGGSVRLPAAYCGVVGYKPSYGMLSRFGVV PYANSLDTVGLLARAVAPIRELILGSRSGSGSGEGEGEGISRRAPGLWREGDPRDPTC LSVAARLRCARGRQGYDDDDDDDDANADGPSSRRGEGEVLLKGVRFGLPLEYNIEELD PGIRDAWAGAARTLQDRFGARVVPVSLPSTRHALAAYYVIAPAEASSNLAKYDGIRYG TRDTEAASDAAAGGVLYAASRGKGFGDEVKRRILLGSYTLSSEAMDNYFIKAQRVRRL VRRDFDRVFALDNPLHERETFDLADLDETIPMQDKWGPEEVDFLLCPTAPTLAPGLAD VMSQTPVDAYMNDVFTVPASLAGLPAISIPMPVAPGTGTARGGGRGPGTAGLQLVGQY WDDARLLAVAEAVTEVLRTTTPSR MYCTH_2297870 MSKPDVHRADERRFLDERGTTGPLAPNGLNPATIMEKAVRERIV ESYFFKEQCFGVNEADIVDRVVEHVDHVGGVTGTSQRPTPFLCLAFKLLQLAPGDDIL DEYLHFGGDKFKYLRALAAFYIRLTRPDRDVYIRLEPFLEDRRKLRKKGRNGTTLTYM DEFIDDLLTKDRVCSTSLWKMRRRDILEDLDLLEPRVSPLGTLEDLLEEEEEEEEKEE EEAAQNGDDVNGESREHDASGSERSRSRSRSRSRSASATRRSNHDRMDIDDPEDRRSD GERSP MYCTH_2297871 MLLTSAQVSLAVSSGIIFLCTAALFLSGYVIQQRTLRDLRAAIK PPPRPSPNIFLPDRLKHSATELPDGTVVVLRDGQGHGQGDRHGDGEGAKRGRRPLIVE VKPTRPHSDDGGEPEPLADGQGQQPAKTAEAKSKDGEPGEQGGGEGGTTRAPADADPD RGPDERQKPMSRAERRRRIRAEIMRMSRGQQQQQQQQQQQQRGYYRRRFW MYCTH_39013 MDVCYCANYYVLKTGASLSPGRLPDDCLWLLSQPPSREEQDDQH SMKRLRDSRRPSWTFVGISMPSLRLSTTEASSWKNGRANTRR MYCTH_2297873 MSAVNRGLRQATRSLHLHRSCRSSALRSLCAFKNAAAPVSFAAT HARSSFSTMTSLQSASVSTPSPSSGKGYDPEILDIASYVHNQKIDSELAFDTARWVFI DTLGCGLEALRFKECTKLLGPIVPGTTVPSGTKVPGTDFQLDPVNGAFNIGAMIRWLD YNDCWLAAEWGHPSDNLGAILAVADWVTRTNKSGRNKVAGGKIFTIRDVLEAMIKAHE IQGCLALLNSYNKVGLDHVVLVKVASTAVVSKMLGLNEQQTADAITHAWVDGQSLRTY RHSPNTMSRKSWAAGDACQRAVNLALKVLKGETGIPTVLSAPTWGFYDVLFKGKKFEF QRGYGSYVMENVLFKVSYPAEFHSQTAVEASEKIHAQLKAMGKSAADIKAVTCRTHEA CIRIIDKQFKPMDNFADRDHCIQYMCAVMLVFGRLTAGDYTDGSEAATSELVESLRKR IKCVEDPQFTADYHDPALRTISNGLTVELNDGTVLPEVVVEAPLGHRLRREEAKPHIL AKYKRHLEPHFSAEKVQELVQLGQDGNKLDSMNVDDYVDLYVCKDSKFFKE MYCTH_2132417 MSSNHRSPDHEDHGEDWLDNLRRFDVNVDPEGDDDDDDEDQDYE DAQEDGDEDGDDGDDGEDEDDEGSEEDEEEDNGEGGGIEFEVFIEELLGNSGTQGEGS HNQAGGGEQAAAGPRRRVVRIGGGGRHWRPFLTQREFLALLRGPYLNSAIFNGEGGEG HRSSWGPRRNNKRFPKVPSDEGRKLMDSGVFGAYDRRMPARKALARRLLDRELGLNGS TGQKVNQGLMAQAMIPSSKPEMVVHYDDPVCCGQFSDDGNFFYTCPLQDFKVRLYDTS SPYNWKHYKTVTYPFGQWTMTDADLSPDNKWLAFSSLQPHVGIAATDPNDTGDPYSLD LSDGGQSGRNDFIFGGFAIFSVRFSGDGRHIVAGTGNNSIVVYDIERRRSLHHVPGHQ DDVNAVCFADKLSPHILYSGSDDCTIKVWDTRSMGDGREAGAFVGHVEGLTYIDSKGD GRYILSNGKDQSMKLWDLRMAMSTSRFEELDPTAVTRNREYDYDYRWQPYEDYQWFQH PYDNSLVTFRGHEVRRTLIRCHFSPPNSTDSHYVYSGSHDGYIYIWNLDATIAAKIDV KAATRASSVQPRPERRHRLHHRYSNGWESMVRDVGWHPNAPMLVGYEFGTASLHSFNE GESDEGDPTMVTGTTSQFLPSDSTRPSRPPIQRTIGA MYCTH_2313981 MNMMGGMPMGGGMPMGGMAGPMAGMGMMGGGMGGGMGGGMPGFQ GMQPGFNPAFFGGGANQPNEWQNPHGAKRARGE MYCTH_2297882 MDVYEELVAWARDKGVELHGVAPREFPGRGVGIVVTKHIKPGDL LLRIPSSVLRTADTVRPEVKESLPKDTKVHALLAADLALDSPTSEYSIWNAVVPSREA ITTSLPLAWDTRLHPYLPKPALAILRKQQAKFNRDWAVIQQSPLAAGSSSSSSSTTTT SSSTTTALTDKSRSPTTTTTTTTTTTAKTGTITRQTFLYTWLLVNTRTFYHETAGAAA HRARDDRMVLQPVADLLNHAAAGYATAGFDGAGGIGWFTVAADRAYAPGEEVHICYGR HHNDLLLVEYGFLLADNRWDEVGLDDAVLPALSGAQRALLDERGFLGNYVLDAGTVCY RTRVALRLLCCVSAAQWERLVNDGEDGGEALQEEVDRLLVRLLKKYRGTVVEGKIREL EALDVGLPSQREILRTRWQQIGRLIDQTIDRLDA MYCTH_2297883 MEVTLRRSAALVARNDGLRSTAAALTAAAGSRHFSFSSLTRPPP NYPGHVPLTGIEKAALAIGSGLMSLRDPRRGDLIAAFGEATATPYFIYRLRDAMLASP TGRRILRDRPRITSASLNLPRLRALPPNTVGRTYAAWLDREGVSPDTRAPVRYIDDPE CAYVMQRYRECHDFYHALTGLPIVREGEVALKAFEFANTLLPMTGFSVFAAVTLKPRE RRRFREIYLPWALRNGLRAKEVINVYWEEQLERDVDDLRRELGVEPPPDLREIRKRER EERKRQKEQAAAAAAGGA MYCTH_98253 MEDADLEQIRKARLEQLKAQSAARGSSGGSGSSQEQAQRQTTAE ARKSILNQILEPEAADRLGRIRLVKEQRATDIENRLIMLAQTGQLRQKVTESQLKELL NAMADNKEEEKIVVNRRKGWQDDDDDDLLDL MYCTH_2297886 MEKRDPSAPSGAGEEDVSSSEKRVPFAVEGVDEHKVPVVEEPSA DKSATAESNRVAPPEQDSQEELSRIQSKLALWDLEEREAHARRPPVVGMQPFRPSDQI HVMGMDLAGRYIAHTLAGCQTIPPVRYMLHSHYLFRQWRECGKQLTLYRGDNRITRRR IVGEYTQDELAEARSGGTIHNLIVTLPASQVVRAIGHIRHRLDYRSTICLINDGLGVA EELIERYFPDDSTRPIFLLGHLSTNLGHTDDKFFSVSEVRPGRLFLSLFSPHRPEGGG RFHIKRHPPLERTARATHFIRLLTAMPGLHATGHPMPDFLRHKLPTAAFRTVVDPLAV LFDCRYDQILSNPYARQLMDRLIGELSHVLSHLPECRHSEKFRRFASAASLRDEVFRK LMLKRTADSRMRWQVAQGWDTDVDYLSGYFVRRGRELRASVTTLESIMAAAKAKQTIT SKKLESDIPFEGTYSA MYCTH_2297887 MDVSDITPQLDQLEQDLSRAEKSLAPLLGDIGDISSKLPLLDKA KLYVLVSYTVEALLFSALRLNGVDAKNHPVFTELTRVKQYMEKIEKLENPPAERENTV NTEATARVLKSDLVSHTS MYCTH_2142220 MPRQSRSSARPAPSRPTVPARSAPAPTQQQQTRPATTYAAPSAA PSAPTTPQTPQAPTATAGSQGPGLFGQMASTAAGVAIGSSIGHVVGNGISSLFGGGGS SSEPAQATQAQAAPQTNNSTWGNNCAGATEQFTKCMDEHGGNMQICGWYLEQLERAPR RRAWE MYCTH_2297891 MSFFQGTLQEGIAAALQQAKAVVCFVTDGETESRQWEDEFFTDG EVLPLLQATSVSLRLVAGSQEEGFLAQLYPIPKKPTVVVIRNAELKEYIAAGVSKAEF VRRLKAVLSPAQPPPNQPVPTQAAPAQPQAVATTAPPVEQSNHVEDSSASSAGQVTPP SPTESRSHVLLAERAARLAEQKKKDDEEAKRRAIEKGKAKAEPGASGSKTTDEQSKHA AALKKQRQEAREERARVLKAIEDDKAARKAQQAEAAAARKLSAAWEKKPDSSTQGTTS QVRPPAGKQSEHCAIQVRLFDGSTIRNRFSSTDTLNDVRNWVNEARGDGKDAFTFKVL LTPMPSRTIDVTEENKTLRELELTPSSTLILLRVTKPTSAYLPSARSAAEGAPEGNVF QRIIAYFLGIVIAFFSTVAAFFSTLLSTTGPPAAPEQPGASQTSESQTPAADAARRRA ARRIAGLGDIDGQRSDQQFYNGNSVNFEPRPDDGE MYCTH_2297894 MAAKRAVTCLLRSLQTEQRPIGLAIPRWASPNRSLPFSQGQFAP RRPISSTPRRPSEKQPADDPNFISILDNPPELVRTGRKHGPGLIILAIIPITAFFLGT WQIKRLQWKTDLIAKCEDRIVRPPLPLPARIDPDAIADFDYRRVYVTGRFRHDQEMLV GPRMRDGEQGYMVVTPLERDGDEGAKVLVNRGWVSKAKADQSKRPDGLPRGEVRVEGL LREPWKKNMFTPANRPEKGEFYFPDVKQMAELTGSQAVWVEQTMEPDYFQLLDYQTRG IPIGRPAEVNLRNNHAQYIFTW MYCTH_2297896 MARESQRPDATPTRRASSAEPLASVTRRSVLQTPGGQSRGLPRG LSASGRKIVPPTATPHARAAFRAIDSRRAAILTPHRARRKSLREVRDSPRDFLLSLGR VLARNTEVITTSSSSSPGEDGKGPGSDGAGNDTTLSTINVEDDDDDEELPKRPRLSLP IDEEDDDDSDDLQPHRSVVFDDNDNFTMQSIEMPRRAYSEQPGGRLSMGSARMSDYFN TNDMLHSEDVGVDSGFFPPTAVMEEGNVTMGVLDLSSPERLDSDVGRRELGRESDFGI EIPVGDVNESTFIIAPQVDESPDRASAGDDQPIHVDYAPLVDQRSDDFNDADLGEMPA LEDEPVDHPTSALQDHDQEQTELGPALARIAATRGSGKKVVKVSKYGIEYPSLPPAVV KRLAQNFARASGAKGKITADAMKAIMQASDWFFEQLGEDLQAYAKHAGRKTIDESDVL TLMKRQRQINPNTTLFALAQRHLPRELLQELRMPPPVVPRKRRKDKGGAEDDIT MYCTH_2073197 MATPQSIKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYS ALVQVDGKPVSLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSVVSPPSFDNVADKWHP EISHHAPGVPIVLVGTKIDMRDDPETIQKLAEKKMSPILYEQGIQCARQIKACKYVEC SALTQKNLAGVFDTAIRAALGILPIPNDSKQSKKPSKCLIL MYCTH_2297904 MLLKPLTLAAGLLALPAAQAFLIPPEVSETDIHIANTIESIGPQ VAEAQIVPVAVECPGCPILLRGRHGRTVQLKIDRPSHLEMVFSIDRQPDHDRLLVNGF ELYPSRGMSGGILSAPQVVDREWKKKHKPLYPEGEHRRLRHRLTPQPQVLGFGLKVSP PMQDADGQFELVEVELQILEVGVAFVDGIPSLNLKLIKDRDGRLLISRIEKVQPEVLA PPPAVEPEGCLMRLFRWLAIARKKLDGSNGFAHCHDAAKHPSAFDETLPHHGPHHHHH HHHPSADNWRMTYEHRHWGKLLKHMASHILLPVLIGIVAGVSISLIGMAVGTVLVSLW NTLSGRRRHGANHRRRRSSRSHRHKVSLKEAAAAEEEAGLMEYQDAPPSYEEAETAKT TPSYNETEPAKTSLA MYCTH_98264 MPGLSAARPPAAKPSTGLPRDVRPKASFPLQTKDGQVGVSISRP SPAPQWPLPESIIVPPPQVDGEPFRPPGGNTQPPQRPRRPSRVPSILDASKVQEHTPV FHYRPRPETESSGQELLAVAETPSSVSRPSTLSSVGSIPDFPLPAQVPPGPPRRSVNL GPPPSARRGASSFYSNSSFVSPIPEESSPSPGPSPDFPDPIYGEAIAEEPGYGDDDAE ESRLVRSASIGKRAKPTLVSAPPSRGADQPGREQQRPGPQPVQGPFKDGTGYVENSSS SGTIPRAAKSPIDSAVTPDAMLNAYASASADDPSTPSPRATPSPNPAAEPQSYSRFSA IRRPPRLDIDAVRKAEARGSLTSLPDLIRRATRLAASLEKGRRPASRFDDLDDMPDHA YDREKHRSGLSDMLAAFPPPAQQPATTNSRRSIRDSIREQVQSWPLPLYVNRNPNTSQ EAVPPSDSRSSMREGRRRCCGLPCWGFILLLIAILGLVAAAVVVPVEFLVVRKQDKAS QTQKELQQCRQKLVCANGGTNVVNQGVCSCDCVNGFTGPDCTTVDDAGCTTIRLTGSD GGDIVVGNAIPRLLNQAQTNFSIPLDATKVTSKLESDDLSCAAQNALVTFDGRATRQD KALSAAQADDDGDGDGTGGSDAVAAAVIVDGVLYITITVVLAPLTTFTLSGPWPTTTT TTTITTTATSRQPSLSATSTSKYTTTMTMPSSGTALSPIPTPTLAVTEEVLDFARVAV LYVLQEDSLTDAETAQIGLQTFLGGNLDLEAARNVTLGGGRSVDLVGFTIDLGGGLGK VLLMKDPSPSQGDASGLRRS MYCTH_2313989 MPSKYSYQPCREFNVVVLGAGGVGKSCLTAQFVHNEWIEAYDPT IEDSYRTQRAVDGRQVVLEILDTAGTEQFVAMRDLYMKTGHGFLLVFSIASKTSFEEL EMLRDDIIRVKDDEDIPIVIVGNKADLEDQRAVDRAKAFSLSQRWNAPYYEASARTRT NVDEVFIDLCRQMLRRDDKHDDLRDDSHPSRADDPAAKRHRRRKRKEKCAIL MYCTH_2297920 MGLLTGDSQWPAWKASLLACAVAAVFAGAEAALILTLRPLYDRG NGTPVMVVGIIAAILLAAGLLPPYGEIWKRRGRVIGINWVFLGMDCSGAFFSLMALVA QNSFDVLGGVLYIVCCVLEIGIFLSHLVWLARTRRIRKAAAAEGKTFDDVAAEHEMRG IPFKFAERKGPLLDWNWKPSKSDDGMNERG MYCTH_2297925 MATVENAKKRKLQDDEKPRKKHKKHKKVREDEADLDVEAGLNRA FERMDGQLLADHLAQKTRRFGTDLSPIELADLYISANCIRDSTSWEKPRSLENLPEFL ETFSRESEKLDEAPKKAGSPHTIIVAGAGLRAADLVRAVRKFQKKGNTVAKLFAKHFK LEEQVSFLQKSRTGIAVGTPQRLIDLIENGALSIKDLKRIVVDASHIDQKKRGIADMR ETMLPLAKLLCRKDLKERYEDDDTSKHIDLLFY MYCTH_2297927 MVCFKFRKEEKSSFFRRMTTFGFGSPVHGRNVMREVIVAALACN VKTLIGVHISSSFHESVKRHVRHVYDEIRNDDRLLSREKLGAFLRETQGVEQFDLDSK DRRDIKFDEFFWLWSQNESAWRAAGESRQKEVDATHPISHYFISSSHNTYLEGNQLSS RSSADAYRAVLRNGCRCIEIDVWNGAAPRTPSRSPNPGHKRHFSSSSLPRFAGEKLGA KVSRHHSRSPSAVQTAFPPLDPRESSTTLDPKELSDDRLEKSRDSSRSNQGVEPVVHH HGTMTSTVGFREVCRAIRESAFENNPLPIIVSLEVGADREQQEVMVDIMKEEWGELLL DRHFDACDPTQRQPRLEELYEKILIKVKRLDDSRVVGDVERGRSITIPTLNGKPPICE ALAELAIYTHSEHYVDENSLSSCESPSHIFSINEGSFLSLVEDESKLHKVLKHNRDFF MRIYPKGLRFDSSNPDPSFCWRRGVQMVAMNWQKTDDPMMLNDAMFAGTNGWVLKPPA LLGDGALTETSTNNSTAGSVSHNRTLDLQITVLAGQFLPLPDDRRKSSGFGITSDRKF RPKVKVELHVDKPQKSLTRETAAARTEHPDWGQNAKSLDFLGVKGVMEEITFVRFKVA DSSSNFGSDLVAWACIRLDRLQQGYRCLDLYHPVTRRPCDGKLFVKISKVLKD MYCTH_2297930 MGNAQSTDGPRRTTQRLSKPRTGNHATPGLLSPGVFSSSRSRHL SNAQLPGPQEPSPTVSSTPTTSSAPEVAAGGPGSHVDNIAPFFPPAPASQEELKRRSL FRSRSTRGDNTARQNHNGGPGSQPVNRTSRASSVTYESAVACYGQAEFETRPAQSDRR TSVNYNLTSYEAKRLLNLAEEPHLERAAAMSDNMIAVTEPTWNPCNQGNNPSSPITRT SSDVSLYMPVRRRSLIQTPGVATRSSLAHDIPPVSRVSVRHSLPVTPRLSRQQSVESY RNGTMPTEPWMAESESSPRVATPCEDDYLSIGAFKLGSLRITNGFPSPVTPDVDENRD ENDGSESRPAAVQEGYFTKPQNSESDITPGAATRNDMASPKVVSEISHSPSSAPTTQE RLASQGLQTTSKTTALDDQLFNDDAQPEYSSVEVLDVRPDPNAKPPHAQLARSIDSSV KRADSGFVSMGRPSSEGCCKPLAKADSGYSSNVSLRSFQAKAQGVENQSNASPLEKQF SRPSNKRAEDQVGSETQESPGRLDVLRPTSLEIEAPPPPVPPKNIARPSFDSRPRLDS TTKSVRAHDLSGGERSKTPNAVKYVPKPTVTVLPDSEWPMPLGPEAWGPESVSSSASG KLSSPRSTTGGVQKPGRLQRFLGGARRATISTPAVGTLHAFEHDCIPPVPREAEQKLR GRPSRVHSTPKRPAPRSRPSLDTLKTIFSVGSIEASLDAVNTMHAKPAASGSENKEGA WKQGLQSVPASLANIAGHVIPRRTRVGKSVLVRQGETAQDSQGSKIGSKNVTRGDLPR VGISAPVSPPKSESGKRTMSLTFEGERAMAPSRRTFDANSTRPPPPGLPSPALPSPIA KAMSAESNNRAPETAHSIRRPQILRVPPSLSRKASRESLQSNSASHPSLARQTSMSSI RSYHSSQVSVGYSSTYLRTQSLVSMDPRRFRSFRQYSLETSPYNSPTREVHGNFARGT SQALAGNEGRRNSISSVRSEGVYRPADVHGWHIRVPQPPLRHRTSFEGYHGYQQWYPQ HGYPPSMSNGYTAPAKTGYDPRSRGQVIAAATWSRSQFDAAAGQWYQGQSPQFYPLGH SRSRSMGSQAGQGPNPPYRVLHSYNSPAYRNAPIWG MYCTH_2297932 MKSQREVEAEVRSWGFSHVFTWTDGPNAHYPPHSHAGLTTHVIL RGQLTIAYPEDEDPEQRSRKTTYGVGDRIDVEAGRVHEVWMGAEGCTYVIGE MYCTH_2313991 MTSPTAAVAPSSSSSPPPPPPPPPPPAQSATPQHDEPPRPMDYY NHYFSARSLRQLSLFLGGAGFFYLSVMVSRRAVARHRLASRLKFYEPNNLATSWGLLK DEELLRAMPRHKDPLVALEALNLATLNTVAFAVMAAGGVSWALDISNLDDLKRYARRR AVQFRGERDEAAEREVAEWLMKTFGIEEKKGSGGKDEGGRQGGGGDGGNGAEGDGKRA P MYCTH_2297938 MAKDKRSKAHAQPPSSTATTTTTTSTRTAKPNWPPFKPPLPVVD LTLESLVEGKVVVLRSFFPRSLCRDYVSFLRELPLVTTPGKPKRGEAVRVNDRFQVDD AQFADRLWTETGLKDALASPDISHLWGGEVVGLNPNIRIYRYSAGQFFDAHYDDSNNV TLPSKEPGQQAVPAKTTWTLLLYLTSSAEGCIGGETVFYPHDRRSAKDEIAVSLETGM LLLHKHGNDCMLHEGREVTAGEKWVIRTDLCVKR MYCTH_2297939 MTNPLDGDFQHLLLQGAHNGRVKVQQRRAAAGASISSIERPRQR HHEQGPLRRRPGPVAGQFRNTQNGSITATPSPAPRPLSSSQPAVVLPSAWSSGKSATM VIKPIPTTVNPWDVSKFFAKFGNVVWVELDSPSRSNYRSAKIRFEPPPTDLGFFQEGK CRHNLGGPWSWAQVEFATPHRKEPTLTTPLGNTCPASISLRLQRLTFGLLTRPTIFMS KREITPLSSNLELKLTADFRRQMLTIHFPYLISGERQYYRLDMKFGIIQGIHRSATGS GCSGLLSIRLKDAPLARKKQTKLSGTAWTDRLVWGEDELWHRAVEIKPDSQSPPTQPI SLDEEAEPIDIGRWTTYLLHLEKPAEEQWLIIEAYLHEWNITTNVDVKFAQIPSRDPE LWDMLSDPHLGPLTNLSWSNDLAILSPSTHIALPFDVRYQLEVCVSRGILSEYNIGRE WLEKLSSFSGPANWGAANRARLILEYAADQGRPIHDPLELFKDHGAMTYYPATLHIPD YCALIRRAIVTPTRMYFSTPTVETSNRVVRRYQHIQDHFLRVQFTDELLDGRIRACEV DRDDELYARVCRVMTQGIRMGKWHWKFLAFGNSQIRESAAFFFCEPEDGSVTCDSIRQ WMGNFDHITSVAKLAARLGQCFSTTRLLHCISSPRIARIPDVESENGFCFTDGIGKIS LPLACLVAEDWKLDRPPSAVQFRMGGCKGVLVQWPNVKGTEIHIRPSQEKFCAEYNGL EIIRCSQFSCATLNRQTILILSCLGVSDEVFIDMMASQIANFDAAMTDKDTAVELLDN YVDENLTTTTIASMLRNGFMHTREPFVRTVLQLWRSWSIKALKEKARLVVEQGAFVLG CVDETGTLRGYSRATEGQSNVSRDQLPQIFFQVPDRDHRHAYKVVTGLCIVGRNPSLH PGDIRVVEAVDVPQLRHLRDVVVFPLRGDRDVPSMCSGGDLDGDDFFVIWDPRLLPAE WGHPPMNYSAPQPPIESRASLAKSLAFFFVLFMKNDRLPLIAHAHLARADYELEGAKH WKC MYCTH_2297943 MSANRFVRAARPLGRSLAGTLYNSGPTVRRRFSTTSAFRRAAAS GDGQASRTASAWSLPAVIALATATGLLGWGVSELAHRGFPGSVLLDGAFPAPRYASMR GMEQALEEIRQEIREEDIISIDPEDLLAHGYSEWSTVNPEGLPVAVAYPRSTEHVSII ARICNKYCVPIIPYSGGSSLEGNFSAPFGGISVDFAYMDKIVQFNKEDMDVVVQPSIG WQDLNEQLAKMGSGLFFPIDPGPSAKIGGMIGTNCSGTNAVKYGTMKDWVINLTVVLA DGTVIKTRRRPRKSSAGYNLNGLFVGSEGTLGLVTEATLKLAVVPEEFSVAVVTFPTI RDAASAAAEVMQSGIPVAAMEIMDEVQMKVVNMGGATAPRVWKEMPTLFFKFSGTKAS VKENISKVQKITKANKGSNFEFAKDAREQKLLWSARKESLWSMLSLRKDGEEVWSTDV AVPFSRLADIIEVSKKEMDDLGLFASILGHIGDGNFHESIIYNRQDPVEREKVETCVK NMVKRALEMEGTCTGEHSIGWGKKESLVWEVGHDTLGVMKAIKTALDPNWIMNPGKIF DRQR MYCTH_2297948 MGRGPKKHQKRLSAPSHWLLDKLSGAYAPRPSPGPHKLRDCMPL IVFVRNRLKYALNYRETKAIMMQRLVKVDGKVRTDITYPAGFMDVITIEKTGENFRLI YDTKGRFTVHRIQEEEAKYKLGKVKRVQLGRGGVPFLVTHDARTIRYPDPLIKVNDTV KIDLETGKITDFIKFDTGAIAMITGGRNMGRVGVITHRERHDGGFGIVHLKDAIDNTF TTRESNVFVIGTDKPWVSLPKGKGVKLTIAEERDRRRAQALAGH MYCTH_2297950 MMATIEPWLTNLLNKPKSPDLPPIHPIPSGAAPSQPISLPPLGS DFPGSHRTERPASTQVAPPSAGDDADHVKPNARQDDTFGLHTASIRPLQLLLQEPEPS PFAIPLRKLVDDVPASPEDASSTKKRHRALTAKEDFVQLPKLPKKQKSAQQVVPPIIA GLHEPPPDAAVFPPITSASFDDNENFTLGAWKEVGNAAHEDRPVLFPTAEGEPTNPPK PKRRPMKPRRKWTEEETNNLLLGVSRHGVGRWTTILEDPEFHFNGRTAGDLKDRFRTC CPEELRRSADDEPPAANGESAPPPAATAAAAAEGAARHKLGIQLEDILQPTGDTGGDN DGASPANAPDPDAAPKKRKPRAHRKRLEDLAELGIHGPFEKSHRRKRRPFTKQDDEEI LDGLNQYGPSWTRIQRDPKYNLSSRQPTDLRDRVRNKYPEIYASIEKANFANREAPRG KANILEPSVNLASDSSRTAAVLPSLDPPLNRSGSREDVRLRRTTTPAAHESTESLPAL ADLFDMTEPHGGSSLGSAPEMDLSHLLLDDPQAAAAERRLGNGR MYCTH_113450 MLSWTLVVVALAVAPAMATSPAVNVYWGQKGSASDRLSTFCDAS SFEYVTVGFINKSPEKDSSALRYPGSDFSVHCMNAAKYQDAKGVDSNLLSKCGQIAAD VRYCQKKGKKVLLSIGGEWNPPKTDYSISSPPEGEYFADFIWGAFGPYTASWGDKPRP FDDFFNADEGEEHFVFDGFDFDIEHKFTDQSGYVAMIRRLRALTQLDTTKQYLITAAP ECPLDADLFKMKTIIDTCQFDALFVQFYNNPICAGVDNNNFDDWAAYLAKTPSKNAKI FIGLPGSPDAAGSGYLAPASALQLINKHKNKPAFGGVMVWDAFYGSQKFGGTPFYDLL HDFACGAPSHTTTAPAPTATATVCVRWHTVVSGDTCYEIAGSYGITLDDLYKFNPGIT CDLQIGDQVCVRFGVPPPTSSSTSLSPSASTSTSASSSSLTRTKTQASISTASSTSRS TSSTKNSTISTRTSTISTRTSTISTRTSTISTRTSTISTRTLTSSIKPSASSTKTSAG STKSSTSSTRTSTSSAKPSTSSIITSTSSTRTSARSTKGSASSTRVSTSLSPSTSSTS VTSATSINSSSGTSSSTGTSISSSLSTPSTSTSTEVSLTLVTETSSTESQAPSKTAGD SSTITSASGVTLTTSSGAATSTSTDVDDSCPDEETSTSTTATFTGSATQSATETTTET ATVTSTPGSTYTSGEETTSTSTEDDETSTSAVVSATQTATSPGATITGSSASDISATA SSTASEGWTTSTIYSTTTYTITSCPVTVTDCPGKIGQVTTETIAISTTVCPITEGTGP STSASSPPAPVESGSGAKPPPLPTGREGEDTDSTSTTRVTTTRFTTLTVPKPEATGAA ANPSSSSSSSSSSSSWSPSAGLPTKSGSPPPPSTTTIPVFAGAGRNTVTLGVPAVLAV VLVLAI MYCTH_2297953 MQLNRALRFLVLGLSAISVAEATFGGINLAGRDVKGLQRKQDEE DKLITDLGPATSTTPPEEPSTTSSSEEPTSEPETSTSTSSSSPTTTKPPTTTSSSETP KPPSTSTSDEEPTPTSSKEDDDDHDTRTSSSKGAQPTSTSTEQPEPSTYIITRIITST RDDGSPVTYTSETKTTQTPGLAAPGSNNDNSSGMSTQTRNTVIGVVVGVGGAIVLGGL ALVAWRIWGRKKPQEETDGLMDYNSAIESKPDSGGPGAARSPFQSTLESYHAPTQVNT ASNF MYCTH_2297954 MPLAALLLFTAWIVLTLASSNVDGTRYGQSEFRMGVVLPRQQQQ GRANLQAFSGALGGAEAPAITNSGNPERPYEVDGDTFPDYDTAANRACDNQKNACADM ANTKPGVGFKVSDCDRQNGEFIRPGFLILLPLLSKKKRYLIQCTKRG MYCTH_2297957 MASSDDLATPDSVAESNPTAGPTQRPHTPTADHNAGPNPTAASG PDPGPNPPLTPSRAVKRAATATPPRVKKKIPWKGKSVIVLLPKDEERGQPGKPPIPLT EANVIGMLRSWQELGYDIGGFDLYEPDEDADPRDSSQSKRAWPDPADILQELQERDFK VVLPDLNAWKRYVDELNEAKLRALGVSFADEEPPPPSISPASALSRQPSIAQYPPLPF SPPIPTSSASSNQALAGFPFPAQLVTSAAQSPGVPAGVSPGPFGAKFNPRASISGSSP HAWSPQLLLQQGHRGGSPSLANLGAVMSPASPFSPDGIPGSVGHQRHQSLQFSTLPHQ FQPPVRASPRLQELREVDEEAVADAPPATSEQQRFVRHNASDSLQREIDEAEYHLEEQ MRSQLDNDQDYSPHNDKDKPEAGPSSSALGHAGDQLMQFAPQSHRFASDADGLVLHHP RPHSRGHSLSQKYFTEDDVSKQGAFRPTLQQINAQPAEEDEIETNPSNLGTPVQALEF SKLVHQRGFSTASNPWTEEPSNPPKSSHQPHQSRGSVSSFSKLNVEAPEFKFNPTGTF SPTSAFNPTTSTFNPGAFSFTSNSFQPMAFNAGMNSATLSQPRLPTTGSSKINVNAPV FSPGQSEFSFSTTGPKFRPDAPAFTPHSLSTSLTSPITSGPESGSNLGGSVFGTIDLK GADHAKPGKRSKAIPIVAPDRRKSPAASGTQYDADDRPVVDESRIKRARANAENGDDV PLFAERAKEDTPAPVSQPDTSGQEDGVPVEEKSFDESNLGMSSTMVSETTDTKATVSP SEPSPEQATVKWAPFEFNNKSDAQAFNDARPFDPEALKPAHKKSLSATAKEFVPGAAV WEAAVEQAEEPVVDQKSVQPVAGDADTAAEDDAVAISAKAPERSEQEEAAQPPEEQAE EGEVADATTAPAEPGPQTLSSEKGLSASRYAQASPPPPPQPKRTGLAASRFAAPPPSP PSEDAPVAADHTVSPLSAEEQPAMPNGEQAAGEPSMADIDEVMRLMNENPDMGVNRTY DDHSPWHEHSPLPQSPIARAVESSPSRLPPSYSRSDAPSPSQADGLQSAAVELEDPFV EPPRSGQSVEGAIHRLNGSESLPASDWEGVFGEEEQNELESRVGFFDGRVNQLVGGLL DARLGPLERTLDSINRALSRRTRSSRREGGSISVDNRDSDADDEDDEVPGPPRSLSPR RDRKMEQIRAAVLDAFAVQRRNQSAELRPSTSQASDNSFVLKALEEMREQMKEELGRS VQPAIRSEEIRSILEDVVERRIPPPQPAADKDEQLNELQARVAELEQQLVAERTKAEA EVSARRAAEDRAAEANRELHSAATRIEVEMMNKSALNRRIADLEERLQHAEGQAEEEV KGRRAAEDRLSEVQRLLRISSEEETRLRELVDEKDQRIKLIEAVNSKNAMRLTVLEAS QANVHQAQSEAQNRINSLEADAREARKEAQHWRSETDRIVAIIQRRDKDLAQALDENK AMHKLIDTLGTQLQENERVRDTWRAKFIAMQDEMAQATKAITEENARRIKKEQALLAK QEVLEARLQAEARTRERIETELERLEMGERQGMRAVAECKRLEGLLAELRAENHKLHQ SALRYQAEFREARESGAREVQRTREAMQAEVENANHQVNVAREELEAQVSRLRAELDQ VRLDADTAKARHDMLLEEAQNSKQAEIEELVRKHQNEVEDLQARYERQLSNTTEDAQR AEQNLLERLSISTSKSEYLQDKVAHLEEKLEIAKEAARAAAQAAKSASGSGTAAAQAE PAASSRQLELPEKISPQALRESIMVLQEQLQEREQRIEELETKLSKTDPEAEVKISKR DDEIIWLRELLAVRHSDLQDIITALSRDDYDKNAVKDAAIRLKANLQMEEQERERAMN GGSAINLPNIAATIQAATPRVAQAVGPLAAAWGNWRKARELGSVLSSPAPANGKASTP SRASSASGGLFGGLMTPPASRMRTTPPNQAKQPTAFSSTGRRFTAQDLANRPKPPRSS ASPSLADDAKGKAPAAAAQETPQRRPLAEPVTPPMMSSKTYDDDAQAEDFDDAGFFED MYCTH_90344 MATMPDNSRLGAPSGSPSTNTDSRGTFVTPPSIPASSRYNANAT ETSSTPSSHSVLTLSGVVSDGFPPPGAHASSPHTSQAGGPLYYSGHMTGSWPTPGLSQ SSAYTYGSSGSGSSGSGPLAQPPYSRTPTSYGSAPSPSHHHFPSRATSSAQSPESLPA SQSYQDQQAFSGPIGVGGGGNGGGNLGSPLSPQGGNQPGLAQPILGNPAPNTTRQAGP GPNAGGGPVAAQDGSSYRPPPTPSGYYPHTSYSPPGTQPSPTTSASSATAPGSISRGP SSVPAMTPPLQYSSGRANSVPSVPSYSYNAGPVLSNMHHPGAPLAMVGSMSGLSSYSS HHPGLSPHHPHPLYVHHPGGPPPQSERPFKCNECQQAFNRNHDLKRHQRIHLAVKPFG CGDCEKRFSRKDALKRHRLVKGCGGTSPPSAAGAATGGGNERTLEDRGRLASGDKNCG PGSVKKER MYCTH_2297964 MDPYRLYTRHTHDMEGMEGMEGSGMDMSDGSSSASSGGGHSTVF RNSMTAPLFSAAWTPSSTGTYAATCIFLIVLAALLRGLLALRSWQERRWLDAELDRRY VVVNGKAPLAERLSRDSLAKNMTMVLSENGVEENVLVVRNRAAGERPRPWRLTVEPVR ALMDTVVVGVGYLL MYCTH_2132441 MADITDQHEQTSPTELDDAHAVGNGNNNVGETRGIKRARPSTAD DDDDDDEKGGRERRKIEIKFISDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVV SETGLVYTFTTPKLQPLVTKAEGKNLIQACLNAPEPPAGDNGVDDANPVESPEEPASA HMPPQQSRPGMPQSHMPPGYMPGVGMDPQQALAYGYVQQQRGGQYMPPNSLQQHAGHQ S MYCTH_2297971 MANKVQIAYLVSDGLFLLMGIFILAFSVIVNNIKDEQPTNGRQA ARDLLYRDFPLSAGIVNAVFIFITFAATLPGLATSSRRWIKAAGYMAVVCLIFTTALG LDIWIKTLRLRAEFAPRFSAQTDAVKSLMQIEFQCCGYFNSSSPAFVTDAVCPSKAAA AVMRGCAAPISAFANVFLDNIFTALFGIVGFDAIFIMATACLLKDRKERERFQHIDDK AGFGRI MYCTH_2297975 MQLGIIVGLLSTSVQSLGLTLQRKSHILEDEKVPYDVRRPPYRR RRWQLGMAMFIISNVVGSSVQISMLPLPVLSTLQASGLVFNSICATLILGEPFTRWSL WGTLLVCAGAVLIAVFGAIPSPAHKLSELLDLLGRRPFVLWMAFQALFVISLAVATEL VSHFTTLMQDPRFRLARGFSYGCISGTLSAHSLLVAKSAVELIVRTIADGDNQFVHWQ SWMLVLGLVTLALSQLYYLHRGLKLVSTSVLYPLIFCIYNIIAILDGLIYFRQTDLIN PLRACLIALGTVVLLSGVLALSWRLSDEQHTPGVGQSTLAPGLGLLEDTDAEEEGEDV SLRSALLSEGETAVATQSNYQTFETPLSPELSTASAAAPAPQRPSLMARSTRAASSRW AEQAEIWGELEDRSTPEPASPSFNRRRSTTLPDRRSSPSLGPVRRETADSEQVGANEE AEPLLSSPRGNFRRRRKSTGFPGFTARKTTGRKTSGGIQTLARSVFGMKWWGSKPRQA MPTDAPRASFGSWAVPPYRDDLESGLAGPSAEGRTSLAPGSKASQMSESRDTRPGDTP V MYCTH_2297977 MSQIHSLSDDQVGQELRKMTAFIKQEAAEKAREIEIKADEEFAI EKSKLVRQETDAIDAAYEKKFKQAAMSQQTTRSTVANKTRLRVLGARQALLDDIFAAA EKQLGDATKDAGRYQEILKGLLLEGFYAMDEPRLQVRARKADYEVVRKAIDDAASEYK EKTGKEVEATIDEENDVPEGR MYCTH_2297981 MSKQGDITSFFKPVSKLPQSTQPIASRTEASSTPPPPSPSPPPA LVTSSPPAPTATVRDPNTVIRASDDEDDDDLGSDDDFPELFARPARSCRPVQAPEKER SVYATPNAKRRVIEFHSSPLTINTRHKFDMKALVKHAAADNALEESEQRTAALLAQES PTAHCGVPAKGAHASLHDAMLDVLSDPEGSQDEGNRGRLLRAVKRTEASVGRKEWYFF DRQGPTNDSAEMEIRRTFPKAKATGVWAFLGQEQHRSEVFEDGLPYNVQCRMQNLPDE IFQWVLDEAPRAKSRKLRDEYVRLLGVCPDQIRRLLDDKAILELFQNLGASERALSTG AAPPSGSLEEGAPYPEHDRVRLETVLRVLSTSAHALGIGALTRTTAILLRLGIDNLVR EDQAVAAEYQDALHRIVMAVPWRLWDNFCGDVSESLYSFTQDATLRWNAVSSIPLLHS RLVELRRRLALVFVFDDPRRAFSPPDATFSIRSIIDRLDQADEFVVDRTNTDYFELLA LSEMLSVAVGDGSPPVGDVGPEVIKQFNREVDELSQRIKHMWSNIHEQGAAYMSRLEV RVQLRDFERKLQHVVRTRPPPKEDIFGLNTTEDDIQRPKQQQFMRRFFEKKTPPRTS MYCTH_2297984 MASLEGGTGEPTGAELSMQRSRWATRRLTVKSSSMKRLSLMGRR HNRGVASEKKRTSGGTDVSRQADSDAPKDESSEEEVRGNGSESRRLFFNLPLPPEFRD EDGHPIQQFPRNKIRTAKYTPLSFIPKNLFFQFQNVANIFFLFLVILVIFPIFGGVNP GLNAVPLIVIICVTAIKDAIEDYRRTILDIELNNAPVHRLLGKENLNVREDNVSAWRK FKKANSRFFGAIWHAIEALWKKEPQLRRNFTTADPRMSIESRATPWEPVASPRSRHSV SSAHEEIQMTPVPSPLPRDPNMPSISSTVENEAALLQKLQGDLINPELPVSGQARFHR DAWKNLVVGDFVRIYNDDELPADIIILATSDPDGACYVETKNLDGETNLKVRSALRCG RTLKHARDCERAQFTIESEPPQPNLYKYNGAIKWRQRVPWDPKAEPREMSEPITIDNL LLRGCNLRNTEWALGVVVFTGHDTKIMMNAGITPSKRARIARELNFNVICNFGILLVM CLMSAIANGIAWGKTDASLTWFEYGSLGGSPGLTGFITFWAAVIVFQNLVPISLYISL EIVRTLQAFFIYSDIGMYYDKIDQPCIPKSWNISDDVGQIEYIFSDKTGTLTQNVMEF KKATINGQPYGEAYTEAQAGMNKRLGVDVEQEAKVIRAEIAEAKVRALRGLRELHDNP YLHDEDLTFIAPDFVEDLAGRNGPEQQQANEHFMLALALCHTVIAEKQPGDPPKMIFK AQSPDEAALVATARDMGFTVLGTSNGGINVNVMGEDRHYPVLNTIEFNSSRKRMSSIV RMPDGRILLFCKGADSVIYSRLKKGEQAELRQETAKHLELFAVEGLRTLCIAERELSE EEYLEFRREHEVAATALENREEKLEEVADKIERDLMLLGGTAIEDRLQDGVPDTIGLL GDAGIKLWVLTGDKVETAINIGFSCNLLNNDLDLLRIQVNEDETGLGTEEEYIAIAEE QLNSGLAKFNMTGSDEELKRAMKDHEPPAATHALVIDGFTLRWVLSDSLKQKFLLLCK QCKSVLCCRVSPAQKAAVVSMVKNGLDVMTLSIGDGANDVAMIQEADVGVGIAGLEGR QAVMSADFAIGQFRFLQRLVLVHGRWSYRRLAESISNFFYKNMIWTWSIFWFQCFTDF DISYLFEYTYILMFNLFFTSVPVILMGVLDQDVSDTVSLAVPQLYRRGIERKEWTQTK FWAYMIDGVYQSIISFFIPFIFVSLTTTASSNGLDVAERTRLGAYIAHPAVFTINGYI LINTYRWDWLMILVIIISDVFIFFWTGVYTSFTGSALFYQAAPQVYGEFTFWMCLIVT PALCLLPRVVAKTIQKQRFPYDVDIIREQAKRGDFADTNAAGASAVGVMEGVGGGSNK SSTSSGKISGRSKKAKHAQYASVDEDRRPIYPPSVATHNTRTQNGSDGTTYIMSRASA ELQLQRQQTQRDEEIGGEPEPAASRPSVDRYRPSYDRVRRSIDRVRPSFEASNDFTSA ARLSMIESTHSAQQLPGQRRFNLTTVRKRGLSAFSKKSADPPDE MYCTH_2297985 MAIDAVGRLLEQLRVAARDLSLDNVSGAAWPFFWTGLGALTLLY LVLRENDQPIRYTVPSPKQPEKVEILDEPAINVSGSTAIQCYAPATGQFLGFVNPSTT NAIDRAVEQAQAAQKKWATTSFRERRAVLRSMLQHVLDNQDEICRVACLDSGKTMVDA QLGEVLVTVEKLQWTIKHGEKALRPSRRPTNLLMAYKRNTVRYEPLGVVAALVSWNYP FHNLIGPIISALFSGNGILVKVSEQTAWSSSYFANIARGALVAHGHDPALVQTVVCWP QTANHITSHPGISHITFIGSRPVCHKVAASAAKALIPVIAELGGKDASIVLDSVSNRD LPRVTETLLRGSFQASGQNCIGIERIIATPAIYDRLVSIMEPRVRALRPGPDADVGAM ISDSSFDRLEGLIADAVSNGARLLAGGKRFVHPDHPKGHYFSPTLLVDVTPDMAIANE ECFAPIMVLMRAPAPTAEAILSVANAPHFGLGSSVFGAESDPRIPQIVSGIKAGMIAV NDFGATYAVQLPFGGVSGSGYGRFAGEEGLRGLCNVKAVCEDRMGWLGVRTSIPPPMR YPVKDQERSWRFARGVVEVGYGLDIARKIGGVLGIVKNS MYCTH_113457 MAATTTDSSAAPGPLAPMHPTMTEHDFRFPRRPADAATGARKTD RLESASGTKPVPPTSTSTAASRTATSGTTTAAAGGGGLRPGLLDGRLNLGLAQGGGAA HLDLLRASAFPPFQQSARREAQSLEEMQREDPLATQIWKFYAKTKQLLPAQERMENLT WRMMHLKLQKTKAANTTKTSRASSSSANTPSGIAQLRKSSEHAPPQLDPMNLDDFIHN DNVGTPAGLALTPTPETMRQAEERSAHTTATAIPIKNRKEPSSSSSSSSSQHLAPQSV PVAAHQRPQDEFGYLPRHPRKTSIDETGQRTRKRPANFSPHVPAVNSGLSTSGLDADA DLQEYSLDHLQHPAAASQPPNQMGVPFPLDTFRLGNDLSISSAGPFQQNFSFSPATSP MAANDPFASLYNASSMPSGSLGADFYSPPGSAYQSAVSTPHPLGEADGFYFGSMDVRQ MRQHPYRNGPAAMANPLGQQFPYGANGGNLMFPAASTGPETSSAFTAPSSFSHVDPTR VFQQDLPARSPAVGLGQESMFSFGADSDDEDGGAFADRNLPISQDLSPQGSSMDDGAF DCSSLQWDPSLPGNFSMQAARYPGGPTRKQVTIGGTTTDYVDSKGDWEGGNLNRSQSQ PFRTTNGRVGKVPRTASTPRLTSKGNPFDRLAQSTPNSPPAEANQNSAFSSVSTSRPS SPPPASRQGSTTNLQAAAGSSQNESNSSSTNSNSNATTTTTTTTTTTTNNNNNNNNNN NSSSNTNTPTTCTNCFTQTTPLWRRNPEGQPLCNACGLFLKLHGVVRPLSLKTDVIKK RNRGSGSSLPVGGGGTRKRGSTLSVSSSANPPPAQASTPPAASNRTGNANDSESSANG AASTGNGNTPTGYHGNAISSTNVAVGGKGVVPIAAAPAKNLPGPGAASVPRTTAISSS SLSSSKRQRRHSKSVTAAEQPSLNSMDIDSPESSTGSNEGAATSSAGLSKPSLGSSAG LASLQPSASSFSLGGFGSSGGSSGMQRPLAGPGSQRPAPQEWEWLTMSL MYCTH_86350 MGHQKYGLGGPNRIPPKTEIRHPVRSCYVPGIGIPELRMTNTTA GVSGRGTPSCNRVGPSSLFSLVWFFLRH MYCTH_90354 MKNTAVFVLLGHAALAQAEAWWEGAPECAYDCFNSWWSSAIAWP APTSYCSANQGASVSNCLRTACSATPTAVTSYSSLSASLCSRWSSCSSAGSTGVYTIT APAFTGRWPDLDDDHDWDDLDWDDLDWNDHDDDDDDDDDWDDLDRFRSRWSQFTRTWT GGVYTVTGCEWDGNVWAGGPWGYGIGGAAGSPWGPWGSGWRVTTVTQTITRVVTVTSS GGATSLSTSVGPGLVALAVSGDVTSTSVVGAAETTGTGTAGNSPSATGGSGGGSVPDA AAALAGDGALGVKVAGAVLGGVIAVAALL MYCTH_74927 MASFVTNLFPGAKQDRTDARPSTPTRHNFITPASTPQGSPSKKT VPPGANELPAALQGMKINPPSAFDTPVKLTRPQSVVAPLSPGKSNLQILEEGSPSVDD SIIRKGAPRTGSPLRKQGQENTPPNLPRDPFTEPTYQPSHAALSRQELYQPRERPSPT VRRFNTTRGLTPEERELLQKPAVKRLVNVTQLYFLDYYFDLLTYVSQRQNRLNAFREE FPPPPATDEETYNQMWAKYTGRERANLRKRRVRLRHADFQILTQVGQGGYGQVFLAQK KDTKEVCALKVMSKKLLFKLDEVRHVLTERDILTTAKSDWLVRLLYSFQDDKNIYLAM EYVPGGDFRTLLNNTGVLSNRHARFYIAEMFCAVDALHQLGYIHRDLKPENFLVDSTG HVKLTDFGLAAGFLAPAKIESMRIRLEKASEAPVPFGKPMDQRTVAERREGYRTMRER DVNYAKSIVGSPDYMAPEVLRGEQYDFTVDYWSLGCMLFEALTGFPPFAGATADETWR NLNHWKEVLKRPVWEDPNYFLSNRTWNFITTCINSRSRRFSNIKDIYAHQYFAEVDWA VLRETKPPFVPELDSETDAGYFDDFSNEADMAKYKEVHEKQQALETMADREDEMRKSL FVGFTFRHRKPATDEGTPRKPIPFDDDDNDDFGTML MYCTH_2314005 MPRDTPPPIPKEILDEWYAKRRAKRNAERASEAERQESGKPAAP VIESKTVYEAKPVVRDLRKEAVSAFMPTAVRMKIEKSKGRGGLLEPEEADRLEKEGYL RTREPTRPQTGEPQAGQDAGPRGVTMEEVADEQG MYCTH_2035984 AGEFDQDSRDGGIRAWLVVSGCWLALFAALGFMNILSTFRAYLA TTWTADDLSPGAVGGAIFAYAFLSFLLGLHVGPLFDKHGPRWLILGGTVSLVASLLLA SVDAAAAAAGLILAALAILGSLGSTLLYVPSVAAVARVFSAHHRGLAIGLATTSTSAS GLVFPPLLDALFARVGWAWAVRAIALFCLALTVAANFLITRAPGLAAGTEREEGERGE EKEPKEQKEGGEKEAAAAGGTAGFAPTVGAVLCAQLGSSLALSYLPRYALGRAGLGRA EAFEVVAVANGASVAGRVLAGWAADRLGPFDASVGCCAAAALASLAVWLPAGGTKGGV MSFAAVFGVASGGGVSLAPVVVGRLCDAREYGRYYGTCHAITSFLVMLAVPVAEQVFD GGEGRHPSLVVVTGVFYVLAAVAFAAARVVVAGRRL MYCTH_40668 MAPLTPRLKILSVGGNPVSAFLSWRLQASNACDVTLVWKTGFEH VSQYGISFKSADFGNERFKPRHVVRTPEEAASRREGAFDYVILCIKALPDIYDLASVI DAVVTPQHTCILVNTTHALGLESAIEERFPTNVVLSLVCGAELAQLSASEFEHKSSTQ VWVGPANENPNIPRTIQEDMAQALAMTLNTGQVDCKVSPNIRQQQYERVIGPIAFHPL TVIFETPDYAALLEKVGVSKLVSDIIDELIALAEAQGCKFPPDFKQNIINEYSKSSAE NIMWQDYMARRPMEVETYLGSPIRLSQDAKVSVPRVETLYAILHNLNLVNRNRPKIDT SVPAPAQPGSPAATPSPLPRMSAQGPPRQMPNGMPNGNGMPRSRPRGGSSMGPPGPGM RRPPPPTNGGPPNGYPRPGPNSRLPSRRGSMEGADLEEFSHLVLYDDIPEGGEAGYAA HDLALREKELQLRQKELALKEQEMRLRRAGQGMGPGPGPRRGPSAPPSRAGGGGFDDD DDDDDDYFDPHSTIGTPMIDPDNFDMMSVTSRKNRKVLGTNPVQFRKNPEQEVSSFRS RFRPSFGRNRSSQVMAAPPVNADIMDDPLLGFTSNRYGDVDRGAMHAAGSRANSLTAA RLDELQYSHGPPPTGVNGGGPRRVSGNPYSPSIRGGVPGGRPSPPNGLPPQQQNGGRP SPPEGVQQPMPRYPPGHGNNVAPHQVEQHAGVSALQPPKSRSARSLTGSASASAGSGD STNLDSEPSAHSSQTSLGPRPPIGVR MYCTH_2298010 MALELHTLLPVPREACGIVVESLSQIWRAGGEELGIHPPTHLFR RRIQSNSVAAPVIKLQTHHGFPAALQNHHQVVLPTAHPGKPAAHRPSRWYFYLDRQS MYCTH_2298011 MESPATDRKGVPLLHAGQAAEEIRIPESSPRGWSWEILAMIASF GCTASIVAILAVMDDRPLADWHFFLSISATIAIFGTALKSTAAFAVGGCVSQYKWLHF KSSPRKLVDLDLIDEASRGPLGSLVLLARRPMGLASIAAAVILLALAVETFVQQTVTL TPRNVAVDDGNAVLGLAHTYNGGAKPIRSSMGVINLSPSTVDLSVQGAVYRGLFHLDS PAVFNCTSSCRWNSTYISLGFASTCTDVTDATLNLPPGAEPAELDWHNSSLTTPGGVK LDASYSPTSWQTVISVRGISLLKPNSTWLSEDEVITYMPPEIARIGVLRADVLTPSWV IRTDTLEIVECDIRLAAYAYSDLSSTGNNLTEKRQPITLNRGVVTFVDNGTHADKLVF DQPPLPVLRASVPDIVALEALFTSSRFGGNIFDGETAPTQNTSGMGDAFRSGSIAKTF QDMVDSMTNQLRASFDVKAQGQSIKQVVFVRIRWPWLALPLVVQILSAVFLIFVLVQS SQTKTLPLWKSSTTAVLTYDIREDESGVGKLGTGVLSKKELKALVKSVEVKLELPEQM MAAATGTTSSDEDRKADLKGSQSHVYSMPKAGV MYCTH_86355 MAKGKSGGGVQNKAIYSRISFLQQAAVTLASISDPFADSERSEA ANTSFSDEPTSVRAFNVVGSGRMGLDGMSRRLATDLRAVSLKTRIRLKPAVKRTICKF CDSVLIDGQSCTSTVENRSKGGSKPWADVLVRKCHTCGRERRYPVSGARQKRKTERGL DGSNGNSQKQNRKDV MYCTH_2298018 MSGEEDLIDYSDDELNNETAAPTSNGKKGDAAAATQNVDKKGSY VGIHSTGFRDFLLKPELLRAIADCGFEHPSEVQQTCIPQAMLGGDIICQAKSGLGKTA VFVLTTLQQVEPVAGECSVLVMCHTRELAFQIRNEYNRFSKYMPDIKTGVFYGGTPIQ KDEEILKNKETHPHIIVGTPGRLNALVRDKHLRLGNVRMFVLDECDKMLDQIDMRRDV QEIFRATPQQKQVMMFSATLSDEIKPICRKFMQNPTEHYVDEDTKLTLHGLQQYYVAL QEREKNRKLNELLDDLQFNQVIIFVKSTLRATELDKLLRECNFPSIAVHSGISQEERI RRYKEFKEFNKRICVATDVFGRGIDIERINLAINYDMPADADSYLHRVGRAGRFGTKG LAISFVSSDQDKEVLQQIEKRFEVALPEFPKEGIDASTYMAS MYCTH_2073265 MIPATYEPTTSVHPTRNAPYNLSLATSTSFSSSSSSSSSSFWSD VSSQHSDDSVSTAQTSYSDSRDSYYLSHLAASSQTSVGSLGSSCESTSRLRNPWAKNH VQPLVTTELPAELRQNPRRTSAASRTGRPPSLVRQSDRKLSFVENLVDTSTHIVEAIW PTSSVPPRNDCGNNTVLPLRTFIQETLRRSRTSYSTLQVALYYLILIKPHVPPYDFTT EQPDDSRSSQAIQCGRRMFLAALILASKYLQDRNYSARAWSKISGLDTLEINQNEMAF LLAVNWNLHVTEEVYKRWADCVNRFTPSQPPSPGGAAQQAYERQCQDFRRIILNLSPD LENLEELTLWSPVMSPTQEVPPRFLYTPTGERPGASGRESETATAPKAQNVPAVMEPV PSIAYAPGRLAPALGLLPTPRLTPQLSGLGTPAASAASCLVGRGSSMGFAMAQASASI SAQCADRWPPSATSSPMSYVARRSSLANSVSAASSPESMVSDSSRVSRSSSISSASSV AAPSSKLDVQARFRSAKQCSERLSLRPTIASVPEDEEGFMVTSPDSYAFPTGKELGET SLETPLGRREREMDDAARALQELHQSGTNRPAMPVRVGSKRGRANSVHDDVREMLAGQ TSSFSWPETMVREGAQIPVLRENFKRVCCSTEAARAPRISALHPAVGGYGGPGMWEGI LN MYCTH_2298027 MPPALKPAVPSRRLLRFLRAQSEGLWFTECASRLSSSSSTTTRR HVCACARAARPTALLPSIPTGRRKPTLQAAFLGLDAILPKSLSKQQRASASHEQQQQQ AGQHGGAQRYSSSNQAPREDGGRSSWQEWLFGSDAKKQGEREREPLKEGDIRVRLEEE SGSIFQRRSLTAKTALDPRLRCTEVDGNGKVIMVDGELKKSELIAKYGLLPRDLRKID SSNLPHILVRPSAILLNLLHLKVLIKHDRVLLFDVYGSTSSYPQSAFMYDLQGKLQQK QVAGANSLPYEFRALEAVLMSVTSELEADFESVRDPVIRILSDLEDDIDREKLRILLV LSKRVSTFEQKARLVRDALEELLEADDDLAAMYLTEKTHDIIRGEDDHTEVELLLESY NKICDEVVQEASNLVSSIRNTEEIIRAILDANRNSLMLLDLKFSIGTLGLAMGTFLAG LYGMNLENFIEETNWGFGAVTGISTVFSLVVCWYGLMKLRKVQRVKMSGGGLGALRTQ NQWLCEHGTDGLLLDPSNRERLRRINMMKNAQQSRARKWPFSRKI MYCTH_2298028 MKLTPIKVKGKAGPRKTWKPPTDRTRKAKRRRDEEDEKEGGEDG SDRPPRRRFKARKPAEPIEELPTEILERIIFMSRNLNFLRSSLRIGYRFSSRSFLTEL LEAAFAPTLDLWFGYKKDQVAPPDRRRKGEAWGNYRWLLPEWVPGEPDFQSAVLACKW VNTTLILEAQEKWFRRNGLGRLLEYLKPSKIPVARPGLDGHPDVAARFEADWETLRAS CAAWFPAENPPDEEARLPIWQPPCYVEVHPLTRAPERLLTGPFDWETARTLFWLVRGG AHQLRQSPLSWEATKRGYDNIMALGDKQLAFLLLTLWVELRAFEHWPEFLRHQGLEAA AHQLEHCDSPTDWKLWKWAYYFIDRYCWVRAEDKKWP MYCTH_2314011 MGKVHGSLARAGKVKSQTPKVEKQEKKKVPKGRAGKRLKYTRRF VNITLTGGKRKMNPNPGS MYCTH_2298031 MRPTITATTLLSGCIAATTAAAAAVAVAVPPSHSGLRIPTSHES AVLGRRILALTPLGTLSTVFPEDDKQKGDSNRDGGVSNQERRSPGLGGLPYGLMEYIA DCEDDDVGNPTILAISIGTTFKNAAAGSNVSLAVQWTPPYPPAERIKSTGNSWLSYLG LSRTEDDDDDDDDDDERDISLPYSAANLPRFSLLGHLEPIPGGDDRSDEDGVGARLAR CYVKSHPDARYWLPGNRIHEAHFVRLVVEQVYWVGGFGDRAYIGWIPVEEWRNVTREE WQKVRLPGEKKGWKEWSTQEDLKIKVNVKGGSETSIFTTSFFSRPRRPIIKAINGLVQ PLLGPRG MYCTH_98303 MATLTESEVEKLFSGAPQYFARSEGHYTGAPHPSVAFPWDEELE IRDLTDHTQIEDKAWGSITAWPHITRDVNSDRSAAQRASEAKRRAHFYPRCRERPNML SMFGLEKGSVGYQAALELGVADALQEEQWGFERLAARDPAIVRQRQTMLTSRDGLRHV DETLIMEQLIKNGQRYCEPHPREPRLSGDLYNELFTQILHPPTEVLDHRDPYSLSVQI MALVKVLATPNMWIDFSHVEWRIRLGQLLWENPKGDNAEDAASVQTGDSASDIHEERY WLLLQILLACELLVRLDAITEGEELGAGSLRPAEIYKFEKEATKSVKWSLILARVWLE NIEVVKTEASAPADVEEKSSGWLATLTKRMLLARDHDWQNHHNRVPVYAIKGQHARRQ VNGLAHFARRLRWPGAETYLSQVTENCRSVVEGTPLSTLLARSSSRADSHHLFHFGHH PKEETKEHSPPSRRKSVSVALNPSGWLSKSYVSGLMLPGDGLCHFLMATLLENDPEAL SSLGPTANLCSGFVYSGKSFWSTSCIVGRVLSAGRGAVECMGWISSDVIPRGFGNGWV KIDAEETPEDALQVNKKARLWGKKAVERESNVLGDTDPSSVLPADFLIPSENTYPEKE PPALDIVLKALNLGAPVSPGHTTPAHGNETTPESDDSKVSSPSVFAASVTFAVTDLDS EEVHEYTYSLAKDINFVTAHPCVPSQYVRLMKSPCSPTIQQVDISGHGASGRTASVVG HPLHKYYTYVALHLSELLTKKDCTLESLLSSYSLSPRQRPIMSPSLSQKNSPAAKVLV IDCITGFRPQPQEHEIPLSPVISRTDSNNSGMLTSPTSSNVTLGGSTDHGQGDGTGTA TLESASKKMHSESRRRQFGSDMEILVRAFCAEKGWNALISRRRRGCLACAIREAGALG WKVVIRVD MYCTH_2298035 MSDTALVPGADALEETLGRLSKKPGVRATIVLDRASGAILKTSG QVGSIRTTKPSSAPVPASGSFNKEDDGSGAKHDQGAEELASMIWNFVSTAGDLVHELD TEDELKLLRLRTKKQEFVIVPDAKYLLIAVHETSA MYCTH_2298036 MGSSNKKKKEKKKDFQKPKLKVGKPKPKASNFTDTSFKSKSIVV NQQTLVADDLEPAEKFKQNLSLAITAKSDNQRRDALAYITNQLTANPPNNPVGTSAVL TKLLPLLSDVSALVRTQLLKVLRALPPAEVRPHVEKILMYIRGGMTHLSNDIRSDTLN VLEWLLEVAGDEAVSCPGGWLKTLNSFSSMLGWNPNVGSALNSKGWTSSSRATLGTKK GPEAQARQIQVLAKFLQVGFKPEAPMPYNPMAYWDNIYRLPGTPNPFAYLNLFGTPRD EDSEMYPDRVSRQRIFDAKWRAAISTGMERAKKEGGTVGRAAATLDRALAAGLQ MYCTH_2132459 MADNSWNVPASSDQPGDANVAQDAGVFGTTQLKETLPTNGSKKT ETPAGWVQPTAYDYTAYGRDSGHEWDSNARVYEWDGEDGDIGPEVPALEVELFGEPGK RNNRGIDFSTIAQIELIQEGPVRIEPIKTFEDAGLHPAMLKNVQLAGYETPTPIQRYC LPAIHLGYDVIGIAQTGSGKTAAYLVPILNKLMGKAKKLAAPRPNPATFQEGVDQRVR AEPLVVIVCPTRELAVQIFNEARKFCYRTMLRPCVVYGGAAVRDQEGQLQKGCDVLIA TPGRLVHFMNKPDVLTLRRVRYMVIDEADEMLTDDWKDELTTIMSGGEQEEDNINYML FSATFPRAARELATAHLAETHVRFRVGRAGSTHANIKQSIIYVDPSLKKQALIDLITS LPPTRTIVFVNSKRTAEEVDDFLYKEQMPCTSMHSDRNQREREASMRGFRSGQWPILI TTGVTARGIDVRNVMHVINYDLPSMDHGGIEEYTHRIGRTGRIGHRGLASSFYSDRDE PIAPLLTRTLMETNQEIPEFLQPYVPEGVTADNLGFEADSDSGEAVGGGDDDTGNTAG DDAGTDVGGWGAGTAPVP MYCTH_2298040 MGAKSRRSRSPTTPNGSLGRSSGRKANGNLARMNTNVELQRRNI SHETSTPTGATKNLVARESFSLDNPVPQTPTVNDHGFFDLPKRDQRNFGLLVLLYFLQ GIPLGLATGSVPFLLKNHLSYSEIGVFSLASYPYSLKLFWSPIVDAVWSPKLGRRKSW ILPIQLLSGIGMLYLGSVVEDMMETMGKPGGPTVWGFTGWWFFLVLMCATQDIAVDGW ALTLLTPGNISYASTAQTVGLTAGQFLSYTVFLALNSKDFANRWFRTEPLDYGLISLG GYLTFAGWAYILVTAGLALLKREERTKNEDGIWDVYKIMWGVLKLKNIQAIIIVHLIA KIGFQANDAATSLKLLDKGFGTENMALTVLIDFPFEIGLGYYAGKWSQEYTPMRLWCW GFAGRLAAAVAAQFTVSIFPPTATASSSGGIPAWYLLAVMAQHVLSTFTNTIMFVAVA AFHARIADPVIGGTYMTLLATVSNLGGTFPRFFVLRMVDAFTSATCVPKAADSKFAAP FSCAVQADKERCLADGGVCEMQRDGYYIVNVLCVLVGLVTFVLFIRPKVLQLQALPLR AWRLSPSSSKY MYCTH_2142250 MASNLDDEIEELQARAATLKRHLRIHASTLITAPSTRQLLSPAP LDKPTTALTLPTHSNQDQPQKEGQTRQALLTDALAQQQAHAQQCLYRACATLTTFRAR DPDPRAVDGGAILGLRIEVFSRSRFLRPYYVLLNRPFPGSRHLRVHRHTLPACIPLAG LAWRYLPPPKPSKEGEDGGMGRGKRRQDLARFARALRREVVRYHHRLGVVADLRKAAG IGRAGQGEEGDLEGPSNRLVEIAPADAEVKQLTIEWADGRTGRLVMGDDGEIVKLVVL GENGWDREAGRDLLGGAVRVEDVAKRLAALT MYCTH_2298042 MPQLSFTFILPLFPKLLEFYRNAEDPVDAGAPPSKTLLASVLGY LNAYKAAFARPIDSRYDIVLLGGALGSMFSLLQAVASPFIGRLSDKYGRRTALLASMA GNILSVLLWVVAVDFRTFLASRIVGGLSEGNVQLATAIATDISDAKNRGSTMALIGAC FSIAFTFGPALGAWLSSIATVAANPFATAAGVSLFLIVTETVYLYFSLPETLPSLATD TTTATTTTTTTTDGAKSAVEENEEKKKKKKKKQSRPAPAQRTNPHFLLNLVHMTFLLF FSGMEFSLPFMTYDLFEYTSAKNGRLLGYIGLVASILQGGVTRRLAPLLSVRIGVAAC LASFVLLARISSVSGLYLAATGLATTSATVVTGLNALSSFEAAEDERGGKLGVLRSWG QIGRGLGPVLFTSIYWWAGRETAYSVGALGIAVVGALTFFGLKTPPASVRHRAAAVKD DKKEL MYCTH_2298045 MLVSLTVGKVDAGVTVLLTPDKRLIEFPSILLPPDITSGSIVDI NVSRNKNSEAAAERAFRELQDSIVSCFGAAEPSPPVLRCRNATQTSVVLEWDPIRLAT ADLISLALYRNGQKAGNIPKPLSMHTTKISGLAVDTEYTFHLVLRTTAGTFASERVTV RTHKMTDLSGITITTGILPPAVRESLTAAVERIGARIVDGVRIDTTHFVTTEGRGVQW EKANELNIPVVRPEWVEACERNGRILGVTKFYLDAVRVGPAFDERPGTAGTVAGSATT ATAAAPSPPPKDAPASRQATAAAGASGQAAAQETTTENGAREKEEGGGAESDSSADDD DDDDNDDDDKKEERDRGGEEKQKAKSGGQDEQKMLADERDEKQLASRPKQPTVEDEGG DTTEGDKAAKDDDDGKEEKSPGGDRSSFQDVAL MYCTH_2085895 MLSQIQSETPPENLAALAETHYFSANPPPKDLEKHAALAREFIN YHSEAGRRLVLITSGGTTVPLEKQTVRFIDNFSAGTRGATSAEYFLEAGYAVIFFHAT DCFLDFLREGPNGSVVARDEDAAKMLHVLRKYRDARDRNMLLLLPFVSISDYLHELRS VARLMRPLGPRGLLYLAAAVSDFFVPPDRMSEHKIQSTDAADAFKRSQSANFRPEAEE EETFDNFDSSPSVPRSKSLVIDLDPVPKFLKNLVEGWSPQGMIVSFKLETDPTILVYK ARYSLDRYQHHLVIGNLLATRKWEVIQVFVSPGNPDRWIRVPTGRGAGRNAPAADTEK AEPIDPKSLPEGEPEVEIESLIIPAVEELHTKYIKTFEGKERV MYCTH_2298049 MSRQTLSRLKAPQLAAGFPINLETLGLAAHGPPAQQPPGQASGT TTPHAAQGFSLSETAAKFARRENIGHPFALRILPGQKSKEFPIRISICPRHTFSFYHL KYLGPFDHPLIDKMIHFYTQEKRTKPLWCYVHGFSATDGSNSVVRQSSERAVRAALFK ALNAAGYDSHGRSLDGSKQNLHGTIRVTVLEPKAIMRKLDFEKLVGYLSGFVSDIVPR LSSSSPKPSHGRGERRQRTNSVDSVNKDRQ MYCTH_74969 MPKNKGKGGKNRRRGKNENDNEKRELIFKEEGQEYAQVLKMLGN GRLEAMCFDGVKRLGLIRGKLRKKIWINNGDIILISLREYQDEKGDVILKYSADEARS LKAYGELPDTAKINETDTFGPNDDGDCGFEFDDDRESESEGEGVNARGGKEFSIDDI MYCTH_2298055 MPSILSDDDRQTVKRCVPKHTNKIHAVAVARLYVAYPNRSKWTY TGLQGAVVLANDLVGNTYWLKMVDISPGNRGVIWDQEIFENWSYNQDRVFFHTFELEE CLAGLSFVDEKEAKQFLKKMNDREKNASKATLKTPFGGAAPANQHKHHHGLFGGLFGG HRHSSAPTPPDCPQVAASARDHQSGSVNGYHEAPSPPAASRFDKLDAFDPQWREHFGE DLKAKGLSDDFIRENQDFIVDFLKQEQAAQAQQQQSASSAPPPPPPPAVNGPGGGGLR APPPPPPGAPSRSANETTSAPPPPPAPRRGAAPPPPPAPRRSGKADSSDQAESAQDSP PPPARPRFSVPPPISDAGKFARSDPPRTVPSPPAPAPPPPPRPPKTPIDDAEPHQRHA VPPPFPGQRSVPPPPPSRGPVPPPPPSREPAQAAPPPLPPKAPAASAPPLPPPSSRPP PSLPARSPVPPAPAPPPPPSSSAPPAPPLPSSSAPPAPPPPPPLPSAQAPPPPPSSGG APPPPPPPPPPPPGGAGGAPPPPPPPPPPPGGAGAPPPPPLPPNRDSGYSSSAPAPPA PSNDQARSAMLDSIRGSGGIASLRKVDRSQIRDRSAAMVGGSNSDTGPHGSGLPPAGV APGGGGAGMADALAAALQKRKEKVSRSDDEDDDDDW MYCTH_2298057 MDSKTTQQQGDANQQKAENNSLHKKATDGIKKAFQAHSANPGPA IPKEFNVPEEGTKEERRAKAKEMNK MYCTH_74974 MSAAENPQPVQPVKLSLPLIYQQKLFEELRKDDELVILARGLGL VRLVTNLLHSYDAAGNNLIVIVGADDRENAWIGEALAEHAAISMSPRARGLTVVNTDF TNVAAREKMYAAGGIFSITSRILVVDMLTGLLNPEIITGLLVLHADRVVATSLEAFIL RIYRQKNKVGFLKAFSDNPDPFTIGFSPLATMMRNLFLRKVSLWPRFHVHVAEALEGK KKAEVIELEVPMTDAMRDIQNAVMECVEISIQELKKSNPGLDMDDWNLDSALLKNFDT IIRRQLEPNWHRVSWKTKQIVNDLTVLRSMLQSVLALDAVSFLQQLDTIHAAHSPAPG STRQTQSPWLFLDAAQTIFETARRRVYSSSQRAGPDTANIDALRPVLEEQPKWAVLAD VLEEIDRDLYFEPAVRDDSNGTILIMCSDTNTCRQLREYLQTMHVKPRTEKKIEEFHD PEEDKPSAAFMMRRKLRNYLKWKREFAQVNANLFSEVHKAVTGAVDPRLAKSRAPPNK RRRVRGGGTVGAGPGRLDNGSIAQYFEKPGEVAELMAEIQIREEETKQKEDVVSDPLE DMDDYYQLYEMQDLVVIHAYDGDMDEHVLEEIKPKYIIMYEPDAAFIRRIEVYRSSHN DRNVRVYFLYYGGSVEEQRYLSTVRREKDAFTKLIKERASMSLVMTVDPHGAEDPQEA FLRTINTRIAGGGKLAATAQPPRVVVDVREFRSSLPSLLHGRSMIIVPCMLTVGDYIL SPHVCVERKSVSDLISSFKDGRLFAQCEAMFAHYRNPMLLIEFDQNKSFTLEPFADLS GSAASVSAANAGANDLQSKIVLLTLAFPKLRIIWSSSPYETAEIFERLKAQEPEPDPI AAVRAGLDREAAGDEGDAQAGGQQMFNAVPQEMLATVPGVTQGNIASLMRQAENITEV ANMTVEELSPLVGREAACKINGFFAKDLLEDEG MYCTH_98316 MGAVVSCIQACFRAVGLTIMAVINGIGGIIVAVVNGIVSFLNII VGCLTCQRFGGTAAGTTTGRRRGFGRRRHIGTTSAI MYCTH_39317 MPSYSPDDGSLGGAPFAGDPFSSLRLRGGDSSHGFEQDDDDVSM TEDEAPASRRRGAVSRPRGGPASSDFQPGAIVRVKVQNFVTYEEAEFFLGPHLNMVIG PNGTGKSSLVCAICLGLGYPSSVLGRASAFGEFVKHGKDEADIEVELQRLPEHSENYV VGLTIRREDNSRKFTINGQRVSHKEVQKLMRSLRIQIDNLCQFLPQDKVAEFAALTPV ELLEKTLQAAAPEEMIDWRTQLRDYYKLQKETELNGEKIREELRKMEARQQVLQADVE KLRERRAIQEEIENLNNMRVVVKYYDARRRFKEAKARKVEAERSLKRLQNSVAPALEA VNKKQEYQSKIKLVVADRQRRLQAAGAAADAAVAQVDAAQARCQELMGRKEAERTNYS AKKQELGRLRKRITELEARYRQTPKEFDAADWNRRIREQQHLQREKEAEVGAAREELK QIRMKGHDNRDQLMRLQNSLSELESQQGQLLTQLRRINSDVAKGWEWLKDNQDGFVKE VFGPPMLTCSVKDKRYTDLVQSILQTDDFLCFTAQTREDHKKLSDQFYRTMGLSVTIR SCFTPYSAFQPPLPREELSRLGFDGYVSDYLDGPEPVLAMLCAERRMHASAVSLQDIS DDQFEQIQRSERLTQFAAGRQLYRITRRKEYGPGAVSTRVTQFAKGRFWADQPVDAAE KAELLQKMQELQAQRAAMKEQYEALDARCKVLDEEKQQILDKIEELRTSKHELQREYT RWQALPDKIGMDACTARPRAVILQHHQRIGGIREARQALLEAQMVLMEAESEVIVLKA KNSEITQQLEEGKQTLRQIQEELEEQRNIASEARTEALAILTEENTERLRDQAMGKTV EDIDQAIQVEKAKLEVIQASNPTALEEYERYAARIERERANQATQEARMAELSDRINH IKSQWEPRLDELVSQINDAFSYNFEQISCAGEVGVHKDEDFDKWAVEIKRAVSTIFYL MALQSMAQAPFRVVDEINQGMDPRNERMVHERMVEVACREHTSQYFLITPKLLPGLRY DERMRVHTIVSGEHVDRQGTEKMNFANFVKIQRRLTAR MYCTH_98318 MDHQNTPRRSAPRQPLGDATQRLNHATVAHLNRRYEVGDVENHI ATKSMNATRGCSNPVLTIKDSSAEQRTHYPPAPAALADLGSANSRPAVRNPTSQDASN ARRVSQFSNVSSNASSTRQLKTHIGPWQLGKTLGKGSSARVRLARHRVCHQLVAIKIV AKSTAHMTQAGSLANLDSIDYRKPTTSTDGGLRRMPLAIEREVAILKLIRHPNIIELL DIWENRSEIYLVTEYVEKGDLFEFINWNGPLHEEEAIFYFRQIMTALDYCHSFNICHR DLKPENILLKANGQIKIADFGMAALQQNPNHQLRTACGSPHYAAPELLRHQFYKGSAV DIWSMGVILYAMLAGRLPFDDDDMEVMMMKAKRAEYRMPPHLTREAKDLIRRILVDQP AHRITMKQMWRHALIKTYDYLDAYQNWDGQSQIALPNLGNLPVPEEIDVQILRQLKAL WHTYSESALSERLRQKKPNDQKLFYWLLYNHREAQLENYNNNVPISKSDFHHLKPPNW GKRISTCEFTKSGRKGQTRTVSRFTVISNVPDIDDAGTIRSYDPYNASRILKPCDSQV SHAKIVIHRNNPEPGVSPSPTTVSHSYRSYRSANGSSRQRSGTNPRRTSTACQLRSAQ TSMGSIRSHQSNPRVRANNRSKRTVDFSSIRNRSQHHRRNRRTTLAAPASSGGSNTTY DREPLSPSGHTKKPSAHQVSVTQSMVDVGHRAEDSFIWSKELEQLGHRIARDCDEAFR SSLLLSEPRKVETGSLEASPFMLPLRSSPVVQDRSVSSPAPYPWDNRPLPPLPSKDTI TPLSIRKDNDSASLAAKTSKLQPNLDVLIPDRRVVSEPAYDRPSKDAGPLPSIRENTS DNWMRQNGGRHNFALTPLGTPIYAKNKGLDFLARPENTIRVVNSPSANGAAYLVDIPE PLNVRKISQKNNNARPTETSSLHDRQRPSFCGSEQKGQSTEGNRNDSTASKKRVSSWF RRAPKEAASGRTIETTSDSSVRSKETLVASEPSNLSRPTSQYTDGPSLRRPNKKKSFG LAFWKGAKEEMKMSIADSDPEEVQLHNEKKKRKRRSSKEPRVLSGTAYSFWSGSDSGI RKIEVQQNWLARLFRVKPAMRYLCFNILKRRARQEVAILLREWHLNLKEVSFAVELMT VIEHGKRNQLSIARFTQEKGAASSFHRVVDAINAAFGTRALLVTDKRKVSMMIKTLNS MYCTH_2298064 MYQSVRAAGPAVWCGSLSVPRAAIRPLSTCLKASSPRSSVSSSI AASPANPVIQHRRHFHGSAIRMAANTRIESDAFGEIEVPADKYWGAQTQRSLSNFRIN QPQDRMPAPIIKAFGILKGAAATVNMRYGLDPTIGKAIQQAAKEVADLKLIDHFPLVV WQTGSGTQSNMNANEVISNRAIEILGGTMGTKKPVHPNDHVNRSASSNDTFPTVMHIA AVLEIEGELLPALRSLRAALQAKVEEFEAKKIIKIGRTHLQDATPLTLAQEFSGYVAQ LDFGIKRVESSLPDLRLLAQGGTAVGTGINTFEGFAEAIAEEVSKMTGTEFKTAPNKF EALAAHDAIVQAHGALNTLAGSLTKIAQDIRYLGSGPRCGLGELILPENEPGSSIMPG KVNPTQCEALTMVCAQVMGNHVACTIGGMNGQFELNVYKPLIIRNLLHSIRLLADGMR SFEKNLVVGLQANEEKISSIMKESLMLVTCLNPKIGYDMASKVAKNAHKKGLTLKESA LELKALTEEEFDTLVRPELMIGPKPYKG MYCTH_2298071 MASNEAILQGVSVLGPIKESQRKILTPQALAFLALLQRSFNATR KNLLERRKIRQAELDKGALPDFLPETKHIRDDPIWKGAPPAPGLVDRRVEITGPTDRK MVVNALNADVWTYMADFEDSSAPTWDNMVNGQVNLYDAVRRQIDFKQGNKEYKLRTDR PLPTLIVRPRGWHLEEKHVTVDGEPMSGSLFDFGLYFFHNAHETVKRGFGPYFYLPKM ESHLEARLWNDVFNLAQDYIGMPRGTIRGTVLIETILAAFEMDEIIYELRDHSSGLNC GRWDYIFSVIKKFRQNSNFILPDRASVTMTVPFMDAYVKLLIQTCHKRGVHAMGGMAA QIPIKDDPAANEKAMEGVRADKLREVRAGHDGTWVAHPALAGIASEIFNKHMPTPNQL FVRREDVTIGANDLLNMNVPGKITEEGIRKNLNIGLGYMEAWLRGVGCVPINYLMEDA ATAEVSRSQLWQWVRHGVTTAEGKRVDKGYALKLLKEQAQELAAKAPKGNKYQLAAQY FATQVTGEDYADFLTSLLYNEITSQESPKPASKL MYCTH_2115670 MASLGTEFDVWEVTIDSLHDALFSRSVTCREVVSSFIARIEEYN PRINAVISLNPTALSDADGLDARIAAGNVTGALFCVPVLLKDNFDAVGMSTTGGCRAL AHNKPLEDAPTVRALKDAGAVILGKTNLHEMALEGLTVSSFCGQTVNPYDLTRTPGGS SGGSGAAVAASFAVLATGTDTVNSLRSPASANALFSFRPTRGLISRAGVIPVSYTQDA VGAMARNPRDLAVALTVMASVGYDPRDNVTALAPPEARQRDYAATLQLDRNGLEGLRL GLLNGFFNQTASPETTPVNDIMASMLSKLAAAGAEIVNITDRFPSTLSVAKLDVQAFE FREALDSYLEAAALSHPASFGELYGGGGGGGEFLVIPAQHRFINRAASSSTTDVAYLD ALHRIRNLTLELRATFATHRLDAIIYPEQQNLVVKVGSPSQSGRNGILAALTGHPVVC VPAGLSPPSDDAPLGVPVGMEILGRPWSEDLLLSIASRISELVPVRKMPPFANGTAEH RVYDSVPSITPNVANIPEVYPLGDVVSGE MYCTH_2298080 MESSALLQSAVAWHRDFEDRPPDAHLCCPKVNHDDFANYDEPDV PDEDGLSVEEKKRRIEEYEARFQNVYNLSIMMGLGREVAGEWLDNWTQAVDECLRGCD SCVKNWHRNRDPYLNGLHLAPEKVDYMRKMLSDFDKQRIDKGLRKARQLLEVHGPMSS AKLADLDMSAVLALFEALCSIDYLSRPEGLDDFNYVFEKTQQKKPLRMQGGLIPSMTY FLFDESSDSYRQKFAECAWEKRPPGSLTDQEWDWAICPYLSSAILKVSLSRGMPSPDR ICKFWRAFSFVLQSLSEKQIINSLRAMEVTPSVYFLALEHLVTDSEEALAVVLKALRA LMEKSATAFWGAFDQVPPNQFIEEIFKSPAFRRFLNKSLTPDMMVTEEDGQMPALAAW MKAYIRSLPSMHRSDVCESLLRHLFDTFRRDPTADRPTQTTCTLAGLVALNESLCGYL YQKDPFDTGTALIMVNQLLNRVVQYSDVIITAAGLKPGDTLNIGISQAATGIIHSALA LDSRATEIECRALIEGKPVQDAVNRDSGALWESFLEMLWYGQPGHLELAKAMLMATLP LRNIECFIPKRKEQLKPSQQRFNQRYQQQTAAIGRMLGRLSDFKQADLDKLCSEPQRS AIRPIMSSLIHGEDTIREAGFELLKAITDESLPSEAVGKMLDNYFTSFLNAFSEAANS LTAKRDLSSPWSHMLPTLKCSEFVLNGLCDPSSGQLRRKVLTNEERVAVKRWWECVWR IVDHSFGMMRMWHEKVDKKIMEDFCRDVMELGNKLFAQDGVMASALSQHSVDDIARTN GDATAEAMRGVLEPPLRASLSLTDMLQLRDKYLIMGIIEMIKKLLARLNQNGMVLPNR TIMHLDSMLKKRKLNDGRIDYAQKTNLTNEQKIELLKALGEDAVIEEQFMGTKSGEKE ANERSMKQSKIDFSKMGLSLSSGNVKDQLAAITPNFERRGSALMAKLKEEVPKPKQPT KLDQKAILANQQSIKEARAREKAEKAKRDAEAIARAKALRAPPKTVPGEGSGLQGIAA VRGKDHAPVVKDEIMVGSSSEEEDDSEDEEIALKAKAGNKNLGEAERRRMLMLAEKRG PVKKVKLQRSAKDMRARLIPPMDVLHQAILEWDIFHEGNDPPNGYRCDRVSDTYPDPI SYKQTFFPLLINEAWRSFVTAKDEATSKPFGIKVLSRMTVDKFMEVTASVPAQVSKDR GLSEGDIVIISQGHDPLNQPQELHCLSRIWKTTYKKDTVEVVYRLNAKGNQILPALLP GSEFNVVKITNMTTIEREYAALESLQYYDLMDEVLKAQPSPMLTFGDEAVRGVMENYQ LNPGQARAILNAKENDGFTLIQGPPGTGKTKTIVAMVGCLLTGVLKSSSNAVPLSRPG AASANQAPSKKLLVCAPSNAAVDELVLRLKAGVKTMNGTFHKIEVLRLGRSDAINAAV KDVTLDELVKARLDSEINNSGPSDREKLHQEAGQLKEKIAELRPQLEAARASDNRAFT MKLQREFDELKRRQAHIGAQIDANKNDGNTFAREVEIKRRQIQQEILDKAQVLCATLS GSGHEMFKNLNVEFETVIIDEAAQCVELSALIPLKYGCSKCILVGDPKQLPPTVLSQS AARYGYDQSLFVRMQKNHAKDVHLLDMQYRMHPEISRFPSQEFYEGLLQDGADMGRLR LQPWHESELLGPYRFFDVKGSQSRGPKNQSLVNEEELKVAMQLYRRFRTDYGKVELKG KIGIITPYKAQLLRLRQRFTEKYGEGITEEIEFNTTDAFQGRECEIIIFSCVRASPTG GIGFMTDIRRMNVGLTRAKSSLWILGDSRALVQGEFWSKLIEDAKRRDRYTSGNILAL LDRPGKKVPLPTFAGSVSEQPRLQDGMALDDVAMTDAPETPPQRPSGSSTPAPLGPGP AKPQVQEEPQAPPTLPYRGAGIGGLNEKGEVTSMLPRGGDAPVIQSTTGSAGKKRARE ENDDGRPSTKKMNPSSDQGPVKIPTGPSRQKQPKPPVDPSAMEVLGLVPPERPPAQPS RPAQPQVRLPAGPRPPNPGSNAPKGPPKKPGADPFIRRKPGKR MYCTH_2298082 MQTRAAKRKLASAALDVGGKNGPHAAPAEAPQTLVAVGDSESTT RLAASSQVTKGTTKSKRGGKKQPQRVKGGWALPHGMGSEVVNEGASVPQNVLLPETME LLPDLEPSNHSGFVVTGQPDPNAEAHTPKHSRYTRLRVRIAKARAQVRLEEANGRLVN QEGERPRKRVRVTPPIGRTESDIRYLREGELKSNSVKIEDRASLIQPIPKVLIIRGIT IKDNVVTRTSGKIIVDASQLLDPNLRRIVKRGKDNPYGLTPGFTPYPYRRVPTPEDCE EVHAILTQLHGEVKQPEKIPAASLEVAGCGEVPCVLDALLRTLISGNTLMSLADAAIK NLADHYGLRQEGTGAGSIDWNKIRLSSHQELAQVIKVAGNGPMKSKHIKQILDIVFDE NVQRAMMQDPAPEAGKKAQDLLSLDYMHGMTKDEAMAKFVSYPGVGIKTAACVTLFCL RLPCFAVDTHVHKFCRWLGWVPENADPDNCFRHGDFMVPDHLKYGLHQLFIRHGQVCF KCRKATKPGTRDWNEAPDCPLEHLLDRSKDEAGAKAKQIKKMKGVKEEASSETEDADI EEEVEGE MYCTH_2048131 SSSPSESVDDRFELEDALEEGVSHVFDLTGGDDAPEVVSAREVM VLEDEAREYRSYRLPNGIRLEPGKTVQLRQPVDATPDRRAHVGLAQAIKVQVEFVRIK CIRRKSAGSSDVDIHGIGFSRNETLCGMLPPRQPDEVTMISVLDYPSAARWDQQHSLV KTSGSNILCARELRITNAPFPDHHSAGPSGHGSPERRGAEKQPPLVCRFRFQIQYLGS SSDKPLENALIRIGEDEADPDCKIPDSINLNRWRGGKVPGGSHRPGGGPSQPVCDLES SEPESPPRLSRGQRYTAGDVFSGAGGAARGIERAGVHLEFVVDNWNHAVETLRSNFPQ TKVYAMSVADYCDAEETRGKKVDLLHLSPPCQVFSPAHTISGKDDEANIEAFLTCPRV VERNRPRIFTVEETFGLLWPAHRGHLHRLVRGFVRLGYSLRWRCVRLSSYGVPQTRQR LLMIGSAPGEPLPPFPPYTHNECGTGGLKRWVTPESALAAVSSSPALRRHPLHRPDDR RKRFARPRERWDPATLARTITCSGGQNHHWSGERDFTLLEYAVLQGFPVWHRFRGKRL KKQIGNAFPPSVVRVLYEHLVDWLLVQDGFDPAAVRKEEYARAGMPTDLSSDKYVCLM DDNDDNNNSSSSS MYCTH_2298084 MAAQGVLDPTKPGQYPVILSDALLGKPSKNAYVGIRYNHRPALS SDSAPNTLHLKKSAKDGSFNLGFEDQGNKYQYNGVRTTGDGNYVLIFDPARKVFVLHK VESTFHMNITRTPTETNAETLRQQFPHLEVKPPNIAKKPRGKGAEKAGTGKATTATKS TPTKAKAGKAPGAETPKPKEDSQTETAKAVELTLPDLTAQPKQPERSASQSEPDKKAK RPALSPVESEEEEDDDDDGGLTVEYPEGDPAALRESSQYLPTFPASVTRRFSEFAKER ESEEDDDFDTRLAGGHEVEMREEEGYEEGDEYEDGDEEEEEEGEEEEEEGQHEMPPAR QPSPPRPVRREDSPVAVEPDRYTFDEGDDDEDAVGDDALEEDIGELEAALAREFEQAQ EAGQEIGHDAGHESDSSVSVEE MYCTH_2298086 MHCLRGLLTKGLLATAPLSEAYTLDLGSKESLTTIARSMVEDMM SFYPGNRPGGVPGLLPKPYYWWEGGTLMGSLIDYWYYTGDTRWNGVAQDGLLFQTGPN NDYMPPNQTMTEGNDDQGFWGMAVMSAAELNFDDPPHGRPQWLALAQAVFNTQAARWE ERDCGGGLRWQIFPWNNGYDYKNSISQACFFNIAARLALYTGNQSYADWADRVWDWMA TTKLLNPETYHIYDGVHVENCSRITPYQWTYNAGAMLLGAAALYNHSASAARRETWRE RVDGLLNGSLIFFTGENGDIMTEVACEPVDLCDLDQQSFKAYLSRWMAATIKWAPWTN RRVKPLLASSAAAAVATCTGGDNGRMCGLKWNTRRWDGTTGVGQQMAAMEVVLANAIE HSRPPVTDRNGGTSVGDPGAGSADVGRKTPEEYSSVSTAEKTGAYILTVLFVIGVIAG SLFALDDEYNRSSVPERLARLRAVVVSGVRSWSCSVLSLFWQRGGRGQAKGKWVGRSE SNEQQ MYCTH_40928 MSTFDCPSIAATLSTQEHASEFDVTVEFLLQMASYLTERHTSQL RAHMLKAAAARGSNAPSPVPGAEPQGNSQFGVNPARRASLGTGRAPSALSNRKETATP LGRRGEEPSGGAAGPVPTAKNAYPIRPGSALNSPAHTAAPYAALQDQPGSRPGTATRP NDPTRRRFPHLPTPSIDQRAQQPQPQRLQQPDRAEMAPPSPAASSCSSSSSSSSCSSS SSDSHVESRIVRRPPRFQKPPKGSRGGGNGPFVGGGGGNDDDDDDDDEPAFAPFTQQG AASSSGQDLGATLRGNNMDLRDLAGRGRPVLAASTISSSSSAAAAAAAAVVAVADDTS QSHSQTSLDSSIGSSSAPAVLPRRPATTGPGDGRRVLPTTTTTGAGGPLSPRRPTELA GARGARAKGTSREGSDGTPSMGSSFSDLDDASVTQSALEEALASRMQDGTIGSRMSVI GGTIGQAFRSRYLPKPNRQ MYCTH_2298090 MAPLILHNVPDEELYVGDDGIQRPYAMVFPQQDGSLRSRKMTHE TGSFGKSTRRTRSKIATPAKREDPTLAAADKVFSSWIASQNQNATQASAPATSAQRRP TLKPSTSSQSLSQAQDESSAPSQTRFLKQQQEPTEVILRGYRSAQQQYAAINHYEQLA GRICEDYPREPPVESRRYKSELRDPAFTRRRALTPEERAKVNRADSGEHWVKVTFESA EAADAAIFASPQKVLGHLVYAEPYHGIPPLRDEPIPDPSTMTGSGLQRTWSSSNRRHS RTQSGFSAAQQQQQQQQPGGGGLDWSPPRSHASTSLTADTTTIDSAPDTTTASSSTVG IANGAPPAAPQEDNSNSNPDGEFCRVIPTVRKAKLLPMEQALLPAPSLAQRIANHVPF LRWFNGAMIGSEVPRTETGEFDWNRASLYWKLMWWLDFLLGLFGGEIRDVEKDD MYCTH_12171 NEPPSPGPSQLPPIPASPTYSYASTANPLSQYNLPPPPPPRPPH AVLTKADLANSQAAYADLLSSAKAYRLALAALSTAASTFGSALESCARLKEARAELTT TNTSGAAAGGNPPPLSLNNNNNNNSFGTNPRGSCTADTLLAAAGLQHLVANHQHILSE TVYRAFEVPLLQDLDRWRAAVEDEEERYARAVEAQSREIRRLEKDGVKMMNRQRRRDV GQLRGHLVALTARLDGLTVLHGEHAGVLLRESQEASGRIVEASCSLVRAEVEIFESLA RKGWSGGGLEDLLERGVDLFAADEDAAIGSLSGKGGLFSILPPKSILADMSGADTTQG GGKTSRSDSLLGDPDRYQSLAEAVTTSDPPARGRDRDADSNSIFSEFNRSRNVRPFSP QPQPLRLNPEDL MYCTH_2298093 MASLVRAPPLLFPATARHTATVIFVHGLGDTGHGWAGAVENWRR RQRLDEVKFILPHAPSIPITCNWGMKMPGWYDIHTIDGNAESLRRNEDEAGILQSQAY FHELIQKEIDAGIPPERIVLGGFSQGGAISIFSGLTSKVKLAGIVALSSYLLLSLKFS DLVPKPEFNKETPIFMGHGDSDKVVNTELGKMSYEMLKGMGYNVTMKIYKDMGHSACL EEMDDVEAFLRERLPPLGDNNAKSEL MYCTH_2298096 MFPSWISRHFTVLLLSTSILILALLLNSSSPLARSLPSLTKVKN FSSWSKPAQSSANMPKAPVYFFSHGGPDVQYNKTHPVYPVLQRIGKEITQKVKPKAVV VFSAHWQAEPNEIHLNNAEDTDLIYDFYGFPPEFYKATYPNKGSPELASRILGLLSDA GIRARGVKRGLDHGVFSGFNVAFSPEENPLGCPLVQVSLFNSEDPDAHFRLGQAVAPL RDDNVVIIGTGMTVHNLRDMRFARGSPAAPLPYVASFDNALKEAVEADPAVRKDRMAA VTKRPDAKQAHPWMDHLMPVYVAAGAAGEDKGVQTWTFHESSFAWAQYRFGEVPA MYCTH_98330 MWTSAQAPLYLFASAPVYIYRDLGGLSYWVWFITANLLATAAVA PFVGALSDLMGRRYVAITGNVTIILGQVICGAAFNMATFIAGMTITGIGTGINELTSL AGTAELVPLSHRGYYIAGMVLTILPFMPSAMYAQLIASRSTWRYISIVTSVWAFLALV VTALFYFPPLRARVHGWKDKVGLLKKTDFVGGLLSIAGLAAFEVGILAGGYQYPWSSA QTLAPLIVGVALIAAFAVWQRRGTSNPMVPRHLSEKPRALALTMVITFISGANFFSVL LLWPPEAYNVYGHDPVGVGIRGMPFAFGVFGGCVASLVLLSRTRGSRIRYLILAASVL MTAGCGCMALATRDNLGAVYAILFVAGAGVGGITIPVSTVATILCRPDAIATVTALTL AVRIVGGAVGYAVYANVLARRLAPELATLLPPACARVGIADPAVVTQVVRLTAASLVR EIRDLPGVDGDRAAWEVLVSAGQQAYADAYPWVYYCSVAFGGVAVLASLFLGDISDLV DDTVVAAM MYCTH_2298100 MASPGQPALGSAADASSQALAGLVKRIDIPYSPNSPPGLVQALT LDPWTKSGKYGLGWTYFAIAVGGLTVVVRIWHYWQDKIRQAIYKQEMEQHFQKLYSLD PGWDRTAALATAVTTADTTAESGRHFFPEEPPMENKNFRPKAHFSSVGFVNDTLALFR WVFYRPIPDIVWRKHRFTFSSLAVLGCVFIALAFVTVFSFLQQPLYWQSIRFGSPPLA IRSGMIAVAMTPWIFATSTKANILTIITGIGPERLNVFHRWLGYLCLFMSLIHMIPFY IQPVWEDGGMQVFQKLFPPGSGIIYGSGIACLVPLCWLCVASLPVIRRTAYELFSLLH APIGYVYLGVLFWHTKNFLMSWGYLYASVAIIALCNILRLLKLNWARPWRMSWLIGDE AAINIMTENAIKITIPTRMRWKPGQYVYLRMPGISLLENHPFTISSLCSEDFPSEYGE EYRDCVLVFRPYGGFTRKVLETAIEKGPFHTYRAFLDGPYGGMRRELAAFDTCILIAG GSGITSLMSQLLNLIKRMRDGKAITKKIVVVWALKRLEAMDWFREELRICRESAPPES VTCKFFVTAAVRQKPNHAMPHGRAPRPLSNMFHDKLDGFVAGIASKRNSALIMAEAQG DPERERELRREDEDRITALPQQKYLQPHTFPPPPPGPPPSNGTGLSPAEEALRRLEGR DGDAKGSEPLSSDGHSGGAQKKKNKKMDGEFHFPPLGALRPEGIPHFNYAPPAGRQRY SQIIAEAKPRLSQVLSEACSGGGGGGGGGGGNEMPSDVKSHDYNEHDQNPEQAQANMG ETTESGGGDKGSAEPVRAPELAHLRTDGAGFSRPRPTSTFGPPSGFDFGFPETPTEFQ RSLMRFAFPVPHEIDGGWSVEYGRPDLGYMLREWATGGPDGRGILGRRTAVFVCGPPS MRVGVANTVAALQAEIWGDDMLEEIFLHTENYAL MYCTH_2298102 MSSTVILGAGIIGVSTAYYLSQHQDPTSIQLVEPSPELFSSASG YAGGFLAKDWFGPSLTALGALSFNEHRRLAEEHGGREKWGYSRSTCVSYAASAAARDS GSRGDDWLRHGTSRADAAPVIQDPLSGNTPGWLRRLEGDHIELISDEGSTAQLDPLLL CQFLLQECLQRGVKLHHPAKPVSVSTDAKGELVGIRIIDTKPSATETELPCARLIITA GAWTGQVFQTLFPESDLQVPIQSLAGHSLVLKSPRWHTGLESKGCHAVFATHTQGFCP EMFSRLDGHIYFSGLNSSTLPLPDAAAGKATPYKEDLAQLRQAAREILGTGPEAEDDL EVVREGLCFRPVTAWGTPIISRIRDGDLGAGVVTRPGAEGGVYIAAGHGPWGIAMSLG TGIVLAEMVQGRTLSVDVSGLALDGNRARELSAN MYCTH_2298104 MESKVVIVTGASRGIGLAVAQSLLASSQKVVLVSRSADQLQQLK DSFPSQVAFLAADMTAADTARRVTELATLTFGRIDGVVVNHGVLSPMTRIENASIEEW KKLYDANFFSALALTKQAIPHLRASKGRIVFVSSGAATGAYTAWGAYGSSKAALNSLA KHIAVEEPDITAVAISPGRVDTDMQKELREKGSEMSKKDYDTFVADFEEGRLNKPELP GGVIAKLSLDAKPELSGKYFKWDASDLVEYRQ MYCTH_2314025 MSDHEFGGNDDLSLPKATVQKIVGEILAGSSGIAFSKEARDVLI ECCVEFITLISSEANEISEKEAKKTIACDHIIKALDQLGFPDYVPAVLEAAAEHKEVQ KGREKKANKLEQSGLSLEELERLQQEQFAAAAARHN MYCTH_2298111 MASIIARRAFSTTARRLTSGEEALKTESKKNPEIMILGGVVLAA LTGAGFYFGRSPTSSTSESRVPLAKNSMPWETDSTHGKYQYHPGADDNAPPKDAPSAV NVVVIPDVELPKRLHDKYNKWGKDGY MYCTH_2298114 MASGEGAPHTLSPTADNGDSFPQFPRAERGPPSIISSRMTDIMT EDGGESEAPRGAASYRRSALYSDGTSRPGTARTGISQRNPWPPGSSLRRGPSGKRTSI AGSVGSSSVGTRPASSMSRSHVPTLTSHAFFRPMSSQKLQAQRGIGRPTTMCRQVGLQ LDAGGTNRDSMVSDQDARTAIHAGDPGEMRPPPSRGTEFTEQGTYDRVTTTTSPTQGY HPALSLTDSVRPLQKKPEAARNLTLDMSKTYNKAGPNVSTPVRTPHSLRSSFLMPRIE SSSGNREMQGGEKLESLASSPQLPPSTGDGKAAPERKSAARPGRNYEYFLGNTVFCLG GRLQNTRQRPVNIATGAFVIIPAILFFIFSAPWLWHNLSPAIPITFAYMFFICLSSFI HASVSDPGILPRNIHRFPPPDENEDPLRLGPPTTEWALVKSSDPATAAMEVPTKYCKT CNIWRPLRAHHCRMCDNCVETQDHHCVWLNNCVGRRNYRYFFTFISSAAFLGLYLSGA SLAQILVYAHRQGISSGGAINHFRVPFAMVIYGFIAFLYPAALMGYHVFLMARGETTR EFLNSQKFIKKERYRAFTQGSWCKNWHVVLCRPRPPTYYQFKKTYSEGDQRLGSHRRA HRPARREIDLNEGVEMHNVKPQPRQQQQQPQTGFAGPVQIRNGANS MYCTH_2298116 MQRSQQLRTATQTRRSARSGFPEPDDFEGLPVRQWRQEWVHVAP SAPQEMTQQGDRWAVELPYGMPRESHLLPPHSQELLRAARSGRLYKRPAPVEEEEPDA DIDPVKGDKKDWDPPNDGYVVKMWKQVPRNAEVPTVSHLAKRHKNTVTLASKTVAPQA AGPTVIRATVRRIDAAGNPYEQTVTLTEGQQVDGEIISTSVVPAPAATQTEPPAQQAT PVRRRPPPPKRKAKGPGRGRRKGKLPLPLPATRSQAAAAAAAAAAAAADGAPSVDPET GIKVEDTEDSTNQDSEMVDVSGVPSEDEGEGSEGEGEEEEEGDEEAGDDEVAEASNAD EPGVEDRGQETEDSEMSEAIQPGSVEEPVEPRAKAEEPDDGTTVSKARFQPPSLANLG SSASSKIEGSPLKNVMVASPTEPSPNMSPTPSASLAATNHPEAQSQTKSGDGAASQAP ASEVPTQGILPSLRDPVVPAHAGSQGPAAAATQPTEPSPPAPSEDQPEPMATDDATPG HFQASAEPQPVSTIDATKQPSSPPAAEPAPGEEQQGQGEEAPAPPNSNPPQSTASPVV TPTTAAGPTETAAAVATTTTTEATPPPVAVAAAPATGPDDEEDGLNLLGSLERELDRQ QEGIGIGSGSGSGSGSGSGEDEAGGNGDGGVSDAPGAAKTAEAEPEPQPQPGSEPEPE PEPGSAGAAAGGAEGAGGGGGGNGGGGGGEDGGGGGDGGVGQGSGEDGDGRAAAAAAA AAAVSSEDAAPDASSS MYCTH_2314027 MTAVQAAAKPESAKTVLSLYRQLLRQGNQFTAYNFREYARRRTR DAFRENKNVEDPRRIQELVQKGLEQLQMLKRQTAISQFYQLDRLVVEGGISGKDRPGH GETVRQKDTGWD MYCTH_2137429 MEPPAAPSMVPPPPPEMGPGQVQVQPAGGQPATVVRRRAPIACR RCRRMRSKCIHEKAKPPCRACVDAGLAPSECIFPVRGQPDQDREYRHPRARAEKSKKR DDTKARREGRDGAAPLRSSLLIPSGLAKVADEWDLLPPLPEILESVNQFTRHYFQLGF IPKQLFPERLRTQHRSVSVFFLLGILSVSARLTPALVERFGSAVRASEAFMERASALA QNELYREPTLERCQAFYLLSIAQQGSGLKHKSSINMAVAMRMATLMQLHREETYVIAN PTRDLIIRAESARRTFWMLHSQDNLHSGPQSPVLLSASDITALLPSNESDFANAREPN SRAALEGTPPALENPALVADQGRSLFAALIQVHNYWGAIYRRAINNNRSPRPWEQDSE YAQMERRLAEWEDGLPNNYRWSNLLLKGYKQEGLDLGYMGVTMTTRLCNIVIRKPYLD EMISRDQSDPELRAFWTEMARKLFWNVSMLYEQIETHYAERSPEDGPGAQMAAFCFYT CGFLACYPCKFPNICPDPSIARNTPVMVQRILSILAESKKIWPLASRWYDHLEKFYNS RNAVAAGAEGSMADSREPIPHVLHPTPNRPAVKPIQPRIVAPAPDEQTGVLAQNQNPG TNTAMLTLQQHLPAQATYTDPSIRLSGPRPQTPPTLAPQQQQEQQQQQQALVIPQGQV PPPPAPVAGAVPRPTTDGLGLLLEAFDTHQTAAAPAQGDMPETNAPGAPPPPPPPPPP GTIYDPQAAPQQYLVHPQPALAMNDGYEHELGYYVSDGVTPAAAMRQPQQPQQPGWAG GGDMYGY MYCTH_2107611 MEKPLIVDAGICLVLLTSFLFASPSPPIICNPNSRTMLERQSDP PATYRGQASVELFDERNRFRGEENAVRLLAVMPSEGYGFSKPPSRATQSTEYDSEEVL CSVLWFSSTLCLKCNLPVRSPEGDVDLHRLSSGAESDEDVIEVNNERVAERMGAF MYCTH_2298121 MEGAPDINTVLTNSLSPDATLRNAAEQQLIHAAETNFSQYLLTL VQALANESIEGHIRAAAGIALKNAFSAREFARQAELQQKWLDQTDQETKTRIKQLALE TLSSTNAQAGQATAQVVAAIAAIELPRNQWPELMHALVRNVSEGSQHQKQASLTTIGF ICESQEPELRASLVAHSNAILTAVVQGARKEEPNNEVRLAAITALGDSLEFVGNNFKH EGERNYIMQVVCEATQAEDSRIQQGAFGCLNRIMALYYENMRFYMEKALFGLTILGMK SEDEDVAKLAVEFWSTVCEEEIAIEDDNAQVESSDQMRPFYNFSRVATNEVVPVLLGL LTKQDEDATDDEYNISRAAYQCLQLYAQAVGAAIIQPVIQFVEANLRHEDWHNRDAAV SAFGAIMDGPEEKLLDPIVKSGIQPLISMMEDPSVHVRDSTAYALGRITEACSEAIDP EQHLEPLIRSLFTGLMNNPKMAASCCWALMNVAERFAGEPGAAQNPLTPHFNQSVSNL LTVTGRMDCEPAVRTAAYEVLNVFVQTAANDSLSAIASLSTVALQRLEETLPLQQQVV SVEDRIILEDMQTSLCTVLQATVQRLDKEIAPQGDRIMQILLQILSTVGSKSSVPESV FAAISSLANAMEEDFVKYMEAFTPFLYNALANQEEPSLCSMAIGLVSDITRALGERSQ PYCDNFMNYLLSNLRSTALANQFKPAILQCFGDIASAIGGHFETYLTVVAQVLQQAAT ITAGADVSYEMYDYIIALREGIMDAWGGIIGAMKTSNKTAILQPFVPSIFELLNAIAN DVNRSEALMRSSMGVIGDLADAYPNGQLADAFRQDWVTAMIKETRSNREFQSRTIETA RWAREQVKRQIGGTQTVMQQT MYCTH_109978 MPMLKDPSKKYKRFPPLKLPDRQWPDKTIDKAPRWLSTDLRDGN QSLVDPMNGEQKWKYFRMLCELGYKEIEVSFPSASQTDFDFTRSLIETPGAVPDDVWL QVLSPCREDLIRRTIDSLKGAKKAIVHIYLATSECFRRVVFGFTEDQSVELASKCAAL VRSLTKDDPSQSGTEWAFEFSPETFSDTSPEFVVRICEAVKKAWEPTVENPIIFNLPA TVEMSTPNVYADQVEYFCRNISEREKICVSLHPHNDRGCSVAAAELAQMAGADRVEGC LFGNGERTGNVDLVTLALNLYTQGISPNVDFSDLNKVIQVVEECNKIEVHPRAPYGGS LVVCAFSGSHQDAIKKGFQAREREGKQYDDHWQIPYLPLDPKDIGRDYQAVIRVNSQS GKGGAAWIIQQHLHLDLPRGLQVAFAKVVQDMAEKKGRELLPTEITDLFRQTYFLTQN PRFHIVDYNISPDRSSSPAPPEPGKTQDTTGLKRVFEGVVSIDGVEYKLRGRGTGPIS SLANALRTVGIDLDVHDYKEHAVGRGRDVKAATYIECTAAGTKSKVWGVGIHEDVVQS SLLALLSAASNFSPSRQGSPILSKSTVNGTNTVPDIINVLEAKADEM MYCTH_2314032 MLFTELLWWSLGIVGLVMLLARIVGLAWAQLRLVSAMSLPGEKQ EFWKRTQWSKMPGLKRDLLYAPLWRKRHNREIRLSSAANMGTLPSRLHSLLLFGYLAS NLAYLFVLDWKNENKYALCAEIRGRSGTLSVVSMVPLIIFAGRNNPLINLLNVSFDTY NLLHRWMGRISIIEVIIHFIAWAIVQVADGGWEGVQRKILNDRFIGSGTVGVAAMTLI LFLAFSPVRHAFYETFLNTHIILALIAFICTWIHCVTATVPGGLPQTSWIMAIVFLWL AERTARMFRLAYANWSARGFTEAIVEALPGEACRVTMHLPRFVNIRPGQHAYLRFAGV NFWENHPFSIAWYQHRYRDDDVLPTSEKEKGRLRPPREAVGTTVSFIIGAHSGFTRKL YDKALQAPQGRAISMRAAFEGPYALGFSMDSYGHCVLFAGATGITHQISYLRHLIDGY NAGTVATRRITLVWIVRDYELLEWARPYMDHILKLPNRRDVLQIRLFITRPRTPSQVV SSSTTVQMFPGRPNIPMLLAKEVGEQMGAMCVSVCGPGGLADDVRDAVRKVQAHGTVV DFVEESFTW MYCTH_2298129 MGSASSKPSDSVPQVWRGSSQTGVSQNLVEALEASNETNISRLQ SLELHIQERVTAELRRLREQEAESLRAAQQKLSAEPAADQEQGSEQQRQLGGPSRHEV AKAIQDLRAKLEERKKVRQLPESVESAKNDVVRCLRENDRRPLDCWREVEAFKEEVRK LEKGWVDKVVS MYCTH_2132486 MKKLPAPSSTFAAKKSRILSQLALPDDQYADASPKGSVDAGIRA LIDRINTAHEGLVTTSSCAGRVSVYLEGPKAPRPPAPGGADVTAGGGGSGGEVALEGG RRGDGAAGSLSSAVGGKGGGEWLFVSHDPVVVEEKEEEERLRGEDEAYYERLLLGLEP ATTAAVSGSGAQDLGSVTPASRLIHFKFEPMILHILTASVEHAQLVIQAGMEAGFRET GAVSLLARQAGEEATPVVAVRSMGLSFESLIGVETDGVRRLIVSTQYLKTLVQIANDR FVENKKRIDRFSAALSEAFAPPKGKENWEDAQSRRERKRQEGLRRREQLRKDKKTEVV DESRADSIIQLPELV MYCTH_2298133 MADDSRTETSSQVSSPGDDHGSVDSGFVSPSTSTVSLPRISLTQ PHLKHLNKQLESLHPMEILRFCKIFFPNLYQSTAFGLTGLVTVDMLSKIDAESADPQP VDLIFLDTLYHFKETYELVERVKQRYNVKVHVFKPADVSTVEEFEKLYGEKLYEESSE LYDWIAKVEPLQRAYSELKVAAVLTGRRRSQGGQRGDIAVVEVDDERGVVKINPLANW TFAQVKAYIDEHKVPYNALLDRGYKSVGDWHSTVPVGEGEDERAGRWKGQDKTECGIH NKQSRYAKWLQQSALLKQQKQQELQEPQQQQQQQEQQQQQVQVQVQEVQVRAA MYCTH_2298134 MRSSSFLREHQQYRPPPKPDSHYGIDTVVEDLQATSVSAREISP FKGIPSPENPPKVVDSGVRHELSHPNCTPKAGEQTERLVATLFYRSTAPRVSNAIPSP KKAATPQGSRSPSLRAAAAESDIPPTMAPSSSLDSYPLEPPAAEPEPLDHLYGSYVTP MCITSFLHLMTTFPLPKGSTDLTSAHRCLKLDASPEQHPVVVELTLSPAPAKDYLSLA DLRKHELIYRFEREWNVDVALRADTLWRRYPRLVVFDMDSTLITQEVIDLLAATIKDP PDLAARVADITHRAMLGELEFDSAFRERVKLLAGLPETIFEDLRPVLEVTNGVRPLLR ALKRLGVKTAVLSGGFLPLTSWLAGELGIDYAHANEVVIDEASGRLTGEVKGRIVGKE RKRELLIEIAEKEGIALEQVVAVGDGANDLLMMDAAGLGVAWNAKPRVQMEASARING DSLLDLLYLFGFTDEEVRQLSA MYCTH_2298136 MGKEEKTHINVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFE KEAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYYVTVIDAPGHRDFIKN MITGTSQADCAILIIAAGTGEFEAGISKDGQTREHALLAYTLGVKQLIVAINKMDTTG WSEARYEEIIKETSNFIKKVGYNPKAVPFVPISGFNGDNMLEVTTNAPWYKGWKKETK GGEVSGKTLLDAIDAIEPPKRPTEKPLRLPLQDVYKIGGIGTVPVGRIETGILKPGMV VTFAPSNVTTEVKSVEMHHEQLAEGLPGDNVGFNVKNVSVKEIRRGNVAGDSKNDPPA GAASFEAQVIILNHPGQVGAGYAPVLDCHTAHIACKFAELLEKIDRRTGKSVETSPKF IKSGDAAIVKMIPSKPMCVEAFTDYPPLGRFAVRDMRQTVAVGVIKKVEKSTGGAGKV TKSAAKAAKK MYCTH_2298141 MLSRELTRGAGFVCLRCRLQLAGARKRPPFAAAAFPSPRTAQRS IGTHDRPSNTEPKSDTVRRDESSTVSWPPPAPPPRRPRPHERTYTSRGQIVTPEQEGL DVAMLGKPGSAIVLREKRTRKKKRQLADLKPDSDAPETRVDPASLLVDEDAAADSEDV LLNIHELRPKDKRILSDKAFTQLRDTLVDGFTNAQLTRYVREYEAIQQLNQEETHEDT AQELPPWVLEHQPWTPISENGVDVVEPHLAGYITKGMAPKARLAVRLMRSCWDLCNQS VLDRDGYLSLRLRDVEFSLLTLGNRRWLEGTQRAILAYVKEVRLIRESRLVSVVAPKH AADAIVDRIHGVLSKARTSEFETDLVSPEPLEPPILEEVGRVTNTVTRLDPSGKKVVV TWIHMPQRDENFENACETVLRFLRHAYGPKTRVSTALRVVPEDLIHQGRYLPALNPEQ KLPWQERSAKWERWTAAVPHWNADSKPASGSVPADILPSSLREEKTVKHSDPVRVVAE DPESEPSSPGWSAEAQTDTSVVFGHVVFARQKSSATSSTPSPEPAARLDTSLPRTFVP ILPALGSLNIPNNLKEEGLWHSTTVIRFSPSPDVPSDLAASAPELELLVEADHVEVKA LTSLRAVKETFTGDVLFPAAPVDARLVQRRYFSLPGASIEQHVPSIITFVTKSDLRPW AGKLSTPPVLLGVHLPRRLLTPTATSQDDGTATPNNNSSSSSSSSNRGGGGGGDDDKV RVDYTFASIQVQRTVTAEYDNLRLRYTSIQAGQRGGERAELSLEAVRVAAPPPEDVGS SRSGVAEVVDEDELVDDEVRPRPRSRFISHSKNSPDKSPALTDAEAQARAAILARPVV LEEFLRVASDIVHEKGRLKWHAKRS MYCTH_2298143 MSAQQRRRRLQDDEDEDMQHSPPPSPGDVDEDGDERVGEAGQRD ETSQLIKNLVRYALACEYSRAPIRREGIREKVLGSNGREFKRVFAGAQKQLRATFGME MVELPTKDRNLMTTEQKRKAAKTQSQKEASSNAYVLTSILPEEYTTPAIIAPSKVESA DGEASYIALYTTIIAIITLSGGELSDPRLRRHLSRLNAAENMPSMNPHDEIAPSEKTE AVLQRMVKQGYLVRVTESRSAGDDDATTWHVGPRGKVEVDKEAIAAFVRTVYGGSSDE LEAKLQASLKIRDRKPGVPGAVEEEAADRPADGDPGPSTRRGTRRRETEAEDEESE MYCTH_2054541 KLRNQQELERLQAKYVGTGHPDTTSWEWKTNIHRDTFSSIAGHP PLLSYIALAENEPGAKVRVQMIRKMLQPVGPPPPREEDMFVAPRQDGS MYCTH_2298145 MPELLLQSALTTSRSQQLAAEAAEIRSSCRDRHGDRKSLVTCPQ CYEAVLDAFRSRYLGSSLNSGAEGPGSGQKQQAARQEWFASRPAFVSALNLLVDSAKE YQISPREIDDRVREERARWYAERVRSLLLRLMVEDPSGRGAVFEKLEDLSSGDALALA REVADILSKGPFAPEQGTAEGVAERLLAASASNKTADKADVFREAFFAAEDGTVPGDH QKYLDLLLHQGLSMEQVVDRILEERQTATGAREQTDKLNQRLDELRRARAAHEAQKTR KAQRRESLAQQKVPDELYELPACAVCGGETSTEEYFTCSICTILAGAGVQDKTTVFCS EKCEQQSHPSHAATHTCSSGLSCIRLREPQQQTPKKETNTSPNGQNQDQQQDTRMTDA PPLSNTTGDDGSDDDGNNNNNNNNHNDDNDNSSSSSDANELHFCTECLTRLKQPTTWC SLACADASFPSHREEVHLPLRKKLGLGETADEAQLEPIEPGAAEDDGRRRYRVKNIRE VTTSLGDAVKEWEGRNKVRLQGLV MYCTH_2298148 MTTPPRRTSLGMLLRRSKSSDLKKQQAARELELQRQRDAVPKSP PRLPVLYNGAPAPQLGLGGDARPDSLAIVSGRAEQMTSAPPRPSMEPSRSAINVPPPP IPNGGFDPYAGTPSMAHRGRYSYASSAISTINSPRRVRRRKDPTPFNILIVGTTNSGK TSFLEFLKTALALPAKKRSKSTIDEDELPRAPPSGNFIPHFLETEIDGERIGVTLWDS EGLEKNVVDLQLREMSSFLESKFEETFAEEMKVVRSPGVQDTHIHAVFLVLDPSRLDR NIAAARAASANGQRNGGSHYPHARILGSLDEDLDLQVLRTLQGKTTVIPVISKADTVT TKHMNMLKRTVAESLKKANLDPLEALGLDDEGDSTGDSGRIEEEEEEQVSEHGEEEEE EVAGSAEESEPPVQTQDSSPKSKRLSGGSTRRSKEPEESLKEDEVPFLPMSILSPDIY EPDIVGRQFPWGFADPYNEEHCDFVRLKDTVFSEWRAELRELSREQWYEGWRTSRLKQ QGAKGHR MYCTH_2298150 MKVYTWWFDLPLYSLVPFITERLMLETDFENEARNSETMRKLVN NEPSLRGRVYVPPVYPELSSKRVLTTEWIEGVRLWDKEALTAPWLGGYGRGSMGVHGA RLDPPDMEAVRRELRENPNSQKLKPDRTEWRGRRGKGGLGVSTKEVMTTMIDLFAAQI FKWGVVHCDPHPGNIFIRRLPNGLPELVLIDHGLYVYMSDKFRREYGMFWKALMTFDN KKIGEITREWGIKAADLFASATLLRPYEGGDQQLQKGMLNALDDSKSASEKHYEMQKR MKQGIRDVLADEDKWPKELIFIGRNMRIVQGNNAYMGSPVNRVKMMGEWASRSMFQDP NLPLRQRVINIWEHLLFKAVLFMSDVAFYFFRLKQLLGRGGGMEDEVEARLKSVAKDF GVELQHDVFEG MYCTH_2298152 MWRLGIAGAPRPAIPSVVGVGRGRCALRSPTPMLTPTPRPRPRL RLRLRPQLSPAGTTTVFAAGPDRPFSSTVPRPVLGPSSGPFEPLRPPTPSSLGQPRAA RTFRRTRRWARRLALLSALLGAGYLLDRQVYASGISRSLRTFGVGLLVAADYKLNFRA EPLPLIGSAGGIDELHRRSAERLSDLLRENGGLYLKIGQAIAMQSAVLPPEFQRMFAR MFDDAPQDDWAAVEKVIRDDFGGRSVEDVFGVSFAGAEGKGVMERTARASASVAQVHW ARLPDGREVAVKIQKPEIAKQIGWDLWAFK MYCTH_2053528 MAPSQSHSSPGTLDSFRHRSTHELLLSKEKKESKTYSTGDSLVV TDPATNPALRSLTRGERTGSRVFS MYCTH_2298153 MLRPATPLSVLLFAAFVLLLLSVISTPIISVIPLGSWEGVEFGV FGYCTQTGCSPIEIGYDVDLGDLHPKDFTLSASTRSTLSALLIVHPVAAALTLIMFAL AVIAHFHSPSHSPRYLLLLFLVGILNFLVTLLSFLVDVLLFVPHIAWGSYLVLAATIL VALSGLISCAMRRVVVGRKARQKRIAQNAEMSGENYYNRQARQRPEPVATTAVLTQPT LPVVSGANGGADALPEFASYTKKDDQSSDERIPLTHARSPSSRSPGTFTTDQTTYVND AGSPTDMAPLRSMSTTPGARDPYGNPMPAHDGYPLRSASVERVGSPPRGPMPPGGYRG RGGYPGPGRGGYGPPYGARGGYGPPGRGGYGPPGGRGGYGPPPRGYGGPMRGGRTPPP PNYQGPPQGPYDRRGSPAGVYGPGQYGPRRPSPGPPSAPGYMNQSVSNASSSGYEPYN PSREEDLPRAESPPPLPGIDDGISSTQPVEMDASTPSPSNAPQGLQPARAPSADRHMS GSSRYSQEE MYCTH_2051156 MESAPTLSDLLAGSSTGNSAKTQPTYTTVGSIYNPKSATQLQPP SRRPRTRRCPQPLRSPSGGAFTNFPDALLSSLPLEDQALRSPPTTASTLQQYSPLQQN YDRAATPVRERERTAVVGSSMAIPSIRSGNLPSPTAFGSNDSLSAEDTLTSRITVKGL TNLASYPNPMQKAAQNTLARARAANLGFSRPGTPSSLPSTTTDGSNDRFPSSSAIKPA IAGPPQPLKAGPPGQRTFKPSTLDAASRTIRTENPAPSAVYHSRFPIGFEYNLDTNHL TTLDDNDGLNGSGPSVHLPLDERLPALTSHNNPDVVGFAHLFTPGPSNAVSEATEGDR RKVHDTLPPERIRHYFPSGFPSNYDGRHKPVAEDWHTRYPTPENWFMQKTFSERMAKI NRTFYAGTEGLVRNMEQIVRDHNYRCLENKIGVIGEERERLRGSHVERLGTHGKVQPP ALSLNELDQMGEAEIAKPLVNMAFATLLSYKEKSESGSNGKYSWPSGFIKADDAWVDA TKEGNTSFFSEPKDEAGGKPCSEE MYCTH_2298160 MDYSHTAFFPGAPPYQFMGAPVPPTPSHSNSVASEDFNTKSPPE IFDQYPNGIPAEQFQNFDGYVHFNPAPSFPGPPTPPGQLPVHAAGAPVNGAGAVHQTG PTPEVLPVSKVETEDAGRKPNSNSEDDDLTPAQSRRKAQNRAAQRAFRERKERHVRDL ENRLQELEQAQNEAASENERLKRDLQRMETENEILRATSMVAANAGSPAAAAPTTTGP MTYSPSDFYSNVLQGHANKTPSHRIVTSEKGERLLAAGATWDFIINHELFKRGLVDVE AVSERLRPQAKCDGQGPVFEESAIIQAIEQSVAGNSDELI MYCTH_2298163 MVYVRQEYLPNLRQYKYSGVDHSLLSRYVLKPFYTNVVIKCFPM SMAPNLITLTGFMFVVTNFLTLLWYNPTLDQDCPPWVYYSWAAGLFLYQTFDAVDGTQ ARRTHQSGPLGELFDHGVDALNTALEVIIFAAATNMGQSWKTVATLFASLLTFYVQTW DEYHTKTLTLGIINGPVEGILTVVVVYALTGYLGGASFWNRGMLATIGVPETVGGLTI PPAVYRLSFTEWYMVQGAVVLVYNTVESARNVIRARRARGDRSRHALVGLAPFGLTWA LIAAYLYLQPTILHGHLVPFAVFAGIVNAYSVGQMITAHLVKLPFPYCNVLVFPLALG VLDSLGPVLLRHSPVPGLGWPSALGDGVYQVAFMFCMLGMAVGVYGSFVVDVIVTICD YLDIWCLTIKHPWVEGRDGDASKQLNGEAVAAAEKKRQ MYCTH_2298167 MNYGKKDEDADGGLVKIDRTQVFQEARLFNSSPIQPRRCRVLLT KIALLLYTGEKFPTTEATTLFFGISKLFQNKDASLRQMVHLVIKELASSAEDIIMVTS TIMKDTGGSTDSIYRPNAIRALCRIIDATTVQSIERVMKTAIVDKNPSVSSSALVSAY HLLPIARDVVKRWQSETQEAAASTKSSGGFSLGFSSSSGNLPVNNSTMTQYHAIGLLY QMRMHDRMALVKMVQQFGAAGAMKNPAAIVMLVRLASQLAEEDAQLRKPMMQLLDGWL RHKSEMVNFEAAKAICEMRDVTDAEISQAVHVLQLFLSSPRAVTKFAALRILHSIASF RPHVVHVCNPDIELLISNSNRSIATFAITTLLKTGNEASVDRLMKQISGFMSEITDEF KITIVEAIRTLCLKFPSKQAGMLQFLSGILRDEGGYDFKRAVVESMFDLIKFVPESKE EALAHLCEFIEDCEFTKLAVRILHLLGVEGPKTSQPTKYIRYIYNRVVLENAIVRAAA VTALAKFGVGQKDPDVKRSVEVLLTRCLDDVDDEVRDRAALNLRLMHEEDELATRFVK NDTMFALPYFEQQLVMYVTSDDRSAFETPFDISKIPVVTREQADAEDRTKKLTATTPS LKPPKTGPTKGAPSAAEAAASASAVAQKYAQELMQIPEMREFGNVLKSSPLVELTEAE TEYVVGVVKHVFKEHIVLQYEIKNTLPATILENVSVVVTPSEEDEFEDVFVAQAEKLE TDVPGKVYVVFRKARGEGAMPVSTFSNVLKFTSKEIDPTTNEPEETGYEDEYEVSDFD LTGSDYVIPTFASNFAHIWEQVGAQGEEAEETLQLSGVKSIPEATEQLAKALSLQPLE GTDVPVNQTTHTLKLLGKTVNGGRVVANVRMAYSSKTGVTTKITVRSEEEGVAALVVA SVA MYCTH_2298170 MSGRGRARPPSRSAQEPAAGASRRSTRQQQQQHQTAAPAGEVQP PPSSAEEEHQEQQLPREQEERSEQEQQPNLQPEAPMSAPVYHQDIDPAITGSQDAAMP PPPPPASSAKGPRGQTEVVVVPRRGTRRGASSIVSINSHPVTDAFSSQPESQNVPSQR AAPGRAFGTPGPAPSVVSSAVEDTPSRRAAREQVMRAMLSRLFIAADDYFNHTRSDQT DLEMWELEQDAYKDVFDAHRRYYVVDDTVPFVDFAFVADTMRLDKKSTLWHKAFKVVS AANLAILLDELTLVKQEDLLPRLQAWHSAFPGFFIAEGSDNTAHARSEQVIEQALLIR MQLSIATLEILKKDSRVPFNPLEEVAKIWCDGDVSLEAIEAFLGNNDDAIQLKPIAPT DFEAAALDRDRNANRFRSLCVLLPKHPVGGHDLDLTQLQDTYPLKDFLDTLRGFVEAC FGNIKASLQHDFAPSDPTSRTESQIRYQLEADAMGQGFDRSESGSQMGAFDISNLRVL KQLEQQGTPGFGDGQQLSQGSYPPAPRIPYPPGFSSPSPALGYGEPEQQAGFQPNGSI YAESAAQVLGRKRGAQDDLSMAGQPPAPPAKRTRTRRKKKDVPEATMNPPSTAISGTA PLAPPAGQSQYPPVPGTQDEPDFEALSQRTREISAAARKVKEPQVRSSWVSKDVKLLV KAVSTYQCKWSTIEKEIKAGTIPFERPRDQQALRDKARLLKQDFLKADMVLPRGFDLV VLGKKEKEAVKAVGRNPDRKEADVDENGQPINTLYVGDDAAPTAIPAPVHPVNPQPEQ QLQQLQHQPEPQPQQQQQQQQQQQQQQQQQQQHAEQAAEPQPAAPEQVAA MYCTH_2085975 MRLRNRQSPLLFLLLPSLAIAAAAAAADPQLDRDSVAVRAGAST GRRYGTKDAPIDGNDGKPHAGPFVELGSSSGSAEDLPVLKDRPADPLIVDGKRIPESH DGVMDDKDWSGPRKGPTGTEGGVSEKEKEKRLREMQTGEKVENKPPTPKEAPPLPHSE QERIQVAKNDDRSDDRASTADPSGLERPADLPGRTHDHSLPLPDSAANIDHLEVPRAG KLGGAAEPDGEGGEGLIRPFHSFMLSYTMIIFSEVGDKTFLVAALMAMKHDRMVVFSA ALGALVAMTVLSAVLGHAVPALIPKRLTTFLAAVLFFVFGARLLREGLAMSPDEGVSA EMQEVEMELEEKESLARKETASPYALEMGLGNRKPRSKSRFPAPTRSPSSSPEGRSPS PRPGALVSFLSGLNNLVSLLLSPAWVQTFVMTFLGEWGDRSQIATIAMAAGQDYWWVT LGAVMGHACCTGVAVLGGRAIAGRVSLKVVTIGGAIAFLVFAFVYLFEAMYS MYCTH_2298183 MAGRLADKAQEVVKEEYDKAKLLLNDAARSGSYMYPIKGIFYLI SHPELWKPLRSRLLPYLTLYSSVLGSMFFFTYLPQLAVMAFANGPLAVFTTALLILNE SSTITGILSKNYVLQDAILDTFDGTLLARNKGDVVAEGREVKTRGDSIQRLGKALKKP FRCFSINELIRYVMYLPLNIVPVVGTVTFLLLRGRSRGESVHDRYFQLKGWTSSKRSK WLKDHKGPYTAFGTVATLLEMIPVASIVFSFTNTVGAALWAADIEAKESHMAGSTAPE LREAAKKAE MYCTH_2107631 MVAMMVVVVVVVAVHCSDAVGSLVAVVGAAPSPLPLLKNDEEEE RVSVLVVIQGTIFVFHGADDEIVSVTVLRVVSMQADDEAGSGNGPVSVAGVDVVPIGL VGPAPPAVELVKGKGAELGSPVPELSGQVRVPDAPLPVGAEMLDEFDMGNGALHVGAL GTLPVTRGPEPVAPAEVEFGHGNGAADVIAAPGVPVRIPVPEDTAAELEFFQGKGGRA VLELVVVIENAGAVPEPELHVGPAAVGPTAVVELLMGKGGVCTVVWGFPDDPCTLVPK AAVPVGPARLVELLSGNGAVEMLLRRGPPVTVGPGKERLPDGPVSQGGDGAVRDVSQV EYSLVKAGESSRLEYVACWPEAVLPELKSDADVLQRVREGDGSE MYCTH_2298190 MANSPHGGVLKDLLARDLPRHAELSAEAETLPALVLTERQLCDL ELLLNGGFSPLEGFMNEKDYNGVVKENRLASGVLFSMPITLDVSQETIDELSIKPGAR ITLRDFRDDSNLAILTVEDVYKPDKALEAKEVFGGDEEHPAIRYLFNTAKEFYVGGKL EAVNRLQHYDFVELRYTPAELRAHFDKLGWTRVVAFQTRNPMHRAHRELTVRAARSHH ANVLIHPVVGLTKPGDIDHFTRVRVYKALLPRYPNGMAVLGLLPLAMRMGGPREAIWH AIIRKNHGATHFIVGRDHAGPGKNSKGVDFYGPYDAQHAVEKYRDELGIEVVPFQMMT YLPDSDEYAPVDQIPQGARTLNISGTELRARLRSGREIPEWFSYPEVVKVLRESHPPR SKQGFTVFLTGYQNSGKDTIARALQVTLNQQGGRSVSMLLGETVRHELSSELGFSRED RDKNIARIAFVASELTRSGAAVIAAPIAPFEQARQHARELVEKYGDFYLVHVATPLEY CEKTDKRGIYKKARAGEIKGFTGVDDPYEPPSKPDLVVDCEKQSVRSIVHQIVLLLES KGLLDKL MYCTH_2298194 MPSSKDREVDVLDDRPREKIHRSKSERKDRGRDRDKDRDREREK ERRRDRDRETDRDKDSFLSSLSSSHRHTYTSYRTSKSLKLRPTDSETHSRSHRRHKTK DMDRDELEDRSAASRSMVDLVPELSRGLASERGSLPYPSFSRAHSKEALHSKEDVSSS PGARRRDPLTPDTTDLGGGSEMRRSMSVDSPFAARKSSTARKDARQDDRPPSPPDTDV SGQRKRPESPVSGKEGEAPETRPSSRGSWVSRSTSKHESKSRVSKTSSQATFVMRPAS SARAPRREDHRAPKSEVTDASTVEPGPAAAAAAAAAPQQRNGPVARPAPTEAGDYSPE SAIDVSPQTPTHTPQFPPPPMIPEEKNHYSTSDVPTPSATPFTPAPPPPPPPPPPPPT FNLLEVPRVDYLLQNGGLPHPVPKTFLSVLPRQNGTRPSNPPLQGAETLFAPFFNLLN QYQTVLSGHGSMAVATGHRTVARRLLDRLENVFSRDLCPDGCPCIICERSGQPRRGLG WGEVLERVSGRVELPPWPPFDFTVLGSKAVEELADVPPRPSSPVKMDPDIAEEFREHY LRQTKRVRAAVDKWMSSCEKTAVAAPPPQDVDDETLAFAILTNLEQEDRPYFNALLAG SRELQSPLRAPTPVRRPRNDFIIKMGLSLQRLYRLAQTPRDAETAVYLVKHPAVHDLL HTISDISASEWEILTSGRFDGFLWSGAEDDGVVPGESVSRMATPASGILPQPPPSSAS RMMSPAAAPGRPVSRNAPATTTAYCTPYSRGPTPASFVSGVSAASSSTTYPSRSAVTH DEEVEVATLAELEREIFNGMEALEDAFEKLHEKAMAVREALRRRGAALQMSLQQRRRA GAAAGVGIDVLPLSGSSGAYDRPAWADEESVDGADSEWGGDDLSELAPDDSASQVSSN RLRRPKRRRERATPAPIEEEDEQ MYCTH_2123876 MAPWNRIRLPIWIILFSLFSITSQAREAKAAVPGLYTGNFGNCL AGESVLEVTRFDVAYDAGNRTLVLRLDGTSSVKEESVMLHLSIDGYGANRFVMAVDPC SFNISSLCTLSAKKTFSARTVLPAGAQRVGELSDFPFNLPDYEGSAKLQMVAKSNQEV IGCVQASMTNGRTLSQPEIVAPVLGVFTLVAIVASFMTAAYGVSVPHMRMHHAHSLSV LVTFETLQTVFFTGALSVHWPPILMAWWSNFAWSAGLIYIRGMVRSINKFAGVDDSAT RFLGSGESPPWLLARSSAVDGASGSTGKGRPAYNSSFLHDYTWSGSLAAPGLPLPGGT WSGFPATLSVLGIPVADAFMIGLIWLLIAIGLVALATGGFKTMLEGLAATGRIKKDRL HFFRSHWTGYLGHAMLRTLVVAFFMLMTLAMMQLTIRMTVGPVAVATVVFIFALIGLI GLIASSCKARTRDGRFQVTSDPVICFRKKTSGKIPGLRFGYGLGRESTIKTHALDVQP VFRIPLFKIRHSNNDPDRPSVHLDERFVKRFGWLTARYRRSRWWFLAYHVGYLFCRAA FLGGGWKTPRVQVCGVLAVDVVNFVVAAILSPFEGARNTVMGVWILGICKIVTGGVAA AMLSNPEIKRSKAAELGIVIIAVQALAVAALLVLIVLSAASSFLSLMRNREEMDPDWL EPVRVAYFTKMERKARDARFVNETEPPPPTPRFSVLSIRRRPKIEDEDEDMNPAPYGP EQQHHHHHQQQQEQEQEQEQEQATPDDSSADDSRSRARRSHGSYSRAGSVSPRLSTGS LPPAARPYRLSWSSRELGELAAAGAGPSSRPDSVLTKRLSGVTCVVVTDCDAAPSNAS WVEPESARPRDSTCSLGTRTPSASRSSSPAIGRSPSPGFGRSSREFVVGGSSAHVDGR RPPTALPEAPEPQE MYCTH_2298196 MGRGESGQTSAQAGGAPPALLDLTIDNITPNTIRINSQSDNARL TYLMARLVTHLHDFARETRLSTAEWMAAIEFLISCGKICSDVRNEFILLSDVLGLSLL VDNINHPKPPGGTEGSVLGPFHTHDAPVLPNGASMTSDPAGEPMLAVCTVKDTQGRPI PGVTIDIWETDSSGHYDVQHADRDGPSERCVMVSDEQGRFWFRGIKPVSYPIPHDGPV GKLLQMLNRHCWRPAHVHFMFAKEGWDRLIT MYCTH_2298198 MRFEPEELAADASPAIVATAAACDQGAAEWRPLFRHPCSFGPAM FGDVMINLIHNPNINSSWLFRADILRDSAEPPQACTPPSEAETTPGLPGPEFVGFQLQ RCIIRRLIPRNTLRDRPLDQTCLMYKSTPSLEDDDVAHAERSLVVYLPHVLTASEMPF YHPTVRGIAFLHEWNAAESCGSISISYLFFNDEDRSVVKLTRTALRLLEVIHKHGKGR LEGYQKRVQHDQVLPRARVQNTYTRLKQNYARGLITSWAETTDPEKHVFEDLCIAAFL IELWTDMYGQGPFPGFVDIGCGNGLLVYILNQEGFEGWGFDARSRRSWATYRTKLQTP AGEADSLRELVLLPPPVSTGRQGLADLSSDALDESRICDGRFPKGTFIISNHADELTP WTPIIAAISECPFIAIPCCSHNLTGERYRAPVPKDKSKAHSAYSSLVAWVSDIAKDCG WVVEQEMLRIPSTRNTALIGRKRYETKSYLDIQAVVDKYGGAAGYWDNVQKLVQSTAT GSEH MYCTH_2298199 MSGETEIQNLKIRDPFAEADEDSGQTVQTQEYIHIRIQQRNGRK TLTTVQGIPKKYDLKKILKVIKKDFACNGTIISDTDSKGMGEVIQLQGDHRAKIREFL IDAEAGLGLDEKTIKVHGF MYCTH_2050741 MYNRARPTADQPRADNRHSSTHTAKRSLSESRAPGPAAATAPAS LPVKAAAAHAVTANHPGTGGGGATPAAQHAAAGSAAGSDHAGGSPVRSTLLQPRVAVA LGVSKKWYPILFLCRLASIGPGVLFGLPNVLRLLATLHLMYLDRVLDGGALSKLGRTG GGGANFLSASSGYNPAFEARLRLTEALLATIWCCASGYLSFFFTDCLMSRWLLHYTPQ ATIVRLLTVDAINGYLTSWVLHLTGGFEDPRLILPAWIVISTTLTVLYHITQRKINIR KETSMSISVFSIASFVSMVALLVQLHSNRSDYPDIPLLNFVRRVFDEACKLAIRIMEY ADITREL MYCTH_2298203 MSPLRLGLRARELLPVKGAGPKRSMLVLANCAGVRRPRGLPSAA SFTTSQEKSRIHLNRPSMESMAPRIGQNTAPKVETSQRVAAMSADTLAETLSVVLPGS FVLPPLSQFPDSLGKKIRFLSQWMYIKIQEMLTNGSVVFSSKPSIFKRASFEVKRGSL IPTAKALHRAVAEALAAGNKSAIGKICTRDYAGPLLASIDARPRGHRYSWELVKYTNK LFYPSLKSHRISPISTERESPLIRQAIVAISSKQRVVVYNAKGEVVPGSEREMDVVEN VAIACVIDPKRGWKQSKWRYLGTIKPTTLESWQEERAMVSRRLLGN MYCTH_2298205 MRLKREPIGGSFQNQGGGNAGVKHQTRIVLDSAGHLIEEWRRRA TTCERRQQTTRKARPQADQALGSGILFTYPQLATLAGVQGVVVYALASALPLLSFAAL GPIIRRKCPQGFVLTEWTRQRYGTLAALYLSFMTLVTLFLYMISELSAIGQVVNLLTG IDGLPVLIVECVITTIYTSVGGFKVSFFTDNIQGAMVLGLILIATITIGVETKIDTSL IESSGLTKASLLGWQLLYILPVAILTNDFFLSNFWLRTFSAKTDRDLWLGITGAVVAT LVIITLVGSTGLIAAWAGVWPGPEDAPVDGSVAFFALLTQLPNWVVGVVVVMSVTLST AAFDSLQSAMVTSASNDLFRNRLNIWWIRAGVVLIMIPVVVIAIRAPSILQIYLISDL VSAATIPVLVIGLSDACYWWRGFEVVVGGLGGLFTVFLFGTVYYGDAQLGAELMLLEQ GLYTADWGAFGAFVAAPVGGLLWGFGALGLRLGIQYVSARLRGQRFDALDRPVAVSGP QLVDPEAGRDGGTWDNTEISSDAPGLAKTTGKFF MYCTH_98369 MSAMLRANGLGSSAGKVRRVRSFSLSNGSSSDSSDSENAGARLS VGGLSDSPPRVRFSSITEEIGRMSIASSIASGSPGNVTTSSPFQIGMKPSGDVFESPR MKTPAKPVRQFAVPVMNSFDENENEEVKIPNVEAEERRLTRSVTTPNAMIATPGERTL RSSSSRHEIGGIDAQGIYPPSACVFVANLPESKDDRALEAAVTREFSRFGTVFVKIRR ESKGNVAGMPYAFAQYTNEENARVAMEEGRGILILGRPCRTEMVKANRTFVIYSRRGE EVTPDIAREILEPYGELSKCEMLSVQMQEAMSLPSAVLVEFAKFDPKRDLNMAVRQHD GYRIDAFDVKKRNLISRSDADEEFLRKYDVDRRSVFVGNLPVHTQKEELVSLFSNVGD VIGVNIISRVNFHGHVTRAFAFVEFSRADTPDMAVNNFSDTTFRGNVIKVERKMFKHV GTPRRVKSQAFSIKSSATPKTPKASTVARSPKASAGQFSGRQEETRAPATQQGFNDPT AGYTFAPYGYPQPAPAAPPSGVTVVGASGLPVTPSTTPFAPNPFTYAGGFWPGMSIAQ DPITGQTFWTYTPPVGGATTTTTNAPTESPTRPTAHENAYFHGGF MYCTH_2298215 MQATRPLLYKGFIGRSVDEFKRLSHIVFSLEGIKGAQGPYELHN FHSPESIQDCKIMSDVEIGGFSNANLDWVSSPAPDSGIPPPSPNSPGYARFHGTISTR LPKDRPDVKRTGYAAFRTRDRPPTIFGRSLINIDPYVYLALRVKSDGRAYFINVQTES VVPTDLHQHRLFVKKPGEWETVLVKWNDFVRTNYGFVVEPQTEMMRQKVKSIGIGLTD RIPGPFELCIERMWATNNESDADTVVDLSASRPKDAGGAPVHKEGKLKTKQGQKVAWG DN MYCTH_2298216 MSHRPSNKAKPNTRFLGRVIKETTSHNAALLAKETAEAQARLDD LTEAEERKRRRLNPSASDIRRRQLGDISSILAGRKRSNDAERRGRSKKTDGGRNDEQS RDRSRDRDHRRGRHGTRQDDEEEEGRRSHRKHDDRTPDGGGRRRRRDRSCSPQQRAHK QRDRSPLGSRVEDDRPRHSRSRRKESTRDRDIIISSESSRRRNYGRLREEDDNQSSAS KSSRAAGEDESDPLEEFIGPAPPPRSPVRKRGRGAIRGAAAMDSRFAEGYDPASDVQP DSAEADDWDEAVEAYRDRQKWKQQGAERLRAAGFTEEQIRKWEKGGEKDIDDVKWSKA GEKREW MYCTH_2298217 MDALQLKVDSISGEVREISVALKGYLEHGLASGRKVQPPRTKAG RDLLQHLLGRLDQVSTGLNNVRELATPHGSPTPSSVRGSDTPLSSSSASLPLSKRVLQ SPAAQAEHPDPAAQRNIGIHSAAPAPVPAVVRSPDTECKSALAPPIKEAPSAAIRGRD HGLHPQAPYGITLSNGAVYRSPVPSEHDASPLFDCTYQDIHVLNEELFEIYSSHPAVQ DVGYFKIQVRDLPPLNVQKMARPGKDHATSFTYKVDRLGLVKIDTGRRFKFSSPNLPL PVSAKTEWSLEEQKELWNSSAANPPKGTWPYIIGNPLFEDVELSPGEKLRRRGRTKLE GINTQYVYFNLTGKTLTTMHREDAHVRSENLLRSGEHKFWCFIKPASAKKLEERMAIE YPEMRHCSQAVRHLSRFIPPSKLDEWGIEYTLDYCVPGQAIVTEPGTYHQVLNLGPNY AIAINLEYNSSPDMPPDYRFCDRNCPDKFAMSAEDFQIYDKPPSELERRLPGTPETTG SQEGHAAPAAGCVSGPRAEPAGSQLTQSATPPPEPGASHTGHTATGRVADVVVERGEV STTSVDAPRAQADARPAERSTSFRSAEQPTNSPRVAAETGDLTPRTQPQQLAPDVVAK PVTIVPQQPPFATHAKIQSVSTGGKKEDLPPFRKTARMINPNRDHDVPSPRPSKKLRV ETPSAAVSQNLPVKSALERLSTLLKAREAAFAGSLTADKLGGKPSFERLAHLVREWRR YSKSAPTVLGRFGLVKSVEESAHGYPELHVFLSRFFKMKLALCVEFAVTRPGHLTPHN YVNGMLQSLGWDETKRSQLDDCLREGKCWATICGEYDGLLCLIPHDLDCTEFALFKGE VARLHTELDNEFVRRLCSVGAILQKYIWECLELPEFIWESMTTTYLSVDQISPLLAPF RLLKQNHYNSRSGYLWPRPAGWDWDWPSDPSLVRPGDKLCSLCPRKNCRCAEVKIPQI PRISDDTTKGPGIRSVGTHKANDILGELVGELVPPGSCPGHWTMPLRRPDLDDETVAE IYPGRMGNWVRKVTHSTDPSAAFRVMKISGRWRQMLVAVRAIGDGEEITAKYGNGYLK DQPYSLVEGLH MYCTH_75070 MSRATSSANPDADRAAEVLRALQELESGSAPGEEYTILKLSEPI LSAQPSSKGAGEGEGGAANRRSDVSTASLDSGSGSGSGSGDRGPTPASLEADLAHYRE LFAKLRFSYVEQVTKEKFIRAIVGDPPLIVTPHEIAELEAANAHAKAALKARKTEVAG MVDDLERRARDLARRYADVRAGRDLLRELPGRIAELEERVRELRARQHHQQHQQQEDD DDGAPGGGRMSTAMMNMPLGKTRELVEQRRRELGAVERELEVLGAQAPRKRKEMERLG AEVAALENRRANSTAAAREAKRRRDNNAQGGVADELEARGRWYRASEAVLRQVLDLPQ A MYCTH_2298219 MQLLGSSLAAGSRFFRATSLPKHDRLLVSRLRFSTSRPSRASNQ VYASVRNADQFHTYQLLSSSSRTPLLTLWTTSWCSTCRVVAPLIQELVESGVGEAEGG VAYCTVEYDAPDVMAAGLGLTYMINSVPTLLSFDAGEAQVRTKVSDGRKLADRNFLEE WIRNEARRHGNRGGGGPSGGSNLLGSIFGRS MYCTH_61192 MASDAQQTSPPSRRPWSSLFGRKSAGERDSGERSGPPRWTMGIL EDKETIEVPGSVLLLAADHNEPLGLRNAPARTSHSSIPTGVIHQLPDDVEEVPKKKTR DGKIILEPQPEDSANDPLNWPAWRRDLALLSLGLFCMVGGGTTPLLAAGFTDVANEYG VDVHSVSLTTGLYMMGMGLGSIIFSPTAILYGKRPVYLFGAILYIATSVWCAASPNFP SLVVARIFQGIAVSPVECLPSATIAEIFFLHERAFRIGIYTLLLLGGKNLVPLVSAVI IQNMGWRWVFWMVAVVVAFCGLLLFFFVPETFWDRKPIPRKVKKTRSRPNIFRRISSR QEVLHGTPAPTRRPSLDHAVDATGAPTTTDQRAKASRVGFAPTEKVKFADASSESSAS GSGEEQAAEKETATEKPRASGEGLASSDEKASPSAPRPPSPAKLSIPPASAAVPAPSA PPTPSIEQDLPRLDQYETDTESQSIRSTQTNGQIAYTAALRNQPPRSFIQSLKPFNGR LNKDKWHKVVVRPLILFSYPAVLWSSVVYACSVGWLIVISESVAVIYREDIYSFNALQ TGLVYISPFVGGILGSAVAGRISDTIVKAMARRNGGLYEPEFRLVMAIPIAITTVIGL MGFGWSAQVHDHWMVPTAFFGIISFGCTMGSTTSITFCVDSYRQYAGEALVTLNFSKN VLHGLVFSLFVTGWLSDDGPKIVYIWIGIIQLILLLFTIPMYVFGKRLRMWTVRRNFM ERF MYCTH_2115734 MTSRVPHLLEPYLSLPPEASLVLLTGVLGASTNWLVLRHLYALL KSNPKPSAHLGNGTGIADALRRGTERGGVDEENKKEKKEEEEEGKEDVAVLLVSFLRD FTFWRDGLARLGIDLEAAGRRGKFAYVDGLPAGGLFSGGSAAGAETAGHGQVSRQGWR RTAAALAGPSDIRDTVLASVENLRNPHHAVGPRTPSGDDEGKAGRKVVLVIDGLDFVL AAMDPNSRPGGPPDAAWTAMGVKEVVTELREATHAAIVTLAADDPLIKEQETTLEKQH AWFALSLAHEADAILSLRLLDTGAAQDVSGVIRITNRRDPAQNHEYLYHVGGDGGVRV FERGQ MYCTH_105405 MTKGTSSFGKRHTKTHGLCRRCGRRSLHNQKKVCASCGYPSAKI RKYNWSEKAKRRKVTGTGRMRYLSTVSRRFKNGFRTGTPKGARDPAVSTSS MYCTH_2298226 MAPDASDAAAAAAAAAAPAVDPGTVKKNEKKEKAKADKAAKFAA KQEKLKQQQPKKDAAQPAQKAPKAQAPALPPYKDETPAGEKKIIQPFDHPHFQAYNPK AVESAWYQWWEKSGFFQPRPARSPDAGKFVIPLPPPNVTGALHCGHALANSLQDTLIR WYRMRGFSTLWVPGCDHAGISTQSVVEKMLWKKEKKTRIELGREAFTKLVWEWKGEYH NRINNAQRLMGGSMDWSREAFTMDENLSAATMETFCRLHEEGYIYRSSRLVNWCTHLR TALSSLEVENKEITGRTMLDVPGYDRKVEFGVLTYFKYPIDGTDQTIEVATTRPETIL GDTGIAVSPGDPRYAHLVGKFARHPFTDRLLPIVEDSYVDPEFGTGAVKLTPAHDFND YKLGERHNLEFINILNEDGTLNENAGPMFQGQKRFHARYTVVEELTKRGLFVKKEPHA MVIPLCEKTKDVIEPYMTPQWWVRMKEMAEAALKVVEEGKIKISPESARKSYDRWLSN VNDWCISRQLWWGHRIPAYRVILDGEEDRGETDKSVWVVGRTPEEAQAKAEAKFPGKK FRLEQDPDCLDTWFSSGLWPMSTLGWPNTEHPDFKNFFPTSMLETGWDILFFWVSRMI MLSLKLTGQVPFTEVYCHSLIRDSEGRKMSKSLGNVIDPLDIISGIDLESLHAKLLTG NLKEDEIERATKYQKTAFPGGIPECGADALRFTLLSYTTGGGDINFDIKVMHAYRRFC NKIWQASKYVLGKLPQDFVPASELNISALSVPERWILHRMNSAVKGINEALEARQFST STKLAYQFFYDELCDVFIENSKGILSDGTPEQQQSVQQTLYRTLDVALRLLHPFMPFI TEELWQRLPRIKNDTTPSIMLAPYPEPDSSLEFASDAEDYELGLQCAGGLRSLAADYN IRSDGQAFIKVSTAASLDKVRAQLQAIKTLSGKGIAEVSVLGPDADEASSPRGCAVYV VSADVAVLLQVSTQITDIDAEIKKINTKLQKTELAIKKQQELMGREGFEKVSDVVLTA EKKKLADAQAAKENYERTLAEFSKLKL MYCTH_2298228 MRPPRIAILVLFSAASLFLVCRSIGSARRTGPGTSSLSQKSSFR ALFSFSSPFSLFPPSAAISLTDDNSTFFPARPAAFGPPLPAKGLSGQLWIGSGFSDET LQEGEGEGELGCSDIPGWEDGRLKSAIKAAAQGSASRNAIPLVEAAKTPGMSDPADNM LVGWDAVSRKRPRAQPKTPDDGTDDYLHQALQQARSPYSNEPTGSGMDHADIQWLQES AEITGKIALLSRGGCGFLEKVKWAQRRGAIALIVGDNQKGGPLIQMFARGNVDNVTIP SVFTSWTTAHLLSSLMQPGSAIEDVLRGKGNAQLKVRHSSRGGTSNNARMPPSSPGDT TTPGSLQRSERSTVARRGWISRLFRLGDTQHVSTDTSRPPTSGRLDWVPVDALDDENN KLFKPSLDKATKDSTKGPRESSEDGFEIGVQDWRDPDYARTAAQNDDVLRRAGEAENT PLADINGPKGGSITPSSGEYVSESVGKSISSGPRKLKSSSGSRGGLLSRIFGSDDEAN ENTDHAADETGGSSATTPAPAPTAPAGDDAHEGLWVTITPTGSASPFFDTLLVLVISP LITLTVVYALLILRVKIRMRRWRAPKSVVDRLPVRTYHTIAPSPVPSPGSPSSKTPSP RSSSPTTPLLQSSSESQPQSHAVTGTSDSGEAVSAHSSSQANQAPSRGKNSRGVSSEW RKYMGRQVECVVCLEEYIDGVSRVMSLPCGHEFHAECITPWLTTRRRTCPICKNDVVR SLARGTSSGPQYEPFREDDYESSSGESSDSYQERVPSPNGLEDLERGPSWNQSPRQDW HANRNDVWFSALASRFGGSSSFSTRRDGSGADGGR MYCTH_2054145 MSRYLSPSKIGLLALVELYIKGAVPNDAIIPVVSFLASHLLDCS LTGPLSAPDAQWRKAEDTIQLAVSVQHFEDLLAPFPAIDRLPGRSLWDRFLEKLWGID SLHTLHDFFDELPKFLARTKAELRELEARGEEPPSGVLLARNSPFGVFVRRAHLEFTK LQFDHVADLWKTFVKYRQPTAAYWRRRNPQHTRLSFDSVLLDRQHEWGPQTDEVAVAA YGNMLLVDGQESAPPVSTDDIESLLEFQIEQVQTTSEKQSCRPELVTLSQVGLWLTWR SFSDSWRAREFPAAFDHLHRYFDYTMQDRDRLFYQYALLNLAIVQSDFGCHKEAVATM LEAISTAKENRDTTCLNFALNWFFHFGKAHPSLVKELEGNSMLGSDKELLAFLRAKAK ETGMWILWSSALLSDAKLGLANGESISVAMESMARSSQIIVEKNIKSMLGAQLSLALA LWDRLGMAVMSSMTCEVFLRCHARGSVFDDELRFACRMAGMLAGKGMYEEARAQLERV DVNSLRSAKADQYWRLYRGLLKLRRDLHHNDLESAETLLSQLLQNTTENIESDMVFII DTLHIEALTRRKDYNAAFNKVDRLISELRDDDRDVSLRIRLLLLKAQIFDSIGRPERG FTLAMRAASMAWRARLLSLLWQAVGALANILNALGEFAAAERLLVSVLPRCLETDVAY TAGTLYDLLADARVGQAGEMVNKGGSRSRGGGAPSPERVAMIDRAQKALDRAFKCFSA VEDVTKRGEIMAKKATLFRASGDLARAEECADTYLRLWDEISVGKG MYCTH_2298230 MFVQRQIARAVPRFNAQLRAQAQRRFASTETESSFVRERRAVKE HAGHTTELWRKISLYAVPPALLLSGVNAYILWNEHWEHWSHMPPLEERVEYPYQNIRT KNYQWGDGDKTLFWNDSVNYHNKDKTT MYCTH_2298234 MPLRITTWNVNGIRNPFSYQPWRENRTFQAMFDTLEADIVVLQE TKIQRKDLRDDMVLVPGWDVFFSLPRYKKGYSGVAVYTRSSKCCPIRAEEGITGALSP PNSSTKFRDLPADQQIGGYPLPEQLSNSIDEQTLDSEGRCIILEFPAFVLIGVYSPAT RDETRTEYREAFIDAMDARVRNLVAMGKQVFLCGDLNIIRDELDAAGLPERLRKEGVT LEEFFATHSRRFLNQLLFGGRVIGERQEGREQPVLWDLCREFHPTRAGMYTCWETRKN ARPGNFGNRIDYVLCSSGIKDWFIDSNIQEGLLGSDHCPVYATIADTVDVGGSQVHIE DIMNPPGMFKDGVRQREWCQKDLLPISAKLIPEFDRRQSIKDMFFKKASASAKTTSSP NTISSQSTPTDTVPGGGSDETQKDSTVSMSQAIDQPASTTTLPNTASNNITSVPPQKP IPQKRQAASSTSPNRPQKKGKLALSRESSSKTTAGFSQSSLKGFFKPKTPTANATAAI EAGDTTNPAITPDPNPSEPESKQDTSASQGNSSQSTKNSSGDSVGESPRTESSFTDKV FDPIQAKDSWSKLLGKRVVPKCEHGEDCQMLVTKKAGVNCGRAFFMCARPLGPSGEKE QGTEFRCRTFIWSSDWNGRQ MYCTH_2115740 MSSRIVSTDMNATADASPLAFVFKGNELIVQCTANNDDEAAAMK ILSVALDNFRNFNDGKHAVIIRSKAEPTKYWISSAQYAEILDRNAYDIVKSTEMDAGP MLQPAVTPEAVNHPKVTKLRIRRPRNQFIIYRQWMSARIHASNPGLTAACISQIVAKT WRAEKPEIKAHFKALADEEDRIHKEKYPGYRYVAARRNPQLPLSKRNLPHDPMTVDER LIAAGF MYCTH_2107654 MADQYGVVGAQQISNNRVSQLRGAQMDRHELGQVVLRLQAQYIR SAIATEIEEFEKYFEEVLQIASVFLVTTERVAHSPSLVIVDQPSVVKTVCHFLASSNT ILEFLDFQEEMTGLASGGDVSLETQVKEAQTLVKELLNTLLLHKKAETYPGKECGLVY DEDVKVYGGFILHKYGHADESYVPDPTDDNEDVSKLIQYWHTENLVHPLRHVPGNPWH KFFGNVKPGPIAAPALFRERKRQPSFKVVLPTTITWVKPEIMQDYNKYREMFETPVDT HQYRRIPGPRLPEFIREVGTFFLTMDKSEEAILLCLEDMSEIPCPEYDLLGLSRLEAL NAEINAPELAGIALLGADVPDVPIFLEGEPRIYHLEFDGEWL MYCTH_2298236 MLWKEDPFHKEWDFMCAVYSSIREFLSDEEVTLQEWLQFAIKHM GIVVRESYLTTLGWKLVQDEDGTHKIERTAARGVQSYLQPTNGLGLFMNCLNDGLPVS NPLPIIAKLSGLTNDIICINTQPGAAARSTNTMEGFRQFAKNHPHLAMSALFQVPAAH PLITQGVTVHQFPESAGFPATEPFPMAQSDDPELDAMLARIFQGEGDVGIGNQANFGN ERLMMRTSTSMSMGMGMGMGMGMGNGTTDFN MYCTH_2298240 MATIRSLDHTKSEAELAINIKKATSPDETAPKRKHVRSCIVYTW DHKSSQSFWAGLKVQPILADEVQTFKALITVHKVLQEGHPVTLREAMANRGWIDSLNR GMAGEGMRGYGPLIREYVHYLLAKLSFHKQHPEFNGTFEYEEYISLKAINDPNEGYET ITDLMTLQDKIDQFQKLIFSHFRNVGNNECRISALVPLVTESYGIYKFITSMLRAMHS TTGDNEALEPLRQRYDAQHYRLVKFYYECSNLRYLTSLITIPKLPQDPPNLLAEDDNA PALPARPKKEIEKQPTPPPPPKNEEPDEINEFWKNELDRQNREFEEQQRVLEAQQQAA LLAQQQAQLQAQREFEEQQRRLLEQQQREQEALRAQQAQWQTQGRLAELEQENLNARA QYERDQLMLQQYDQRVKALEGELQQIQNNYGQQISSKDDQIRALQEQVNTWRTKYEAL AKLYSQLRHEHLDLLQKFKSVQLKAASAQEAIDRREKLEREIKTKNLELADMIRERDR ALHEKDRLAGANKDEVEKLKRELRMALDRADNLERSKGNELSTMLSKYNREMADLEEA LRNKSRALEEAQAKLREGNSDLEALLREKEEELEVYKAGMDQTLLELNELKNNQGTSD QALDSQLDVLILAQMEKINEIIDSVLQSGVQRVDDAMYELDSTMQAGNQNASPSYVLS QIEKAAASATEFATSFNNFIADGPNGSHAELIKNVNVFSGAIADVCSNAKGLTRLATD ESKSDLLISGARQSAHATVMFFRSLLSFRLEGMDPMQKTDVVINGNHEVQMNLQKLNK VVEGFAPGFGKLASKGDLGDIVDQELSRAADAIQAAVERLNKLKTKPRDGYTTYELRV HDSILDAAMAITTAIAQLIKAATVTQQEIVQAGRGSSSRTAFYKKNNRWTEGLISAAK AVASSTNTLIETADGVLSNRNSPEQLIVASNDVAASTAQLVAASRVKAGFMSKSQENL EQASKAVGAACRALVRQVQEMIKDRHAGEEKIDYSKLGAHEFKVREMEQQVEILQLEN ALSAARQRLGEMRKISYREE MYCTH_2298243 MNILYSTVNSLRDRYTPASHTSTFRKTGEITPEEFLAAGDYLVF KFPSWSWADAETPSKRISHLPPGKQYLVTRHVPCHRRLNDDFAGDAGHEEAVVEGGKN NDDDGWLRTGGLTSSQPLKVREVRTVDDSGNIGDREVIDEDDIPDMEDEEDDEAIIRD ADGDGKSSGRRTYSLYIVYSPWYKTPRMYMSGYQPNGEPLPPHLMMEDIVGDYKDKTV TLEDFPFFANSVKMASIHPCKHASVMKTLLDRADAALKLRRERQKAGLAAGSNQGLEG LEGLESEIANLNVGGADASSDNNDEWEEVQHDVADQEVAIRVDQYLVVFLKFIASVTP GIEHDFTMGV MYCTH_2298245 MADTETKPGDEQCAPIAPVAIFKKRGAKGKANIRKRPVTPPPAA DSDDSDFSSSEDETGQRIKRRKRNHGAVAASSKDASATGKDSDDLTATVFEADRNRAL DTGKHEATKQSNWYDEDANDDLSARNLLGTTRAMKSNSNKNNTTSSEHQPDGTYRGLA NQTSYIQRNPNAPQRTVGPVKAPTNIRTITITDMAPDVCKDYKTTGFCGFGDSCKFLH AREDYAYGWQLDKEWENVTKGKKVLGGTIVASADRKIAGGSKGGGKGGGEDDDDNADL AEAAMLDNIPFACIICRGPYKSPVVTRCGHYFCEGCALRRYRRDPSCAACGAGTNGVF NAAKKLQKLLDKKRERAAKRRQEAIEAGEEVSEEEEEGVEGNQDGGGD MYCTH_2298246 MATSLPSHEAVVASAAGDTFDPFVGSPSGPAHLRHSNFDTNLFA LAPGSSAEQVKRAIQAHLRDTERRMEEAGKLGTALVQQQKQLTEKLREVEQLQSEAEL SPELRQRLVEIEKDYNEVARESARALLPKQRVPSNESQGSPFTPDGSKGVARRSVSPS KFETLAAPSPTKFGVQNRKVRNQPASRIHDIEFAAEISTSLIAQVRNLQALLSEREEE LKEVKAERSQLEIEVEGFHQRLKSLDESESRYKDENWNLETQIHELIAAQKEAAEREK KLTQTVNVIQAERNAAQRELEEVKESLAKQDEKHSAEIKNLEIELGTSRRAAVLYESE RSALQRKVEELTGQNQELAKAFSALQRGRALEREAPAGGSEEDSNSAPDHNTPEHSPP PSPMKPTPRHSALESETLKTSLSHAQRTIQTLRTNIHREKTEKLELKRMLQDARDEIE KLRSDPNPAPRRIRKAELREAKKPVFKLTQLGVPRTSRTEVVEDPEWEDQSEIPSPRP LSRRGSTTRLSGTNAAPPESSSDHFETANETSDAAFETAHERGTETEDFQTGVEDMSD DDAPTETEAGPSRGANSIKRPPPLPPHHSSNHYSFDSTASTSSEDEDYPSFSSDLRTP TSGPKMRLRMSRSSLSRRSRQFSEERGVPSSPPSIPADNASVTPGGQSLYAELNGLDN SDDDSCAGAATPSRRSVRSATPATPRSMIRGRASPPPEVPALPKIMVDSGMMTEPVDI RPMLTMFDREGDNDHERPTSMESVIRGRQLPVSTQWLGEECRDGELSRPQSSVSYSDA SVQHEWDMHRKLGQFPSPPPTLVLSSIESQDIEPHSEPETRPSTPLLSVTAILSEQTE PVVELVEPPTLSIASVVSEHVEPVVEPEPPLPKLSVPVILAQAIEPVSEPEPALPEPP TLTMPAILSQDVEPVPEPETPCPEPPTLTMPVILSQDVEPVPEPETPRPEPPPLSAPV IVSQSIEPVAEPEPLIPKPQTLSVPAVISQDIEPVPPLPVALSFSALHTTEVQPVAGP ETPRPSPVTLTCTTIHSEEVEPIELPAPVVEERSEPKADVPPLPVPLSFSSITSTHIE PQEEVPASPIKLSVSPIATEEVEPFQEPETPAPSLTLASIQTLDVAPCEPPAPALKMS GVQSWDIEPVGVPDAALPALSLSEIHVQSIEPIQTEEPKVAQVLGFAGIQSLHIEPIE PRSPKRNAFILPRDADSEAAPANVTATADQCAQTTLTSDAIDQMIRERLQPPATASLE RSQSLGSMGTPSTVRIHRPRQESLDNSVRSRGIMAEAGTDALGGPLVRRPGSSGSGMA SINEVPPLPANHKEAIEAARSGSSQGGQGTIGSMGPPLFPASSLKPQNQSLRPRTPTG SKRPMSPVSVASGRGTPTPRAAGGKQGVGTADVHEVQSLSRATLRSRKSSISSFTSEV DTRFNMHGVAGLDPQGFGPNTDPRMIQAITQTMIGEYLWKYTRKAGRGEMSENRHRRY FWVHPYTRTLYWSDRDPSTAGRSELRAKSVQIEAVRVVADDNPMPPGLHRKSLIIVSP GRSIKFTCTTGQRHETWFNALSYLLLRTASEGQSDAEEIAGNIAREDVDEFNPPIGRR QANGSRAAPSLSSHNSRSARESPPMDISVSVPTLTPSRSKASQGRQSHGTLSRISGYW KDTKISGTFGSIRSRSVQGRHTALGIYETSDLQDSAEDVREMIVRQDREADKLENVRA CCDGKHDVGTLTNSAKKSRHSVPGFRSPTPGATTPTPSGTVKSRA MYCTH_2298247 MDAFRVRLNCIDHYQATPTRYDPQLRKDAPLIEASKQPKVPVIR VFGSTETGQKVCAHIHGAFPYLFIEYRGPLDKPTVSEYIYRLHLSIDRALAVSYRRDQ YREAPSFVARVTLVKGVPFYGFHVGYRFYLKIYMLNPAVMSRLADLLLQGVVMGQKFQ PYEAHLQYLLQFMTDYNLYGCDYLDAENVKFRAPVPDPAGPDDPPRIWDSNTILPEAI TDEAELPRVSHCSIEVDICVQHILNRKAVKERPLHHDFIERERPIPSDLKLVHSMAGL WRDETKRRLKRMGKLKPGSSPFPQEALVTMSADPRYSQPTNWIHEEEYREQVQELIEQ ESKQDGTDLTFSNYVQPVPHGDTVKTVIQSVEDLFPENLLPALGLEDRLLQGHSDVAS SIQIDEKGILELDVSEEGEQQLFAEDLDEDLANTGEVTAPVGNMKQRPSRKDAATAST YDGEAGTIPGSANEQPQSLVAKLKRDIEGLDGGFGVSGLRSGRRPVIPLPKEILSKAA ELELTSRENDHSAILEAVGSGHPKRASPAVLDEVPPKRVRYDDERKGFGKVSLGSHHP ESRFAGVRTEFLLPRSTPTMLAASSQPKRLSGKGSSQKSQGNQTLGFPVVKDHRDPDT ILRLSQKSSSQRSDGDLSSGSTKKQASSDPLLLVSVPEPTFDSGTSPFRTPMTASTSP SAPRRPKLHWSGGTTMYILDSMPPSAAEVRSTMQSYGLPDVIYQDAFYSKDEDVPPRP REYAGREYRLEGNTVPYLPDFDPTGQSPATNGLKPEQAPDLSEMESAFERRQLRCSLR SWEIARPPPTFAEVKKWWDEKVKARAQKDQGVQSHRPPPVTQGQRTDPSQIVGPTPRN IYGFKYSQRQKSTSVQHEAQYMSTMSLEIHVNSRGKLVPNPEHDEVQCIFWCLRSDEQ CLLGSQSADNTICGIVVLSEDGDLAKQIRSQTSVEVVEETSELDLMVRMVEIVRAHDP DILTGYEVHGSSWGYLIERAMLKYDYDLCNEFSRTKSESFGRSGKDADRWGFRTTSML HVTGRHIINIWRAMRGELDLLQYSMENVVWHLLHRRIPHFSWRALTDWWLSGRPRDIG KVLRYYLRRTRMDIEILEANELIPRTSEQARLLGVDFFSVFSRGSQFKVESIMFRIAK PENFLLVSPSRKQVGAQNALECLPLVMEPHSAFYPSPLLVLDFQSLYPSVMIAYNYCY STCLGRIVSWRGTNKLGFTDYRRREGLLKLLKDHINIAPNGMMYTKPEIRKSLLAKML TEILETRMMVKSGMKEDKDDRTLQKLLNNRQLALKLLANVTYGYTSASFSGRMPCSEI ADSIVQTGRETLERAIAYIHSVERWGAEVVYGDTDSLFVYLKGKTREQAFDIGAEIAE EITKLNPRPVKLKFEKVYHPCVLLAKKRYVGYKYESRDQTVPEFDAKGIETVRRDGTP AEQKIEEKALRILFETADLSQVKEYFQSQCSKIMRGAVSVQDFVFAKEVRLGSYSAKG PGPPGALISTKRMLEDQRAEPQYGERVPYVVMAGAPGARLIDRCVAPEDLLNDPHASL DADYYISKNLIPPLERIFNLVGANVRAWYDEMPKVQPVRRLETTSALTATTRATTANR HGNNTGRDTGKKTLEFFMSSTSCLACNVKMSSKPVDEQQRPLPLCGRCAAAPSTTMAL LRARLSAEQRRYDDVVRVCQSCAGFAPLEGEVPCDSRDCPVFYTRVKQRARVAAERRT LEPVLGRLGARVEQGGGSDGLDW MYCTH_2298248 MPPPPSRRPERTVAAPAATPESGSGAGAARKVDNIYIPSYISKQ PFYVSGLDDHDDSLQHQRRTTHDDENFTFERGGKKVGAARTKWVKGACENCGAMGHKK KDCLERPRKVGAKYTGKDIQADRTVKEVKLGYEAKRDIWAAYDPRQYQEVVEEYNLLE EARRKLQGEQGDKKEYEEGFKYAEESELGKDKTVKQSMRIREDTAKYLLNLDSDSAKY NPKKRALVDGGAIGDKSAQLFAEESFLRASGEAAEFEKAQRYAWEAQEKTGDTSLHLQ ANPTAGALARKKESEEREAKRRKRAEMLASQYGEQPTVPDALKAAITESETFVEYDEA GLIKGAPRKEAKSKYPEDVFINNHTSVWGSWWSDFKWGYACCHSFVKNSYCTGELGKQ ALEQANQWDRQAAEDGDGAQNT MYCTH_2298252 MAPRSYSKTAKVPRRPFEAARLDSELKLVGEYGLRNKREVWRVL LTLSKIRRAARELLTLDEKDPKRLFEGNALIRRLVRVGVLDESRMKLDYVLALKAEDF LERRLQTLVYKLGLAKSIHHARVLIRQRHIRVGKQIVNVPSFIVRLDSQKHIDFALNS PFGGGRPGRVRRKKAKAAEKGDEGAEEEDDE MYCTH_75107 MGHPAGLRAGTRYAFSRGFRQHGQIRLSTYLRQYRVGDIVDIKV NGAVQKGMAHKVYHGKTGVIYNVTKSAVGIIIYKKVKHRYIEKRINVRIEHIQPSRSR EDFLRRVKENAELKKKAKAEGKPVQLKRQPAMPREARTISFKDNKPETVAPVAYETTI MYCTH_2298256 MGWTAFLLTYLLGGVTFLPLVVAIVFVHAYFILPRRHHDADASQ ASAGDDDLIQPGDDIEAIKAAQRDGIKNRATYHDQDVAAGYFAVCREYTPMGINAKPI ERATPVGSATVAAPSPSVYQTMYRSIFDRKQPPGPLDNKNGGSQRPKKAGNVFYVVLR HGHLMLFDDDEQIEVRHVVSLAHHDVSIYSGEPVTPEGELWIKRNAICLSRRKDSPDM GPDTQVSKPFYLFSENCSAKEDFYFALLRNQEQTVTAGNRAPTPIQFDVKNILSLVQK LHSSEEHLQTRWLNAVIGRLFLGIHKTKDIENFIREKLTKKISRVKRPAFLSNITIKA IDTGDSAPYITNPRLKDLTVEGECGMEADVRYTGNFRLEVAATARLDLGSRFTREVNL VLAVVLRKLEGHALFKIKPPPSNRIWFSFQQMPKIEMTIEPIVSSRQITYTVILRQIE NRIKEVIAETIVLPYWDDTPFFSTEHKRWRGGIFHDDTVKPAAGPESAAAQEGDLGEV ERLEETHGIQEDNPPHIEKSHSAPVLDKKPSSISLFGKKASSKSSKPDMTPSPSTSSA SIELSKNEAKGDISSPPPVLSAPFAQPSSPTVGTIATNADHFRPSSSPPGGDSALAAM ATLSATPPSAPSPAQAPNNANPFKPYITSKSSNISSSGSSRDATDTEKDVDKTPQARR NTASSTGSQSDNGSHSPTPSSRDSVRGQASSMSRALFNRRDTASSVSSGISSQSANES HKRNALNAVANAAASAKRWGLNAFQRRGNDDAAANHGNSGESAPVVDLSQPMGRGQPL PPPGTPLPMPERKTSTAAIQVFKRKPVSAPPTDRHSEPSQHATERRPVPPPPLPKRRQ PQPEQDGEDNGMLVVTAPADSEPTTPLSATHNPSDGPQMQGEVARDPGAPSGSNGTTT SAPVGLGVSTPAEATEREADHPDGATGTPDSADDEDYSAWMVDDPEPGGVDVSTGTRA T MYCTH_2298257 MKLAISALLVLASLAPAQASDVACPTATRTIQESSCNKSCALSD CTLQTTMQNPCGCPATLPTATLIAPCEAECPYQGCDVEFHAGALPCPTTSTVRRTSPA ATPTATSTSTQTGVITSVTTLPPRTTSTSTSTSTTSSLPCPRVTHTTSPDGCEPIRCP VPTCRVESDFVIPCGCQPKTVLFVTGCATACPTGCLTRTRTTSLAC MYCTH_2137468 MTTRYRVEYALKTHRRDQFIEWIKGLLAVPFVLYSQPHGAIDRQ GTVNLSQTREEAHRRYSEILRDVEGMIDDHIAHQNDPENPFPSKLKLLVPSIGPFFTR LPLEAAFKYQDSKRYISSRRFVSPSFNDVRLILNSAQMMAVTTYGTLQLATFDGDVTL YEDGQSLEPTSPIVPRLIDLLRKNVKIGIVTAAGYTTADKYYARLHGLLDALASTTEL NPSQKQSLIVMGGEANYLFEFSPSSPHLLAPVPREKWLTPEMAAWDDRDIAQVLDVAE AALRDCVRTLNLPAVLMRKDRAVGIVPNPPETRIPRESLEETVLVVQKTLELSAAGRA RRVPFCAFNGGRDVFVDIGDKSWGVTVCQRWFGSSSEPSRGQGEGGAIKGQNTLHVGD QFLSAGANDFRARSVGTTAWIASPAETVDLLDELAELMEKRAS MYCTH_2123911 MCDYKQREFSCGHFRWLATKHCAMYKRKTGAKSRCLPEITAFEE RYGTGPPGHADQPAAVPSVGHREWSLKGSEIQFSPAHRPGSTHSSTHPRNSTQLPSSA GVHHSAGVPEVPLHLRRTGDARKRLSILNSAWDSLHTLRTAHARRGALEAPMPIMTKL PADPFRPKGAPDGSDITVSLPKLTGDSSASIISPLSLPRGAAGYFDHIASKDALPTQH APAPQHPRRSQLYSPLSPGLKRPALHTSSFLSPQLLPSTKCPPSHFDQHRSTSLPPET RNSFAPNRFSGDRSHPQQDMPTDSSTNGLTPTVSGTGNFASPGCPQSIPADPVAPTCH DQLVQRLLRQKARLLEAWEAERKYLEANRERAEEVYKEERALMEEERAEWEAEKAILL AEIERLGGVNPLASTSARPWSRNLLTGYGGYGGARGASRVVSPNSTQRVTRNGAPTHS NGTSTIPPPMVGRAPDLASPRSPNGPSAPTKDFLNPDKGSADEANPVPIVDVMEIDPE LEGIRIKATSVKKPTFTDTGSRNGSKPSSQSGSPPSGSDQSKSPRAKKEQTLQVLEAE EAERLTMHAGHTPNHSLSTLATVVSSGTATATSNGGRSTPTTTLAPHNGSGAAEPGAN TDAQPLADDHPEPLFDPSEDRELKGPLMVRNMPAHDEIFFQKLNDKLEEVSKDGVAAL PAVLKEDPDLAEEAEQQPAAEQRPETQAAAEAQAKTGPGSGSDTASEAASRTSPKSSD GDDDDEELDDVPLKLKKRMNFGAPFGEIR MYCTH_2298262 MAVSLLAPRTAQASSLDTSYNPSSNKSSLQSSPRQYPTELTHPI VEEGDETDSEAVIEPVTPVSGRQSQDFHALASPDGHLDVAHEHFASDQSAAAAFPPVQ QGAAPGAKPPLVVAASASPPSKPPRSPDHGPRGPPPSTPTPSTFSVSDDATGPPPTRR STFGSASNFRRTMSSFLRRVGSQTDKAASFGVVDGASASTFNLLEAAQRKVPSRRWSM HRSSATTRSNTPPSPGSPVEMAVKSKEDASQPTIPCSDAFFNNKKKSGASTGFSLRSR AAAAKEEPSRHELRLRRRASSLDYSKQERPVQREADKAIPMERSLWGLPAETGTGVKA RRMSLSLPDDFTVDVIELASEFEYQHKLFGRHGKHLGKGATSKVTLMVAKNGGELYAV KEFRGKSSSETREEYEKKIKSEYTLAKSLHHPNIVETIRLCIDRGRWNHVMEYCEDGD LYTLVNKKYLKAEDREKDRLCLFKQLVTGIHYLHSNGIAHRDIKLENLLITKESRLKI TDFGVSEVFSGIHPGLREAGGQCGKNMGEIRLCAPGICGSLPYISPEVLEKKGEYDPR GLDVWSAAIVMVNLIFGGPLWHRAEVPPNAPPQDTYASLVRGWEKWTQKHADATEPVI SDMDYPHVFVFDNFVKPPALRRVLLQMLNPDPQKRISIANVVNNRWVKNIECCQPDSY DEPNAANMIDASKKPGQNRVSGKKIYCHNHLPPPAHNSHSLGKMPGSAGY MYCTH_2298263 MSCWTLSSPTKLPTFSCPNRVNRAWERSEYYPNETLIPDDEKAR FRERLLPILATSQNLVRHQLVPILQRILSFDFPERWPSFMDYTLQLLNTNDPRSVLAG LQCLLAVCRAYRFKASESDSRAHFDKIIEASFPRLLVICNELVNQESDEAGEMLHIAL KCYKHATWLELCEFLRQSAVNLAWCSVFLQTVSKAIPASAMQGDPLERERHHWWKAKK WAYFNLNRLYIRHGNMQAVMDRSAEPPTRFIKEFSSQVAPEILKHYLQEIEKWVSKTI WLSRPCLSYTIVFLDECIRPKDMWAHLKPHLTNLVRHFIFPVLCLTEEDIEKFEDEPE EYLHRKLNFYEEVSAPDVSATNFLVTLTKARRKQTFELLTFINDVVNQYEQAPEGSKN HIAKEGALRMIGTLAPVILGKKSPIASQVEYFIVRYVFPDFTSEQGFLRARACDTIEK FEQLDFKDQNNLLAIYRHILDRMADPKLPVRVTAALALQPLIRHDIIRTSMQTSIPTI MQQLLKLANEADIDALANVMEDFVEVFAAELTPFAVALSEQLRDTYLRIVRELLENNE KRDDMDNEYGDFLDDKSITALGVLQTIGTLILTLESTPDILLHIESVLMPVIEITLEN KLYDLYNEVFEIIDSCTFAAKQISPTMWKAFRLVHATFKSGAELYLEDMLPALDNFVQ YGAPQLIETPEYVEALYGMVSDMFTDGKVGGVDRICACKLAEAMMLSLRGHINNAVHG FINMAMGVLVSQDVKLKSYKVHLMEMVINAIYYDPILTLQILESQGWTNKFFSLWFGS MTSFTRVHDKQLCILAIIALLNIKSDQIPQSIMVGWPRLLQGIKILFDTLPEAMANRE EALKDDFQFDSGTYGYDESDDEWDDEEANWNGGENEEEPAAETKDESTAYLEFLNEEA QKLKATEIEDSDDELGEDSVLLESPLDRIDPYLAFRDAFKKLQEEQPQFCANLLSHLS HDDQTALLEVCRRADTQEMMGLQSPFPISRTRGAPANGTS MYCTH_2298271 MAGRPSNRSSGPTSTASSGAPRQNEYFVPRDGIDREVITSDICR YLGNDALVRPGTYESPDGRITQGYYITAYRNLTSAMIQDLKADSARWEQERRAASRTS GGGAGGSREPRGQDYSSWKNSQREAQYDAQYGGSSMDIDYAPPPGTAATPVYTGQQYA GPPPANYPPATYPPPVHPPPAQYQTQPGYAYPPNPPPPAQYSPPPQTSGDRHPGIHAP PISGSYSQDPGAYVYGSNYQAVGGYPAPGPNRMQPPVPHGSAPPPRTYNAPPTGSPGY GTEPDYAYPPPVGTPANQSYPIDPAYGRGAYTTATTKPPEASSDVLGSPAGPTQRPAY ASPPEPPYDAHQTPALQPSTTPTTTAPAPLPTGSAPPPRRDPLRDSEPRDPRGARRPE PEREDRDRDRDRNRHHRR MYCTH_2298274 MCTATEAKKLCPNIINQHVATWREGEDKWAYRPDSAENIATDKV SLDPYRLESRKIFAVIKEILPSNLQKVEKAGIDEMFLDLSAHVHALLLERFPELASGP PKGDPSEPLPMPPISALDWQADALVDLDDENAELEDPDWDDVAFCVASEVVRNVRAAI RDKLRYTCAAGIARNKLLSKLGSAHKKPNQQTVIRNRAVRQFLSGFKFTKFRNLGGKL GEQVTREFGSESVPELLSVSVEQLKLKLGDETGVWVYNTLRGIDTSEVNPRTQIKSMF SAKSFRPGISTVEQATKWLRIFVADIFARLVEEGVLENKRRPRTINLHHRHGGQTRSR QSPIPQGRSLDQKTLLALAKNLLNQIVQEGQVWPCSNLSLSVGGFEDAVSGNMGIDGF LLKGEKAQALTPGSRTRPESEAREHHQSAEKRRRLDDGGIQRFFFKRGVAAPSAPTDS VWREDDGTMPGNQRAEDGPGALEGVDPSFIRNGAPVAKTSDQRTVGVDGHTCERCGKY LESLEVQSHQDWHFAKDLQEEERGMLAFGNQSSTGTGNPRSDGRKGPAASKRSGRPKK TERGQQKLTFG MYCTH_2298276 MVSARRAETRWVTRVIPFVLAGCIGFVIYVVVKRICLDFFISYR HQTGTAIAFLVLHFMLLLLVLVTYLRVFLVIQLDPGVTPLGPKATEKSTKTKRRRRRE QDLETAQRYEARPDDNPDSPGLESFYSKDVFVCETDGRPRWCSTCCNWKIDRAHHCSE IERCVKKMDHYCPWVGGIVAETSFKFFVQFTFYTAIYSIIVIVAAVICFQSKVRNELG VDGAVIGALALAAFFGLFTFTMTVTSVRYILLNLTTVDHMKAKKVVHQLAIRVPRGTP PGQNYNVITYPLPKSPSEANPAKQAIGTEVTSPRDQLATRTFAVVKTEMGENPWDLGY YRNWKSVMGDNVIDWLLPIKKSPCALYENNESFYEMGPLYQKLRARFRLPPLPAESEG IEMRERER MYCTH_2298281 MAAIQAAPAVAELDSLLCGSSFTSNDSTETSSSNFASPSSTPPT TVSPDSMSLASEPDLPKPESGIAPSIEAVIAVTDSHEPRAEQHASGQQTPVGEDAIVV AEPPRPTESSELAEPSPTSRPRRARSSLPVYNLAKLAGTDIHGKRRSKGDAVVDKRRR TISEGTLANHDDSAPNGSTDALERPNQAGQDNADASRTRGSAPVLHKPKSARVSKKSS SSPVPARLTRRAARLSGAPVENLTLKLSALGKRGKKAIEKGFGRLSRELLRLKDTDEF AHIDTRPVRYTVWSNGKYVDIDPSQETPAREPPRKKVKVDEKANNDEQKAAPSEKPEA GAPDPAKKPRQKKWLEKGLYAGQEAPTDIFKGLTAQEKKKLASLPELMRRGKPNKTFP LPMYNGLRILIHGRDFKLPFDVCNPLPPGQPKPAAYRTMTKNRFVGDAASYWKKTPHI EDFASKCVCKPEDGCGEDCQNRIMLYECDETNCGIGKEYCQNRAFQDLQERTKKGGRY RIGVEVFKTKDRGYGVRSNRCFEPNQIIMEYTGEIITVAECERRMNEEYKDNECYYLM SFDQNMIIDATTGSIARFVNHSCSPNCRMIKWIVAGQPRMALFAGDRPIMTGEELTYD YNFDPFSAKNVQKCLCGSPNCRGVLGPKPKEVKTPKPAKEEKKSVKTTRTTKTTKTSK ASAKEKATVRTTVKVSTKTATKRKVKDAFEFDGDEEEEAAKTVKKRKIKTSTGVKRTV SSAGLKAAKGAAKKAAKGVTTIKRSVSTISVSSKKKTGPSSSSKVVTTKAAKVTKKKT TTAVQRGVSKKIAGKKSTSTTTSTATSAKSTTTAKPKTVVPSRSPSLTIVAAGVTTPA AQKKKNSKGSSTPVSATPATSSSASAKGELPKLTISGSGKTSARKWTPSRKALEAGVV LAGSPASARSPAKAAGSPKIKLVSSGAK MYCTH_2050348 MADRPHRGGHGGHRGARGGGGGGRGGGRGGGAAAAQGQGQGQGQ QGQDKERPKKENILDLKKYMDKRITVKFNGGREATGVLKGYDALMNLVLDEVEELLRG NEMTRPLGLVVVRGTLLVVISPVDGSEVIANPFLQAEDE MYCTH_2298287 MTCGARLALPDLRVEDTLCRNILIYGNCRYEDQGCTFNHDQNKN SSQSEFPKKAFNVDSPSFTPSSQAQGAAKKSTLSSQAANAAPFTPRGVGTPNLQQTAE ASVFNPAAIREFTPQNYDIANTATNGVPQENGIFNDPFTTLGSIGAALPAAGQYNLYA SDHSALATPGAQFYPQHGTYPAGPLQPPNYHLYQPYDTYRQDLQPWQRATYDFFMPAK MREELQKKMFATQQVMPNSGLPQLERWHSLFPLDTHNRKNTSSFGYPSWVYKAQNSRT GRYYALRRLEGYRLTNEKAILTVMKEWKKIRSANIVTVHEVFTTREFGDSSLIFAFDY HPLSKTLQESHLQPVHGNRYRAPSSVPENVIWGYICQITNALKTIHSSKLAARCLEPS KIIISDNNRIRLSACSILDVVQFESNTKSVQELQQEDLVKFGKLILSLTTNTLPAHLN NIPAALESLGAKYSANLKDAVAWLVTPPNPGEPKSIEHFISGIATHMTTFFDLALQDN DEKQFHLARELENGRAARIMMKLMTIVERGELGGAQAWSETGDRYQLKLFRDYVFHRV DADGKPNLSIGHMLSCLNKLDAAIDEMVVLTSRDNETVFVLTYRELKQMFDRAFNELV KHSKTGAPGANN MYCTH_2298288 MASNGDFDLSSLSASQQEALQQFTDVTSQEIKDAVPLLERSQWN VQIAIAKFFDGEGPDLLAEAQAALNSVPRVTARHENLHESLLDADTLPHRSARRPRTE PAPRVVPRPSVQYHTPFLVAVLFAPFRFGYKLFAGVFRSLIYFLSFLPQSLRPRFVAS TISKGLRQTGGRRLTLPKETAQRFRRDFEEEYGAHGLPFYEGGHAQALDASKRDLKFL LTVILSPEHDDTESFVRNTLLSPEVVNFIKDPANNIILWGGNVLDSEAYQVAREYNCV KFPFSCLVCLTPKEGSTRMGIVKRLVGPMTPESYLAGIQGAIAKYGPDLDGVRAERAA QEMARNLRSQQDSAYERSLAADRERARQKREAAAAAAAAEKRAREEAEAAARLQEQRQ RWREWRATTIAPEPDAGSKDAVRLALNMPASSGAGRVIRRFAGQTTMDELYAFVECYD LLQSESRINKPEKPEGYEHKYGFRIASVMPRETFEPSTVVTVSEKMGRGGNLVVEDIM PDEEED MYCTH_2298290 MAGFSMNKVLGTIKKRPTLGRGGSVDETSVDPAHDTPEAIATRC VKQFCQSVGSASGDDVIFLPSIVEAAVASPAAAAESARLIRKFLQRDYWSKPSFQYNA IMLLRILADNPGPGFTRFLDKKFVDVTKELLRSGRDPSVRQILMETLDAFETTKSLDE GLALIIGMWQKEKEKAYKAYGGVPAAPYPNTGAPYPTAVAPGYHQYQQPQPQAHYPRP NHGKRLPEPAELANRLEEARTSAKLLEQFVACTPPSEILSNDLVKEFADRCGSASRSI QGYMSAEDPAPDNDTMESLIDTNEQLQQALNHHRRAVLQAKKQLGNGGSSNTSSPAPQ EPHSTAPPPVPSRSAVAGEGIGRSGSGISSNSISSGNGKGKAVSDPFNHSSAVAGLSR SASRTPRRDHDDHDDGQDPFRDPPSEDQHYADASSSSRRLGAGAGASASDRGPPPLSF ESSHPGFGGSSGGSGGGSSSAAAATADAGHAYRY MYCTH_89935 MVQNGGDFDLDIDMATNVTAEQLLAQLGQLQQRIQELDQRDKAA QARIKELENREKYSQKLEIAAIDETTKNVTEVAATSYEDKDSDTDSLGHDGNGEDEQA PYSELVTVDPETGLAEWDMAGEYAPPISILPILRQWGFTVTQRRDGSWTTDTQGIERP GPNALFLQERIEWYRNEVFRLNTELRERDGRLTRLAQQSDEMKDEMRELRRIVETIKG EQPVTYDGPDSYAEHFDDQQLSHDVRNPEYQFMRANRGKDERTWESYWKKHSYVSTGV PTVHVQWEGFGKEFQYLPGDATRLHPRHEAHAQVPWFQCVAHECRYHFRDKFENNHWP TRQENGDGGLCPVEWVYDAGNRAAELLWKIEARDLESITIVPRRAWPRHCGTGRDTWD SCWSNDCLYHADEKKLRIRELQMKLWHARRKAERTQWWEAASTQWLTEMSTIDEAAIS RTTEEVSTDLGNGSGPFEGPGNH MYCTH_2298303 MTTNSPSTMQAIVISAFGAPSNLVIRTVPLPTPTPGTARIRIRA FGINHAEMHMRRGEWAESVPISGIECVGTVDACPGGEFAPGTAVASVMGGLGRTIPGS YAQYTVARVENIVALAKAGEEPALGWAELAALPESYCVAWTCLFRNLGLERGQRLLIR GATSALGRAALNLAVNAGAVVTATTRSEGKFAELEALGAADAVVEGPGLPERLRESGR AEKFDRVLELVGNSTVVESLTLVKRDGRLCLAGWLGGLDPIKDFNPLLQMASGVHFSF FGSFVFGAPEFPLSDVPLESITRAVADGKFDAKPFKVFKFGEVQEAHQYMEDGRASGK MVVVVDV MYCTH_97932 MKLLALASLLALTAASPTPTQPESGTPRLAKRAGISDAADIGYA TLNGGTKGGAGGTVTTVSTLAQFTAAVNEKNSDPAIVVVKGIISGNEKVRVGSNKSII GLPGSGFKGVGLHFRRQSNLILRNIVSSFVEADNGDGLKIEESTNVWVDHCEFFSTLD VDKDYYDGLVDSSHGSDFITISHTYFHDHWKASLAGHSDSNGSQDRGKLHLTYANNYW KNINSRGPLLRFGTGHIYNSYFENMSTAINTRMGAQVLVQSNVFRNVTVPVTSRDSDE VGYATVIDTDLGGGLNDAPEGNMVPDSVGYEYSLLGSGAVAGKVPGEAGAILTF MYCTH_39658 MARNFDFLAQLLDSGDFSDLAFCCRGEAFKVHKAVVCTQSAPIK AAVLGGFKEGETGSINMDHFHPSIVRRLVQFLYTGKYDDLEDNSVSSTRNGRTETAGT QECSDPPPLASPMPKADAIPVLLGHIRMNSIGDYYQVENLVFLANGKIDQLLRDHSKD SSLVESLPAAIEEAVQSTGDKKLLKVLAVAVADNISALVDMDTFRNLSALSDFAFHVI QDCAQKPRALTTELRAAQRELDGSKAQIEVFKDHEERFLRLLNLLNNTSQCRHCRTEF SCIIDAEGYILRCARCSTRHY MYCTH_2123928 MIDPRPSWTSEEGCLATTTDRKYYRRGNAFIKRCLRTRELLHGP QGIHIPRLRKESLKNEADTLRFLNRHTDIPVPLLFCDFEDDDAYYVITEYIDGVSMAE LPEHQKEVVIAELEEHLARLKTLKSNRMGGPSGIVIPPYRVLCETERDDWSQLRPSDR AEYVFCHNDCSQHNVIVNPRTLKIAAIVDWEYAGFYPEHFEFPFYKRNGPSVALGEEE DDTYALLDFLNSRLGWEGQGQQYPSISPPHPTYH MYCTH_2298307 MALGALFSALVAILAMLAGKRPVSTSAVAALAVASSFGRGPATR NRSRSRAPLRASPLPRLSLILLLGAFVPLVSAAPWSPDLCVRPTSIGGGSGGAPFTIL GSAGSSVSTLRLYRNNGAEGYLRGLVAVFSDGNEMIAGVRKDQYSELTLDEGEIITGM TLWALTPSAKGRKAKTAATPRAARIDITTNHRSWGYGVDNTAKLSNKAVNVASGVLVG FQGRAGDDLDQVAPIFLETLSESVVDDVVFERIPGNDGLVLETLKEGTAVWNGTDYSY TFSGSTARDASTTFTSATSNGLSLKTTFKTSLPRVLESGLDAGWDFGATQTQERHTGR SAQLSWSSTVQMSADTPAVFCSAMVWRGQITLRWSGTQTVSAGDASVSFPTSGLLNHV DYGKVETVCRPMAAPESSSSDNDTVAKRWVA MYCTH_2298309 MSAEMANEIKLISGRSHPDLSEKIAKRLGIEVARTVSLNYSNQE TSFTVGESVRDEDVFIVQSTATGDVNEGLMELLIMISACRTASARRITAVIPNFPYAR QDKKDKSRAPISARLVANMLQTAGANHIITVDLHASQIQGFFSVPVDNLYAEPSFLRY IKENYNPEDSVIVSPDAGGAKRATSIADHLNTAFALIHKERPRPNVVGRMVLVGNVQD KVAILVDDIADTCGTLVKAAAVLKENGAKTVIALVTHGVLSGNAIETLNASVLSSLVV TNTVPLGDKVERCPKLRVIDISPTIAEAIRRTHNGESVSYLFTHAPV MYCTH_2298317 MASILRQIVAGPRAKHQETGLDLCYVTSNIIATSGPSQTYPQRA YRNPLDRLVAFLDARHGDDWAIWEFRAEGTGYPDEAVHGRIRHFPWPDHHPPPFALVP RIVGGMRRWLDGGALSAGPARDGDDGGHDDDAGGGGNKKKEEEEEKKKNETGEGEEEG QEQGNAGEGGIGGGQGNGKGNGQGKRKGKRERVVVVHCKAGKGRSGTMACSYLIAECG WSAEDALARFTERRMRPGFGAGVSIPSQLRWVSYVDRWTRAGKRYVDRPVEVVEVRVW GLRHGVKLAVEGFAEEGRKIRVFHTFKAAERFVIEPGAPGGEGILDMVQDMAGYGARA VVDETGEVVEDADYREITKGAASSNGEDKGEVPSRSSSKKLKTSLTAGLLMGKLSGRK RGSSKDLVGFAEASRKSKTFAAPGNSAEASSGASSPAAAGQGEPRSQSTTSLQNEVAY ADPSEPGGRVVTFRPSEPIRIPNSDVNISVERRNRAPASVGLTMVTAVAHVWFNAFFE GNGPEQDGRADESGVFEIDWDKMDGIKGSSRRGTRALDRLAVVWRVAGSTTGGEAGPE NGEGKAVTTPLPGVAINEPGKDSPVPQLRPADWKGGNDEDPAAGKRLGLRAEDPESRS VSKASSVRSDEPGGAGGGGSGATRGERQDAGDDDDDQISLEGVKVSGPAGEDVLAETP AGEGPTSSAGDQGAR MYCTH_2314075 MAVTLQFAWFSGHALSLLCIFRYILSCLRMNYYGGMARFCYRFA FLSAAATYGIVVYKTLRARQKTGAKPPASALGYLSDENVQYLVLALIWLFNPQYPLAL LPYGIYSVFHVATYVGATLIPTVVPPTQISPATGASPNGKPQYTQHPASESIKSFVKR YYDSSMSVVANLEIALWIRLLLAAIFFQRRSWILFAVYTTFLRARYAQSSHVQNSFSQ LEARIDNLVGAQGTPPAARQVWDGVKNAARQFHAATDVNKFVSGAAAPKKTS MYCTH_2298329 MTTIGTLQRLSAASLSKLLLAAQEATAAGDPTIAVIDVRDDDYI GGHIKGSVNVPSRTLDAMLPTLVRQLQDKETVVFHCALSQQRGPAAALRYIRERERIL SANKKAARPETDGAGADPGEGAAAKEQKVFVLDRGFVGWQEAYGTDERLTEDYRKELW EGGY MYCTH_2298331 MAVQGHLFHFELQSPPFMPSVFCQPVGIGGPQGPGLLDFPVWPI LVPAADGFRVCYTDDEDFRDAYLARGFCGLDAAFRDQFDHDCHRQAVHVAPTVREEKL GRPKQTSQDGGSQKGKSRSSRNDQEESMLQTMFEPFSVFDYLSLPVPRFFMNAVRLVR PYVDKLTRCTLTLFGVMCYISFLAMPVIALALLAVTGIVGAVILSLENLGLIKPGTLD PPNPGKRVPATAKISAAAATTSPIPRPRVAAAAAADPTMATTTRRAATANHKDIYKDN YDDDVVGKEEPFELVPRMSSAKAASSEADESGIATVETLRRRLQQRKLQQQQQQQQQQ RNVRIFAPGYSPHGWDRVDSDDEDEDEDEDDEDGTSGRATPPSWSSFAAEA MYCTH_2314077 MPDLSDVTASMASLPKCTNAAGSSGSDSDDDDDNDDNDNDNDSE GSSGGDRDNAASRPTGLLGMAVALAGFLGVVAVL MYCTH_2298333 MGLTTILRGFKVPIAVLDRFLVSNGVEETYGIPPSLLRIPGSSV PPLDAQATFLRAKLAAAGDANSTARIFIPQTQGQARSSYGYVAYAYVMIFSQRRIDLA AELPDQAPPGFAELRREILACAEEGEQALLQVAGMHGGEGEDDASLLYIVLTDEREFP FSRPFMRESDLRCDYCAAVFDCWFDLQNHRSDAHGVKVLNLLPDDF MYCTH_89922 MSGRKSDSCQGHRSSKPPTHQDVASQMSNLSLGAAPQQQQYQCA QAQQYQYANPQDFGRHAQPGPKTTGSYYAPQAEPVPAHDSSQSAYGPYAPSDTQLAAA YKALAKWEKIVKGPPFKVRYPKWTHDAPPPETSQSSSTAPAQKVPTGKYKCSCGKTYS GKDDLKAHLSKHKSYKQVK MYCTH_60267 MKSHSILDVVPNEILDGILCHLPTTALLPLAGVSRRLRAVTLHI LKQRLARAVSEPDHRVMLECYHPAEKLYTPYLYCDYLRADPFTKSDGNGSDAGCLGGA YAHFRPVESDETRSWARRRSALWGRHWDVDDDDGGGGGGGDGDDGVSNGRKESRPSVD LYLDDDESFSQLCTITNIVRLGPKPGLFRSHVNINDGVVRVWRDWLGARARAAAAGRG GEGGAAGGGEMIGGSGEDREVVLWADPYRNVGVRFRVTEKDVRGQHHPVLVANDEQLP VAYRLEFEELLVRSTTLLVMVEKSEVQESSDESKAIVFVLF MYCTH_2298337 MNPLGTGADTDKQSSIEKPSLDQSKDTRDLEMREGSRTTVPPTP LAKDLPPMPPPTKLDSLGATTGVPTSPYEPPNRPLPPKPVKLSPTPTTPQPSRPPPSR PQVPLGMNPPTRPGTANSWRSGSTASSSLGFPVSTTPTSWTSGVSKRPLKYAQGRYGR IELVPQPSDDPDDPLNWPSWRKELHFYSLLMGVAMTGVTKTMFMTVNAQIADIYKVSY TAVAALTGIPLILSALAGLACLVTSRICGKRPLYLVSLLCLFIGTVWSTSVTSNYAQC MAARVFQGLAWGAFDTLVLGSIQDTYFDHERGLRVAIHSIVATTTVWGPPLIGGAASQ GPSGFSLQPTILSAFFVVTVPAIALGVPETAYDRTPPYLQTPSTSNSEFKGSTPLTPR RVPLLEAIADYMVKLKPKAYSSKADLRTLLQAPRAFITPTTTMLALVSLLPYGSLWGL TASLSLLFYPLPFMLSPAVIGVIFLSPFLLSSAATAATAFLPAWQNRFAPRMHMVAIA AASAIGLTGLLTFGLHLNKAMTPPPEASPSASGNIPTPEEQGSHTAIRTSVFALSYLG PRANLPACSFALGLLAAAAALFHATAAPLIRASTSFTAANLAVATRITVDMTGGLAFW QALGAGIFVMGTPNAVWWWDGLKAFCVGVGAAQAAVAAAVGIVWWFCGDAIRRWDGRV MGLVDLEGLKRAGSFFDMD MYCTH_2298341 MGRPPAYLFVVRHGYRLDAADKQWHLTSPTPYDPPLTYSGWQQA KSLGARIASIIRERIQEEEHSASQSTDASDQTGRRKRKRYEVVLHSSPFLRCIQTSIA ISAGLAQDSSPFETRKAHAASSDPAPSAASESRPTVTTDFRDTNAPGPAAATNKIRKS VLRLDAFLGEWLSPSYFEFITPPPESVMMLASAKADLLRREDYTHRLTHSHSVSHTHS GSQGQLWSPASRDRFGSSTNGDQSNGSGSGTGTDLASPLSPGGNTNGRSNEHAQQGRG GYVSPVPHYAISNNHTIPMGYVSHARDACAIVDYQWHSTRGALDWGDGGTFPEEWAAM HKRFRAGLQSLVDWYSTTEKPTRPVTRSAMSAHADDNSPESEEDVETEAIVILVSHGA GCNALIGAITHQPVLMDVGMASLTMAVRKPDAETTSFSGETKNTPPVHQLYDLQLFAN TDHLRSPAGTPTSVRSPAMAGATNGIRGRHSSFSTSVNGFSWNDGGGGGSSSSSNSSS SRSPSTNNSWNGFRKSSPNDSSVPRLNFAVSTGGITVGSGVTSFAPTRTSTLGRTGSG SRSGLWSPMADDEEDDDIPVLNFGTYGKTAADSTASASPAPAPELSSVKTSNGRADPG PSTDGKPGGPGAGGSPKPAPEQFSSGTSGLWGSPQPPDDADRFRDLSGLKRRWTVTER S MYCTH_2298342 MKFPTYLGLRVLLAATFLWPAMFVLAEHTSNWAVLVCTSRFWFN YRHLANVLSMYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYSNADRAVDLYGDN IEVDYRGYEVTVENFIRLLTDRVGEEMPRSKRLLTDDRSNILVYMTGHGGNEFLKFQD AEEIGAFDLADAFEQMWEKKRYNEILFMIDTCQANTMYSKLYSPNIIATGSSELDQSS YSHHADNDIGVAVIDRYTYYNLEFLETEVRDTSSKKTLGDLFDSYTFEKIHSNAGVRY DLFPGGEEAARKRLVTDFFGNVQNVEIDSAKNTTALEEELLSLSRTIAALHRKADEEE AALKKNATGGAERRPVRQKKAQFAKPLTDENWWTKKIYGAAAVAGCTLLWGMSSFLEG HGRPW MYCTH_2298343 MSTVISKPNKQKFLPLREITVNSRPLVKRAIQFSCDGDLAVTAD DSVHIFIPEFPDFSRRRERLKARSTDQQDEVGANRNWPIDHESSEDDDDEDDGGPRSY NHQATRAQYSEGSKHMPVSFPPLDPRVNRELFAAQNIPFPYEGAVDVEGQDADSNDDS DGSDDAYDSQDEEDQGTGLGSNRPYGAGYGPITGVGSSMNHVVGIGWSPSGLGVNRRP ILGVLTGSGTLAMFGDGSESANILPRANEGMLQRRELNSWIVLWGVGERLIVPGQQTE VSEYIRGFAWAREIAPGQALLATINDLNEVAIISVQCVLVADEDKGQGDSAFRAGPRE NVVWLVREVSRFKAEGPHKDTNQLDPDWVPFGTSFGLRWGPWLHTGGSHTCVLSFMDR GYVGFRKVTIKDTWVRGESPSLEVGSEDTHGICVHLSTDAFLEFEDAVGRRPVPSARC LLC MYCTH_2298347 MSATATTHDWYQTNVPPPSDPAADPRPQWVRTIAQKLEVQVPVD MHLTRNYDDSGSEGSESGSEDEDEDGDLDLDGDFDSFAEEGDANGSAGATVLDIPEIH PHRYRLHGLALSPGGGVTAVLASTHSTQRPERGGWHTVRSSVLFGYKPRRKRQPRRGP CSGQHDTGAPPIDPQVMDSLTTATPAPAERTGQYDRLTTEAKLFEYLYGGGPEVPGVH YPSPAAAAARAANSDAVTGAELRDVFGPALAAQKCDLCGAAMDVRKGALSGCRNGHFF GTCATSGLAVQTPGATRSCGACGLRTMRAEVLLAKIPKQESGGGDDRREEVRRLLGEG VCGACGGKFLS MYCTH_2298349 MSSTSSQSGTAGAAVNDKLHSNLPAAVAQKLPHVPESKEELKAE LKAEARAAASTGLSQLRSFIAGGFGGVCAVIVGHPFDLVKVRLQTAEKGVYSSAMDVV RKSVAKDGLRRGLYAGVSAPLVGVTPMFAVSFWGYDLGKTIVRATSTVAPDGSLSIAQ TSAAGFFSAIPMTAITAPFERVKVILQVQGQKKLAPGEKPKYSGGIDVVRQLYREGGV RSVFRGSAATLARDGPGSAAYFAAYEYIKRLLTPRDPATGQPSGKLSLTAITCAGAAA GVAMWIPVFPVDTVKSRLQTAEGNVTVASVVRGLYAQGGLKAFFPGFGPALARAVPAN AATFLGVELAHQAMNKIF MYCTH_2073485 MASSYALPASALHQHHHGSDNNLSHSHSSNGHSHTTSLTSLSPS RSRRETRPSGTHSHGRSHHHGGGGGDNPSQFRTNSNVPMPISIPPPTGSSGGQWKIES TPGGKALVSPSAASFDAAGVYEPPVGALPRSHSHSSHHAHDHSGPRSKFTALLLQYTP RWPLLHAVVSIEDSRRIFYFMSLNFAFMAVQAFYGYVTDSLGLLSDSVHMFFDCVALA VGLFAAVASKWPPSDRFPYGFGKIETLSGFGNGVFLILISVEIMTEACERIYEGRETK RLGELFVVSTAGLLVNLVGMMAFGHHHHGHGHGHTHNHGHGHSHACNGHSHSRHDHDH LHLHSNGHDEKHSRPRGGPAHSHSHDNENMHGIYLHVLADTLGSAAVIVSTVLTHFWK WPGWDPLASFLIAVLILLSALPLVKSSARRLLLTIPPEIEYNLRDTLSGITGLRGVVG YAAPKFWLDDRDAGQDGGAPNKLLGVMHVVAARGADMEDVRDRVRNYLLEHNIDVTLQ VEREGDTSCWCGVGRSPLSQTHRTTHSTGIF MYCTH_2298352 MPPVAAPLASMIALLLYLLLICISNLAILAAYYFLTLTKYDGPP AGSPNEPVSVHVLVLGDVGRSPRMTYHALSIAKHGGRVNLVGYLETPPHPDIANSPGI TITPIPTPPSRPASIPFLLFAPWKVLHQVYHLTRLLARLLPPAQWILVQNPPSIPTLA IAGLVCYLRNSKLIIDWHNYGWTILAGTRGRSHPFVALSKLYECYFGRLGHLHLTVTH AMAAQLRRPPYSLPQRILAVHDRPAAIFRPLASPSARKEILHRVLEDFPERALIPAIL SGATRLVVSSTSWTPDEDFSLLLDALVRYASAADTTTTTTTTTTTTTKTTDGTRTPLL AIITGKGPQRDLYVRRVAELTAAGRLPNVRVATAFLPFADYAALLACADLGICLHKSS SGVDLPMKVVDMFGVGLPVAAYRGYESFGELVKEGENGAGFETAEELAGILGRLLGDG GGKAELERLRRGAVKEGSRRWDEEWDSAVGKVMGFVS MYCTH_2298354 MSSASTSSAAAASLLKRQLKQMQSAKDLPGISCGLVNDNNIFEW EVMLMISDDCKYYGGGNFRAHLTFPPTYPLMPPKMVFQAPIPFHPNIYPSGELCISIL HPPEEDKYGYESASERWSPVQTPETILLSVISLFEDPNDESPANVEAARLLREEREGK SKEFRRQCRKCVRESLGED MYCTH_2298355 MSGKGSAYGQPAGDTDFRKKYDLEEYAAKAKEREAAEKEERKAR WEAKMAGKKYYKPMDGTETLTTARSATQDFSKLVGTTTLVPAGAGVGKRGRGAGFYCE ACDLTFKDNLQWVEHTNSMQHQRNIGATGEVRKATAEEVRARIEALWEREQQRKREEV VSLAQRLEVRKEEEAREREERRRKRKEAEERKRQEREAAMKIKTEYGDDVRIEGEHDE DDMMAAMGFTGFGTTAKK MYCTH_2298356 MLYLVGLGLSDETDITVKGLEIVRKASRVYLEAYTSILLVDQSV LESYYGRPIVVADREMVESNSDEILRDAQNVDVAFLVVGDPFGATTHTDMVLRARELG IPVRTVPNASIMSGIGAAGLQLYNFGQTVSMVFFTDNWRPASFYDRIKENRDIGLHTL ILLDIKVKEPNLENLARGRKIYEPPRFMTVGTCARQMLEIEEEKGLKAYGPESLAIGA ARVGGKTEKFVAGTLAELCDADDLLGPPLHSMVLLGRRTHELEHEYVREFAINKENWD RIWKAEYQGK MYCTH_60238 MAQQYSEPSKHIDPYKEANLDTGASVEQKIHDLSHFMAHCKFGM MTTRDASSGNLVSRCMSLAAQETGGIDLLFHTNTESGKTDDLASDSHVNISFLTNSGE WASVSGKASVITDRSFVKKHYSPHLKAWLGDLGDGVHDGGENDPRLGIIRVEMLTAHY AISHKTILGQMAKVAQGVVTGKPAAVNKLREISEEEVQQWRASH MYCTH_2298360 MSWDPDNSILPSEERDQEEQARAWYDEPTRALNRELVSENMRLK RLLRENGISWDPRLTLDPDDRTRGTWSSSKRPRTRSSRGPPSFSHENRHLPVLPVEIQ LYILEYAMTSKFPIIDPLSKLNKETLVAEEKARGNQIAIGFLATCKAYYVEGTRFLWS NNTFVFTSHVALRNFASVSLEHRRGIKHVTFRIIARYYDDEERKHLAPYPSANDTFFK TINLRTAPRIREDTLARKGFRSYAWNQVVDFLDAMRPPFDPDHPKGQPRPRLLPGLES LRMDFVNFPDSFLSPGSGNFLHGLASHDLGCSLNELQLTGLPACDFGEELVAELSGMV KDDGLLLRSDATFVYSGNQLRPMAQRARRWMPEWVPKVVRSWRALADEYARSKGKTAS TEALHPHHRHSHIHHRMPPAPKEEGHPNSLWKARRTIFKRIPVEQDEDYRIWAEFDRL TGLPIEPDEYDSEEDEYDVSDLVCPHCDVMHSPFGDH MYCTH_2298363 MALPVLLIACWSALAGQVLARNTFDPLAYVDPLIGASNGGNVFP GASLPYGMAKAVADTDSGSRQGGFTMDGAAVTGFSTMHDSGTGGNPSLGNFALFAYTS CPGGDINRCAFPKKTRAAFGRFRNSNVSAKPGTFDITLNSGIRAEMTTTHHASLFRFT FPSDGADEEPARPLILQDLTDLADSRQDNATVAVDPKTGRITGSARFLPSFGAGTFVL HFCTDFKGAEVADSGVFVNSRASTEVHNLTISRSINGYPLPGGAFVRFNSGAEPILVR TATSFISAERACEHAEKEIPDFDFTAVSKAATDVWRAKMSPIKVSTKQVNSSLLTNFY SGIYRTIINPQNYTGENPLWSSKEPYFDSFYCIWDQFRSQLPLLTITDPTAVTGMVRS LIDTYRHVGWLPDCRMSLSKGYTQGGSNADVVLADAHVKGLREGINWDDGFAAVVKDA EVEPYDWCCEGRGGLDSWKSLGYIPVQDFDYKGFGTMTRSISRTLEYAYNDYCIAQIA AALGKSAEKEKYLESSGNWQNLFKEDQTSIWWNGTDTGFTGFFQPRYLNKTWGFQDPL NCSNLDTASVCSLQNTGRETFESSIWEYGFFVPHDQATLISLYGGPAAFVSRLDYLHD SGITYIGNEPSFLTVFQYHYAGRPALSARRAHFYIPAFFSPTPGGLPGNDDSGAMGSF VAFSMMGLFPNPGQNVYLITPPFFESVNITHPLTHRTARIRNVNFDPTYKAIYIQSAT LDGKLYTKNWVDHSFFTEGKELVLTLGRNESAWGTKVEDLPPSLGAYEGFTKRSGSLR RTAAPDLWRKTAAFKGQFGSLEESLGM MYCTH_2298367 MAFSDETTVRKLRTFAEMRNCGSDVDFLLKVDEYSRALRDLTSS MSYISANFTGLTATTPLELSSEVAGTLKADIKYCVRSALPALEKLYQEAKLAAEERLS HTLYPEFVKYQLARPLTTSLLANRSPTGEMETLYPGLGDAFCLTDPLRPNNPVIFASD GLLNMAGYHRRQLVGENCRLFQGIATDPEAAGRLGEAVESGRETTELVLNYRLDGTPY WNLLYICPLMRNGSVRYFFGAQVCVSENMGSDKKDILGVLNFGRPSDEPADPVTEWPT SISWPARRSSDAFEPNQEDDKQSDKPISRRQRFFRRFYRKTPRSRASSNSRHSTASDQ APPDNDSPQPASPSPRLYPSPASQRAESSHAHHYHQVAQPLDEHSSPYSRFLVMRYPQ ETGIRSTRRQHAWPDRNSARHQTDPAAAVPDHLPISFCSSHALSFLGIRPQLAARTTH EPTASLLDRDVFSVLSSHLRSPTARNRAFKANVLAQLARGESVTAELMVPAPVPLITT SAVEGSSFSSSASYSAGAGSAGEGIGAAAAAAAAAAAGGGGGGGGSKRGSKSGKGVKT TVAGNGASYSSANPAVPPPASPQHADGPSSSSESWPRLSGTLDRGAEFLNHVLFSGGG GKTTTVLRRVVSRWVPLKDEDGRIGWVVLVLVPADGGGL MYCTH_2298369 MEGGRCFASLVGAAFRAVLTFPRRSMITGEWSTPDTSNVNIPAV KPAFRERRAGLSNLRATWLGHACYLVEFPSGLRVLFDPVFEDRCAPVQWLGPKRYTPP PCSLSDLPPVDAVVISHSHYDHLSHTSVRELARRNPGAHFFVGLGLERWFRDSGIDNV TEMDWWQDADLVLTRRQDAHVQDPDPDKTDPETISARITCLPCQHASGRSHRDQNHTL WASWAVRSGGKSVWFGGDTGYRRVPKLPAGVDDYGPEYDSLPRCPQFAQIGALRGPFD LGLIPIGAYKPRWMWSWMHANPYDAVEIFKETRCKRAMGIHWGTWALTIEDVEEPPKL LKQALAASGIPETGVFDVCAIGEAREF MYCTH_38558 MYSLLIALLCAGTAVDAQALQQRQAGTTLTVDLSTTYQRIDGFG TSEAFQRAVQMSRLPEEGQRRALDVLFSTTNGAGLSILRNGIGSSPDMSSDHMVSIAP KSPGSPNNPLIYSWDGSDNKQLWVSQEAVHTYGVKTIYADAWSAPGYMKTNGNDANGG TLCGLSGAQCASGDWRQAYADYLTKYVEFYQESNVTVTHLGFINEPELTTSYASMRFS ASQAAEFIRILYPTIQKSNLTYKPTIACCDAEGWNSQAGMLGALSSVNSMFGLVTAHA YTSQPGFSMNTPHPVWMTEAADLQGAWTSAWYSYGGAGEGWTWANNVYNAIVNGNASA YLYWIGAQTGNTNSHMVHIDANAGTVEPSKRLWALGQWSRFVRPGARRVAVSGASGSL RTAAFRNEDGSVAVVVINSGGDAAVNVRLASSSSADQQPASAKAWATDNSRAIEEIQA SFADGVATVNVPSRSMTTVVLYPAADA MYCTH_2123955 MSSYILYTPATTSIFAPQVTTYSGSQAKVPPNTPPPPPSPVILP TTGGITYYVGGAAYPYSSSSSPPSLPPSSPHPASALLPPAICFGVAVPTRQTRQTTVT TAALPGGGTVTWCSAPASLPAAAAAISTITAGVVGGSRVVVQMAGGLPLRLAP MYCTH_2298375 MSASGAKGIVTTNEVIESAVIRAPLSHVWHFIKLPEFDKFWPAI SKAEHVKGTSGETDVVRWTFKDGSVVEVKQDEHSNLEHFITYSVISTEPELPYSSVVS TIRCWAVTSGELADSTFVRWTSKFSSDADISVIEDAKYKRRDALKGLASAAAKMAKEH TK MYCTH_2298376 MACLRTLRAFPAALIALTLASAVRAQADGVVDFGFYPEGAQDCL YSAADSSRCESKTVEATNACFCRNGGDFITTAAACIGRSSRDDLRTTYRTMREACGNS ETPITITEDEFMEAADDSGPTTTQSSSTATSSTTSTATTTSTSTPPPDDKEDEGSSGL STAALAGIIVGAIPALGLLGALAFIVLRRRKKMGEELHPMLPQQAHHSMAFSASDATT AYYSSPPPDSEAWPKKDWGASPDPRSSVRASGFNWESPSHLAYPAAALAPSPPLQIQE LDGRQHFPPGSTEAPVEMGGSPVTTSPPPSRAGQYQPYNPGHQ MYCTH_2298378 MAILALVPGAFPAVWLRTLAWIAGVGLPLASVVVMLNMPLRDPL LDSTGIGKPFAEPTAALRSPEDIITLWQWMTVSWMGPLIKIGYQRQLEEEDIWSLPYE YQHSRLHILFRELPGTVLARLLKANGLDLVITTALGILQTFLESAEPVLLKQLLAALT ATEPNVRAATVYAGITLLSRLIKMQLGVFNLWYSRHTYERARGVLITMIHEKTLRRKA YTFPSSHELPPSQAGEQQQEPFSPTPGISTSTTLTDEEASINDNDGGDGRSTSSQTSK FQKLKSFVHHGYTKLKPRHPPPKPDPATFTPASTGKVLNLLRNDAYEIAQRFWDAPSI TTTPLSLIFSLILIWRILGPACLIGLLVLLAGMAANVFLMRLLLGIERVRRELTDTKL QRTSQFVEAIRHLRWYDWQGAWLDTIMASRRDELRKRVHSSVVLKAIALVNTLAAYLF PVAGFWAFTVVSGRRLTVDVAFPALDLFTMLQTSLRELPDLITILLSSRVALGRVEAF MREPEKEDLVMDGDGVGNGAVGEAMVGPPEGEPEIVLTDASFSWPGSAKKVLRDVSVT CGPGLTVVCGKVGIGKTALLQAMLGELDQHGGERTVPAEMIGYCAQTPWLESMSIRQN ILLSAVYDKARFEQVIDACCLRDDLNMFRSKDLTLIGENGVGLSGGQRARVALARAIY SQARILLLDDPIAALDHHTASAIVRNLFGSKNSSLTAGRLVIFVTHRVDIIMPYADEV IEVGRGGRVHTFDKEELRKHGEELEYLAELANAAAAPGNDSAAACEEEEPIPDKFIED ERRVQGGVMASVYWQYVKAGKLRWWATMIGFFALFRFFAVAYNWFLKEWGEQYQKTSL GSIYSIEVAGLGSDVESLGVHNLAQTLNKSSASHWLEFGRWLPPPGEDVRPWLLGFLV LSLAQVLVQTCSDAALVVIVYKAGKNLFERAMGRVANATFRFYDVTPVGRLMNRLTSD MGTVDGQIAQQLVMVAWYSVAWLSSMIVIAGATPTFLVMSGAMTVTFVMIFNRFLPAS QSLRRLETVYLSPLFSNFGTLVEGLTTVRAFRSEPHFQNRIITNADAFQKMDHMYWCL QTWLQFRFDLLSAVSSFTLALTAILSGLSSGTVGFVLASASSFVQSTHQLCRRYGEMQ MQFVSVERVVELLDLDQEPQGPVKPPASWPHYGDDIVFDNVTLRYAPTLNPVLKNLTF TIPGGANVAVTGRTGSGKSTLALSLLGTLHADAETGGSIRIGAIDLATVDKHALRHNI TFVAQDPVLFPGTLRDNLDPLGESDEAERADVLRRVLGGPCGSESNSGSFTLDSRVDG GGKNLSQGQRQLIGLGRAILRRSPVVIMDEATASIDGETAAYIQQLLREELKHSTVIT IAHKAEAVKDCDFEIVLDQGQVLRAGPRGSRLLQQQQQQRVA MYCTH_2298380 MRAFALSLGALAIGLASAHEYPNCEADNCYRNLIDERFAEKAVS FCPEFLAGTTTAASAIPTDFANCEGDVKAVSSACSCITYTATATASSSTTEVSETSTT KVPPESSTTEAPETSTTCTETPETSTTKAPETSSTEAPETSTTCTETPETSTTCTETP ETSTTEATETSTTCTETSETSTTKAPETSTTKVPPKTSTTKVPPKTTQWTTSTITTTA TRTITSCPPPVTKCPGNGTTTVTTETIVTTTVCPVTETTKSEHPTTSPEHPTTKPEHP KPTTKWTTSTITTTATRTITSCPPPVTKCPGNGTTTVTTETIVTTTVCPVTETTKSEH PTTKPEHPTTTPEHPTTKSEHPKPPKPTSEQPEPTSEPQRPPSFSSYIPTLTTVTSPT ITPSTTGRPAPPSTSGPATAGAGRAVRGVEGIAAVAGLFAVLL MYCTH_2314087 MYRQGFGLSPAVRSRLRFVPRASFSNSAVCRWQAPPEPDKPPVP YKKGFQFQARRVKPPPPFLGEHSKVRTKFFADFLKQARFPGRDFLQTTTLVDYCVSAA SKPLPCEDLGETAALKVLRELSVGDSPWRVRGSQVVTCKRRGHKEALVAKIYDPLYYP FADPDFSYIPNDVIASAEGDFTLESAAYAQLDKRLGGSLIPKFHGSWLLELPLKRINR PVGFILIEYLKGVHLKNLNPKLYTQQERLRVLELCMEAEIELRFAGVIHDDIAPRNII CSGRYFLARDFQVKIIDFNFVEILPLLEGKAPYESEPLPESPVELFWNSPSDELVVWL PEGWGKSEWNRWLKEMWGTSTRFMPVPEDLLHE MYCTH_2298384 MSWKLTKKLKETHLGPLANTFSRSPSTSTITEKDDKSQGAISGT ATPTNESNIAASEALSQTPVVKTPKPGILVVTLHEAQGLSLPEQHRNAFSPSHGGSSL STGNALSMAGSGRPSSSSRSAGFTGGRPQTSGGFSGIPTNHGRISTKYMPYALIDFDK VQVFVNSVEGTPENPLWAGSNTQYKFDVSRVTELVVHLYIRNPNAPPGSGRSQDIFLG VVRINPRFEERQPYVEDPKASKKDREKAAAEHASRERALGHSGVEFVDVQYGTGKLKI GVEYVENRAGKLKIEDFELLKVVGKGSFGKVMQVRKKDTNRIYALKTIRKAHIISRSE VAHTLAERSVLAQINNPFIVPLKFTFQSPEKLYFVLAFVNGGELFHHLQKEQRFDVNR SRFYTAELLCALECLHGFNVIYRDLKPENILLDYQGHIALCDFGLCKLDMKDEDRTNT FCGTPEYLAPELLMGNGYNKTVDWWTLGVLLYEMLTGLPPFYDENTNEMYRKILSEPL HFPGHEIVPPAAKDLLTKLLNRDPQQRLGANGSAEIKAHPFFHAIDWRKLLQRKYEPA FKPNVADALDTANFDPVFTEELPQDSYVDGPVLSETLQNQFTGFSYNRPIAGLGDAGG SVKDPSFVGSMQNSLR MYCTH_2298386 MAPTNTLPAWAELEAHHNKVGKSFVLKDAFKADPSRFKNFSTKL TLPADISSEPNGTEILFDFSKNLITEETLSLLVKLAEQAGLEKKRDAMFAGEKINFTE NRAVYHTALRNVSNLEMKVDGVDVMNTKGGVNEVLEHMRVFSEQVRSGEWKGYTGKKL TTIINVGIGGSDLGPVMVTEALRHYGAKDMTLHFVSNIDGTHIAEALADADPETTLFL IASKTFTTAETTTNANTAKKWFLEKTGGKGDIAKHFVALSTNEAEVTKFGIDSKNMFG FESWVGGRYSVWSAIGLSVALYIGYDNFHKFLAGAHAMDNHFRSAPLRQNIPVLGGLL SVWYSNFFNAQTHLVAPFDQYLHRFPAYLQQLSMESNGKTITSDGSPAKYTTGPILFG EPCTNAQHSFFQLVHQGTKLIPSDFILASKSHNPVSDNLHQKMLASNYLAQAEALMVG KTADEVRAEGGVPDSLVPHKVFLGNRPTTSILVGGAIGPAELGALIVYYEHLTFTEGA VWDINSFDQWGVELGKVLAKKILKEIDEPGAGKGHDASTEGLLAAFKAYANL MYCTH_74236 MSEVHSRSAAPRGRGSGRGGRGGFATRGGGRARSAATNGDSKHD TDSSLPTLEDEGEIGELKQKYGSKVAVIKEMFPDWSSVDILFALLETDGDENLAVTRI AEGTISQWGEVSKPKKDRARLKAKADTSTNTTGDSAPTGGTRNARGGRGADSGRGRGR TTERGGRGGARGKSTHGTANGTRSKDNEPLSVPTEEASEWNTKPAEKSAASGEPPAAD SAPATTAPAPSTTTTTTATAAKPATIPEGTKTTWASMLRQSTAPKPAPRPKEAPPKAP EPVIEPLPPAEPTEPEPEASAPVEEPAPEPEKEAPPAPPAERVTPAVPVIPAVPAVPN VVVPEVALAPSNDKLTETNLDKVKDDSHPPLTETAASEAADSWDPQATGPGATGTPLS ASQQQHQAGRTGATKPSARAPAHHARRMLDQREAVRMPGNRDQVDRAAVQFGAFSLNG SIEDDVDGDREEPVTRPQPPEDSPVAHPRTSLPPAQPTPVPEAFSSQKPAAAQASTGT AAAAPSAPQANAPSTSQAAVQPPAAPSNQQFGRFGQPASQEASSFPSSKPFEPFGQQP AVASATHNQFDGGFQGQGQAAQPPSQQQPGGPFSSAPGDFSSYYTADQNRFNYYNQNF GQQQAAQGQQDALPSQPQRSFSGYNAPQNDNLSQYPQSGAQHGQSRFGGTNNNESQIS GTPTPGQATTQAQTGPTAQAQSHAQQPHDYPYSSHPYYQNPYYSAYMNYQGGYNQGAY GGPYGKGGLNYQPSQYGITPQGPHGYSSPAAGFGQSALHRDSGVGGGLGDYGRAGSTQ AGQQGLGGGFGGVHDTFGRGGSAYQSQGGQSFNAPGSQPGAGPSAADDLKPFGDSKAA GGPSPSLGAAARPGSATNNAPSQTGLPPPQSNQQGGLGGMGGYGYPSHMQQGHGLHGS QSGAGGYGMSASGAQSHQNTYGAGYAGQGFAAGSYYGNPPRGWGNNYH MYCTH_2298390 MQKTRALGSLKDLFPRLHQPLPLDKRESQRLLDTIKASFRKQLD EEHGWTASDSGKDSPRLKLATVHSRTGTVPSTAPARPTDRHMRAILDNPLFTRVEVPK RKEESANSLDAHKAVFRKAVSRGLVTLNTAQGFLMHIESVAFRLRPENTAADGPWLRN QLQDTGAGLLVLQWLRSSGQERDLKFLTNANFTNILARFLVAEGLDDVVLMWFERLLK PGSERTEPEFQTAKNLLGRLVYAKQARNLESAYTTILKAAAIAEEKSCPPAVVKYAWC ILANETSMHHDKQTMPPAHIYDAFVALGRKLNPGAQFMAQLSLRHPVEPTSGPAVEFL QSKGAWRVNDAQNPVTLRYLRHVHRLAVDTAQYLTQTNQAEEASRLFNFVREKISMFT DPNELDLVGDLEPA MYCTH_109756 MPFAQPPKVSSDPEIPPARPGRPRFHRRCTEDRIREGSRTPPCF FMAGKITRERKSIFRELGLDTDLPSQPYSVRDEHEFGEPTELAPASPASTHAVDEGTR NEDDGAENEAEGRPTQQKQGSSNRAESRSNPASPSETQRPWYSRLPRVRRPRIKSVSS SAPPSRMSTITRFSSIVLLIVVFLPGFSYYRGREEGTAPAVADAGVIDTPAAEARPVL ERRNSPTDVSKRWAHQGE MYCTH_97899 MNPEYRAEFVTDAWADTYVQHTFGASHPDLVETYLGLTVPILKV DLLRYLLLFSEGGVYCDLDVSCDTPFGEWIPPQYEDETNVVVGWEFNVGWPRPFVHQL AIWTIMAKPGSPHLWTVIEETVQWLKDEAKKNNVSVGELTLDKIGDVVDITGPRRFTQ GVLRSLGRAFRTTEQDIQEILESKLVGDVLVLPGYAFAASANHYDKDMKLSPPLVTHH YVGSWKNPKGGEAS MYCTH_2123970 MGLSNPGSDRETFSRYLKRTQTSEGGSQAANEDAVWTNVAEEET KRPNIVDAVPRVYGTPNLSVVAANVMPSITRVDTMGTMAAEEGSEQVFEGEVVVCLSL LVYAPSLEPN MYCTH_2314092 MDYVQQRVAQFASNADTSSNNTLFTPLNGLLLSVLLYTAYAFFL RPTPPPQLPKEEPAIVFRTFTPRTLLPYNGEDGKPVYLAVRGRVFDVSRGRNFYGPGG PYANFAGRDASRGLACGSFAEDMLTKDLDGPLDTLEDLGPSELEALRGWEERFEEKYL VVGKLVAVGEEEKDK MYCTH_86146 MDFINKLANQTGSNQGDKAQGQQATSQHQNQQSGSGSGGFLDKL QGMAGGGAQGEKREDALDKGIDWVQENILKQGPQNNESATEQAKDRLIAQQIRDQYRN ATGKDFPIKEKEKNEAEKESGGLSGLF MYCTH_2298407 MSPHDQEAIPPNPQGISRDATVSNDSGVSPAPNGSSGEAEGRAA ETGSQDHGDEKRTSTAEHSPVSPHGFSGHVEQDKLPEEGDPMSSSIATLKPTTTPTTT TSTQYLTAESKVYIDPTPPTPTYSRPPSRAPSSAAHSRSEDPSPTRSDTAYDDKRYTS EDEQERGSRSEIQSIMEQFSEQRGGPGPDEVMSPRLEMASPLLGTSVQHPPRKSSLEP LAPSIAQQLQDLQGLRVSASSPTSAKSRNEGEEPGPPVPPKDESAHSGRSREESGESP MSPISSLHRPPPPEPEPEPALPFDFHRFLEQLRNKKADPVARYLKSFLSEFGKRQWMV HEQVKIISDFLAFIANKMAQCEVWKDVSDAEFDNAREGMEKLVMNRLYAQTFSPAIPP PQPLPGPRKRRGQERIMGPGRRGQHQEDVERDEVLAQKIKIYSWVREEHLDIPPVSES GKRFLKLAQQELLKIKSYRAPRDKIICVLNCCKVIFGLLKHTKSDSSADSFMPLLIYV VLQANPEHLVSNVQYILRFRNQEKLGGEAGYYLSSLMGAIQFIENMDRTTLTISDEEF EQNVEAAVSAIAEKHRSESPPPPPPQSEKSAALRPPTASGSGSSSTRPSLDVDRPASP RRSTPPDEPRDSGEYSGSDEKAAITGLLRSIQKPLSTIGRIFSDEPSSSSSSAAAAAT SGNTGIASPARTPQPERGDADLRARQRMSAEEAAARQASAEAAEAQRLQRAEHANVVE TLAGMFPDLDREVISDVVYQKQGR MYCTH_2298411 MSLKERLLESLRLRVLGIPTPPAGDANSDTRVAILFSGGLDCTV LARLCHELLDPDQGIDLLNVGFENPRVVAQLQRENRHLPDCYEACPDRITGRRSFLEL QKVCPGRAFRFVAVNVPYSETQAHRQQIISLIHPHNTEMDLSIACALYFAARGQGVCS ESPDSPPVAYTSRARVLLSGLGADELFGGYSRHPSAFQQRGYSGLIDELLLDVSRLGK RNLGRDDRVMAHWGKEVRFPYLDERLVSWAIETPAWEKCDFENGEEASGVEAGKRVLR LLALELGMEKVAKEKKRAIQFGSRTAKMQSGKVKGTTLLA MYCTH_2298414 MAEPASKTTLPRPTSLPTPSTPHAPSPSTPTGMKPRLGPRRQSR FTEDMTEQTPVASVSERSIDYYWYGHSAEDVHTHTTADNTDNHLVPNQEPARTDVGDR DRKAQAQLARLVNSAVHAVPCVVLLVLLGYAMRVLREDIGDHTGVQAILLICFLFADI VLDVVTLLRAQKPWSKLGLGLRFACGIAYITVFLIYVGLGRPFPSGHTYWGMSSSSAA LLVYVILCVGGLWNILHVPVCRYQLGGPLLRPTPSSPSLSPTLKDRTSFNLRFSTAGT ETEHSSISLTWRRWVRTRSTQYSRDDLEPGPAAQKTATREASPDLTLREQPGEEEEEE EEKAKDMGGSRAVSSHDEKRSSSGVKQKGDDKWHENGSEETVRPATATGTEDAGK MYCTH_2298417 MLGARHSDANPGSGEDPLLPGTSNTVAWTVAAIVGGVLVLGSAL ALVVIHYNRRRGARRRQEELPFLAGYEPFKRRKVSEAGLSQEEEERRAQLIRKSLAAR SARSSRSSDSWASRSSCSTMAALEQVDRELEEMERRESTRLKDDWKRWEARIRAERSM SCGQHPAVSAAADSAAVPILAVPTPSRHPSQGRAWSQSPPPPASPPPLPARHPGRVFR D MYCTH_2132560 MAATFAAFENGGNAAKDGEFREDLNRILICPDCKEEPPNLVEEY SSGDMVCASCGLVLDRIIDTRSEWRTFSGDDNGNDDPSRVGDAPNLMIDGDQLQTSIA FDGKGAKNLAHLQNKVNQDKGTKALLQAYRDIQALTDSINAGAQVANTAKHIFKMVDD NKALKGKSQEAIVAGCIFIACRQTNVPRTFREVYGLTKVSKKEIGRVFKQLEAFLQKM GGEDKVAKATGYTQQYQAKGSTTADELCIRYCSNLGFRNPVRVENVARRLAEKSSEVS DLAGRSPLSVAAACIYMASHLVGEPRSTKQISVVSSVSDGTVKTAYRYLYAAKDTILT KEVFSEDMYSEIMANIDKVPVN MYCTH_2298421 MPARRTSRAAAKRAQQALESTPKTFDGLDDEDEPMPDAAGQDDA EPEQEGEEEEEAEKADKDDKDDGSVAEEEAREEDEESAKSPTPPPEPVVRRRRLGRPP KNRPPDWDTLPIEPPNPDATPRRRGRGGWRGRGGRKGQHYQPTQQSIDKDGTVMDIVN DEVDLPEDPEGETKVDKLGNLKGGREYRCRTFTLPNRGDRLYMLSTEPARCVGFRDSY LFFTKHKKLYKIIVNDEEKRDMIEREIIPHSYKGRSIGIVTARSVFREFGALIIVGGR RIIDDYEVAKARAEGVVEGELADPSDVYDPDKPYNKNQYVAWHGASSVYHAGGPSVPQ QNAKADSKKRRVAVNDVNWQLEHAREASQFNSMLSAVRRANLNGVYDIHTNQMHYPAI MQPTHARIEQVVDREESEGDAPSRRSTKFPPVKPSISRNFLVMDMHLETPPSGVSAAA YDVPFRTSQADYESSAAADFLAPFRGLRAVPDEVRDLLPPECRQAFDKARAKEDSWFE RWGNEADVTSRRDPVVDKAIVPYSMTLA MYCTH_105072 MPGGVCAVLDYEVELMADYVSEMATRIVMPQRQVNPAFRKFVSQ ILTSTRLPSTTILLGMNYLAKRINMMHAAGQTNHTEGQIWRMLTISLLLGSKFLDDNT FQNKSWSEVSGIPVQELNTLEYEWLGAIHWCLYVNLDGSKDYQAWLSNWKEWQETKKR QQQASRDRMLGLASPVEPDLSRTRAQQLYSAWHQQQVAEYERLSSAKRNQVPPTAAYR HDPSAWAYSFAPWSQAAPLTPPDSGYGTPEYANSTALVNSSYAEWFDGALLGSNVASR HYPQPPPYSGFRHGNHASRNPLSYGGYYGYGHNIWEHPGVADCNCGSCGGMHHKTVGY FGAHAYGQAVVG MYCTH_2298428 MSSRFVSAGAINAATGEEVSSSSLPNQPASSATTATSAASSKAA ERQAAWAAATAQLEEDRRRRREQQQQQQQSSQEKSLYEILQANKAAKQAAFEEAHRLR NQFRPLDDDEVEFLDEVRMRKRREEEEARREVERGLAAFREAQRRSGSGSGSGGGGGG GGSDEEAEAGSDGEGPLSVGGEFGFGVVGRKRRKGDAGKEKKRLRGVGVIKRASTGGS DGGGGGDEVSHERQDVEDKAGKAGGREESVGSKGEASDKGVAGEPDAGARAEGSTAAA VAKVEAPEAAPATTRTPAPSASGAPPSISTSKPGGLLVDYSSDDDED MYCTH_2298430 MAEPTPAPAPPPAANTAPKAPSGNPALRMLGLPHLPRKLPSRNW MIFWTITGAFTAAVIYDKREKKRAIAKWSHAVEHLAKEPLPHDSLGLAQPRKLTIYLS SPPGDGLRVAQDHYTEYVKPILAASGLDWEFVQGRREGDVRAYVAERVRRLRRSRESG GEPDPSKEPTREELVEAFRKSRGIKEYDGVRGDIVIGRHTWKEYLRGLHEGWLGPLAP PPEPEPLPAAVNPEPSTGDKPAEDKPAEEKKAEEEEKKPKRPPQPKPYNTTADYPSAV LPSVAPDAFDPSAPIREPHILGFLNTPTRLYRFLNRRKLADEIGREVAAVCLCTYREY QRLAADPDAGPDADSTSSPAAAHEQPLYEQQKELAWEEKDWIKSIWKEDDPKGADTSE AAEIPERVRAKPIVMDPRIAERMRRFQLLPEDEERASKIVVPEEEVEGWIKGKLRQLC RWGVSKAFEKKKTTPLEDKDVE MYCTH_2298433 MDNQGNRLYLNFGNNNERLAANDRTYPTTPSTFPQPVFQGGQAA PQGGGAQQPQAYHQQGGYASAGYFPPNSQIQYGGSQYPSQLGAQAADYSSAGQGYGQA RSTTPGANSNDPNTGLANQFSHQNLGDAGRSSPYGGRGPSSGQRPRTADSRGQQPGHG SYANAPPMPTQGAQPGYFAPAPERNPDKYGSNANHNQKKCSQLASDFFKDSVKRARER NQRQSEMEQKLAETTDPRRRESIWATAGRKEGSYLRFLRTKDKPENYNTIKIIGKGAF GEVKLVQKKTDGKVYAMKSLIKTEMFKKDQLAHVRAERDILAESDSPWVVKLYTTFQD ANFLYMLMEFLPGGDLMTMLIKYEIFSEDITRFYIAEIVLAIEAVHKLGFIHRDIKPD NILLDRGGHVKLTDFGLSTGFHKLHDNNYYQQLLQGKSSKPRDNRNSIAIDQINLTVS NRSQINDWRRSRRLMAYSTVGTPDYIAPEIFTGHGYSFDCDWWSLGTIMFECLVGWPP FCAEDSHDTYRKIVNWRQSLYFPDDIQLGVEAENLIRSLICNSENRLGRGGAHEIKNH AFFRGVEFDSLRRIRAPFEPRLTSNIDTTYFPTDEIDQTDNATLLKAAQARNGNMSQQ EESPEMSLPFIGYTFKRFDNNFR MYCTH_2298434 MDYQNRAGSKFGGGGVASHSMTNADRRERLRKLALEQIDLDKDP YIFKNHLGSFECRLCLTVHQNDGSYLAHTQGKKHQTNLARRAAREQREGKGDIDPHTG LPVGVVGAGFAALGLGGGGPRKNVIKIGRPGYKITKVRDPITRQQGLLFQLQYPDIGT GITPKWQVMSAFSQRVEEPDRNFQYLLVAAEPYETCGFKIPARELDKREGRQFEYWDP DAKEFWLQIMFMTEREERFNAAPGLTGRR MYCTH_2298437 MSRTLSILRRVSQARLPALPERIPGRNRRFSVSAAASASESLPL EGYRVLDMTRVLAGPYCTQILGDLGAEVIKIEHPVRGDDTRAWGPPYATYKPGSSQEG PGESAYFLGVNRNKKSLALSFQDPAGVDVLHKLAAKCDILVENYIPGSLKKYGLDYET IHKINPALIYASITGYGQTGPYSQRPGYDVMVEAEFGLMHITGSRDGPPVKVGVAVTD LTTGLYTSNSIMAALLARARTGKGQHIDAALSDCQTVTLANIASSCLISGEKDTGRWG TAHPSIVPYRSFKTKDGDVLFGGGNDKLFGILCDGLGRPEWKDDPKFKINASRVANRD ELEAMIEAITVTKTTQEWLDIFEGKGMPYAAVNDIQGTLTHEHTKARNMVVEVDHEEC GTIKLLNTPIKYSESKPRIRSPPPTLGQHTDEILREHLGMDDAQIQELREKGVIR MYCTH_2298440 MADDTPDTVPEGVAADGPKKDETGKPLQESAPKTAPASTSASMP TRSPGPGTAPVTSAPPTRPFYVPQFTAATQMILQRVKGEPSSLSAALSQASRSPSITP SISSATYEDVKRRLVMGMNTSTQMTMQMPAAPSAARSMPSTLPVPKPTPSPAPAPAPA PASAPASAAAPTPAPTPAATKPKSGTAGMSAIRKVTAGLTASSKPTPIKTAPAKVPPS ERKTKKTKLPPSSRGPGVKRKRTKSQANQDDDDDEDGTSSMSSLSSTPEAFSAATQPT QSTAPSTPVLLTMTKSGRQVLKPAAYNPAAMDAASKRTRPAHHYGKRTAEQALCKKCS RMHSPATNQMVFCDGCNDGWHQLCHDPWIPDDVVRDQSKTWLCAPCMEKREREKHHHH HHHNNNKRQKVEQHNPHHHHHQHQQGSKAKGAEGQEKVSWANRPAQQKRAYLSTLPQQ ELVALLMTCLEIHPDLPIFPAPSSSADNRPTTTTTTTTTTTTTSTTTTSTGAGPRSIF ASTTTEGLFSRAEAHPTAQINYVRKVGAVGAGGGSGKGTKGGSGGGSQKEGSSERAAT GNEEDDEFDPLVALWPRAGKGMYSRLPPDTEDEATLVDEGDFEAFSVIVYDDKGRKLE ENGMKV MYCTH_2115815 MDRAHLPPLIFVAHNRVRSIFFLGTPHQGAAIAQVLARYTAMIG TRPFVEDLFPQSDVIRALGEDFPEESKDLQLFSFYESRPMTVGLRKMLIVDKASAVMN LPNERQTFLDANHRNVAMYATRADPSYVAVRNALARVIASCRMQAESAVPSQEDRRAL ARFLGVPADPEDDLRNHASAKLPGSCEWLGKKSCYQTWVASREPAFLWLQGRPGVGKS VLSSHVVGDLRNNGLDPCFFFFQARDSAKSAVDSCLRSLAWQMAVLHPAVFHKLKAVM SELDDGLDGEKVGSHSLWQKVFVSGILTVELERPQFWVIDAMDECRSAADMTAFLAGI QEHWPLSVLVTSRDAAENYRPSVSYRVSISSYTISEQDSLADISLLLAENLACLPCPA SDRWPTAEALASHILARSAGCFLWASLICSELRKVTSEGAITRVLNSTPADMDAVYAD ILTKIEMNGLESESTRAVLTWAVYAFRPLGLAEMQTAAELDADDKIGDIRRLISKNCG NLMYVDEHDRIQFVHLTAREFLTRGGLESCLIPSKADAHRRLASACLKHLASVTQKAK GRARKAQGNPSAAPFTTYASKFLFQHLDHVDPNDDGLFLMLLNFLGSNSLLSWVELAA ANGDLRTVYEAGRTIKDMLNGRTRDAAPPRPNRSALTQEKIELLGRWGDDLARLIPQF AERLGRSPKTIRHHIAPFCPPNSVIRQVFGGPTRGLTVGGLSTRSWDDCLATIRYPQD RSPFVVAAAPGYVAVGIACWDGRVVVHDDAIFQELHTIRHGERVSCVAFATSGRYLAS AGSKTVRIWSPANGLELACFGLPEGAWCRGLSFAEGDTVLRAATVRNELIEWDLESRA PVHDEPVSWGADVPERMRGRAPEHVWLSPGSSLLAVVYHGHDLVFWDCAELRLHDVYE QKTGSMLRFGDRLQARGWTTVRAAVFNHDIETDLFAATFDDGDMVVFDLDAGRPIAVN KEGAYNLVLACSHDGRTLAAVDQLGNMTLFEFRTLRILYRVRLETSTLPHGLAFTGDN RRVVELREGQCRVWEPPVLRPGNDHDPRTPITELKPMTPREMYREEQARKAQEITAIT CSREFPVVFYATGNGSVFGYDISGPEPEKQLLFVQDTSAPTRGCVGGRPSFDRRTEAG ARPRNIEAGPRVFETPETAHLTQLRVIRLSPELGLSLSGFGPLSHPHYFATYAKESSD SVAVDDETIAILIWDFQDLEDPASQHAGPRWEIRTSMLPAQVVHLIGVYGTRLVFHAA DHWVASFELLPPGSSSGAIVDEESFVRHFFLPNHWIGSLRTEDMRFGIGSEGDIIFDR LGELAVIKRGLELTEDGEAFQPRQLSAKGRAKFSERIPYRPPGIQMQRWL MYCTH_86143 MRGPSNSGISQLMRPSLSRALLSTSPQGQQWPRPASWETTRLNP TGRLLLLLQHANQRSAFSTTTTSSLFEEKAAQEPQQPNQPKWRPPSDQALEQRPVLVV GAGNIGRRVALVWASNRRPVTIYDISPEALRSATEYVTDNLAAYCAARETHPGPVRTT TDLRTATATSGSPARDSAGAVAAEELARAPWLAIECLPESRPLKTSVLALLERSLPAD CVLASNSSSLTTAEMAAEGPLNHPHRLLNTHYLIPPRNRMVEIMSSGATDPAIAPFLA AHMRRVGLAPVVVPDGLQSPGLVFNRVWAACKRETLAVLAEGVARPADVDALFRDFFH AEKGPCERMDEVGLDTVARVEQHNLERAPGLGSEGVLRWLRDNYVDKGRLGEKTGDGL FTEAERARLREEHERGRHEKVEETAGA MYCTH_2115818 MNGAVQLSDEQLRDIYADSYTSWLRCYTAAIQSPALPVSYLSVH NAFTRQPSFPATPLPEHGIHTSNNRRYITGDKMPTFRIYSQFVIGSHHLESWFPGMDC NYLSVLILAWAFILSARWSEIMRVSCDIRYLTSQALPPSFAEALEFLDRFCLRHNIVD QSHAALAAALLLPSISESMGTLHLPPLVANALTAIDSFAVDRPLILGRMMMDRAPKVA FFWIGATVLGIGETLLTRIRLGSLPADLNTAAWSDTLQHFIQQPVQPGVSEDGKIARA DYCRLLALTLNAATPVFPTSPWKPFGLTPLELAGPSVQLHAAFREHQLQYLTFFWFQN PGITAFHPSTDDSVPRPRPISVRDWKLAVSDESASKGATQAVSEYMADVNPDSKRDAE LWQHEWLQLPSLGSGKEGQREADGQSGTRDGCEESAEDEDYQRNLTSE MYCTH_2298443 MSSAYFYITDAPLPKSQSSPTTTSSSSSSSSADSSSSFSSSDTT SSSLQTELSSILPPEFSASSPTETDSSSTSAATQDQEERATGGAGSESSGGGGEGGRD LPVAAQVGIGVGVSIFGLTVIVCGILWFRYLRKQQKVLVELQQRVSSQPPDGAAMWKM QQYPFGLAAPDHYLRTELSGSLGPPRAELS MYCTH_2298447 MESIHLPPLPRRVLYAFGSALLLTFVLLRSLAPESSTFRVAHRG WAPAGDLLRDIRNSTLGFEHIFVVGLPSRSDRRDNIVLQAALSDIQVEFIDGVLGQDI PDKAIPTSPDHKRPADPVLGCWRGHMNAVREIVRRNLTSALILEDDVDWDVRIRDQLQ DFALSIRALTQPLLRGDAGGYADPTYPMPSDNSPESVPDMDFYQLPATVPPSTYPYGD NWDLLWVGHCGMHFPFKGSKTIPKGRVIRHNDVTVAPKKSLWTFNIPFTLKETYPEHT RAYHHVQEGVCSLGYALSQRGARKLLHEVGLKDVNAGYDLLLRWFCEGVKGRRPGRQC LTTQPALFHHHRMAGPESHMSDIGNHPNKFRHKAMTDMVRWSVRLNADVLMEGRTDFV DQYPD MYCTH_39694 MSFPAPSAEPRREYPTVSGHRPVVGVSTKMYFSHARTTAFVSSV LALLREAPGAAALLRDRVDAFVAPDFVSIPATRAAVIVEAEAGAGAGAGAGARLVVGA QDCAAADEGPYTGEVSPAVLRELGCGLAEVGHAERRRLFGESDDLVRRKAAAAARNGL VPLVCVGEEVVSPGVRAAAEEVLGQVKAVLDGLDGEADVILAYEPVWAIGAPEPASAE HVRGVARLVRESEAVRGRPGRTRIVYGGAAGPGLWGRLGGEVDGLFLGRFAHQPEQFV KMLYEVAGMDFEAE MYCTH_89872 MMVPKWRIAIGCDDAGVNYKNKIKADFEADDRVVSVIDVGTDDK TAYPHIAAKAAKLVASGECDRALLICGTGLGVAISANKIKGIRAVTAHDSFSVERAVL SNNAQVLCMGERVVGLELARRLAKEWLGYVFDESSPSAAKVAAIHQYEEGEGGLSGHE EVRAC MYCTH_40719 MSNRHLFPTLDGLVPKALRGIVASNPRLNLDETNRVIYDPSSSP SNVSIISGGGSGHEPAWSGYVGANMLSAAVAGDVFASPSTKQILAAVDAVPSDKGTLL VITNYTGDCLHFGLAAEKTKAKGKACRLLICGDDVSIGKKGGSLVGRRGLAGQIGVLK ILGAAAAEGASLDELYDLGTAVNSQIVSIAATLDHCHVPGRTEHGALQEDEVEIGTGP HNEPGYRKLTPAPTAEGLVKEILKYCLDETDPERGYVNFKAGDETALLVSNFGGMSNL ELGGLVDELLQQLLKDWNIQPVRVYAGCLETSLNAPAFSVSIFNLSGIAANSAYSLEQ IKGFLDLKTDTAWEAVAGAQSYPQRRPRAEQLVQAPSAESKKAVDDARDVKVDPALLE RMLRGACEAVIAAEPDLTRWDTVMGDGDCGLTLKTGATALLDAIGSKKIAARGSVVEV LAELEEIVEGKMGGTLGGILGIFFVSLRAAVQENSALAEAEGPVAVWSRALETAVQHL AHYTPAKAGDRTVMDTLIPFAEAMGAKQSFEEGVAAAVSGAEATKTMRPRLGRATYVG VGAEGKELPPDPGAWGAMVAIRGLKTAIISNY MYCTH_2298452 MPRPPLAQNRTMAILNAAKEGGYAVPAMCCYNIESIIATVRAAE AARSPAMVLLFPWAIEYAGEALVKAAASAAHSASVPVSLHLDHCQTPELVRRAADIED GFDSIMCDMSHYDKEENLRLTAELVKYCHERGIAAEAEPGRIEGGEDGVAETADLEGL LTTPDEAEEFVKTGIDMLAPAFGNVHGEYGPRGIQLEYDRLRAINERVGDRVRLVLHG ADPFDEDIFRKCMAAGVTKVNINKGMNNHYARVQQEMMGKPLTRVIEAGTDAMQKAIE RYAVWLGSAGKA MYCTH_2086155 MAEPKPPIAFIGLGAMGFGMATHLVKQGYPVTGFDVWAPTLERF AAAGGLTASTPSAAVADKPFCVCMVATAQQAQSVLIDGPDAAVHALPKGAALLLCSTV PCDYVQSLDRQLRSLGRGDILLVDSPVSGGAARAADGTLSIMAGMSDAALDKARPLLA EMADPAKLYIVQGGVGAGSNMKMVHQVLAACHILASSEAVGFAARLGLDLAQTQKAVL GSDAWNWMFEHRTPRMLTQFQPVASAVNIIVKDTKIITAEAKRSGFKVPMTGRAEEGY QQAVDKGYGQDDDSSLLRLYTGAGSGETGESSAEADEEKLALVLDLLRGINLCAAGES LAFASFVGLDLDQVLDLCVNAAGSSTMLKQYGPQFITALRQGVDSRSSKAAEGELSLD AVAERLQRVVEEAERVKVPLFLGSRALDVVREALKLGTSPLSVNAVVNRGRVPTANME KSIRPHFFKHGLPESDPEEEKNCHWCQIRSFATHKTIPITIVNDEDDEVLNPNFRFID HSVIADDVPVAEDSFRTGCDCADDEDCMYNTCQCLDEMAPDSDEDENDGSATRPRRKR FAYYSSGPKAGLLRSRILMSREPIYECHEGCSCSLNCPNRVVERGRTVPLQIFRTPDR GWGVRCPVDIKEGQFVDKYLGEIISSREADRRRAEATVSRRKDVYLFALDKFSDPNSL DPLLAAPPLEVDGEWMSGPTRFINHSCDPNMRIFARVGDHADKHIHDLALFAIRDIPA GEELTFDYVDGLEDMDNDAHDPSKIKDMTVCKCGTKRCRGFLW MYCTH_2298455 MGCCLSRDDSANSPYPGGAATGSGRAINEAAPAAGASSSQTGVD EPLPSGSRHRRRHSHQPLDQHINKPLRRHEWTSHDRRWTPAALRRERAEFFDTRVTGR QEIWQAIRAALEVLWAADEAARTGRYRRMSEDGGPSEEDPDVALATAQSIIDAADITL PTGDLYNGAYDAFGNFYQLNHQVVSDPSNLVWSPDSAEEDDVDDGKADLTADEETERE CGDDEAERRREEKGKAVVDIRNQITVRARLSDGSRDVCVAVDKGDSVRRVARAVAEKA KVSRTW MYCTH_60177 MSQHPNEVASSSLPAQLLHHVTRRRQRFYGVIAIALVLFAALTF IYGGLATGLDLTSYVPRPKAPLPPAHAGDHTGAGNVAQGADGENGDQKAPDPAPVTTI TTSTQTDSEPQVAPTTTQEPSTKAGDNAHQPTETEAVKVAPGIPPKIWQIMLPKSDVK SKEDFIADPKVLSETPSWLALNLDYQYTLVGHKGGEEFVRKHFGHDPRILDAYLHMPN VGMKSDLLRYLLLSAEGGVYTDTDTVALRPIDEWIPPQFRDKAAVVVGIEFDRRDGPA WVDISHWVQFCQWTIAAAPGHPVFDKMIERVLYSLHQLELEHGMPFSELKKLGSFEVM NSTGPAAWTDVVWAQLQEFDSSLTDIRNLSYMDEPRLYGDVVVLPIDGFGMGQPHSES TNDGTIPPDALVRHLFSGSWRGDKKEKKKRRSQD MYCTH_2298462 MSFLDNAYTLVKDSATEGTPTLAELRTQLEKGTDETKVETMKRI LTIMLNGDPMPQLLMHIIRFVMPSKSKPLKKLLYFYYEICPKLDAQGKLKQEFILVCN GIRNDLQHPNEFIRGNTLRFLCKLREPELLEPLLSSARLCLEHRHAYVRKNAVFAVAS IYQHSPSLIPDAPELIATFLEGESDPTCKRNGFAALSSISHEKALAYLSTVFDGIPNA EELLQLVELEFIRKDAIQNSQNKARYLRLIFDLLEANTSTVVYEAASSLTALTNNPVA VKAAAAKFIELAIKEADNNVKLIVLDRVDQLRQKNEGILDDLIMEILRVLSSTDIDVR KKALDIALEMISSKNVEEVVLLLKKELSKTVDQEYEKNNEYRQLLIHSIHQCAVKFSE VAASVVDLLMDFIADFNNASAVDVINFVKEVVEKFPSLRPAISQRLVDTLREVRAGKV YRGILWIIGEYSLDEKDIRDAWKGIRASLGEIPILASEQRLLDNMDNEEENKGEEQVN GHPKPNPGGSRKVLADGTYATETALTSQSAAAARLEAVKASSKPPLRQLILDGDYYLA TVLAATLTKLVMRHSEISSEEARTNALRAEAMLIMISIIRVGQSQFVKAPIDEDSVDR IMSCVRSLAEFKEHKQLETVYLEDTRKAFRAMVQVEEKKRAAKEAFEKAKTAVQVDDV VAIRQLSKKNAVDGADAIELDLERATGGDSSASEDLSSKLSRVVQLTGFSDPVYAEAY VKVHQFDIILDVLLVNQTTDTLQNLTVEFATLGDLKVVERPTSQNLGPHDFQNVQCTI KVSSTDTGVIFGNVVYEGAHSTDTNVVILNDLHVDIMDYIQPATCSETQFRTMWTEFE WENKVNINSKAKSLRDFLDQLMACTNMNCLTPEASMKGDCQFLSANLYARSVFGEDAL ANLSIEKEGEDGPITGFLRIRSRSQGLALSLGSLKGLNKIGSVVA MYCTH_2298464 MSNLNEPFYLRYYSGHQGRFGHEFLEFDFRVVGDGRSATARYAN NSNYRNDSLIRKEMFVSSIVVEEIKRIVRESEIMKEDDAKWPTKNKDGRQELEIRLGN EHISFETAKIGSINDVTESADPEGLRVFYYLVQDLKALVFSLISLHFKIKPI MYCTH_2298471 MPTPSSSRPDPPSPRFYPFSSCPDPDEQQPTLQPTSGDNPTSLS PQSPSSWRPLSPPTYPDDHGTRPEAPIIGESRSATMSPGPQTEADAGDVRMRHESEAM ITAGDNNGERHKPADVDEQMSPVVDEPSRGADARHSGVASGKSEGSGTAVGSEPSGER RSRAEQVLASLGEIQEVDAWGPVGRGPRWAEDSGLMGLGLEYSHMRIMTPAPSLYLQP GSRFVGTQQSERQRYDVEVEIKHVDMRESFLCGYLKIQGLTDDHPTLTTYFEGEIIGT KYGFITQHKGWGANEKIDLSHWSKFTAFQPYLKRARKGSHTVIHDVDQRENIFMRWKE HFLVPDHRVRTINGASFEGFYYICFNQAKGEVSGIYFHSKSEKFQQLELKYVDNRGCF GAVEFR MYCTH_2298473 MQALALIEGIDMKVISYSLESPFCVVCLPSEAAARRLIRRSILA MSIHELWGSGADLAAVHASVRRTTQPLWSKYLTCSFKFTIDSYQGSRSADDKVRIINS FAYLGFEGPIRMRNPDEEFILFEDWEFNSTPLGIPDPKYYYFGRYLASGARDLPKKLD LKKRRYISTTSMDAELALVTANIALAAPGKIMYDPFVGTGSFPIACAQFGALTFGSDI DGRSIRGDEKKRTLKANFEQYGLLAGLGGMFTADLTNTPIRRAELRYDGDGVTGRLFD GIVCDPPYGVREGLKVLGVRDPEKCPWVITKGREMYKNPDFIPPRKPYSFLAMLDGIL QFSAQTLVDNGRLSFWMPTANDEDQEIPVPTHPYLEIVAVCTQTFNKWSRRLITYRRI PDAEVDQEAMKAREEMKPVGKTADELNPFRKAYFNGFEPVEGASNDAS MYCTH_2298476 MLAFTENAVHQAAKCFVTYGLMCHLDPNQPPSKTQPWATLASQD FVHRADLIIPHDMLESVRGRLIEGRTGPEFKRVVLSLRDILSGDFFTEYIKKGDVLML SEGRRGIDNVFTLRRGILTMFLDKEAYERAGLVGKPDGPKGKRGTKPRWIVEFDLTAP SMFPGKKGFDRLVYASKNALAGPITWLFCNLSTTIPNPDPLSQHSPIGYTCNPNISEA IDVMMPNLTPDVSFLSSGGREDFEDFSTSVYEWLSLVRLQSPRVEIGDQIDPYLSRYK VPEGGEKNTVCKISWQGFLAPSWSRQTLIDIVTALPHKAWFSFSTTTFSKGLTGDNTE CTILRPPNSSGEYLMWEVKAHE MYCTH_2298478 MAPNPYLLAADNPEALLSLLRQDPSLASVQDEHGYSLVHAAASY NHLDLLRALIREFKVPVDIKDEDGETALFVVETVEAARVLVEELGLDATIQNDEGQTA AEKIEAEADWPAVAEYLRGPDGGSSANNGTPNGTASNGTGLPPVPEGLQVTMGTIDEA EVNGQQPDPEFRRRIEELAAREDFQTPEGQAELRKLVEEAIGGGVFGEDRNVRPRQE MYCTH_2298479 MANDAEALSQPLTSRYKASIDYAKDAEGMKVPYASQDAHEIATM IRGLEECSKKGKNRGARKTRYAVSSSPTGITVDSWRFQESDYKRRDLPTYARGLFTTQ TKQHVPEIAIRGYDKFFNIDEVRETSWEHIKERTKGPYELSLKENGCIIFISGLEDDT LLVCSKHSTGDRSDVVASHARVGEQHLERQLEKIGKTKQDLARELRKRNATAVAELCD DSFEEHILAYGPDKAGLYLHGININIPEFMTYPSPLVQKFAEDWGFIKTGLIVIDDIN EVKAFLEEVAETGAHDGRDVEGFVIRCKRSSKPGEVPDQDWFFKYKFEEPYLMYRQWR EATKALISGKQFKLKKHVKITEEYLLYARQRLAADPHLRKAYCQNHGIIKLRNDFLEY KNLKGSDAANLEDDSGASNVTRDVILVPIATIGCGKTTIGLALTSLFGWGHIQNDNIT GSKRPPRFVKAVLDQLEEHPAVFADRNNAMRHERKQMITDVKMHHLAAKLVALNFVHD DIDAVRQVTRERVFARGDNHQTIQAATDMNKVVGIMEGFIHRFEPCDPEREPDSDFDA IIDLDPTAGSRENLEIVIRELHRQFPNFVKEVPSAEDMDRAVQNAIDSYKPDLRHNIP DRSKNDSKKKNKEQQQQEQQQANEKPKKKKPLEYMSVDVPTADVLTALEKTFSQDLPA SKTRFFKQLQGTRRVQAKFHVTLMHRATAKEHPELWQRYTTLQDTDGAIHPDGRLGEL DVQLERVVFDDRIMAIVVRLVPTNPEDENINADNPALPAKPKWECVNRVAHITVGTRD DSVKPKESNDLLARWLKVGSGEETGIGELLIEGKPLIRGVVRGVLAR MYCTH_105063 MEPSTQALPPVDLKPLLARLWPLGHPEPVSPTEIAEAISYFFTN QVSDAQAGALLICLHFTGLDRQAEVLAKTAECMLKAAAKIDQSALRAVIDARRRKEGE YQGGLVDIVGTGGDSHNTFNISTTASIIASSLLLIAKHGNRASTSKSGSADLLNCMQP QPPRIDRVRPDTIAELYSNTNYGFLFAPVFHPGMRYVAPIRKELPWRTIFNLVGPLAN PTDLRSPADLRDNADPLLEARMIGVARKDIGPVFAHALQLVGARKALVVCGDEELDEI SCAGDTLCWRIRPADDGTTVTEHFLLHPRDFGLPTHPLSDVSPGKEPHENAAILRRIL DGQMPEDDPILHFVLMNAAALFVVSGVCEADTSDLGHGDDGVVIKERGPGGGRWKEGV RRARWAIKSGEAARQWAKFVQVTNGFSE MYCTH_2298482 MPPRLRCAVPRGLGPAVNPSRATSRSAVLLLPPTPTAAPATRQY ATAAAAKVSSFRLPADYVPPTKPPTARPSDTRKSQLLRTYTSLLRSTPLILIFQHNNL TAVEWAAVRRELRIALGNVSAGGAEADAIASRAQLQVIRTRIFDVALKIVEFHDPSKV APTTVSALTGEKVQVVYNHDLSKAAFQAVKAATQGDKPLPEDSIYAQLSPLLAGPLAI FTLPAVSPAHLAAALSVLCPSPPAFPPPSKKKFPGYYDATAQSGLQKLMLIGGRIEDK VFDLEGVKWVGGIEGGLDGLRAQLVHMLQSAGLGLTSTLEGAGKSLWLTMESRRSVLE EQQKGGKADEKGESS MYCTH_2132573 MDFAPYQSSPPEHTRSPTHSATASPRTSLENNSNRRGAYSPATG HRYQHRQSQFTPPPLQHPQPQRAWSSEGVGRYQSPLSAAPPAAGGPVGNGGWIGSGSG SGGGGGGGGGGGGGMGDYFSALGAREGMVSEFDTSLGLRLDYEACLAYLALPPLGGIL LLILERKSDYVRFHAWQSSLLFTALFVVHLLFSWSTFLSWVLFLGDLVLIAWLVLNAY RDADTLDRYEVPIFGRIASNILDDE MYCTH_2298487 MSTLEELDDLDRRERDDKDKKVKNDDNKGNQNGGDGDAEMKDAE PEEDDVLDPEILSLSTEDIKTRRRLLENDARIMRSEYQRLSHEKATMAEKIKENMEKI ANNRQLPYLVGNVVELLDLDPTAESSEEGANIDLDATRVGKSAVIKTSTRQTIFLPLI GLVDPNKLKPGDLIGVNKDSYLVLDTLPAEYDSRVKAMEVDEKPTEKYTDVGGLTKQI DELLEAIVWPMKEADRFKKIGIKAPKGALMYGPPGTGKTLLARACAAQTDATFLKLAG PQLVQMFIGDGAKLVRDCFALAKEKAPAIIFIDELDAVGTKRFDSEKSGDREVQRTML ELLNQLDGFASDDRIKVIAATNRIDVLDPALLRSGRLDRKIEFPLPNEEARAQILKIH SRKMKVDENVNWDELARSTDEFGGAMLKAVCVEAGMIALRLGKNKIGHEHYVDAIAEV QAKKKDTVNFYA MYCTH_2298488 MDAYYGYGEASQPAPPAECYRPSKPEDWEPYRDIIAHLYNTLNM KLKDVMSEMQLTYNFKATEKQYKTQLKRWNLDTKYIKASEYMFMVKTIRERRAENPPK ETRFVLRGRVVDPKDIARFEKRAQKRGVLEEGGSIECDGKPQGTPRRLTGDLLSN MYCTH_2298494 MRIAKTLLSLALVANAAVASSWFSNAAYNKWHETELERWLSDHD VPYPTPADRKELEKLVQKNWDEKVVEPYRSWDIQKLTGYLKQKGIEAEESTEDTRESL LARVKGAWYESEEKAQNAWSNTKDWMLDTWTDSQLKAFCDHHGIPVPQPRKRDTLLQK IRENYDAIAQKAGDAAAYPGNWLYETWSESDLKEWLDTHGFPAPQPTTVCPSEPGRWM IDGLTHTHQRDKLIASVRRNSRLAYLRMQEQTESSKKSAQDAYSALTDKLIDSWGESQ LKEFCDKNGISVPQGTKLNQLRALVRKHRAEILGDTVSGTAASAFGAATSNVGSAASK ATDAAYQAAQDAFDKAIEAWSDSRLKGYLDARGVPVPQGSKTNELRALVRKHAHKAAT GWTAWTWDDLSLENLRSYLASSGNAAAKKVAEKTGATRDELVEAAQAAYASASSAGGS SYASATSYLSKATDTAKANVFDTWSESELKAYLDSYGVPVPQGSTLNELRALARRQHT YFKYGTTTPTDTLLAKVRENVIGGWDWVANQLGIGSDAARKKAEELTEQAKAKGEKVH KEL MYCTH_2298497 MARQIPTWAGRHPNAQDPFSALISPASNRLPLTPWSLDPMQSTA NPPSADNLHPDGSSFDSSTFLLSQTLPPSSLGHQEDRTLSTSLDGPSTWSAAQLGPAG GSASTGRDLASPDIKSAFDNATFYHPMNRRSPNHPRTQDEIHALLLRGFSPNYRGDPE LARNQSATIPPEANCSLFLVGLAPDLTTHELLSGIRNMGRVYATHINPPDPERGHTHS AAKLIFFERAGAGKSSLAPVRHLPPSAHHAREKLTAALIRTERFFSRYSPTGYATPRN PHLRARVTWNRVRSAEADVNGSRSRVLLVSGPPSIVNRDFLFSYLGTKMVYQVDDVLY HGQNEDGSRVLLEIRFGSFRCQAEAARMALMREFRDAGVICDYGKPSWALCVYDSTAG LSLWIS MYCTH_2107761 MPDADDNRNYHHKPRRSQRPRIHFLLRWHLVHSETQPLTDWTTV EPAIDDNLKASASETSFHLSVVAEHQAENEPKHWCLFSHIPSEMGTGPGQLWQVTGDA ELMHFEHATGVDKLSSPDFAWHQVLNNDLSAAQLARFDAIAREEKPPSAPNRAAVELR KLVELIR MYCTH_2298499 MSSTPSTYCPERAAPEPAQDKDEPATLPSPSSENSEVSHAAVAT QENNENLTSGLPFSKARCFGLVATLTGASFLNSMSGQAVVIILPTIGRELGIPESRLQ WVLSAYSLAFGCFLLFWGRVADIYGKRKIFVAGSAWLAATTLVNPFLPNEIAFDLFRG LQGLGAAASVPTAIGILGTTFPPSKAKNYAFSCYAAGAPLGAVLGNILGGIIAEYVSW KWVFVAIALAAFAITAAGVFVIPPSERAGSDGGAEKPSVDWLGAVLITVGLLALLLAL TEGNVVGWRTPWISVVIVVSVMLVALFAFWQHHLEKTGKRAPILKVSSFRNPQFSAAM GTMALFFSSFNGFLVYATYFYQDYQGLSPLNTTLRFLPTGISGSITAAVVSQLLARVP TYLLLAFGNASVSLSALLFAVPIPPETTYWAWSFPAMMISVFGADTTWPCLVLFTSHS LPPQDQALGGALVNAMGQVGRAIGLAVATAIQTAVMSTERGVSVEEAGSVQVGDRASL LGLRAAEWWNFGLGISALAVVLVAFRGTGIVGKAGVAKPSDSEGPELPAEGRKQEQAA AS MYCTH_2298502 MRYYFLQLAAAAAFAVNSAAGHYIFQQFATGGTKYPPWKYIRRN TNPDWLQNGPVTDLSSTDLRCNVGGQVSNGTETITLDAGDEFSFILDTPVYHAGPTSL YMSKAPGAVADYDGGGAWFKIYDWGPSGTSWTLSGTYTQRIPKCIPDGEYLLRIQQIG LHNPGAAPQFYISCAQVKVVDGGSTNPTPTAQIPGAFHSNDPGLTVNIYNDPLTNYVV PGPRVFSC MYCTH_97859 MLFGRATALFLVSSLLALPCLSLPTNEQRQAGSQHLGARATYSV VPIDGGSGPGGSGESGGSGGSGSGLGPGHGGSGRDPVTVTVIKTLPQETSFRTVYVTP HPTTERVTETVVVTKTIRVVDIGPAPTSLTTTASNTSTPSTSGIDTTSSIPPIASPSP TTSVSRPSSASAKAPLQTGSTSTRVSASIITSTYDNGRWHTSHPFGNGTVWHQVSRDR RWLRQFV MYCTH_2298505 MERRRGCKGSEINMIRDAYARIHRRDLVADIKSETSGWFEAGLV SLARGPLLSDVYLLREALSGIGTKEKALNDVLLGRSNADINAIKSEYHRVFHRRLEDD VRSDLSMKTERHFMIVLQAQRAEDSAPVVKADIDRDINDLYNATEGKIGTDEIKVCSI LSTRNDNQLRAIAYEYQQKFARNLEDVIRKEFSGHMEDALLFQLRNAIDKYMHHATLL EDAMAGAGTKDYLLISRVIRYHWDRNHMANVRGAYERRYRRSLASRIKGETSGDYERL MLACIGERV MYCTH_2298511 MDGAAQGETREQQEAAPAAAPPPPSPTREPRERDPDSPGTVASD AAREPAALPKAATSAASPLASAAAVDDGATPQARPADPATITDTAPASPSLGLRTGGE APSDEQPSAGQSMPPSSNPPAASPSQAGQGQNQAANREALRWTDPITITADGPGTAQS SQRAKSPQRPLEHAQQQPLGPPLPPPPQLQQQQHHLQQPQHLPVPAPPPPAPSFQQEP GSGLQQQSRPRSRSPPQLLPRAQPHQYPQHDARLHSHASPAPAPSQSQSHIQQSAPPY PQAQAHAQMQIAPQHQQSHHQQLQEQQHHHPPHHHQPPLLPPPSSAPVTPSTPSSQQR SHSLHEVNRAIISKPVIMDPPTARKQAQPQYHAGAMGFPSPTRDYATVNPKFLDDFTR IKFAIQQSVPEAVRRVVRDHWEKCLLGSEFHQAFILNASIHHATPSITQRAVRDFGGK MVAESKHEIIGHFTTEALDEVADLIISKASDSFLDKCLAKRLLTIEAKPLINALAAAE RLGYEPGDIVEDEKLERAMPQEAYPGATAAANGHLAGPAQAHPLYPQPGQAQLQCTKC FRTFVHTSAFDYHTRHDVCSQIPPTTNGFEHSCPHCGQGFTNIVELQGHLHHQVCGNP EQPQPARRGRKPKSSLVFQASPPPVTTPSGARPAPANGTPRTLQQSTPTHFSLARPAA STPGSAGSGIAADPYAHLSERQIRLMNEELKAAEEKYAPRFAEANLIADENLRRLRIE GLRNSFGTKQSMIRKKFGVRLRERRTKAEILAERERLGLKKAEKEKERELARAAASGA QQPHTSSPSPNADSASRPAGSSGWTAANTPRANAAWDEHDAKRRRTDDGGGYQSPYKS LADETPTRKTQSGSLAAGGSSSAPASAQNSTALAASQPTRVYEQSGARVEIHEPSKTD KPTNGPSAAGQAPESTTPNGSDRAASNGRDAGSITTRAPSSENQPVVIDDVSSSDDDD DEDIPSTLPTHVRKSLASKSTTSPRRQTP MYCTH_2115844 MWDRFKIVPQNAGRQIDHPLDDDRIRVGLGIGYGGQNAPRGYSY SIKGGPAPPRPPREFFESQYETVPVVKEPPRCNPNRITARAADFRPTSSVYSQDSLPY VASYAVNYTATVATKYNEDDGPEYHHTAGIPPSTGAPINDRSGWSYAPEPRQAPQSPP RNRGTASIPAMRRERRRQSDAATRDSRANQPGAAPQQTRNPPAREAPRWDPLTGEQAN SARGRPAQVKPAEYAQGPGIPPQAWTEPRKSPTAAPPSFTDRVKRIAKKAAAAREGST DPAAGAFTSSRPGWRGASGRIAIVDPVYDNPNVPPLQIPEKSSKRNVAVATGPKSNLT PEGPVRRDQTPPVSPPASETPTRSAAREAIRRILPSAHSPAATQTQQHQTGQGYPSPP LPENSARDGTPAVAAARELVCDGHYGAPAHPNPPLQSPESSNQFRRKPPPPVQTNPQH QHQVSVSSVYSQQTNAPHPSPLPLPDNPQPAENAEEPYVPPPSRFSITTYATSNTGTT RDDADEHPNEDQPPVPSLPADHQQQHADGGRPSFDHNSPATSPPQPIPRSHPSASAEQ QTPASTSTAAAAAATSATVAPNPAVGRALAQDRPSSRASDINKTLPPAPPEQSADQAQ DRVGLLNAQLRSLANRRININRSIEQMTQLMPTDKLMNSAEVVRKREIEKQKVEALRQ ELADVQREEHELGLKLHRAYKRLDREAEWEPTTLWVRRVTG MYCTH_2054232 LFLKYHYFVKVYINDIIIFSKIEEEYLKYLYTIYEILNKAYIYI SVAKSFIGYLAVRLLRYIVNGKGITKTDDRIATFKKLKFLDTLDNLEHYLRIAG MYCTH_2298514 MMADDGRPSLDSLVRWHLRTSRRSRHAAAGPAAPPDLSLGLAEG LYMGESAKHQQAALALARKRRRPNQFLVLIYNLLLADIHQAASFLLNAVWLGRGGIHV RTATCWAQAFLIQVGDLASSFFITAIAVHTYLAVVWNYTPPQPAVYGIVVFLWVFNYL LIIIGIAVTKNGKEEGGLFVRATAWCWINIRYEMLRLLLHYLWIFIALAITGILYTLI FLSLNNKRRRAQRSDKLHSAARAGKGPGPTESRTNIHNSDDITATTAAEQILPGVDPG AFGGGGGGGGSEHGREEVADGVGRGGSSGGGGEGGAGHPKVFLLYPLIYIVCTAPLAL GRIASMAGVDVPPAYFYTAGALITSNGWLDVLLWGVTRHRLLFGADVDAEDSGLDTFT FMRTPHGRRWGNMVWVEGGGGHRRPAGGAGGGARHGEAEGEAGKLGGLVKRRMGWRPL FGFGGGGGIGGVGGGSHGGNNGCGGGAGQGGEGRRRGAGHDGAELAGREDGLAIQMDM VTTVVVEQAEGKLWERWEPGRSTRHIPSASAGSNNRAYEDLELDDTLLKNLE MYCTH_2298517 MAVGLIKKSVSTSEPVAFACRGTVDTNRFDDLVLALKEALGPSS GLTSDDVDVGHLTRLMREYDSDPREWSKFAMGDESRGYTRNLVDEGNGKSNLLVLVWT PGKGSPIHDHGNAHCLMKILRGNLTETRYEFPKGDEEKPMRVISERVHKENEVAYMAD ELGVHRVTNQGSDFAVSLHLYTPPNVARGGCHIFDPETGKKSHIKNCGYYSTYGKKS MYCTH_2298519 MVAVQVTGPTKIGAGSAVAPDDLVCVRTPSERLSRESSSDTASL QPSSSSTHPHLFSIPSQ MYCTH_2298521 MYIVFATLFALTAASLVKSYAPYAAGSGISEIKCIIAGFVMKGF LGFWTLVIKSLALPLAIGSGLSVGKEGPSVHYAVCTGNVISRLFAKYRRNASKTREVL SACAAAGVAVAFGSPIGGVLFSLEEMSNYFPLKTMWRSYFCALVATAVLAAMNPFRTG QLVMFQVKYDRSWHFFEVLFYIIIGVFGGLYGAFVIKWNLRVQAFRKKYLANYAVLEA TLLAVATAIVCYPNAFLRMEMTESMKVLFRECEGAQDYHGLCDPERRLGNVVSLILAT IIRVFFVIISYGCKVPAGIFVPSMAIGASFGRTVGIIVQALHEASPKSVFFSSCEPDV PCITPGTYAFLGAAAALSGIMHITVSVVVIMFELTGALTYILPTMIVVGVTKAVSELF GKGGIADRMIWFSGFPYLDNKEEHNFGVPVSQAMISDVVSIPSTGMTLKAVERLLTRD NYQGFPIIQDETSKILLGYIGRTELRYAVDRAKRERNLSPLAKCTFAVPSHSSTTTTT TTTNPVITPVTPTGPQQPASPLDYATSASTTLDFTPYVDTTPLTAHPRLPLETVMELF RKIGPRVILIEHRGRLAGLITVKDCLKYQFKAEAAEEQQHHHHHHQQQQHSRDGDSAG PASPRMRRRRRAEDELPQEERVWELIKAAAGWVSDAVGRVSGGRVRLRDSWEGERDDD AASQGARSNNGFGREQGQRQGQRQGQGQGQGQGQRQGAILDGTEEVMGDDEDGGLELE NRR MYCTH_2298524 MPYNTTAIPPRKEVTGQTQLPLTRVKKIIAVDPDITVCSNNAAF VITLATEMFVQYLTGEAQNMAKLERKPRRNIQYKDMVAHKDNLEFLEDVIPKTASYKE VKSKAAAARARINGETPAATASAEADRPTDGLPNGKRSKSIINGSAPSAATNGASRGR VQSSDAADPSDQLETEMRQAQQHHDGDVDMTG MYCTH_2298528 MRLTADLINNSLSYLNPLKERELDLRAFGSRRNTGHRIPAIENL GVAGPHDAIDLTDNDIQVLGNFPLSPRLRTLLLARNRITTIQPTLPNAIPSLRNLVLT SNNLNELADLDVLGKFPRLTHLVLMDNPVTKKEHYRYWVLWRCPTVRFLDYQKVKDAE RNKAKELFGTAEAPTELASQIMGIKTKTFDVGATNGPAPGQGSKLSRLKLTDKERKKL QEMIKKADSLEEIIRLEKALNEGRLPPGVMVEDSMEE MYCTH_37094 MGQGFSLATPRVGGPGIDVPELADLVYERSVGTGGFMKGVRARH HDGVVMAKVLIKPYSMSLDKYKQAIIRERRLLSDVPNALPYQRAIETETNGYLVRQFL YSSLYDRLSTRPFLEDIEKKWLAFQLLCALRDCHNKGVYHGDIKTENILVTSWNWLYL SDFSSSFKRVMLPEDNPGDFSYFFDTSGRRTCYLAPERFLPPGEEADPDAKVTWAMDV FSAGCVIAELFLETPIFTLSQLYSYRKGEYDPAITHLSKIPDKDLREMITQMIQLDPQ KRYSAEQYLDFWKGKVFPEYFYSFLHQYMELITDISSAQYAGGGTARSASEADQRIER VWLDFDKIAYFLGYQNGESRVEEQQLSPRLGLGNFPNDLVVVSAVRAITQLLDLVKVI TPVNSQIFLDYIMPRMEPLLLLDSQRVPSPIVRATYASCLGKLAIAADRFLAMAAALR ADGSAALADPEVEPGIEAEAGFAGLFDDARRELVEVFEVHTKTLIEDSDPFVRRAFLT SVPDLCIFFGALQANDIILTHLNTYLNDRDWMLKCAFFDTIVGISTFLGSNNLEKFML PLMVQAITDPEEHVVQGALHALAELANIGLLTRHTYLELIGIVGRFTMHPNIWIRESA AEFISSGKRFLSPAFFRVQVMPLLTPYLKSHRLPDFSELDLLEALQKPLSRSVFDQAL LWASKTDRGDFWKPFRKLRDAVSKPMSARADSNPQALAKATRNEEDEQWLNKLRNLGL APEDEPKLLALREFIWRLSQIKARNSANQDAVNVTALNSIISLRSLGIGPQTVIFDES TPVQQVVTPEQDDNAPRTIRDALLDASRSIDDPIGKKRRAALNNHRSRLGTRDDLSPV STDSRRLLEDESTISSAIGRGEGSRATPRRTSLTHGKAATLSPVDDDSSINEAPYAAR RSLRQQSSAINLMNRKDSGKSGPETGTTEANAFGEVEGPFSQATVRKASPRDAESALI YANTRPRANHTYTGNDPNILKMLDEMYVDRYPHDIYDYGPLVTPIARQKSNKSTGSPQ TIEDAWRPSGKLVATFSEHVGAINRIVVSPDHQFFLTGGDDGCVKVWDTARLERNITH RSRLTHKHAAGARVLALCFIENTHTFVSCASDGSVHVVKVDTILSGINFRYPRLRLLR EYQLVEGEHAVWCEHFKRDGNSVLILATNKSIIRGIDLRTMTLLYKLENPVHHGTPTC FCVDRRRNWLLLGTSHGVLDLWDLRFKMRLKAWGVPGKGSIYRLSVHPTKGRGKWVCV AGGTGQGEVTVWDLERTLCREIYRVGGNKEGPKGYEAWEVDEDWPEGMLGRFATDLEP VAVGNTDRGVRAMAVGTGAVDEEKELRHAFIITAGADKKLRFWDLSRIENSVVFSGLR PDEGRPTFTASHFTPSMTLNTERWPRPVGGAPASGSAANGGTGRSSGGGARRHRAPRS TVMSLDQQQLLRSHLDAVVDVAVLESPYMMTVSADRSGVVFVFQ MYCTH_2298531 MASCLSLQGSKACSAFQESSVSIKDTHLVGLFPFIQFASSVETF DALLMSYVQTEYVREKYSGLLGCEGIDYTNTSDIYARYTTTVICNALVQNSIEACDLS PEESRPVCADTCAQFAQAEAYLLSDSSICPKPGSNAQSQIRADFTNCALPDSSLSSRD CIPGYANEPDNCGYGNSTIGLCSYCAKGGINSTDTCCYGADAETRCAGVVLPTITPIT LPTVTATVKPDPDQDSGGGGLSGRQTAGIVVGSVLGAALIAALVFGILVCRRRRSGSQ KGSIFNQPSPARKGPTTSQMGQSSTSNGFDVLPGGRVARMSALEGQSHETPSRHTARD MHGSVPGTAGGYRGSRRRGDDRSSSDGFGSSVASERGVAGVLRPPPAMLRRNGSLSSG SVLAGEDPQSPSSGGISSPQGVASQQSEQLPFFKDYYSQDDIHPGDRVAVLWAYQPRA SDEFQLERGDMLKVVGIWDDGWATGVMVDERAEQWEARRQAQRDSGVSNTSARVRDDS PPVRGEIKAFPLVCVCLPEHWRKTLEGDGSTDTSSANPYTTAA MYCTH_2298535 MTIFRRNRRQSYKFLFSALLMVFCAARVVALALRIAWAAAESAA ASRPADATSVVRLAIAAQIFAAAGVLLLFVTNLVFAQRVVRAYHPLFGWSKSVTALFG VLFASAAVVLVLVVTFTVMGFFIAPPPPSGGAAAAAGGSGGGGSSDDSDDDGKRELVR KVQLVCATYLAVYAFLPVPLVTLAVVVPRKTRIDKFGEGHFRTKFTLLTFTATLLAVG ATFRAAVAFSTRPADQPAWFHSRTCYYCFDYVVELVVVFTYALSRFDRRFHIPDGSSA PGHYSRAEMAMADNAVVLRADFERNRRGRAKKGRRRKRGGTWWTKGKGRADLPEQSCA MEGPPNELWPAATRQSNRSLPSIIGPASSLYDDDDGGSQCSHVRKANMEWMDKALVSL SPPCPVSNCVGIEQKIRREKEKMRKETEG MYCTH_2073591 MDTNMEDVGRVPADLSPANLEPASIPTLDGWIESLMQCKQLAES DVQRLCEKAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFKIGGPNPDTNYLFMG DYVDRGYYSVETVTLLVALKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANV WKYFTDLFDYLPLTALIDNQIFCLHGGLSPSIDTLDNIRALDRIQEVPHEGPMCDLLW SDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLIARAHQLVMEGYNWSQDRNVVT IFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL MYCTH_2298542 MAEERSKILSLRSGGKRKARPAIKISAPILQEGSGLQAPSERPS AEDAPQPRPRPPPQSSAKTSDLVKRRYSTRFNNIPPDFDPTKDPVPAVPSLDRYVQSQ AQDRRPPPSREGRSEGGSGGAPIPVDVRALRDPNLVPEQYVTEILSEATEDEIREYES ALRKLKRRVSTDLQQNVYQNRTQFIKISKEAEKLKSEMRTLRNLMAELKANTTALRSS SNSVEDAGFGGQIGAGLSRRDKRSSVADRTALWSAQMQALYKNVEGSQKFLPNVPGRH VVQNAGPWVELDNATYRSRRAMQIFLLNDHLLIASRKKRKADAPVAPDSRAPMTKLVA DRCWHLLDVEVVDMAGPSDSSSSGRNKLADAIMVRGGGQNESFIYRTEKAEDPEKAAL ILNIRKRVEELRRNLQSEREATNKAKETINYFASRDPGLLQKTELLETLSDIKDMLIE VDGKQQNLRWVESQMDELDIHIALQQIEPAVTLVEKMKGLAQGLKNNIIAQDFISFKV DERRAKLAALVVRELVSSHNHQTKTKQNVSWLTRLGLEDRAREAYLEARSETIHKRSR QCIFQGNLDQYIWEISFVYFAIIRNTVSCFQACFPPPMMSACVKWAKQEVDAFNAILA RQLSGEKEGSEMWTRCMETAKSHAGMLSEVGLDFRNLVGQNMAAPTDVSSSSAPAGLG LVMNVQAA MYCTH_2298544 MRANLDRRAVVEEGEEGGGEAPDRQRDGGASSDAEDDDDDDDDE QVGYTPLVEPTTTREGLLRPQDPDRTSTGYVDGLDEVDERGDDDDVEKKRSDDYDVGN HAEFEDDDDDTENLDPGERLV MYCTH_2055951 MYSSLGSAVLAALCLLPSTSAALKTVPNGPNKLDECPACPRMYT ALVQCQKIEQPDGSWAKVNDCICVPGYDGWYPYLDACRECLATGTDDFFGNMGRLMTQ LYGACRDGPSGNITSNGLSLCVGSSDYESCISLKDASHGDSWASFRGLTEKYQVLPSS NRTQTLNLAALEEEEDPSTASASTTKPPASSRTSETASATGTSSEAPSNTVASQTSTT AAATAAATESPSSAARMSYESRAGCIVGISITAGIVGLLA MYCTH_2298547 MASTLADTLEALAERLSKTAQDLRSGSLSLESDMMQRVSLLKAG ADLQEAVSLPRDRALMWLPQLAHVTAIRLFIKWKAFEKIPVDDGATISYDDLAAELNA DVSLITRFSRALVANGTLKLVGTDRVAHTDFSRILTTPNPIWAMIQEGFDSQLKAWAA MPEYFDRFGLGTEPEDRLQTVRAFAEDRLGSTVWEILHSSEERLRVFMLAMGVIEEQM PPLPAYNLGWAAEAAGRDADRPLLVDVGGGRGHALLGILKLTPGLPAHRCVLEDLPEV VEAASREVPELAEVQMVAMDFHKEQPVRGALVYYMRRCLHDYSDEECVNMLQHISGAM AADSRLLIVETLLSDLPSPFQVALDLSMMTISGKERTLDNFRDITGKAALKITKVSQI PGGSAVIECALA MYCTH_2298552 MSAATTSRTAVVATATVAALATGLLAYAAYFDYRRRHSAEFRRQ LRRSQRQQLRAEKSKAEADANAQKQAIRDAVDEAKEEGFPASAEEKEAYFLEQVQAGE MLAANPSKALESALAFYKALKVYPTPGDLINIYDKTVSKPILDILAEMIAYDGSLRIG TSYTGPAGVDVAELMREMGADMGAPGVGLD MYCTH_2298553 MEKPEVVVTKDEGSEGSESTETGNNRRAAKHGPRRIRGDINKKK RESAEAGSEGRRIQVLVFYSSLTASTEKIARTFSQELAASLGCSTEKEAESRFLAPRV LDLAEIDFDEYFITPPKSESEPADCFYLFIIPSYNIDSINDTFLEHLRETHHDFRIDT SPLATILGYSVFGLGDREGWPTEEEGFCFQAKDVDKWMAKLSGRKRAYPLGMGDWKRD GKERMSEWTAGLVDVLRQLEQTGTLGEGVPGSGDPLESDDEGEAEDDGEVPDEEVSVS KAAKGRSSGSLEDVEDIGRIMEASQGGDSGKRSAAPLAIDFTTEGKPAAKKAASAAVK EMVPRSSPTYAALTKQGYSIVGSHSGVKICRWTKSALRGRGSCYKFSFYGINSHQCME TTPSLSCSNKCVFCWRHGTNPVGTTWRWVVDPPKLIFDGVKENHYKKIKMMRGVPGVR AERFAEAMRIRHCALSLVGEPIFYPHINEFLGLLHGERISSFLVCNAQHPDQLAALQH VTQLYVSIDASNRESLRRIDRPLHRDFWERFQRCLDILRERRFRQRTVFRLTLVKGFN IEDEAEGYADLVEKGLPCFVEVKGVTYCGTSTSSSAGLTMANVPFYQEVCDFVVALEK ALRKRGLQYGIAAEHAHSCCILLASERFRVDGKWHTLIDYDKFFQLLEEKGPDGDFGP EDYMGPPTPEWATWGNGGFDPRDQRVDKKGRPIEAA MYCTH_2298555 MNETALPDEETRLISDDASERGGAGLPPAVPTSRSWTQRNQWIV LALASGACAAFNGVFAKLTTTELTTKFSSGIARMLGLESVEGVIEIIVRGSFFGLNLA FNGVMWTLFTAALARGNSTTQVSIMNTSTNFVITAILGFVIFSEALPPLWWVGAALLV AGNVIIGRKDEGTPKAQEEEEGVGAAFLGPEVESQLDGGRRQGRGGKVSGEDGEEYKD GDVADLRI MYCTH_2086212 MASSSNSYYDLYRHGSLGVALTDALDELIGDERIDPQLAMKVLT QFDRVIAETLQEKVKARLTFKGSLDTYRFCDEVWTFLIRNVTFKLDNGSQTVQADKVK IVSCSSKKTEEK MYCTH_2298561 MGLTYGIGGIGSTLAVVGAYMLFTGSGESFNVGAFLESVSPYAW ADLGIALCIGLSVVGAAWGIFITGSSILGGGVRAPRIRTKNLISIIFCEVVAIYGVIM AIVFSAKVNPLEGEAAWSPEAYYTGYALFWAGITVGMCNLICGVSVGINGSGAALADA ADPSLFVKMLVIEIFSSVLGLFGLIIGLLVSNKATDFGSSS MYCTH_2298562 MSEPLKAAILVVSTTAAKDPSTDEADAALRQVFEQEGGGRWNVV DTRIVSDVATQIQRQITLWTDVAHPVNLVITTGGTGFAAADHTPEAVSVLLHKQAPGL VHAMLSSSLAVTQFAMMSRPVAGVRNQTVIITLPGSPKGARENLQAVIKTLPHACLQA AGADSRALHSGGVKRLEQEAGVSSGTHYHHGRLEHHHHHHHHHHHSHAPPTRHTNPAD DDQNQAPRSNDPALGPSRRHRSSLYPMVSVPEALSLIATHTPPPKTTTARVGPSLTGS VLARPVRATEPVPAFRASIVDGYAVVVPPGGDMRGVTYPVVAVSHAAAAATSDSGSDA GGQGPAPLKQGEVARITTGAPVPAGATAVIMVEDTRLISTRPANGCGKGGGGSSKEQQ EEEEAEIEILADGVKEGENIREIGSDIEQGVEILGKGEQISAVGGEIGLLASVGVREV EVYERPVVGVLSTGDEIVEHDREGALKLGEVRDTNRPGLIAAANDWGFRVIDLGIARD QPASLEEVLRDALRKVDLVITTGGVSMGELDLLKPTIERALGGTIHFGRVAMKPGKPT TFATVPVKDNSGERVTKVIFSLPGNPASALVTFHLFVLPSLRQMSGIDPPGLPRVPVV LSHDFSLDKSRPEYHRAIVSVGSDGVLSATSTGGQRSSRVGSLKGANALLCMPSGSEP LRKGAKVEALLIDSLRSDVS MYCTH_2115868 MVRLKDRYLLVNIIYTDVPAGQAKGPVPDLLLYNQPTSNELRPQ LLLKGIRNEVAALFGDCGSGAVERSLQVKYLSPATSTFILRVSRAHYRLVWAALAFMN RVPIRDGRPCVFRVVRVSGTIRKAEEEAVRRAKLLIQAAKEEMAGKSSSTGALGVLLR SDNNRARGAAALVARNVDSEPDAEGQEMSDDDD MYCTH_2298565 MGAIGRQCSVINLDPANDHTNYPCALDIRNLVTLEEIMSDDRLG PNGGILYALEELEHNIEWLEEGLKELGEDYILFDCPGQVELYTHHTSLRNIFYRLQKL GYRLVAVHLSDSFCLTQPSLYISNLLLALRAMLQLDLPHINVLTKIDKVASYDPLPFN LDFYTEVQDLSYLIPCLEAESPALRSEKFGRLNQAVADLVERFGLVSFEVLAVENKKS MMHLLRVIDRASGYVFGGAEGANDTIWQVAMRNESSLLDVQDIQDRWVDNKDFYDELE RKEEEEQEKLRQAQAEAQAELSSGVDALGSLSGMPVSNSGIRVTRKKK MYCTH_2298566 MAPATQFEVAQPPKDAISAISFAPGSSRRLLVSSWDRNAYLYEI STGGDEAKLLGSFEHRAPVLGGCFGADENEAFTAGMDHQVKRIDLSTGEQTVMSKHTA PVRCVVYSAEHSLLISASWDCTLNVHSTANSSQQPLTLPLPGKPHALAASPTKLVVAM TARLVHIYDLPALASALASSDPKPPQPWQQRESSLKFLTRAVACMPNDAGYSTSSIEG RVAVEWFEDSAESQARKYAFKCHRQAAPEEEGGGDVVYPVNALAFHPIHGTFASGGGD GTVALWDAEAKRRMRQYQRFPESVAALAFSADGRFLAIGVCPGFETGMEDYSGEGRTK LFIRELGETEAKGKGAK MYCTH_2029593 MESSQNQDMQQIFVSSTRNRPQRTYSHKGRSNRTFSLPSLKPVS NRSLPASTYAVLTRPLVTSPVSPPRHIRTTPSFSEQDESDPKDETEDDLVFLAQRPLN YNLKHDRRTGNGTRSLRRRPFLIFDRIEAKGYKQLEKIPQVGKADPSLRYQPGDGFSG REAKPNGSNLLARNGKMTFTSPHVGILDLTQSELPAAERKRAACRAHRDDNSFVPAPK KKVRRVLGPKDPNQQAKPTMGLADPAKKSASTLDEVASTKAEREIISERSGHVQASGH AIEHQHEALITEGNQ MYCTH_2298570 MDDSGHDNDFPDLSSFDDESSVISTRGLEAFGRKVTSTASHLIA TRNDPASNPTYKTAMTEVHRQLRKPGLQRSVFSMARTTPTDMVRSRLSTKEIQSRALA YVPDELLQNIPEGEQTYSLFQGFQASFPDFTEEGKKHRRRVSRGRRLLDEGPTTPDGS PETVQKLKKEKASMMHELEMLGIRKNMASSEIREIDAKIENLTGMRRILLERLANLEQ DEALLEHDIVDVEGRLEEALELAVEAESAALRTPTRSEDDAASEKGDAPGFMSQSVYE KLPSANSTPSKKKLRSIRRKSMPILHEHFQPGTMIRSLRAHHDSITALDFDAPFGLMV SAAMDDSVRVWDLNAGRCIGLLEGHTASVRTLQVEDNFLATGSMDATIRLWDLSKAHY DPQGSHFGKEEDDEDAIAFGNPDDQPVDPPAGSMADCPLFTLQAHLDEITALHFRDNV LVSGSADKTLRQWDLEKGRCVQTLDVMWAAAQASVLSASEGTWRQTTRAADMSADFVG ALQVFESALACGTADGMVRLWDLRSGQVSRSLVGHTGPVTCLQFDDVHLVTGSLDRSI RIWDLRTGSIYDAYAYDNPITSMMFDTRRIVCAAGEDVVKVYDKVEGRHWDCGAGIAE ADQGKTPAIVERVRVRDGYLVEGRRDGFVGVWTC MYCTH_74126 MGKKRSRETDGQGDVSMADPAVDKKDDDSSDDEEDMDIVNVDFE LFNYDPQIDFHGVKTLLRQLLDVDAQLFDLSSLSDLIIEQNTIGSTCKVDDKANDAYA FLTVLNLQVHRDKKPVAQLIEYLAERARSDESLAAVVPELLASDKHVGLVLAERLLNM PAEVIPPMWTCLIDEIEAAVEDKEPYEFTHYLVVSRAYREVESTLDQTERKPKKAREV AELQYFHPEDEEMRKHALASGTYEYVKEGEFVADSKRAFQEMGIKSCGLIMLIEASKF SGAVQAITEYVGTAT MYCTH_2124049 MDFSDTFRIVNLVVAVLMVLGGISQFFPIGFQSSIIGVYVIIFG LATGVLEFQIPPQISRYASFLFSFLGRGIFYIFVGCILLHDHVLRIIAGSIVGLIGVA YVALEFIPSIEPPANMREADAGWGAEQV MYCTH_2298578 MALPETSQAFALPSPAESAPAPRRTALPSRPMSSRESGDVPQAD QKQSGPGARPSPTMSHASAASSAPSSPTSRKAGGSSSQTKTTSAGNFGQSGQVCSNCG TTFTPLWRRSPQGEIICNACGLYLKTRNAPRPINLKRPPAVPGNGSRQSPVKLSPKAQ VPLLPNAPVAKYVAADPTPSGSCPGGGRCNGTGGAEGCSGCPAYNNRVSKSASLSVRK CQGASKSAAGNPDDANSIDIAALQLQNQNQNPTVVIACQNCGTTVTPLWRRDEAGHTI CNACGLYYKLHGVHRPVTMKKSIIKRRKRVIPATGGAQDPEGTPSERADSPPSESEKP KERGSINPDGSVNLGLRRRQEAPLTLVPETVLMQNRQTSPLPSTDLEQYHQSQHHQHH HLPESLTNENRLAPLTSIPMPLDRQSSLSPASFLSPSTKRSFSAAEMESHTQSEGDQP KRLSSIKSILNPTVTSPPPEDPSEKQHPLFVTQGSTAASTPSPGAYQSVHQTPAPQQL PSPQGGPVREGGRSKAEKRAMLEREREMMRELLAAKERELAELEE MYCTH_2298579 MMDTITALGDLEMSSPYSQATDVDMQDTNWTRKTGDDMTEDDMS CDSPCLPSIEADDDAALRGGVIAPAPNLAWAQKAALASHQKPQTGYAGPGADDGDSDE LSEDDTNSTSSDWDSYGTLPLTLDEYESVVDRIEGSEDWNEDQRKLHKLIYLRGLHPM MPSWWRLSFKMWGVTQPHLDDVFTPMHSKKRVAIHAYGNEVAAGKAMESLFYLSQVVT DYEEIGYQDKIAKAVVRCIRGYIKWAMRDAGIDMRKTLLNILVRAYPPDFRGGDEYDS EGSDFAPSPVSSNEEQEGDLDETGIGIDDVALEAAEAQRARRFTRAVSRDLESRLLSL GQHWRNLLRDQQGKGFIARPPTLYAFAIIQHIAMLASHDPSSPTNSVVVLEQIRLNDR GQWLWNALSIALPVNMARDALNRMWDTGVIVAEHKDSDPDPDL MYCTH_113138 MATDFSRLTVVELRQELKRRNLLQAGKKADLIDRLEAFENEQTA SEARGDNPVGNYNGANDLPQEQSAEEPSNGDHSNSAQPEITAEAAESLTAEEAPQAPI ASQDSDGAPEAANETPVPTRESVMTQGSSAEDGVDKPSNEAAPATDIIVDAVNRKRRS RSPPPETESSRKRARPSDSHTAEGDLPGDKNDLPPQDPEEVQPIPSPPPATGNHEESP ESSRYDREDQDAPTEDRNLRQDREVSPQANTTRAAADDPIPDDDRDVAPALHPATTAL YIKNFMRPLRESVLQDYLVELAALPGAALDPDCLVRFYLDQVRTHAFVRFTSVAAASR VRTALHGTVWPNERNRKELWVDFVPEDKVGEWIEREQSEGARSFSRWEVEYVPDDNGV ITVNLVNAEMDSRRNSARQPPNPPLPTGPPRNYPGVEGAPSGPRGRGTNHYRQAHMPP PALAGASARGRFEDYDRGRGYRTTRAYPPLEYRPVPEDVALRRLDNMNAHITRDRHRD LGRPDEINRYTFEDGDLFVDRGKEAFIGIRPPHRERERRRMGIGRGASSRGGPPPPPP PPPPPRRRTPSPRWGSRNDDSYYRGGRWDDYDRDNYRDRRDDRDRDYGRDRYQEDVPR SRLDGQPLPTYTGGGGRGGRGARWGGGGGGGGGRRDRY MYCTH_2314123 MFASLAARLPNALGHAAPRAHLRLAGQARLLSRLAVDGSKGRAM PMTNRRATAAASGVDATLTIRDGPVFHGTAYGANSNISGEAVFTTSLVGYPESMTDPS YRGQILVFTQPLIGNYGVPSNERDEYNLLKYFESPHIQCAGVVVADVAEKYSHWTAVE SLSEWCAREGVPVISGVDTRAIVTYLREQGSSLARISIGDEYDADEDEGFVDPGAINL VKRVSTKAPFVENILRSLVSRGVSVTVFPYNYPIHKVADNFDGVFISNGPGDPTHCQE TVYNLARLMETSSVPIMGICLGHQLLALAVGARTIKLKYGNRAHNIPALDLTTGQCHI TSQNHGYAVDASTLPSEFKEYFVNLNDGSNEGMMHKTRPIFSTQFHPEAKGGPMDSSY LFDKYLENVQMYKDNAKVYRDNRPSQLMIDILSKERVGVEPSPLVANAA MYCTH_2298586 MSALRILVPVKRVIDYAVKPRVNKTQTGVETAGVKHSMNPFDEL SVEESVRIREKKRAPGGVEDICVFNAGPPKAQDVLRTAMAMGADRAIHVEVKEGEDLE PLTVAKLLKAAAEQQKSNLVILGKQSIDDDANQTGQMLAGLLGWPQATQASKVEFGDG DTVSVTREVDGGVETVRAKLPMVITTDLRLNEPRYASLPNIMKAKKKPLEKKTLADFG ITAEKRLKVLKVTEPPARQGGGKVEDVDGLISKLKELGAV MYCTH_2314125 MASRAMWEVDPETRSKLVAIQAEADNNLCCDCNAPSPQWASPKF GIFICLSCAGVHRGLGVHISFVRSISMDAFKAAEIERMRLGGNANWKRFFEEHADTKM KGLTWDDATIAERYGGEVGEEWKERLSAKVEGREYVPGDKANKAAGGGGSVRASPAPS AGGSSTAPTRSGPGAGTPLSGSRSDSPAPGGKIKVDVDYFAKLGERNAQRPDDLPPSQ GGKYSGFGNSAPRPRRDEQALPGLEDLQKDPVAAITKGLGWFASTVTKTAKTVNEGFI QPTAKQISESEFAAQARNAAAQAARTAQAGARTAQEGFHRFVEGPSGSGYRPVNANSN NFDESRRAFWDDFAAAADQRKTSGSSIGTSAMGKGGRQGGAQAPAPSSKHDEWDDW MYCTH_2314126 MSTVVEKVADAATTAVNDVTNALANTSISGKAADDKSANNDAVL ASAAEGRRLYIGNLAYATTEGELKEFFKGYLVESVSIPKNPRTDRPVGYAFVDLSTPS EAERAIAELSGKEILERKVSVQLARKPESNEKAEGANAEGGAEGTRRRQSARGRGRAG RGRGGRARGGRASGDEKKEEGAAPAQNAGATAAAEPEALKDVTNEATGEKEGKPSKGQ ARPRERRERGPPADGIPSKTKVMVANLPYDLTEEKLKELFEAYQPLSAKIALRPIPRF MIKKLQARGEPRKGRGFGFVTLASEELQQKAVAEMNGKEIEGREIAVKVAIDSPDKTD DDVKAPQAEGTNGGAEQAEAAPAATAA MYCTH_2298590 MDGFGESERAVQPAVIEGRSMAVLYGSETGNAEDIAGELAKTAE RLHFQTTVDEMDGFKLADLLRTSLVVFVTSTTGQGDMPKNTLKFWKNLRREKLNNTNC LRSLRFTIFGLGDSSYQKFNWAARKLRARLLQLGATEFFRPGEGDERHDNGVDSIYLP WYEELKKTLLVDYPLPPSISPIPDDAILPPKYPIELLSTMDTGIAGATHDGTVSAPSL IDDERRFSATRTMSAARSHVDQPQSAAERTQEDWIRLQTTFPGDLARRDAAYERDDPR AFDLLDKNNQLKDHPEKYHFEKQSPQILDSPPQGLLPIPDTYRATLIRNDRVTPPDHW QDVRHLALDLELDERAYRRLAEFTGSLTLVIWPKNYPEDVNELIRLMGWESQADRLVR LFAVPRGVYIDDDRVTTLRFLLAHNLDITAVPKRSFIRELVHFTKDPRERERLLELVE PGNEQEYYDYTCRPRRTILELLRDFAGVRIPFSRVLDLFPVIRGREFSVCNGGGSLTE VNHKFHFKIEILVALVEYKTIIRKPRQGLCSRYLKHLALGTKLGVRLKPSSANLVPNL AAAKRPLIAIGTGTGVAPIRAVIQERGCFDGAGDTLLFFGCRNRDADYHFAREWSSYP NVKVYPAFSRDKIEPDPKTTATIMPSSKTDGTLLAAAVETLYPVQYDAHKNYVQHLIR KYAAEVGVLLRQQPIVMICGNAGRMPTSVRNALLDVLVLTKMCVDKEAAEKWLGNPTN VTLWQETW MYCTH_2298593 MRLPYLGAAVVHDVLLLLSVSHLVTAQTAAADSATSSDSPTPSP LWIQPSGDWYGIDGTWSNFAFYIGSPAQVVYLTVATALSEIWVISTGGCVPVQLCIDA RGGVFDLSQSETWKPLGSWQLGMNYTGMGGNGDYGLETFAFVNRVTRYTTAMDDVLVA AINDTDYYQGYIGVGVTQGRFGTNLTNPFISQLVETYGTIPSHGYGYTAGAYYRDDGT NGGTVASLTLGGYDTLRFKPHKTKFSLEPVTRLPFVRLRGITAEVPTLDDAPTGNWTS TTKQLVKMEDSIIAIIDSSTPYLWLPTEVCERFASALNLKWREDLGLYVFSDGAQYTH YQSDTSLTFTFSLSSYQNADNFGQPLNTPGVVNITLPSAAFAQLLRYPFKNVIQWGDS SVPYFPLKRSTKEVNNNQYIIGRTFLQEAYIITSYDRGTFSLHQALFPQNSTNNYTLE EITRPMDSPYPKYESDPPPSEGLSTGQTVGIVVGAFIIGSIAALVLWFCLSRKRKERR KEKQKEAPVQEEENNDAAHKEEEDVDEEEPQSPIKRMLTKIIRKKRSRRPVSQQTNET NAGPAEVGADAQHQVFEMPVPPEPVELDSHDIGDDDSDFGGDSTPGMSQYEITRRKLD RQLQGPVPTYTPTVTIPPAPAHGKSMHDPSPVAHYRPPEDPSPTSTPTYANGGSLPGT LPSPLTPHGDWANRGFDLPSPMTIAPQTNLLHAPSNVSDHNYSPVSPHSPHSLHSLHS LHSPHTYAPSSITRSGSDVSPTTPTGSVQLPTPAIQRTPIDSSRVVCLGPLPENVPLP RPQRSIPRIVTPSHPSAMPRENTEAGPAYMQTQDLPLPQTPGHHRSLTQGSTDTLGSD YTVEEETRLRAENSTARPQTIGQEHQHESHDHDIPRSPCSMERIEAGSELVHVPQVAE KRYSWEDDQGESLS MYCTH_2298597 MVGGGRVLLSLAVLSGLGFENALGGQHPPPPDIKEAVVSQREGH HRRQDEISDTLRGATGATSSSTSSQIEVLSQTQPSSEVAIAATPSEQPGDAAEGVSGA LPESSPQTTQGGGLGAEGSLTTDTQDTPTSSTSVVQPTGVQGGDSSAENPGPGYTYAP AQSAPATATAQEGAEPTPPRGGPPRGGPPGSAPKGSTFSPTYATTETIDLGYGTVLPG DTTFTTSTRPQNQTFTVEPSGSIHYCKPSDLDGSPTSWSVVHTTTITWYGDPEDYTPP YPPISIPGPTSSCVVPVTPPKLTISVCASTGAGTKYRTCEVTTTTQGYGFGLQTSIIP SVVFLTTDKNPAVIFTTISRPDYGVSQDAQTRDDHASPTTQAPHSSPDYNSPGGPQGG GSKSAERPTPTPISVAVQPTAVVINGHTIRDNPAQPTHVVIVAGQTFTIDPTRVVGGG ATIDRPSATGGIYLPEPTSTKLNGVPVVVSSSVAVIGRSTFTLGPTTTTAVVSGRTFT VGPTTIAGGSHTLPLPAFPSPTEVVVAGGDLITAIGSSVLVVHGTTLTYELTAPESTV ITVDDDVVTLGPGGITAHDGDVTLGGTHAAGPQDTQYALVGGATITKIGASVVVIRNT TYTVGPNASAAGAPGHAGTGTTTTVVGGETVTIGPDGVQVGSLTLRYPFGPTTVITPG VGVGPATATATATGAGGGGDGGDEDGVCALRPRMAAVVWAVGLAVGIGLMI MYCTH_2298599 MQPGLIDIPTHDVGRTSTQNLPPTTTQHGSGCFRSDSPDARSPP HSRGPPSCVQGSGREAASGGGHDVGHPDQHSDRLNVGAVKPAAAARATVAGQRVSDYE NAAVSSSPRNGARPPPGFKVAHSSQSAGVQLTDFPNELLTQILSHLHPDSHAAVALVS KRFYALVTTPYAWRIAFLRYFAGHDSVAGRDKAGQQGKEVESSAAVRSEVRYFTRLTA LASWRSEYLLRTRLLRGVVRGKPGSIGSSVRATQSGKKAAAVLTYNSKLPWMISHIHA DFTGGKKGPRVIHGTRDLGVATVSDPTTGRIEKWGLDDPFTFQQLDEVFPNLEFYGVG EGPAAVPNVLDVSQPYGLAAGEGFPGGRVYYKAAGQLRGRYLGQNRGVDETAPEIPKI PELTDAISCIWIAKSPSVTSTTQSMIGILAGSTLGVVTSYALGRESAGPRFGDGDMTA RWVLSPGVPIIDIKVDDQYSLRRKALGRVWAVALNALGEVYYLTQAPTPPLHKGKAED AVKLAWQAGRTACWELVEPTRRTSRPDEFDKNAVTGAYSPRTSADSMNLSREQLIAEA REIESYFRHTPAHFRKVCQGWDMLRKLEVDFAAGGEDGGGETIFVITPGSEETEPASV RRYVRRGTRATPSPSGALTPVAPLGAAPRCSIFGGEAAAKIAQEAVPRSDSDNKNGVM SPASGSSTPRPGTVPEKAEAEEWQVSDFVFRRDAATEITASAVDMSHFALMAAFEDPL LSGSSGANSPGTPTAKQAAGEIPGRRARLLAVGTNTGSVVVWNMRDTASPTVTPVRVI RTGSPEITALAVSALYLVHGGSDGSVQAWDPLASSLEPIRTLNAKSSGRIPRHILQAN PALQHANYFAVRAIALDPDATVLRGVLAFGTFIRFWTYSSTSQAGPGRKRRPRHSDVH GRPAGRRNNDSVASYIAAEEEELRHELEHRSREAERLRKRFGVGLAELTEEEALQYAR MISEESFALDEMRRSSASASPSASASATSGSDATAGGETASSAGSSSGTGVAATAETS FFDTDGYYGGGAAGPSRTAELPVPREGGIGIGIGGGGDGGVGNGGDDDGFEAQLQRAI RLSLLESGGDDASPSSSHRPPPPPPPSQAPSRGGDLSNNSMENLHEYGVNVQVKTAKG KGKGKGKGRSDDASPKGGGVGTATFDAAGYGPSPDIGIGADDDLALALRLSLEEEEAR QRRAREQKAAMGLNGDGDDEYPPLEVKGKGKGKWV MYCTH_2086248 MSSPAQGGTSVPQEREKEKEKEKKGLGKVLLRVKTVLKKATDSS RRTSAAAAKAATTSAAPAATTSQPAPAPAAAVPAAVEATKVPRSQIFAERAKKLGELY GLELSLNEWHKTEGDALRVEKPIRMRVHRKCHLCGTSFGVHKECPQCKHLRCKQCPRV PPKRTEAEREESRKKRAAIIKERAEKAPIIPDWDPTPKKIVIKRPAKSGGQDLYYRKP RQRIRRTCCECERLFAGTKICEGCQHVRCTDCPRDPPKKDKYPYGYPGDAPSKRIAHY QCDDCKHIFSSPPTDEPACAKCSHTKCHRLAPRKVEPEPDPEVLKSIEAKIEALKLK MYCTH_2298606 MANAGAQNDAGTDYWPIHITRSDGQGYPNLDHSALSPNEDQDVT QQERWEVIVAGHLQNQVGPKDDKKQYKLAGFPKGYELRCAVRKDGGRDYYLYGHPLGP KANYRTPGEFALHALWLVSDSTDNSQCPCDLCPKYVERAKADRDRSLPPALPPPSSSA AVPASRPATTTPPTAAPGNPPPAQQQLAQQQQQQLQLPPPGTTGWTNVFRVGELVWYK HTAWRLGVILAITPKPGIPLHPGAPDSSYHFTLAPLGHALLEQPSLVKDCQSMRPFLT FSVPHTSMDELRDQTFDTVNWEALAARISQDPDPNKREIGRQMLGLEASKMGARAIND TFSTFDLRAQGTTADGALHVQHYGGVYLGAEMVRVGDPVRVVATPSGSPSQQQQQHQQ QQASQQAALPPDANLVMLVTEIQVLTPSSLTGDARGAATLQFKGDLYRAARLSTAHPP PPGTIPSGETLGPAFAEELATRNAIDKESNPDGGNADKAVFWSWVQVGAQVLRGEQDV QGRFYVTEKLMSVIDPAKWQEWVRRGRLEEAPAYLNNRGHSGGGQYLGRRMGRKAALG NAVGVEFRVPPGMVEN MYCTH_37110 MRISTFQAAIGSASVLFTAQSASASIGHRHAHVEYARRHAHGHN HDARQAEEAANATEIVARKATCTLPDHPDLVYVPGQDNNGFALSPDQSCNDGDYCPIA CVSGKVMAQWKPGTTYTYPESIYGGLYCNGGKAEKPFEDRPYCVDGTGAVKAVNKAGS IVSFCQTVLPGNEAMIIPTDVTDTATIAVPGPDYWDGTAAHYYINPPGIPSSVGCVWG VITKALGNWTPYVAGANTMANGETFVKIAWNPEYLKTPLAKSIPTYGLKIECPDGGCN GLPCVIDPSKGGINSVDSPVSTSGVGDANFCVVTVPKGKTANIVVFNTDGSSGGGGGD DNDSDDDDKDDGGDKPSPSPSPSPTPEPKPSSSSKAPEPPKITSDSDVPTTTAAPSSS RAASTSTPSDSSSSSSTSSEAFYGAMFHEQDVRGSTLSNETAPATAPEPVKASASEAA KEPVSTTSKNEGAAAEGGSAIAGLVVALVAAAALL MYCTH_2298612 MSLVVQFLSSIRGFVLELNGDELRNWLLVENDVASIYFDMSNEL KRGFPGNSPALENLIDKSLPQEDDVPEGKGSPWPGFNSFIKEYLEYWRDVDFADVVRL HSRLSDLLNSCANALANPTYGTVLIQTSMSLSESLSKLVMSLTRQPHLLAQIQGDMTG EESGERKSIVELAADIIQKIFTSCLTDRSSTRWSEPKGKKVAVYQFANLTLKLLFACN KSRLAVQMFTNISTSAPALSLYPASQRVTFLYYLGRFYFDHGHYRRAEMCLAEAYSQC LPQFQKHRRQILTYWIPANLLLGRFPSWDLLRRPEAAGFADIFVPVCAAIRTGNFVLF HQALNLNRDWLWGRGFYLTFLYRLKPLVWRSFTRKIFMLTWEGSADNTDNRAPLLSFE DVVTAAVYVQKLLEGYVPAKPPAGARPRLTANANSLLVKAVTNSAGAEPDTADSLLVP PPGGPRRLMPSEGLIFGNKRPDLESIESIIAGLVYSGLLNGFIARQQKRFAVEGAKKK GGNAVAAGWPIPYESILERFQEAWQEALDACDAGEPVDPPGALDDVPGWVRAPS MYCTH_2298614 MAGQFRYALRVSPQLRTGSIRLFSSQACLRQEIRDAYILSASRT PTAKFNGSYLSVSGPELGAVAIKSALEKSRVPVSKVTDVYMGNVLQASVGQAPARQAS VFAGLPPTVEAITINKVCASGLKAVAFAAQNIQLGLSEAQIAGGFENMSRVPYYVPRA SGLPSFGHVKMEDGLIKDGLTDVYDQIHMGNCAENTAKKYNISREEQDEYAIQSYQRA QAAWKNNAFADEIAPVTVKGKKGDTVITTDEGYLDIKLEKVPTLKPAFVRDGTGTVTA ANSSTLNDGASALVLGSKAIAQEYGAGSRVLARICSSADAAVDPIDFPIAPAKAVPIA LERAGITKDQVAVWEFNEAFAAVIKANEKILGLEGARVNPLGGAISLGHALGSSGSRI LVTLLHQLKPGEYGVAAICNGGGAATAMVVQRIESV MYCTH_2073656 MSTAARRRLMRDFKRMQTDPPAGVSASPVPDNVMTWNAVIIGPA DTPFEDGTFRLVMHFEEQYPNKPPSVKFISQMFHPNVYATGELCLDILQNRWSPTYDV AAVLTSIQSLLNDPNTGSPANVEASNLYKDNRKEYMKRVRETVEKSWED MYCTH_2298622 MWVAGQLWLVVVFCPGGPVANLSIQSREAQGTFTFDRVFDMSCK QADIFNYSIKPTVDDILNGYNGTVFAYGQTGAGKSYTMMGTSIDDEEGKGVIPRIVEQ IFTNILSSPANIEYTVRVSYMEIYMERIRDLLAPQNDNLPVHEEKNRGVYVKGLLEIY VSSVQEVFEVMRRGGNARAVAATNMNQESSRSHSIFVITITQKNVETGSAKSGQLFLV DLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINALTDGKSSHIPYRDSKLTRILQ ESLGGNSRTTLIINCSPSSYNDAETLSTLRFGMRAKSIKNKAKVNAELSPAELKMMLA KAKTQITNFESYIASLEGEVQLWRAGETVPRERWVPPLSADGAAGAKAEGKPTRPSTP SRLLQDRSGAETPGISERAGTPSLPLDKDEREEFLRRENELQDQLAEKETQAAALERQ LRETKEEMALLKEHDAKIGKDNERLISEANEFKMQLERLAFENKEAQITMDGLKEANA ELTAELDEVKQQMLDMKMSAKETSAVLDEKERKKAEKMAKMMAGFDLSGDVFSDNERA VADAIARVDALFEISSTGDPIPPDDLKALREKLVETQGFVRQAELSAFSATTSEAEAR KRADLEARLEALQLEHEEVLSRNLADADKEEVKAMLSKTLSDKSNAQADLIESLKADL SLRVSENERLKALVDDLQRRVKANGAVPMANGKTVQQQLAEFDAMKKSLMRDLQNRCE RVVELEISLDETREQYNNVLRSSNNRAQQKKMAFLERNLEQLTQVQRQLVEQNSALKK EVAIAERKLIARNERIQSLESLLQESQEKMAQANHKFEVQLAAVKERLEAAKAGSTRG LGSPTSFGGFSIGSRIAKPLRGGGDSTASSHPTIANLQSPPENKRSSWFFQKS MYCTH_2314130 MLQTLAFGGTLAKRLVEDAPQTSQPPVALYFLGVANLVVFLPVL LVLFYTFQYVYPTLAAVEDPLPAYEALAMNDDDGQTKNGSNTPMRTAQPGMPITASIR ATNRLIRSLNGWLSNFRGLGYSVLIGILTGLTTLFFGMFGFIPRPVAHLLALVIVAPL STTWTHLVVTRPSAKSFARRIPALKKVYRATWLPTFLLWAATNACVYFPILLAGLIGL ELSDPERPDQIRSQLPTGSDAGKALAVLGLLLGLQVLLYIPAETALTRVQASLLPPDE DTVVPFDRSFAGRVEPELVTGKGFATFGAAIKSVTRASWVRIYLQRLKLCAVSMAIYF AVIAVVMVEALIVTAIQGSQ MYCTH_2298626 MPNPFLNVFSLIAVVLNRVLAYLDRALHYASGYILYFLFKGLKP QTHTRPYKTRNGRCYSPAVVVTGASEGIGFATAVHLANKGYTVFATAPSDAELSKIRQ AAAEHADRNRSKGGTIHPAIMDVLSPDSIAHCVGHIEDVLGGDPDRPLVGVVNNAGYC MISPMELTPESDVRRIFELDFWAYISVIRAFLPAVKRNKGRFINVGSYGGYFNPPLWA PYCALKAAVEGMTRAWRLELLPFGVGTSISFFSRLPGSQGREGVTLGCEKNN MYCTH_2298631 MSAPSIPNLLSLRGGTTGRGRGRGRGRDRGGPSRSGGPGPAPGQ TDDATIQGTDTDAAVSRLSAVDLGYLSDPFARLFVQGPATRRLPIINRGTYTRTTAID KLVERFLATTSPDEPRQIVSLGAGTDTRCLRLFTSPQNHRNIVYHEIDFPTITARKQA IISSNPMLRTVLSTPEPLSATTWHSRALSPPENSSSNNNNNNTNTLTLHGLDLRTLTP SSPRLPNLLTTAPTLLLSECCLCYLPAPQTVSLLGHFTTHLPSGFLGLVLYEPILPHD AFGRTMVSNLAARGIAMPTLDAYPTAADQERRLREAGFERARSRTVDGVWEDWIGERE KERVDALEGGLDEVEEWRLLAGHYIVAWGWRRAGGTGDLDVGGEGGGPGG MYCTH_2298636 MANYLSLNFKMMYVSGETGEPSVETTGIIEDIVRQQVIEILKNC TELAARRGSRSITINDLIFQIRDDAPKVSRLRTFLSWKDVRKNVKDSDDKGGDADLGA AEDPVGGVVAGGPVDDTAKKNKKAKVGLPWEPASYFSVEVPEREDEEDEEEEEMNYIT LQRLRKADERTKAMTKEEYVTWSEYRQASFTYRKGKRFREWAGFGIVTDSKPSDDIVD ILGFLTFEMVQTLTEEALKIKENEDQYRERTGENAGKKRKLPGGGGLFDPPSEGRTPV EPRHIQEAFRRLQQRPKKSRAMLNGTRLQQRTSLKLI MYCTH_2298637 MPPPSSTLVPKIKVQLKLAIARLRMVQKRDEALSRTQRRAMATL LEQGKVESARIRVENIIRSDITTELHEILELYCELLLARAGLLESSPTCDPGLEEAVK SIIYAAPKTEIKELVAVRALLADKFGKEFVLQAMENRDGRVSERVIKKLSVAPPREEL VQGYLEEIAKAYGVDWPPGRNGKEDLGEPPDFVDDEGDADGGGQAAEQSEKPPLVAAA AAAAEDDEEAGAREELSKATPPKSFGPASPLHVNPPSMSTDNVHPKVTLNKVELTPTK KPAAAAAGRKPAEKKQSISDGIPDVDELAKRFAALKR MYCTH_2107812 MNRVGRMEQNFETLGFESLDSLSLTKFSEALREVLPSDKSNDRI LDYYIIWLYRRLMTADLSADNDFSREIAAWYRLMKETPLADHQILQAFHGWKRSHAVE DPASSRRLSMAEAELRRLITPAASKLSGLVTDSAKSENYLSQMQLDSPKLSQEESIII EPDDHGEDDRSDVAVLSSDTVGKKSTPPRAEDRDGHPDLSFLTGSNMLPMNEKARLSR NKREPAIGKLDGEPKTVTEDTVSHPKDKGAGVSGKPPAGYMCNRCGRKGHYFKNCPTA LDPAFDWKPPKTYVCHLCKRKGQHHVSVCPLNLDPASITQQRLKHATKPGTLGGTRRA DHQVCYRGDRWLPSRNRSPSPGRKARRHRGNDALRSLENFPRLGLLSTHHSRKRQISR SPSPCRIASRKKSRLAAKEVAANTVRKISGGEPIGRWKDPEEGQLSYEDEDVGQIAQP PSIKPTLRLLVNEERDAEAPNISLKERGQDEDHAESKRQWEYAVLQMIRDESLKTDLL HTNGIECPPFVHHFRGALFLNKESIWVNPTVNRARPSSAEFYGTMDEPKQRAERASYE TKAEEAAKGSKETGISSEQVTNPDVIELEQTRLPSAEEGVVVVHDAEPAASMVAFLNA ANSPMVAAMSLRAERLLCNEEEKESVESEASRTSTADGVCSTEKATSGAGQATTSGSS SRIMDIDDEGAKPSPPYPPILEPAIELPSTADGGLACHAQ MYCTH_2054491 MLSFILIQNRQGKTRLAKWYVPYSDEEKIKLKGEIHRLVAPRDQ KYQSNFVEFRNHKVVYRRYAGLFFCACVDTNDNELAYLEAIHFFVEVLDAFFGNVCEL DLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE MYCTH_2298641 MGNAKLHRARLLSSVAAVMISLACGTNYVYSAWAPQFADKLHLT TTQINLIGLSGNMGMYSMGVPVGLFVDHRGPRPAVLAGALCLGIGYVPFRTAFETASG SVPALCFFAFLTGLGGCMAFAAAVKTSALNWPHHRGTATAFPLAAFGLSAFFFSLCGA VFFPGDTGAFLTLLAAGTFALIFTGFFFLKVYPHTSYQSLPTTSGLSGSQHLHRTLSE EAKTTRLHRHGRHSLDAEPGTSPNTTTTTYTTPAATAGPSREPLLNPAHDSADVEAAL PPSPDGRSHDADADEASSLMSKSTASSVAGEVYVQNSVDMDRSHRVDIRGWRLLRNLD FWQLFSIMGILAGIGLMTINNIGHDVQALWKLYDDSVDEAFLVHRQQMHVSILSVGSF CGRLLSGVGSDFLVKRLHANRAWCLVLACFVFCIAQLCALNVTNPHFLGFVSGLSGLG YGFLFGVFPSIVAESFGIHGLSQNWGFMTLSPVISGNIFNLFYGVVFDSHSVVGPDGE RYCPDGLDCYKNAYYVTLTACGVGIVITLLTIRRQYRERLREEGKGAAED MYCTH_2298645 MGALLSIPLMAVPSLSTLLSFGASCCGAATCSMVCSACGKCGNS VATRIGYALILLINSILSWIMLTKWAIEKLQHLMLDYVKIKCGDGDCYGWLAVHRINF ALGVFHLVLAGLMLGVRSSKDPRAAIQNGFWGPKIIAWLALIVLTFFIPDTFFQFWGN YVAMVCAMLFLILGLILLVDLAHNWAEYCLRQIEDSDSKTWRVILIGSTLGMYIASIA MTVVQYVFFASTGCSMNQAAITINLLLWIIASAISVHPTVQEHNPKAGLAQAAMVAVY CTYLTMSAVSMEPDDTEDHRCNPLIRGQGTRTTTIVVGAIATMITVAYTTTRAATQSL GLGSSGRGHIRLPDGEADYEHDLVTQQPSARKQMRAEALRRAVEEGSLPANALLSEDD DDADDEDSSGAHDDERSSTQYNYAVFHVIFFLATAWVATLLTMDWDDSRRDADFATVG RTLWASWVKIVSSWVCYAMYVWTLIAPVLMPDRFDFE MYCTH_2314136 MAAPNTNRLSPASQAANKVFTRVDLDGHALPPSPAPSSPLSGRK RYALATELVYTDSKDQYGASSIPIYQSATFKQTSSNGGGEYDYTRSGNPTRTHLERHL AKIMNANRALAVSSGMGALDVITRLLKPGDEVITGDDLYGGTHRLLTYLKNNQGVVVH HVDTTNVDNVKAVISDKTTMVLLETPTNPLLKVVDIPSIARATHEANSKALVVVDNTM LSPMLLNPLDIGADIVYESGTKYLSGHHDIMAGVIACNDPELGDKMYFTINATGCGLS PNDSFLLMRGVKTLAIRMEKQQANAQRIAEFLESHGFRVRYPGLKSHPQYDLHWSMAR GAGAVLSFETGDVALSERIVEAARLWGISVSFGCVNSLISMPCRMSHASIDAKTRQER EMPEDLIRLCVGIEDVDDLIDDLSRALVQAGAVTVSLDGFQANAVDDEGTTASSA MYCTH_2298652 MSDQKRLRELVSGLIFAAGTISAYFLIREVVTPYLAKLVDPDRE KHEQARLKAQANLQRIRRKRLEAEGNEDDGDDESRGANRLDNLVLNEYENQVALEVVA PEDIPVGFDAIGGLEDIIEELKESIIYPLTMPHLYRHGGALLAAPSGVLLYGPPGCGK TMLAKAVAHESGASFINLHISTLTEKWYGDSNKLVRAVFSLAKKLQPAIIFIDEIDAV LGTRRSGEHEASGMVKAEFMTLWDGLTSTNAAGVPNRIVVLGATNRINDIDEAILRRM PKKFPVPLPGLEQRRRILQLVLGDTKRDPEHFDLDYIARVTAGMSGSDIKEACRDAAM VPMREYIRQQRASGANMSRVNPDHVRGIRTDDFFGRRDGRVLAAETHSRQTSTANTQS KASSDEYEDVEEDEDDKVTTSA MYCTH_2298655 MPKAKGPSHLLQLVDSDSEDAKGESSLKVAHRPVTTEKKSVMPP KKGSVGRKAANKVTKPASKKTASGSRVRSDRIAAAADEAAEGATGKGPGRRGRKAAAA TAGPEHGDQDDTTMTDAPETTESPPPAKPKGARGRPKKAALEAEPQPTGARRGRKPTA KTAERDTTAEEVSEIPETQQPTAPQSDSDAGRDDSAETPGSQTPERPRPGGGGAPPPG SALKKAVNSLSPEKGDPALRRRLGEMTQKYEALERKYKDLKEIAVREAERNFDRLRKQ SEEKSKAADQLIAGLKAELAAQKEASKESARLKKQLEASESKADSLQTKITELTASLN DSKSEIKSLNLKLSAARSAEAAASAAAKVPGSAMKGSTATSRLAAANATEATLTAQKK EDLYSDLTGLIVRSVKRDGGEDVFDCIQTGKNGTLHFKLAIDVQSGQGEAHCHYTPQL DPNRDRALIAVLPGYLVDEISFPQTQAGKFYARVLKALNEAAEG MYCTH_2298656 MRRHSSGSSEDDDASPVDNPHANSSRFSTNDLRPAARPASKLDR RRSADRRPSLSISRRSSSINWRLPEPRVGNGTIEKRSPPDRALPSSPLGLIMTANGRL RPKEAEKAPETEAVGRRPPWRSPWAINLLALITSLVGIGFLIAVLHSSVTRQVDPKGC RMSYMRPSYAKLNDFDTEHTRLASKYSLYLYREQGIEHDTKVRGVPVLFIPGNAGSYK QVRPIAAEAANYFHDVLQHDESAIKAGARSLDFFTVDFNEDISAFHGQTLLDQAEYLN EAIRFILSLYLDPRISERDPDLPDPTSVIVLGHSMGGIVARTMLTMPNYQSNSINTII TMSAPHVRPPVSFDRQSVQTYKAINDYWRRAYSQQWANDNPLWHVTLVSIAGGGLDTV VPSDYASVESLVPDTHGFTVFTSTVPNVWTSMDHQAILWCDQFRKVVVQALFDVIDVH RATQTRPRAERMRVFKKWFLTGMEAVAEKTAPHSDPSTLLTVDDNSDSIIAEGERLVL QSLGSAGTVRAHLMPIPPSGSPGAKRFTLLTDARLDKPGEHGRLEVLFCSVIPSQPSQ SWTGFPSHIDLSRGDTGSTRLACRNAAPDVVPLPASTRSTRFPFYTDGEREVTAFSYL EYGVDDIAEHQFVAVVEKATSLTPGFVIAEFSDSAQSHRTRHISLWALFTSGLKFRLP ADRPMVSEVKVPKLRSSLLAYNLRISHQNCDGKNELFAPLMRQYLAEPYESKYFVNAR EAAVSLHGVAPYVPPPLTGKSEEDGLSFQFWTDPTCNSSIRVELTVDFLGSLGKLYMR YRTVFAAFPLFIVALVLRKQFRVYDNTGVFITFLEGLDLCLRRSIPLMLTSLTFLTLS TRNTTSASNAKFWHWRNGTAAIMDLDQNDLLIGTDDPLFLFLIPLIAVICVGVCAVLH YMALILTHLLSAVVSIVITFRSGWTRNEDKSKAVSASLFSPSPRRRMVTAAVLLLLVT TVVPYQFAYLVACLVQLMTTIRAQRLAFELRSASNSNFYNYVHSVLILMLWILPINLP TIVVWVHNLAVHWLTPFTSHHNVLSVMPFIILVETLTTGKMIPRMGNRLKHVTSILLF GIAVYAAVYGVTYAYTLHHLANLLAFWLLVVHSTSDSWSLTGLSQLYSGSLETRKRGK EP MYCTH_2298657 MASSATIKAIESSTVHQIQSGQVIVDLCSVAKELVENSIDAGAT AIEVRFKNQGLDSIEVQDNGSGIAPHNYESVALKHYTSKLSSYDDLSELQTFGFRGEA LSSLCALSRFAVVTCTQQDVPRATRLEFETSGKLKSTSVVSGQRGTTVIVEDLFRSLP VRRRELERNIKREWGKVISLLNQYACVQTGVKFTVSQQPTKGKRMILFSTKGNSTTRE NIINVFGVKTMNALIPMDLKLELIPTAGPLIKGKTRGGDGGSTEVRVLGHVSRPNHGE GRQTPDRQMFYVNGRPCGLPQFAKVFNEVYRLYNASQSPFIFADIQLDTHLYDVNVSP DKRTILLHDQGQMLDNLRESLIELFETQDVTIPVSQSQGLKQTSFKTASGRPWTPASA RVGKRDAAVEPQRSAEPTSPIHSGQLAERGEDEEKELNDAQDDAQSTTQPEVDDESRA GKQASPKSAGSKKTVTLLSRWLAKGADDDREPVDQVTRAQGQADAVSNNHTDPKSTIL SADHSPRGSAAEERTPEAAEIDESRRRHQVQSQRAARRSPTSTDVMSPGEETQSRGPS GEPERPVAAIVPPSQSPAPRAVAVSTARPFKRSSQEVATITIGDHTVTSLIGNPTKRT KVAEAPKLPKVTATGKTGKRSAAALPTFGGRLSQLFSASARPGDGPVEGVEIVAQDSD EDMQESGGEVEREEDGDGEEEEGDSLFVPQSEVAQSEMEKVEDEEVDLPCPGQAEDTA FPSNADVPMESVEDLVARRDDDSTDDHGHSGEEEQKVLDGKRQRLMEAAAAASESTGE GEMRSQLFVKGRSKRKDATLNLVQQVKTSADAIQKRIAALTRHLPPPRPRASPAESAD GLEAEDAEEKLSLKICKSDFGRMRIVGQFNLGFILAVREAASLEEDRSEGADDELFII DQHASDEKYNFERLQATTTVQSQRLVQPKTLDLTALEEEIVLEHLPDLERNGFLAQVD TSGAKPVGSRVQLLSLPLSRETTFSLADLEELLFLLADNPTSSASTVPRPSKVRKMFA MRACRSSIMIGKALSRRQMETVVRHMGEMEKPWNCPHGRPTMRHLCGLGAWDGKGWVE EEERRTDWAGWVREKREEQDE MYCTH_2124085 MGSSRPPFMYQPVNRDDERFPPTKFDPKAVTRASYESKKPKPKP NGPLVSFNRHPDAHMVPSGRSQFHPLSRRTTGWIKAMRVVQVCLRVVQITAAIGLIAA VAVAGLMGWIMAVTLGLVILHAGYSVFHHFQPAGARTPGSSAAYQAFSSISDFCVLPL YAYGAVMTRNKNEEWKTAAPEDRPADPDVTKYMVPSIFYGLVGAGGLHLLSLAISLWL ALMFLRIAKMPPDMNPLEANLTSRAHKRNKSSVATTSTDSTYSDEKPGTILYDDDSSR PPPIPFMHTRQGSDASSASHNSRLNLPSRQYQIPAGNRSSATTSRDFKRMSAPPSSHR ASYTEIPLGETGSSSVTSCPTSTHASRPSTGSVPSYRAEAVSPAQTAQTAQTNQPRPA KFTETWYASESLINRTQQRNRAVYASLDVSDDDSSDSENEANYTASPRPTTKTTTTTT DENRHPNPLRSNPIITTSTPPASKPASKKEGAAATPRRPRTPFSRLRASVLSTISLND RRVSGSQDITDQQQQQQKEERQRRRRREWEPRNRDSSIQPDADFFYAKPYGELIAATP PIFVGGGGGGGGKEKDADARCNRVVSSGNDYDLGSGGAIGSRNVSGKVAEEGMAGARW SRYAALN MYCTH_2298661 MVLVRFVRARPCAPSRRALSASSFRPLLGGGALTSRSLSTAPST TPCTRDGRPFRLAIIGSGPAGFYTAYRAMSRIQGTKVDMYEALPVPFGLVRFGVAPDH PEVKNCQEKFEEVASSPDFTFIGNVSVGTKSDHPDGATVPLASILRHYNAVVFSYGAA KDRTLGVPGEDLKGVYSAREFVGWYNGLPEHADLGPDLTRGDEAVIIGQGNVALDVAR MLLEDVDVLRKSDIAEHAIETLSRSKIRRVHIVGRRGPMQAAFTIKEIRELMRLPSVA FHPIDTSLVPPDLKSLPRAPRRLMEVLLKGSPTPPSSTTASNQKSWSLDFLLSPAAFL PSPTDPARLAATKFTRTTLSPSAFDPNAYALPSYPPSPPATLPSAIAFRSIGYRSTPL PEFAPLGIPFNDRWGVISNDGRGRVRHEERGRDAAMEMGTFPGLYCAGWVKRGPTGVI ASTMEDAFSTADALAEDWLAPGGRVPFLNDDRPGGAVDLGGWDAVKAEVPPDTVARVV HWDGWRKIDAAERERGKKVGKEREKFTRTRDMLAVLA MYCTH_2298663 MISAGRRVFSALARPTLRNATTTPAFAAGSPAALRRLLSALAIL EQRDGKLNTGSLSAISAAQKLGGSVHGFVAGSNIKPVAEEAAKVEGVEKIIAVDNGAY DKGLPENYAPLLVENIKKGGYTHVVAGNTAFGKNVMPRLAALLDSQQISDITAIENDK TFVRPIYAGNAIATVESSDAVKVITVRGTAFPAAKVGSGSAAVEEGVDPKAESATEWV SEDLAKSDRPDLATASKVVSGGRGLKSKEDFDRIMMPLADSLGAAVGASRAAVDSGYA DNSLQVGQTGKVVAPELYMAVGISGAIQHLAGMKDSKVIAAINKDPDAPIFQVADVGL VGDLFEKVPELTEKLKKA MYCTH_74056 MDESTASYGTPTNGASPSANPILAQPPLPDANEQNEDVQMGEDP AIKQDSTTPAPGASSDNPLDAPEGPPAETTGQDEEMGDAPKNEPVQAEASGQDGSSGE TKTKEHVENAARQHLISQTHAIVLPSYSTWFDMNTIHNIERKALPEFFNNRNRSKTPA VYKDYRDFMINAYRLNPVEYLTVTACRRNLAGDVCAIMRVHAFLEQWGLINYQVDADQ RPSHIGPPFTGHFKIICDTPRGLQPWQPAADPVVTEGKPNKDTDAKASATPAPKTELN LEVGRNIYEANAKHNKLNKSDGKTNGETPTTNGVSGTDELPKAPIAKVNCFNCGTDCT RIYYHSSQSDPNNKAKYDLCPSCYLEGRLPGNQTSAHYTRMENPTYSSILDRDAPWSD AEILRLLEALERYDEDWGEIADYVGTRTREECVLQFLQLDIEDKYLESERLDAPIGLQ MLGSHGGQLPFSQTDNPVMSVVGFLASLADPASTAAAANKSAEILKQNLRNKLEGGSQ GDGQANGKGKEKDGSDSMDVDARQETTTTTTTTTATTTTTTTTTTSTTSSLANIPLAA VGARAGGLASHEEREMTRLVSAAVNVTLEKMELKLKYFNEMEAILQAERRELERARQQ LFLDRLAFKRRVREVQEALKQAVAAGGEQGARMAQEISTDIPRMSFHAPPAPGAVQPL SAEGPTKSYEA MYCTH_2298666 MATNPMHNLTTLIKRLEAATSRLEDIAQASFEQYNSTPTPPQHA GVAAGPPAPASPAPPQPATAPSPPAAAPEPLPEFIEEFDNLVDQSLAKWLKISNDVGG VVAEQAVKVVEAFKEERKFLLITTKAKKPDLQGADMAVFQDLVKPIGALMTAVGNIKD LNRGDKHYNNLCTVAESIMGLAWVTIDVKPFKHIEGSLAAAEFWGNKILTANKNKDEQ QIEWVKAFYQVFRDLTDYVKNYFPNGIPWNPKGVPAAEAAKAVNSASPAPAAPSPSGA PAPAPGPPPPPPPPGPPPVLKINEQKSEPSPASGFSAVFSELNKGEAVTKGLRKVDKS EMTHKNPSLRAGSTVPDHGSSVRGKSPAPPGTKPKPESMRVKKPPKKELEGNKWTIEN FDKSPEPIEVEVSLTHSILISKCNNATIILKGKANAVTVENTNRISLIVESLVSTVDV VKSQNFALQVLETIPTILMDQVDGAQIYLSKESSSTRVYSSKSASINLNVLTGDGDDA DYKELPLPSQICSWWDEGKGEVVNEIVSHAG MYCTH_2298669 MVLAPRPSDPEVNPDRGSGDRDPNETGPVGVVQDIPETQEIDFV TLGMFIIDEIEFLPQKPPARDVLGGAGSYSALGARLFSPPPSSKTVGWIVDQGSDFPP WIKSQIDSWQTSALFRYDPSRLTTRGWNGYTDASERRAFRYTTPKKRLVAEDLTPPLL QAKAIHLICSPRRCQELVTDILARRKAAYFSSSLPPHDPRGEGYTRPLIIWEPVPDLC VPSELLNCTNTLPMVDICSPNHAELAGFLGGDGLDPETGAVSTAAVESACEQLLASMP LQSYTLVVRAGDKGCFVARNGGRKKKVIAGGGGGGGSGGGGAGGDQAASKPKKKKEYI RGGLTPDMDMEALFAGLMQDEEGRVAREEIEIDPGLEKWIPAYYGDGYGKGDAREKVV DPTGGGNTFLGGLSVALARGKSIEEACAWGHVAASFAIEQVGFPVLGVDEQGRETWNG VRVEDRLREFQERL MYCTH_2298672 MDTLMLQRDEATRDRVRQALEFLDPHDQHARSYRPDIILMLQKN QRRLVVNIDHVRDHNAEMAEGLLYNPFDWTLAFDHALKEIVKTIPQARPDQVDPDVLY YCAWAGSFGLNACNPRTLSSQHLNSMVSIEGIVTRCSLIRPKIVKSVHYAEATQKWHF KEYRDQTMTKGVTTTSVYPTEDPEGNPLMTEYGLCTYRDHQTISIQEMPERAPAGQLP RGVDVILDDDLVDRVKPGDRVQLVGIYRTLGNRNTNHNSAVFKTVLLANNVVLLSTKS GGGVATATITDTDIRNINKIAKKPKLFDLLSQSLAPSIYGHEYIKKAILLMLLGGMEK NLENGTHLRGDINILMVGDPSTAKSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTTD KETGERRLEAGAMVMADRGVVCIDEFDKMSDIDRVAIHEVMEQQTVTIAKAGIHTSLN ARCSVIAAANPIFGQYDTHKDPHKNIALPDSLLSRFDLLFVVTDDIEDTRDRQVSEHV LRMHRYRQPGTEEGEPVRENSGQALNVALNNQADSQRPTEVYEKYDAMLHAGVKGTGR GANKKPEVLSIPFMKKYIQYAKTRIKPVLTQEAADRIADIYVGLRNDDMESNQRKTSP MTVRTLETLIRLATAHAKSRLSNRVEEQDAAAAESILRFALFKEVVEDESRRKRRKTR PLEDEDDSSSSSDDGNSDSDGDEPNGTARGSTARSTRTSQRPDNNNTNGRRRPAGRRR QGASSDDADGATPAENDEDETEDLYNATPRRSTRTTRTSAAAAASSSSQPSFASSIPA SQLRSQSQRDDGADADESLASGTANLTVSDANGGGDEDEDEDEEDQDERISEARLEVF RRALGQLLNTSLFEEDDSAGVDELIEAVNAKVGRQQRFTREEAVKALREMDARNQIM MYCTH_2055700 MVLRELDTTREEGGGDHKQAARSSAVLHRDLSYDFLSLSQGEGN YLVLEDGRRIFDASGGASVGCLGWGNERVARAVMKQLMAIPYCSTVFYTTRAQEELCR FLVESTHGHMGRAYIVNSGSEAMEAAVKLARQYFLELSPSQNNRTRFISRRQSYHGIT LGALAVGGHQYRRAKFEPILMKNVSKVSPCNPYRGKNPGETDQEYVDRLAKELDDEFQ AVGPETVCAFIAEPVVGAALGCVPSVPGYFKAVQAVCRKYGALLILDEVMCGMGRTGT LHAWEQEGVAPDIQTFGKALGGGYQPVAGVLASREVVGVLERGSSVFVHGHTYQGHPA GCAGALEVQRIIREENLLENVRKMGELLSGRLQERLGAHPNVGNIRGRGLFWGIEFVA DKATMEPFPVENHVAAAICERGLTKEYSISVYPGTGSADGIRGDHIIVSPAYNVHKDE IEWIVDTLGRLVDDFFGSA MYCTH_2298680 MAVGVTSVRQAADEEARAEVDVLNSRLEKTTQLTKKIQACLGRL EATGKSVRDVAGPLSGETKKLQVLGSNIEAVLTAIERLRQPADSKNDEEQIIRMGPDK AGLPNYLASIKRLNKALSDMKASNLRSTQQTVAELQRLVKLGNTQLENSFDKLLRNET PRALEPLHFITKNKPFPVLTQDKLARLGLMNSFVAGVYRQSNPAGAPQDSPIAKIYIE IRSQYLSSALVNLATASTSTAKKKNPDAIYRAGTNGIGTYAQAMEGLFVAEYENICNI FTREDWGPVLEATCQSSLVEFGRTLRELNSHIKAHLTTDCYLAYEIVEIISQLSNNLE RRTGELKSSLAASLKPVRDTAKSSLAELLEDTKRRINSLQSLPLDGAPMPIVSETMQR LQTMVDFLRPISSIMVSLGDGGWKSAVSSRGGASDAIPSLASFDIGADGKEIFAHYCT DTIEALMMGLEARARLLLQKKPVMGVFLANSVVIIERMIQTSELAGLLQNRAGVLETW RKKAASLYADTCKDVSMHLFDVIHTSRSGGGGGGGRPTSGQGGAMVDSASILKSLSSK DKESIKNKFAAFNASFDDMVLRHKGYTMEREVRQMFAKDMQTMIEPLYNRFWDRYHEV DKGKGKYVKYDKSAFAAVFQTLY MYCTH_2124094 MAVKEYQELVDHCCSFCCKAVDFEAVTRPAPPWGVDIDPALRAS WKRERY MYCTH_2107828 MPEVKVGFPVAEEFSVARITDHVWLLRRFRALFNHVESPGRHDS NYYPEPPPGNEVSSRNAAGQWRVQAFLMNAEVRYSFYLRLLREWVVKDDADKPDTHDD SSDGDNREDDGWAASWPLPPWDVALVFYIHMLSPERFRKDMAAEYPPLWHARLSFPLA RLRQHPRGHEASQRKWETMFPDIPYRLFEFEPDGETPRLSTSIGRPLDVRGYKCGSDD CTANTRRNRCRIIIPMAEWSAYRLGKRRSPTCPSCRMAFSSQRAGYNSAFARFCEAVF GQHVLGLWDAPLRQMAFVDRILAETTTATATTTNTAAGGSSTTITFSPAQVPAWQARY LKFLGLIKAHRSTTFVPTLDIDLVWHTHQLAPAAYDAYCRAHVGHPVNHDDTIPAAGR STALDDTKRLWTLAYRELYLDPDSGDGDGGGGGGGGPGAQAEEEKKKEESGAAATRNG EGKKGGEWTTTQRMANVRALLLLERVAELETCLADRERRLAAFDGAGTIETRRADAER NRRRRLVEHNRWTRLRDEHSTLAGALRGAKKARDGVRPLLRVGRWWRWYPRSRREELA RREAAVREVEARARAKLDEVERQREVADEAAEQSRLADERLRRAEADRVRLEVELDGR VIAAEKKLVRDCIRRADQLRGDPLGEGMLSVVPSEAQIRPAPLVGNWDRETTTERAWP VRCWGTRPGAGTAASTYHSTWRPDREGGGSFGGLAFGSDVYGSYEGCGGDGWGGSGGG GDGGGGGGSSGGGCGGGGGGASEPLKFPSCFGLLLMFFSLRHHTPEKNIQGDLKGRFI TSHPGAFGN MYCTH_2298688 MASADQLIASLQGIDAKSFSSEAERIRARDAVFEALRRIQSPWD IAWDHCWVSGAINAAVKTLIDAGVFRKWAEAGGKPITSTKLAELTGADVLLIQRMMRA ISGQHLVIEVGLDTYARTPWAAALAEDPSFASIYGGFYHELNNPMFRTLPAFLKETGF RNPTDVSRCNLQYWLGRDITLFQYIGTNAKLTSDFNDAMECHSKYNLTPWVDIYPTET VVEAAKEGRPLVVDVGGGKGHDLKKFLARHRDRVPPGSLVLEDLPEILKDVVVDDDLS SSPGPIAVRPHDFFTPQPEGARGARVYFMHNVLHDWPDEAARRILRTLAPAMEKGYSR LLIHESLVSAERPLARVTVSDITMMACLAAAERSEDQWRDLIASAGLRIVKIWRPVQS VESIIEVEVA MYCTH_2054998 MGLWEVEDYGKVADRACWAMFAVLTVVVSLRVFTRVYYTRARGG GLGIDDYITLGCLVVFLVTCIFITIGSRHGLGRHYTTLAPEDATEALKWNVIVSAVLI WSFSLPKFAMISTLQRILSPGTKTKVVFWALALSSQACILATSVWWFKQCDPVEYGWD RTIPGGSCAPVSVMANLGYFTSAYSAFLDVFFALYPIPFIMRLNMPLKTRIAVAVALG LSVLACVVSIYKLAIFGQIFEILAKDPTYSVPYLDILGVAEGTILIVCASLPTLGPLY KAARGRISSATGGGSGGGSSSKQLSGRQLGGSQATSVRGGGGGGGGGSRNRDWDGVRG HKLESDAEESSSLHLRPSFDAIPLVTTAQARGMTDDPEGGGIHRTLEVSVSSETKSY MYCTH_2298693 MSFDRLVRFVPKGDDSKILIGEPADSSVDVGAAVRKGEDVQVKV YSGKSVLDAGSPTGETAIIGRILSPLAQEEVGTIRCIGLNYKKHAEEAKMSIPEIPTV FLKPATSLADPYPAPTIIPKHTIASDSADYESELAIVLGKEAKNVSEADALDYVLGYT ASNDISSRAAQFAQTQWCYSKGFDGACPIGPVLVNKRLVPDVGKLRLRGLKNGKVVQD SALTDLIFSVEQIVSFLSQGTTLPKGTLIITGTPAGVGFAHKPQELLHDGDEFVVEIL PHIGSLYNVMRNEK MYCTH_105006 MTQAPMISVPLKATNEIDWITPLKAYIQNTYGDDPERYAEECAT LNQLRQDMRGAGKDSTAGRDLLYRYYGQLELLDLRFPVDEQHIRVSFTWFDAFTHKAT SQFSLAFEKASIIFNISAVLSCHAANQTRSEESGLKQAYHSFQAAAGMFTYINENFLH APSADLSRDTIKSLINIMLAQAQEVFLEKQIADQKKIGLLAKLASQAATLYGQALEGV QENVTKAVFEKVWLLTVLTKFNLMTSLAQYYQALADDDANSHGMAVARLQVAESHARE AHKTANNFPGTVPPSSNLTAESGVALAEITKRHLVAVQQKLKELTKDNDFIYHQPVPD ASGVPAVAKLPAAKPIPVSELYAGQDIQRITGPDLFAKIVPLSVTESASLYDEEKAKL VRAETERVDAANSEMAASLDYLRLPGALQVLKGGFDQDIVPDEDFRTWCADVADHEDP SKIFEYLHTQRQSIVATLERSSKQLDMEESVCEKMRSKYESEWTQQPSSRLTTTLRSD IRTYREALEEAGRSDGQLATKLRQNETEFDEMRAAAENGEVDALFQRAVKRMRKSSST NSPSSEPNLLDADFDDGGPSVVDQIAKVEDILKKLNLIKKERIQVLKDLKEKILILNK KSIANYEQQLFQQELEKYRPYQNRLVQATHKQAALMRELTVAFNSLLQDKRVRAEQSK YESFQRQRSAVISRYKRAYQEFLDLEAGLQSAKTWYKEMKETVESLGQNVETFVNNRR AEGAQLLNQIEQDRAASKSAQAALEQERLKNLMERMSLDPPPTSPPTTSSRPTPAPLS FSPGPVYPKTSYSGQYQLPSSPPPTQTTAPQSYMPQSGGQPFTYNPSSHGRIPGPASP PPSQSTFNIGPVRQGPASPPPTQTSFSQPTRYSTYGNPATMQQSQPQQQHPHASYIPP NFVPPPPPPGPPPLGPQQTVHYGSEHYSGDPNAVRPGSAQQHLHGQTQSQGQHDPWAG LSAWK MYCTH_2298696 MEGQPSPPVEATPPKQPSTSPARSSTTPSTSGATTTTTTTAGQI SFRRQRASRACETCHARKVRCDAASLGVPCTNCVAFQIECRIPQPKRKKAQGAVVPTR DSDSERGDEDRSPLPPGTTTFPSGTRPPAVYHTHEGTPSTANTEAEQQKKEEFDNATL ASYMNLVMKPKFTRAPITEAGRVAYLGESSNLTLLVHDRQNDGDVVHYPLPENIRGSR ARLTELDNIEIDILHQRGAFLLPPRALCDELIDSYFKWVHPIVPVINKTKFMRRYKDP KNPPSLLLLQAMLLAGSRVCTNPQLMDANGSSTPAALTFYKRAKALYDANYEDDRVTI VQSLLLMGWYWEGPEDVTKNVFYWTRVATIVAQGSGMHRSVEGSQLSLSDKRLWKRIW WSLFTRDRSVAVALGRPCHINLDDSDVEMLTEDDFIEDEPDQRSEYPPDPVHVQFFLQ YVKLCEIMGIVLSQQYSVAAKGRRHNPIDLTHSDMALADWLQNCPKIVYWEVPRHHFW AALLHSNYYTTLCLLHRAHMPPSGSSRFADDSAYPSRNIAFQAAGMITSIIENLSARG ELRYCPAFVVYSLFSALIMHVYQMRSPVPSIQQVTQDRIRTCMSALKDVSKVWLVGKM VHTLFESILGNKVLEERLQKAAGKRHRQAQQSLSQLEQHRYAQEQKRKYDEMAIDFSV GTPQPQESYERSRPQTPSLASKNEPGPNAMPPPNNTSPHTRNNGDAFMGGTASRPHTR PATPFNPSFSVPATPPDLYLVTRNSPNLSQAVWENFQPDQLFPESANAPLFQQLSPQQ TPQSLDQQMMTQMQNQNMQGQPMDGGQNAYGQTIPKRGMGGSPMQQNAMLQPGMAGSY QGQQGSASMWQGYDAPMVDGQSPSDSWSSGSAPGQPVPATLNVEDW MYCTH_2298702 MASFPRFRFLAIAVLFHLVYIYSIFDIYFVSPIVSGMRLFQVER APSTRPPADRLVLFVGDGLRADKAFQFHPEPYPENDDDLVPRPLAPFLRSKVLQEGTF GVSHTRVPTESRPGHVALIAGLYEDVSAVTTGWKLNPVNFDSVFNRSRHTWSWGSPDI LPMFQHGAVPGRVDAFTYGAELEDFSSDALVLDLWVFDHVKDFFAKARTDKALNDALR QDKIVFFLHLLGLDTTGHSHRPYSKEYLHNIKVVDKGVQEVAELMKDFYGDDRTAFIF TADHGMSDWGSHGDGHPDNTRTPLIAWGAGIAKPQLYPGEVAPGHDEYSSDWHLDHVR RHDVSQADVAALMAYLIGAEFPANSVGELPLSFLTAGLKEKAEASLVNTQGVLEQYRV KEEKKKATELRYRPYGPLSAEGLDAESRVAHIRQLIDVGSYEEAIEESAALMKVGLGG LRYLQTYDWLFLRALITVGYLGWVAYALTTVIDLHVLHSRVQPSRTLAGIVASTSALT ALYASFVISKSPLTYYAYAFFPVFFWEEVYARRESLVEGRKELFGHIQSGGSLASLVL NCAVYVGIIESLALGYIHREILTILFVIGAFWPAAYGFAFLQEHAALSITWFLSCIAM STFTLLPAAMTSEDVNMIMIGGILMVVVGILYLVLEDFVLSDFAWSDKPSAPRRHVSR TLVGIQIGLTILSIVVTRSSALSLRAKQGLPRGNQILGWAVLVSSFLMPLAHRAQPNS HYLHRILVIFLTCAPTFVILAISYEGLFYVAFSAVLVSWVRLEHAVYKFSSLAHPSPA PDGTTTHAEANGATSARSQTPETNRALPSPFRPLTLRDARVALFFFVLFQSAFFSTGN VASVSSFSLDSVSRLIPIFDPFSQGAMLILKLMIPFALISANLGILNKRLGVAPSALF MVVMAISDILTLYFFWVVKDEGSWLEIGSTISHFVIASLLCVFVAALEGVSALFIAGV EVEEDVTRAVCEGAVAEVLLKRTDENGAGGE MYCTH_2298705 MDSYNKFLAENVLTEDKVITYRLLSRALKVHVNTAKQMLYEFHR NQNAKRPGAVHATYLIYGTKRAPDKAPASQHGNDGDVEMASSAPEIESFAEVVPTLTL SLVPEGQLRETLAEYEQVSSIHIYSVGPHPTKDMALLVDAANQVLSLSSGDDLKSLVP ITNPKVRRRERQGPGFSAAAAAARTQAQAKLTSSNAAQPKAPERVKEVSKPAPPAQET TDKGSSLGPTKKPAPSLKRGPSSGIMQAFSKATAKAAKVKKEANTPQATAPDSEEPSA QPLSDDGEDDDELPQPKPRSGSAFKTKKQREEELRRMMEEEDEEEEASDKAETPEEEP VEEVMEEEPQAPEPEPVKEEETEVVAVSANGRRRGKRRVMRKKQIMDDQGYLVTIQEP GWESFSEDEAPPAAKPKTTSSAPSMQTAKPKKSGQKGQGSIMSFFSKK MYCTH_59997 MHHLPWYAFVVPLLVPAAAQGFNGGQQRLTDTGDKEACPNYANY ATFPHPPLSEGPLKLPFQRPNPDCRTFQSDAIEQVINDITSRMKDPDLARLFENAFPS TTDTTVKFHTDGKDEKIKQKLRRRREENAWVDDGEWEGPQSFIITGDILAEWLRDSAN QLKPYQALAKKDPAIFNLILGAINTQSEYVIQSPYCNAFQPPPISGLPVSYNGQDDAV HPIYEPSFVFECKYELDSLAHFLALGNEFHRHTGSRAFLNSRWYKAVNTILSVLSAQS ESTFDPETGSYQHNMYTFQRNTNTGTETLSLKGIGNPLNNGTGLVRSAFRPSDDATIF GFFIPANAQMAVELGRTAAILRGSAADAKLAETLEDWSKRITEGIWEHGVVSHRKYGD VFAYEVDGYGSALLMDDANYPSLLALPLMGFVSADDPVYRNTRRMLLEKTGNPYFLTG KEFRGIGGPHIGLSNAWPMSLLVQAQTSNDDEEIMGCLQLVLESSKLGLIHESVNVNL AQSYTRSWFAWANGVFAETILNLAKRKPHLIFEDARLFEL MYCTH_74026 MAGESNVIKVLGLHGMGTSGRIFQSQTVISAAFRSKLPDAFVFE FLDAPHRTNPAPATDIFFKSDHLGWWQTPTVDNIRNALEVLDEHLEKHGPYDILMGFS QGCSLIASYILFHTRKTPNTPLPFKSAVFICGGIPLSVLEYLGIEVSEEAKEINQKTG DLLKNRTQALARMAERHDDIKPGHATTWDNLTGMVHDPANAEMPKESNVFGLDLSNLP EDLLIRMPTVHIWGAKDPRFPAACQLAGFCSNRREYDHGGGHEIPRSTVVSQTIANLI IELVKQIQ MYCTH_2298709 MGVYPQPRDARDAVMEKCPADYYAIFGSCCPNAFTPWTAALGGA TPCYSTLPATATTTLPPAASDAAGARATIPTVTVTDTVFAMQYAVNADRAAVSPGGIA GAIIGGAVFAGMLLWVYVALRRRYRRNQTLKKLRAEFFDTQGLIPGSSEVPVSPGASS HPFNHLTQADMKLPPDYRDSEHGHPAPDHAELSTGQAHAPKFSLQLPPQLAIRPVGYY EPPLSRSRQIPRGRAEIPHAPGQIEPQLPDAASTHESPSSTAGPYAHGPQTLRRNLLS TGAHPEPVRSLSCLSRNNLSSKSDHTPSSLHSGSNGGGSRPKSPLHPLPRPPPILQKP DGFRFNPPPPTNAEIFPRTGSVCETGNKV MYCTH_2298711 MAPANKRNSSSLPAGYVEDKSKGLMLRFQDSLPRLPVPTLEETA ARYLKTLKPLLTPPELENSYKAVQEFIAPNGPGRKLQEKLIARREDPKIKNWLYEWWN DAAYLSYRDPVVPYVSYFYSHRDDRRRRDPAKRAAALTTAALEFKKQVDTGTLEPEYM KKLPICMDSYKWMFNASRVPAKPADYPVKFDPAQNKHILVIRKNQFFKVAHEVDGKQL NTSELEQAFRRVYELAGERAPAVGALTSENRDVWTDARAILLAADPANSKAIEAIESA SFVVCLDDAKPVTLEERAHAYWHGDGQNRWYDKPLQFIVNDNGTSGFMGEHSMMDGTP THRLNDYVNDVIFNNKLDFSDPTVRSNLPEPQPIRFVVTKEVQAEIDRAVRDFNAVIG QHQLAVQAYQGYGKGLIKKFKCSPDAYVQMIIQLAYFKMYGKNRPTYESAATRRFQQG RTETCRSVSEESVAWCRAMADETVDNATRVALFRKAIDAHLEYISAASDGKGVDRHLF GLKRLLEPGQEVPALYKDPAYAYSSSWYLSTSQLSSEYFNGYGWSQVIDDGFGIAYMI NENSLNFNVVSKGLGSDKMSFYLNEAANDMRDMLMPTLEAPKAKI MYCTH_2298714 MADTVETELASVNAIGAAPPSDASPPRQDIPQRIPESKDTSEPV FPPLHNNAGADADSIASGASAPSRAGPGGVGDDNDEDEPAVARPFLRTSSPDPQSRPG LAKSVDDEDSEERVGVGNGPGRRPKPKQRMHRFSLYETASRFYIVGGDVTEKRYRILK IDRTNDDASELSMTEDKTVYTQKDMNQLLDTIDDGNKGTGGLKLRCTTWGLLGFIKFT GPYYMLLITKKSTVAMLGGHYVYQIDGTELIPLTSPNFKADQRNTEESRFLGILNHLD LTRSFYYSYSYDITRSLQHNVARERASLLNGSPCSADDDLNTMFVWNHHLLQPAVRAL NAPFDWCRPIIHGYIDQAAVSVYGRTAHITIIARRSRFFAGARFLKRGANDLGYVAND VETEQIVSEALTTSFHAPGPKFFASPAYTSYLQHRGSIPLHWTQDNTGVTPKPPIELN LVDPFYSAAALHFDNLFERYGAPIYVLNLIKARERTPRESKLLEEYTRAIAYLNQFLP ADKKIIYRAWDMSRAAKSRDQDVIGTLESIAEEVLNTTGFFQNGDGHTSPIRVQNGVA RTNCIDCLDRTNAAQFVIGKRALGYQLHALGILGDTTVEYDTDAVNLFTHMYHDHGDT IAVQYGGSQLVNTMETYRKINQWTSHSRDMIESFKRYYHNSFLDGQRQEAYNLFLGNY IFAQGQPMLWDLATDYYLHHEDPRTWLEKRKRDYIHWYTPEFLKERVIPPYPTIPRAS KNNPVSAYDDYWLEYYRPSTLSSFLKMFPYKMNSTIKYIPLKSTQDGRYDLSPFRVRT EADSDVHDKKKARKDTTAVAPQDLARTADDAETSSFVNEKSPSHTRTASNNGRMSLHR WLHEKNAPDGTQSHDRPGSPYHHRYPSNSSHHYHSRNNSIDDASRHYPNHHHDSHRSH RQSAGHTSANLATAEKGQPAHDQEEEEKKPHQTALEKSRAAQQTFTQVVRDSLNPTVS AAEAADYARYVAHPQNLPLVVSSSPRSLLPAEDEIEPEYIEYVNGAWKEEGLGRAVGD YLDPSSLLVGGGNGEEGREGLKGGSKGRGGNGTGGAGAETFARIGGIYQGEAVEDDWV LYAETVRLPENPLTVTEEDALKKRYKAYRKWLRGKSLFKQQPVD MYCTH_2051209 MSWFERIAAEAAHVEKKSEGTTPQEVANKLAQHVPQSFTLRELA DATREVLGQARASKQRREKAGTCLVSLLACLRCEDRIAHGAAGDDEDAVKELALGVAN VIAPVATQHEELDGTGQQTELSGQELLQRSEEFSEQCRDLAVPGVEILEALVKRTASP VRLDDEVLLTLLAYTDETRPQRPSTETKATADRLLQQQLLGVPGAPTKEQFITETVLQ TFLRPLFSKSKPSTITASGRKAEYVDGAASRAESMPDDTAQTKPWKFTDLRTIPAVGW AVREADTQLIAKHWPLFIPVLLTLVDDIATSVRRRGLLILADFLGKMPDRTLHDTGLA KVFEDAVFPTLAFLPGLTPEQESVELLGPAYLALRRLADKQPAAGKDGIAGAPKNTLL DKMLREGVFMGYFHAKEHVNIVEVLCQQTETILNEMGVHAVKHLKVSSPALSASIFEG PELISAFPPTRPFRISYLCARQ MYCTH_2298716 MAAASNPRPTITPTITLTLTPREEQLRALLLGAAKFIDDRDLGS SRESAAPPGREPLVLRWAGGWVRDKLLGTESHDIDTAINAMTGEAFVDGLRAYCDEPD RRERHGLRAEDVGRLHTVPRNPDKSKHLATSTIRLCGLDVDFVNLRRETYTEESRNPT VEFGTAEEDALRRDATVNALFYNLHTGQVEDLVGGLADLGHRLIRTPMDPLQTFLDDP LRVLRLVRFASRLGFRIDPAAEEVMADERVLGMLKVKISRERIGVELEKMLKGKRPVE ALRLIDRLGLYHAVFTDPERADMPKPETASWKSAYECLDFLEVNKTPGSIYDLLVTSE EARYYGWALATLTPWEQLPDDPPLSSGKPALPLPTQAAREGFKAPNKLSDVITAAHRH RPAILRLRDIVRDRREGLDERDRFGMAIRDWDSRGGNWRLQVLFAILVDLAERVAPAG EKGGSASRDDVLAEWQRFLDHLVELDVMNAPSIKRLVDGKILAKELGVKPGRWTGPAL DVALAWQLRNPGVEDPAGAIEEVRRRREELGIP MYCTH_2314145 MSTNGYLVPPSKDPSKEELWNETWKRIDRFLPNLRADLALEEEE PVVEATATATAPAEEAGGTAVPAEAP MYCTH_2298717 MSLDAQLAAPMDPAVATTTLGTSTPRRNPPLLSSRMQYRSRPVS VAIDPVSLVISECISITSAIQKHARSPHSSVSAILGGSPNLIQLVPPTTGGRRTRKSS VDAAADGAGDLAVNRWGLRGKKGKSMQDNPLISGFGRLRQELAGVKDIYRFDSLVLLY PFLQIIQAKGTAAPVTILALRAIQKFLAYGFVSPVSPRFALAMQSLSAAITHCQFDIS DSAQEEVVLLMILHLMEDMLSGPGGDILSDESICDMMGRGLTICSRPRFSEVLRRTAE ASMVRMVQIIFEDLKHLEEEAGEESEALDRQTSGDMDSVNMDPAANGTDVPATGPEAA DAAEAPAAAPSDRGVAPGDAAAEPAGAPRPSSSSARERSRSETPRSPDADASRPSTSS AATESASSSVDLRPYSLPSIRELFRVLVSFLDPHDRKHPDQMRVMALRIIHVALEVAG PSIARHPALASIAEDQLCRHLFQLVRSDNMAVLHEALIVAGTLLSTCRGVLKLQQELY LSYLVACLHPAVEIPREPGIDPSLYSGIPQSPKLVKPSPSQPSSGRSTPVAVKDRQKL GLEGGARKPDARQAMVENIGMLARMPTFMTELFVNYDCDEDRADLCEDLVGLLARNAL PDSATWSTTSVPPLCLDALLRFIQFIAERLDQAPETEGYPDPAALRERRRRKKLIIEG ANKFNENPKGGLAYLQEKGIIEDASDPTCVARFLQGTSRINKKILGEFLSKKGNEPIL DAFMNRFDFTGKRVDEALRVMLETFRLPGESALIERIVNSFTEKYCSSSVPEGVANKD AVFILTYAIILLNTDQHTPTLKNRARMTFEDFSRNLRGQNDGKDFPTDYLQDIFDTIR TNEIILPDEHDNKHAFDYAWKELLLKTDEAGPLVLCDTNIYDADMFATTWNPIVSCLF FVFMSATDDTVYARVITGFDECARIATKYGNSEALDEIIYRLSYISTLGNEALSNTSL NTEVQVGDNSVMVSELAVRFGRDLRPQLATLVLFRVITGSEHVIKKSWKYIIRIWLNL FVNSLIPPFFSTESDKLDLPPIPSQPPSQVIDRGAKQSETGFFSAFTSYISSYAADDP PEPSDEELESTLCTVDCVNQCHMGDVFANVAHLPSHSLEALVDALLEQIPEDSGPSVI TVKAENIPPSPANGQKPRQSNALYDPGLVYILEFCTVLALRDNATVELLGKRVVEAIQ TILRDVPRYHPILIERATFYLFSLLQASYDYDYVRVPILLHTVSSFPKDTLVKASSLV LRGLRLCTEKPCPLRNEIMTSPDFWVILQTLAPIPDAAPTVFEILENGVSGTPSAIMA DNYEAALALLNEFASMASVGAVAEQQNDRKQGRKSGRPGKPEKPRWVVLPEDGVSGGL LVLLTRTKITARTPLSNAASRR MYCTH_2298723 MLPIASTGSKVIPRHHVGGYSDGYPRGKTFDISPHRYQPRSANS VHRKRTQSFVRLGIVAAILFLLMLYTLSRGSSSSSSSGALSVLSLGLLSSGDTDFELE TVRYYDLSNVQGTARGWEREERILVCVPLRDAEAHLPMFFSHLRNLTYPHHLMDLAFL VSDSKDNTLGVLTENLEKIQASEDESMHFGEISIIEKDFGQVVKQDVESRHGFAAQAG RRKLMARARNWLLSAALRPYHSWVYWRDVDVETAPFTILEDLMRHNKDVIVPNVWRPL PEWLGGEQPYDLNSWQESETALALADTLDEDAVIVEGYAEYATYRPHLAYLRDPYGDP DVEMELDGVGGVSILAKAKVFRYGVHFPAFSFEKHAETEGFGKMARKLGLSVIGLPHY TIWHAYEPSVEDIKHMELAQEQAEKEKAEKARKMKESFSDATGQWEKDKAELQNMATR EKKKEEAAAAAADNKVDAAPAVEQVSNDNAGAKEGGGRMKEKVVVEVDEELGGGKAGK AGNA MYCTH_74015 MTDRRRINGPAGATIPPIYDDSDLQEPRSAKGRSRAPNASRKMF LKTGVTPSASGSAYLEMETSATPGVSGLKLSCSVHGPRSLPRSAPFSPHIILSTHVKY APFATKQRRGYLRDPSERDLGIHLETALRGAIIADRWPKSGVDIIVSIVEGDQDREAS KLQGDEVWDMMNALSGCITVAAAALADAGIDCVDTVAGGVAALVQDSGEDTPRIVVDP IPSEHEKILAACCIAYLPNRDEVTNLWFRGDLPASDTDLYTSLVEKGIQASKNANRVL VSCLSETLQ MYCTH_2314148 MGRKWRWGVILDAGSSGTRLHIYRWKDPEKALQSATPEDLRNLP KLVTDKDWTKKIRPGVSTFADKAEDVGPNHLQVLVDHALKVIPKDKVEDTPIFLMATA GMRLLPQAQQRALTSEICSYLRQNTRFSLPDCDLHIQVIKGETEGLYGWIASNYLLGG FDHPDKHQHGKGHHTYGFLDMGGASAQIAFAPNATEAEKHANDLKLLRLRTLDGYPAE YKVFTTTWLGFGVNQAREAYVKNLRERYAETEMELPDPCLPRGLRTTLGGDPLEKRES GMPTLIGTGQFDECLQLTYPLLGKDKPCEDSPCLLNGQHAPAIDFDVNHFIGVSEYWH TTHGVFGGKDDKAYDFASYQRRVKEFCGRDWDQIKAKTNSLKGDGIKVAQEACFKASW LLNVLHEGIGVPRIGLEDVPTANASKGALEHAKERGFLQPFQPVDKIDGIEVSWTLGK MVLYAAGQIPPATRDGRYPVGFGSNVEGTPYDFQFAGSSWKPIGNQTSEADDDWELNA EDILDKAKSKSTSFFFAFVFFLFLFLYFFRKRERRMRLYSRFSQVIRRQRKPGHSNPR KNGGGGGTRALSGLATKFFGGRRPSWGGAYERVMEEGEASRFELGEVESDDGHEYSDS SDGLSALSAKSASSRRFGLSSGLSTPQVVDHHHYHHGLASPTVTALDRSGLVVRTESR ERLTLSPHLAMSGVGRRSRAGSPTRLKSPLMSPLQES MYCTH_2052653 MSQPLFGLVPAGQPVIVTPTQTPTPTSFLYAIPPTPPGVNSKPF SHIVVFLLPGITLPPGTAAAIYLVTPDSQTQEPNTKFLGGIGPGKESAIFKLSPPTNA AAAGAESNVVIGVSVEPAESVSARIAELSGALVPASRPAMGQQPSTLVLAQRIIKNAF NFLASFSGNAGQPEMVPLKAFEEWWRKFEGKVRSDPGFLERDE MYCTH_2298729 MAPRLTCLDLVNKCDGFPDPERDPQGYSEEMKRLYTFLWKDSEG SYPIGYVPLSVLEALNDTPEDIRGPMEVDHGARTILLFQGPATEEERTKLVGRLTAYW RENKKFRMLKGWRDELWPVYGRNGDLLFSIERVAMGLFGTTRFGVHMVGFVRCHDDKS RYDFRIWVPKRAANKSNYPGMLDNTVAGGLPTGEDPFECIIREADEEASLPEDFMRTH AKEIGIITYIYITDERAGGEPGWIYPECQWIYDLELPADGSITPRPKDGEVESFSLRT VEEIQEQLAQGLWKPNCALVMLDFFARHGILTPENEPHYDELRRRAHRFIPFPGPHWP HWKHANPPEVKKA MYCTH_2298731 MSNIASDAQLFEDHFRVERLGNAKYDRVDRIYCQSEDKSITMTL DINNELFPCKPDDELHVALATSLNYDGSKDNERGWRDVAKAGASDATLADIFDYVCYG KIYKFEDAEDGKTM MYCTH_2298732 MSFVKRNTVLSTRPGRPIPQVVPASEKKQPAPGIRPSPLDGRPT TSTGTSSLDQLLAGHGGLPLGTCLLIEEQGTTDFSGILLRYYAAQGLVQGHHVHLVGY PPEWRHQLPGVAAPGSKVWPAQSAPAPEEKMKIAWRYEALGNTATAGTSEKGTAGQGT FCNSFDLSKRLSPSACKGSLHPTPSTCPPMFDQPSPLPSSPFRTIIKHLQAKLSLSPS TDIHRIVVPSILLPSLYSPQCAQPSEVLQFLHGMRALLRQYPNQLTAIITLPTTLYPR SSGLVRWMELLCDGVIELIPLPANPGAPPPPSSSSRSGAASGPDKNDQAPQGLLQVHT LPVYHEKGGGGAGAGSFRETLSFSLSASRGLVIKPYSLPPLEEEEKKEKAEGSKGKKE GIEF MYCTH_2298733 MAATTERQVRISRVAGRYLVFDIDDVVYIRRHHGICAVLTGTMP QNPTQNLFLGLPVELHAEEAQLLVEKGAGYIADELTDHLSQLTTRDESTRKAYLQHLK QQRRNAQQVFDEEKAKVQAKHQGKHKAKLPAASSPDAPAAASATENEDPSSSALFSTT TSTSGSRQEKKDTLLLGVTPTTSLPLLPAAAPRSSAPVDPSTTTKLPFYRYLNSRGYY TTPGLRFGGDFSVYPGDPFRYHAHYLANSYGWDEPVAMLDLVTSGRLGTAVKKSFLFG AEKPPAVAGEDGGVEGGDEKGKGEREVRVFCIEWAGM MYCTH_2298734 MDETAVPEAVAAALPRALDNTSAWHNFTMWTAQHFALAMNMTKL APSLEDLVWAGPRMVMKLGRLGSFISFPDAIDGFGQRAVPNSTNVDIFSSSSFSSSLS SDTGMVADLIETLAQSTNTAFPTSTMDSASGAAAAAAAAADDVTQNPNAFVSRFSMEG ARGLGGVFSYATSKWALCCIAMAVIFNRTHIFAATRRRLRLRWHVRLLLRAAPVILLL LQARWLLQSIQCQTSADFSELRWGNASKSSDLMFAQPNPFLNRLSSSLLFGASDEESC RAIKMVPWDDREQPELVGSLSRLWPLFGTYCLSHFVEVLSCAVQGRPVAAETGMTLFE HSLAFAEADAAISNQLGWGLFTNGTAASQAALGSKIALTRSMILKRVNTPPEVLLVAF LSAMSHVTSHILGIFNLQSKFRLVSTGFWGLCFMSSLLWSALNFSVDDPSTQGLLRFP TVCIIGFIPHILILFGIYACGLVYASALALSAISPPEGAPDGEQRSLRQRLRQAHANM QANVSLSEIRIRMDMDFYTALLRAGFGAITMASEAVYFNEDHQVNLKKYTWLEEERFR ELEELRMQWIGGGIPGSRFDSVGTIGLVPVKNGQANATSGYAREKAAQQIPKSNASSR RVRDGVGAAERSSRWLIAFEYVLHISKLMIVVWALMTIKTMSLFGLRTPPRWLRGLSQ RPKSGSSSKKSGSRGRTRSADVLSLADGRVSFAVTRNDEVDVEAELRRRMEENYAQAE AGERKPDEAEFDSELYNHFLRGGWWGNSDSSGDYVPPSQHSGSGADETDVDDTTSIIS TTETTSELDWESDHDGDALSDGQRTPTQQNPAPISSFASAVASARASRESTPLFDTPI AAQDLARLLHPRTPEDRDEARALAAHLSSDGIMTRSRYKQQLQRQRTQVLLTSSQVPS SAAPEKMTPEEEARVLEQLLLSRRAGKSGRSAGASGSQSGEGGAAGQASSTWATGAAG LGPDGPQCVVCQSAPRTIIVWPCRCLSLCDECRVSLAMNNFDKCVCCRREVISFSRIY VP MYCTH_2314150 MAAQQDIATVYVRNLEERVKPEPLKEALRAVFSEFGNVIDIVAK TNLKAKGQAFVVFDKPESAHAAIEEVQGFELFEKPMQVALARTRSDATVLRTGNAEEF DAHKRRRIAEKDKKKALESAEEQKRLKRPMPGGPAEAGARPAKNARGAGLKSTGGGPA AVVPDEYLPPNRILFVQNLPDDMDKDALTAIFGRFEGFREVRTVPGRSGIAFVEYEAE AGAITAKENTAGMPLKNGEKLMKVTYQRQ MYCTH_2298741 MASTTTSGRQPDLALVSEDDFAYEQDIQRNPGSTKPWLAYIEYK LQHGTEREQAFVMERACVQLPRSYKLWKMYLRFRVKHVSKLNAAIFAAEYQKVNALFE RALILLNKMPRIWEMYLQFLMQQPLVTATRRAFDRALRALPITQHNRIWALYKPFANS AEGMTAVKIWRRYMQVHPEDAEDFIELLVHTGLYTEAVKKYIEILNNPRFQSKNAKGH YELWSEMVDLLVEHAVDIDTGHESEIDVEAIIRSGIERFSDQRGKLWCGLATYWIRRG SFERARDVFEEGITTVMTVRDFTLVFDAYTEFEESIIGALMELASKRAENGVVDEAAD FDLDIRMMRFEHLMDRRPFLLNDVLLRQNPNNVAEWEKRVALWGDNHQEVVQTYTDAI ATIQPKKAVGAFHQLWANYAKFYEKGGDLRNARIIMEKAVKVPFKSVAELADMWIEWA EMELRNENFDEAVRIMAKATQAPKRSTVDYFDETLSPQQRVHKSWKLWSFYVDLVESV SSLEETRKVYERIFELRIATPQTVVNYANLLEEHKYYEESFKIYERGLDLFSYPVAFE LWNLYLTKAVDRKISIERLRDLFEQAVTDCPPKFAKVLYLMYGNLEEERGLARHAMRI YERATRAVSDEDRADMFNFYITKSASNFGLPSTRPIYERAIATLPDNEARDMCLKFAD MEKRLGEIDRARAIYGHCSQFCDPRTDPEFWAKWEQFEVQHGNEDTFKEMLRIKRSVQ AKYNTDVHFIASQALARSQAQRQQNGGEQQVDPEVADAMEQLERQARAPAGFVAASEG IKGSVESRPVEVSNPDAIDLDGMDE MYCTH_2298743 MADAWKESIASTDSKDPTTQQLPQSEVLAKGPKAAPTTPTSPPV QAEIRDHRLSDEWDASKVPPSRFQKRKGSIYAVPHSRDGRIDSNYPAKYFEKLAEMSS KK MYCTH_2298745 MSTVAAAAAKRLEGKTIVITGASSGIGRSCAFEFARTAPRDLRL VLTARRADRLREIADQIRAEVGDGVRVLPLELDVSDPDQVRGFVDRLPEEFRAVDVLV NNAGLVKGVARAPEIAEADMNIMFQTNVTGLINMTQAILPIFKARPDGGAGDIINIGS IAGREPYAGGSIYCATKAAVRAFTDALRKELIDTRIRVMEIDPGQVETEFSIVRYYGD KAKADAVYAGCDPLTPDDIAEVVVFVASRRQNVVVADTLVFPSHQAGAGVIHRRQT MYCTH_2298749 MGIAASSAILGAKTRSGTPGGSVPSEMLTHMASDPSALSPEQWA AIRRVYTKALREDMIVCCAVLAVAMVVTLGVYKRDRVTIEEMMKQRYREEGERRRAAD NRRDQAESGGVI MYCTH_2298750 MKCALLMRALCSGPVGGVSLAVFLLAWPDKKYLPALERRAWSDV DFVGAFLL MYCTH_97762 MAPANDESDSSTPTRSPPLEAMDKINGLKKHEKHTSEGFSSPSE DNPTGVPAVDGTDENHLIPQTLSGLRLMALSIGVALGLFLSMLDTSIVATSLFAIAAE FGEDSGGGDGDVGALNWVALAYELSYLGCAVLCARMSDVVGRRAAFAAAYVVFVASSL ACGFARSMDQLVAFRAVQGLGGSACR MYCTH_2298752 MIARTKRCEAYVRRGHSCDGSGIPLSSLDRILQEQRRIKDAKYR AELELDES MYCTH_38704 MAPTIPVPTQGGMFHTFQGVTPRKTSTDSQDSTKTNGSGTAKRI TTPHACAECKRRKIRAPKRCFYDKHRQRVIPSRKTLEALSQSLEECRSILKRLYPNHE VQALLPLSRQELLNLLDRPVIDTSIGGLPSPPINTSPISDLGSPMMPKSESILEQLPS RDTEWDEERRGRDPIPAEADDINALSLSVDRQTSYLGASSIKAALMVMLKVQPSLRHT LAAPLSGVEISHNFPAIRQKPSGTQKEGQRIPWSWKGQTLIDAYFKRIHVFIPMLDES TFRADYLEGQRTDAPWLALLNMVFAMGSIAAMKSDDYNHVNYYNRAMEHLPMDAFGSS HIETVQALALIGGYYLHYINRPNMANAVLGAAIRMASALGLHRESLVQSSSDIVAAET RRRTWWSLFCLDTWATTTMGRPSFGRWGPAINVRPPEFGINGNRDSSQHAGILPLIEN IKFCKIATQIQDMLAISPLLRTEDRCHLDAQLVSWYNSLPWLLRTTDPCAEPLYMARC IMKWRYQNLRMLLHRPVLLSLASSGLNPHTQACDADLAAIEVCRELAAQTIDDIAREW ARNQMSGWNAVWFLYQAAMVPLVSVFRQWGNPRVPEWLKQIEAVLDLLEIMEEWSLAA RRSREVVWRMYEAARQLVEQQRASASPSIQVLAGGATADGMLMPSPGAAEAAGMHMSP IGLEPVDGLGLMGLLDQGGLWGDLDGMYWTQPGGPSPPPAPLGSPVDESACMAAAAAA AAEFAAYHSPVVTTAGGGVASPHDTNGMVHAVDYSGLMGHPHQPPHPHQQGMEFGYVQ MYCTH_59946 MTEIIMNQTPPVLLGPSEKERKYDRQLRLWAASGQSALESANIL LVNSGAGTVGAETLKNLVLPGIGRFAIYDETQVSEADLGVNFFLDEDCLGKSRAQSLT QLILELNPDVQGTWYPDEEVKTLDTLLERSPVFTIILYTHPIRPEQLAQLEAYGQQHK TPLVAIHSAGFYSYFQINLPGAFPIVDTHPDETATTDLRLLNPWPELVAFAEELTKDI DGLDDFQHGHLPYVVILLHYLKKWKAGHDGKYPATFKEKSEFRKIVQGAARTNNPEGG EENFDEAAAAVVKTVVQPSLPSGLKEVFEYQHTDPAEKRSGFWIIADAVKQFYEKHHC LPLPGKLPDMKAQSKVYIQLQNIYKAKARKDAAEVLQIVQATPGGEHVDPAEVDLFCK NAAFVKLINARRGGGSGSDAQMSREDRLKSVAELEFANDETAALSLSPLSLLPIYLAL QATAHVDLSSREGFTADAILAAVAQRVPGAEKRERVVQAANEVARAAGGELHNVAALT GGMVAQEAIKIITKQYIPADNTVIYDGIGGRVQVLRL MYCTH_2050486 MEQNLSCNIQDCGVQLTTHAVVTCPVCTQSLNASEVCEQLLQPS EEWKSVALSGLSPTVVMECAGRALGFWSYQMTNQISYQLRKHSKLKEYCAELQGEIEN IWGQANQRIITLTTKIRDMEREEHSLKRQCEGLRLALESRSRELAQFQELYSKLKQRV LHGQAQEVPPSVLRSRTPIRAPGAAPESHNRTQPQLGRPTMPASVRTEIPNYFPASPG FPETQPRSEALVEWNTPEIPQRRH MYCTH_2298766 MSTSAAGPSGLDNVRHRAREQSSDSIDNVLRPAEGANSVTEDVG QGRSKRTYGRTPDGTVFVVPETHDMVSQLLDPREPKNISDVVVLAILALHIWVAYALP WSLKRPVFALVFLFWRASYNVGIGFLLTVQSKYRLLVTWAKRSRLFEDPSAGQNPRPW LYQLLKTELETKIPKDYKMGQAPIEYNTWLVFRRLVDLILMCDFVSYCLFAIVCAHKP AGEGFAIAAARWIFGIALVGFNLWVKLDAHRVVKDYAWYWGDFFYLIEQELTFDGVFE MAPHPMYSIGYAGYYGISMMAASYDVLFISILAHAAQFAFLAIVENPHIEKTYNPPPP RRRAESEITGHSEATAESARKATAAPATPLPVHNMVGFKNFDPFRTTDCASVLLVGYL AALALVTPSTPFYQGLFVLNAIVWRLWYSVGLGIILTKQSKEKMFTRHFLKFGETPAE AWRQWKGMYHLSMALCHSSFLAACWKMYHYPADWGYGWVLLKHVVGLSCVALQIWTAT SIYDSLGEFGWFYGDFFFDSNGKLTYKFIYRFLNNPERVIGTAGLWGMALITWSKAIF LVALIGHILTLGFISYVEKPHMQKIYGENLRKEAGLTKFIKRSLPQPVKELQESVDKV WEDSKHFFDDFVDVARTKLGAGSSTIVRDTSALFNKYPARLTLSRISPDLAGYDPKHY SISVEGTPLVGADERATGKESANARVSKAVKTKVFEYGAPIRVKWTAPANHAKKDWVG LYMVTDNTSREVTEVPSLGRWVPTRPGEYDITTDQGIVSYDQPTATSSASPEVNLVQG EMVFEGDKLWWTQGVFEFRYHHGGSHNVMSISEPFEVRLAKVEDEDAGPEDVERALLP IVRNCLDRDPDIAPTTPEERFGGHVERDSKYAKRVVYAIHHMFGIEFAPAVVPADGNV RKLAWRICSAKEVLAPYSMSHRQGPSTPVRDKFSDSF MYCTH_2298770 MFRTPKATGASSNQMARSNNNNNNNNNDYNNNTAARPGTPPSPT NGSEKPLPDPGSSATPQRPGTSGTEAALKMTRRQASEKYRQAQRAERIYRAKKRSAIA RANYADAKQNFRQARSHFALGCKLLVAAIRGWPYIVREKREARRVKAEEEMRRKVLEK KRRLDEKLGVVGEGANQEAGEEGR MYCTH_2314155 MAAQTKHDWADDEDLEETTTTTDLPPPQKINNKDGSTTIIEYRI NDQGQKVKTTRRVRYIVRREVLNPRVAERKTWAKFGDSANDGPGPASDTTTVGENIIF RPSVNWRREAKDEANDPNAQAMKDKLRDKKVKCRICNGEHFTARCPYKDTMAPIGEAG AADVAAGLGDDTGAGAVGAAGPGKKGSYIPPALRAGAAGQGERMGGKYGERDDLATLR VTNVSEMAEEQELREMFERFGRVTRVFLAKDRDTGLAKGFAFISYADREDAIKACNKM DGFGFRHLILRVEFAKKAQ MYCTH_2298773 MHAFLDPDSPESPDFRGSQSSHSRRMRPPDPHDEASEAVRIPLR AGSGDKARKRESRLGLRNIFGRARGGSDADSVTAPTRDGPHRLGGIRASLAEISWPHV SQTAQGHRSDISLPPQKASPTGHALKHKKSESLVRQQPTPEGIAAWTPPPLFRAYPQA IKHAHLPACTLPAEVILRMHAHKSSGSLAGLLGPRLSDPSEDATGEKTRSRHRSNGSG SASRFEWTSKVYVLTTSRYLLQYAGEGTYDRLPERVLQLGKDSAAFASDAIPGRHWVI QVTSSVSEPDRIPEPPSSLRARLPFLGHEKKHSSALLMVCESAEEMEAWIATLRREIE ALGGRKVLSETGKPKTDDEDVHLRSYASQRTLVRRDPDRFSRVMTPDQSSNHSLPIMS PSPEANAREQSFDDNSTASFVSHEGRQLETLRDSYNRLSFVSSGQRTMVTSVGSSPAC SPVRDSFGDVDSMPEAREPEEPQPRPRPNAKAIIDRRQSLQTINHVLEMGIASAQALR PLSTYSNPGQPEGAAPSAPAPQPISNVSVSHSVGNRHSFARTPGGPPSMQTTSSPLLA RMNARRPPPTALFINPRPLSLVEDQPSPALSALSRSGTATEGSEGPSSGSPLAPPVTL PSPHQLESEVSKRIRDEPIRDSGISTQENISDRPTSTYLANRHSKDDSEIPTHGILPI EAREMPRSSTSLGTYGESRPAAQVSGKPRTRGRGLSFNSQEVERSGALSSPPSLQADA TQRPRTPSLKPVPRSAQQLRPDPQPHMVLQQRSMSQLAAEGPPLAPPPNRALPPIPQK PRMNSPPPGFI MYCTH_2298783 MSPDPELPQLPNGDGPASASPSRHPSISLQAAATLNAGLQRESP GRASPRSPLSPRHRSPSTGRRRSQVLMNLQMADPSTPAPGEMVSDSQNRSYRSSSPIP VAGSPRLIATRTPNHNRAPSLGELHQELENEQEFQVNRLLAQIRQLQERMSRREIQRQ QQQNSSAVAGDDQSSERSVPVSVPNTSGSLPRSPVFPRSSFDMARADLRHRSRTPSRN ASPRLRSTSISADSGEPWALAGRDESAFYQAESQMLVRENQMLRHRIREMERQLAELQ GNNNTSLSHEPSHSSHLNHSMSVSEEDDAKHTSATAAQESSAAEE MYCTH_2298784 MRPGRSLPLALCSGAFRVRHRTSALHHAAASQRCFSQTTFRAAD FTHAVIGGGVVGLAIARSLAQRSNSSGSGSSSPSSLSTSSSTLLLERHPAVGTETSSR NSEVIHAGIYYGASSLKTALCIQGKALLYHYCAAHAIPHRRTGKWIVAQTDAQRAALQ AVHDFCTREIHVPVRWVGAEEAARREPAVRADKGILESPTTGIVDSHSLMMSLLGQFE DAGGTVALGSRVEAITPLGGDGSSGWELAVRDLASGEVSTVTAETIINSAGLGAVDIH NMIVPPERRREMFYAKGNYFSYGASSPKVGTLVYPAPEPGHGGLGTHLTLDMGGRIKF GPDVEWVNSPDDLAVNESRLAQTVREVKKYLPGLDETQLQPDYAGIRPKLSKQGAVAH GKGFVDFIIQKEEGYQGWVNLLNIESPGLTSSLAIAEKVRKLLYG MYCTH_2298789 MAVSTDVYTPTEDLILAKPRRRFGTGVRWQHWQLRSLIGTDGQN HVYFPVPGNDNRSCPIQRLNTKTGEVDTVKRLSFNPRCLVARNGWVCCGGELGLFSAF RVGERDVPGGADSRPDHQADGRFSVDVLNPTPALSPEARAQKDLMAQSIGFGKDRVNC ITLWFPPTLVEPFEGAYDQDVAVLAHNDSSVVVVSLRDQEPLDKITYPDYMNRGVISP DGRLLIAISDDPYLYIHERKEKKSESGASARAADRPVYEWSACGRIQLKSQSKDDRSD NRGSFAACFSSTGTYLAVGTQYGTISVFDVAALVTPGVNPLITSFHASRPNAEFGAVR DMAFSPGPIDLLAWTEDRGRVGIADIRAGFDSRQILYLDKDGDFDDLAVTDRGIADFG LDRGTIDFRLFEPREEGGESLLSSYARSAEASAGSRPTRQPEGQTPLARINVPLTGEE TAVLEAIQDFRRRQDQYNAGPNRTGSESGGSNSNGTSGGGGTGSGTGSRPPWAERAAR AAEAPRRERTASVSRAVNEILDNIRDQRERIRDTHERLRTREDSNGERRRYAASPFSG LSIALGTAGSGTSGRGALVSRLLANTTNPTFSGGWDNVEALAAYLMREWEDSPTRRVL GTYVTSHSRPGPYDTAGLAWSDHGDTLFIGAENGIYEFRVNLFGRRLSPSITFN MYCTH_2086391 MMATRLSRALPRAAVASRSAGMFRRTPAFARFESTDEKVKGAVI GIDLGTTNSAVAVMEGKAPRIIENAEGARTTPSVVAFAEDGERLVGVAAKRQAVVNPE NTLFATKRLIGRKFTDAEVQRDIKEVPYKIIQHTNGDAWVEARGQKYSPSQIGGFILQ KMKETAESYLSKPVKNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTAAALAY GLEKEADRVVAVYDLGGGTFDISILEIQNGVFEVKSTNGDTHLGGEDFDIQLVRHFVQ QFKKESGIDLSNDRMAIQRIREAAEKAKIELSSSLQTDINLPFITADASGPKHINQKL TRAQLESMVEPLISRTVEPVRKALKDANLQAKDIQEVILVGGMTRMPKVSESVKSIFG REPAKAVNPDEAVAIGAAVQGAVLSGEVKDLLLLDVTPLSLGIETLGGVFTRLINRNT TIPTKKSQVFSTAADFQTAVEIKVYQGERELVRDNKLLGNFQLVGIPPAHRGVPQIEV TFDIDADSIVHVHAKDKSTGKDQSITIASGSGLSEAEIQRMVEESEKYAEQDKERKAV IEAANRADSVVNDTEKALNEFADKLDKTEADQIREKMTALREFVAKAQSGEVTATSAE IKEKMDELQVASLNLFDKLHKARAESGEQSQSTEGEKKDEPKA MYCTH_2298795 MDITKTAVSLREKALLYGDYSTYWSQLSGKLLNSRKKLNIATKH RGKFNPKAPVTPEQIAENHEYVYLHLLTAERTWAHAMTMKASHAAGTKGMTSKTRSHI VSRLEKGARTAERLAEALASSASGASPTDVLDARAYAAMLRGAALFERQKWDACLKSY AVCRIIYSALATSAKGDVFRDLLSDTVDPSIRYAAYQAKIPRTLPIATIARDAFDHAA GPELADRIRDINPAVLEHGDADAAKGAGGTPAVLTWRGREVKIEDAAIAIAWAAVATA RGRLAEKLASAGALHPKETAAAYDEILIASQDAVDATKQAIDELRAEGVTQSDARMQS LQITRTAVNYEMISWRIGRNRVLVGENDGVKMDFSGPVSQKKKKRKQQQQQEEEQEGD RSKDEAPGRQIARLKEKVVLYDGILQDLESIKELPGVANDQDLSERLDATSQYFTALK SLAIARSHAVAGNAVNSLALVKHALDQTNTAAPSLSSPENNDDDDDAAFTPRNIQVTK RDLTLLHDTLTGELQRCLALAEIFNNNNKNSSSTTIPTDKAAEPFQQATTTTAAAAEA AAATTKPPPLSSRLSDYPAGGVDLDNIVTYPPRLEPFPVKPLFLDVAWNYIAYPGKAA AADQPAQPKESPAAAAEPQPEAKPQRRGWFSFGRS MYCTH_2298796 MGAIDDGAPSPESRVDYMREQFFYGSRYFGFIPQVFQEQAFESI ARMAADERHKIASGTHATLQLGLCLLSGFGVDRDIHKGLELVFEAADKGNHRARAVIG RFLWSFGNYTESTPVDWVIEQAMQYSYWAIEELGRAGQRFYSEAVKRAAFLAATAISR VGYGPGYFVESRFDLFDPNALEEQIKKEFGPANGRAESPAPDGPTLEAPTRDSVNSPT RDTNSADPYPLQHEQTMPGQPNVLEDGQVADEMQLQPADFFDAAMRFVCCYGLLETLP VLLREQPRLAPDLGPETYIPLALAAGQGEVALALLGSENEITKETNPYLLFQLHHLPP QQVGPVAGAIVSRGFDPNEKVELHRDSRFTNDRLSVFLDPVLDHRIHPLDGSSRHWLP EIRGRSLTPLRWAIYHGNEHVVGALLDLGAEFARLPDVDRCVAHGGPVDRLETAAFTV AVLEEPCLNLNILEMFFQRHGDQPGRAVFAETPLGLIAMEPDCPERRLRFLGPGGETD NLERVLSLLRRHQPDSDAQLFWAAAMNGHEDIVRYLIKGGVDIELRYNGQTPLHTAVL HGQKGVFDLLVKNGAEVRALTSDKGMSMMHLLLWKPKPVGVELYMINKLYRRLRSVAG GSGNFGRKVQPIHLAALNSRVAALERLLELGADPTVPMEEDIMPWARGCFRHEGWEPP ETLKRDRSEEGSLLATPISLKGLTPAGIILSRYDIMPPKDLLAMLRILVLSTSFPVTL SRLYTRPSLKQTIFHLLACHFPLTETGILEHLLDGLALHLPSGPGKANGADRQTLINL PDADGDTPLHYAALFSGSRNTRAVDRLLSLGADPTARNLFGMTPGVIRARYLAWKGAA AAEDAVLRSVTGKGGNGARASYGDGGKWGSKLVQFGIPLSPKERFAAAGDSSSDEAGG EGQGGGGGGDDPGVRWSTRYWGGRTASCMRLQELSGEDGEPDRIKMMGQLLRGARVRE VWDLHAGRWVRFEREMMVQLVTEADIQVDFAREVRTG MYCTH_2298798 MSLFQDLPPPSSKGLGLFGDEGHPDKTTLEWALNLSVFTSRRPF SHVAETLGRRKPAILRVWGTLTASKPAHGDGVADGMPEYVVHIQSHDDSENIDLCQPR WYESRQTIEYPSVTFAEARQHDSYLLNRLSIYNDWRPIVRVVRYPVEPEPGITSLVPV CFCLFDKTHMPGVLRDETDAIIEGVDLHNGKVSDAAMVAGLNRYIACLKGEGPEETPS PNQTLPASAGGQPAGQTGDCHAAPIPRGRLRRQLRRHGCIGPQTPMKGESQIVPVPEQ GGNLVIPVRPIPAVASAVVRGRRRRQYKRHGLDLRQVEASPRIPIRPHAKAAVDAEKD VAVATGAKLSPDMLPSLPGGTRLGPEAFSALIDKQPVIWLETPNPKEFDSELLVLPIH YDNGDRRRLGYLVLPKESILVWVDESWVNYISSNGTDFWVERLFVLDSSSTSGSRQLV QYWYKFLPEVVDEFIDGLQPSALARDGVGDVELALRTSLQTPPLVFPDLELGALASVR MTLAICLLLEECH MYCTH_2298799 MDLVSTAWSVFTTCYSVYEVVSEAIELNTESQLWNVQMRVERVR FEVWGRTLGFLDEKTGAPKSLDSADGTIKDGGLSDIVQVETANKLICDLLRAISSVLN EFRETAEKYSLGEK MYCTH_2298800 MLERTATSIEPCSNSLQRVVPSARSCLQSRRKLHTSFWHHHGAS ELELLDACQALVRQSPGESKIRTTPAMSDKRVETMTASGLLLDFLYPSGTAALLRRPY PIRPVRLESGSRPRSHMSRLFTSSVSRRSDQSPNPQAAAEDPDALVEPDEEVDEERQD HDGEGAIQDIPHEAEEAEEAQDGVAAARILRNLLHSERVGAYDQIFQQYMRLDPSSRD EFTTDVLLAISASTRPIEAWRVNDLFSKYAVDEWTEELVRAAVKAQLTLHKVPEAMDI FRTAMEQRGFGGALDYIAAYGFELSSWNLVLEAWEIYYGIKGADEPPYEPPAPTSSEP VEPSHLQDADQGGEREPAQRGDTESGTDPTARQEPEALPESTESQTDAVSARAGEKGP RIETSSMQEIVTPSLSATVPENETQVDSSAGRDAVHDGSEAHQSPPAPENAEQSAAMQ DTDSGAEQTIRSPPTGGSGYPILAATTNFEAKVKELYKYLENDPESLPQRTALVDSFL KHVVRYSLHSFRPSDVVFMLHKTGDPLSYERFIILNAEQDQKRLANDLYRKYRALPGV RVAESVLRVMIDVYFPHNVVGMEQLLEDWYRSFGRLDERAYHKFMSFYGGRGDVKSIM RLAGEFSKHYGGKVENDPKFIRTLMQAHAVRGDPDAARQVMTDASERTGEPPTMRQWN ILLNAYTKAGDYGGAIELFSYICNELEPDDYTFGTMMGMAGFRGDLQFALELYQLARD RNIQPTSAMIRALVEAYCQNDRFAEAEKLCVSVTKKRNLPGNYTMLWNALLQHHAKRR DLSTVNRLLEFMSAQGIPYNHETYSQLLLALLYARQSHHAMHLLRVAHREGVFEPTAD HYVLLMAAFINSGEPHMALKTNELMASMNFPHSAMRMTKVIDALGRWQQLPYTKRLGL SGDHFLKKVLRNFYKAMEQEDQGSPDDIRSVVNLYSKVLFILTQMREHATVQQLIRLH NTRYPSRSSEETIPLKLLHQIMLADFFEKKYDRVRETWDLILRRATERYQPASSFLNP DDPERPGPKGPSTTTKRVIYAQRFRLCDPLKTMQRLYLEEQDAEGLLNLVATVRKRGF DLDSKNWNYHVQALARLKRWREAFTVCEKVLMPQWTGWYAVRAEAQVKNQVPLELRRI GRNPQRPRPIAHTLLVLAKEYMDLEQMMLWSHEASREFEYINEHCPKTVRAVTTLHRS GSRLEAQIFGQQQQQQQQQPDRRAVAERGQGQGEDGGVALGEGAAGEGEEGVEEDERV LGPAEYQRTWKGGRKVRVDEKVVRPRRFEDTAWTEGGLLNVEDPSKLRTGERDMSEED IVSALKGEARDQGRM MYCTH_2298801 MLFPEEDTPHLKAWIVKRLENTSDADPDVLADYVLALLRHDGDI NDVRKLCEDEIPDFLKEDSPVFVNDVFEAIAYRSYLPGAPPPPPKNTALPPPSAAGQL NPGLYYDDGSMGGAPTTYPPRFPNGSRKRAYTDWDDPNAQNGRDGGGGGGYGGRTFKQ ARRGGRGAGPNELHNNYGNGAPGAPPYPPPGQFPTDVPPGPSTVGYFDPKGGMGAMYG MSLAAGHPMPELARGHFPPKKRKKCRDWEKKGYCPRGTNCTFAHSNDPVYPPVPGPPF GNLQAPPQPQAVEEYDPTNALMPDVYNPPDPYQVQPSIPDFGQQQRARGGKQPSRHKR GEKAPFSADGPVFDKTRSTIVVENIPEENFDEAQVRQFFSQFGNILEVSMQPYKRLAI VKYDSWSAANAAYQSPKVIFDNRFVKVFWYKDEGSTLPASGSTAGGPPGAKKVKHANG SFGADGHDAGAQSHIDLEDFARKQEEKQRAFEEKTRRREELERQREELEKRQKELLAK QQEEKAKLEAKLEAKLGKHSSTKSENGEGTSKKPMSQTEALRAQLAALEAEARQMGLD PDAMDAPSPWPPRGGYGRGRGGWRGSAPPYMARGSYRGSFRGRGNVHAAYAAYTLDNR PKKVILTGVDFTVPEKDEALRQYLFGIGEFTDIQTTPSSTEITFKDRKTAEKFFNSVL LANKEIPGLGDASSSLDLAWASSNSASGSASTTPGARTGSSSTDRGAEGATNREQRGT VPADNEDGNDKDKDDDAGAAAEEEEEAAAAAGASSSDKDVHIQLEQAPTTSDHPGQYN NHHRQHPHRQEGSRDHQNMDYEVADEEQWGY MYCTH_2314160 MHIREMLAEAERTGNPSFSFEYFPPKTAQGVQNLYDRMERMYNF GPKFIDITWGAGGRIAELTCEMVVQAQTYLGLEACMHLTCTDMGEEKVNDALRKAYKA GCTNILALRGDPPRDKEKWEATDTKFRYARDLVAHIRKMYGNHFDIGVAGYPEGCDDN KDEDLLLDHLKEKVDMGASFIVTQMFYDVDNFLRWVGKVRERGITVPIVPGIMPIATY ASFLRRANHMNCKIPEEWMAKLEPVKNDDVAVRDIGKVLVADMCRKILAAGIRHLHFY TMNLAQATRMVLEELDWLPSPERPLKQALPWKQSLGLGRRTEDVRPIFWRNRNKSYVA RTQDWDEFPNGRWGDSRSPAFGELDAYGIGLKGTNEQNRQKWGEPTCVRDIANLFVRY LNKEIDYLPWSEAPVAEEAELIKKELIDLNKRGLITVNSQPAVNGAKSNHPVHGWGPS NGYVYQKAYLEMLVAPELFPEVKRRIEQHPDLTYHAVTKAGNLYTNAQFEGPNAVTWG VFPGKEIVQPTIVERISFLAWKDEAFQLGTEWARCYESGSPSRLILEEIMNTWYLVNI VNNDFHRGETIFEVLDGLEVPNLDRVPEPQGNGVTNGAPNGVEAST MYCTH_2298808 MLLLHQTGSVKIGEVVRYTVTYKPSVDHILPSPEFLYLRIKNTC AIALRAAFVHGPYTLGVAAYPATFKPFEKFENPRRYGVPEFEPMLKAGAVWNCPLIVP DNIRQSAGEGSSKHGHFGSGPEHDEDTVSWVIEVSSQVIFSASASVHYEILLARDEKS LNLGSVMPVIGGQVQAPQPGRVSDFQQSAGSSKHHPAQPKGVFSKAIHLKVQDTAALW NTPEIPGWNEIGWLRAREEDCSDAPAEAGAATRNSGEAKRPPKHRQKKIHLVVLTHGL HSNLGGDMLFMKESIDAAVKQAKVDAKARKARERAARRRARKGATTTGDSFERGDQGS NLDPNLGLKAGTDTASRRKEGDEGEQLEDEEDDEEVDDDEEVIVRGFSGNAAKTERGI KYLGKRLARYILLMTFPDQPCLPTAKAASEAITHSLKTGAQKKAIEEAHKHSSAHLAA SPGGKRLYKFTKISFIGHSLGGLVQMYAIAYIQKHSPQFFDLIEPINFIALASPFLGL NHENPLYVKFALDFGLVGRTGQDLGLTWRAPTIARNGWGALMSNLGEHAHKRVYGEYQ PESKPLLRILPTGPAHKALKKFRNRTVYSNVVNDGIVPLRTSCLLFLDWQGLGRVEKA RREAGLVGTVVGFGWAELTGANMTTPRLAPWSPAEQEDKDDAESAGKTTPTGHEDSHR VPQPPTNAMLEDDRQSLRSIAKPYRETPSELQHLQNSSTNNTSNPLSGIFNFFRSETP KSPPLASPKINKIYQRSQTLKSDQWPASDSGTSSKSRVTAGNELSEDAEGMSAPPKTS IFESAGDLLNPKLPPVEFLIDPSKRPRTIFHDRVYHPADIPPPPVKERPSGTLSLRRR TPAASSSFLKSNDDTTSSSRSHPVNQNDTSPDSPRSQQSKPAPPSTAASDEASTVHSP SSDATHGEAAATEDVADVDIDTSQMRVEEKIARAYHRGLSWRKVLVKLEPDAHNNIIV RRTFANAFGWPVIQHLVEAHFSDSATARLRDEDEPNAERAKPIGEGTDEGGGEIRAAA RGLNARGEDVSIRNGRDGDEDEDEDEGDADEKQDTVSELPQGPGLSPSLANANSNNAQ GAFPAQSSTAAGKGSGGTSTTTWPPPDRVDSVTWSDRDWADSGDESDDGVGGRLSGTR KEAAGGEGKGKGIGLGIPFGGGERSSSPLSWNWTEKIVGKGGLGRSKSPSGDSGAGST AGKDAN MYCTH_2298809 MGRRPNALILQYFERGPKLQDQSNRYPHTCKACGEHFPRGRLDS LTSHLTKKCPAISESERVSALLALSGMSHAQQRFQQSQQAQARAQTNGSAVDLPMMQR DWTALGVLAEVSRQIDLNEKNDDRGQPNGTAPSGPSPPAGQSTERFELQDQFIIENPP LHQESSTSQPPKSEPRPEEADRAPTAEERLQEILRAEDAQSSESANISMAAAATARLH PPYLDPEILGEEAAAAAEAATATANAAAAAAAAAAAAAAAVNTLPVADMSGTEESAPG PSTPGMAAPAMPTPSAPPPWGEITYATDTFQTPVTHNSPMQTTSATPGKGVFRLDGGP NGAKSRHSRARFNATRRKEVQEVRKIGACIRCRVLRKTCSQGSPCDTCRKVLSPRVWR SGCVRTRFSEQLDLYSAGVQIVLAQARVNSIKQSMNLGSHGVVIEASHFPECGPRLQL QVLQRDPDRDAEGKPIDPSIVDDRTSTYPIVMLDNDNQDVPAKVEAYMREILPEMIQR EPSHFMQVTLQTAADVASKTNDELLKKSLELWGLVEILDRERQWTISAKTWAEDAPAR TIKEDTDGELFNTICLQLAAAAERKAASTSKTLLTGMQRVLQDSKVKIDFNMYFAVLI LLNCVEKSSWAFKAWEQENLRHLWPLEKEPVSFAQQGYVIANLLHMLLGIRKALPRTT RREVDGKLVTEEENPAIREYFEAINLDFANVKAKQERPTFSPTDSRSFELLFCSTLLL PHSDP MYCTH_2298813 MADLERTVLDFYQVSTLNPVQWPAEKDNESDGSEDEAAKKKANR RKSRYQALERAVGNRSSVVPGSENSGNGVGNLVQRDEPDPLGTTDSVVRTLKHMGVPL QDDVRLRNRFLLSSTTFSPALFLSQMHATADTQSLINGLDVLSKSIDQKSASLKVLVE SNFERFVRAKATIDNVYKEMKYRGADPNPPRARGHSRHASRNSLRSTSGPPPLAGPHS PATDPRKKNALVKESEYGVLGVKAPLLDVSAKAEEVWGPALGGREKEEHLKTVASSLD QYKDYVETSAAIADSIKRKDYETLVEEYTRARKFADEAKQLADELKSAQPTDDQLYRI LLAARMWHDVEEQIQVLKRDIWRRLISPYNVAKADTPGQHGGDQHMELITLLLELGVE DNPIWVWLLSRYDYLKSKIQSTAERSKVEIEILRRRLANAENPKPETIASHMRTLGRQ TVEVMSKSFDSPDVIELWEMNVSFLNSLLAPQGILGEVLEFWQTVQGFIDGKTQKSMP LGYHGESEPHHRLSQQGASDLQKGTVELVSMIRDHVLMFFAGPPPEDISLLFSPMPPQ SPGTPGAASASGSLTPRDPRFNLDPSNPPPPSPKRGEPWEKFAFWPPWSNSLSGVHFL SKMLALVGSGASDMASISPVSSGDAAEVEKVRTLVGIARERCVTALCAAWNRDAENIK YVEDWNRSPDSRDVTRMPASFAAFEGALLSGMQKILYISDAMSKPGAGDIVTPPPTKL LQMVRSQYVTTLYKALSGMVENAERFPKKADDEWTVEVDGNVLVSNPAVSQPSASTLG GGTIDAGDRNVRMLLTLSNLQALRSTVVPSLNTQFENAFSVKLTDETKTIRDVLSQID ARLFQSYTRPSIENLRRIIRAGVSSPDWSPPPGAKPRSVRPYVYEALLGLVLVHTQVS TTAASLTTEILSYLLEQASRELFEAFKTRPRYHLEALMQATLDVEFVAQTLSHYTTDR ASELQSAIYQELDGRTDNDARARLQAELPEMRAVLKRLREASKGEFACFRRPKRSAAG AAAATGGGGGGGAGPGPGSGAGLERRDTGGSVRSNRVV MYCTH_2132657 MLALRSIAAPVQRQCWRAAPRAAVTLSLQNQRLYSSQDRVAKFN GQKDAQGRYTVSLIEGDGIGPEIAVAVKDIFAAAKTPIVWEPINVDPILKDGKTAIPD AAIESIKKNKIALKGPLATPIGKGHVSLNLTLRRTFNLFANLRPCRSVAGYKTPYDNV DTVLIRENTEGEYSGIEHVVVDGVVQSIKLITREASERVLRFAFQHARAIGRKKVRVV HKATIMKMSDGLFLSVGNQVAKEFPDIEFDAELLDNTCLKMTTDPVPYNDKVLVMPNL YGDILSDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIAGKGLANPTALLLSSLM MLRHMGLNEYADRIEKATFDTLAEGKVLTGDLGGKAKTHEYAAAIIEKL MYCTH_86076 MPASHSEQLRAVIENKGLKFHLKAGNAKWECTIYDRNTHEKRKA ERTNSSSSVSTTGPSSSPSSSTKSH MYCTH_2298820 MPVPVAAKVGVVAASVAVAAAIAIYEIPEVRRAAEDLRRRIAIA LHSLGENLDPSSRQPSFNRPEDAEGFYQSHDVDADEETRRRQREELMYWNMRREEQRQ RERQASEQRSRGSTFDDFLRPDRAGDSGTLVYNTGANAWDAEPSNALRRRGNAEGVRG LNAAMLSSPFSDEHGIELQHRTEFSPPARPEAMSDIYSATPRVQSPVVAPAPPVPVQP QPQPAPAPNDVLFDFGTHDAPSEYATAASEAQSERAATPTATTSRSMTLERELAEDEY MTAGQDDRAAADAYASIQAWARNSANTGFYSPLPSTPTAPFSEPEVISDGQLTPTDSE SVAGSGVNVGHDAVSVTEGRDLDVMSESDEGIPTPGSWSEVGSVISEGESAARA MYCTH_2298824 MTLKEQDSGHARSSTAAAAAAVTTSATNTGPVAGTPSPVSLPDR KGDTTGLDPDPATEPATSDRQPFPQAKLRLKIQDLNHPGAAKFLGAVNSATVLSTAVN NVLRLLYRSPSDHPNTTVPPTRSVTLILRDMGGVAYTTGTALDKDHKEIHFSLAYIDS ISPPSRLADEIAGVLTHELVHCYQWNAQGTCPGGLIEGVADWVRLNCDLGPPHWKKET TGDWDRGYQHTAYFLQYLEERFGEGTIRRLNDKLRHRKYAGETFWPELFGQSVEELYG DYVKSEEGGDEDGE MYCTH_2298825 MTERITDQQVADLVALLRTDASIDVKVQHVTAAKSCIKQQTVPE SCIVPLFEALRTASSSQNSILVNAGFSALNHLFTRLGRQDPKALAREGVRTLPLIVEK LGDQKEKFRQLAQQALVTLYKVAPVEVERSVRNIAMVGKNPRAKEASLHWLLQMHQEH GLQFRAYVPTLMELLEDADGMVRDVAKSTVIDLFRNAPGPAKSDLKKQLKNFKVRPAI ESAIVKELNPTSSAPASQIDSQDDPAPSRPNLAASMSSLAQRPVTPGLPDSSPETVEP FYVNTSRELDEILREMNLHFEGKETEQNWMKREESITKLRRMIAGNAATDFHDQFLAG LRALLDGMVKAVVSLRTSLSKEGCSLVQDIANAYGPAMDPMVEILMQTFIKLAAGTKK ITASLANTTVDTIISRVTYTNRIMQHVWNACQDKNVQPRLYATGWLETLLKKEAHHKS HVEHTGGLDLIEKCIKKGLADANPGVRAKMRATYWTFAGIWPAKAEAIMSGLDATAAK LLQNDPNNPKSPKKPEGGARPGLGLSKSAMGTSKQSLRDTMAAQRRAMTAKALPARPG SAMSHFSTPVGTVSGSSQQPAATATARTRPESAILGSSAGGISGAPMRPGKKRPEMAA RPATAGPYSVRSHDQPSTEQSSPPSNPKPKAVTPKSIASSPKRTAPKMVRPAQLTSPG ETKLPTPVRAGTPKNFGSPRSTPSRIVQPPILSPSSSPSKGHEDFSLVVPNVTVGGPP PGEEQLQAHHTEDQQDMNIISRPTTPSKVPDLSPAGLASPVQLNGPTPKIASPVVTSQ AAEDAVPASPSPLHSLEVYEDPAEREQEAEPKPIIEPVLGHRPVNEDAAILQQAAQQQ QQEQEQHDPQQQQQQQSGEPYGVTPPPEKLKQNLRLLDSGISKVQQKSLDVHGLRKLQ AIIRDSDAKSATGSTSLLTDDRFDALVKGLFDFLESPLSHIPAEKVQDVKGQVVATIN LLLRRMRASFQPHVSRSLESLVRARAAYEGRTHLVSALELLAADLAALGDASEIVLVL CRMLGAAMDADADPDTPEAAGRSLSMGLHVLRKMMEQRGAGFVPSDAELEELAGLAGR CLERTETAVRMDAVHLCVALHARVGAARFWEAVKGVNEGPKNLITYYIAREQREGGAG VVRPVV MYCTH_2298826 MLLSRGSSYRCRGLTRDPLAGNRWHLDCFRCNTCNTLLDSDANL LLLGDGSLICNNCTYSCSACGNKIEDLAILTGDQAFCATCFRCRNCKRKIENLRYART SHGIFCMNCHESLMARRRKKSKAAAQAKREKDASPLITDKSLPALPPNAVPPGAFSHD RVTPDSDAPTELSPRPRTAYGVNEPSSRAGSRPDRSPERSQDPAKDSGLAPSASTYRH NRSSAIFSANMDNGDSDGGFYIPVALDPSPAPALTPQSISDAYAESSSRRAKDRDHST PKPPSAEKRSDSQASTPHIAFQEKPRQPSSDYEAPQQERPARMLSKRNGNPRASPGAE EGRASSTKSQPSEEFKLQDAPKNKRLANSRSNSLTSNSVDAPAPAKAPPAPPRNREAQ ANAANIGSPRQSGAADKGTPSRPSQDSRRREDDTARPNVDSSASDRPEALSPPPIPRK EVPQSQSRSANGNESIPPPRGAIPETKPGDSYMQPRAPPQPPSQPAKEPTTSGNETSE YKLSPKLPRWSSGGEFTMDEDLARILGTDEGSSSILRRVSNAVRHGRNNSTETISNNN NNNSSNNSSNSSSGSGSNNNRRGHSRSVSETTRGTTSPGWPKTPIAENPDGSHEVSSP ASVAAAGQDDPVLLKRQLKSSEQRVAELERQFNTEKDLKNLNKKLVEKRKTVSVLDTQ TEIMIRQIEVLAGYVERAKKTNEPIDTRELEESAIKEFIQKLEKVKQDMTAAIEKLHA ERDELLEQKAQAIADRDRALLEFEQLSSKNAQLADMNNDLTHQIQERFKQQINNGDLK VPSNGLGIYGHTKGAPSASVNDAASLQTGTTMVGTDVDEPVLEGPTVVNIRKGQVKKF NWKKGSSKVAHNISKGINRAAGAFQQQEQARSQQHQALSGDNIGIPYNMTVAQTEPPT TMNPPPSGPNNRAGTENTRQGFGFFGKKQANNMPKSTASTANASPAPVQAEAPSTLFG SELVERAEYERRQIPSVVTRCIEEVELRGMDIEGIYRKTGGNSLVNLIREGFDKTDDF DISDPSLDITAVTSVLKQYFRKLPTPLLTFDVYDRVLESNSIQDEKERCAHLRKTVNM LPQKHRDCLEFLMFHLARVASRERENLMSPKNLAVVFAPTIMRDHSLEKEMTDMHAKN IAVQFLIENSHTIFGDD MYCTH_2124155 MPGKRAREEDSSSDAGARPAADDTRKPKKAKHGFRVGPENLPDG PWRRKVTKIKKDLITKAKVKKQYAKIKAQYQQQQQQHAAPLPAQDQTTATAEAAGGTA APPEQQQQQQQQQQQQGEEARPAEPKGVPQIHPERQAMLESSSAAAAAAAAKPESRSA NNDNHNDKDNDNHNDKDNDNHNDKDNEEEEAQGRGTGPKGPQQRRRHRHGHPDYFAKE LAAAERAKKQAEERRAERERREQERQRRVAERERYRRAMAKAKTPGRDGKVKLGREGK ILLEKVKKLVGEGK MYCTH_2298833 MPMLREDDTPRTESRDADSPYPDSAPIAIRNPTGDAKARWLSRR LSEESIQTELCEGPIPDIPPRPATPPGAVSQAVSDRAELIERLKRGESPTWIPNRHLE SLLRQDEQSSPPRTPQAAGAPASPSLLPAPTITPERHDATTRDQQRAGSPAHEGTTIE RPRSALHSGNFTPVEPSPATGDPESAFSRGSESRSLSAHAAWMATSPPRDHTPFYFAH GPPSYRREAFASGPSSLSSSLSSSFAYQPPTSPLVQSESNEDLDLTLPLDSFKIDANS PRNSRRHTLNLGASPFGDPAIHRQAPLRRESTQPYQAHQPRRSLHLSPSISIAGLPTP QTPAYFRSRRPSLGSEASPLHHASMVGSYEESILRGRMSTTPSKPLEFTAQIGVLGLG KCKPSLRCPAHVSLPFSAVFYNYPSTPSGGNKPEDGPSPYVGQIDLENGLSNPEEEQR AKRKMQSRYQDPKGAAEEPPLSRDGSAELSEGEGALRAARSKRPSRTPRAPPGGSYRI PEKGQLQIIIKNQNETAVKLFLVPYDLAGMEPGTKTFIRQRSYSVGPILEGEGPKDGP DLGPERPILRYLIHLHICCPSKGRFYLYKSIRVVFANRVPDGKEKLRNEVTYPDPRFT PYKPIRVMHPPVGTGHATGGPPLGGISPGAAALAAERAFRRRSAGFSPGQGHHHPLPQ NQYPQHHSGHHFSYASFKDPAAFVTPAHQALGASAWKAQQALPPEQGLMAAATATTTT LAATTPIARAPTIPGQEMVDPFTDNTNTNTNTNTIAATGSYIPGETREEPRGAGSADD NANANANANATQSVALITTTPPPPPPPPPAAAAAPTEGLLSRRLRSLGVHHQQLRQRA GQEKEQEQEHPLHQSQPQSRT MYCTH_2314164 MVNFTIEEIRALMDKPTNVRNMSVIAHVDHGKSTLTDSLLAKAG IISSGKAGEARATDTRADEQERGITIKSTAISLYGSLPEEEDLKDIVGQESNGKDFLI NLIDSPGHVDFSSEVTAALRVTDGALVVVDTVEGVCVQTETVLRQALGERIKPVVIIN KVDRALLELQVTKEDLYQSFSRTIESVNVIISTYLDKALGDVQVYPDRGTVAFGSGLH GWAFTIRQFAIRYAKKFGVDRNKMMERLWGDNYFNPHTKKWSKTGTHEGKPLERAFCQ FILDPIFKIFSAVMNYKKDEVNTLLEKLNLKLPAEDRDKEGKQLLKAVMRTFLPAADC LLEMMILHLPSPVTAQKYRAETLYEGPPDDEAAISIRDCNPNGPLMLYVSKMVPTSDK GRFYAFGRVFSGTVRSGLKVRIQGPNYTPGKKDDLFIKAIQRTVLMMGGKVEPIDDMP AGNIVGLVGIDQFLLKSGTLTTSETAHNMKVMKFSVSPVVQRSVQVKNAQDLPKLVEG LKRLSKSDPCVLTLTNESGEHVVAGAGELHLEICLKDLEEDHAGVPLIISDPVVQYRE TVSGKSSMTALSKSPNKHNRLYMVAEPLDEELSAAIEAGKINPRDDFKARARLLADDF GWDVTDARKIWAFGPDTTGANLLVDQTKAVQYLNEIKDSVVSGFQWATREGPMAEEPM RSIRFNILDVTLHADAIHRGGGQIIPTARRVLYASTLLAEPALLEPVFLVEIQVPESA MGGVYGVLTRRRGHVFNEEQRPGTPLFTIKAYLPVMESFGFNGDLRAATSGQAFPQSV FDHWQVLPGGSPLDATSKTGGIVQEIRKRKGLKVEVPGYENYYDKL MYCTH_2298840 MTHGREPVDHLWPLNHVLPRVDISDPHTFLAIKRGILQYAWLKP ILALATIIMRATGTYQEGYIGLKSGYFWSGIIYNISVTVSLYSLGLFWVCMHNDLKPF RPVPKFLSIKLIIFASYWQGFLLSILVWLGAIPDQVEGYTPDNLAAAIQDFLICIEMP GFAIAHWYAFSWHDFADNGIASARMPVFYAARDAFGIRDLIQDSKETFSGDKYGYRIF DSGDKIMAHEASRSRLARIKEGMRYERGGKGKYWLPRPEEINQTTPLLGASGEASRRN GSLSPHTNSLEEPVLDPEEERLYESARKLEYGDWNVSGQ MYCTH_2298841 MSSGGVFSDGDGVDFHPPFLGVLIACGVLSVFSLLYFNRVFASL VSWGIRTYTWHQYGVYIDIKALQISLLAGRIFFTGLQYHGNNETILVQNGHVTWAYWL RRVREVNIGNGKGVDGDTDAATKQLAAKLPCRVKVSLKGLEWFIYNRSPAYDSILSAL TDPEKASRETDPGRVDAGAGETTPGSHQAQLRRRRSSRQHAPTRSSSPGRFSQKTAKA GVAPGDNVINAVSENLAPGTGDSSSEHALDGNGGPENTTDDLPLFLQLLPVYVSCEKA ALVMGNENTKAILIVKTTSLSCEIDVSETETPDPYRQYFRFKFKNPVVEMKDNMDFKE EQSERAIRDKEAARESLSRPRRSFFHSQRRRLTESLRTIVPFWIKSAESLAPSSRNIG TAASHVPGTGQWQGLSRYLSDDDAEDQKSRWASSEYAAVQTLLDSPEASLTIFWDVPG KVEPVPGLRQEKALGQGAVINGADPPAWAVSLSIHGGSINYGPWADRHRADLQRIFVP SLCKDAVPAQPLPQGAYRVPTQFKFYVEVTDATTIRIPVREASKNWKWKGKEPSPPKP RSHDTRKTRRSKKSDQPADLHQRPYGWLDLKIPANATVSYSMDMAAGASGYTNTLDVD LPSAEVTTSINHELFLRSRRQRISCDLSTPLGWNALRQWRFNIHSDELELYILRDHVF LLVDMVDDWTSGPPTDYLVFTPFKYYLNLQLQDVRIFLNLNDANIINNPTDPEDNTYL VISSPLLKSETCIPIDYYRPSENAIPFNICADAPVIDLHLPPWNTQALFLASKEVGRL ENLVVEGAYHYHATTSPTNTDTLVLNISGQSPTATLHGFTIRYFLMVKDNYFGDNMHF KTLEEYQDMLRLKESDPDAELANKPPPKKSNDLDVILSIRADDPRVLLPANLYSSERH IQIDTASLCLDLRFTNYYMDMDLVVAPLNLSLGNTESGAETPMSATSSTQMFIDGLNV YGHRLFGLPPAEPTYMCNWDLSLGAVTGECTTEFLTTLASAGTAFAFTFDDDENALIP FSSVIVHDVTFLRVLVDSVRIWLHVEDAAFLFSTGTIDVNYNDWARSHYSRRADISIP DIKLSCLNADLATRHKTRSQHAVDADALIRTSVRLAIIGRKADFSRERKTQQELVRKH DQRTHRTPFLILPAVAEDEPTLDSVDPPAQSVPPVPMPIRPQDLEDDGTSLHSRTSSR RSQVLRRKASFLSLASSATGSILKPFKPEQSNGKEQFPANFSQHRPPSAQFNGKCNTP MHGRELSPSTRHSAFYSMTGDQTEQHDATHNTVAFSSQYFAPYFPLENIKPSHGEAMV RSIEQGDDDESTGHGSVSFDLDDVDPNLFDEECAYSSVLLELPTGLEAFCNPTSLRCV ASLLSSLQQTDPDCVLDSLQIDSMRRVFGAQKDQRMKGRVNDLLVKFPHLNLRLVNSP EADSLNQATDEQDQYDVSLANLSFMSRSQSTWEDAFDPQTRTIQNSFHLRLDLLEVSA AERLRGMDSSQAAALVRVDSILVSMGNKDVTYLDAEVGGIHGSTSSGKIDYLVSLVHR TGILASDLGELFSGVSSREKLVVQSLVHHLIAEGQAAPDASFFIRPSAILRSARQHLR TFDSWKLAMRLRQMWTTLGPVEKEKIRLNALAPCSASTAELRREAIAAFERWRSWDLS NVGESMLFDVIFGRPVESRAPSQDKPTLAVAKVRQFQLALNPGPKQNEITLADIMARF QGKPASPVQQKDSTGDTAGSSKGSSKTLNVSCEDASITLNWELCELADNLLKLAESMR SRTPSQSQINKRQPGVSTMPKQIPEDSIHCVVSLGHGSLLLEAVNLYSSSFCNGARVS VLIGRRADNTVNTNLVLNCDSVTSSLRSHRQKLGKLVMDKPSVFVSHELRALATTDSH TIKAAASNQYLSLVVKQDPATLAEVCDLLVRDEFVQLYKLKNQLPSSPQPASPSRKLS DRLSAFRVNVALFVDRYTISLPLVPSLTYSVHGTVSRAAMAANFGQEVIFDFDIKENY HDMQVKVNNTSRSISVLHIPPTNGQIRSHIGPGEHSVNVFASVELVQLDASAVYSLLS ALNRPEISNLVDELQQQVKVIQEHLSEVSGEAAQTVAAPTENQPIPLAYAVNLTLAGL EVFGHSPLKSEAEPLAHISFAFGSVHLGLSNRLEQDGPVLTYPEFSVSLRRIASEIKK GSTEAMKSCGSVGFSALISASSRPGEDGKDKRFFHVRSDAFEVNLSPDTISTVVDVLG YMGDKIKDLDTSRELGYLRKLRQSKPRITISDEDAATEGTDFIDTFLSSTTYSFEICD FQLAWLVNRADEEVSGGKEDLVLSLQKIELSTRSKNSARLIIQDLLVQMLSRPSRDRN SRSPNSALLPEVIFNVAYVSTADARRLAFQAIGKPLDVRVTPGFIIPAAHLNDSISLS FKNIQRASQNWNPAAASSEQVAAKQPQKGPRKNILGGKRLESLLIDADFAGAVVRLTG KKDPADLISVTKSARPSGSGKQGKLGPDEAVSSTTLRSPGLAWKLEFTDNGKDDPSLH AEVKVDASSNILDPSVVPLVVDISNSIKKVVSGRDGTSKPIAQARDAAAKVKLPEEDR ILTADPAAVLGRMKLNLGLRICKQEFSLSCQPIARVAATAGFESVYFTANTVRSMEQG NFFTISGTFTKLQASVQHVYSRESTGSFNVDSIVLSFMNSKHLGGTSGVSAILKVSPM EVSVNAKQLQDFLLFREIWLPRKVADPSAGPVAKLVTETSQGHLVQRYQQVAATAAFP WTASISISALKIVVELGQALGKSTFSINDFWVSSKKTSDWEQNLCLGFSMIGIESTGR MSGFTALENFKLRTAIEWPERQQALNETPLIQASVGFSQFRVKAAFDYQAFLIADVRS LEFLMYNVRRSRDGSGDRLVANLDGEAVQVFATTTSAAQGIALYQAFQRLVQERKANF EISLKEIERYMQRKSVSPPPGVMQRLSIPKSTNDDGMLKSPISLDTDVVVTLKALNLG VFPSTFSDHQVFKMEALNAQARFAASVQDDGQIHSILGLTLGQLRIGLAGVRAGSSVP RTANELSVEDVVQSATGSRGGTILKVPKVEAVMQTWQRPDSKRIAYIFKSAFEGKVEV GWNYSRISYIRGMWANHSKTLAQAWGRELPNVSAIRLTGVLPTESGGAASSATTAAAA TTTTARSPGPEEGQEQKQPQQQQQGERAATTTTTTSNKITAEVKVPQSKYEYVALEPP IIETPQLRDMGEATPPLEWIGLNRDRLPNLTHQIVIVALLELAGEVDDAYRRILGSS MYCTH_2298844 MASYNAYRNRMQAFREAGNSGRWNPFAHNRSKSLTLPHTYDETG GDLEGQRLGPAASAPEPPSSAGNESKETAAHRPQTGESSAELRPSEQDAQESSATVTQ PILRQRTSARDQSSDATAVPAPETEKPKKKKKKERTFFKHLTPKEPFTVRNQIQRTLF GGWINILLLAAPAGIAINYIPSVSRVAVFVVNFIAIVPLASLLGFATEEIALRTGETI GGLLNATFGNAVELIVAIIALVHDEVVIVQTSLIGSILSNLLLVLGMCFFFGGLHRQE QYFNTTVAQTAASLLALAVAGVIVPTVFDISSKTPTSDVAKLSRGTSVILLVVYGAYL FFQLHTHSAVFAQESQKVEAKPFKNPMRSQVLKDGAVAQGFVAPAGVAGGYGLPTSRT DNEKMRDILTNPPRKSLLQEGEEEEDDEAEEEPQLHFAVAVALLTISTVVIAFCAEFM VDGISAVTAGGTVSAEFVGLILLPLVGNAAEHATAVTVAIKDKMDLAIGVAVGSSMQV ALFVIPLLVIIGWGMGMDAMALSFDPFQVAVLFVAVLLVNYLIADGKSHWLEGLLLIC LYCIIAVCSWWYPTEHGSEGSKSTLTLS MYCTH_38313 MPSRNASSAAMPGPQAAKGVGVPKWPNHLRHFGRYGHDRTPEHA YSNSPGDTASSSSTIRERPSSRLSSRLRAERRWTVTVNESFARDEVLLNLDLMGVGDD ITPGSLVAIDVVKADSEKPLQNPHHGRRDGGAASCATDRRYICVAKDMPRELKARYAT VEVYVAKHIADAFGMKKGTQVTVTPIDANNPAIEASHVELCFKDQYLSRADMWRLAVG ELAQRTVYKGQMVLFLGTVKAQVTAVYVDGRKAQSAFFGRDTKPVFRSESARYVLFIQ MAREMWDFDPDGSGEIMFNKVVNGFLPALFKKWAALKVKHLVTIVLFARVEYDTGIST ELASASVHHDYYTGVQPSEDRRPYKDFYRVVVSEMGSGEWTKILHQLKREFNYFRKDI STYHQKAMGPSVPGAESGDREVLGNRIKAEASRAIHGNFLEAINMASSLFAHDYIDRD LTRTGVSVVVISPGPGVFEVEYEALRRTTEALVGNGIGIDLICIPKVPLHSVPLFRYR NPHPPAHAQRKAKVDLSQGSTPKQGTLTFGSYGSLAGSYSPSKKMDGSRHGEPSGPPS SQDEWVSAIPQWLHVSYWTGASEEELSYQGIALSVSDAAQSRSRDEFPIRCRMYDLQM RSVMETNEIETKPLHTDPCFPLGAVLASQIPEPQFDHDGNVIVRNTRPPETLFDHVFG FQKFAPDRHSKPGEKSLWKQLQEYDDCKARRPSHQSTSHPRRSRDHEDTPRRQTMDDA SLLGTSFTNRRSSTATHQTLPELSPYHRPASQTLDAPPPGSHKPGMNTSSSKKFESMA PSSSPKPPKFMRHISLGNRGFGIAAPKVATAEVVKESVGASKTVTPSRSSQDLRMTPS KAGQRPSSSRGLNPGTPASTTLSQPGLSPFSFAAGPQFPTDSPTRPIVIRNRSLEPAA NMLSGSLLGTTLRPELEGQDRDFQYSNAIRAEDAKKLYNSKLLAGAIPELPATLSPRT ALSPWLTLLNPSNPDTNDVDVATLYSRWQHVFPRPQELRVMKWKSLCSPAAVPLTTEY FPSKTQFETEYERQPYNVSQDLDDELQEEPRSRDELLRELIGLRFSQGFQIVVGPAVA RAFGQKQIKIADIFSRDHVMEDGMSIFMTVGNTIHQLSCVNGTEVEVNIFVRKPTEPL SPSYPAPPRYKPAVRTLLDSDYTTSELDLVPQRVERNWNYIDAFVAGHNNELTEHLRF WRARFVLIPMTGRRSSVPGIETGDSEEEIRIEGIRKLAQMWQRHRYIPPGERRLQGAG ARAKKDMNPLDIIYKTEDASVVIAAELETLPLLEGPDRKGQLVRSRQQFSKKNLNLAA LAEAIQQPVENGGVRMQNRRWHFRLHYNCFIGSDMTSWLLDNFEDLEDREEAEALGRR LMVSDDKDGKKEGGLFVHVERRHPFRDGQYFYQISSEYAKPHPPSWFNTKRGQGPVPS TPTTEQPPRDGRPGFSRPISIGEEDSPTSGSTTPTAPHAPSGNKPKVMLSRVIKYDVD HRKRSYRPEIVELHYDRLHNPDNCYHIRVDWMNVTAKLVEDAIENWGREASQYGLRLV EVPIAEACAISEYNPFRRPYLIKLATPPPDQSPITYYDPTSFTPQAQPGRLFYQKAIL RKFDFVLDMEAASNFPSDVEVSYSWGKPDFKYTQYIHRSGSLLAEITDDGHFLLLANR LYSSRAFAAREREMQKELRGEHQHQHHHHHQQQQQQQQGPAGVAGTPGSYTPYGLPTP SSPVSSPALRPTTNTAASSSLLSPSIRPVLSGQAGTTGTPGQGQPQFRNNNSTGQAWS TWTTQEPEWIKDELEAFCRDAQALEAFYRDLRTAAPASTNAGGPAAMTPAFAPAAAAS TNVPPEGSIPALGLPPGVLAGPPSSSASAAADASSAAAGGVGGEGGTGGEGAAGPRVA SPSPAYRSASQLLRRGSVQYEGLLAGFSLRGTREGGGDKEKDR MYCTH_2298848 MSEFTAEDEIRYPSGFGLGDVVGWGTTGMVVLDKFSNTVIKVPF DHNSEECLLRMQREREVYERFARRGGHQGLLSYHGVFESGIRLEYASRHNLRLHLGAS DVSTAQRLRWAIQVAEAIKFVHDAGVIQGVLTCANIFLDACLNAKVADFAGSSIDGSP LLVIVTESHEFPGPRLSVQADLFALGSVLYEIMTGYPPYEELDDTEIRALYLNRKFPE TASLGAIGTIIEQCWQGNYSGAEAVVEKLYMTYGHGVCCSKML MYCTH_2115983 MAVTLSDKQRVSAAIVISSAFLIAELGVAFKTGSLALMADAFHY FNDLVGFVATLTSITISEKIKHRQNLSFGWQRARVLGAFFSGSFLLAFGVSILLQSVE RFISLEEVDNVKLMLVVGCVGLGANVVTAAFLYDRAQSEGRADAVPVDSHAGHRHIRR SLKEPGRDLGMLGALLHVLGDALNNLGVIVAAAVIWFAPSPGRFYADPAVGMGISLMI ILSAVPLVRHSGEILLQSAPAGVSLGDISHDLEKIPGIVAVHELHVWRLNQNKAVASA HVVVSDPDVASFMARARTIRECLHAYGIHSTTLQPELLLVPPSSPPPPDGPVVAVAEP PVCQIACGEGVCGHLTCCDPLRQV MYCTH_2298852 MACRKKGEGPVVVAVLRGRVSPGGVLGVVVVVVVALVVHGLVAI AGGRAEERSHTDGAKTARLTRACREDAR MYCTH_113066 MLGKLFNLGAGSGSGAPPSPQPSSHRPFPLESVQEDIHTRHLLF PDPQDLYEHRVNQLYPLSSGATAPTGSATNAYDYNADTELDVRDVRIIIMQDALSSVA ASLLYDSQPAPPVPAASMDRPSATAGSYSVQEARRNPASPRKPSLSHSQRPIVIQPGS PKVRQGAFDRRPSVHSRSQAHVESDAQRAWREYREELATFSSCIFGNSELMAHKGTST KVHVVPSDVRSGDSGSALGDGRGSLGRSTVRASRLSHSFSSENPSAFPAPPTPGGPGR SQDRKKVLITRLFPVNLPLDDDLPPHHGGSSDEATGYPFPQSAEDAKAKKKRLQPRQK RTPMYAVALIITLPPSPHSVPATLRSGFKGPGSYAEQDAFSSSFGSARRSGWTLVGHG GGFGGPESLDSSYGNDMEDQIDAITQHWDIIMRTLSHLQTVVAGTLFTMLRQIDLASP DPLQALSLQLARTSSAPGRRSEDGHPLEKPKTNAKHITLLPNCLLENRKIGAEIDAAK TRIVAGIRASRVTTGQNRWPIWREEARWVAKWASGREQGVFFFFNLLTGFLATHTDWL QALSPPAYRRRYLLLQQQKGRSEEDTLVPSRTVILSDDKIAARRLVFLLSAFLPASQQ LPGIKPHRPSTPASFGTLSQSPPSFVIPILKEESLRRKINRRTGSRMPSHSRNLSLQT QNTRPGTVPPPLAHLSMEGRHERRASDAASIRTTHLPIRGSDLHTRKSSAATTATVTP ETSIPHFSTVHRTEIFAQGRPGSSNSVAADDLKRLARDDSPASQGSPAGERRQGSRWS VISGLWNARRRESTSSSHVPLDGGHNGGPAPPAKARQSSVTSLGKTAPPGAEGAARTG AAGPASETRDDGAIGPGDTTPPPPRASTLALSEQPGAVNKALPRAPDPTGAFESPVKT SINADDGVIDVDVPLPDFITSFESAVSSPSSSGYLSASGFGTGLDAFEQCFRFAADGD VPLNVAGWLQHYHPDFVLQALPTQHGILEQIKESMRAEPMPSLFPYSSSESSTSTSSA ADLPSERWVEVTSAIVADTTTFTVTRLRYRRLIRLKQQYGQQPSSPAPAPTTTSSTTP TTTAAATAAATATSNPPTSSFNEVLLKDEFIEEPVVTFDDVLAEAVERVIATVGTGNS TSIAETAAASKVNYSTSSSRSTSKRREKERERRKEREKEGERNRERRDGVPAVPAPGG ADPATPTGGTPTGSRTAGSQAGPAPPPPPPPPPPPPPPPPPGASSNTQAGPVSAISSL GVVAGGSSSSAAAAAAAATAGDEVGGGLQEVPRSQCKTVLLGALEDIIQDVIAEREQG QEQEREREGEKEEEGRKREQEQHRGGDGSHSHRQRGEAVGAGLAGARERESPLREAVR SWIESLDFVVGGGAGD MYCTH_2298860 MRTSYGVAFALSAGFRLATAAPVCGGGSASDLLWVTTYPAGEGA QGKLLTLKLDGSKLEVVAESDTCGPYPSWLTQAGDVLYCVDEAWGGDHGTLHSLKIND DHSFTNLSQHETVGGPVSTVIYGKDGLGLAVADYAGGGIDTFNIADPAAIKLIKSLVY PAPTDGLPDPQNSARPHEAILDPTGEFLVFPDLGADQIRVLKVDKETLEYVEKPSYTD FDRGTGPRHGAFFKSGDKTFFYLVGELSNLLQGFSVAYNDDDSLTFTRIHNSTTHGDD KPLPEDTAAAELWIAPGSNFLTLSSRFESSLEYTVANGTKVPSDPLITFSIDKETGAL THVQSAPAGGINPRHFSFNSDGTRVASALQSDGRVVVFERDPSTGKIGKATAEGDVEG MPNFATFKQ MYCTH_2298863 MSPSSNGDSPHSVTATMTTAAAAGATVVRQYPPLPNWDFTVEIP SPQQLSAGANGANTIKSPNSLKAATRTPNFSREGILGSAQKARNLSQSSDNRPETITN GIPKSASEEGVNPLKRRNTDAAVDYPRRRATIACEVCRSRKSRCDGTKPKCKLCTELG AECIYREPGIKLDAGDKLILERLNRIESLLQMNLVANQGNGINLSHDSPNMSNGTALS GDNLLVRDPSSNFVSVIPSGGLGTWSANSTNISTMPKVHTNAALHLLQWPLIRDLVSR PYDPQILLQLEMAREPLHSLAKTPCVDLSNTNAYIEAYFDRVNVWYACVNPYTWRSHY RIALSNGFREGPESCIVLLVLALGQASLRGSISRIVPHEDPPGLQYFTAAWSLLPGMM TSNSVLAAQCHLLAAAYLFYLVRPLEAWNLLCTTSTKLQLLLMTPNRVPPDQRELIER IYWNALLFESDLLAELDLPHSGVVAFEENVGLPCGFEGDEQEAVGRDELWYFLAEIAL RRLLNRVSQLIYSKDSMASTTSLEPVVAELDFQLTQWYESLPVPLQFPFTRTMLPDPV QTVLRLRFFACRTIIYRPYILAVLDNEQAILDPAVREACTKCLEASIRQLEHITAHHA GHMPYLWQGALSIVSQTLLVMGATMSPSLSTILWSLVPHREAIDQIINDVVMEIERYA VLSPSLSLSAEIIKEAEVRRRTFLSG MYCTH_2298867 MADVDQQQGGPGTSRRKKHTGKSKARPANDWPRTDEPRSRASPR WKRPGDIDKDLVESSSSDDADDAKASSTSHRKQRRRPRPQIPASTSSDTSSSDTTAPA KTPQRSMRRRLKSTRVPNAAVPPPDTAPAHRRPTCVVEEDDADDTDDAAPAHSGPSRP ASRQTIARRESSPRSAHRYRSTPESRRSPRTSVSDSEDDTGATSDSNSDSEQVILASG KPPPPAPMAPPIPSAPSAAAAARNSLQERLSRRPEMVYEETEGDGTSRYAPSVARHRS LSRPASSRRDSYRRPRDITVSGPPSLDGTRRSRSRSKSARPSRRHYESDVYISSRPAS SFKRHHAASSYSLASSARRSSLFGDFAASTPRTPQPEKPAEGTTVCVSCRDDTVPRSK TVKLKCCHRMCHSCLRKAFKRSLTDPQQYMPPRCCTSDNIPPRYVDMLFDSGFKKDWN EKYKEHTSARGFPCPSRRCGEMMKPENMRSEGGRWQGRCTRCRTKICGSCNGRWHPEP NCTGANDSALFAEQPTREAWQRCYRCKAVVEVKGTRNHAICRCGAELCLGCGGKWKTC DCPWLKDDFEDMDTVNSRPNPFASRPPSPRDFRSDFAAPLAATARPRPSSYEEDAHIR RLHEFREDHSARRMHSFDETGHHSDFDRRRDEYNFDDLTPRRDRRRRVEARDYAASFV DEDYHRRAATVVAPLPPQPHVPPAPPPPRSAFEPPSRPAFDRSTPDFDYGSAIHRSRA MRYESPERFDDYMTDSYPPERRRPRSPDLWPGQALPQERRPRSRDRRHTFPSESRPTS PDVWQLPTRYPSPERPMPAPEERRRAPSPERRRASSLERRLAGRFKKEPRQSPAAPVG PGALSPAGALGPLSPSRATAPPSRAATHIGTTMPMAPAPPPPALHGAAPPPVAPLRRH HTMDEDVYSPGAGMGPPLPDWFGPPLHHGHPHAHLHSHPPPPGMGPGMPPMMPQQQPH HPSVHEVVAADMNAAAAAAAAAAAANGSPRAPHVRRRPPTHAHREHSKYDVPRSSVLA GLAGFGRGASRVSEWVNYVEPGLPDDSFGAVGS MYCTH_2298870 MKRRAASPAMSENEVDIAGSLFANEAGSDSDVEVPKKSANLDFG DLLNNGDSDGGGDGGDDDDDEAFIAMQQRSSNRKSSNLQGKTVKKGGGFQAMGLNANL LRAITRKGFSVPTPIQRKTIPLILDKRDVVGMARTGSGKTAAFVIPMIEHLKAHSARV GARALILSPSRELALQTLKVVKELGRGTDLKTVLLVGGDSLEEQFGLMATNPDIIIAT PGRFLHLKVEMSLDLSSIRYVVFDEADRLFEMGFATQLTEILHALPPSRQTLLFSATL PSSLVEFARAGLQEPSLVRLDAETKVSPDLESAFFSVKGGEKEGALLHILHNVIKMPL GPPKGAAEETQEPQSKKRKRGSDRPGRNEKPTEHSTIIFAATKHHVEYLSQLLRHAGF SVSYVYGSLDQTARKIQVEIFRRGKSNILVVTDVAARGIDIPVLANVINYDFPPQPKI FVHRVGRTARAGQRGWAYALVRESDLPYLLDLQLFLGRRLVVGQEVKEPSYAQDVVIG TLIRAELEDNVEWVKKVLGDEDDISALKKVTIKAEKLYMKTRNSASSQSVKRAREIIA SKSWTQLHPLFGESAASAEEARDSLLSKISSFKPQETIFEIGPQGKSAKNKAAEVMRN FRARVGPRRTEKKNDEDTEMADAGDSDDGLPAQQNGGEPKEHGEPDEDRPGADGPGAR EEDDSDSESELEVTVTSSAKAKKGQTSFQDPEVFMSYTPRTTSLAEERAYGVNSGGTA QFVEAARDAAMDLANDEGAKAFGLPTRPKMRWDKRHSKYVARANDEDGSRGVKMIRGE SGVKIAASFQSGRFEKWRRANKLGRLPGVGETEKPTLVRQFGSGPGAPGGRHYKHKQE KAPKEADKYRDDYHVRKKRVAEAREKRIGKYKDGEGSRRELKSATDIRKARLLKEKRK EKNARPSKKAKK MYCTH_2298873 MATQDAQDRQDAKDDAPVDTRDALEVLESEAKEWEKDAEIDRIL KAFRLDAYAVLGLKPGVPESDIKAVYRKKSLLIHPDKTRNPLAPEAFDRLKKAQTELM DEKHRRTLDEAIADARMLVLRENKWTVDSPELKTEEFERKWAEKTKFVLIENEHRRRR QIKAQMQEEGREQRRQEEEAEARRRKRQHEEEWEATRDQRINSWRQFQKSKTAGAGGG GSGDGPEKKKKKKLKPIG MYCTH_2298874 MGKSRRNRARGNRSDPIAKPVKPPTDPELAKLRESKILPVLKDL KSPDIKSRTAAAGAIANIVQDAKCRKLLLREQVVHVVLTETLTDNSIDSRAAGWEILK VLAEEEEADFCVHLYRIDVLTAIEHAAKAIIETLTATDPAFSKLLKAQQRLVWSITSS LLTLLNLLALAREEIHDAIVQNQTILRLLFRLAATDVAPQDIFEEALSCLATVSEDSL QLGQAMTNDQETQCYDVLLKLATGTGPRAVLACGVLHNVFSSLQWLDHSPGKDGACDA VLVPSLARALEQVNPSGAQTNGSSSHVEITQLALEILASIGTDFQDTLVKGNKAPLDA AKADEEWNGFEDADADAMDVDQGSDAGLGEDEDEAEESEDEEQDGDDDSIDSEMEADM NRVVGEEGSGAADLSDLPTLRELIQRAVPQLIRLSNITVGSEEALAIQSRALSALNNI AWTISCLEFADGENAHIFNAWSPTAKKIWRKTIAPILEADNADLKLATQITSLAWAVA RTLNGETPADGGQHRKFISLYHASKNQAKQQQQQQQQQQQQQQQQQQQQPGARQEDAG EAQEDPFQGLGVKCIGVLGSLARDPAPIEVNREVGVFLMTILSSSETAPPADVVEALN QLFDLYGDEEAPCDAEVFWKDGFLKHLEEFVPKMRALAKGVDKRTQAELRTRADEALL NLGRFVQYKKKHAPK MYCTH_2298875 MGIMFLGNEELGKKDDDHTPTKLPLVRPRWNAAPRPPRGKLVKR LAIAFVLGLFVYIFISNLPTDVPIRDRRHPVYRPAFDSGSPGAPKPKPKPKSGWGPHR PSFLTPGSPSEDSASSSSAYNGPLLFEKLLPSLQAIHGTGGASPMNKNILFAAASLKS AALLLPMACQMGDEQRNHVHFALVGGSDINMKRLQALNGIDESCQVIFHGMCSPY MYCTH_2298876 MLARILQQPRLPSGLNGVSRALCVSELLETLFWPEFDSPTVHIN KYMHPQAAIVDASDLEEDYFLSGIRKQAADSRIPLIELPENAHSRLSWITQLDSSSLA AWDKVRIDILVQAPPSGSGSLIHLLKSLSAADFSAGSTPHLTIELPHGVDRATTEFLK TFQWPPGRSNIPSHPRQLTLRHRIPRDSLTEEESAARFLESFWPSNSKYSHVLVLSPQ AQLSPQFFHYLKYSVLYYLYSGTAAAQKWDSRLLGISLDLPSTQLDGSKPFNPPSGKG ATSFIWQAPNSNAVLFTGQKWTELHALVSRLLEHQRRTQPLPAFFTEKLVSKKYPSWL EHALKLSRARGYWTIYPSDVTARNLATIHSELYRAPEEYEKELAGKELSKSSEFPVSA GTLFEILPGGKLSSFDEMPILLWDGQITALSGLDDAAAAYANEFRLAVGGCEALSPAD LTRKSSMSDLFCVMDG MYCTH_2037146 MPTPTTLPPDEEDDPSSCSHFCAPITSLTRPVDLSEMEDRGRIR NIFCLPPPFGPLLFDNEQSDCRDHCANERTFLSYLRLSIYMSIVAVAIVLSFHLRKTA SEIELRMAKPLGAIFWALSKRSISTAAGRQLYRAGGRHK MYCTH_2124177 MLFPSKTWTACPTWVVQVVVASELCESGNEQVSAPYQPQDPTRS ICLRGTRKVLAAQARRSASCTCHLAPRHLATHSTPIGSHPAYTGRLLIMTSYGGYQRT GYGAQGGEDGGGFMGASQQGSQGGGSGGKADDTLRPVTIKQLIDCKEAYPGAELAVDG VPTTQVTLVGQVRSVAPQAINVVYRLDDGTGVIDVKKWIDAEKPDSVQQFAPGTYVRV FGRLQSFNNKRQVSAHYIRAIEDFNEVNYHLLEATYVHLALTKGPAGGAGQQHQDDGG DSMFVDGGYGAAGGGGDGGGSVAMQARLGSCSRNAKTVFNYLANAPGTDGVHLNQVAS GTGLSAKDIMAATEELLGQGLIYTTQDDETWAILDY MYCTH_89710 MASSVSSSTGSDSPGSMTTTTMTTTTAAGTKPQGVTVVATLTKR SLIPARWKEGSVRVVGMAECTEAALTLAHAFATDDYARYLVDDDGGGGGGDAATASPS SPSPSSSSSSSFTASSSEKSGWRRSGGGGGSDLTAEESKWRLHVDFLVYTVAAHCISG LVTAVGPECDSVALWVPPGKHLDGWWTQLRSGMWRLYFQFSHEARKRYFDEILPLLHD TKAQVLGDRNGEEWYLSYLGTKPSSQGRGYAAKLLKDVMARADAENRPIYLESSSLAN NAYYEKFDFEVKRDIFLERGPVPVRLSIMVREPRVPGCKVAYASPNPSARKRFPGAVK KPM MYCTH_2124179 MAWDRGILNRAREQQYLGRGISYDRDAASLKVPGRWDPLARPAA RRGGRKATGSNPPAASGVWGAAEPRVIRETRAEEAREVTLSPVKMFAAHVRAADDT MYCTH_2298881 MSSTATTTATTTAPITADAILRLFPDIDTSGAALEGHDEEQIRL MDEVCIVLDENDFPIGTASKKLCHLMTNINKGLLHRAFSVFLFNDKNELLLQQRASEK ITFPDMWTNTCCSHPLNVSNETGANLPDAVLGVKHAAQRKLEHELGIKKEQVPLEDFR FLTRIHYKAPSDGQWGEHEIDYILFIKANVDLAPNPNEVKATQYVSADRLKQMFEDPS LKFTPWFKLICNSMLFEWWAHLDSGLEKYMNEQEIRRM MYCTH_2298883 MPPSPRSQPIPTKDGSSSTAAATAPNGVASAFSSSFRSASPLAQ EMLARDLAECSDEDEDIPVDSEALQEEEGEQESDDMPGPTLYRRPSGIAFGTTRPALG PGALDEPPVLTRMERTRSRDAERSLLRDNHILPPKHQHHGPKRGGLAGRLSALYRWLF STKVRRPGGDEESPAPRIAVSPPDETSPLIPHSVRSHRSSSGEHGRPPYGAGGRESLN EQWEAAVAAGQIRTTWQREAKTIAVYSRSLIVTFLLQYSLNVASIFAVGRLGTLELGA ASLATMTANITCYAPVQGLATSLDTLCAQAFGSGHKHLVGLQLQRMTYFLFLLLIPVA VIWMFAEPILASMIEPESAALAAKYLRVVVLGTPAYAAFEGGKRFVQAQGLFHATTYV LLVAAPVNALLNWLFVWQLGLGFIGAPMAVAITQNLMPLLLALYVWKVDGAQAWGGFR RSALRNWGPMIRLALPGMVMVVAEWFAFEILTLASGRMGVEFLAAQSVLVTVTSTTFQ IPFPLSIAGSTRVANLIGAKLVDAAKTSAKVTIAGGVLVGLFNLTLLSVFRYQIPLLF TQDGEVIDLAARTLPVCAVMQLFDSMGAVSHGLLRGIGRQEFGGYANLVCYYLVALPL SFGLGFGLDWKLSGLWFGVTIGLLTVSLAEYLFTFSFDWHQAVREAEHRNASG MYCTH_2049318 MGKRRSHGPDGAAASRKRQKTVHEAPTSEEVHTSRQLQQLLSFS QDPARARHGLQSFKLFLDDLLDPDRDHADRPRILRDYLRSANPSADEENPKRQQELIA RNLSADKGKAFIISPTLRMLREAISFDGGAIARPLFRARASTLKSLARNMGIVHIGDE AEDPKRPSPRTNAILFFLSALKYLHPEAKKELLSQRDIVSALTRDVKQDPPYLVRELL DGLRSHVLLDDKLPREAKGNLLNASTLTRLSALYQYRLDAQVEDGPSISDLAHEFLLL ACTNPACGVLRQDSGFYPRDADPNAAIPAAELDDLGLEAIVWVNKYKTEVPVRNFALS NFLLNLRPWSSVKQSELITSVFKVAPELVANYFLASKSFTFEPKLSATWIGYAAFIFN VVTLPLPDHFCRATAFPELPPPPSIVIDNILPAPLNQKTLSRSLANKSNLISFFATRI LVVAIEKLEQAIKMHQDPSHSNGTVWAEAARRLVDEFCQRSPGIKEMINAYRSVPEGD LLHREAASRLLRLCYEVLPQVALLAKFDVSPFLETTLARLSRRELSDARDFALSLKEL ENLLAIAGYSPGMRWLAASEALSLSPFTLLLKVCVDAPHGVALETIRTVLNFVAVEQQ LVPAENKHPGLLALLEALQSLRQSSPDSVAHLWPFLDNCLTRCANAPVKYVEKMQDLV HESTSGPDQDLAGAVISPLTVAILEQLPFVAAKEGGDATIRALGRFLPKFLGLSATAG ESQPLLDLVFSKMVAHLSDTKGKLAKAGVPRNLAFEHNTLQPTSEPKSRKGWDTTTGQ QEPRPEPAVDEEVLENTLHVPDGLEADNSALMKWSSKTVDELVDEGYLASLIALLASE HASIRKEALVNILKAAAKIKQSEYEEKEQVWLLLSEIAETARDSINDEPLPSTIVSFA CHGLNVLRDPLSNLYAKVNLFLTRGPVWSLDKPPLLDEILSEDPGVGDAFYAQANWLL GYLIDGLRTSRDLELFRKKRAKGPVLERILALAANPYMRLPLRSQVLRLLYRATRIQG GSTTLTTRFGIMNWLEARQAACTDAAEAAVYGGLRRRIWETCDQDRVRAWSMGGLDGA AS MYCTH_104959 MPERYSAEFLLHLRQSPLCVKPPNLPPPEEWMGPPPETFRNQQK ASNDRTKSGEGGVPPNQENRRPPHDRNGSRNAANPEDLILGPPRTSFASASATSMRGS RTGDAEKGFRDSDRHDRNDRFNFRNRVNDSDNPSDRFGRDARDGRNAGFRRRPDQDQD SEGWSTVKPRKSFGHDGAERFHGRMGGVGNDRFGARDDARRARDRDDRDAGGRGNRNF EHRSRDQDGDEADTPRRNGLGRGKSESWFRDNTAGSTSDAPPMTQRERIERAKSWRDR DPEDKPHDRFSDRNDRNLDRKWDRDRHARVENDPEWLDEPAETKTQGHTEEDFKKFME SMKAGRTGGGAPKQEEKPSAPPDQPPATTAVETENKVASAPAVEPGPDKFFAAYGSSG LDVGTPIAEVKDAIKPKTAKPSRFMAFLAPQDDSRAKTEPATPAAAGQSGEKAASSQQ SDADKEAFALLIQKLQRSGLGSSLQGSAPPAQTTTTTTTAAPGMFPEPSPFQELQQKS AVTSPEPFQQYGNSGRRDDPRARTSQHSLHDMISPRPTGLPMPPPPATRPEQALQELL AQRHQLPSQPSTRAESQNASAINRNREFLVGLMQGHREVPEPARPEQLLRMPQPTKQV SLANVPDREQDYSRERSASQRQQMRGGPPGFLDDGQFHPGDVDSRPPPQPTQILQRPP PPGLDHQMHPFHMGGVNPAVSAAAAAGQMPPQRPMIPPPGLMNNGPRNIPMPGMFPPN FPPPHGHSGPGNFPPGPPPPHAGGPPPPDGMVGPPPGPPRSMQPPPGFFGGPPPPGFM PPPGMGGGSGFQGPDGPQPPNAGPGPGPGPGPNHGGMAGFGGMPSPFERLERMAGMDR RGMMPPPGGYRGP MYCTH_2086451 MSDAMDLDAPRGAKRKADALNETPVPRRIKALDQDVVNKIAAGE IIVAPVHALKELIENAVDAGSTSIEVLVKDGGLKLLQITDNGSGIDKEDLPILCERFT TSKLQKFEDLQTIATYGFRGEALASISHIAHLTVTTKTRESNCAWRAYYDGGKLVPAK PGQSPDPKPVAGRQGTQVTVEDLFYNVPTRRRAFRSPSDEYNKIIDMVGRYAVHCSNV AFSCKKHGESSTSIAVQASTSCHDRIRQIYGGGIASELTELSTSDDRWAFKAKGLATN ANYSTKKTTILLFINHRCVESSNIRKAIEQTYSAFLPKGGHPFVYLSLEIDPRRVDVN VHPTKREVNFLNEDEIIQAICEHIRAKLAAVDASRTFVTQTLLPGSTWSGLAPDSQQQ SAAAAASKASGGGGGSARKTPARPNENSLVRTDANLRKITSMLAPAAAGAAAGGGGGG QPLDPRADADVMEYETVDREVTACRLISIRELRAAVREDMHQELTEIFANHTFVGIVD ERRRLAAIQGGVKLYLVDYGRVCFEYFYQLGLTDFGNFGVIRFSPPLDLRELLTLAAQ QEKDAAVAAAAATTGGDGDGGEDEDFDVPEIVGLVAEQLIERREMLLEYFSLDISPAG ELLGIPLLVKGYTPALVKLPRFLLRLGPHVDWAEEKPCFESLLKEIAAFYVPEQLPAT PGNEDGGAAAQEREIDDEIKARRSHVRRALEHVLFPAFKSRLVATKSLMQDGILEVAN LKGLYRVFERC MYCTH_40310 PPEDVLRGLVVVSSEPASAALHGVKRPHDDETADEPLAKRDRLG EELERMFALHPSAVPPAPAPAPALSAGSSSAFSTSATVAHPATPANGAAATRLPPHPT AVGRSAGTLPIRPGRQRLPRLGNGTAISRNRIPEESEPPSPSDGNSERSFDHGQT MYCTH_2116000 MPLITGQNGPLLQGGCLILRLAGPLSFKYRGTCCRSTALAALSA AWYFLAIMSIAQRPCSRCVSTNKEAACVDVQHKKRGRPRLRDDGQARYEGSGFGSAAD AMRRPLVYDPGPRLGMVHDDPVRRSQSYRVLKSQPAEPIAPRFLERGLASDANVYPAP LSNALARAPEEPVAYLTIGLEFSRVSPSFLSAIGRSSVTGVDFANVLVAEDRPRASRL RQQAQEEQTSKDPAYLPPIFNERSEAVMQSLSFTPEEVSRYPLHWLDTFTFLGDDGHA RPISVRAGLASRDSIYFVVLLLNRTNQPSYPTPSPSSLRDLGGSFDPGLQPYSQSTPP SATFDSRQSRLSDAGHHDPRQTAQLTGGSSLHMLPARRPSLLSSPYGLSPGRADYPIT PSAYQVPRTEAHPSGRPSQLTDYQLPLPRIRSPPLGTSQQRDVPQTQQSLPPPPAPPP PPPPHPPPPPAAAAAAAAVVPPYQAREERTRIEIGGLIEQPETREIQEK MYCTH_2298890 MSGSPPLPPKNSREVAEQGQGTYQATIHNVYPTASTAGNEERAQ VAAADGSRVYLSNPPDRASYALPPIEVPGAGSLLYSHPPSQGGAPQPPVYLPAPGTGT TSNHPGSLLSPQTSQRKTKGHVASACVPCKKAHLRCDGM MYCTH_2298893 MSHRQAVQLGKRACLPLGVFCLPSSSGLVDLLLPASFCGGGLAV AALGPWFVRERKGCHNGQDKLHVLGSGSDGGGGGSGGSGGGGSVMRI MYCTH_2298894 MARTHTVTLPVIALPRGSVLLPGVVQRIAVSSNRPDIASLLAAV YTRAASKTPNGRIDTVPIACVPLASPLLGPDGQLLIEDGEGAASTDRAQVDPAKATKA DLYGWGVAAKITGVEGRGTGEFTLLVEGVVRVRVDKIYRDKSYPEGKVVYYQDESARP DPALEDLFQHLKLLSRELVAILRLSSILPRPAGTPGLSPLLARRLDLFITRQRQPGAL ADFMANIVESSYEEKLQVLALPDVKARVTKVIELLDRQIGNIKNSIKITTVTTTTLPF DPDPTRKDIDKIIRPSRPGLPIKLGVPGTGVVPPSGAIGQPGGGGGDSEEDQEPNEID ELQKKLDAAKLTPEAAKVAERELKRLRKIHPAQAEYAVTRTYLETLAEIPWTTTTDDR LGSQTLARARKQLDDDHYGLEKVKKRLLEYLAVLRLKQSVNDDVDAQIKKAEEEMGAI EAASRDDKADAGSGPDPGVEDKIRANSAKLEVLRSKRMVDKSPILLLVGPPGVGKTSL ARSVAMALGRKFHRISLGGVRDEAEIRGHRRTYVAAMPGLIVQGLKKVGVANPVFLLD EIDKVGGSSVHGDPSAAMLEVLDPEQNHAFTDHYVNIPIDLSRVMFIATANTLDTIPP PLLDRMETIYIPGYTTLEKRHIAMQHLVPKQIRINGLSEDQVVFTEEVVSKIIESYTR EAGVRNLEREISSVLRGKAVEYADAKDAGHPERYNPRLSVEDLERFLGIEKFEEEIAE KTSRPGIVTGLVAYSSGGNGSILFIEVADMPGTGTVQLTGKLGDVLKESVEVALTWVK AHAYELGLTQSPTANIMKERSIHVHCPSGSIPKDGPSSGIAQAIALISLFSGKAVPPT MAMTGEISLRGRITAVGGIKEKLIGALRAGVKTVLLPAQNRKDVKDLPQEVKDGLEII YVSHIWEAIRHVWPDSRWPGEHDHHPGVDSRL MYCTH_2298895 MGPSQTTLPDHEPLKFSPRAVSRLDEEDEDEWQKTIRPAPLGSR SVAHSRESSLEKLQRPDPAAQPPLPRAHVPPRSAIGGVMERVVDPKASTYGHHRQTSI VHGIGIHHSRNGSLASSSSSPLSPQMIAAAGAGLNPDRVEVHSFPRLDSDGQRPPTAL SGTTLASVPSVPERAPSAAAGEIGSQTSARGKMERRHSGKSSRRDHSRHHSHSSRHHK DEQKTVGEYALHVLFTSFIAQAEEKLNECITVPFDPEPQVEHICGPGVDPAFDQLIVA LGHIAKQKPKPLIDSMMLWRKSKSDAANEARNQLQQSRIYPPPPGPLPRRNTEPVQPA AMGGGPEANPGGQMSLAAKQEYVAQAERRSTVSIYVLCRVLLEVLSQSTLASITPEME DKLENIIFGQLKISDTEQLMVSPLKLSNWNLFAQLMGAMSEISFTTVTDRFITDLDRS LQEMNAKSPASSTRELESKIELVLGGMKHLRIKTSPEDAWDRSCEFMASLGKLFSRSH GQRVKSAFCQVLEMLMLPIAAKATNADIAHPKWTEVLATVSPRLAQMFVKPRHWQATF PLTATMLCVSPPDTFVSQWLQLIYQVQPRLKDRYARPLCLQAISRLVWTYLYRTNDSS SGSTRKLDDVLKIVLPNTKRALIAADTAVIDPLIQIIRFIGYKHPEYCFRTVIFPLVS ADLFTSNKELKIEQLDPDRMVVGIRAFLTIMSDLEKGDKGRPPFPLTYAPPSLPDRVL PTSPVLSQSHDSPSVAWAALSGGDRLSRPVAVSALSESVRDYYARFCEILGKISIICD NTFGGQAALDEKFDKMEKFSSPGPKTPITETFNFSRRDEPSPQDQKQAFYELLHIAVQ ALPRCLSPDIPFNTLINLLCTGTAHVQNNIAESSAQSLKAIARQSHAHQVTMGFARFI FNFDDRYSTMSDGGMLGPGHIEKTLMLYIELLHIWIEEIKQKTKNAADESGDSSAADK RSIKLDLSSVWAEVDQVEAHGLFFLCSQSRSVRYYAVNVLRLITEFDAVLRKPSGREK DTPRLIDILENDSMQVMSFNDEQLSVAERSRLQRGMQNTNSQGALIELCTSDVSYDTT LWFKIFPNFIRIAFDKCPFAITLSRDLVCERILQLYKVITVLSEPPREHRGQYYSEPS SARMTGKTASTHPGVVIEQWKLYLVFACTTLADPGSAHTSGAQNGQHGRKGSKASSAA EKIGSARTLFKYLNPMLSASSAPIREAVVIAMGSINIHIYRTLLEELQGQVSRCNDDA RQRIHQRTNSSPRRNRKMDILRTEITHVYRLTSHFLREPQVYQDDWILNNLVAYTKDL KLFLMDGEVQMDWEFQKLRRHYCGLMEELFEGINRTKDPSRWMTFEARKSAFALMEDW CGFSPNQPQIRRREDNMRQSVIDQKGAGERGTVTAAMEIEKRNLRTAALSAMAALCGG PISAVTESGASLQFDVRRMLAWIEAIFNSGSDRINVIGRRALKNLIVHNQEYPYLLEH CISRCYLAEAPQMLESYFTAVTEVLLEHPEYPTPFWKLLGLCLFMLGNDQSSIRTKSA HLLKALEERQPRSSKIQDFDISISDKTKAVYKLAQFEISKRLAKQHTELAFHIFSEFT FYFKEQQAAAQRNVIAVILPWIQAVELKVDPNGGPIAQSYVLLANLLEITIKSSAALH NEVQALWQALATGPHPGNVRLILDFIISLCLERREQNFVEYAKQIVVFLASTNSTPGS RVIEFLLLQITPKAMVPNEKREAIPPPPDINMLPYCADLSEALPIGTKQAGFSLGQLS LILLVDLMVAPVSLAAESVPPLLQVVMVLWDHYTPLVQEQAREMLVHLIHELVISKLD DDTPAATKQWIESLVDAIRRHDRSVVWSYEDSNGKVDGCGNKVPPSMEYLTAEVVKTF ELTFPGIKAQWARLSLTWATSCPVRHLACRSFQIFRCILTSLDQYMLGDMLARLSNTI ADEDTEIQTFSMEILTTLKTLIVKLDADKLLALPQLFWTTCACLESINECEFLEGVEM LNEFLGKLDFHSPTVRRLLHDGQPPKWDGPFEGLQPLLYKGLRSSNCLDLTLSTLEKL IQLPNDALTGSDSRLFFTIIANLPRFLHAIDQQFLDRGIVQTAETLMAAAEEQGLTSV SMVLDDYLAFKYPSDEDFIAAMFAALRERFLPTLDFRMLTMLMGFLTNGISWVKIKTM RILRVIIPEIDMKKPEIASHGSDMISPLLRLLQTEYCMEALEVLDNIMTMSGSSMDKH HLRMSMTRPTSRAVRKEFERTQSLFGIPEPSGWAVPMPARKTDTTRANIHAAFYMCQS EEGIVAQPTMTPEVEFHPDDFPYGFFSGSFDRADTMMSDDGRVDAPMGDLFSKLDSLD DFFDDLSATSPPSDGRSSRTVTEFSPETFESGAQLYDEHILPILHQASNNSNMSFQAG FADRPFYMPREGGGGGCGGGGLGSGAATPSNTMNPGAFNVGVGSSGTAPNNNSNNNNN NNNNNNSNNIENNNNGSSVVTTTVTPVRPGLHARSVTSPSAPASYHASAATSDFTSDE EFPEDVFSDGDDEHLQRPSTANGSGAGSGGIGGGGEGAGAGAGAGAGGPGGAGVGGGN AGGSFFLENVIRPLAHGTRSRMRRMTGGRSRDGGMGVPPAGMYMQQGAQSSQAGQGQQ SPNSSFLGNGSGSLSASPQQIGQGQQREGQQRETGLKGTTGGGFLMLGH MYCTH_2314176 MEESGKNCIIFYGSQTGTAEDYASRLAKEGKSRFGLETMVADLE DYDFDNLDAIPSDKVVMFVLATYGEGEPTDNAVDFTEFITAENPSFSLDNDPPLGNLN YVAFGLGNNTYEHYNAMVRNINKALQRLGAHRIGEAGEGDDGAGTMEEDFLAWKEPMW KALAEKMGLEEREAVYEPIFGITEREGLTRDSLEVYLGEPNKMHLDGTPKGPFNAHNP YIAPIEKSYELFNVKDRNCLHMEIDISGSNLSYQTGDHIAVWPTNAGEEVDRFLDIFG LAEKRHNVISVKALEPTAKVPFPTPTTYDAIARYHMEICAPVSRQFLSTLAAFAPDDE SKAEMTKLGNDKDYFHSKVNAHYLNIARLLAIVSKGKKWTNVPFSALIEGITKLQPRY YSISSSSLEQPKKISITAVVESQELPGRGDPFRGVATNYLLALKEKQNGDPNPNPFGL TYEITGPRNRYDGIHVPVHVRHSNFKLPSDPTKPIIMVGPGTGVAPFRGFVRERRKMV ENGQDVGKTILFFGCRRSTEDFMYEKEWEEAKQVLGDKFEIVTAFSREGPKKVYVQHR LKERAKEVNELLQQKAYFYVCGDAANMAREVNAALAQILSAERGIPEAKGEEIVKQMR QANQYQEDVWS MYCTH_97707 MTHYRPNTAMAPDVGNNRHASYPGYLSAQMGSQNEAPSSHGQPV GQHSDTIGAVMNQFSTLALPAAPGIASASNMSQMAHHAYCAPQEQPVAYQGYSVPLHV GMAPEAAFAFGISGQYPVQSGFAPLSMPYHAIPYTPARVASYADRSSEVPGLENRRGS YSTTESTPATPFFGNALERGNPARVAVFRSNYTTPSPEQVLAPKAPQAISEDLLELLK QDPPIPQAVPAVFTPPSHTKSIEQCLENRIHGNRNVYIRGLHPTTDDDLLYRYASRFG KVEQSKAIIDTSTGACKGFGFAKFADVRDSEKCIRGFYHLGYEVGFARVSFNARLKAE GDETSTNLYLSNLPKRLNESELNAIFAGYHVVSSKILRDSMGNSRGVGFARFETREEC EDIIKKYHGASIGEEGMLMQVRYADTPAQKELKRITAERRQFRTNEYNIGAYGTADVG IHPTIYSPAPWNRRAGVGSGSLFAPRVPSIRAGLGNNASMNSVAGGQGVGHTPKQSVS VPGTVSSDDGLGGDNNTTIDSPTAKKGSTQSSPTLKTERA MYCTH_59853 MKLDTRAMRHLTAEDWRVLTAVEMGSKNHEIVPTPLIEKIARLK GGASGVHKSISALAKVGLIARMKEAKYDGYRLSYGGLDYLALHTHAARKHVYSVGSRI GVGKESDIMIVADEKGTQRVLKIHRLGRISFRTVKNNRDYLRNRQSASWMYLSRLAAI KEFAFMQALREEGFPVPEPIAQSRHTIVMTLVDAPPLRQIASVPDPAALYAELISLIL RLAKHGLIHGDFNEFNILIREDRADEQDPDSPITLTPVLIDFPQMVSMDHPNAEMYFD RDVECIKRFFSRRFHFVSTTPGPFFKDAKKTVGHDGARRLDAVVEASGFTKKMAKDLE AAIREKEANSKENAEDSDGMEYESDEDDEEAEGRDSDSGDDGPLVIGDRIVNTEEGLE KLTINDGT MYCTH_2298906 MAQESDLSKTADKGKGKAVDDTTQKDKAGQPVANGKKDDDKAES SEELSEEDQQLKNELDMLVERLTESNASLYKPALEAMKTFIKTSTSSMTAVPKPLKFL RPHYETMTKLYDEWPAGDDKNSLADVLSVIGMTFSDEDRQDTLKYRLLAPTQDIGSWG HEYVRHLALEIGEVYAKRIAADEPTADLVDLALILVPLFLKSNQEADAVDLMSELEII EELPRFVDENTYGRVCLYMVSMVNLLTYPDNEQFLRVAHGIYKTYKQYTQAMVLAIRL NDHELISSDFNDAPDPALKKQLAFLVARQRICLDNERETTDDEEIVECLGNVKLPEHF KALGKELNILEPKTTEDIYKSHLESSRVAGLTNFDSARNNLAAAFVNAFVNAGFGNDK MMLVEKDKESWVWKTKEEGMMSTVASLGTLLLWDIENGLDQVDKYTYLEEEQIQAGAY LAIGIMNSGVRLDSEPAMALLADHDKLNHKNPLIRVAAIMGLGLSYAGSNKEELLTYL LPIISDTSQEIRVSAMAALACGLIFVGSSHAEAGEAIIMTLMDEERKSQLTDKWTRFL ALGLGLLYFGRQEEVDVVLETLKVVEHPMAKPTSVLASICAWAGTGAVLKIQELLHLC NEHMEESDEKKGDELLQAYAVLGIGLIAMGEDIGQDMVLRHFGHLMHYGEANIRRAVP LAMGLVSPSNPQMKIYDTLSRYSHDNDNDVAINAIFAMGLLGAGTNNARLAQLLRQLA SYYHRDQESLFMVRIAQGLLHMGKGTLSINPFHTDRQILSRVSAAGLLTVLVAMIDAK QFITSNSHYLLYFLVTAMHPRFLVTLDENLKPLTVNVRVGQAVDVVGQAGRPKTITGW QTQSTPVLLAYGERAELEDEEYISLSNTLEGLVILRKNPDWDDGK MYCTH_2298909 MDGPSIIKEHGKAIIEAIRSRTLNNEWKVLVVDETSKRIIDSSV NEDEILNHNIANIERIEDRREMNPDMDALYLLSPQPHIVECLLADFSCHRYRRGFIIW TGPLPDPLQRKLDVARRQMGGPPDLLLVDFYPRESHLVTFRDPSSFLVLYNPTCNDLV AQHLRALASKIASVCITLQEFPKIRYYQPPAHATHEARVLCMHLARFVQQALEGYRQS DRNFPPHTQRPQSVLLVTDRSMDLMAPLLHEFTYQAMVHDLLPVREQENGKVTYHMAA KESARVEERDEELAEKDVVWVTNRHRHMKDTIDKLMNDFQKFIDKHPQFANQGKEASL NDIRDMLAGLPQFEEMKKAYSLHLTMAQEAMDIFQKYKLADVASVEQTLATGLDEDYK KPKNMLDQVVRLLDDPDVAPADRLRLIAIYALYRDGMIDKDISRLLWHASLQRSRDSQ DQAVIENLGLLGARPLKELKETRQPIPPLFPQPSSSKNAVPDEEYALSRFEPAVKQML ERLCAGDLDQALFPYVIPPADGPGGPDSLTSQGSLRSAAPRWASANRKQAENRQRVIV FVAGGATYSEARACYEVSEKHNRDVFLVTSHMVAPGKYLADLRALKTDRRRLNLPIDR PPPKPPAHLYERPAPVQPAGPVRPQQQQQHIPPGAGVGGPPTQALAGMSLGGAPPPGV AGNGPGPAAAAAAVAAAGAGVDAGGKKKDKDKEKKKRNIFGLKK MYCTH_40367 MSAPPSKQEQTLLTFPSSHAALINPYLPPLDREGDRGENDDEQE EEEEEARQHHGRAGKVHLTLTYAHSLDAALARAPGVRTVLSGPASKAMTHYLRARHDA ILVGVGTAVADDPGLNSRLRGAAGLVRVGSQPRPVVLDPRGRWLVGAESKVVRLAAEG KGLGPWVFVGEGVVVDEGRRKLLERVGGGYVAVPLGRKGRFEWGDVLGVLGRKGIRSV MVEGGGEVINSLLARDGKAFVDSVIVTIAPTWLGQGDVVVSPPRMEGPAGEPPEQLRL TDVKWCPMGEDVVLCGRIAR MYCTH_2314181 MSNKHDDPPAYSNPAYPQPAYQHDQQGGAAAGYYQQQPPMGYGQ APPPPQGQYGQPPAGYYYPPQQQQQGPYPPAGYYQPAPYGSPQQREKSGPGFFEACLA GMAC MYCTH_2298914 MPDIEEKYGFPHCTGHRSSLAGGLFNGCKKEKAIKFHFSTALVR VNSFGPRPSITLKPRDGDEYTLEADIVLASDGIKSVTRTQMLAELGTESQEEDTGQAA YRIMLKRSEMEHDPELRELIDSDTVVRWVGERRHIIAYPVSSKQIYNLSTAHPDIHFA SATNATYTTRGSKSAMLQMFEDFCPLVHRMLNLVPDGEVCEWKLRVHKPLPTWVRGSV ALLGDACHPTLPHLSQGAAMAIEDGAVLAEVVSRIPADKVHDPETITKTLKVYELLRK PHCTALVDLATHSGRILHLGEGKAREERDREFRLNGKNGSVPDKWASPDVQNMIYSHD WVQEAINRYDELYASL MYCTH_2298916 MTDVRDLRIVIIGAGMGGLGTALAFAKKGFKNIDVFETASNLGF VGAGIQMPPNVIRVLHRLGCWEDIFAEATNVKATSIRRKRAKLPGNPSPAGPIDAWPR QV MYCTH_2298918 MSDTTSTAAAAATKTEASITDDGPGAAQKATGISLVAFVTALAA SLVVFGIQMGFFLLLRNKLVRIFKPKTYLVPERERTEPPPSNHLALAYKLMSFEDREI IKKCGLDAYFFLRYLQTLLIIFIPIAVVVIPILVPLNYIGGLGREVVNGTANASNKSD PTGLDTLAWGNVAPNKQQRRWAHLVLALLVILWVCGVFFGELKVYVKIRQDYLTSAEH RLRASANTVLVSSIPDKWLSEEALRGLFDVFPGGIRNVWLTRDFTPLLAKIHERDAIH KLLEAAESDLIRNAKRKQLKQAEETGIMNRLKAVTAEGRADRAQRAKAQDEEAQRRAE AAGGLSANTPHIPHGVHEAIAEADDRSDGGFDIARERRADEGEKRWGHKNPLGKIGEG LGKGVGKSAALASGAGLGIAGGAKAVRRGIDKELKGPAGFDFVNTESRREPPSVTTSD PDSPPPRDQSAADDEERPKASFASEAPLTKHARHAHTASDASQESADIKTEAGEPRLF GNTVRRATNLDEMIVTEKTRWYEFWKPPTGSYASPIPQGAEEGEYPWKKKKKSLWEIV KDAVPVLGGSSLPPVEYPPAYTRDYAKEPEDDTAEWRKWLKPEERPHHRVHKFAGMPS WLPALPLLGRKVDTIYWCRAELARLNLEIEEDQQHPERYPVMNSAFIQFNHQVAAHMA CQSVTHHIPKQMSPRMVEISPDDVIWDNMAIPWWSEWARSAIVFAFVSAMVILWAFPV AWTASLSSLDALVAKYSWLHFLVENEVLGNAVKAIAGVLPALVLSIILALVPIVLNFL ADFQGSKTGSQKSETVQIYYFAFLFVQVFLVVSIASGTLQTLANISKDFTSTPNVLAE NLPKAANYFFAYMILQALSTSSGTLLQIGTLLVWYVWARIVDNTARAKWTRNTQLPTV SWGSFFPVYTNFACIALIYSIVAPLIAIFAIITFSLLWVAHRYNMLYVTRFKTDTGGV LYPRAINQTFTGLYVMELCLIGLFFLAEDETGTNVCFPQGIIMIAALILTILYQYLLN SSFGPLLRYLPITFEDEAVLRDEAFQRAQARRLGLTVADYDDDDDDEASSLDRPGTAD SARHAADDIELEKMAAGRGHAAGGGGGGGGGGGRRHGRTGSALGRLRPVNKGIQHAST WAARGGKQIRAATFGKAEESLRTAAQYRKDRRQRDLEAQRAMGDALFGGYCDVIEDLT PQERDVLVRKAFQHSALRARRPVVWIPRDDIGVSDDEIRRTNEFSGWISITNEGTALD SKVRVLYGRNPPDFSEVDLINL MYCTH_2038387 AQDIKQVVQTLAQGTPDAQRDAIYRYFAPGAAFEHPFCRVPSFK NLHIPGVGSFDSRVLIAAIYRCPKIELEIESCVHDERANLLYLTIFQVFSIWFIPFHR APVRLVSEKRQAVQEGAEPSYAAVTAGDAPASDAACSSAAGPPTRYVIDRQQDLYQVN EFLRFVTLQPGSAAAGFLQLVATLMCLLGATLLSPLINTVW MYCTH_2298920 MPPAVRNQQREAAAAAAASAPAPAPAPAPAPAEVADRSGNPSNS LNLELNRNLPREARPQQLQQPSPQPTQTVITVPAHYGSLDSGPSAGAVVGITLGSVAG FVLLLWLVYTCINMGNPAPSGNDTSTVVTEGTGSVYVRRRRSRSRRRYSRRRGSVAGT TTTAATKETVEIRTRGGGGRGVIVEESTTASPPVSEVDRVIVEERRRSVSRHEGGGGR RTSVPPPPRGALSSDTEDEVVVIEEHSPPRRRRSSRVRSAERRLSGYREVDPDRFAGG DAEFVEVRRSSSRRR MYCTH_2298921 MTSSGSSKDFAKALGGFFQAPTLPLSVEINSIIAAYLEKHERSN EGAGERLGDELKVIWEKAVQGHPEKYVAFLTVLRQLRPAFQTPAKVFKWWDTLLDPVL DHVGREKGLARQVMDHTLDLLSADEYDDPAAWSEEGLAPLISRLIARWIEVREAQPDL RPSTDLKEQMIKDALMAFGKKDPKGFMTALNSFVVRKEHRNSALSLLCAFVASGPPHL HLILQTPLFGNILHSLQKDESTATINLALIALVMILPFIPSSLVPFLPTLFNIYARLL FWDRGSYFTQQHTELGAGNDEAGGGVPWDTSLLDPDYDGHSISYLPEYFTLLYGLYPI NFLDYIRKPQRYLRHANNAEDVDVQAMEIRDRSEQFRKQHLLHPNFYHLTIESEKTDL SRWIKSEADGVLADCMALVVDHTSTFAHVRPATPLPNQPGQASSIAGDGLDQEVLDSA LLGNPSRADPSNPALSTDSMSIGRADRPSPRRGSQSSQPSANDASETKGRGGEDDSPA VPPSPRQSTSQGRPQDTAHSSNMSGSGIREHHTNDSVPSLVLNSQERAVETSSGAQPV RSESAHKGSNISVDDRIALLQHHRLRLINDLQYERFIKEQHMIHMGELRRQQIRVSAT EAETQNLVMANRSLKQRLDEAKRGEAKIKKEFDNRRSMTKKWESDLLSKLRALREEQK KWRAESSELSRQLEEARAECEHLRRLVAEAEDKRLQSEQNLEAADISTAMVEKLKKEI ARLSASERELQGKETKMETALQEAAMAEARARQVEQEVAAREEQLRKVESHYRAQIEE LKEQLAKALQQNPRKAAADVTAAYETTISALRAKNASLQRQYDALMKKYTAAESALLD MRCIVEQKAASSAGGSLADVDSESSLLGRNPLGIGSRPRGFSDSEAAERGSQGTGLPP ARSASTTLASDSQNTSIPTSQAGPCEPGRSGKASPLAERFFGRVRQRRIAETGKRTRA IRRRKSR MYCTH_2298922 MASKTSAVAGDDPIEVLFALHHKFNLVDFAGPLQILTSALNDAE DSGSKAFEVTIASGETNVLSEQGVIINSQCTWKEAYERLNDFDVVIVVGGNTQEILDK KEEPLNLISAYAELQKNDPSRERTLLSVCTGSLFLAQQGILSGLSATTHPDYITKIEN MCSQNVVRGLGDHTDIIEDARYVVNNLRFDLGDEDENPYIRRKSDASRPTNARKGSMS FKAASRRESVARRAAMRLGGLRVITSGGVAAGMDAALYLVSILVSAESANEVARDMQL TWTKGVVVDGLDV MYCTH_2086470 MATPVAAATEAVAQQVPRRFGTKQIFLPNHVIAFIRPKPKQPPN LATFAVPLQFNKLDLRDYLYHVYNVEVTSVRSFVNQPLPRRKFQTTGKWYRPRSKKMM IAELVKPFVWPDPPKHEDLKEFDIDVFETLDKERSDHLDFQRDPTKIPLRTKTPTPID RRALRKDAAKILETGEWSSGRLDDGEWTEVEKDVKI MYCTH_37752 MATSSVLWQNDRKTVILLDLVRSIEEAQIPSSELAANNGRPPAT LRRLVSALPPASPFPTPEPKRGSSEPPTTSPSAQIADLMTQAAVESALEEIRASHEGL WCLPRVTSPFRSPSLSPTPQLPPAPRHHPNPNQHSLLHPRREPFDLILLDPPWPNRSA KRKRAGAGAYRPAPDLSSVRALLRQVPVASRLSPGGLVAVWVTNAARFADLLLGTGGG GGGRGLFSEWDVEPVGEWTWLKITAGGEPVVPVGSAWRKPWERLLIARKRTAAGEGGS RTGPVEGKVIAAVPDVHSRKPNLRGLFEELLPERYEALEVFARNLTAGWWAWGDEVLL FQRRECWVEGKWG MYCTH_2298926 MPSHRPRDVADVSRAPIAPRKEPAVCPTDDEAQVPRKTSKDKQS FDYLWKSGVAGGMAGSAAKTIVAPLDRVKILFQSHNPHFVKYTGSWYGVGGAIKEIYH QDGPFGLFRGHSATLLRIFPYAAIKFLAYEQIRALVIPHKDKETPIRRLMSGALAGMT SVFFTYPLEVIRVRLAFETRKEGRSSLRSICKQIYHEGQYRKAALPAADAASASASAV RSAATAVAAPVPGLANFYRGFSPTLLGMIPYAGTSFLTHDTAGDLLRHPTIAQFTTLP KPDNHTPGKPAPLRYWAELLAGGIAGMVSQTASYPLEVIRRRMQVGGAVGDGRRLRIG ETARLIMRERGIRGFFVGLTIGYAKVVPMAAAAFYTYERLKMVFGI MYCTH_2053582 MGTVGNNGRNKLEGRAGAEEGQHLHQEQKRSKQRQSAPIAQRCQ KKKKQPAHSGLEDHNQHVADPLAHFLAIPWAARLLTGPATFGIVVPDRRPLASGDKRL VRSVLNGAGTVRACVTFFMKLPAHGEEKGNDESLLPLSRSTALLRSGGPRDGEDPERP FLLFNALLDLGEDLCGYKGMMHGGALAVILDETMCAAADNQTGCAFTATMSISFLRPV KLPGPVIVRSRVVKKQGRKIHVRGAIEDGEGQL MYCTH_2298927 MGTKKGTAATGKRARLQNEEDQSSAVKRQRTKSSEQTDDQETKP LTGTSSAQSGLGRIRASRLAKESSEDAYEVPASEEEPPRPPRPRQVLPRTNGETRVGK GKSSSSSQKSSVYDVPDSDEDELASAAVAPRPRRQKPDSAAPGADKIEPASAATGQEA GKKKRGRPRKRDREAIATAQVAEVSTTARPAQRTRRPAGRGSPPTHLPAEGTAESDGR VEVTNGLVETRVGAKAAADVKLGGDAAVPKGILTPRGRKRALDGPQKNVSFKNQTDDD QARARLAAASPSKSARKRREAAEAMDELQVGDPQDDEEESPGDERGEEEEEEDDDDEV CAICSKPDSEPPNEIVFCDNCDMPVHQECYGLAEIPEGDWICRNCSQDDATKSNIDAT GQVKTTVVPREEQRPDIPNFEQHLRSMQRVLLDRCTGKRRIKLRGQDEAYNKAYQLVE QTVVAGEGNSMMVIGARGCGKTTLVESIIDELSRKHQDQFHVVRLSGFIHTDDKLALR EIWRQLGKEMDVEDELVNKTTNYADTMASLLALLSHPSEIAEAPEGVTSRSIVFVIDE FDLFATHARQTLLYNLFDIAQARKAPIAVLGLTTRFDVVESLEKRVKSRFSHRYVYLS LPKSLPAYWDVCRQGLTVDKEDMAREGIDQELKGHDAFWDWWNNKIDSLRKSQSFTDL LESHYYSSKSVSAFLMACILPLAMLSPAAPSLQIPMAAGLDVSLEPPDSKLHLLGSLS DLDLSMLIAAARLDIVAHTDTVNFAMAYDEYTSLMGRQRVQSASSGMLALGGGARVWG RGTAGMAWERLAALGLLVPAAAGGRGTAGLGGLDAKMWKVDVALEEIPAAVKLNAVLA RWCREI MYCTH_2298928 MSKRTVFTTVTPLPAGISRQIVLDFLHDHQEMIDLNPLVKERHP IPPPSHASADEYRCQWYSLTDKISYFPGVAGDVTYTCAFNDLPTGLETHCYAPAGLS MYCTH_2298929 MPDPKDPSRIVTTTTTTTFSMAKDMARSICQRFLEARFIESADG RYQQVYAMKGVVWQLTPKGICILDRFCSRNGIQQKQVAELVGSSLPQLVTLERDGQTD KLLTDRGTIEVIFRRFIGTNGFNIKSSVSSADSDSLSDYRDGLTGVKMAAERKINGKT YKDTFTGKAASDWLLDCSTTVDKRETYEIASLFVKYELMEAVQQDRAYMMQHPASTLF QPTKHAIYQVTAKGRDLANGTSTRGRASESEGLSSSRGGGIARDSNTQRLDKILNDPA LRLLFRENLRETHCEENLSFYLDVDEFVRQCRQAIRVAQKNPNNAASLDGIKEIMAQA YGIYNAFLAPGSPCELNIDHQLRNNLATRMTKAVGQDVAMIDTLHEVTALFEDAQNAV FKLMASDSVPKFLRSPKYEQTLKNYDFDAVASSNNSQGRGPERSQSRSNRN MYCTH_2107928 MYCIRHTALPERRAAKPDEDEDEEENEDEEENEDEEENEDEEEN EDEEENEDEDDGCDDRSEDRRRSRLGRGTRARWAPSAPSSPSLLVRAAGRAARSGLLR RVEQEELVEQEQVDDGEEGARVRWRRSDSGPGRGPGPGLERDRDRGRGRRWWGCGSVG PGGPGRCRTSPLE MYCTH_2298931 MAPIEDPLLLLRHSIASGGKIIPTTSPDSSEEAPLSRATHLVFT DPARVAVPIDAPTRFTSTEGKAVDVRSIYFAWLNRDFAIPEYNAVATKLNEEMTGGAT VHMFAFVERLVLFTYLEGAQEESEFIRPLPGDKDGAAGAGTAATGAASKTAPAASGRA GRGTLDPRLAQIYSGERRMGDRNTALRGIKPTDFSHVRKLAAPFVTRKPGAAPSAGVG ASATLALNQKPARRPDPIILLSPSASALLRMSNAKSFLEGGRYTPPDHSSTSTMLHVS RLVKDIDPSRPMRFILVEGPEQFKPEYWNRVVAVFTTGQTWQFKNYRWSNPSELFKHV LGVYLGWRGEEPPESVRAFGHRVLACSVEKWRDPGQPGAETSRWRDREVVETIWKSIE ANMRAKGWRKDAAPSSI MYCTH_2298934 MPKAPTSSLSNKRRHNPLEDDLLATGILKNREGRPSKRADRKHA EEQPYVDAKASRKILEMSRDLIDEEERQSGSNDKGASKPSAFDFDPSRFEGTSDREDE EFTNEEAWGDEEEEVEEIEVDAADLDTFNRFVAPTMNDDPLLTHGWDGKPGDGAQEQG TGTNLADLILAKIAEKEAQQGGQQQDQNPVEEDYEMPPKVVEVFTKIGLILARYKSGP LPKPFKILPQIPHWEDILQITRPDLWTPNACYAATRIFVSAKPVVVQRFMEMVILERV REDIYEHKKLNVHLFNCLKKALYKPAGFFKGFLFPLAASGTCTLREAQIVSAVLARVS IPVLHSAAAIKTLCDIAAEQASQQSECVSATNYMLKVLLEKKYALPWQCVDALVFHFL RYASTASPGGDSAPKTLPVIFHQCMLAFAQRYRNDITEDQREALLDLLLNHGHDKIAP EIRRELLAGRGRGVPIEPPKPAFDGDDTMLVDS MYCTH_2298937 MPTELEELVGFIAHPNPSIRKVAAENLVPYSTEQPSIFKRDELL PVKHLKFLIRDHPEIAEHAITILINLTSDRTVLEYVATDERFLGILLGNLVDPSEANA NLLAMLLANMAKWDGLKDIVNRKQDPPKALQSHELVFNQLLDLFVKGADGTYNKQADF DYLAYVFADLSKHPEIRQFFLTKQEYDDVVPINKIKVFTEHKSDIRRKGVASIIKNTA FDVPAHPAFLDEDQINIMPYILLPITGNEEYDEEETMGMLPDLQLLPPDKQRDPDHNI IQTHVETLTLLTTTREGRDYMRRINVYPIIRETHLRVDDEGVREACERLVQVLMRDEA EPGAEGADEEDDDERVVEV MYCTH_73877 MPAPESREPRSPDLQPSKRPRQSSPEKDSPASLLSGNGGDGDLG DGGTSPGSGDGAASGAAAAAAAGAGAKTGQSSSFRNVSACNRCRLRKNRCDQKLPSCA SCEKAGVACVGYDPITKREIPRSYIFYLEKRVEQLEGLLSANGIAFPPAQDLDYCSKG GNTSASAQSPAEAGQSSYFDGTDAANGGGRGQMTGDSNNGSNGSHTKLQRFASKPGSS DGGAGTGPGGVDNRYLGSTSGISFARVVFAAVQSSVSDQKSNSEKGGVRPYRPAPSGG DSGGPRPGTSMRDSFFGLHTKPTIHPATFPDKELGLRLVSLYFEHANPQIPVLHRGEF MDMFERAYADEAGGRGPRELYMLNMVFAIGAGIIVGDSKGELPQAESDDSPPGSRQCQ PEEYHASAIVHLEACLGSSGGLEDLQVVLLLANFALLRPVPPGLWYIIGVAVRLAIDL GLHYEDSKDLEAGLGAPAAEQNEAMARERGRREYVRDLRRRLWWCTYSLDRLVSLCVG RPFGISDLVITTEFPSLLDDRFITPAGIIQPPPDQRLPSYKLVAHHYFRLRLLQSEIL QVLQYRQARNAWAGGRDHHNPYMHTDLPSPFLSRFDSFRSWRIDIDRRLWEWKNSAPT KEETGVAFATDFLNLNYWQAIIMLYRQSLSVPAVFEGEYDTTKEVNSPAVYNAELRED EDRVYLKVAEAGQKILRLYRQLHLVGLVNHTYLTTHHLFVAGISYLYAIWHSPIVRSR LTMDEVDFTVLAATSVFTDFIDKCPPAEACRDAFERTVKATLKMVNASGGFGQHYQPS HASVAYGSGSDFSRSRAGWGTGSAPGSVLSRSQEQHYQHTRPLDQPATVTSDTFSNHY PSVISAFQKGAQYRVPGGTTTIKPEPDSLSQMRNLSIPPPPEAASTIDQRMTPSPSAL QQQQGRPSPPSLPQLSSPLAEIVGAQGQASAGGFLSPQQQTLRQQQQQQQQQQQISPR AVLDGVDLSGLADTQMDLGFGLGWEGLHHDFTDGQQVDLFDGFFFGGGSGGGGG MYCTH_2298940 MPLVWCVGSIVGPMIGGALAKPVESLPSVFAPGSIWDRFPYLLP NLFSATCVSLGVIIGLLFLEETHAEKKKRRDRGVELGNYLLSLLPSWGARDKGRSPAK GSEEEQPLLFDAEESLPGYLTSEDLAGPSSVSGPSGLVQQDARTSSQLSQQESKPAAR IFTKPVVTIIASYGILAFHTMVFDSLLPVFLSTNPPDHKMPITLPFKFVDGFGLDTQT IGFILSVQGIYSMASTHFLFPLITKKLGPLRLFRLMSILYPLLYFFTPYIVLLPHSIR MASIYMIVIWKCTFSTLAYPSNAILITNSAPTTLTLGTINGAAASTASLCRALGPIIS GLLYTIGWESGYSGLAWWVTGLVTIGGAFVGLKITEPRGRMDEKDDIEAAPESRGTWD GAARTNNRQAERE MYCTH_2124216 MEDGAIDFRRRPMLRDRALSQQAVVTESSTSTHLAAWPYGIFDE LLAVNTCSWVNQPRQQAIALVSSLNGTWVEWPAEFAANHDRNSSIPHTPRSCSPPALA ATRRFKAEREAIESYQLSSISGRNGLSDIGMIGPHAPPRQPKAGVWRRRDV MYCTH_2298945 MGKKGGAEVNSKKAAGQARKAAAAAAKEEAARAKQEALEAAEWE KGAKKNDKKAAEAAKKAEQARKKAERDALLAEEERNTPGRSGPKNAKTAVKKSKGLDL SQLDDDGGKSLPALNASGIDNALDALSLTSRADTKIDRHPERRYKAAYAAFEERRLAE MEADGSGAGLRLNQKKERIKKEFERSEENPFNKPSVRYDASKEELAQRQEEERKKIEA RLASP MYCTH_104945 MSTSSLPAVKRQHLLAEFAGLKHACPHGIFVSLTPGDPTLWSGV MFVRKGPYAPAILRFHISFPDAYPALPPLVTFSTDMFHPLITPLTTYMYSTDVQDGGT ASANDDERLPPGGFSLRHGFPGWFGRGRRAAAAAAIAVAAKAASSSGGGGDGGGDSTG GSGAKTEGNRDAVAVTPMRPVATATGTTPASAVSAAASSVSAPGSYARTGTGSTKEVS TYEVLRYIRSTFDDEDVLDSIPLEAAGNPGAWHAWRTHRRHVAAKGPSGAGEIPGREG GAQADKGPAPSPVAATGAAASAAAAVAAAAAATKRPDEWNWEGVWEERVKRNIASSLS EGVLYGHAGAPDEVINFLNMDEAEVEGAKSNLLRTLGAAA MYCTH_2298951 MPAKTGAGNPAGCPSLPSLPAVVHTLVPQIVVTPEPATADDGVV TIWVAVQLSVQGSSTAAIEQGLYDPASDRRSSQGAPRHGFFLYDVSLELLPLSESTVV EVLGDKACPT MYCTH_2124220 MALPLGDHVEVEHGGESSEKGFTGRHPEGGHAAATAAAVTSPTE TVRRKIYYRRPGSPRRAASVSRSSSFTSASSASSSLSSPSFPSEPTPSSRLPHPLASS SGDGRAGHSGGSTSSRERARTGAEIQRGLVGKWLMGGDAAPVALDPVVVDAKMDGEGQ TGRTVVGGVGRCSGMVSVKARTGKEEKEKGKERGRGWGWTGWW MYCTH_2298952 MGMQDVRTLTPQLERVKARVQEAVNREIQERIRTRYGGKKLKYQ SPGPWTPNAAFVNCYNGPMENVGWHSDQLTYLGPRPVIGSLSLGVTREFRVRRILPQD DAGTSAEARDQSNNNNNNNDNSNSNSNADLTGQIAIHLPHNSLLVMHAEMQEEWKHSI APAQAIDPHPIAGSRRINVTYRHYRAAFHPRNTPRCPCGIPAVLRVATKKRENRGRYF WMCHAGNVPGKEACDFFRWAEFDEDGNPPGVGRDGPTEEAVDREGTGSGEEDEPGKPD EGG MYCTH_2107938 MASMLEFRTLGFNPYAVKYSPYYDSRLAVAASANYGIVGNGRLF VLGLGPAGIQLERGFDTNDAQYDLAWSEINENQVVVACGDGSLKLFDLTAGGFPVMNF AGHKREAFSVCWNPIAKDSFISSSWDGTVKIWSPSRPTSLKTLPVGNCTYSACFSPTN PAIISCVSSDSHIRVFDLRTPVSAKYHLTTIIPAHAPPQGTGGAGAPLPLLSTGQTFG GSVPAEILTHDWNKYRDTVVATGGVDRVVRTFDLRNPAAGPVAVLPGHEYAVRRLAWS PHASDVLASASYDMTVRVWSDGSAAPQQQQQQLPPNTIPVGTQLGVMNRHSEFATGVD WCLFGTGGWLASAGWDQRVLVWDAHTLLRA MYCTH_2298956 MMKTSLLRQAAATRVALAVRPAQASFRASSAVLALARSSRPSPA LPRPASSLLRFYSAESAAPQTSSDNGSSNLVTRFEDLRRLGVHEHLVNSLTKGLGYET MTDVQSMTISPALAGKDVVAQAKTGTGKTLAFLVPVIQRLIASQPELASPRAGGRARS DDIRAIVLSPTRELAEQIGVEAKKLCRGTGVVIQTAVGGTQKNAMLRKTRIEGCHLLV ATPGRLHDLLSDRSTGIDAPNLAALVLDEADRMLDVGFKAELESILDYLPHPVDVPRQ TLLYSATIPKNVVNIARKFINPTNFEFVQTVRADEVPTHERVPQFIVPCRGFENLPPT LLELVRRELRKTLDDSDKLPFKAIVFLPTTSSVQAYATIFRRLKFHDRLMPRIFDIHS KLSQAARTRSADNFRQAKSAILFSSDVSARGMDFPNVTHVIQVHLPQDRDLYIHRIGR TGRAGKQGEAYLLTADVEIPAARSRLPGLPIKRCTDLECASMDVARAADVPQVIEDIK NAAVKIPYEVVKDTYASLLGNAIKDVDKQDVVYELNNMVKYIFGLDQPPALSTKFVNH FGRHIKGLRTVTARDIEPRREYGNRGGSYSGHGARSEGFEKLERLAANENGGSRGRAR APRPAF MYCTH_2124224 MLGGPINYHTRTMPFSDPMYSEDRSELGGWPALGVVDMLRRFTD LVATWSMAGTEAGCQPTAMHHRAVHEDRRERIETAGGAKGVAGMTAAPGGPEEARMSL KPVVGPALEHHAAVPDRRSVDVALAQGKWFVSIIASLAAVLGLPATSSGIDWKSASQG LDLVLNASREYITGQETRHNQHADHDAYFERSAYINGTQHILRGLPRDLDPAEAAMLH RSMPRALSASCNPPPAAAAGGWGWSGGRARRGGRRRSSRGKLQGLAMFCMYAVYSIAM WATPKLAGFGTRLVEAEQRHQYVPRLLMALAALLQVVVLALHWLSGCWPCQALLMLLN YALDCVRGVVFDFSVHAHEHEHEHEAVREGVVPGWGEEDAVKTGI MYCTH_2298958 MPDAADDQQLNLPSLVVILVISGLIIRYFFFSSSSTQSPRSGRA AAAGSDPAALMRAREAAAERIQQMFPQVDRRTALWDLQRTGGNVAATTERILAGRLET PPITFQPPPPPGGGNAGANVTTPQSKPATPSPSHPDLITRYNLKDKLNAPLDEPEGSG KTSGKGWSTNREERQSLLQRRRDQMILEARRKMEAKIAAEKAAGQS MYCTH_2132689 MDLDDDAPPELVSTGTDLEPEEKPVKVPITLITGYLGAGKTTLL NYILTAEHGKKIAVIMNEFGDSLDIEKSLTINKDGESVEEWMDVGNGCICCSVKDTGA NAIESLMEKKGKFDYILLETTGLADPGNIAPMFWMDDGLGSTIYLDGIVTLVDAKNIL RSLDDPAGKVEGHEDGEDAHGPVMTTAHVQISHADVIIINKSDLVSEQELQAVKDRIE SINGLAKVFTTTQSVVPKLEGFLLDLHAYDTVDGLDRPGLGHSHIDKTISTISILLPE LTEEQQSALDAWLRSVLWENVLPGHEPTGGPAYDIHRLKGRFFTDSGKEKIIQGRWVG FWFKARLGEPDVAESSRTALPSPSIHPTAAIVTIVEYPGGEYADAHAMIGGVPQALRG MPNGFAGQQQQQQQSGRAVSNRLPNGKIGPVGNAAGWGFGGGMPMGGSASVPPGAARP LGGNVSFAQSLTGSQPATPLDLAEFPTLSNSNQLNSANQSSMWSAQGPRNLGAGVHRG GGTPISSQQNQQDDMFASRLASAQSSYRYGNQGTGSQAAQGQSGVADDFPPLNRSANG EMGGQERGGNLMSSLGFGSQGSASGSAMHANRAGNGLLNALSATSRAADVRSPSAIAR PQDSRSPAEDESRQKGTGYREDSAGAVAGKNPHGAIGNDPPTGKGKEEEKGSASQVQD PLEGMAPIDKWGLKGLRTLMNNFPDYNALTCGLDPASLGVDMRSTDLLSAKVYSLYED APPRSPVPKFRLPDCYQVKNVQPIEAKISSFNEETLMWIFYSCPRDIKQQMAAIELNN RNWRWHKKMQMWLTKDDVMVPQSLGPAHERGYYIVWDTANWRKERRELVLHYADLDTT PTAQLQSIGA MYCTH_89669 MSLPPSSPRLPSPPPPAEIQIGPKSPLMGANAARQTTQMEQTAI DVNAKRRSHRGTKAADMAAGPPLVPLHELDSAFQLQEHLAALHYHHTASHTTPINRDT AELLATPPAGVDKTLWLYELCRFLIAQCNSLIVGFLFDTPPCSAATCPEMRAGEWQFL CAVHDAPKSCCAIDYCCHTLDWATNVVTNPKIFPSRFVVDAHDSNTAVKNLINIFRRL HRIFAHAWFQHRGVFWSVESQSGLYVFFKTVCDIYDLLPAENYKLPPEAEGLDDGNLG VGEPGDRSDRKQQQHHHQPMGNISIAKPPARRGIEDGDYSGVNRTNTRRHIKSSPSTG SAVTTVPEADEDDSSDLSHRLRGMRISGPSGPQAVPEEDRESANIPVVVEHRPHLATS EQPNRPSSVVPPPGTAAGPDSEPEPKPAPGSEVTKQAAAEPEAPVQTQSAAEPETRPG PEPQKTEQESGQQDSKPASKTKESQPLAPVPDEQQQQEQELEAQPGRGRVPRNY MYCTH_2298968 MRTRMAELFNDESCIRWWLPNDEGFTPLLQNIRAIADERNAMAL STQRESLQQIQQNFARMQVGEEHTKGDDGAQTSAETKPSVS MYCTH_2298970 MVGLGGQPNHNQLNSSGQLPQPQLLSMAPAPAPHPYQSLGYFTG FPEPVMFSAPKMQRSRRKSAPGSDHIKHRRTRSGCYTCRSRRVKCDEARPICERCRKG KRECNYPEPPPPKGSGASGTKNTAGASQQPSPSSSRDGEDDDEPEQDTRLDPIMDEDE EEPESATSQTSAPNFPLRKRSSTTSSFGRPRVFSGNRQGSETPSYEGNKSESPALSSG VIGAQTPAKSPFPDVPTVTTPSRPDWAFLPRELQFYLGYFYENITHYHYCAVNDADDF FRSILTSLALRDEALLYALVGFSAYHHSMRNPSGKINEFLQYYSRSVTLLLECMKKEM HTVGTLLTILQLATIEEYLGDWVNLMGHQKAAYEVFSKLFTPQTVMQTPVGRAALMWY ARFDVFISIMGGFKTSLSREWFAAPVDFYESRAAEETQNLAWKTEACAARMRQLSQEM SHLFAQGAKQEVTREEYVAEHRRLSAVWEEWKSSWDPALQDAAYLVNDFPGDPTPGPD DIVNPFAPAVLFRPPLFASTLMACEYHSISLMHASQDAVKLSEQDRARLTEHARAICQ IFEAVELWPHSPAGSLTVLQSPLAIAALYLPRDAKHHMWIRRKFAMLESIGYVRSSLE ETNGFPGYTKHNRTK MYCTH_2298973 MDGSAAGNGSAGFALLFTLFAFFLPILFYFPPVPPSKRDALLET HSPVGLGPSSASGLPGKRTTRTRTGRGAAEDDAEGDAKGGGGPRIRSLWIYPLKSCRG IEVRQSKVLPTGLEFDRLYALAQLKESPSSPSPSAAGRGEKASETKGERRRSWHFITQ RQFPLLATVEVDLFVPDAAKRRPRAGQQQQQQQPPSSDAPDAPDAPFLLVRFPWREPG LLGLVFGHLGAKLARGWRARPEKEFVLPVAFPSPAEAEARGYAYEDLTIWRDTVPALN MTADLAPELARYLGVAGPLGLFRVDPARPREVYRCAPSRQAAGYQPVTGFQDAYPLHL LNLASVRDLDAKVDKDEDFRELDPRRFRANIIVDGDESPYDEESWKKIRFKPGPESKR GASTFHVSCRTVRCKMPNVDQDTGYRHPVQPDKALRKFREVDAGAKHMGCLGMQLTPL FPRTDAPESMESWVEVGMSVEVLERGDHLYIPQ MYCTH_73867 MRVTELIIDGFKSYAVRTVISGWDESFNSITGLNGSGKSNILDA ICFVLGITNMSTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDKKRSPIGFEEYSTIS VTRQIVLGGTTKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKPVE ILAMIEEAAGTRMFEDRRDKALKTMAKKEMKLQEITELLRDEIEPKLEKLRTEKRAFL DFQQTQNDLERLTRVVVAHDYVRCQEKLKQSASDLEAKKQREKELEESAARLKSEISH LEEDLERVKAQRDKELRKGGKAQGLEEAVKKHANELVRLATVADLKRSSMAEEQERRA GCERTVAELEAVLKEKTKTYEKIRAKYDAAKDAAEKLSQEAESKEELLQTLQTGVASK EGQGNGYQAQLQDARNRVTAAVTEQEQAKIKIAHLEKRIREEEPRAVRARDQNAGLLE ELEGLKQQAQRLEHELAKLGFQPGSERELYKQETQLQQTIRNLRQESDALKRKVANID FHYSDPVPNFDRSKVKGLVAQLFTLDKQFIQAATALEICAGGRLYNVVVDTEVTGTQL LQGGRLRKRVTIIPLNKIAAFRASAQTVATAQRIAPGKVDLALSLVGYDEEVSAAMEY VFGNTLVCADAETAKRVTFDPNVRMRSITLEGDAYDPSGTLSGGSAPNSSGVLVTLQK LNEITRQLREAEAALGQLQSQIAREKSRLDQAKRLKQELDLKAHEIKLAEEQIGGNSS SSIIQEVQNMKETVAQLKESVEEAKKRQAEASADVKRIEKDMKDFDNNKDAKLVELQA SVDKLRAAVEKTNASNKALQKELQTAQLDSEQVAGDLAAAREQLQEIDLALKAQQGEV EELVKQQRQVKETHDAAQAQLEEERKKLHVYDDELRALEEAIRSKNARITEEGLERQK LVHQIEKFHKEQQAAAQSVARMEEEHEWIADARDQFGRTGTPYDFKGQNIAECKATHK NLLERSQGLRKKINPKVMNMIDSVEKKEVSLKHMMRTVIRDKRKIEETIVSLDDYKKK ALQETWEKVNSDFGQIFAELLPGSFAKLEPPEGKTISDGLEVKVSLGKVWKQSLTELS GGQRSLIALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVVS LKDGMFQNANRIFRTRFSEGTSMVQALTPADLK MYCTH_2051835 MTPSRTSSSSTLRPAARDSDTGSIRPRNRRYLSTQDDAGSSSAL SSPSRSPSRGASPIPAAHIGSVTGRNNPKTDVVRGTSRRESPSTGGGLLGGSWTPSWA SVQELASSLLTSGASAITGESNRPRGGEGSRAAGKQGRQAGQRNGTRDKTWGPEPPDQ GRPCADDIAAGSRAEREAALRVLRTASVLESHDGVNGGLDTARRFKRRNSDEDLRSAS ANQEAEEYLAYIHHVQATDTYAGIVLKYRCREDAFRKANGLWSRDNVQVRKWLAIPVD ACEVRGRPCDPPTSQSSRVDLLSRTPDAADPFGRDGSQQTHDDFFSTTSPNGHAPERN QAGDEEKPWTHVRWVSIDSHPHPVEVARVPRKALGYFPPRRKKSAHTMSAISTPRAST DLPSVAVSDSAVGSPHSSSSRRPSLPGSRNPSSSSSSATTTTTTSPSRTRVDSTGSRA STTGDGTDPRPAWMRRPGGVGSLGRNVRAPGPAKDYLNSWTSKHLPGLNIDSLPSMSV MGSEMARFGFGRPADDASPAGVAIVESPFEDGRDAAAAAASATGGQQGTGLDKAAAAV ETWLRGAFERARQGPLTPVLGPSRGRTAAAGGDLIELADTGTEDGRQQSSEGPLSELR GLFSALPGAASSAVQSKRNATATMPICIECRHPVKTLWREGGGDKSGGHNIRLTVCKN CGRFCDKYVEHDFVVLFIDLVLIKPQVYRHLLHNTLMKEEDKFAPSIIRLGILLLLFD VYLTWARIERQSVPDADSPDGTASRGNFGRLAQQPIVFQYMFFLLLCTLSTIAFHGSI RFLTSSRYSPLALLGILPRYSRPNSVSTALLVSSSTKLFPILMVIWEYDVPAAARSLG WAVVANNVEALKILLDCGYGVAALLAMAGAVSRWAMGRAVLWAAGLEGVDSAGESGVA EDGRAFVAMLMYVKEWAGRLAVG MYCTH_86049 MANGWSVIIGLVICVALGIAGWSFAPKGENQVLWRSSIILSIAS CYLMWAITFLAQLHPLIEPRRSDLRAEYIHH MYCTH_2298981 MAKNVVEKAEVEHPVLIYNRTKQRSIDFAEKLPAGKTEISDSIP EGVAKADVIFTILAKDDIVETVVDTILEAGDVKGKLFVECSTIHPDTTARVAKRFLER GAEFVAAPVFGAPAMAEVGQLVGVLAGPRASVEKARQFFKGVMARSEIDMSDEPYEKA LTLKLIGNTFILNMVEQLSEGLVLSEKSGLGTQYLHQFVENIFPGPFVAYSNRIQSGA YHRMPYPLFPVDLARKDARHAFSLAEACGVRMRNLEVADAHLAAVKEHDGEKGDIAGI YGALRAESGLKYENN MYCTH_2298982 MFARGGGAWKRASSAAQSSIRTQKARHLSTGDNGAGSGRTAAGS PGPSATDAKQKRESDRQFLISVLESSATRRDAKAYLQTFGSSPGSGPRKTLAFTAVLH DGRRGQDDAARFVQGPVRVSVADTAEVPHIAIVKLRDPQAWDDALLNGVCKTLTQLRD LGLRSVIVLDCDPGLSNGPQLQQIIAEQTGRIITAIGRYGSPGAQSVSSAVWKTDGTS QELSSVCSTTLFVGFGESLSTPLRHGHIVVVPPHALCEKTLTYTAADANEVIFALTRF FSGLQFDTQATTEGKTEDRSNTSHVRKALVDRIIVIDPLGGIPASRRGDGARVFVNLE EEFDKLRTALAPENQPSQPTSIADLPVDPRAKHVANLELVKKTLAILPSTASAVITSP AEAANRRAAPPKQEAEASVGQFAGEVKTRRWQNPLIHNLITDRPIYSASLPIGRVKPV GRSAEITLTRMPTTTLVKKGLPVTIFPDPRSGPWTPPKPGAPRLRLTDTCVSLPRLVH LINDSFGRKLDIEHYLRRVQDSLAGIIIAGEYEGGAILTWERPFGMDEETAYRTGRLV PYLDKFAVLKKSQGAGGVADIVFNAMVHDCFPHGVCWRSRKDNPVNKWYFERSCGVRK LPESNWAMFWTTPEAATSEQLLRDYEDVCRNIVPSWDDTKPAD MYCTH_2298984 MDAFKGLQKSLTSLGGQITPFASRTFQYTKEQLGQADDKTELPP DYIDLEKRVDALKAVHQKMLAVTSQYSNEAYDYPPNIKETFQDLGRTVSEKVALLSSA TSPAEAQAALTAPPSAKPQPKTFHHAMARASLASSQTLHQQHTGAGEDPLATALEKYA LAMERLGEARLAQDAQVQSRFLAGWNTTLNTNILFATRARKAVEKARLTLDAVKARVK GTTWKLGGASSPRGADPHDEHELSPEAQEEIEKAEDEFVTQTEEAVGVMKNVLDSPEP LRNLAELVAAQLEFHKKAHEILSELAPIIDGLQVEQEANYRKSRESAS MYCTH_2298987 MLPLGLLNAAQGHPMLVELKNGETLNGHLVQCDTWMNLTLREVV QTSPEGDKFMRLPEVYVKGNNIKYLRVPDEIIDHVKEQQSQQGGYRGGRGGGGQGRGD HGGRGGDKGRGGRGRGGGRGGRGRGS MYCTH_2298988 MSARRITVDTLSRALCPSIDGLLLSNATTALPLRSARRVRVTQE QQHETAPAIGLLNGQARTAHTDGSPAGQRARRERYPQWAAAPQARPPKRVPAEKKPQP PPALPPFPGWAAVEVAPLRTTKPLSQDPSAVSKTDPGAQQQDHPGETATLADQPPEGQ PPGKTGLTSADALCRSKSDLPPETAGAPTPVIYEALRSLRGLEGQGNKIRRLVRYLVE ERGERPNVFLYEALVTANWDTATGSANELAEIYKEMRTAGIQPSPGWYHSALRLLAIH PDYLTRNTFLAKMKEQGIELGDEGKFSVAVGLLRDGQYEMALDYWDQLRDAGTRIPEW VSSTFVYVLAMRGFVDEAVQLFRQVLDAAGGSASAVPLPLWSYLLDECSRNLHYEGTR LVWDEMVSPGKINPADGIALNVLNTAARQGDTTLATAVIELLSAREVKLGFHHYEPLL ESYVKAGSLEGAFRVLCIMNDAGVQPDQSSTRSLYAALKEGPPDLVDEAIGILRQLER VPVAAINVLLEALATGSGGDMTRTLDAYRQVCDLCQSGPNQQTFALLLDECASAEPAV FLVSEMDRFSVRPSPPILDSLIRCFALDGNLDVALLYLDEMSRLATGGSPTWVSRRTL LAVLQRCHKEKDPRASKLVAEARRRGMTL MYCTH_2298990 MASTEGWDEPDSSQAAKREEAGGSTSGYLADGDDVRLPDPRLDV DEVNETTGLLGAGPHSNGAHGGAPAPSGGSGWSGDEDFAGLPWWRKPSVWFLLGPYAV FALAFGGSVVPKLNLIIDLVCKHYFADRSAADPDFTFTPVIPGGNNRQCFIPEVQKSV ATFSLVLNVTAGVLSSLTAPKLGSLSDRYGRKRMLIVCSMGGIISEIITILAARFPET VAYQWLLLGYFFDGLTGSFTAGSVLSHAYTSDCTPPSKRGVAIGYLHSCLFTGLAIGP LIAGYLVEWTGSLISIFYILLGCHIFFVTFMAFIIPESLSRRQQLLAREKHARAEEAL APAPASECADIASSRIPLRQPISRVVHSIWASNPLAPLSILFPTGPGTARLRRNLLVL ALIDMVILGTAMSAGSVIVMYVEYMFEWGNFESSRFISMTSMVRVFTLVGILPLINYL FRKRPAARRLLEDPHSPPPPPPEKNRGADEVDIWILRASLVSDVVGSAGYIFARSGPV FVACAVLTAFGGLGSATVQAAVSKHVPPQRVGQMLGAIGLLHSLARIFAPIVFNQLYA ATVETFPQAFFVLLTSLFILALVASFFLKPHVFMTGDGEAVPRSRENSVRARQDALED EELLPGV MYCTH_104936 MRSTSSSSTAQPGAQQQSQSQGHQKKPIYFGPFEVTNQVFLTTP HSFALVNLKPLLPGHVLVCPLVPHRRLTDLSPVELTDLFTAVQRVQRMLARHYFLGPA SSSSPSSAAASLPPPPPPSPPSSSSSSSSTPSAASQPSPPGHHQQQQQQQHDGQAPLR EGGRGGEEERGSFNIALQDGPEAGQTVAHVHVHVIPRIRGATAKPASTPSDAIYEQMA AEEGNVGGALWDRDRERQRESGDGGRPVPGGGFPRIEDAARVARGMEEMEEEAGIYRR VLEQMEAEGL MYCTH_2298994 MAGLDNPPASMQAGGQDGHGSVAETSPQPPPPSSKKKQRRGADP ANQKRRCVSTACIACRKRKSKCDGALPSCAACASVYGTECVYDPNSDHRRKGVYREKT DTMKAKSSTLQILIEAILNAAEEDVPAITRRIRTCDSLDAVAESILKNEAEEAADAEG AGSGLDDDYLTGAADRPVEGEREIARKMGELRLENGSVRYFGGTSHLIYLGDQANEPQ EPPEPDAGLSVEDPVTSWTEVTKDRQLIVHLVNMYFNWHYPYFATLSKSLFYRDFLKG KPPGQPRTTVYCSPLLVNAMLALGCHFTHVAGAFAVPGDSKTKGDHFFAEAKRLIVEN DEYAKPRLTTVQALALMSVREAGCGREANGWVYSGMSFRMAQDMGLNLNLGGTTKDKS PLDEQEVDARRITFWGCFLFDKCWSNYLGRLPQIPKNTYNVPKYDVFPEEDAEMWSPY TDGGFDQSLRQPARTRAVGLHLSKLCEISSDLLLFFYHPNHIGRSTGKNIEIKKLSEL HRRLEEWKKELPNEFEPKDGQLPHVILMHMFFHLQYIHLFKAFLKYTPATSPLPVHVS PWRVCSANAGAISKLMRLYKKLYDLRQICNIAVYMVQTACTIHILHLPEKTAKRDIIH GVKHLEEIAEDWLCARRALSTLSVLARKWKVELPEEAALVLQRTDEKYGTVSISDVPS PNRSGPSATQSPPQSHAGGSSPGSPATNEHDQYRSPTHDGNPAGQPMALDTPARSLAP QMLSTVAASSSSSGVSPSLQTMQSHQDARRMAPPLAIPSSTTMSMGEPLSPINNSWAV SPVTRTMPSYTQAFAPVRAHTSLAPSAPPSSRSAGGGGGGSRQLSPSSLYAVDGQDWY LRDGMNWQQNFQTWGGLGAGAGAGATTQRQTQGGGSSGNPGVSDPSSVFVFGGMNLNE MGSGFESLGLGSLDRLSGLD MYCTH_2298996 MALRLPAAMRPLRPHRLAKPSAQQARWLATPVHPVTQDSGRGPT AMVFLNMGGPSTTDEVGDFLSRLFSDGDLIPLGRLQGYIGPLLSKRRTPKIQQQYAAI GGGSPIRKWSEHQCAEMCKILDKISPETAPHMPYVAFRYANPLTEDMYRQMLADGFGN GRGGRAVAFTQYPQYSCSTTGSSLNELWKWRQRLEGKAALRNDGTDGTIKWSVIDRWP THPGLVEAFAQNIEAKLLEYPEERRNKVVLLFSAHSLPMSVVNRGDPYPAEVAATVHA VMQRLGNANPWRLCWQSQVGPQPWLGPQTSTSVEDYIAKGQKDLLLIPIAFTSDHIET LYELDKEVIGESGHADTVKRVESLNGSPVFIQALADLAKSHLDSRVPCSTQMGLRCPG CKSERCAESKKFFASQQV MYCTH_2298998 MAWEGDDDRRPDSDEGEEELDEADYKTQKDAVLFAIDVSSSMLQ QPVATDSKKADKDSAITAALKCAYQFMQQRIIAQPKDMMGILLFGTEKSKFRDEAGGR SGSGYPHCYLLTDLDVPTAEDVKSLKALVEEGEDPDGVLVPAKEPASMANVLFCANQV FTTNAPNFGSRRLFIITDDDSPHGNDKAAKSSAAVRAKDLYDLGVVIELFPISHGGKD FDMAKFYDDIVYRDPAAEAGFVDRVKTSKSGDGISLLNSLISNINSKQTPKRAYFSNL HFELAPNLTISVKGYLPLHRQQPARTCYVWLGGERAQLAQSETVRVDSTTRTVDKSEV KKAYKFGGEYIYFKPEEAAALKNLGSKVLRLIGFKPRSLLPMWASVKKSIFIFPSEEH YVGSTRVFSALWQKLLEADKVGIAWFVARENAHPSMVAIIPSRALDDGSSETPYLPAG LWLYPLPFADDVRNVDLTMPPRPADELTDRMRQIVQNLQLPKAMYNPSKYPNPSLQWH YKVLQAMALDEDVPDSLDDATIPKYRQIDKRVGGYLVEWKEVLAEKANALMKSRAVKR ESEDDGGERPAAKRTKVAPKKADRGQMSNAQLRTALEQDTLKKMTVAELRDILASKGI SAVGKKADLVEKLEQWIEENI MYCTH_40012 MEALLGTGQSNPTCGSLADLQEQLRHLLQSRVTETRAEHISVAF EVRATAIFDIPVTGVENDLLGNPSNIDPSLGGSRSSAAAPAINGSAGQPTRRVSAIDA LINQPVDDPVLQTAIARQIISSVGEADSSNWAVRQVSRAEQSWTFAYICKDSWEAWNR QASKTPAKTLIGEWSGEGGQDPVHMARPAFDCRGSVKIAFVKATKTINVKYEHTPMHK TVGQLMELLAPPPVAPIVKKTPVRKAKEAKTPKEARPPKEPKPKTPRSSKKRAEVNGV PGGEGSQSTKRRKRDPLGPAGMPVLPPEMPGALPQQQQQQQQQQQQQQQQQQHQQPGT GSSAYPDGLVNAADDAQAAAPADVEEHARSVLNLPPGEAARRRKVAIKLLNDNNIDPQ SLTAEQFNIFANQSPELQQDSLAMLIKYGAERLRIVHPSSKDGSGPGQSTPSKPATPG QAENTPQAASSKQSLMNSNGGTVEVGETQSPGLTGAKPRRRICEGCRLRKYRGKCDKA RPSCNMCLAEGVACTYAPTRPRKSKTAETDPEAPERAQQATPNGGQGGVSSLGLHTAN PASQSPGVPFGHSVNEAAPGQEHYEERLQQTATMTVSGSTTHNQPETPSDVFHQAQDI YQHPSGLSFPQAHTTSTAETAQPSISSASVEPTSTDCMPTSVPEAPHAAFHGFAYPQP SANHESIAAYAEQVAPAAQQGRHGAGGSQPTARRSLPTEQVAHGSGTNVAAMDGSQNT WQSMSSTSSQASMPSPEQARSKKPAPVSQAYDDFRQQPASNWGNASQSIPAHPAGNPQ AQTSTQPPRAKSRQNNRASAAATQQPASTQSHTYDNQYQRGGSQPEPSSDHIPYQPYP NPASNQPSSFSSSDNYNAQVSYGASSTYSATGSQNVASSYPSNPGATTSAAQWGTPTS TPQPRNTHGYEATQSSASSSAPYNGGASNPRTSLGLRGSSMRPQPTRAGGPSTAYSQQ QRQQQQQQQRQQQQQQQQQQQQQQRQQHGQQYLPQSQPQQAYHGYMTHMSHHQQQASS GSYQNRGFGFGATAANSASSGYNNSAAGGGSGAAANAYSTAPGASGGHGHSSHAQQAR HHNTSMNLTNHAYSSSIGGGDPALYELLRNNPAG MYCTH_2299001 MSDAAIKEQTEAAAPAAEAQPVQETAPAAATADEGDKKTDAAGQ TQNGAQKQAANILQTTAKPNHKDLKKNRKFDPTTQPITDDPVKIRAQVEFYFSDSNLP TDKFMWENTGGEENRPMPLKTIANFKRMRQFQPYSAIVAALRESDFLVVEGAEGEETV KRKNPYVSSTEAQKARLAASVYAKGFGDEEPSTQFDIEAFFSNYGHVKHVKLRRTAEE LFKGSVFVEFASAEEADAFVKLDPKPTWKGHELLIMKKKDYLDEKTRQIKAGEIEPNT SRPRTFFEGRERGSNTRGRGGRGGGRGRDGKSEGGDNTNGQKNGSNKNGRGRGRGGRG GRGGSNGGRDNNNNNKDNKDNNREEKKSEGIKQSTNDVQVPTIQSTAPKNGETNGKRA REDDNAGEEPPAKKVDSKPETAAAQ MYCTH_2299002 MRQRRLLRFHGLKRPRVRQTWNKYNLFNLIRLRTPFLQSKTLFQ QKWIAKSLTRGYHGEHIKEYKWERMFSRRPLSAVNMDPAYMARHDGSEQAAGRGSGRK PRMGSRHVGPKNQGNLDAPTPYMQMVFAPMERRLDIAIFRALFASSARQARQFVLHGF VTVNGKKMRHPGYLLNPGDMFQVDIERVMAATGRPKRGGQNQNASAKAEPAESAQGEE GEEGSEDAAAEDAEAAAEPVDPEAAKAKHLETLNLLKERAKKIMLVKDRYHRAKKKKA LRKYLKDLKATMDRARKQRNQSPEEMDEAERELAAILSDLTLSPTEHAAEAKKQRQQQ RQQQQQQREQETAELKAGLQAATGSTSVSGSTATSLGLLTDTQRAVLKWVIRSEVENP HDPSKPYATPWRPRDFMSPFAFIPRYLEVNQRICAAVYLRHPVARPGLSEVPTPFSPT LSQLAFNWYLKRG MYCTH_2086520 MATNGIPTTIPSPTRAAPVPHAKPSSSGSDLSRQAPSDSVAAAP PASDNKNIVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGESGLGKSTLVNTLFN TSLYPPKERKEPSLDIIPKTVTIQSISADIEEAGVRLRLTVVDTPGFGDFVNNDESWR PIVDNIEQRFDAYLDAENKVNRMNIVDNRIHACVFFIQPTGHSLKPLDIEVMKRLHTK VNLIPVIAKADTLTDEEVAAFKARILADINYHKIQIFEGPRYELDDEETIAENNEIMS KVPFAVVGANTEVTTADGRKVRGRSYPWGVIEVDNEEHCDFVKLRQMLIRTHMEELKE HTNNILYENYRTDKLLAMGVSQDPSVFKEVNPAVKQEEERHLHEQKLAKMEAEMKMVF QQKVSEKEAKLKQSEEELYARHREMKEQLERQRLELEEKKARLESGRPLEKEPKRKGF SLR MYCTH_2299007 MALHIRNLTHLNRPKLPTSSRQQLGLFAQGNRPRLTNTSLGLSF DRRLPRRCLFSSPQRRRSTLRTTKLISVTLTSALLSIWLYPTDALQHTDSLAELASGN SGKDGDGANTDEDQGARASFTRSFSALSFVPSTDGLSEKVVELIMPEWAKFIPGYVRK LQREMNMEPGSLAANIWHEAQDPYTHPEIQYQAKVRVSGDLCEDEKIFLDRRKKMIVP ALARYLGIDERDIHPDDVPTIAMCGSGGGLRALVAGTGSFLATTEDGLFDCTTYVSGV SGSCWLQCLYYSSVTGANFQKGIDHLKARLGTHIAYPPVAFNTLTSSPTNKYLLSGLV EKLKGDPRSQFGLVDIYGMLLAARLLVPKGELGVNEKDFKLSNQREYTRYGQNPLPIY TAVRHEIPELDSPDYGGPGSLTEEAKNRAKREAWFQWFEITPYEFFCEEFSAGIPTWA VGRKFKNGADLPSESGFRLPETRLPLLLGIWGSAFCATLSHYYREIRPIIKSLAGFRP VDELIWGHNKDLSKVHPIEPASIPNFVHGMYGQLLESVPQAVYDNEYIQLMDAGMSNN LPIYPLLRPGRDVDIVVAFDASADIKTDNWLSAVEGYARQRNIKGWPVGIGWPKPKAA PSVAAAELEQAQAHSAAEAEGKITQAKADQAARHPEAPSTTTAATASPTDSDQQAPEL PGSQPTKPDTQRHSEAATELGYCTVWVGTTQERSSDHPPPPPVDDTSTWRLMEPDAGI VVVYLPFLANERAAPGVDVATSDYLSTWNFVYTPDQIDQVIALARANYGEGRERIRAT VRAVYERKRRRREERERKEKTAEWRRQVRKGVAGAVGEGAHGDHFS MYCTH_2299008 MKRLRLDRWTGQLLLARPGASTASTPRAVPLRSAAAAPRCTAVA AAAVRCQSTSAAPQTPGEVDDFHDGTDPVRFPPLEQLPPRATSLPSPPPERAPQSAKL AALHARLSLPERLPLQTLARALVDASADENPQFNNTNLAFVGQSLINYHVAEWLMCRY PRLPMDILYAAMKAYAGPTALHHVARSWGVEHAAAPGGEVDPGLLQFSMHKPGDAIVS FGYRRAELEGIKKYKWRHGMSSRVVFDDDFGEMVDTEEARDELRSRADTEYGNEYTRS LAEKAYATCARAVTGAIYAHAGREAAKAFIKAHILSRTLDLSRLFAFKKPTRELSLLC AREDFEPPVARLLSETGRLSRTPVFVVGIFSGKDKLGEAAGPSLEHARNKAAMNALKS WYLYSPGEHVRVPSDMLAEDAKPWEPAYIDIGEIIGR MYCTH_2299010 MGGGGKIPYPKHVWSPAGGWYAQPANWKANTAVFGVVIFGITAL VWKLSAEREFRHKMPEPGRFYPSRNWSKQIIEHERAQEKAALEKPQES MYCTH_2299012 MPSSSPPATQDRRNRDRVRDNQRRSRARKREYIQELEQRLRLAE QRGVQASLELQAAARQVAEENKKLRLLLRLHGVSDRSIDAYLAGESRIGERGGRHGRG PPAPPAPPAPAPKPAAAQRLERLLRPETCPVTLAAASTPSSDQGDKNNCAMAADLIST MTGVNPHEVRASLGCVPAADCHVDDARIGSAIERFTESGAVT MYCTH_2299014 MSDLDRAIAQLRACRPIPEAEVRELCHKARELLIEEGNVVTVNA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLETFLLLLCLKVRYP DRMTLIRGNHESRQITTVYGFYDECLRKYGSANVWRYCCDVFDYLALGAIVLGASNTL PGLPESSSSSSEDVEIEVHNSDGHVISRFLRQKDVDRGRINSTTTVTTTTTNGHSAAV TNGSSTPPNPVTSSSPSPSSSASSPVPTLTGPPGSSATGSSAGSLGNPAGAVLCVHGG LSPLIDRVDKIRLLDRKQEVPHEGAMCDLLWSDPDEIDGWGLSPRGAGFLFGGDIVKM FNYRNDLSLIARAHQLVMEGFKEMFDASIVTVWSAPNYCYRCGNVAALLELSEDASGL GVLARSNGDVGRSDGGMGVGGEDDGGGGGGSGQGQGGGGGGGQGQQGGVLDPKYLPRL PGPARRYRVFQAAPQDSRGMPAKKPVADYFL MYCTH_2299018 MATRSPGYSDDEHDFDEEYFSRTYQPLSNLPTPPPSSRESLAGQ SPQALLEDGGLLDSVLLGPAVHLVNLIPPAASLKTPSVSLVHEMLVRADLPVDTIALG VCILDSLSSKFSLNWRLLCPLAQREPAPSESTKRHTIQSKPTSSTQLHIDSVHPEVIV LAALMIAFKFLVDCQEPTRYYSSSWGRDLWTCDQINVTEMCIMENLGYRILPLWHPAL IADAVSDMQRAARQAALPSNPRNGEAHKRSVSSAVALSGYSYPLTPAETPMSESGPAS SPAYEPAGTAAGGVVSNPMDSSVLHLPPRAKRKATSPVAG MYCTH_2299021 MKPIAFLVSTLATLAVAAPAAPAAPASGLEERSFAFDINAFNGF RSFNQVNLNYLLNINSLQLTLLGNLATVNNLNIVQFQPLFQHQVFDIQGLLQLQSLHT FLQISQLGVLNGFDLATVQLQHLNLGLLNNVSIIDLQKFISPEVVPQVKSIAAEVPVS TK MYCTH_2107968 MPPPHLHPRSRMTSSLFATTVLASFLVVALPHVLPCPAPARRVY YADDGGEEEGGMSRARARARARRTRAVRDEAEGGGSESPLDQDGAAGIGVVEFRPGTG EDEESSRGRRAKGRGRGRECPVPKPGGMLGEWLGFNGNAGREAGEKRRPDR MYCTH_2299023 MTTTTVYRPATARITSAEPQGLVTLELEDGVAYQGYSFGAPKSI SGELVFQTGLVGYPESITDPSYRGQILVITFPLVGNYGVPPREALDQLLGDLPAHFES SKIHIAGLVTATYAGEDFSHFLANSSLGTWLKEQGVPAMYGVDTRALTKRIREEGSML GRMLMEKKDLANGVNGDTDGHKLPGILGDWRPRFESVDWVNPNEKNLVAEVSIKAPKL YRPPEASGLKHPSGRPLRILCLDVGMKYNQLRCFLKRGVEVLVCPWDYDFRHETYDGL FISNGPGDPAILDSTVKRIAAALEENRTPIFGICLGHQLLARAAGGQTTKLKFGNRGH NIPCTSMVTGRCHITSQNHGYAVDAATLPAGWQELFVNANDGSNEGIMHVDRPHFSVQ FHPESTPGPRDTEFLFDVFIQTVSECAADSSLLRKPVRFPGGTVEENNRLNPRVSVKK VLVLGSGGLSIGQAGEFDYSGSQAIKALKEEGIYTVLINPNIATIQTSKGLADKVYFL PVNAEFVRKVILYEKPDAIYCTFGGQTALSVGIQLKDEFEALGVKVLGTPIDTIITTE DRELFARSMDSIGEKCAKSAAANNVEEALRVVKDIGFPVIVRAAYALGGLGSGFANNE AELLDLCNKAFAASPQVLIERSMKGWKEIEYEVVRDCQDNCITVCNMENFDPLGIHTG DSIVVAPSQTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPFSKEYCIIEVNARL SRSSALASKATGYPLAFIAAKLGLGIPLKEIKNSVTKVTCACFEPSLDYVVVKMPRWD LKKFTRVSTQLGSSMKSVGEVMSIGRTFEEAIQKAIRAIDFHNLGFNETPALMSIDDE LQTPSDQRLFAIANAMAAGYSVERIWELTKIDKWFLDRLKGLSDFAKQMKDYKASGGT LSPGILLRAKQLGFCDRQIAKFWGSTELAVRGMRLEAGITPFVKQIDTVAAEFPAYTN YLYLTYNASEHDITFNDNGVMVLGSGVYRIGSSVEFDWCSVRAIRTLRESKIKTIMVN YNPETVSTDYDEADKLYFENINLETVLDIYEVETASGVLGAMGGQTPNNIALPLLRAG VNVLGTSPEMIDTAENRYKFSRMLDRIGVDQPTWKELTSFEEAKAFCQAVSYPVLVRP SYVLSGAAMNTVYSEHDLENYLQQAAEVSPEHPVVITKYIENAKEIEMDAVAKDGKVV CHFISEHVENAGVHSGDATLILPPQDLSKTTIQRIEEATRKIGDALNVTGPFNIQFIA KDNDIKVIECNVRASRSFPFVSKVMGVDLIEMATKAIMNLPFEEYPEIQCPPDCVGVK VPQFSFSRLSGADPVLGVEMASTGEVASFGADKYEAYLKALLSTGFKIPKNNILLSIG SYKDKEEMLPSVKKLQELGYKLFATAGTADFLGAHGVQVQYLEVLPKGEDQKSEYSLS QHLAKNMIDLYINLPSNNKYRRPANYVSKGYQTRRMAVDYQVPLVTNVKNAKILVEAL ARHFELDISIRDYQTSHRTIQLPGLVNIAAFVPGLVSRDSDDLQRATKASIAAGFSMI RVMPVSKGGSISDVKSLKVAQQNGKRGAYCDFNLSIAATSDNAHQISHAAGEVGSLFI PFNHLSDNISKVAQVEAHFDAWPTHKPIITDARTTDLASILLLASLHNRRIHVTAVTT KDDIKLIALGKEKGLKVTCDVSIYSLHLSQKDFPGCQFLPTEEDQAALWEYLETIDVF SVGSLPYQLAHFLKKPTDVTVGIADALPLILTSVADGKLTVEDVRVRLHDNPIRIFEL HEQLGASLEVEIDRPYTLEATESWSPFTGKTLKATVQRVTFQDETVCLDGTMLSVSPR GTDMSTHGALPPTAVVSTPAVRPVTQPMSPTPEDRRHIRSGLGRPKPTESTAPLAPLG RAHAVDELAPPLTIQPHISPLQEMLSAPSTFKKSHVLSVTQYTRSDLRLLFHIAQEMR LGVQREGVLDILKGRLLCTLFYEPSTRTSASFDAAMQRLGGRTIAITTSTSSVQKGES LQDTLRTLACYGDAVVLRHPDEKCVEVAKKYSPVPVINGGNGSKEHPTQAFLDLFTIR EELGTMQGLTITFVGDLLYGRPVHSLVYLLRHYQVKVQLVSPKALALPPAVRQQLVDA GELLCESETLTPEILGRTDVLYCTRVQKERFNSLEEYEAVKDSYRIDYGTLKHAKSSM VVMHPLPRNEEVAEEVDFDQRAAYFRQMRYGLYCRMALLALVMS MYCTH_2299025 MLSALLRPFKGSSSQAEDPRDLEHDSAFPPSVAEYRSHPHATAD FTEADDDDEESNDGERHLYPLGGRPEQQEDGLARFSGLLPLFATDHLDSIPIYSMTHA IRVVVQTRTETTLTWDQLRSPQVSAFLIKPMLQQIRTQHFSCGTLYALLANCLQFGKE EQLCLGNSGTSATRARVCELLAIKLLKEYSPRDLIDALCHDFYPLQGIPGSRSPLASP AGKSASATLRTSALEVAIRASAKQFLSHPLVVQQIEGIWNGAITFSFSTRDSRNQGSA ASAARSNQSRRQSTIRTPLLGDQRAKDESARSPAGAADCRSVTLYNPRTASLFKLSRL RVPRYRRLLSTCSLIVLVCLFLAVLAQRSGKITTLELLFWFWSTGFMLDGLVGFNEEG FSFYVMSFWNIFDLGLLLLLIVYYCMRIYGVFLLDPHKWNQNAYDVLAVNAILVLPRI FGMLDHYRHFSRLLISLRLLARDLAAVFVLTLAFCSGFFAFFAFSKTPDDAPTMAYKM FQMLLGFTPSAWEL MYCTH_2299026 MAKNDAPFSYIAPGNIFAWALMPLRHCMSMERYVLLNRAVIKIT HFPVLFCIYLYEKLFLAPDMYEATDLVDRPHRGRHRSLSDQVFFSPSVRVREESMLRY RKDQALEEVFRRAPDMRTQRRAERRKTQTAIRSWMDQHDGKFHSPQNYSTIDSRISSD WLRRLSMNRERPSRVPKHYSDIRSTASDPVDILFDPINAVATDTYDAEVAKRDYPAGP KENTDGEADGDDELITNDEDEADDVTNTMDDRTTTGEEAVEEDYFTTPVATRFTSTEL TAESPRPPTSRRVPLHTRTLSTNTILHAPEDDSQAYSSSSASARPLPRPLSTRHTPVA TPITPGTGRRSPRRSFYLPPRPRSMIQPSETVPRAGTTRGSSNNLRLYIPTAQPPLPP RRRSLADLLMTTSAEDSYNTMNLHGEKGEGNPSSPPARSNSNSTATAGEADLNRLMLA RMQSLEQSLGCMVQEMRTLRRRKSMPNTAWDSDEDIGCGRSGKRYQNQHQHHHHRDHD HGHHHQHHHYQQHHQQHGQERQRGYQHQSRRAGVAGSDPSSVVSAGQSLIEVAVAVGR DRERGTERTLGEGGGGGRRARGAPPGTSAVSTPTSRRATTTPGRKGGGAWRSPRGDGE SAAAAAAAAAAAGLGIQGAVEGRTAKGKERETVGKGLNGEDSGVGGNGEGSEVGVSPG TRSGMSPERDDIGISPKGGTSL MYCTH_2314200 MSPSRANSASSPSPSTFRIFTRHPRLTLAGLAILGTGLGFRRIS SSIRENELAQKNSEAQNFYVSVERSGGGV MYCTH_2299031 MADDMPCPPSQKGRDIGAPTANAIDNHIRELASLRRISEGNNAE DSHGTVLAIVKFPQQDKLALACDGKPWQDFQLRMRYDKLMSLDSRKIQDMFTPRAQER FRRRLGFQHGLPPGIEYALDFTPPSEGPELADLTAALWLPRVVKIWFLAGQYLPDPIL ANGFGVPIRPLADKAVGATLALGHDDVCMNLGCLSEYPEWQVKPDVPGIIEDSPSKPS HIPHWRKVEDYCPIRHRVAIVRVLRAINGEDLLLNSAVRLWTVAQVAISLEVPRVVVD PVAQWLVAPPNTKFIEICPEKAFQLAYKLKIPSVLITAFKILVSELAVDYASSKPVTR PPRMTWAQRRRDDYGDYPFDPVEYASRAFAERMGQTIEMLQSDDAISFLPGRNSEWDK LRAYNAAIGALPHDAPLREAYDHLTTALVSAFRQWVDKALNPDSIYQPNDRRSDLLEA QRRHYIPAADRKPLLSLYWVLNPTQKALTPFFWEHLSWLPSRSDFLSSPIYSGKSLES HATAFNNLLTRLLSSSTTDPAHPALSAAVEHAAAARGLLKNQVLRDHHHDSHLFNIHR FHKDLCRDVRQLCNTHLASASSDVAGSEADTIQLFLSDHLLLSLNERELSYLPIWANG LDDGSGGVFQEAIPPAEMGPSEPGPGYHTGYTVGMGTEMDTATEGRDGVLSTVGLTQD GRTIEGADDGAASTIFAPSDLGMGGLRLGSERTAEWVSATAAATPSSAGRSVDVQRSG AGTSTDAGFGPSRSGATASGSFTAGDVEMEGTYVDARYAQPAAHQAQGQAIEMYVDEV AGADAMPCGVGGFSDEELELDLDDGSSTLDGFEEVDAEETR MYCTH_40930 MDDQPRSLKDVFADAESKRLSLENSPFAPNSSEYADTVSSAVKL YQECLALVGALSIFSPNESLEDVSTTDLPFFLINFHLAEITQKRPSSSPQERKSILSA ARDAYERYLHLVDSYGLLSPPYKKLLEQYTEAPTTFSTVSTSDPEARRNAKIANFRAE KELRNRLEFLRRRPEYGTEDDRHGGGDEEAVRQVHLAHIDYSTHMTFQALESLNREWE ILALAPPPRDPREEEQQDARRWQGSAPLRPSRALGGPLLSKDGKPLQPFTLLNNRQEL ARGVFRPGHNLPTMTIDEYLEEERARGGIIEGGGEASWHRPEPDEDDMERADAETMKA REWDEFVESNPKGSGNTLNRG MYCTH_2299032 MSLYPTQEGAKLIFAPAGSHQHLEIPTGPGQAGQFLRTEHIGGL KRSDLDPKDPIAQFHAWFREASQFGSGVSHPETCTLSTAQLPSGRVSARIVYMKELDA KGFVIYSNFGTSGKARDLFGTADGSSTGNPWASLVFWWEPLERQVRVEGRAERIPAVE SQAYFDTRVRGSRIGAWSSRQSAVLKPDASIEGDDGRAMLDKWVKETEARFEGADHIP VPDFWGGLRIVPERIEFWQGRENRLHDRFVYDRVRRDGGDDKWTLERLSP MYCTH_2299034 MDVEMREVPSGAPVPDFTLVEDDLIDLDEPLTKSTLEQSASALT VAEEALTNAEDTDREDGAEADSKKWAINHAPAPRKVSERKRADHAAFDVWIEEHQQDL SKNLLGKFFVDNDETLNSLMRQLENRHIITSPRDYQLELFELAKTRNTIAVLDTGSGK TLIAALLLRWTIQNELESRSSGLPKRIAFFLVDKVALVFQQHAVLTCNLDYPIEKFCG DMVENVSEDFWRKAFDENMAIVCTADILYQCLSHSYVRMDQVNLLVFDEAHHTKKNHP YARIIKDFYTDVKDENKRPRILGMTASPVDAQTDPKVAAAELEGLLHSQIATVADPTV LHNSSAKPKHEVVAEYDKRPPDWETELNQALKSLVGDLRVFRRPFAFTDTAAAELGPW CADRYWQLFFEEGEAVKLESRAEMEAFSQSTYDQGVGHPVDKVREAHNLVKRHTFARP SIGVLSSKVVLLLRILREQFYRANHRRRCIVFVRQRNTVSLLADLLQQPEMKIPGLVP GLLVGGGHPDASYGNAKVTYRDQVRTIYKFKKGELNCIFATSVAEEGLDIPDCNAIIR FDLNDTLIQYIQSRGRARQEGSVYIHMVESGNLEHRRKLAQNQQSEDALRKFCEAMPE DRKLTGNNFNMDYFLRKEKGQRQYTVPETGAKLNYRQSLVFLAAFVASLPHPPGVSLT ADYLVLPVPGGFQCEVTLPDSSPIRNATGKVHESKAVAKCSAAYEMCLKLIKANYLDQ HLRPTFTKQLHAMRNARLAVSAKKKGRYNMRVKPEIWSAVGEPVELYALALTLSDPTV LGRPSSPLLLLTRQPVQEIPSFPLYFGSNRFSAVRCVPVPGSLELDDRQIQGLAAFTL AVFKDVFSKEYEATVAQLPYFIAPTKLEHSSGFTAADGPSCVVDWATISFVRENEHIR YTFDELDDFFRDKYVCDPYDGSRKFFLRRRRHDLRPTDPVPEGVVAPGHRAWRVPGGN RDILNYSLSAWRRSRGSMTLREDQPVVEAELLPIRRNFLDDNIRDDDLEPKPCFLVLE PLRISPLPVDVVAMVYTFPSIMHRIDSNLVALEACKKLGLNIRPDLALEAFTKDSDNT DENDAEKIHFQGGMGSNYERLEFLGDCFLKMATTISIFTLLPDKDESEYHIERMLLVC NRNLFNKALEVKLEEYIRSTAFDRRSWYPEGLTLKRGKRRDVDGKHQLADKTIADVCE ALIGAAYLTAQDRSPSDFDLAVRAVTVMVRDRNHAMSSYGDYYAAYRPAKWQTVPCSP AQIDMAARFRERMGYAFRHPRLLRSAFQHPAYPTFYEGLPSYQRLEFLGDALLDMACV EFLFRRFPGADPQWLTEHKMAMVSNQFLACLAVYLGFHKSIVHCSSQVQSEVAGFVAE VEGELRAAKEGTEEGEEGEEEEEEKKERGGEEEAFARDFWVRCARRPPKCLADVVEAY VGAVFVDSGYDFGEVRRFFADHVRPFFEDMRPYDAFARKHPVTALAAVLQSKMRCREW RLLVKELPVPAMAPTADDGGDDGNDDGRRVLDFAAMASPRLVCAVRVHGRTLAHAVSG SSRYGKIAAAKQALKVLEGMEPEEFQKAHGCACGVDGTVGGEDREPDHGSAV MYCTH_2299035 MGLLSFISKKTVNRGKAGASVVSAQPLESASSQTPHPQDESAVV ADDSRMEGSPSGVRAGSNQSELSLGAAAAEDEQPAPTPNVPRLREEDTGGLGAAENDR HSRVSLSKGMSRAKGSGRGRPPPLSFRITKTDGVPTSRPSSRGSMGTVKGPVNRNSGR VRAESLRTNNSSAFKDLLDAQSEIKPADFRERVTAAGARDYGEDVADRNLGQNGFDLS SEHVRAFYAQMRRAESQHAGHLTGTKKLDPYKAGVRRISPCASQPSLSRDAYGSTSSS NSRSSRKEDSARRRSVSTYMPLSLGKVKSLPFDRKHEHLGALLSPRTPVPEDESLDFG FSAPRLNTPVVPQIPDTAPSPTIRTARRPRDSVELAKRRAEAPVPEDGDADDSPTGNF ALWSAARPRRSSAILSASSPSKKYHSLHTLHSSALSTVSRETLRSPASFPLEAASKNL THIATEIPVQKTSVRPEVNDDQKATASPTFRARTIPEDDRAHPLRKPHLLASKEESRA ADITLPDDDILDYAPPIRTDNIRKWSASSGTPTACESSTAASIVTSTFNRPPSLHTAD TSVDLSIGTISPPLKPTKPQSATHDSDSDVEPYYNPDLEDKVHNTVLPAPRKGPPEAT ETASDTFNIDDYVSSDAESLMRAPTSTTTTAGTTSSRQPTAEGEEELLFKDNLGFGPG GMQLPGLADPLPSSPAPRSPLEPVNDVHESQKEFGIGEGGRRWDRYKCRGSGSGGATV SAPYGAYSFVHDTPGWGEDYPVRRSAKRVEGGRRREIRRLSALGHVGTYDGSASEYRG GGNGGYGDKRRERGGMEAADDHDDGYDADYIDEDEELSRRQLQRAKQTRRQRLEGCEK ESRTRNHHQGGENQPEQQQQQHDEGLETQIKITNAVRLRKQARRARMLSGQPSAAMLR RKGPTSQGSGKRLSVPVLEVEGEE MYCTH_2299037 MSTTTDPSSQAPAFVPLEANPELMTNLLHTLGLSRSLAVHDVYS LTEPSLLAFIPRPAHALLLVFPVSAAYESHRLAEDATVAPGGGGYDGRGEDEPVVWFR QTIRNACGLIGLLHAAANGEARRAVEPGTPLDRLLAAAVPLGPDERARLLERDPALAA AHQSAAEQGDTTAPAATDDVDLHYVCFVKGRDGALWELDGRRKGPLRRGDLDSSEDVL SEKALALGPLKFLEREAADLRFSCVALARSLD MYCTH_2299039 MKSFLGTAWKPPRPPVRVIWRWRSKSSGLPNPKFLKNRDPNQYG DVDAKFLRTGLQNEQSSTLRRPAFMIRRNYQLFHNLVESRFSKVIESMGAWADNKEEW RSFGINNQSQLDREVGLFKMVLDRAFELATNGSKTSRQDNPLFWNLRNAFIRFDAAGL ARELKYAFQTFLMRSRFPKAVNELHMALADLRFPYEWYPATRMMQRTIHLHVGPTNSG KTYNALKALENARTGIYAGPLRLLAHETWSRFQAKNKPCALVTGEEVRIPADTDTWFH SCTVEMTPLNARVDVAVIDEIQMIANDERGWAWTQAFLGVQAKEVHLCGEERVVPLIQ DLCARIGEKCIVHRYERLNPLQVMDKSMKGRFGNLQKGDAIVAFSKVSIHQLKAGIEK ETGRRCAVVYGSLPPETRASQAALFNDPNNDYDFLVASDAIGMGLNLEVKRVIFESSF KFDGTAFRPLTIPEIKQIGGRAGRYRTAAQEMTGDTSKPAPGLVSALDDEDLQMINDA FQTEAPPIPTAGIFPPPSVIERFHSYFPPRTPISFVLARLREMSRLSPRFHMCDFSVV LQIADIIQPYDLSVSDRCVFLHVPVNLRDPRQVSALQAFAKCVAELGSGRLLDFDVID LEVLDEVRPSLPSEQVTYLHRLESLHQTITMYLWLSYRYQGVFQSQGLAFKVKEMVEE KIADHLEKLSFVEDLQRTKRQRMRKMAKKIEKKERTLLGPDEDQLIGPHDEGLGEWVE GGQEPMFEGEVDEAGPVAGAVAVPEEGGKKGEVSARA MYCTH_2299040 MASSSTPATPLLYACIAHNTTILAECTTSASSQTSSLASLILPK IDHASPQKLTYTHGAHHIHYIAASPSEYPPARPTAGGLTYLVVSDAAAAGSRRLSFAF LAELRARFLAAHPPETTDFADLPNYGCAGFAADMRALMVRLGAGAAAADDAIGNVRRE IDDVRGVMTRNIEGLLERGERIDLLVDKTDRLGQGASEFRVRSRGLKRKMWWKNVKLM GLLGFVLILILVTIVVSVRS MYCTH_2299041 MAAAIQPPPAAPTPLAVPAQDLIVPCQKLWGGQGRPSHHDSSYC HPVFFTDKLRRPSFSIPPGPQSSPVAHGYVYQDAPRGSDKPAGPRL MYCTH_2299042 MPIPFLASLYFNGYPPWMPEPYRLLKYATAFSAVTLTKWYSSGR HNPAERNMHGRVVLITGGTSGIGAVAAYELARRGAQVVLLTRTPPSDSYVVEYVEDLR ARTGNHMVYAEQVDLADLHSVRRFATRWIDNAPPRRLDMIVLCAATMVPPGGRRVETA EGVEVTWMVNFLANFHLLGILSPAIRAQPFDRDVRIVVATCSSYISSPRLDDPLKGAD WTPQKAYAQSKLALMVFAKAYQKHLDAYKRPDELPMNAKVVLVDPGYARTPGTRRWLT RGSLWGLLVYLIFYFFSWLLLKSPYMASQSLLYAMMDGSVVRRPGGRLIKECMDVDCA RKDVDDEEVAKKLWESSDQLIERVEKQQALKRAKEKREEKRREEEAKRAAQVEEIDSL IGAIKKGKAKENQKKGAKKGKAAGNNAK MYCTH_2107979 MGSPRRSSSNGDEEDDYDQIPLQHQRPFGTGLFRKPIAFVSASA GAQQLKSVDDTAAATPRQDVADIYLSMVLPEDATRSRSAPPTSNNNSSSSTTTTTKTA GDESSQPSTCPVCRLPLDTDPETHQQTLAHQVCLPHSQPPSALDRTRMGLAYLSAYGW DPDSRRGLGVEQQGIRYPVKAKVKDDNLGIGMRAPSPPPPPGEKQREEEKKKKKAQLL DAKKVRKMALDDRKKAARIRQDLFGDGRLEKYLGPGAAG MYCTH_2299043 MAGPSSPESCATIAEWRAQAEAMKAAVAGLDTSRSVEYTDGSDW DDDEIDQTYEPRDGPRDVWDFISDDELDELDFDSGDMFDGVDGGTPVVFDAHWLASRC VELSSRKSGFSPTALQDQIMDILGTSRPEGELQSLLTDLVGFDDLDFIIDLVSHRAEI VASVASESLRDESTGQAPRLLTKAQRQEALRRRDLEHKAAPLAAAREKEEEYPYVYKT YSAGNTLSHTGAKYKLPVGSQRLEFEKYEEYVVPAGKKGTLWPGQKLVKISDMDGLCR TTFKGYRTLNRMQSLVYPVAYKTSENMLICAPTGAGKTDAAMLTILHTVGQYLTPSPF EDHLATDFAVQAEDFKIVYVAPMKALAAEITEKLGRRLAWLGLRCREYTGDMHLTKSE IVQTQIIVTTPEKWDVVTRKGTGDTELVQKVRLLIIDEVHMLHDERGAVLESLVARTQ RQVESTQSMIRIIGLSATLPNYVDVAEFLGVNKRTGLFYFDASFRPVPLEQHFIGVKG KPNSKQSRDNLDQVAFEKVREMLEQDHQVMVFVHSRRDTQATAKMLYEKATDDACVGL FDPCGHEKYEQAMKDVKSTKAREIRDLVPKGLGIHHAGMARSDRNLMERLFAEGVIKV LCCTATLAWGVNLPAAAVVIKGTQVYSAQDGKFVDLGILDVLQIFGRAGRPQFEDVGI GMICTTHDKLAHYLTAVTEQLPIESRFSSKLVDNLNAEIALGTVNSINDAVKWIGYSY LFVRMKKNPMAYGIEWAEFNDDRSLVQRRRKLAIEAARTLQQCQMIIFNEPTEELRSK DIGRIASQYYIQHTSIQIFNSLMRPNSEEKDILKMIAMSGEFDNIQSRNNEADELTKM RQNDDFVPYKVDGGIDQAQTKTNILLQVYISRGQPEDFALTNDLNYVAQQAGRICRAL FMIALNRRWGYQCLVLLTLAKSIEKRIWMFRHPFHQFDLPKHVLKNLEAKDSLSIDSL REMEPAEIGNLVNNYRMGTKIAKLLDNFPTLSVEAEIAPLNRDVLRIKLYITPDFRWN DHLHGTSESYYIWVENSDTSEIYHHEFFILNRKKLHDDHELNFTIPLSDPLPDQIYVR AVSDRWLGAETVTAVSFQHLIRPDTESVYTDLLNLQPLPITALKNQALEEIYAKRFHF FNPMQTQLFHTLYHRPVNVLLGSPTGSGKTVAAELAMWWAFRERPGSKVVYIAPMKAL VRERVKDWGTRLARPLGLKLVELTGDNTPDTRTIEDADIIITTPEKWDGISRSWQTRS YVRKVSLVIIDEIHLLAGDRGPILEIIVSRMNYIASSTKNAVRLLGMSTACANATDLA NWLGVKEEGLFNFRHSVRPVPLELYIDGFPEVRGFCPLMQSMNRPTFLAILNHSPEKP VIVFVPSRRQTRLTAKDLINLCGMEDNPRRFLHMDEDDLQLNLSRVKDEALKEAISFG IGLHHAGLVESDRQIAEELFLNNKIQILIATSTLAWGVNLPAHLVVVKGTQYYDAKIE AYKDMDLTDVLQMLGRAGRPQFDDSGVARIFTQNSKKDFYKHFLHTGFPVESSLHTVL DNHLCAEICAETIVTKQDALDYLTWTFFFRRLHKNPSYYGLEISAEEHNSTTAQQLAN EFMISMVDASLAELTESKCVEVYPNGDVDPTPLGKIMSYYYLSHKTIRHLVRKAKPRA SFLDALTWMCRATEYDELPVRHNEDLINAELSRNLTFPGTAFGLPMWDPHVKAFLLLQ AHMSRIGLPITDYVGDQTSVLDQAIRIIQASIDVMAELGYLSSMLEFIRLLQCVKSAR WPDENPASILPGVAAEPLSSSSSSQPSQPKPIPLKEIAKLVSNPKLLEKLAREQLGVP HSQLPRFTKAAAALPDVSVAVEDIKTGSLAISLRRLNPVTEREARIYAPRFPKPQTEG WFVIVGDLARDEVLAIKRVGWRPGGGGGGGGGGGGGGNGKEGRRAVVEVGERPTARTV VQVPDVKEGEEEKGRKVDVLVLSDGYVGMEWTFAGVELPAAPKVEAGVVEKKGSAGGW SGGGERA MYCTH_2314205 MSSNRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFNNIR TWFANVEQHATEGVNKILIGNKCDWEEKRVVSTERGQQLADELGIPFLEVSAKTNTNI DKAFYSLAADIKKRIIDTSKPDQAAAGQAVNVGDKSGSESGVKCC MYCTH_97642 MSIVADQVRRLDARIDRVSLVPLTHAQDQDVELQTVDGATASAL ASPRLNELLGIVKALSSGSVSGAHLSSARIQRLLRQSGLAEELSVEHLEAKSHYETDV EWLLVGKATVQTYGLLMSTLLDQIVPLSDDIWYWDEVLSSYPYSFAYTVQTSPLRMWR WSKEVYLDSVDRFQRLYRDELTAQASETDDLLSTQTDGSGAGRKTRRLQALYPSLSRH WRQFYGIVRQSVAERSIADIQRKILSRVDIGRSEARSKQKRLRRLRELTATGLGVLLD EGLNFGITEDTHSDEWKGLLERSVALMDMILQSVLFLDLSVSEFEDKVFAGVEEDPEL SVHTEDAHPAERPAVLARRLLGLLEQGLPNHRAAVEDLAREHGRPSWLVRYWLPAAAL LVSSSTILRILVIKQDDIINWIRNFGTTVRDFWFNWVVEPVRKVIGTIRHDANSEIAI MSRDSLKADRDSLERMVVEFAIDNPDIAVGSSSITELEIGEIRSKVKEGDVTPVLKAY EKDLRRPLVGAIRGDLVRSLLIQVQKTKVDLEVAISGIDALLKSQELVFGFVGLTPGV LVSIGVFQYLRTLFGSRKGMRQGRRIRRSVRVLRRIDRILSEATTSQNNIISYRDHGL LVCEVHVLRGLAHGVLPGDIEKEFIEDLDELANLKGIQVQMKALERIRWAYAEWLSKM K MYCTH_109638 MASTMLNTTIADLGSSASLTTVFEEVSKYNVHLNILERLWASWY LWMQNDTIATGVMSFIMHELVYFGRSLPWIIIDAIPYFNKYKIQKTKVPTWKEQFECA ALVLLSHCTVELPQIWLFHPIATYFGLDYGVPFPPAWKVAMQIAIFFVIEDAWHYWFH RALHYGPLYKSIHKLHHNYSAPFGLAAEYASPIEVMLLGFGIVGTPIVWVSITRDLHL FTMYMWIILRLFQAIDAHSGYDFPWSLRHFLPFWAGADHHDLHHERFIGNYASSFRWW DYCLDTEAGEEASKRRREKKLAELRAKKVQ MYCTH_2299056 MMSSNSNSSSNNNDNSNSSSSSSSSSSNQQPQFTADSDPEALET FLSPLLVANGGRWALAMGGQALEREFKFKTFAKTWDFMTAVSLQCKLKNHHPEWSNVC NNLPP MYCTH_2299061 MPGQPVAVAPAVGPTETGETPTDGRKAKRELSQSKRAAQNRAAQ RAFRQRKEGYIKKLEQQVREYGEMENTFKAVQAENYALREYVLRLQSRLLDTQGEYPQ PPPGLNLAHPNAQTQPPHVSPEVPQPAATAAPAAAGANQVEVAAQAVAGLTRSEHLGD RDPYPPPVRSEDDRTAEEITRQLQADSGPDGLPAATM MYCTH_2299064 MSKYRDRKAGKTFSFAGHDCYADTAARGHIRNAFEAGTGIVSNW DVMEHVLDHVFIKLGMNGVEGGIDMPIVMTEAVANLPYSRKTMSEMLFECYNAPSVVY GIDSLFSYRHNQGRTGLVISSSYSATHVIPVYNQKPMLNQAIRLNWGGWHAAEYLQKL VRLKYYTGFPGKINSSQAEHMVRDFCYVSLDYDQELAHYLDWTGLEDRERIIQYPYTE EVVVQKTEEELARIAERKKESGRRLQEQAAKMRLERLMKKEQELEYYKDVQRRIAEQT KKEARRLLDEAEVKDEAALERVIRDLEKSIRKARTKDLGEPEEEEAPDFSLLDVPDDQ LDEAGLKQKRQQRLLKSNHEARARAKAEKEAEKARIAEAARLDEERRVSDLEGWLEEK RQARLAKLAQIKERERLKADLGNRKSLASQIRMKNIANLASDAPAGASGGRKRRRGGD DDDFGADDADWGVYRSVAIGANRGDSDDEDGEGGDEDLEAAVRAIEADLLKYDKTFDY DQTLDAQKDWSKSLLHAFRYGPRPFDPSSPAETHRLHLNVERIRVPEVLFQPAAIAGV DQAGIVEIAGDILTQRLPAIAGLDRDHFLRDVFLTGGNTLFENFDERLRRGLTALLPA GAPLVIRRAADATLDAWKGAAGWACTDDAKRARVTKEEWLEKGPEYFKEHDLGNAFA MYCTH_2299066 MVSASLTSSPTLREIGWLSHPGKKGGGKASSLYIFLHCVVNRDS SFLYTVSHTMIDPGDRLWAGSGALLTGGPYTWQFTDFDERKTFSVTTYANPFPVEDVE GTEEICLAKLQKHIDQLGDGVFGLRFLDPDGPV MYCTH_2299067 MESPHEHQQNLLLSRIITNVEKLNESIVVMNKALQEINIQNMNI ELVAQMFKNYQSNVLFHLEATDNLKDPA MYCTH_2299068 MSNPEAQAAQAAHQALIEQLDIHSIHKTFRNPHWRPNQRRNKNV KAILGDASRKEASVNATPRENSGAVTPSQDNDGLSTSGTSTPAVPSATGSTNPPNLAQ ASRSLSKLVLEKALSASSQQPNGASSAPTATYTNIESAPSLAPLKHYCDVTGLPAPYM DPKTRMRYHNKEVFAMIRSLPQGVGEQFLEARGAHTVLK MYCTH_2299070 MDSSPSGTPTRQPAPETPTPPQTQDFARHTSFLSSGIVTPSPST RTDVPLLPTNYPVPPVPGNHEKHAPKPHWRRFLTSVNEFLLGKEKASVYWYASLTSVL AALVVTGSSIFGWPPLPIWEYLRHATG MYCTH_2314212 MPTMWLSDSQKIGVAFCSGGGFFLVAGVLLFFDRAMLAMGNILF LIGLTIIIGPQKTALFFARKQKLKGTAAFFGGLALILLRWPLTGFLVELYGIMVLFGD FLGTIAGFARNLPVVGPYIGLAVDRIGLGERRNADLPV MYCTH_2142488 MSWQAYVDSSLVGSGHIDKACIVSAAGDSTWAATPGFAVGADEL KNIVAILDEADKGGPAVTKAFSDGIHVAGERYVAFRIEDKHIYGRQGRTGICIVKTKQ AILIGHYGENVQAGNATQTVEALGDYLINQGY MYCTH_2124283 MSLVQGRRGFWTVPPVLKWTTWMLNIRIPIPIRRTPVRRTRRTC PCPGPRPEQFLQKEPKKAFDDTFFVLPGSSVGLRWTAVILNEVDRQQGVSSPVHTRVR QNLCGDAPGFPGRLFCKAVSEPKKPPPQTERCAADREATCLLIGSVASLASVISEICP EWTELVAM MYCTH_2116084 MSLPQETDAGAAAAASVARGQRARDRADAESRGEKTVLVLSSLS RSLAESDFYRWAPQGRHVAGWAGGISKVVQAVSATTREPRGQYFLFFDTRSAAEACAA QLDRVYDLTEAADLLDPLGWKCKVVAEGAVIRGSDRACWFRCACCCCACCSRNLSTRA ARVRSSMVRGETMVTVFFSFLGCSGKGCGGDSKFALDGDRRQAGEVLERVRPQGGAND VVEAIPAAVVAITTDCRFGVWGKSENAGDFTGWGEVALRGLVFFSSVAWRRSNKEDLL SPEFNTPLNMTLYRSSLMSGISTLSNPVQLAEAEEADSETAGAFDGVALASVPGSAVD LMMMGLCFGVFLLATVLLRTGAGAALDPVAAESWVGLPSAIPIGISPDLADGCRLLPV PMPVLVRAMSSLEIRLSQPGFTSVDLLEVGPEDVLGRASILNGAVLAKTAMALDGGGG RGRSSVLRRVPAPSACVSWTQGKT MYCTH_2299077 MKSLEFRIRKLHVNTDADKRLQKKQPSRVYVNEEALIELTGTRD GGRAVCIERVSPDERPVRREATLWKAPEKLDKSVTQMYDAFRDACSYKLGEQVRITSL GGPLPDADEVIIEQAPGPDGSAPDPLAPEDIPGWEFVLAGRLSQMEHVYAGLVFKNLF ARGPERSFVVATVNGRKTGNARYLDHKTTVRLATTAPSYNSQPDALPARRLEVTGIRG LDAQVRQLNQILSCFDARTPFRKKPAYRGIVIHGGHGTGKTMLLNSIASTGWGTVFRI QYKDKLSEIQEVFQRARLQQPSIVLIDQLERLIDKDRSNRAAVILALCEALDTLAADA AAKGELPKVVVVATCLDYTTDVPEDLKEPRRLTSEIYLSLPDVDGRREILASLGLDVA PDQEEDLLRHLSERTHAYNGKDLCRLVDEADLIARIRHASETKPPLPETATNHSATSP LSEGDANPDAETAPSPAPSPAPTPAPAPAPVYHLPSDYHEALRLVRPSAMHDINLKPP PIRWDDIGGQETVKVSLRRAVRLSLEPPETLARYFDRPPKGFLLYGPPGCSKTMAAQA MATESGLNFFAVKGAELLNMYVGESERAVRRLFQRAREVSPSMIFFDEIDSIASQRSG FGHSSSPSSPSSSSGRAGGGSHGGVNVLTTLLNEMDGFEALHGVVVLAATNRPQALDP ALLRPGRFDELIYVSPPDRAARRAIFAKVAARRLMHPDVDVDRLALETEGYSGAEIKG ICAAAGVAAYDRAAEGGEPAIMMADLERAIRNQKRQITPDMLRGFEEWEKQFRKF MYCTH_2299079 MALSFQEAEGCAAIWRFIDQVQQTFQGGISGADDSLSDDLTMEL PPSIQLPPPDLASLPELDMAIRNLSQSPAGRDALAKTIMAEDYIGKLIPLVEMAEDLE SLPDLHRLCNIMKTILLLNDTSIIEHAVSDECVLGVVGALEYDPDFPSHKANHRQWLN NQGRYKEVVRIQDEQVRRKIHTTYRLQYLKDVVLARILDDPTFSVLNSLIFFNQVDIV QHLHTTPGFMQDLFAIFHDPNEQPLRKKEAVLFIQQCCAIAKNLQPPARQGLYVHFLK EGLLPAINYGMRHRDVSVRVGATDILVSMIDHDPSLVRQTIYEQIQKRLQPLTDTLID LLLVEVDLGVKSQISEALKVLLDPCPPPVQQQQQQQQQQQENRGEFSGQPRLRAHPGI DPQQDVFLTHFYEHSAARLFKPLIDLEKRTEMKFSPSEEGIFSYLNDILCFYIQRHHH RSKFFVFTHNIASRFAQLLSCKEKHLQLVAVRFFRHLVILQDEFYIKHMVDKKVLGPV LDVLLRTLPRDNLLSSACLELFMLINKENLKELIKHVVENYREKIAALSYMATFDEIL HRYDQTQGFTLNVDPYFESEDELGRARPPNGAARGMMEHLTVDQAQEDYWNTSDDEDD MAVAQEPTDEELRQSLGMMPDRSPSKPLVEYNSDDEDENDTPMANLAAAEGSTTPSTG DEAASTISNAPQTAQTNGTATASPPTSTSTSTTAATAAAAAAAAAVTPPPERLSEKRR REEDEDDDVLDKLTQNKRRNSSSAASNASVSASSPSSNASTLKRKKSFSGHGHRAGSG GGGSPNGGGGGGGGGSAASGMKKIAISISPAVKTAVVKADESAGGAGAGGGGD MYCTH_2299081 MTASGVGMSGFVTPTHAGVPRVHSSLSHVSYPSAYASPVVQLPR GYSYSSMPAGWTPAGPEVSYSPIDMGGISAKGKEVERIVDSSQTSRSSSKVSTGRVPF SHPGIPGVGDAAPSPVESSGDSASSQDELEMKPVVRHSVPAPLSASNSLNVSRTAKPT SDTEKIHRLHPARSRRVSEPIQSVSRSPLASRTNSFSPGVPPVAALPPTQLGTAITTP DEFPSPGPSDATDNPPPTTSPSAMTVQKADEEQAPPQAQIGIAQSPSEGEEEAETATE PAHAAAAPDTLAKGRRIQKVTRFKELERIESNTTTATAAAEPAPPSPRSLSPGEVQNQ GHKAEDATAHSTGPDESSARARAQPSQTASSTSLQAPSKQGKLSKSVPVANGKLVKKN RWSHRGAKSTAVAG MYCTH_2299084 MAAQGATLIESLEQLVWFLWETASERPFYFLLAFVIPLLGLAIF CVYILLHLVAPKPRLPFPSEKTYITTNPDGTVCAPRPLPCWYDRWRAEGKQSQEYPTI PAGFPVPEEPTIEPAEVEMSVVIPAYNEEARITAALEEMVEYLDQQFGRPNNHGQLLQ PQERQEKSTQKGGKKSSKRATTPHRLVFKPDSPGSTSRPTSSSGPSEPPQPSGYEILV VDDGSRDRTVDVALAFSRKHGLHDVLRVVKLAKNRGKGGAVTHGLRHVRGKYAVFADA DGASRFSDLGRLIEGCEDVVDGSNRGVAIGSRAHLVGSEAVVKRSAIRNFLMRSFHFV LMILTPPATSRIRDTQCGFKLFSRAALPHIVPYMHTEGWIFDIEMLMLAESAPATPVL ASDGSVIGTSYGIKVAEVPVGWHEVDGSKMNLVHDSIRMAIGLAVLRASWMLGVYRRR LT MYCTH_2299088 MADAADSQAARERREKHHEADVVVVGAGVFGCAIAYALANQGRS VILLERWMHEPDRIVGELLQPGGVAALRELGLGHCLEGIDAVPCYGYHIVYRGDEVAF RYPSLEKDGEVIVDPAGNREKYKQGLAAFADGHANGQANGHAKDAGRPEGRSFHHGRF IMQLRRACLDHPNISVFETEVTATIKGDHSDAVLGVQTRTKDKATGKKDPDCFFGHLT VIADGYASIFRKELLGTTPVVRSKFYALELIDCPFPPANTGHVVIGDQCLALLYQIGT HETRALIDVPNDHPAAAPSAGGARGYIENIVLPALPPHVQPSVRAALADGRIPRSMPN SWLPSTKQTRHDGVIVVGDAHNMRHPLTGGGMTVAFNDALVLASLLSPARIPSLSDHA AVRAAMASFHWRRKRLTSMINVLAQALYSLFAADDWQLRALQRGCFAYFKRGWTDEPV AMLGGVLRRPTTLAYHFFSVAFLAIWLHLLDLCGRSPLGILMLPLALVQAVLILWKAC VVFLPVMAAELR MYCTH_2299089 MPSSLPVPSKAALTALRGLVVGTSCTLALIAEDRRRKINNAVRA IENGERIKSAKRYRAGGAALALAMEEEALWDPRLGSLPPVGLELHQHNHPGTTSTPIN KSKDCLQQQWEETDGTEPPAEAPGRTVVAVSKEDGVTPSTPSADGTLKVASLPHPYNP VETKNRALIRPLHGLPPSSTPAPSWTLTNAEALKAYAFPTVEDMVVKIHEACDSRDYR KLSDAFRTVLEAMDHKLAPDNLDQPWVEATARLCRAFQDEGHFEDAAKLLYRIICRGP VRESDYLNHEPFALIESLLARADVNKQRGEASTVDIDNAVNLFVPKFIERPAGPNPRA YKLGRKLLEACFSADRLQRIFGVYRRCILVAEGNWSDLSSWFLTKLHEKQDYTSVVKI FITTFPQSSPTEASLHAIGDMIVESVECAGDHRPDEVLKTLYGICTSLGNTKLSPKWV MRLFVSHWRKHHDFDQIERMFEELRAPGLKETVFRHGNIYRIMVELALEAGEESKADS YFALAVSQNRALASDVRLLGVFARFHAADGDWEAVRADFEAMNKKGTPTSQAYGRVFV PVLKAYAETHTVRETEAFLKSFTEEFKVPLCNYVVTLMAKHYAAIRDIGSLIGWLDYC SRAGFPVGAALTNAILVRCRREWNFPFRELRTLFRKLQALNPDWVDKHTEKIMVDSAL SDSKYGGRAARGRLLSLRLDPTKPGSRGKKHAEVEEVVSAMREALRSGSPRRALSIYH RAVHTKVPFSQRALQLAVQAHLTRAPNDFHGAYTLLRKAQAKGEDINPVINYLLAKQL TTTITAPSSTKPSETDALIQETLEHYRRAGVRLTETSLHRAAALCLAAGHPRGAVRYA LAAAEARGPGTGPCFNLTNFKILLAAYAELVDADGLRDTIARALASRYREDAACRRAL RHARARVGHSAARALVSRARWERARAAVEEGIGKVVEARKKLREEARLLEAEALRIMR RAAADAGRPEVNFDEIPWLGGKGRERTRRDAGGGDGPDADEDAGEKKGAVVTDSAWLG EGKKKGAATAVDGSASGSVSGHSGKRKSAGVGVGVGVGVGVGKAKEREEDELVGAGFY ADLERALLESSSGTAVEAF MYCTH_39591 MRSQDGEVEGRPPYLHCMLAGGLGGSAGDMLMHSLDTVKTRQQG DPHIPPRYTSLGSSYLKIFRQEGIRRGLYGGWVPALAGSFPATCFFFGGYEWSKRQML DHGVQPHLAYLIAGFIGDLAASIVYVPSEVVKTRLQLQGRYNNPYFTSGYNYKGTTDA VRTIIRTEGLSALFYGYGATLWRDLPFSALQFMFYEQGQKWAHQWKGSRDIGWHMELL TGAAAGGLAGTITCPLDVVKTRLQTQVHPGAGGLGPPPAEPSNIGAVKDGHATTTAEA SQKLQKRLISTSSPSTHTPKPGAVTLQTSSVITGLRLIYKSEGIAGWFRGVGPRASWT SIQSGCMLFLYQSILRQLEMYMPTERRELV MYCTH_2299092 MAARWAWGFAAAGGGAGKRSKFLRQVSHYLIRYATWIPPLIWFN AYVAEITLIRGPSMYPFLNPHYNESLRKDLCLVWKLYAQEGLRRGMIVTFRNPYDPNR ITVKRIIGLPGDVVKTKPPYPYEYAVVPEGHVWVEGDGDKSQDSNHYGPISARLITGR VTHILSPWERAGRIKWWEHPLRTGVHRAA MYCTH_2299099 MSFSNCRFYEEKYPEIDSFVMVNVKQIADMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVSPEDIVRCEERY NKSKIVHSIMRHVAEKTQTPIERLYETIGWPLNKKYGHSLDAFKLSITNPDIWTDITF PSEAVEQELKSYIGRKLTPQPTKVRADIEVTCFSYEGIDAIKAALRTAEARNTAETQV KCRLVSPPLYVLTNTCLDKNAGIARLEEAIDDVRKSIEAAGGHLVVKMAPKAVTESDD AELQALMEKRERENAEVSGDESVSESDDNIPETV MYCTH_2299100 MDTVNSTALAAATAVAGTVDRHPNPLSSAVAAASDVANAHGSSS WLGLFARLVLWILQFVSMVLYYAIKLATISVPTLLYTLFSTSLTVTMNATTLMLIIAA MIAAISWVVRYRYLNMYSRLPPEPQRKEPDVDLFPDSHEEGIKPGLSNYFDEFLSAIK IFGYLERPVFHELTRSMQTRKLIAGETFNLEEEKGFCLVVDGLVEIFVKSSNYSRRYA HSPYAASEAPSSDDEHPAPGQQRYQLLTEVRNGAPMSSLFSIMSLFTEDVPLRQTDED GSEPGTAQHSALFSNYPQSADFRRPPVRSDSLPPTPHPEESASNSVENLPEHLDPSLP RVPPISLDGNGFPKSQRPVPKRISTTSAHPDIIARATVDTTVAIIPASAFRRLIKIYP KATAHIVHVILSRFQRVTLATAYNYLGLSTEVLQIERQMLKYTTHQLPNHLRGDALDR LKEKFRRERERIGEVDVSKGIALHNPRAGRRRRSTAGLRKEAALQAFSKQRTLSMAGS SSLVVPSAGDLATHLQQSRGGASRSQSVAFTDGSASQFELRREATSPLAQRSFDPFAT QRNVHIPLDKRDTMDEDNLFRESILECMFRAIGLTGNSGLNKDTDSNQASPRLISLEQ RRQKTHFTNHAFGFMDGLDGSFDGETESMTSASMPSSPNPQILAQDMQDEMEIVFFPK GSVLVEQGERNPGLYYVVDGFLDICTREIASSSDAAHAHGRSSIYAMDNAQPRYPSHR FADPMRSGDNVDGAEARPKTSRRSVGLIKPGGLAGYVGTISSYRSFIEVVAKTDVYVG FLPLASIERIVDKYPIVLLAMAKRLTNLLPRLILHIDFALEWLQVNAGQVIYHERDES EAIYIVLNGRLRLVEDRKGGGMNVKAEFGQGESVGELEVLTESSRSGTLHAIRDTELV KFPRTLFKSLAQEHPNITIKISRIIASRMRALIDDPSTMLGFKDPSGRSSVNKSSTTL NLRTVAILPVSAGVPVAEFGNRLLNALTEVGTPNGATSLNSAAVLNHLGKHAFNRMGK LKLSQYLADLEEKYGLVIYVADTNVNAPWTQTCIAQADCVLLVGLADGSPEIGEYERF MLGMKSTARKILVLIHQERDSTPGLTRKWLKNRVWINGGHFHVQMTYSPNAVPIHPPA KPGGPSLRERVQVLQAEIQKYTSRKLRHSPFYSPDAPFKGDFHRLARRLCGKSVGLVL GGGGARGLAHIGIIRAMQEAGIPIDIVGGTSIGAFIGALYARHADFVPIVNAAKKFSG RMASVWRFALDLTYPSASYTTGHEFNRGIFKAFGNTQIEDFWLDYYCNTTNISKSRAE FHTSGYAWRYVRASMSLAGLLPPLCDEGSMLLDGGYVDNLTVSHMKSLGADVIFAVDV GALDDDTPQAFGDSLSGLWAFFNRWNPFSSVPNPPTLAEIQARLAYVSSVDALERAKT LPGCIYMRPPVEEYGTLEFGKFNEIYAVGYEYGQEFLAKLRERGVLPLGEEMGGKKAL RRTMAPRRASI MYCTH_112996 MDSREFKEAATSSIDEIINYFETLGSRNVVSTVEPGYLRKLLPS EAPEEGEPWSAIRADVEAKIMPGITHWTHPGFHAFFPCATSYPSMLGELYSSALSGAC FNWICSPAVTELETIVLDWLARALGLPACYLSTGPTRGGGVIQGSASEAVLTAMVAAR DKYLRETVPESGLAEEEREERVMVKRSRMVALATTLTHSSARKAALILGVRFRAIPVR EEDGYRLRKEALAAALAECRAQGLEPFFLVATMGTTDVCSVDDFEGISEALAEHVAPD QPGEVWVHVDAAYAGAALVCPEVRQSARIDLIERFHSFDMNMHKWLLVNFDASCFFVR NRDWLTKALSVNQAVYGNKASDGGLVTDYREWQIPLGRRFRSLKIWFVMRSYGIKGMQ QHIRRTSQLGEEFAAALRARPDLFEIVTGPSFALTVFRVAAKSGEEPTPEEERNALTK ALYERANASGKIWLTSTNLDGKFAIRLMTGVRTTERQHVETAVKLLTEIAQEVITGKP VYLN MYCTH_40920 PIQTESAEAEAAFTQLIEDLSAVGFATEVRPGRTPSSLLIFVKL ASPARLKSQVYRARVQDWLFGVRPSAPTPSDPFCSSFSSPSSADNAAAIDRYFAEEEP VTEAERLRLAYELITRPRNEGGGAGVTPGRGRWRFVGSIFPLHDRAFNRAWIAGWSKK YYLDESDINLIRYRFGEQVAFYFAFLQSYFLFLVFPAAFGFAAWLLLGPFSWVYAVVN CLWAVIFFEHWKMKEADLAVQWGVRGVGRIQLPRTQFKFDREGIDPVTGEVVKLYSPY KRLARQLLQVPFAAACVLALGGVIAGCFAIEIFVNEVYNGPFKQYLAFLPTVLLTIFN PTLTALLTRLAEKLTEIENYRTRDAHQAAFVQKVFVINFITSYLGIFLTAFVYVPFGK ILVPYLDVFKLTVQRFTAEGQPLPTMDWKINPDRLTRQVIYLTVTAQIVNFATEIVVP YAKRKLFRTVERVQSELSDKGGAAERQKKRQQRDQPEEAAFLERVRDEAELDEYDVAI DYREMVVQFGYLSLFSVVWPLTACSFLVNNWIEARSDAMKIAANCKRPIPWRADSIGP WLGALGFLSWMGSLTSAALVFLFKNGTGGLDGSPWDIRGWALLLSILFAEHAYLLVQL VVRNVIRKLDSPGLQKERSERYAIRKRLLERMGDWDVSDDARAGGPGVGAGAGAGTGE KITRDALEEDARRLSVAGEGKPEQLFWQRQRSAAETIQVGRALIAEARE MYCTH_2299107 MAPLTGVEIAKHNKPDDCWVIVHGRAYDVTEFLPEHPGGTKIIL KYAGKDATEEFDPIHPPDTLEKYLPKSKHLGPVDMSTVVQEKAEESPEEKERMKRIQE MPALEQCYNLLDFEAVARRVMKKTAWGYYSSAADDEITLRENHSAFHRIWFRPRILVD VEKVDFSTTMLGTPCSVPFYITATALGKLGHVEGEVVLTRAAHKHNVIQMIPTLASCA FDEIVDAAGPGQVQWLQLYVNKDRAITQRIVQHAEKRGCKGLFITVDAPQLGRREKDM RMKFTEQGSNVQSGQATDTSQGAARAISSFIDPALSWADIPWFRSITKMPIVLKGVQR VEDVVRAAEAGVQGVVLSNHGGRQLEFARSGIEILAETMPVLRKLGLDNKIEVYIDGG IRRATDILKALCLGAKGVGIGRPFLYAMSAYGQEGVERAMQLLKDEMEMGMRLIGAQT IADLNPSMVDARSLFNHSSPPLDSLSHAVYEPLVNPSQRLSVTGEKAKL MYCTH_2299109 MSSSLEAKIVVLGAQGVGKTSLVMRYCKGSFVPSQITSTVGASF LTKRVVDTDTDTVVRLQIWDTAGQERFRSISRLYYRGANACILCYSITDAASFAEMGV WLTELRRNLPPDIVLHVVGTKADIVARDPSRREVPFERCIAYVAENLNPGMGSTPPPS AGGFLGGPAGTGAAGAGAGAGAGSGAGAGDGAGTGTGTGTGTGTGFGFGAVEPRTPSS KRSSGFWGQEVGWDACHEISAESGEGVEEVFRVVTRKLVEQNRRMQAALLAAAASPAA PPGLGGGVGVAGPGGSDPSSRFPGFGGATTADGADGSSGAAGYFDAANARGSFRVGRD RRSWLFSPGFGPVIGIGGGGGGDGEGEEGPAWAGGGGGGGGGGRERRGGKNRGGCC MYCTH_2299110 MPTYLCHGFRWQRQSVRVYVILQDLDDASPEWIIPAKSSRCILK SFYEAFDFLPRCSPERGRYNPTPGFGGHDGGLTPSDTRERGLSTNVAAPGSAPSNGPK DDFDAQSWSAVKLLEEYDPNDLSAVSRPYVYVADYAVRIGLSCSIADEIARYEQQQLQ SGNPATSISSDRSSAQGPGWFERLRDQLQQGEEIRWYVVVNNDEVRNWSNPPSEPERA IHAGDGGPASA MYCTH_2299111 MGSFTLSFLRLLGVAPRSRPPDARPPDEEHATGTVADDSALGAS MLQPSHHHAPFQRIPADNTLMLFRLTLGITAAPHLGFSQSTQRPADNLGLYARVVHAE QTAKDQYKVFSVVINACYFLQIIVAASVTAMGAANANNKAVTAFGAINTIIAGFLTYL KGSGYPARFKYCADEWKKVREYIEHRERDFSLEGCTLDVYEEIDNIREMYELTKRDIE VNQPEAYNAKSASGGGGGGGGVARFDRGSKLRSFDETVRKLKGRAGLAADALESRSST MAAKVRSLEDSPDKMTKHIEKTAEDGGEDAAYKAVRHVIEDAEKRVIAEARNLEKAVV RGAEEHKPRPPREVSVMLSHRDGDDDAADQIEVAPKK MYCTH_89603 MVSLKSLLLAAAATLTAVTARPFDFDDGNSTEALAKRQVTPNAQ GYHSGYFYSWWSDGGGQATFTLLEGSHYQVNWRNTGNFVGGKGWNPGTGRTINYGGSF NPSGNGYLAVYGWTHNPLIEYYVVESYGTYNPGSQAQYKGSFQSDGGTYNIYVSTRYN APSIEGTRTFQQYWSIRTSKRVGGSVTMQNHFNAWAQHGMPLGSHDYQIVATEGYQSS GSSDIYVQTH MYCTH_2299115 MAHQRYEAGLEPARQDYPEVTQGYGYGNQHYQQPPQPYQQSRPY YPQSYDNLISTTPPKQDATAWGSTPAASPYCSAAQPAMSQFEPEPKSPSARTICGCSL PVFVLSCIIALLAAAVVGLAAATGVQAQRASSAESDLSELRASVAGNSTASQGSNAPV VIDDGCSENPDSVDKTIYTSFSLLGARKFRRYCNKDAPRPPLLSLFTADFATCMDACA AYTQYVPSSFGGATANTSLTVCAAVSFIPAWTDKAIADAGGAPGNCYLKGRPQTEALL TTPNIGVDCHAAIYAGADSSSGGDEDGGE MYCTH_122893 MDEFVNWDQADPAPATGVDMLSPSLEPTSQRLDDIDLALANVQG DEFSFWALQHFENNISPTLGGTEEIPMPTDRSTRTFEDHLELFNDMKCNNCQLGGYEC KRIPEGQYKGYCTSCVALRCACNFGLDCADGTDCFLPPNPWPVMGDHPRMLQEERQAE SHESSSATDLPGLTSTSGADSTSANEGPKGKTGARFSRESVKILKNWLSTHSKHPYPN DEEKEMLQRQTGLSKTQITNWLANTRRRNKNAVSHRSTSPGVRSWANPIDIPQRRRNP FEHMNPLQRWEHSPPENEPASVTAIARAVNSASSMSSGLHSPFSVNFTDDGSGRSLCG SAISSANTSHSQSSASAYSFGSRGSFGSGSSIPRGRRRRRRRAPVTAAHGGPPKTYQC TFCPDTFRTKHDWQRHEKSLHLSLERWVCCPNGPQAFNPENGQMSCVFCGHPNPDEAH IESHNHSACQERTIGERTFYRKDHLRQHLKLVHSAKFMSWSMEQWKATTPEIRSRCGF CGTVMDTWSIRVDHLAEHFKKGKSMADWKGGWGFDPPVMNMVENSIPPYLIHDERNSP NPFRASEPTASSARHAFELIKSELSNYADDWREREGCDPPDENLQRTACTLIYDAEEL AEPTANSTASWLRDLLFSDDRLAQEARWTKVRGIEFWQQLKINGKANIFELDPMESEL QEYVKARRLLGLTAMDSELQVEACKIIQRMSADSYHQPSSDHITDFLIRLVKGSTQWL VGFRQRAHLPRSEDVADEGKRSKDPTTIDSTIHNPNRLEYELGEFVRAQRAVGIEPTD EDLQRQARLIIYEYDDPWNQTAADDPVWLATFKQRHGRAAIPSDGSLSNAQPPTASAS DSWPSSHDRSSSQGHAMLLPSSESDASNRVPQKTRGTLYINDANCYLRLARELKKWVT ATMSPNNPNRHVPSDEELQHQARWIIYEDDDPWNQTAADNFEWLRRFKRDVGLLTDPS LPGLPSTRAWNIAQGGSGFSPPYLFPNPAKASEITAIPTPDEGVHGTDPLPPNTSAAA AVTTSSTALENSSAVINITMCEGGKPIPAPSSTANRFMRGLVDTRRHGPLAAVFCSRE LERELSEFVTNAVMAAGFGGAGTGKRGSPTAGFPSDEAIRERARAFLGGVGRTPADDE VLLERFKEVMRKKLGLEPESAAGEAQQAREQVQQEKGQQANPLGANLGFEAPGVAGPP ASTETELSPGTVDAEVGNMLAQMDFDFGDLGDFVGVATGGMPMDQY MYCTH_97617 MVFDQTAIPFRYPTPDTPTSPATDGPAKALNTPVNPKQSAPAVQ KPAQPKKQPNSISSANREAYTHNKARLEIVLPTKSQLEAAAAAAALNQQPGSANVAST SNAVAPANVAPRPRQEASALESSHPANGTQQSVPSAPAKTSQAPSASQKASIAIELPS APRINKEEYLIVPDEPDESENLSLKKRKREDLDGDDLYGDSLDMRQRADAALHELRVF LHNVFQAEDHALSQQYGNEMVTVIAENEAIMTAGAQAKVQSLLAKAISLNCYKRVPLD HLLRLLRLSEGALKQVESFDFRVDESWGSAEVEQWLQQLPLLESAMRAARTSLRMMCG GREEKQLYPEDVIERCLNLCKRLIDGVVLPIVELRNSQGTAELFRAISSSKKKIYALF TDCQKLFSLMSTLISKVDTSDTVTNTLEFAASRLIFMETASAEKDSVIDTQKFDGLRL VAMDMLSQIFLLNPSQRQGIFDEILTSLEKLPLGRRARTFKLVDGNSIQPVSALIMRL VQASAGKVDEGKNRRRRGVAAEDGQETNGNHQPTAQETFAIRDEDHAAIQHSTAIQEL DSLATSLLDTAKANASYVIKFIANRALKSTKSGDTPYRNLLDLFVEDFTTCLDNPDWP AAELLLRLLMVMMVNLVENDKSGVPAKNMALELLGSMGAAISKLRGHVRKTASALDAR DADELGMLLSDLAASALELKSRPEQMIAWTGPYRAALEYLESRFSQDPHLASAISFIV SDWGTKVCHCYDSFDDDVRERDQELGRLAYRLREMIQDRRWLSNEHSFRDVSPSQAKL SYSITLLRSQLCEAFSTILNILLNSMASDQPTVRSKSLKSINQVLETDPSILDGDSIV VQLILRCSNDSSTQVRDSAIGLIGKCIGMRPALEERMTETVIDRFSDAGPGVRKRAMK LAKDIYLRNNNRALRSAIANGLLHRVQDPEESVRDLARQAIEEIWFTPFHSGENSTAS QISLAEHVSLMVQTVKRGNVAGVLDKVLQTLLGPESKTAQASMEVCTKLVASMFDLVD NGDSSDPSTPPGRDVLQVLMIFAKAEASLFTFEQLRLLKPHITSIGSSEDVAVSRAVV VIYRRVLPRLSSAHAHFLADVRKELLPVVSKVTRALLDDVMACLWIISTLLETSEHLA RLVLSSLTAIQKLRMMSQKEPLDQLRIRQFDRYSLIVGMAGKHCNLDSHLELFKKGFP KWNGDTASKLMVDVVVPFAAPSQPLEVRKAALDSVGLVCQSSPRNYVSANVYTAFQQV FDDQVPILESVILRSLKEFLFAEEKRSEEAADGPAINNTKPEKKRELTVIGGTNYDDV ASATTHRFLREITRIATSTQDDHAFLAVEVLASINRQGLVHPKETGVTFITLETSSNP RISELAFLEHMSLHGKHETVVEREYVKAIQSAFAYQRDIVKDPRGATTNPFTPKLHLL MEVLKISKSKNRQKFLEKLCAQVDFDISKLDMSEELPPHVQYSRFIIENIAYFEYVTV GELHCIVSAMEKLVTSTGATVAQAIESEVFQVRMDTIESLSQPERQPTEAPPGGAFSQ SETAPPAQPEITIDLHRLRQFTAGSMILLALWEVRTYLRRLYGMGTTNRREGKSKLQA KDLSKPPVKVQGVTGDKVWEEIGTIMTSLTDRQRMMATCKAFVELMNVDKEFLVPAEE DEEMDMDADGDSLGMGSGADEEDDDEMVQLPSSSGRGRKRKAQHGGAAGPKKKMARSG SQQPRKRGRPRKQSADAQLQAPMQEHAIEGFDGEEWF MYCTH_2299123 MANPKADIHHGQPHAVPNSNSQAGQTPPAMVFTRPFTLSEALPY TPFSSIAPFNSGMPLQSPHSQRPPSS MYCTH_2035701 MAAIGSLIFCTDCGNLLPPSKGSEKNILHCDCCGAENREDQPWK TVTTRTKPSDFPSALRQKLSIVQTVERHKVQTERIDANTECPKCGKTGVRYSEVQQRS ADEGSTIIYNCDCGERF MYCTH_2299125 MTVPTPDPESSKTLATIAALRESLTAESTPLPVRFRALFSLKHV AAQHPPTSAESLAAIDAIAAGFSSPSALLKHELAYCLGQTGNNAAIPYLTAVLENVSE DAMCRHEAAEALGALGDVASLKVLKRFRDREGEEVVVTETCEIAVERIEWANGEGRKA EKLRASDFASVDPAPPMPQGQEEPTVEELGKTLMDTSLPLFKRYRAMFALRDLASPPD LPTAVPAIHALAKGLSDSSALFRHEIAFVFGQLAHPASIPALTAALSNTEEASMVRHE AAEALGSLGDEEGVEETLRKFLHDKEAVVRESVIVALDMAEYEKSNETEYALIPEVKT TA MYCTH_2299128 MGIPQLRRHLEPYAERAILDPSNVVLDGPALAYHVLGLCTRTAR KTSPLEQPSYELLGRTAIAWLKRIQSCGLLVSAIYFDSFLPASKRPERIQRLIKSSRD LIKYHSAYPAGVPGTSSRHAAGDADVDLFPSAWPAERKAQPPAPPFLVPAIIDALRSS PEFGHLVKLVPGEADGFCARHVRQHGGLVLTSDSDLLVYDLGQTGGVVFLADIAADEE TQRLWAPQYIPVHICKRLSLKPEAGLRSLAFEVSRDPHLTLQQAVERCKAGQATSATE DEYSDFVDLYLSPEVASKLEADHVLLLDPRISEIVLRSLKTKGIATTSPGNKTISKRD DDDALEMFLPFLLDCPARTSAWEASKATRQLAYAVLQSLRGSDVISMSEMRRLQSMSS GTNLAVPKLTEIDGLGDALLARLYEIEAGLSDPNLIWVTLAIYEDIAMTIDRGRGSPL SLGVLRQAASGEIDPCSWEFLHLLAQTQATYYSLRMLFQVLKFAAHHTGTLSTPLSKL VRYLARLPSLPDFPSARTFAQTLLELREGGALSSLQTLCADYGDAMLLIRSVQEPQND NKSKKRKRRAPPGGTTKPRSGNPFDLLAGVGD MYCTH_2299129 MRGTLIFAGSSCPELTDKICHNLGMARADAELSQFSNGETSVRI LTSVREKDVFVVQSGSPKINDTIMELLIMISACKGGSANKITAVLPYFPYSRQSKKKS HRGAITARMLANLLGVAGVKHVITVDLHASQMQGFFRCPVDNLHAEPLIARWIRRNVP RWQEAVVVSKNAGGTKRVTSLADALKLNFGMVTTDKKRGPNQSLSASMISYPLVGLDR QPGLDTAGDQVRTNSSEPENEASATDSGRSQDSRTAADAQGSPRMRANGVHSRNSASR RSAPVRSQTTLSQSSVIDELDEDAEADGLEYNDQRAHEVTHGRLVQGHIVPDDFPSPA GSVADTNVPDDDPMAMSHASSFFAPQPHALGGSGDAGASSDEEDDILKDPKVERTITL VGDVKDRPVLIIDDMIDKPGSWIAAAETVVKRGRANKVYCIATHGVFGGDCLEQMQAC DCIDAIVVTNSYPIPEHKARNASKLVVLDLSFLLAEAIRRNHYGESMSPLFQHLMD MYCTH_2299133 MSWLTVEAPNLHQEAPPSQSPTRKDFMENGDMETDGMREQSSPK RRRIEASRSVHAEPSHNGHQDHESVDQRQAVNGNGGGQVPGEEAVQEPEGSGDDRVPF FKAAFADPDDKGENDCFFESCITRAGGTQDLLKHFRDKHGLIRSDQGRHEGGRRKLPK YLTLCPTDWPFTHNYSSSCKSCGDVGGLFNVLGQREHESPAICSFCWTYLPTRHDLVR HIYQRPCRSNEMFSGKLALIRHLYAETIRLPGADAISRAAAEQREAHAELERAARFER WRLEQQLQQQTQEQLRQLQASGGQCQPPTPQAPGHLHPVAHFPPDEHPSPNQQSLPPA QHHNPATAQPPAASPPAPVQAPAPDGNPSFITHASTITPTTTIAAAAAAAAAAPPPPP PPPLAVTGGTPMSVPVSGTGSTFPGPTAAAAADPALSSSSSATIAAMARSIERLTAVV SDLAAANARLMRDLWARDRQLAALRAEVERGGHGGGGGGGDGAGPTSPGGDGDGDADT DADDEVG MYCTH_2299134 MATHDSSGGEGPLEDRLRNLILNHAMELSAHQARDAASHLQFQQ PIAQPIAQPIAQPISQSGQNGVPGPASPGNPGGSSSSKPARKRPNQAQRRQMSAQLSI PIDTRPQFPSPQRSYASPPGYQDQHQHHGKGGSRRNQPPHPAAFRPPSRDDGVPSAPL TGPPFPPRSRHQPSLSYHGPMPTPNQYGWPPPPPLPRDPRMPFYHQMPGMPPMPPMPP MPPVPFDAPAPTHRPQHSSDLNGSEGPLLPSPEELMAQSEMLQRLCDTIVADAEIETA EIIEKENFRQKIEKVARSVIAEHERKQNGFENFPEESVQLKCFGSLASGFATKASDMD LGLLSPLSRVQPDEPGSLIPRLVEKAFLDMGLGARLLAQARVPIIKVCEKPSEELRQA LLAERAKWEQGGGGNDQDDEAHDGADLDATEDRIHETEAQLREEVPGLDSAERDAADA RTVSDDRPNEPDAQPQEKTMDPEERLRQLKQGATSGLSSYYASAKRLLRKLGGRDITR SNRNEFGEEDVKLLNRVCLAFVDGLADKTLRNRLLRYRSLNRYDLSQGSHPRTLLSVL NQIEGEMIASLWDSRPFQEKDEHREAAAENIIKAWEALQDRPDFGRDPLAYQKELHLA VEQLKKIATIQVLLLSQGPNESPGSYCSRAMRLLHELGGNDSRDRAETVLPTLIEHYV GGISDQAIREQVRDFQQSHRISRLQTIGRRHKSLQLAHDYEVCLAKGVYENEAAATVR QYVDLLRAPLPKSGAGHSHGMIPLPPHSPLLSRIRQLGDPSKAAPNRPRDRYSSALEF PKTGVGVQCDINFSAHLAVQNTLLLRCYSHCDPRVRPLILFVKHWAKVRRINSSYRGT LSSYGYTLMMLHYLVNIAQPFVCPNLQQLARPPDPNLSPQQIEETVCCKGRNIQFWRD EAEIIRLARSNALTQNRESVGELLRGFFEYYAKGGSAMTLLPCRSFDWGRDVISLRTH GGLLTKQAKGWTGAKTVLEGQPAAGPGNAVPTAPGEASPHSPSPQPGRHQPPHPAEQS PQGGAGGGGGGGSGSAQSSKQAGAQLKEVRHRYLFAIEDPFELDHNVARTVTHDGIVN IRDEFRRAWRIIRNAGRSAQGQGRHQGGWKQESLLEDVADAETEREREAFSKLLEELH GVPGGAPDGGERGATVGEE MYCTH_2299136 MSAPPPPPPGNGPARPPVRPHKPTTANPLVARKKPRPKPQFSRP PSKVPGKGLEEKPKPVLNDMSDPAMRQLAQIRAQNGGWTEKAPPNYKDFPLVMTKRSM LEGLRHHIMRLSKNKGDSSRGKSDAIVDITNQDQFPRPVTLLRRDPRLPPAHRMVVKE ESAPTDPAEAAEYERARQLKAEKEAQRALDQAQIAPVLKTNEPKPKSNKKEKPTAFYG RNSDAQKKESKIHYEETWPWHLEDAEGKAGVWVGSYVASLSDLNVALVIDGARFRMIP LERYYKFDEKPKFDTLSLDDAEKMMYEVKEIKRWVMKQKDQEQLEREKNETRQFLRGP TRVKTESETSRLARRTERQDDFELDMSGDEFQDDDEAPGFERDEDEDAKEAKERIRRE QVSANTFGEGEEEKVEREERERQLEKLRAKMIGKRTVKTLRKLEHGQDYDDLESGSDE NNPFTEESASDEEESERESDREDEESKKAGAKDQSASGANTRGSTTPSGKQRTVDALK KGKLKRPGSPNMSESSDNEAMRKKIKTTQGSVAPSRGGTPLPGRPKPPSGAMSDGEAT AGEGSDAGAKLKKKLKVKPGMRPGATPSGSRAGSPAPAANRAPKPGGATPSGSPPPGG QAPDIITAKEIADALAPYAKEGISLSNLMKKFQGRVNKPGNINTSQWVQMVKAHGVYG PDKLLRPKAALSGNTAQSSSDKPQSGSAAGR MYCTH_2299137 MPSDKAAYLAAHYLTVESKSSSSSTKKRKRKQPAASGLLITDDD ETGWDASTHKKDDAEEDDTPITIAGTMAEFRRAKKSGWKTVGDAPALSSSKNGTTTSS SSSSTAAAAKPTDAADAAAEADAIIASAAAEKAAAAAAEEAEDLQVPVMSNGTLAGLQ SASALTAQLRKRQELEAAELAELRAAAESQKKNNNNKNKDDAEPEVILRDATGRRVDA SLRRAEARRQAAEAERAAKAKQDLLRGEVQAAEARARRERLEDAALLPLARGRDDAEL NEELKRAERWNDPMAEFMASSAAGGGGGESGGARTGGTKRGRPVYKGPAPPNRYGIRP GYRWDGVDRSNGFEAERFKALNRREMQKGLEYTWQMDE MYCTH_2299139 MTESIQYERDLRSASKEWRRTKESSVKWSQGSRPLAGVRRGEPE DARMAPEHMYAKRRPEKMVTPTKEMKLAMGLYSRNRRVPMYERSDIQDAVVDSSPDLL SPGVPPPDAGVLYSFDRADTPGRPLTLDVFVKNTGGKETEKLVEREYEVLDGNGEAVK GRKARAVLRKSRSSGTAAGAGEGRKDGEQEGVEVEDGFELI MYCTH_2299142 MHPFPRPRLLHMLCYGTCSLTYAAHSPRSPFPRCSCDFCHVNHQ PCDNGKPKCSVCTKHNKPCLYLRPAKRRGPQKGYRTALNTYKESAAAWGAVLGAIPGL DALVEGHLRGAAGRAVVASIKDSNQQDALIAKWQQSSVFRAFFGHNGPLPGLQEAGAA DGTAGVAAVASQEADEEEADAGDETPPTTRRASQQPPASQRSQSVSSFAVAPEPKSHS DFARAPLQPNDSLSDIVAKDAAQSATRASQTLASLGFAPDETIADFYSMGSNPEPIPE SHDPDFDPSLGTEAEQKAYYELLMGRSFPG MYCTH_2051357 MAPPRNERLFEPNASIVLVGCRGAGKRTLGFLGALHLRRRLVTE DHYFEKVTGLSRGQYLARHGRDRFARQTIDVFRRMLDANRTGCIIECGMSSFNQEAQD VLREYSKTHPVVYIHREKEQIASLMDAADAQQLLEADGTHRSCSNFEYYNLHDSATPI AASASVSGTSTPTNGRQQSGPSKLLCVQEDFARFLDIITGRGAAKAWLESPFSVAAIP VEFRAYSYALRLRLSSLVGVDLDWDDFEASADCVELIIDHWPDDLMNVIARQVALIRR YMGVPIIYHVEENPRGERRRPPEEKNPMDAELLELGLRLGVDYISVDLQRDEALVHRV LQRRGRSKVIGNFWHMGLGALPWQDDRQIENYRRARALGCDIVRMVRFCVNDSPAEYL DDFKKRVHETFPDPKPPLVAYDFSVLGVRTPLQTNILAPVKHLGMENGRDHLATVSHA SHAFEMNFRQFLLDPLQFYVLGSNVSYSLSPAMHTAAYDFNSMPHSFRAVSCATLDSL NQICSADTFGGACLTAPFKVAVMPQLKVRSAHADAIGAVNVLLPLRGRTGAVLDHANA RNRAGPARGFFGDNTDWSSILTCLRRAISPRNHVQPSKTTALVVGAGGMARAAIYALY QLGCRNIFVYNRTVRRATDVAAHFNRWAALQAAAAPPTAPTTATGPTQNAGGMCRVLT SLSEPWPEGFQLPTIVISCVPATSVDGNPPADFVMPLDWLRSPTGGVVVELAYEPLVT PLVAQMRAVRDKMCPSWVVVDGLEVVAEMAIEAFELMTGRMAPKRIMKEVCRKTWESQ QQRRALS MYCTH_2299145 MSVMSILLDLVLLGLLLPSGRRRENQNSDKGSFTSSTLDTPPQV WLFPIMPPSYPTQNLTRLQLPPLSPIQHHRISLPYAAPETPGPDRNQHIPPTIFIVKP ADEPRVKATEKQLPPLPLPLPPSPPPSSPRIPSDTIIEPATGRLNRVALILALTRLQD PRTGTWAPTAELTGLLQAWAGGRRAISINLNIGGHGATALAHACLIDLCQTVWTAQRK GTEAAVLSAEELRSLERVGWNLGWVAERIRQAGAWLERRNR MYCTH_2299147 MEASSQSAAEEKMRLQERLQLQEGHESSMSSQSRGPASGRNTVP MTEEELESSPVYDQQSPLYSLVPDQQSPPPSQSAIPRRPVPGSVPGPVPRPVPESGLE PVPRSPTPPPYSGPSTPAQEPIQPHESQTGGPRPPPRYPGLPALDYRLYKPPLFELSS DKTTIKTTAAHLSTSAEALVALIRQQATVPPKPQVHIVGRRGSSPNSGRVDFDIKLNL LPLLVPEDPRQRMDYLRCVGPGEVAFRGGSKPSTQPEVDGDGSLDAWAARFVADTASV KAFALERVVVNLDMDWLEGQIRKLVVGMRYPGTVAVSFPVTHNRVVVQNPDRVNKFIT SVTGLFVGKRKYEVVKAVWPFATMPKGSEGEGRRCAVQSEEVWWREWKDPIRYAIATK RHGWVTNEDKLEALMEGTPSTTPADVDWGPEY MYCTH_2299149 MAEPITSQSVVPSPASPSSSVPQRRRQQRQQQPQQQPQPALPLT SYDTALALLPPRSLWPRIDRLRALYDRAYPRWPPHVNLVYPFVRPELLDEAVDRVGAV LAAPTSPSSPGRFPVRLARTGVFEHKKGRDNTIYLCEEEDPRAVERLRGAVLASLGAG ASASAAAGQAGASYRMHLTVAQSDDADGAAHKFLVEKVGLLPRVEWEAAELAVLVRER DGRGGNVMRLWGTIGLEDGRVERLGTVAAFYQSVNPLEGRGGVDGDDDVDTADRDLLQ GGPLYCAGEEPERWVPFTPPELIVASYNVLAEFEWPPSEARYPLLVRNILAESAQADV LVLQEVTDGFLSYLLSDKRIRDAYPFCSHGPPHLDNIEPLPSYLNIVVLGATPFDWEY VSLNRKHKGALVARFKRVGRFDRDRFRPVVLAAVHLIPGLADDAIAAKKADVKRLIGY LSDNYSGHPWIVAGDFNITTSPGSINAAIKAKAISEQSAADLASLDNLFSDAGLVDAW RSAVEDGPDDERIEAEQGATWDPTTNGMAAIMAANSGSSAWPQRYDRILVRGEGLLEI SDFNMFGFLTEQQQEGGSKLFASDHWGVRCTLNMRGLGDGDDDPSEEVSELIVPVIPE KVPEHLASAGSVKEALAALQVIPSEGEATKRKRALDLLKSVVLDTPSADAAGARSQPT VVVVPVGSYALGVWTSSSDIDVLCIGPFSSNTFFALASQRVRKAAAQGIKLLRRVRAH TGTMLELEVHGVKVDLQYCPAASVAERWPDVLRIPSSDPVWSLSAQTLSKLKAIRDAD YLRRSVPDLTTFRLAHRFVKTWAKSRGIYAARFGFLSGIQISVLLARVQKLLAREAGA PPTPEALIVTFFHHYAAFDWSAQLAFDPLFHRRRLPYSRTAREPLAILGYFPPALNTA LAASVPSTKTLAAEFRRASDALRSATDPPASWSSLLSVSAGRDEFLAAYKSYVKLDVQ YWGLSLSRGAQFLGWLESRCVMLLVDLHRRAPGLHVRMWPARFVERDSNGSRDAAAAT MTGEEKDGDEDARDFRGCYLIGLDKGSPEMAKEDLKVALGALQTGLDRFEAQMMGDEK YYDARSCWLSASVVNRDELGELELDSREWGEYTPGEEEADEEEEEGEQDATPDPDFGH EELAKKEKKKKGSASKKQIAAVDLRADKTKKFRPAIDALNRIRWDPQLDSSDYVVGYE DRFSGVQEKELSSWKSEQTDEEFIPQHRILYFRRKSDGRLVWDRRRRLDELFGNAP MYCTH_2049301 MATASAASSSAAQHAWLSSTAAGISSSATAAALPSFFFIVAVLR PPLAEAVASLSSARYSPTSRALLVRTRAAIPATSSGPRRERASKNRSFSASLQYARPI CEAGSAVPSPPSPSPAATSQRLTLRDYQEECIQSVLSSLEKGQKRLGVSLATGSGKTV IFTQLIGRVKPRSEIATQTLILAHRRELVEQAARHCSRTYPDKTIELELGKLSASGTA DITVASLQSILSKDRFLKFDPKRFKLVLVDEAHHIVAPGYLKVLEHLGLRTKQPDSPH LVGVSATFSRFDGLKLGAVIDEIVYHKDYVDMIGEKWLSDVIFTTVESKADLSKVKRK KGKGGSGDFDTNSLSKAVNTVELNDIVVRAWFAKAAGRKSTLVFCVDLNHVAALTQRF RHYGVDARFVTGDTPARDRAERLDAFKKGEFPVLINCGVFTEGTDIPNIDCIVLARPT RSRNLLIQMIGRGMRLHAGKQNCHIIDMVAGLETGIVTTPTLFGLDPDELVNEATADK MYELADRKEAEKAREAQARKRLTGTESTGHSYNVTFTEYDSVFDLIADTSGEKHIRSI SPHSWVQVAPDRFILSGPGGTYLKLERIPDAGPEAPKYRAWEVRALPPAVSKSPYAAP REVLSAMTFTDAVHGCDKYASENYPFFIIGARLPWRKAPATEEQLKFLNKLRAADDKL SPEDVTKGKAADMITKIKHGARGRFASIEAARRRRQKSAIADELHRARKLNELVSVGP LSA MYCTH_2299151 MFFKAASAPSETSSAAAVQGAQDARGGPRTYRDTPFSSLTIYPF LTASFSALFRREDTCAPRLPEVPRALTESNQASGSHLDGAGLPQPDPARDRLEEDSNS YSLLRGESAATTGATPHRSYSPTTFSTAAYLQGPNLASFTSPECEPRRGQDVNAEDEC PISSCEPNTDGKELALKVALAKAASPAFLYPKAHLKRVAQPASGLSATKPVQEKGEEP KCKDAAVASGGYIDNDTQDNNEEDRNSNAKGRACSPEFPRYIPPTIPPKTRRSKTVKP CTASAGHRNLHAARISRKTGHRTLNNSRALHQARTPQSPPVKAARVPVKNAVVNSRRL RQAGSSNQGPGNYQTPRAVITALHPTRNAFPDMEVARHVEGDVVEESIGLNDPLFAKN GEGNESEPCSSHGGDRHYFFHFPPPDMWDHNRDFDRELEQSRPIYDGLNTDLIDKQLD EFAARTELIVSLMKAHQAELDPVNELFLANKNELAHLNYERQRAITSQYSLLKRTTPR ERARIIARVAEAETPLLEEQERVKEELREIVIRGRAKIARILREDLRVPTMIDEKRAR ASQRKIMEEQYTELGIAGAMIGLRGSLKEQNYSTHTAILRFAQLVVDERLKAFDEKDS WHKPDKLVSVNLVSNVDTTITAVPGWFTILDAKGTVKLRGTAPLDRLKELHQILGTSL NAAREEQWADEFRPNRHTWRKQYHEPNDAWPNALQRSRGGWWACRSGPDASPAERSCK LCQPRVPSPQGQVSARAARDQYQHILKEIETAQAEASKRDQLMLKYQLQQEREDINRY WQRREWIRSGGGVDVSEVLHGRDVNELNYRPSGGESQSFQQSDTPSRYVEPHDKQALE TESAPRVEFSQKSPLWGSFQIDELMSRKQIINETPSPPAAGPSSSPRRLGCSPLLNEL LTGKPASKLGSLSGESSQPPRRLHGSPLFHELLAGRQVNENPPQAPPPLSLYRLNSSQ AHDALHGRLPKNGTSPTQPGPSQLRSSMARPGQKGKKRVSWQL MYCTH_2299152 MGVSSPPLVLLSFVYCFLFLLCAVWLSNLGWTEEKKLDYGCVRG WGTPLDGFKFPNSCGCVAGGV MYCTH_2299155 MPRPATTGYERLAQADLSDDSDDDPLATSYASLQHPTAPRYVSA DQRRSHSGMATPKYSISSGPGIHDPSRSGGRHRRRGRRNSGVDLKAINARLERWADEI ASKFKRSRGKKKGEEEHLEIHHSVFQPPEGVRPVTAEMLAVPEPGYMTKAEFDAIVDS VRSAIRQGVHPRMISQGSSGSYFARNPDGKVVGVFKPKDEEPYAAGNPKWNKWIHRNL FPCFFGRACLIPNLSYVSEAAAYVLDAQLRTHIVPYTDVVYLSSKSFHYPFWDRYAYS RKRKPLPAKPGSFQVFLKGYKDANVFLREHPWPDQYLSGFRTTDPHRKRKRRWADSCR PSSAPHDDVDSEDGDDIPRSQTPGPGNFVWTPTLKQAFREELEKLVILDYIMRNTDRG LDNWMIKVDWETEQVSVASEPIRLNMDPSDPEPGPRPVDLAQRGPPRTRASYPYRPER PMDASTPVSTAPEPRITIGAIDNSLSWPWKHPDAWRSFPFGWLFLPVDLIGRPFSQKT RDHFLPLLTSTHWWSQTQHALRRVFEMDNDFQERMFARQMAVMKGQAWNVVETLKTPD HGPLELTRRPKVCVWDDLVEVPVAVPMRAASAEMRRRANAELDPAAQAAAAGSRAKAS NDILAEEEMDIGAANTSPSAQAVSAPPTSTAGPAVDLLGLASPPADLPHPGRFELALQ DDPLSPGAPGSNNVDSNNNNNNNTGTHAHANGNPSRPQFSRAAHSLKSLNVYSPDRGA AKGETSSLAAQFYNNSSRAAGGSGSNGGRRSGSLDYSRGRGGSGYGYGGQGRRGRGGG ANEAEDEGDDVEQDQELEGGDLGYAAATGMEGNRRKVIVERLEMVKGRNPVFTWC MYCTH_2116126 MNEGDYQTVPVDNTYREVVVYANREFQRYALENGIYFAPVDDEE IDRLQYMHGIFNMMFDNRLIFPPIPRPRRILDCGSGSGAWAMEVAEQYLECEVIGIDI YPYPVPEDIPPNLEFQVDDLNSPSTFPSNYFDLVHSRMMAGGIHANRWMNYLSDILRV LRPGGWCQMVEIYFNAQSDNGTLTSNHALQVWSQSYMQSIQPLKDPRAPLRLQNWMTQ AGFVEVESRVLTLPLSGWPTVPNLSSDLRENAIGAANQANVHHLMSSLSVYPFAHTLN MTDTDIQLLIAQARSEANDPAFKDYVCIGRKPGSGRHHRHYDSRSHQHSTAGKHSDSR KRARHG MYCTH_2299157 MASKLSLSLLRGFGRPTLRQTASKYIIRATYSTEAPPPPLLSKL KDDLKTAMRAKDANRLAVLRSILAAALNASKTDKPIRTDAQLVSLLQKSALKSQEAAA EARRAGREDLAEKEEAQQRILEEYAAGSGVRELGEAELRQLIESTKANLLAEGVQEKA LSGQIIKKLFTLGGPLDGVVVEKKEVVKLVMESCQAK MYCTH_2054578 MLRIENRKGEKAQGEGRRKKKEKKTYNTEDSLVVTDPTTDSAIT SLTKGERTGSRIFW MYCTH_104887 MATDPSTHLSLREDHIATASPETTSNKATTADPSPSLSPDPFDH QTDIQRGRKRHRAIRDIKVTTTAAAATTAAITTATTTKHRPRPCPGSTDSRTLRGRAR NRSTSVISTTTATTTTTTSTPSPALAHALSLSHPDANLAAEQHHHRHHHHQQVPHCHH DHHDEQQQQQHTLSEGLMTSNLGVAVRAERWQDSPQGNWQRQKERKRERERERKTAKR RVVWRRRSQSPSRSRSPPPPPPRPLSSPADTGEPGPEPGLAGAFVEDGTASGAVNSSK SSSSGGRRRRRRQRTTSRSREHRVLAT MYCTH_2299158 MWTPSALLNPGKAGAADPPPRPQSAGAITGSSTRSDASAQPELS FQFASPGQLLAVDFAGTPTPSAGTACGENVTKHHPPTMPVYQNGLSRSIERLHNVQER PAVPQPKRRKTTNENDPTPLLPAHNSFSAFPGAGTSILTQHLKMQQHAEPSQPKPAQK PSETIDLTGGDTEPASQSPTPQNPAPKHEEVCYGMVEGASINCHRVPAPKPGMVSING DGYWPQVKVVLKRKADDQTNRIYVYDHTRHIFGTVDVKTAECLVPLLDSALQIRTDCR IPSRRKLPGEQVGQPASFSCKFDLMVYGPRKFANQVGNHMLSKKVNLVSPPRVESGVK VFNPMAAENRLPTTSRLSSASSSNQHRPPPVVRTVEEIRSEVLGVFDSLPKSEDLPEA EPDSRIQTTLLKHQRQALYFMTAREAEQLPDSGKALITSTWQRKKDRFGGVVYYNVVT NQTQREPPPSTLGGILADMMGLGKTLSVLSLVTKTLDAAERWSRLPPQQPKAPERKPQ HPFQQQFEVPRPAALGLTPLRQNAKATLLVCPLSTVTNWEEQIKQHIKPGSITYHIYH GPNRIKDVAQLAQFDLVITTYGSVVSELNSRSKRKQGTYPLEEIGWFRIVLDEAHTIR EQNTLAFKSICRLQANRRWAVTGTPVQNKLEDLAALLAFLRLKPFDERSKFVQFIIQP FKAADPEIVPKLRVLIDTITLRRLKDKIHLPERIDEVVKLDFTPEERQVYDWFAKTAQ DRVRALTGQAIGQDRIVGGRTMIHILRSILQLRLICAHGKDLLSDEDLADLQGMTADT PIDLDRDDDDEQSVLSEKKAYEMLYLMQEGNSDNCSRCNTKLGAIEVDDPESDRQDDI LGYMARCFHTYCPSCVNLVRNEQTGCDACAGLVKSSCVELRRKRAEIEHESRVAKNKG GTNKIIPDDRYTGPHTKTRALIEELLANKEKSAMHPNEPPFKSVVFSGWTSHLDLIQI ALDNAGITYTRLDGKMSRPARNAAMDAFREDKSVQVILVSLMAGGLGLNLTAGNTVYV MEPQFNPAAEAQAVDRVHRLGQTRCVRTVRFIMKDSFEEKMLQLQDKKKKLASLSMDR DPNDRVTDRTEAARQRLMDLRSLFK MYCTH_109620 MASSSSEVPVPQAESFPDGRTDYIPLRKKNYDARKPHITEQPIT WSNWYKHVNWLNTYFIIIVPLIGLISAYWIELQFKTAVFAVAYYFFAGLGITAGYHRL WAHSSYKATLPLKIFLAAGGAAAVEGSARWWSSLHRSHHRYTDTDKDPYSVRKGLLYS HIGWMVMKQNPRRIGRTDITDLNEDPVVVWQHRNYLKCVVTMALIVPTLVCGLGWGDY LGGFVYGGILRIFFIQQATFCVNSLAHWLGEQPFDDRNSPRDHVITALVTLGEGYHNF HHEFPSDFRNAIEWWQYDPTKWFIWTMKLLGLAYNLKTFPQNEIEKGRVQQLQKKLDQ KRATLDWGKPLESLPVISWDEFVEQSKNGKAWIAVAGVIHDVGKFIADHPGGKALISS AIGKDATAVFNGGVYNHSNAAHNLLSTMRVGVLRGGCEVEIWKRAQSENKDVNPVTDS SGQRIVRAGDQVTRVNRPIPTADTA MYCTH_2299167 MAGASAVEAVAGSGGSGPDASENASLMASLSPDELREIREYAKL LRFRDEVIAGSHPRIKPPHLSGKAAQGQKPPSAPAGTTASQPAAAKRAVVSDRSVIDS SQSRQTNKQQAQLAVASDVPGLGTLSGTSSNAQAAASGKPEINPVLLQKSDDLIKAEI QLQRQRVERSLKEELEQRRGANKASEQLAELDVADILAKAMSMALASPHPQSTDETAA NVSASNDSADDDTFYSSRHDTPESNMVSRLPNESEDEEMREGSPYEPELDFEPVVPQA QPATTSAPVGPSAAPSQAQQPSKPPMPVPAASTVGETLPGLSVGTGTSSTRVSAPQAP DATNAGQGNNSGGRLEESGKAESSQLSRSYDLSRVNEQSLGQAAIREPPLVRGHDLSP LAPQPTHVLPPAVAREPQLSAPEPNHGPQAAPAQVAALRKQPSNGSSPESSPHSSKAA EKKKNKKKKRKADRLAADTAAASPYIKPEPRSPSPLTPQLSRPSKRQRYSQQQPVEIR DDEPRYEQPMPVEEGYQERYQPRVVRQERVVGYERADGYRALRDEEPILVTSPRYERV YYDDYRAPPPPPSHGYPAGPEPAQYVSREVRTVRPPARVAEGPYEDGTTYYRDVRAAS RTSVRVPAYPERSQSPAGYERPPAAMPPPRPAPRRIYVDAFGREYLEPVRSATVIREE VADSRGSYERPLPPRAVSRRPEPLDDEAVFYYPTSPTYAVPRRVITQPEYSYRETAGP SNAVALPPADYPPARQEPPREYMARSASVRPPVDPVRYDAPTAYERVPPPVDRQAPAR EFYRAGSARPAAGPSAAEGHPYPRYEASSSYEVPPPGRDYPPPPVRSASVRPPPPGDP AAGRYEPPMDYTASSSGRRLEYAHPAGYSQYQHDGRRETMPPPPPARAYSVAPGDAPG QAMRREYHPGAQHPVERYYGPPGRGREDEEVVFVERGPPVVTEGYR MYCTH_2050929 MGCATNGVSPRKVFFFDIDNCLYPRSTKVHDLMADLIDKYFATH LSLPWEDAVRLHKEYYQNYGLAIEGLVRHHQIDPLDYNAKVDDALPLDDVIKPRPELK KLLGDIDQSKVKLWLFTNAYINHAKRVVRLLEVEEFFEGVTYCDYSSVPFTCKPQPAM YQKAMREAGVERYEDCFFVDDSYQNCKKAQELGWTVAHLVEDGVKPPKTPACKFQIRH LDDLRTVFPQCFKGSASEGS MYCTH_2299172 MSDILTQLQESMDLLCTMFIAGLYYVERHHDLEQFGPNDKIPDL KADQVKEVDTLDPQTFKDGQAEIARDIIVQAQRIEYLVSELPGLQNSERDQLKIISGL EEEIAGLEAQRLEAIRERDEVFGQLDALVRSLQRP MYCTH_2299174 MRASLLRPVGSLQSPPSILFRAFSSAHLPRLHPDSSSAAEPQQS VQQEQQQRPPSPPLIYPSAPSPHHTDLPSFLAHAARTNLDPSSTVYVGTHFEYTVAGA LARFGLSLRRVGGASDCGIDLLGTWSLPPFSAEQQQQQQQRQQQLRVLAQCKAVQRPG PHLVRELEGAFVGAPAGWRAAADRRGVLGLLVTERPATRGIREALARSRWPMGYVACS REGVVGQFLWNQMAQEEGLEGFGVGMRHGGARGKELVLTWKGRYLSPGGSNQGAG MYCTH_2299175 MASQPSKDEVTSNLAKLSLKTTELETTPPPSKSRTKPRKKDSPV ADSWEDEVDDDDDDAALDTEQSTPVATSGHAGTSAPPPTPMSPVASASKQRPFSPSAL SGPGFSIPPFDGAGDFSPPSSASPGSGPSRRPEKTDAVARRMIANALGMRAPKLTEEQ RAYDRAVREKEKKRREEEKEKQRLREEEIAKARQAIWD MYCTH_2299177 MLPRQRALRLPTPTRPVFSTPSRRLSACSIPASLRQRQTVAPQL QCSTRSSWPSSLSRFSTYSALGKRKEGSGFFDGATEPLSEEEKKANLEKAEAEEKEIA KSKSTSSDATSNNSGTGAPGAPDGRGSAAGGASSGAGDDSGSSGGRRGRKGDKALAKP VVPEIYPQVLAIPIAKRPLFPGFYKAITIKDPNVAAAITEMFKRGQPYVGAFLFKDEN ADDDVIRNPDDVYDVGVFAQVTSAFPMNAQGGEGGGLTAILYPHRRIRLSSLIPPGGA GPSKATPVAEPIPEAVPRPADEADQKGDVVASFEESAVEQPKPETSKKLYEVTSFLKK YPVSIANVENLTEEPHDPKSQVIRAVTNEIVNVFKEVASMNSLFRDQISTFSISQSAG NVMSEPAKLADFAAAVSAGEPAELQEVLESLNVEDRMHKALLVLKKELANAQLQSKIT KDVESKITKRQREYWLMEQMKGIRRELGIESDGKDKLVEKFKEKADKLAMPEAVRKVF DEELNKLAHLEPAASEFNVTRNYLDWLTQIPWGQRSAENFDIKNAMKVLDEDHYGLKD VKDRILEFIAVGKLRGTVEGKILCFVGPPGVGKTSIGKSIARALNREYYRFSVGGLTD VAEIKGHRRTYVGALPGRVIQALKKCKTENPLILIDEIDKIGRGYQGDPSSALLELLD PEQNSSFLDHYMDVPVDLSRVLFVCTANMTDTIPRPLLDRMEVIRLSGYVSDEKMAIA ERYLAPQAQELAGLKDVDVQLDKSAIEELIKSYCREAGVRNLKKQIEKVYRKSALKIV QDLGEEALPEDKALTDEGKAALEESEKEGKTQEAGKEASEKETVEQPRKPLRVPESVH VTIDKDNLKDYVGPPIFTSDRLYDVTPPGVTMGLAWTQLGGAAMYVEAILQAALKPSS RPSLEITGNLKTVMKESSTIAYSFAKSLMAREFPNNHFLERAKIHVHIPEGAVQKDGP SAGITMATSLLSLALDTPVDPTVAMTGELTLTGKVLRIGGLREKTVAARRAGCKMIIF PQDNMSDWLELPENIKEGIEGRPASWYSEVFDLIFPNLDREKANRCKACENKKEDGEK SEDEDKSD MYCTH_2299178 MAQPLTAPAVEPFAPLTHSGVQMPQSFWRGWLHDWDKYSGADGV LGPGHNGHGLEQPGDPETGPVRRVPRKVVPGLPRTQTFKRQRSEVRSRLEPVHPTHAE RRAVSVDRRVNGFSCPLDHSDPRASAPDFLHPRPSNPPSPSPPTSPPDRFLGYPGDLD SFDPEGDPADGELVHDPDTFSRVDVQSMTTSQYDALIERELERKWILNLSMHFRDKSK REKFFVTFRQHEHLWRRVTVSVDYRNAPENSLEADLARTQYQRDKSAKIYEAIRESLH EIDFYDTVTNLKLETRDGRLHVHVVEDVNEIISYPPVRTVQHLNCRRVRERELHFDSH LSGFVYRVRVHGRLLIKKEIPGPDTVDEFLYEINALNQLYHAKNVIRFYGIVVDDGEQ HVTGLLISYAPRGALIDVIYDNDHALPWTRREKWARQIVGGLSEIHEAGFVQGDFTLS NIVIDENDDAKIIDINRRGCPIGWEPPEATPLIESEQRISMYIGVKSDLYQLGMVLWA LATQEDEPDAFGRPLIIGSDVEVPAWYRRVVDICLSADPRDRVQAAQLLSWFPEPEEG NQRGPPNGASRSLNGDDVYRYDYVSPRGLPRIKTVHPPSDWTHKGWANHHPADDGFSY PSRGRSPASPMPSNQGDYYDPARYGYPTYAWSDGHNHVVPVVPSVSDVRANESKGKST WGRCRDSEPNLETYAAGRHRVSGSATETNRDDESLGTRGRSLLVKERYEGKTGPADHD ERSLVSTSAWEPPRNRRPGKGTDDMGNGDKIQSKGNTGPESQADSGKDVAERASTPRE QRGGWRAESSERSRSSSSRARNGRSTIELESRRGGQRGTAPLTVAKQTARSRSSSTYS GNHREEPGADREGRMYRGARAHESDTSSRPASPALLRPTRSGYDRADDDLKGIGSAHG PALRGPYGFDHGSETRRHEIIIDEDLTSELDKGLRETSPKGDRRR MYCTH_2050951 MNERRRTATKNPGLSAPNGTVPRGPSHAAGGGGRGGAPAGGNKG SVITSGAGRGGGGVAPGGGGGVSGQPPRNNNATSTGDSKRSGSSVSGTTLATVLAQRN KGNNNPPATKRPDYARERRIAELLVQRASVVTETVLAMLNLGYTQGPGPTSSSAAGPI SQYKPPTGGGKGDGDGAVKVKTDMSPVTIADYAAQALLMWGLRKAFPNDLLLGEEDAE ELMRNREMLTKVCDVINKARRKDEVLGALESGVPKVFGIKDGKKKERDVELENGKRYW IMDPVDGTSAFMNNGQYAILLALVKDGEGVLGVCACPNTGYDEAVKGERVREYMVVPG RKKEPGLMLAAVKGHGTTMRKLGHTDLLGGIRLDWSNHPPLSLTKDSKGHPDLSSLTF IDSEKSPKSRSDVVKALAGRNYRNGVQGYSSHWRYAVGAILGPGVVQVRCPINDKRDW KIWDHVGTIVIYEESGAGTVTDMYGKPLDYSHSPAMTKNWGVIAAHRSIHQHVRRAAW HELNLINQA MYCTH_2299180 MARLSVCISALLAGLSAAKYIVPGGRWRDTDGNLVNAHAGCVTV DKDTGKFWLFGEYKVEGQTEGGGVSVYSSDDLATWESHGLALAPIEGHPYISPSHIIQ RPKVVYSKVSNEYHMWWHADNSTYGLLLQGFARSPNISGPYTFVSATAPLGNWSQDFG IFTDYKDGRSYALYSNGDSREGRDVYLTAYNEDVSALDKVIHRFDKYDLEAPTIVQTD KSYYAIMSHKTGYRPNNVVAFRADSLAGPWSQPFMVAPPNTRTFNSQSGFTLTIRGKK RTTYLYLGDQWDSNSLWESRYIWLPMEIDDRKKTLRLVWNDVYDLDVKTGEWSPVRGK TYYAADAKTAGNAFKQEANFASKGVIVTGIRGNDSTVTFEGIEGSGKPQWVSFYYQNT DDMGFGDQPGGTPDRIGGTWQLRRIASVVVNGNTEKVETLYQRDTHKGIILSTPLLLT LEKGKKNTITVGGLWNGFDYKGADLDRIVVYPPETDRKRR MYCTH_2299181 MSEVKTSQKSSQGPELRLDHVLASILHRRSSRNQLRRLTTVPHG MADFSSNAYLSLSSQPAVQQAFLARLHAAASTPGSNGSSSLLGSGGSRLLDGNSSYAE SLERTIAAFHRAPAGLLFNSAMDANVGLFGCVPQKGDAIVYDELIHASVHDGMRLSRA GKKIPFAHSSVWEVSHPDPNKSPLETVLRSLLHGPDGGLFRSGERNVFIAVEGIYSMD GDVAPLTEIVDCVERYLPRHNGYIVVDEAHSTGIIGDRGRGLVCEQGLEKRVWARVLG FGKAMSCTGGIVLCSSTTREYLINYARTLIYTTAMAFPTLASIETAYDFLATGQAEPL LDNLRLLIQEAHKLFEALCTRENAPAELLRVGRENPRSPIIPIFTPHARSLAGYCQAR GFMVRPIVAPTVPKGRERVRVCLHAANTMSEVHGLVEAVGAWLAAWRTGTLTEAETLR QTQREDQATSNSGTTMVTKARL MYCTH_2299183 MRLPPARALLWRSLRTYQIYGANTDVGKTIVTTLLCKTAQRLWQ TERTTYLKPVSTGPAVDADDQHVRKFAPEVISKTLFQYDLAVSPHIAARISNNAVPSD DSLLAEVSAFASQQASRGPGWLFIETAGGVQSPAPSGSTQADLYMPLRLPVVLIGDSK LGGISQTISAFESLKIRGYDVEMVVLFREAAFHNHAYLAEYFEQQHGIAVRTVPEPPP RRAEDDPSADSIALSRYYDKTSSQSRDIHEILRRLDARHTARLARLESMSASASSQIW YPFTQQKHLARDKITVIDSAHGDYFQTLARPPPDAPDALLRPSFDGSASWWTQGLGHA NPRLALAAAYAAGRYGHVMFAEAVHEPALALAETVLDGMAPRRDGSSDGSGGGRRRRL GRVFFSDNGSTAVEVAVKMALGAARARYGPRRGEAPQPLGVLGLKGSYHGDTIGAMDC SEPGVFNEKVEWYEGKGFWFDYPVVEFRRGRWNVELTNEMRDGISASTSASTTAAGDG GSGGGIDVRAGYSYQSLSDVFDLESRKARGEARIYEQVITATLEKLTKQGRRFGALII EPVVLGAGGMLMVDPLFQKTLVEVVRRSAHLLGHSAGLTDLADDGQSWTGLPVIFDEV FTGMYRLGRFSAASFLGVDADISVHAKLLTGGLVPLSVTLASESIFRAFESDDKSDAL LHGHSYTAHAVGCQVALESIREMQGMEARGDWDWAKGVPRNPASLQGRGPASAGWAGS VTPADATRTWSVWSTELLRWIDQLPPSFVGGMWALGTVLAIRLTSADGTQGYKSNAAK GVQAALLQGSPGEGQGSERANAPQWNVHTRVLGNTLYVMASLKSTEESIRGVESRLRE ALLAAEKESHV MYCTH_2299189 MNKLSSMRHWPERMSPANFSMSRSNVGMQAVLNTRRDLATQGPP PIAAPPVPDGPIHYAFNVPFASDLAGPNTEDILHATTDAVLRWTHPEEAPDDVPVYEL PVHAMNLAQLRQLCHEITANALPIEAHVISTTPKNLNRQVTTVCLSGAPDLVQQTRET ILNTTPLSLRCTTVVVDGNLVANLSQGVLKKSVTDFLDETSAFCGVDIFLLGPKLSPL TGGLNGDVDLPRDQRWRVAIYGDYLSSEHAKTRVLIHIDQLLGRIVDATVFEPSMHQL LCGRNRKNIKLIESVTNTAIYFPPPFSACYRYCPPNAQRRDPSKVLITGENPQDIEQA KFRIHELLTRTRLFVKDVTISPPKIDSILLSRMDKVRKITEANGAFVMFPSLGSRKGL VRVQAVENLHVERSLREIMSLAGQFYTASWIFLQPDPRQPSNQDIHAMLGDICANSDA EVSFDRQSFSITGSDESVKAALMVISKIKFATHTPYQIRVRIELANEHKEFVSGKKNG KINKIMGNSNVQIMFDNFGEYNFNIEVIAQAYDSLKQGLSLVEQEMPASISFHVPDQY HKRIIGIGGQHIQRIMKKHSVFVKFSNAMDRGGMGREDDDSRVDNVICRTPARNAQNL ELVKSEILEMVDRADSEFTSQVVSVDRLYHRQLLTRLPEIEELERTWNCKIVFPSTEQ ASDEITVTGPQWLVPKCIDEFLGMVPDKHEVVLERSAPLIKYLESPDFVQNILPKLKT QYEVELTVHDKSEERTEDGSPTVTLLWTFTRNNAGGLRDAMDFLQTQFTTAGVEPVII RGAIPRPKSDTFEESLPYFNSKLLQHAPAPVATDSPTRPSFGEEVARERSILACLRKP GSMSSISSFLDRRKNSSQSATNFFKGSSNVSKSSLISIESTRSFNADRNPWNDSGVNL PDEESNPWAPAPTYVNGVDKLTVPQPGDITPRHHPRQSADSGRPSTSHSTNSGYPGPL AGPFR MYCTH_2299193 MSGPRPSTYAEKRSSGAAGLGDRGSGGSASRPRISESTSTSRVS DQKTSSRYADSSHRRSASGQPNHPNPRSTNSSFEERRTEKIQVTTRETLTTRTRSPPR RSATSDKSRAADGIRQRAPDSRREMRQETPVPEKPPAAPWEPEATLLPHTTAPLACRI SIPPPASAAPQSLQPRPLHELSLEAQEAVIVEDLLFVFMGFEGQYIRFAKGYNPFEER DRLSGPQWRILPGLDPSLQDLTQSMLRMATHYAALRAFVDVQSRDEFGLVNHALCASI RKLLQEYLVLIAQLETQFLSDDSFTLHVLNVHVLPTSHTMAQLYALAHELLKRNALLD DETDDETDSNDDYDHILEQLREGGELVPGNMTGKKICKGGVVLGIITQRLESMSGDPA ARALLTSLLRDASRPYMQMLNEWLHHGAINDPHSEFLIKEQRSIRRERLEEDYTDEYW DRRYTIRDHDVPPQLEGVKHKVLLAGKYLNVVRECGGVDVSTRVKDVPTSFDDSRFLD NVNQAYAHANESLMRLLLTAHGLPARLRSLKHYFFLDPSDYFSYFLELGASELRKPVK SVNTAKLQSLLDLVLRQPGSIVSLDPFKEDVKVEMNEITLTKSLQRVVNITGIEQGET LQPLTSNQPPPEGDKNANGFTSLQLDYAVPFPVSLVISRKTIWRYQALFRYLLSLRHL ESQLSTTWQTHTRAFVWSYKSSARSLEIWKRRVWTLRARMLVFVQQLLYFCTAEVIEP NWQSLMARLRGNDGGGGGSSDGTSSGSATGVTRTVDELMQDHVDFLDTCLKECMLTNS KLLRIHSKLMQTCTVFATYTNWLSRELEKADPDLSGTTKPPNMTDDQWRYFQSVRSSQ KTHHSQTQTHQTQSHNQQQQDPNSSALHPADTSTAAAAGAGGGGGGGDARIAELFDVM RKWETNFSRHLQILLDALNHYAATETVVLLSLCARLSTANQGTEYAGMKGEEEGGGVV MYCTH_2299195 MFMARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATSE GVILGVEKRVTSPLLETSSVEKIVEIDRHIGCAMSGLQADARSMVEHARVECQSHAFN YNEPLRVESCTQAICDLALRFGESADGEESVMSRPFGVALLIAGYDEDGPSLYHAEPS GTFYRYDAKAIGSGSEGAQAELQNEYHKSLTIADAETLVLKTLKQVMEEKLDAKNVQL ASVTKERGFRIYTDEEMAAVVERLPAN MYCTH_73708 MDPVKSSTSNPGSPPLESKHPPSSTSPSKEDQSSQPPKKATARK RTKTGCLTCRRRRIKCDEGKPTCNNCIKSKRNCEGYSQRLTFKEPLGSFPSGHLYSHP VYHPQAQDALFGAQKPAAQIKASSSRAPLAIITPKPPPADFSSGARSLQFGQEGGYGP PSTAGGSAFNNHAAQLPTPPVLGPDSGLVAEHLSPSTQRGSLSLHGGSSFGAQATVMQ GFGIHPTMAADAPPVFASHHAPVSGPGQPLVTPQSGGGTIDRPLGGERDYWQSDDEAS MADSGDEDAHPDLHLPHLESNDLGIQVARRLEPHRDLYGVRIRSFGGMAEENILDTYT PSSASSPLNDSQTATVFWYFVNVTGQSMSLYERHPFDPTPMFHGHPVPKQRQHIWTYT FPIMAFNHPALMQAMLALGSLQMAKLQDSPPTAAMKHYHLSLRRIAKNYQSPQKRTQP ATLAATLLLGFYEVWNSDHDKWCKHLWGARAVLRDIPLRRQTCDVLAYKRRRWQQLIR GHHVDPGAVDTELIFQLSGQMVSYDNTAQGHVIDDSTGPTRITERDVEKYEQMRDLYW WYCKMDVYQSFLGGTRPLMEYKEWTQCVPRGSFGRMDSISGTFDYLILLLGRLADFAS RDLARKRRARKAQGPGPGPGPGPGLSPGSSASGTGGGASPPFAQGPPGAGRGNSPPSF PGLMPTSGRVTVPRGFTPPPEFSPGSEPAEGMDLDASTAKAMREWEEIRQAFEVFRSR LGPDFEPMGPDFAPPEMTPFGPALVYRTYGIAGVWMNYYMGLIVLHRAHPSMPPVAVA AAGMAAQRTGRWANEIARICAGVHEDTTHLAAISTLFQDLAQRHWTIRRLRDIARLTG WQSARQIADGCETGWNRAAEMGRGPPYHSPPELGPLFPDSVWNRPRRIDRRIQELGAG ENRLVLARSEQAHYALGLLSVERDLYTLDIGDDAEGEEEAER MYCTH_2299199 MFPVKGLSISAEKLKVETEGPAAAAASAPKPARKRKRPSHSENV AAENLADLWEKVIEHKKPNAPEDKAQQNKDNKRQKTVHETSTTAQSGTKEQQGPNDRS EKKQKKKKNKKEKGGSLGAASETAEPSKEDENEWDGVDDEEEHEATQSLKGDADKTKK NKKKKKEKQKGGVDNKEEAKPVDLKSKPEAAAPPPAPPASSTPKLTPLQASMREKLIS ARFRHLNETLYTRPSTEAFRLFEESPEMFTEYHEGFRRQVEVWPENPVDGYIADIKAR AKVRFPPRDRSAPVTASQLPLPKPPGSKTCTIADLGCGDAKLATTLRPLAKKLHLEIR SFDLQTGGSPLVTRADIANLPLPDGSVDVAIFCLALMGTNWLAFIEEAYRILRWRGEL WVAEIKSRFANPSAAAKHRVVAHSVGNRKKKGSAASGKQAKAAAAAEEEEEASDLVDL AVHVDGDETKKGQNETDISAFVEALRKRGFLLNRDLGEGGSGAVDMSNRMFVRMHFVK AAPALRGKCAAPGTRERKWTDGKGRVVQKKKFIEDDDMNGEGGEENEAAILKPCVYKV R MYCTH_89563 MTIETLRSSIQAETTHHPSAQHLYHNGQLVSDNSKTLAELGVTD GDMLALHVRDMRGSTTVPAGGGRSGRPAARQHQPVQDPEVIRLQILGDPNLRGELARS RPDLVAALEDPQRFARLFADSLDRERREREERQRQIQLLNSDPFDVEAQAKIEEIIRQ ERVMENLQNAMEHNPEAEVPFLGPADIPTETEEAYQQEPTVPGPAGTTIGQRSGAVHA PSAAAHAAQSSGGGPSGPQSAARPSFPREHIDQLMALGASEQRAIQALEATGGNVEYA ASLIFQD MYCTH_2299204 MAGAEKGLSEEQSPCPIQEADQAVDESSDVDGDFASLQEMLCQK RRAAKDSPESRLQKALPFISTFSPNIRPLTINDLPSCIELENASFPKPEHRASPEKIA YRLTVCPELSLGVFLTVVPDRAANLGLETLPTSKPVETGRADGAVSVLLAHVISTRCR GDVVTDADMAYPKEWRNPAARTVDKSVGHQEAGRTVGLHSLAVLPRLQRCGIGQMIIK AFLDQMKNCGLVDRVALICQDHLVSYYERLGFTHLGPSKAQFGGGGWHDMVYDLPRQT KTPLS MYCTH_2299207 MAPLASYKADFLRAAIAGNILKFGSFELKSKRISPYFFNAGDFY RADLLEALATAYAHAIIEAHRSGAIQFDIVFGPAYKGIPLATAATIRLGQLDPATYGH TTCYSFDRKEAKDHGEGGNIVGAPLKGKRVLIVDDVITAGTAKREAIAKIEKEGGIVA GIVVALDRMEKLPSPDGDDSKPMPSAIGELRKEYGLPIFAILTLDDIIEGIKGLASEE DIRRTEEYRAKYKATD MYCTH_2132763 MAWKDIAPVPTAQEFIDIILSRTQRRLPTQIRPGFKISRIRAFY TRKVKFTQETCSEKFGAIISSFPVLTDQHPFHRDLMNILYDADHFKVALGQISTAKHL IETISRDYVRLLKYSQSLYQCKQLKRAALGRMATLIKRLKDPLLYLDQVRQHLARLPD INPTTRTLLVAGFPNVGKSSFVRSVTRADTPVEPYAFTTKSLFVGHLDYKYLRYQVID TPGILDHPLEEMNTIEMQSVTALAHLRAAVLFFIDISEQCGYSLKAQCNLFKSIKPLF ANKMVFIVLNKMDIKTFEELEPEMQSELQDLTKSGDVELLRASCATQDGVQDVKNHVC ERLLAERVSQKLKAGTASNGALGSRLTEVMARIHVAQPMDGVTRETFIPEAVKTLKKY DKNDPERRVLARDIEEANGGAGVYNVDLRKDYILADPSWKYDKIPEIYDGKNVYDYVD PDIESKLAALEEEEERLEKEGFYESDEDIGDESEEEILQKAEYIREKHKLIRNEAKMR KSLKNRALIPRSKQKKPFSELEDHLDQLGVDTEAIGLRARAEVQPTRGRSLARSRGTT ADPDAMDVDSAPSAKERLRSLSRVRDRSVMATNRRDDGIKDETVRTKAERQAKLSQRK MNRMARQGEADRHIAASMPKHLYSGKRTIGKTSRR MYCTH_2299213 MAEEIAPEYDVIVLGTGLTECILSGVLSVKGKKVLHIDRNDHYG GESASLNIEALFKKYGNYAPGTEPWKQYGRLNDWNIDLVPKFLMSSGELTNILVSTDV TRYLEFKLVAGSYVQQGSGSKATVAKVPSDAAEALRSPLMGLFEKRRMKSFIEWIGQF DPKDPATHKGLDMSSCTMKDVYDKFGLEPPTKDFIGHAMALYQTDAYLDVKGGAPEAI ERLRLYGNSMARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTSVDEIVYEGEK AVGIKATMRGIEPEMKFETKAKAIIGDPSYFPGKVRVVGHVLRAICILKHPIASTNDS DSCQIIIPQSQVGRKNDIYIACVSSAHNVCPKGYWIAIVSTIAETSANHHLELAPGIE RLGRIEEQFMGPPIPLYEPIEDGTKDHIYISKSYDASSHFETATDDVRDIYRRLAGEE LVVQGLREGIQVAAE MYCTH_2299217 MASAAMRPSMGALGRISSAPSPLRPLTQTASLSTTAALLKRHKY RGARDNKDHSKHRGESAVRRTGTRWRLSMSDEPLPQPVPRSELPPIETDPDHGLWEFF ADRKTVARPPAEEAKHGRAWTAEELRHKSWDDLHRLWWVCVKERNRIATSMWERNKGR LGFGEKESRNRDFEVRRTMRAIKHVLTERFYAWEDAVKLAEKDPEVNLTGNGPAFTPS SYLEQSDTAAQGEQAAAAATAEQTAEKAEPAATATPDPATIPSSKLQAETTRL MYCTH_2299218 MSLLKAYTNLPPKTKLTVGVGLVAWGLLGLTFGDKIESKLGFTP TEADKAELEKLAPKIHVVERKS MYCTH_2299220 MEGLPNGSANAINTTVASYPSSSAASPHLPPQQQQPHPAHQLHH HQAQSSAAPPHTLPPLQPNNPVMQQGPYGSYPHTPRTPATPNTPSSTSTMASYPPPPQ QNAGRGSSYPVMGNATYPQQPYPGATSSMMPQTTTAASHPQPIAPAPPTAGGRAPPVL RPMPAGGVMSQPGMHSPYGQSPLMPQLPDAGEPPTHVVGSQGRRGILPSAPGRPAPPA AGSAQAKNQILQKDADGKFPCPHCTKTYLHAKHLKRHLLRHTGDRPYMCVLCHDTFSR SDILKRHFIKCSVRRGNPTGASHLSHPQAHVKKNAAAQQKAMGTEGDVNHMNGMGNMP ADGMVHPFGIIPASDGMSNVANDQSQLSRSSSMNRVADDANRDRRNMTASVMGASTRP GSFEQTYNGGEVANNMTANINPQLANYSMPQNQSGMPMFGGSGSTDWSQMFQAGAHSP YVNTIPPNTGQGQMQTATKPEPNLGSARVAGIPGDHPVDSSLFPSWGVPSSYPNSYHQ LSSKILNFLQSSPAGASTATSSFLDFYFHADNVRNFLENYTHFHAHVSILHVPTFRAM ETYVGLVAAMCCVGACYSDRIPAANIREIMDLLKAALEGSSRMFASLLQDDGSGTGYE WASFGSNKTDLEELQAIMLTQNLFTWHGTPEQREKARKTFPLIASSARKAGLLRLTTD GSLYSMVHQPDFNPTNFPISQFSWYSWVEQEKRIRTMYMIFLYDVALGLYFNTGPEFD PFEIRLPLPADDAAWDANDINECAEALGLRGPDAAKARNPDGTRRSSQPEFHQVLKAL LDSSYRIQPGSTNLFGKFILIHALLSIMRRAQLGGGSAIMNRSATPIPAHAWFVGTQG SPNNSGRATPVDLGANLLDVQTVKTLMTALDKFKSNWDHDMANQFPPSLAVNPRRYGF TRDGIHFYWLATYLLKSTRAADLQMAPDQRLAQVIHLLKSVKQWVLTDGAARGEELGS VGDIDASYGVKDVTLDMTQLFRPLPMEKSPGFAAAQSGNMQNQQ MYCTH_2299223 MADEEDPADLFAVPDLWRSSTWLNFSVDGSNTINPLFSLQVSSA AGPGASENLIETGTLCSGNEAVEQNDYVFFKLPSLLKELAGQEEVQLQTKTCEPGMTS IEDPELESEPSTSQNGNEQEEDFWLSHDNDSAKPVVLRTWESFEQPEQEGSPPLFISE AGPAAFDALLASSQGASDVPDILDAGSYCACLLNLALGRSSVLFSWDSGKNSFVKTVP FLRISGLSLDSVKAVDSLCLECGNSARHLQSFSEETYSAASTPTRIALAGVVGRLVAV VRSELRNNSDAARSVLQLQSIVRPAQSVLSYFRGLVKKLAHQESDEGLLSCLFQEVQA SEYRDVLLKEATREVLRIVSKPWTDLVEEWIGLRPEEGGSISKMSSGKGFIRVADKMW IDDQGFELEEADYFFDQDRMPTFIPGDMAQSIFEAGRNLRFLREHHPEHPLSRPDMVS LASPPPLEWEFDWEAVSMLEAKVKQYRNAVLGLTRGGPSDTHGTAASSRGNKRKYEVA RLEYFGRDEAEVEANLLASVRQLDQPPPNPEPRDELTRLFRDRLYRAPDGQFHSNSLS PHGALVPLLSFGPVIEAQTTLINHECMKLLFSSHHLRLHIDLLKQYFLLGNGLLVSRL THALFDPDLSTAERRSGVALGGGTMGLRLGGRKTWPPASSELRLALMGVLSECYEPTT TTTTFSSSSITASTAASGRSSSPKLPGDLSFAVRDLSPQEIDRCMDPHSLEALDFLRL SYKPPRALGPVITPTILSKYDRIFKLLLRVLRMLYVANYNLSYHRHRHRHRRRSQSQS RSRSESRSRTRRDHARVGDEDGEEEEESKAARRFRIEARHFIHQVAAYFFDVGIGEPW ARFGRWLDAVQAHVQAEPGAAAGQGPSVVVAPGAGKTGAERQRPPRSSFSPSSSSSSS CAAAAATSPDVLRERQERVLDEIMGALFLRKRQAPVMGLLEEAFGVVLRFACATGDVR GAGREEEETARELYRLFRKKVEVFITVCKGLGEKMAVSNAAAAVAAAAAPANGSFERG LGPGTGTGRGDGARVEQLLLRLDMAGFYGRDIGRR MYCTH_73682 MPPKKKGGRVNTQGATPSKDDDAMDVDTPAQTPTAPTAPAAPPP PPKPVTDPWTDDQVASLFKGVIRWKPAGMHKHFRMLAISEHLRNHGFDPETCPHTRIP GIWAKLEEFYNLEAIDERENNMDPPEEEGQPRRYHDFRLPWDEYGELMMERARVDPSE APTSPAQWDPNAPAGESKKRKRGAAESTTRARSSTVEDTENETPAASPTRKPGKGARN AKRTSSRARKVKEESPSEEGSAGEDQSGEEDEVEEEEGRGTPVSTKGGRGGVRGRGSV KGGGRSGTTRYRVLVGGSRQRYPTKLQIDHNFSKAHRIVTTSILPLVEQYGEHSRAVW EATKFWKQFFEASANVSLSGYEELAGNEDNTEVTADESTVHNETADYTPRPRSAGDQD VTVTADQSSAMYHGDDTHQDGSALGYDDDDDLTGSTPRPPATRTVPIRPQFANLSSPY EALKREYDSKRGGPGSSSSSSKTPRTATLGGQQGVGEEEGEDDTELLFQQHTARLPDM SMAPRGSLDAGAVSHAGKGDDDDDDDDLDFGGSRTGKQNKDPLLHRVADKNYRIAATP HKGAGISPVRWKDSPTSSPEMAVPQLRSAAFMSPVRAAHKGKASAAAAAAAAASKAPR TPGVSVQTPATGRKTRDVFARKKGEAAATTATTTTAGAGVSGKYDDEITWESDSDGFG GMSPPKTIQFALPPSKLLQTPGTKRIVDNILLTAGEDLDDPSEYSPTVVKMNKDILDD TF MYCTH_2132770 MPSSSTTTTTTTSERTRASHPSNNTKSPADMSSQPSYTTTTTTT TTAAADNQARRSVDADAASVATTSSLSSRITLLKDKLHHNHHHSSTSVSSSREEQERK ESVLRNQIRMGV MYCTH_73679 MAANATSSPLASIKYGAANVAPQLEYVIDYVSKASTWSILATIL ATLVVYDQLVYIWNKGPIAGPAFKIPFIGPFLQSVNPKFEEYYAKWVSGPLSCVSVFH KFVVIASTRDMARKVFNSPAYVKPCVVDVAHKLLGADNWVFLDGKAHVEFRKGLNGLF TRRALEIYLPGQEEVYNRYFKEFVEITKQAGGKPVPFMTHFREVITAVSCRTFVGHYI SDDAVKKIADDYYLITAALELVNFPIIIPYTKTWYGKKAADMVLAEFARCAAKSKARM AAGGEPNCIMDAWVLQMIQSKRWREAEEKGSTEGLEKPAHLLRDFTDYEISQTVFTFL FASQDATSSAATWLFQTMAQRPDVLDRVREENLKVRNGDIHAPVNMDQLESMAYTRAV VRELLRYRPPVLMVPYVVKKPFPITDTYTAPKGSMVVPTTYMALRDPEVYDRPDEFDP ERYYTGDAEVKGAKNYLVFGTGPHYCLGQHYAQLNLALMIGKASLLLDWKHHPTPKSE EIKVFATIFPMDDCPLTFEERKW MYCTH_2314244 MASRRAAVRLGKASVPSARPATPARIRAAAQFASRQFHHHQHRR TQRLPIELVRQPSPVLSRASASLVAFARPYSTDSEAEAKPPSKIWDFEAVSKLTSSPD PSVTIIDVREPHELKESGRIPGAINIPVTSAPDSFFISEEEFEDRYGYPRPARDAEVV FYCRAGVRSRAAAGLAREAGWTNVGEYPGSWLDWEAKGGKIERQ MYCTH_2299238 MSRSGYDAVVDVDDEGDLGHTDLQEDLEFHNSNFSESTPALGTG AGRSKSSASPSLPLPATATTSQHGGGKRFLWTLSFYAQFFDVDTTSVLHRCGAALFPR ANFLDVLEGNPDLYGPFWIATTVVLILFLGGTISAYLASAGKGSFAYDFGLLSGAAGL IYGYTFVIPVLLFLALRYFGSESANLLECWALYGYGNLIWIPVALISWSPITILNWVF VGVGFGLSVVFLLRNLYPVLSATDRQTSKVLLILVVALHFGLAVAIKVLFFAHGSPAL KDGGDKTGPEPGRLF MYCTH_2033977 VQIFVKTLTGKTITLEVEAADTIENVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLR MYCTH_2074077 MASRRHHRFPRGETCSECPARRWYSENGRRYCENGHEVEGYVQF DVDEDDNYGKTGSVARKKKEARHADRKHLTGNAARELYLECLQLLLRKQVLWLVRKKG FHPELEAVVRDLWLLRIRTFPGLEGDRQSGKDGDRKGDGGLVMFSSQTRGQSDEEEGE EGGGDGEGFGWKRKRNSWAGDVWALPGALDTLVLVYLGCVLRQEPVRLGDVFRWARNG QMPFLGALNHVPKDWRDRLPGWAHHSLLTRYARFHGGELHRAVMDMMLGYKENHGLEF PAVPAPPLLFLYIRDLALPPEVYPFAQKICHLLKLRFSFPARDPAPKRYRLLDLPEVL LVASLVVATKHQYPLDETVRLPRHANDPLCLQMDWKVWESEFRKRPEEKPGILRYEHT DPQEIWSMGKEDINELLNWFQETQLDKNLKDETEADRLFPLQAIPVLPAIPEVPQEEI EARAKRVLSAMKRVNPQPDSEERAEEIKRLGSDYRCYKNVDELDGIVKRFYDVAAETA GLSVRGLVRAVYSLEQMLWTWQQKEKRRLRGEE MYCTH_59591 MSDEHEFNIEGAGDAGASDTFPMQCSALRKNGHVVIKGRPCKIV DMSTSKTGKHGHAKVHIVALDIFTGKKLEDLSPSTHNMDVPVVKRSEWQLIDISDDGF LTLMDKDNNQKEDVKLPEGDLGARIKENWDAQKDLLVTLLAAMGEEAVVDFKEDNK MYCTH_2299252 MWLDRFAGPHPGSTPSAPNNQSRTHSPLPRRTSSARGPYLTSQR PGLSPRGSSLSLASSDSSSSLLGASKRTNGSALRQSTTADTGPDPEAILARILGPLPD HTTPEEDATRRITEEDLEFDFDFGGLSLRELAADGAGRSAADTYKPQTVEDCRLSPAC VACPLCTHSLDIVERDKAKFEDLHRSIRACDDILSSVESNLTSFRDDLAAVSADIESL QARSTALNVKLENRRAVEKALGPIVEELSVSPVVVSKISEGHIDEAWVKILAELDRRA TAHKKNSEQQAAPPKASADVGPLLEKLVLKAVERIRDFLVAQIKALRSPNINAQIIQQ QNFLKFKDLYSFLHRHQPVLAGEICQAYLNTMRWYYLNQFTRYQKALDKLKLHAIDKN DLLGHEDTSRRTTVLSGSKVAAAPHDAFNLGRRIDVLKTTNQLAISSYLAEEDQSTHY LEVPFRHFNLALIDNATAEYSFLAAFFSPALSFATISRHFNYIFDPVFTLGQSLTKHL VAETYDGLGVLLCVRLNQHLAFELQRRRVPAADGYINSTAMVLWPRLQSIMDAHCESV RTLTAALPARAPSAATAKAASAAPHVLTQRFGQLLHGVLALSADVGGDDEPVVASLRR LRNEVETFLTKASQASFGTDKRKRDRFLYNNYSLILTIISDTSGKMAGEEQEHFEALK TSYQEAG MYCTH_2299254 MEEPLETSHDEKSTSRDNSAHDTDRKKEAILKACSSRDLDALRA LAESPGGFLTDSIRQQAWPILLGLPPNQDKEESHPDGTASASWESLPRHKDEDQVQLD VNRAFIYYPEHQTEAQLTHHKNLLSTLIVSLLRTHPYLCYFQGYHDIAQVLLLTLPAH LHLPSLTRLSLFRIRDFMLPSLGPAVAQLRLIPDILRHADPPLWRHLSATEPFFALSG TLTMYAHDITTQGEIARLFDVLLARDPAFTVYLFAALVRSRRDELFDTPPDEPEMLHS ILSKLPEPLDLDRLIAQAVALERDVPPERLPSWRRGISRWSVLKTGRLARGRGKGGPE EIPGVEQGRVWFEKQVRELRWQEKKKAAGKWVWRNRRPAGMLGLAILVGVLAVLLRRE SGPVAAGLQLVSRLLARWR MYCTH_59585 MPVEHQMPLLLIPLTTQSAFLTISADHIALCTECLHGPPIFDTI EMAAHPPTVNHRGRQRPLWTAWARPFRLRSYSKNRDCIYLAREDGVVLFMEADQDNAL TSHFLDPFPCNISGAFACLFDRSTDVLVLGSDSGPGGYWKIPPREPAELLGTLPNWSP VVDVTTTDELREWHQRDQRANKQNVTTPWQQIKHRKPDRIFATCESGSKGSITEYRYG LRANIGLELEYGPGTKEAWLLRFCDPSLLDGYLLLLSMPDSTTALLLSRDFSSATAPG PGTIPYDLSSATLALVVSGYYTIQITRQNIVLVNQHRSASLPIKSLPGLSTGTVSDGH AFETCVAISTHTDAQFQIHVFSVDWDSLTLTHVQTIDVEGEVTCLSLGLDYTVLAGIR QGGQTLLAWSSLKRPFDGLHMLSLTEYMSNKEDESLDFDVPSFVEGIESIISVKGTVL LGTRSGEVIMVKDVAGSVSVECERFGTTAATLSCSYRAGATEPTILACCDNSLVSIRL KQRYNHCGGTTELKTTKLRVWPVDASKLEDIPPPVHYATAVDMASDDGLTPILLISGS RLLLAEMHEEPGPVQRSIPTEGTPNRVLYCQFTQCLVAAVNKPSGPTLTFINPDTGDD IGIPTDKNKAPQTCIAGLGKEGDRIMSLGEWNYKRDGNVWNFILVTTRAGRLIVVTTE KVVSQDGSRTTFRYWTRFRKELSEPIYSVLGFDEGLIYCAGQTIYWEVLDPKEKRLKP LKSFRLGSPATSLRISNGKLVALTSRESLVVLDNLDTDEEGIRLCHVDPWRRNGVDSM EVAGPQLDQATGGIHLVADRECGVAGLWVPWQTPERECEVVLEAELPSSVRKFCRGRT RPVWEQRLRKPRYGRLPATVDDAEILGVSLDGTMRQFTLLSVEAWRLLRFIQNLAPDT ADVCPLGRRKSSKGLGPGLGPEPKLAYGLEMHVDGDILRRCLEKRALEWLVAKEAHVA RLIELLRELDGGQHTQGLTAEGDHAGYFQLAYDILEYYLSPAL MYCTH_2299259 MATAAASRPSSLTRPFFLSQVDEEKALAEAAERARLQAEAALRE AEEKARIERERAEAEAAAKAAAAAEDSVEEDWEAAAESEKEDVKDSWDADTEDEDEAE KKTEKKAEEKADKKAESKVETKAEKKVEQKAEANGKAQTLPSRPKAKKEESESESDED SDDEKTTQARAAEAQRKKEAAERREKAHQAALAARSKDNLRSPICVILGHVDTGKTKL LDKIRQTNVQEGEAGGITQQIGATYFPVEAIKQKTAVVNRDGKFEFKVPGLLVIDTPG HESFSNLRSRGSSLCNIAILVVDIMHGLEPQTIESLRLLRERKTPFIVALNKIDRLYG WKKIENNGFQESLALQNKAVQNEFRNRLEQTKLQFAEQGFNSELYYENKSMSRYVSLV PTSAHTGEGIPDMLKLIVQLTQERMVSSLMYLSEVQATVLEVKAIEGFGMTIDVILSN GILREGDRIVLCGTEGVIKTNIRALLTPAPLRELRLKSQYVHNKEVKAALGVKISAPG LEGAIAGSRLLVVGPDDDESDLEDEVESDLASLFSRVEKTGRGVSVQASTLGSLEALL DFLKDCKIPVANVGIGPVFKRDVMQCGIMLEKAPDFAVMLCFDVKIDKEAQAYADEQG IKIFTADIIYHLFDSFTKHIKEQNEKKKEESKMLAVFPCELKPVAVFNKTNPIVVGVD VVEGSLRLNTPIAVVKPNPTTGQKEIIPLGRVTSIEREHKQISICKKGQPAVAIKIET GGHQPAYGRQLEDKDTLYSQISRPSIDTLKQFYRDEVTKEEWELIIRLKPVFDIV MYCTH_2299262 MSVVGVDFGSLNTVIAVARNRGVDVITNEVSNRATPSLVGFGPK CRYLGEAAKTQEISNLKNTVGCLKRLVGRSLNDPDIQIEQKYISAPLVDINGQVGAEV TYLGKKEQFTATQLVAMFLTKIKQTTAAEVKLPVSDLVMSVPAWFTDAQRRALIDAAE IAGLKLLRLINDTTAAALGYGITKLDLPGPDEKPRRVAFVDVGYSDYSCSIVEFKKGE LAVKGHAYDRHLGGRDFDRAIVEHLQKEFLGKYKIDIYSNPKALSRVYAAAEKLKKVL SANQQAPMNIESLMNDIDVRAMITRQEFEAMVEPLLNRIDTVLEQALAETKLSKEDID IIEVVGGGSRVPAIKERIQNFFGKNLSFTLNQDEAIARGCAFSCAILSPIFRVRDFAV QDIINYPIEFAWEKDADIPDEDTSLVVFNKGNVMPSTKILTFYRKQPFDLEARYTNPE SLPGKVPPFIGRFSVKGVKAGEGPEDFMICKLKARVNIHGVLNVESGYYVEDQEVEEE IKEEASEKKDGDVGAGASEDTIQRDSKRRKTETPPSGGSEAATTDAEEPRAELTTESS QKAMDTDNKEEKPKTRKVKKQVRKGELPIVSATQSLEPSAKHAATEKEAAMAMEDKLV ADTEEKKNELETYIYDLRNKLDDQYAEFASEEEKEKIREKLTATEDWLYDEGDDTTKA VYIAKMDEIRALAGPVVQRHFEKVEAERQALQAKLEAERAKKAAEEEAARKAAEAEKA EQEAANGGGAANGGDAANGDGATKDEEMTDADAPPKAEVDEAGGAQQ MYCTH_2299267 MAADGVEETWPIKDIVYTSIVGIIMLAAFLEWVLWIAAFLYCLW KVFVKAEHWTVRVLAVLVGVAFTLLRFIFLPIMVVTLPLPSVIARFWPPAMVTFLQWF AFWSFAGLLTIPWLFCVYQIVTHQLGRTKRIKQVLDEVSAPKVVIVMPCYKEEPDVLI TAINSVVDCDYPPSCIHVFLSFDGDQVDELYLNTIEKLGVPLTLESYPKSIDVTYKAA RITVSRFPHGGKRHCQKMTFKLIDKVYEKYLKRNDNLFILFIDSDCILDRVCLQNFVY DMELSPGNRRDMLAMTGVITSTTRKHSLITLLQDMEYVHGQLFERTVESGCGAVTCLP GALTMLRFSAFRRMAKYYFADKTEQCEDLFDFAKNHLGEDRWLTHLFMIGAKKRYQIQ MCTSAFCKTEAVQTVRSLIKQRRRWFLGFITNEVCMLTDWRLWRRYPILVLVRFMQNT IRTTALLFFIMVLALLTTSKKVNELPVGFIAISLGLNWLLMIYFGAKLRRFKIWLYPM MFVLNPFFNWYYMVYGIFTAGQRTWGGPRADAAAADANTTAQQAIEKAEQTGDDLNIV PESFIPAAQKRAEAELAAQGAQGAHTDGDLDEDEDKQDTGRNKRSRSTRRSGTITGID TGASTGGALLLPPDQVDGRFAAPERTASGWYHHPDDSVFTLATPSFFESSASPITDTN TNYNRFISLNEAETGRNYFSSSSNYRNIHNNEDSRYSFDSGLSGPHGDDGNGNGNGNG NGNGNGSGKDVAVYMPQTVESIMGEEDRRKYELAQASQVRQLLAMRESRVWRRLSQRQ RRRRQQERGYVVEVGDDDGGNRQRPQQQQQQQQQQQQQPRGEVSLLDGSVAAEGGRRP LVPHPPSPHSPPQPPQTAGARSGRSPLGRASWTRPTTADDLEAAMGTSPTREPGPNGG ASSSRDRSGKTAR MYCTH_2299268 MNRARRHELRVLNTRAWNGEKDIFPVASSLDSTMKKNTAFIKRL RTAISPATLNTFLQEIKTVSLSKYLSEIVSACHEGLCRLKSPGEIEAGVEIVSALHQR FGPAEFTSYLGWFLGRSMATPDKSVLKTLSSEAREKEEKDRLTRQRVLLRVVTELWLV DVLRTLDDIKRPDDATNGSSGKQAEVKARSSAKGGAAEPFPLEVLKDLLNHDREHANL PLLVVFVKVFSWDILGVKAAGSEGRKTVEEDGATKTGEANEDHEGEPEATLQDPPFAP PELQERFKNVLKHYFEDVKGHLVRDQKAIYNQSRKNAEAYVKSGEVFEDRQASFEKQV KAQERLVANAQVIADVIGAEMPDLKDSDDASGGANGSIGIVKAGEYLRGQGDGAGIWE DEEERRFYENLVDLRGKVPNILLEEVKKKKADADEQVGKKIDPSEQAEAPKPADTAAD DQSVAIANKTIGAQVDALLSRLPDLTTKEAADQTAIDFCYLNSKASRNRLIKALTDVP KGRGDLLPCWARLVATLGQYMSDIPKGLVEYLDSEFRSLQRRKEKEFLGQVRLSNIRY LAELTKFGIVPEHVVFHCLKVSLDDFSRMNIEIICHLLENCGRYLLRNPETSPRMSTF LETLQRKKSVQHIGPAERMLIENAVYYVDPPQRPAIQQKERTPMELFIRKLAYSDLTK RNYSKVLRQIRRLHWEEKEVVAVLHKVFSRPDKVKFGNIHLLAILLSALNRYHPEFVI GVIDSVIESIAFGLEQNDFKFNQRRIAEVKYLGELYNYRMLEHPVIFDVMYKIMTFGH GGPPIPGRINPFDQPDDYFRIRLISTILETCGMFFNKGAAGKKLDYFLSFFQYYIYTK NPLPMDIEFLVQDIFSLTRPQWKLASNLEEATKVFQLAMAQDQKSSGLDKAVEQDDET SGISSDDEPVGDQDDDDESGSEDGEVEAEDAEPDQADNGNESGGEEEEEEAIVVTREE EQIDPEFEAEFDREYAKIMAESFETRKFQPKQPFDLPLPVRPKNRDAPSGEATESSAG TPGGKMAFSLLTKKGNRQQTRTVELPSDSNFAVAMINQRQAAKEEQQRIKNLVLNYDL RESEEPDGHDRGLTHHHLNRLDPRSNKERGQRVRKLQLSDVDWT MYCTH_2299273 MEMAPSTTSSSSSLEGSPMTFPSHLPPTPPLQDFDEYQKQGLSL PTDGLGRSVPPYPLPPPSRQNKVLPEDLKTPDDHVERDPRLIRLTGVHPFNVEPPLTD LFDEGFLTTKDLHYVRNHGAVPRVEDSSVLDWDFTVEGMVAHPITLTLRDLIRTYDQV TYPVTLVCAGNRRKEQNVVRKTKGFSWGAAGLSTALWTGVALPDLLARAAPLRGARYV CFEGADRLPNGCYGTSVRLGWATDPARGIMLAHRMNGEPLPPDHGKPLRVIIPGQIGG RSVKWLKRIIVTAKPSDSWYHIYDNRVLPTVISPEESADRPDVWKDERYAIYDLNTNS AICYPAHDERVPLGIARGDAATYKLRGYAYAGGGKRVTRLEVTLDQGRTWMLATMSYP EDLYRLAPEGETLFGGRLDVSWRETCFCWCFWSLDVPLSRLRDARDVMIRAMDESMMV QPRDMYWSVLGMMNNPWYRVVIHKESDTLRFEHPTQPALMPGGWMERVKKEGGNLTNG FWGEKVGGQDAAPADEAPAAKEISMVNEKVTRRITPEELRQHSGEDEPWFVVNGQVYD GTKFLEGHPGGAASIINAAGQDVSEEFLAIHSENAKAMMPSYHIGTLPASADLSEEPS DEAVSSSSAVFLQPKTWRRALLASKTAVSPDTKVFHFTLPSPAQAVGLPVGQHLLVRL RDPVTREAIIRAYTPLSEGGEVGTLRVLVKIYRDSPDGSRKGGRMTQALDSLPVGHPV EFKGPVGKFEYLGAGRCVVGGRERTVARFVMVCGGSGVTPIFAVLRAVARGERDETAC LVLDGNRGEGDILLREELDGLVRESRGRCRIVHALSRPRDGWKGLKGRMDRAFFEREV GAPPAERNAMVLICGPEGMEKAAREAFLGMGWDEDDLLFF MYCTH_2299276 MRATLRLFATVRPAARYLEPGTPTGLTGLYTHASPRSTLLYLYS TTLEKLKAVPEHSVYRQSVEALTKHRMGLVESVVPPGYHEWAEKAQKLLSERPDEFDV KGSDSVDGARAIKVERGGRAFVVRQIPQKEDMRYEEWDGEQDEGPELEGSRTLEERQD LRHIFERKDISDVDHVEWEPEPQLTADQIEELENKIGAGLIEEVIQVAEGELKLVDTM IEHKVWEPLEEQPAEGQWVYFERKP MYCTH_2299277 MMNKPSVAPHRKRKRTPSDPVINPLSHPPDTLRQFAIAGYPIHK PVPSKAYPGFPHRAPRPQRAHGGSGDDDDNNDNDHRNDGGDGHLDNTDADAGPASRSR SAAAASDADGEQEDDDDDDGGWRTTDGETTEAETDRSRGGATTDGGRESKRHGTGKGT GTGKGKGKGRESRGGGGEVDRLAQAYRARVGWLTAVVRRCLAEGDVATAKRAFGLLVR ARVYGRKVDLRRERYWEMGAEILMREGERTARRRELPGREEGGSDAEGGLMEEEEEEE EEEEENEEARAARFARLRAYYEYLIQQYPYSKQHPGTASSVMDFQVALFSAEMEEAHA AHRRGLERLQRGDGWDGEGDDEEVVAEEKEEEEEDMDVDEPMMDYGPARHKTGREHEG EEHLRGLSRRELRLRDKENHLRLEALRRMEDVAQRMDTVMETLPFARDHELLRLRAMV ALYIGDLCVPPPPRSTLEEEEGKKARAGQRMKAKRLLRQIKEAGGRLREDGEQLLESL VSDDEDDTPDEGQSVLPMFSSMQV MYCTH_2299279 MPSADLAEWELPRLRASFLFQDDYKYLSPNDQAASQDLAEFFDV KFYPYNRPGAPPVFAATSKKHAVICRLNQTDRDAQPCEIIQLIRDDSDDANCASCWSK DPLTNEPLLCVAGTSSNIKIYNVKQGKLAKTLVGHGGGINDIVTSPANPLIIASASDD TTIRIWSLAPAHEKQPCVCILGGESHSYDLLSVAFHDNGRYLLSTGHDQVINLWALPE FPTEHIDIPIVIQYPHFSSSEIHNNLVDCVAFHGDLILSRACHEDTIVLWQVEGFSSA DPIPGPLDAPTPTDMAKQTRSYFAPTLSQSRPAMFTRLAQFHTPDCGVQFFMRFRMFH APGKHPILAFANAKSKTFFWDFARFGSYSRYMAELREAREAGRPSVGEGGVQKPAWLM VKRGKKTATAATATGAAGDGTTSLRSFVMGGSGGAGSGAGDKESMVSASPDPESVTGL GHSRETLQAWAEMYDLSNPVGQIKAHRTVQVEGGFVGRQVGWSPEGEWCVVVGNGNRA LIYQRWAKEKGVATTIA MYCTH_2314252 MVRRQRAASRHSKEVTADCSEEQAELQPKSARKVTHNPGDAFAL CSQDKQLEGGRERC MYCTH_2086714 MDPRDQTFMTIHNLTPDANILFASDSIVDILGYQPDEVRGKSAF EYFHPDEVPFARSIHSRGVLLDKAAVLHYARILAKNGQWVSCECCFTVVHNVLVASTS IYFKGERSERRARDAPQIRRIFSSSPRDPRYHMLEHLSPKFKMPPMEREPRAALILNR FTRNLTIMYATDAVTQILGVRPDELLEKPFYECIQPNCLDEAERCLESAKANESIAYL RFWYKDPRVDSNDSTDGEDEDGDEDEPEDEADDGSSQAPSEVDVKDPSLKDCCMDLDE DNHRTRDSDGGRGVGSGTSPNAASSEQQGTPRRSPQTFELEAVVSCTSDGLVVVLRRA RPPIPDPQPPVIPAAFNYENGLFAAPWGHQPIEPYISPDLLYTFRPPLLPQYMPLREC VKAAGGPPLDQLMRSIRDVAVFAWAVAGINPTLAANYAQGLRPRMGFDGQPPADGLPA WEADSLPTTYPPPADLPADLAKEPSMAAPAFGRGGLYGPDPPPIPGYRARHASLDANM YDSSQQQHPGWPGTSSSSYSYMGSNHGYETAARGSYGHHHRRPPLRPAFSYDALYDHA RHQQQAYSPASAPDTASVRGCSSFRENVCDATAVGEAVPSSYGMVGPSSSSSGSHSSR GAGGQGDSSWAAGARGGRYFWD MYCTH_2299284 MESSILDSAPAPSRALRECLLCDLKFSSPEEKRQHAKSDWHVYK IRCRVAEPGTTIPPPDTTPKPSIISSSSRRPDRRRKSASPSSPRTDHESSEEDSESDT SSDRDIFGFIPEQCLFCNHTSNDFDENLSHMHQSHSLVVPLQSSLAVDLQTVIWFLHM LIFSYRECICCGKRRRTVEAVQQHMTSTGHCRFNVTDEMRGFYDPDSLARQTTEGRAR PDDDHALRLPSGKLLAHRSHHVEPSPRSRQRDRPPVGPSTLPPGSSQPAGPSDPADAG SQALAVTKKDRREQAVAAEFGRLRAGDRTSLVHLPPSQQRSLLLTYKKELDEAKRAER RKQRRLDNVGNKTAIHTKYYKQEVPIYQGG MYCTH_2299286 MVDANVHGTQLTAKDRSVRPTESAGDDNLLSPAVLGRDETSIVE NVSGLPGDNIPVGPVNISKSLTIRLYTSHFLSTWNARLFEAAAVYFLASIFPDNLLPI SVYALTRNAAAIALTVPVGKWIDRANRLTIVRSSIVGQRVAVAASCGLFWVMLARPLS TAALDGLFAAVVILACVEKISAGVNLVSVERDWVVVITEGNEPARRMMNARMRRIDLF CKLLGPLTIALIAAASVPAAVYSTLGTNVASVLVEYLCIETVFRRVPTLRRSARPSTP QPPEAATHQEEQSRRQSPYTAFRQRLRRMASQIPMISSLRLYFGHPAFIPSFSLSLLY LTVLSFSGQMLTYLLASNINLWQVGIIRGVSTLFELSATWIAPRLTKRIGVIRTGLWS ISWQMTWLTGGVTWLFYHYGRGYPLTDIMPAAGLAVAVAFSRIGLWGFDLSAQNIVQD EVQGDRRGIFSTIETSFQNVFDMLAWALTIIWSKPGSFQWPVLISFAAVYIAGGLYAS FLRRRRGHFLHAPSCLAPKADV MYCTH_2299288 MPSSNRRDYHNVPSVDLEDDDDDLIDPDDADINTFDDPLAQPNT TTSSSSVGRAGSSAAGSRPPLSGNIGSSASGSSSSAAAAAASSWTSRIPGEDRTAPGH STIDESVWATLRRDLLAVWHKLREVLYPRHLFFAWTGAAGGGNPLRGAYASLRSGGFA SAREELAGFAGRVLDAEALLGGHRHHHHHQAELGGPAPGQLRDWDLWGPLVFCLLLST LLCLQSRVEQREVVFSGVFAIVWVGMAVVTVQIKLLGGNISFAQSICVIGYTLFPLVI AALLSALKLHWIARIPVYLFLVAWSLAAGVSILGGSGVVKNRVGLAVYPLFIFYLGLG CLCFIS MYCTH_38365 MLTPLPVHNGDVDLTRELTPAERIIRTFCVRASGESGRSGIHPM IFLRNVFRSASWASRIVNVLWPVVPAAIAVRYAMPDNHLVIFILSYLAMVPCANLIGY AGQELARKLPHVLGVVIETMLGGVVELIIFIVLIKRPATNDVNYIQVIQAAILGSVLA TMLLCLGLCFVAAGLKRNETHFSEAVSEAGSALLWTAGFGLAIPTVFEHSLRGTIPQE ELVDKAIEISRSTAVLLLVAYVIYVFFQVRTHHGIYDAVFVADEERHARKRPSPVHHR LTFTECSLALAVAIALVTLIAIALVDQIHYLVEGRHVSDAFVGLILVPLVEKAAEHLT AVNEAYANQMNFALSHVLGATLQTALFNAPLIVIVGWALDKPMGLNFEVFDVAVLLLA IIALGNFLRDQKTNYLEGSLCVLVYIAIAVAALYYPNPHLIAAAEAAAGSPATAGEGG ASGSGGGGGHR MYCTH_89534 MGNAAAESERETEYLIPDGRPPGEKKEVLVIEFLDGELKAAGKG GGGGRVRDREDRIKMPVFGQAQMKRLIERRNAKFVQAANEFVEACLAEGLDPEMVLKD VRERYIPRMTEAAEQVQERLPSTPPPSIPRERKSIPEIVQELKDSPWYTGQIVPDGHR VFEAQEAVYGELNFLLSQDLVNALYNAKGITQFYAHQAEAINALHDGHNVVVATPTSS GKSLIYQLPVLHALERDRDTRAMYIFPTKALAQDQRRSLLEMMSFMPGLEEVLVETFD GDTPMSERNTIRDEARIIFTNPDMLHITILPNEEKWRTFLKNLKYVVVDELHYYNGLM GSHVAFVMRRLRRTCAALGNRRVKFISCSATVANPEQHFKTIFGIDDVRLIDFDGSPS GRKEFICWNTPYKDPGDPASGRGNAMLECSRLFCQLILRGVRVIAFCRVREQCEKLVG AVKQELAALGRSEVVARVMGYRGGYTAQDRRQIESEMFEGKLLGIVATTALELGVDIG TLDCVITWGFPYTIANLRQQSGRAGRRNKDSLSILVGDGCATDQYYMQNPDELFTKPH CELQVDLDNMLVKEGHVQCAAYEMPIKPAEDAVYFGSDLPRLCAERLIQDEAGFYHCH PRFRPLPSRFVSIRDTEEDHFAIVDITNNRNVVLEELEASRATFTIYDGAIFLHQGDT YLVRDFNPDKQLARVERVKVDWLTSQRDYTDVDPVETEAVKRITNSRWRAYYGTIRIK QVVFGYFKVDARRNRILDAVQVDNPPVIRHSKGMWLDVPKRALDILVARRLNVAGAIH AAQHAVMSLVPTFVISMPGDVGTECKSGLKEFAKRETQRKRPARLTFYDAKGGAGGSG INTKAFEFVDLLLRQALGRVTACACAAGCVECCASEMCREMNEVMSKAGCEVVLKALL DEEIDVDALPMGPEEGRPAGIETVVLAPAVPTRHGQGLEPDDGVDPGRKSLCAS MYCTH_2299291 MADDPHNTNDISEHTEPAAAAKEDAETTAARRELKQTTISEKRG RDAVQLSQDDKSASEDDAPRAKTRRITPPADPRPGNDDALKEQISSPKKKRARDELDE NKDAAPLGETSDKAAANTATVQDRTDRSEPEKKRPRDRQASASAVQGGKEEVEPLSAS TSPRSSMEQTEGRDSATATAKPDQPKQTSAAAFANSGFAKLAASSTSPFGSLGGSGKP SLFSSSSGSSSLGGSQPAAPSSPPKLGFGGASTSSPFAGLNGQAGGSVFKSSPFASAF GGSALSGPRLNFGKPGETLKSDKPAKPFGAPDSDAEEGSGEESNEDEDTKGDASSDDE GKEDDKDREDSKGADDKKKFRLQKVVVDDGEGSEATLFSVRAKMYVMEKGVGWKERGA GMLKVNVPKSTVELDANGAPDPSSFDASVLADEQGGGKPKHVRLIMRQDHTLRVILNT VVLPAMKFQVTNRLKASTVLFTAFEDGQARQVQMKMSEANATAFSQLVEMLKKRLADV MYCTH_2299295 MRCAPAAPPTGLLLFSLLLTGPAPTRALPQPLPEATEGAAISGL VSTTPAAQEPGVAVPGQSVLISGGGGGGGEEDLSSPRGRESDSFDLEVELELAELRAL RVRLSRLADEVDARAQRLGRVAGLEAAEAAAAAPAAAPAPALVDCDGPACVARTVLRK AGYAVSAVRLGDGDGDDDDDNNDPEPRGRPETVGPDGGGGGSGRGEGTGTEPPTLLRW PLGLLLVVIMGCVAAAGCLRNRAVTRRRRRAPPSLPFHEPEVPYQPPRRFQGSPPTWW RPQRVPERQITSADEQRPVEKGGGPWHCCLDDWEEEDDLGKEKGGDEKRRCLDEGSDR YMDEPSVTEVAEHNSGAVVEEVDYELEEEEEEELLTLGEEIALFRSALELVEGMVAAQ EERLRRV MYCTH_2299297 MASSARNARGAAAGRELVFCHACQNEWYRDPGEPLECPRCQSSF TEIVEPSNDPREDVAGPTLAEFLRGSNTYHRHPAASDSDPDEGDIEEHLHTGPGFGFG GSRAQSSGERRREADINATFQRFAEMLMNDLGAGRVIRGGPLGGGGLFLTEENLPRPG TRIQQTTVRNGPFGSHTSVTITSGSIGGSPEIRGSPEGSPLHPVTLFDQLFGNPWGTG GPDEFARRERGSPNAAGFPLLGGLQELLNSLYNPANAVHGDAVFTQEALDRIITQLME ASPQTNAAPPASESAIQRLEKKKVDDEMLGPEGKAECTICIDEIKKGDEVTVLPCKHW YHGDCVVLWLKEHNTCPICRMPIENREGGNNNNNNNNSGNNTRRPSDQPSQHSEDRQS SSTPLGSLFGNPLAPRPRPERERDRQRPYRSMQENMERLNAIRNLAGAGPRSSSQRRS SHSPPGAFPTSDAEYASRARVRSPSGSRDRARNRDGERDGDTERHSSLWDDWIGYGSG SFGESSRRHSPQSQQNQDQSGGQRGAFAWFREQFGRRG MYCTH_2299299 MSQLPYAIDAETPLAPAELAVLRQQYESEGDMVGVQTKFNYAWG LVKSNARHEQHLGVMLLAEIFRTSLERRRECLYYLALGNYKLGNYAEARKYNDLLLDK EPGNLQAANLRSLIDDKVAREGLMGVAIVSGVAVVAGIVGGVLLRNLGRKR MYCTH_2299301 MLLEEDPSTLIRHTISNFNIAPDKAAVSRVSESLSTLQQARELR LREAEASLRRLARNLNTLASQHAELTALHSSAQHASEIARLDTQKFRIAKAASDLEME TERLQAQLAELNARLQELELQGVDGGDAAGAGGLLEDEVLLRLKVYRSLGIEIERDSK DGEFNRVVVRNDRKGDVHVVNIDRKFSRFFYANYFWQCL MYCTH_2299302 MAPSRPTDPEPAMDVIAFIVLGLQIAAETIMFLAFGPVVVFAIF TTALASVGLAVWVLYVYAEVLVTTIYEWLHDWIYPNKYKMYDEQVLAEYARELRDPQT PASTESSISNGSTPSTPSSTSRSFPPVSRYLSPGDVGPRRRRSTTVATAPYLDEWVDS HVDVVETGSYPRRFSGISGPPPSWLNRRWREVPRLDLTVPPITAEEVECLSPM MYCTH_2086729 MCQQQNSAQKDPTITTRHQVHDEDFPWHIGVCDAHCHPTDAMSS IARVQGMRARALTIMATRSQDQDLVASVAAKSGIRDRSAFASVPDTQNAPEKIVPAFG WHPWFSYQLYDDTEGSGSGSSTTTDDPASTSPAAYKAKHYSAVLTPQPDDTFIDSLPD PVPLSTFLASTRQRILDAGGPALIGEVGLDKAFRLPWPWNHPANAAEEGQLTPGGREG RTLSPHHVRMAHQVAVLKAQLRLAGELGVAVSVHGVQAHGVLFDALASLWKGHEKEVV SRRKQKLVAEGAEDFSSSEEEEEEEKKKKKGGYKPVPFPPRVCLHSFSGSPQMVHQYL NPAIPVRVFFSFSTVINLSTAGGESKFPDVVRACPDDRVLVESDLHCAGEEMDRVLED ICRRVCEIKGWTLEEGLARIRKNYEQFVFG MYCTH_2299306 MPNAADRGFNVGRLKALGSVATSTGLLSNVPYSAPGSSNVFGIG SEHPFANYWTCEGGLPEVISVLPDKLQADILLNRYFECVDPVYPMLHRQTFYADYEHF WSLSRAEKDRSDAAFVALIFVMLALGTQFVTSTNPAERKQTAEFYASASNQALRMCSY LSTASIRTIQAMVLITYFLINDNHASDGWAFAGILMRQAYAMGLHRDPNIVVPDASPF EKQQRRKVWQAVLLQDTFLTVLLSLPPNATHTDVNVDDLIEDDSSIASDDPTDTAYIR GSWTLANLVQETICSPRSLDVPICTTARHKSKLIGDFRAVYRSFPDVFRSWDPDALAQ LALTNKRVVRQTLFLTSNYFHNLMLVHASESPDVPVNVRGTLEAAHDAITAFFVLFAL FEDEARVWWVFNHRAFLEALCIGNVLREVAREPGAEETMAKDPLFVRARADIHRMIQI MQVMSEGEQGSQTARTRVQVLSEFLI MYCTH_2299308 MGRGKKGHRGGGGGGGGRGGGGGRDNRGDHRPYQTYPQIVKENR KLEQYYNSLIDLPEDERAEFWAALRRDLPNSFRFCGSKGHALAVKRLLQTRYIPEITR ITHEGVAVAPPTAVPWYPDSLAWTMTTPKNVIRKFPPFAAFQKFLVSETSVGNISRQE VVSMIPPLLLDVKPGMTVLDLCAAPGSKAAQLLEMIHRGEEARIRKVIRSFSADAPKD DDDAQEEDEAARLEADPGDDGRATGLLIANDADYKRSHMLIHQLKRLSSPNMIVTNHD ATMYPPLRIPNPENPDKPGYLKFDRILADVPCSGDGTLRKNINLWKDWTPGNALGLHL TQIRILVRALQMLKPGGRVVYSTCSMNPVENESVVAAAIERCGGPDKIEIVDCSDQLP LLKRKPGMRKWQIMDKSGRMWSSWEEVEEYTKSTENGIAPGRLVDSMFPPRPNSVCAD LPLERCLRIYAHQQDTGGFFITVLHKKAEFKAKPEESRKQPAPQSSKADGKANAGKRP LEEESKEEAGAKKLRLSEEPAKEESAAATPAAEAEAETEPKDEVKAEQAHSEVTEEVH TNGDNAGASNEVKDEATAAPQPPGSTSATPSVATTPAATATAAATPTNQGQPPEPPKR KPDGPYEEPFKYLPPDHEVIKNVAEFYKISPRFPTDRYMVRNALGEPAKAIYYTSALV RDILVHNEGRGVKFVHGGVKMYVKQDAPSADVCRWRIQSEGMPILHGYVGDERVVVLR KKSTLKKLLVEMFPKIAGDDWKRMDEIGERVRDLGLGCCVLRVEPEKGGKEATDGDFS ETMALPLWKSFQSLNLMLPKEDRSAMLLRIYNDTTPLINMGIQRDGNKGQKQEQQQQQ QDKKVNGAEGGDKDASATADADADTEMKDAAPAAEGEEAEETVQEEAEGASAADAENP VDAAAEEPKT MYCTH_2299309 MACRNPRSPRIILPFLYPSLFPPNGVSRSSLAAALCGVRRSSTE ASTPTDSYDRPRSRLNPAPDDYSMSNFADKARLTLHAGAGGHGCISFMREAFMDDGPA NGGDGGHGGNIYIQAVHGETSLHKLARRKVVRAGKGKSGMGSSRSGQRGEDVVIAVPV GTVVRELSRDDPEAESRFLSKRKRRVSRDTQPVEEGPDGEPVEDPDRAKWLLYPGMSS SDMKRLELPPLPHRERLLHQPKAPIHLDLSRPTPRPILLAVGGLGGLGNPHFVTKGTP KPMFATRGERAISMEIELELKLLADVGLVGLPNAGKSTLLRSVSNSRTRVGDWAFTTL QPNIGTVVLDNNKGRPLLTSYRRVSGVGVYGGGPEDSFDISSGSQQQPELERRTRFTI ADIPGLIEGAHLDKGLGIAFLRHVERAGVLAFVLDLGAGNAVAALRALWTEVGLYAQM REEEERERKRQAAIDWSAAAGTDAEDSTFWPSHLAGEDYDAPRVDAGGLHIAGKPWFV VATKADLPNTQDNFHELRDYLAAISRGDEKHPSGVEGAWTRNCAAIPVSAINGQGVDR VVHWAVGLLDG MYCTH_2086739 MDPDCAICHAPASLACDCEAKGLEVAVRQAENRMMQSIYNDIRS WVRAHAQDYILQYFQLLTERRKAAHAQHLERLSAHSYHYYHAPPHPNEISAAQAQLKR GIDEDWQASVQRYPEVLEYFFSLVELTLPDDNEQAVKDPPLSALSGPRKGARRVGGGG ATTVASGPSLAAHERDLPMPRRTPPPLEPLRERRTPGPRERRQSYGRPPPPPPSYYPP PY MYCTH_2299314 MTTTTTPTTTTTPTTTTTPTTTTTPTTTTSDIPRALCCYNHGTK FPLDIRAPKFLSFFSDFSLKQ MYCTH_2299316 MATDSATPSPAAPAVTTPKVPRPDEEAYQKTLAKLQKEHDEALA RYVSAAHG MYCTH_2314258 MEEENNASAHLKEVTRLWRAWRTVHEMVQDRGYELAEDEVKISL DRFRAEYTNEDGSPNRAKMQFSARPSEAMIKKFTPPPTPSNPDPAPECGTIWVEFCPE KTSIGISVMKKFVEHCSANNFKAGILVTAVALSAQARKVMTVTSQFTLIECFLEEDLL VNITHHELVPKHVLLSREEKAALLKRYRLKETQLPRILSKDPIARYLGLKKGQVVKII RTSETAGRYASYRLCV MYCTH_2299319 MVDSRSAPLIVRRHRLKRLGPPAIVLMLLSTNRGVFLLEPADGA LASVGWLFDILAFLFAIYPRGATAVVLGLIDHESFIAHTR MYCTH_2055575 MALYSEPPPLRLYSQDKPTLLVCWWITMFCAIIITLRVVGRFIR TEKLFREDKTAALALVPLFLRMGVVHVVLTYGTNNAQLQDAGLSDDQLHKRSIASGLV LLSRIFYAATLWVLKYAIVEFFRRLNVTWRRTYELSLLFIRGFLIVTFLAVVISDLAE CRPFSHHWQVLPDPGGQCRQGYAQLLTMAVCNITTDLMLVVFPVPVILSSAMTAKRKL QLVLLFSLSLAPVVVTIYRVPKILRHHGSQQTRSLYASIELLFATAAANALVLGSFVR DRGVKKRRFKYDSIAGASVDRSTASEHRRPTMLRHWGSDEDLVRDLGLGVKPELRETQ SSLAENRLYVPAPPVHAHHDMTAWRFPAGRHDRPDSSPRRSDDDHHADSKSTTRSDSI ATHRRVSFFDYGGLLDDQTSSPTTNSREESSSRDPTRKFGSASGSGSGSASGSGSGSD SSPTRVPEPAVPASGNGFLRGSAALLQDLGGFWGPAGSRSARTPKQGTKGGGSSSRPS PTEQASTEGSMSPQQRDGLPSWDEQQPQLMDVGGLLDPPGGQQH MYCTH_2299321 MATNSSTNSSSSTNAAPWRAPFLSHLAQLATATFTLATLHPAGR SEAPTPALPCLPRARTCVFRGLWAELPANPHNAAPRNPAGVYESDLPVFTTDARSDKA TEVFDSAPAGDVEASGGATGGGGPVEAVFWVDAAGVRTQWRVRGHAWVLAGDVDDEAS EGARKAREVLRSRMRRVGGRGEGEAEEKGWSFAREVTAHFGNLSPLMRGSFKAPPPGT PLAYHTAKGEAVGQRLDDLEDETARRNFRVVVIVPDEVDQVDLSDELRPRRWLYVYRG VGGESKLPGGEIIGEWEKVEVWP MYCTH_2124399 MRASVCLAVMSAVLCPARAAYQGFNYGAFFTDNTPKMQLDFQAE FKTAQNLVGAPAGGFHSARPYTMIQWGTATDVISAVPAAIATNTNLLLGIRCSAGDAV VSNELAALQTAIPTHGTRFTDLVVGISVGSEDLYRSSAATIASYVARVRAAVRGTPLE GTPWADPANRAVLEPVDFVGMDAYSYWESTVPNEVGGSRAKALFDAAMDHTVAATAAV DVRKMVWITETGFPVRGHTSNEAVPSPENAKAFWDAVGCPLFDISCAEIAGERGDDGV NSDDDACDAE MYCTH_2299322 MAMLFPQSRRSRAATTFLFVATFALTAWARSTSIKADHPPAVDN LSLSQLDAELQVRRNPAANTCLLPILRFPLSQVVNGKKKEKKIRFPLTNRSHAQQCPV VAQLSQAKAAQHAAHPPSSPTTRLFARLFPSAHPAANALLATLYISGPPNFLLALCPT DIDPAALSVMVAFAVGGLLGDTLFHLLPEIFLGEDEPDRARLVLVEPNRNLLLGVAVL VGFLAFVAMDKGLRIATGAAGHEHGHGHGHGHGHGHGHGHDGEDEQLGGDGEGKGSFS SAVERKDGREAKSRKGKRGDGRKGIGEVVEKKEKEKKEASQSVKLGGLLNMIADFTHN VTDGLAMSAAFYASPTIGATTTVAVFFHEIPHEVGDFALLVQSGFSKRQAMGAQFVTA LGALLGTLIGIAVQEFGGGGSGSTSGAEMGMRDGIWGTSLSWGDMLLPFTAGTFLYVG TVAVIPELLETGPNKAAELRRMLVQFAAILAGAGIMLYISWHE MYCTH_73602 MGPWVNAASSASSITSPGFASSQAARLAYPKSDISINLKDHSSS KVYTSLSPVAGDVTITTRRDVRFDSIQILLLGHTKTAYEGMGMPQEVTHTFLKMVMPI PESTYPLPRVLEAGRTFKIPFNFVIPSQLTINACNHARHSETIQDHHVKLPPSLGGWQ RDDMAPKMAQVVYSIKARVVREDSDCRTRIMEATHPIQVLPATPEEPPLSITDKDRLY RMSKAKYLRKNMLTTKVGCIRAEAVQPGAAVLSPDGRRVMSHPMAHIKLVFAPESPRA LPPTITGVTAKLTAYTYFSSGTIHCFPNLGEWITPCCPDRRGQYSSSVALPAVTLAEQ PAWTSHPTIPRRDSGYGSSCVEDSDSSDHEDPRTKWDSSRSSSPQAHHTATLAVPLSL PTDRKMLVPTFHSCIASRVYTVQLTVGVAAKGAGSTSLSVVVPLQIAADGEGGKEAAR GDRPPSFEEAAADEHLRPRVLRAPPSEEQTREGRESWMLAAGAAGQVADRGGLPEYDD SGRRRRRD MYCTH_73601 MLRHLNNLLILLRLRRASPWNPRTPLDWLLASPLQWLTSLLYRH VLLPLRGRPFHPPSSRWRRRRPIRVVCLSDTHNLVPDHRSPTTAIPDGDLLIHCGDLT VGGTPAEIQRQVDWLRGLGHRWKVVVGGNHDSWLDERVRTRTGEEEGGEEEEEEGGEV DWTGIEYLCDRAVELEFEGGRRLNVYGFGAVPRCGEGFAFQYDRDKHPWRGRVPEETD VLVTHTPPAYHLDLGLGCAGLLDEVWRVKPKLHVFGHVHYGHGKEAVYYDECQRAYES LMARPAAGPLRDLVSVARWRDAFNVLWYGVASILWKWIMLGPGTNNGALMVNASLMYG NSGRLRNPAIVVDL MYCTH_2053677 MEFIPALQARIDEHKPSLFELLSEQQLAALLPPTVRYLLTVLTQ RYPRYLLRVLNSFDELYALAALVVERHYLRTRGGSFTEHFYGLKRERALAAEIPRASA AAPGAVRDALRLRPADVWRNLLVIVGVPYLKRKLDEAHEADAPRAMMGAAYNRPPLPG APWRERVAFWWRCFLRRVYPAVNAAYYLSILAFNLAYLFDNSKYHSPFLCLIGTRVRR MSAADYRAIEALEERAAKRRGGRSVAARMLGGLSLVLPTSIFALKFLEWWYASDFAKQ LSRKAAESLDLPPPVVAGLPTGAGGGGGSEKAQQPRREKELGKEKDEEVEEEEEEVSE EEKERRAIERAPVSASSLLPIYTVPPPENSDQCPICEGEITTAAACQTGIVYCYPCIH KWLTGTHPRQEKFMAERAGKWESGEGRCAVTGRRVLGGTEGLRRIMV MYCTH_2299329 MSEPQPGKPQKHAQTYLSLPGEERVRVLISQFASTIDRADDQEW TKPLFPYLVLQSVKPDGPHPSVTFKFTVQPQHCNRLNNLHGGCTASLFDFCTSTVLAA VARPGYWSYLGVSRSLNTTYLRPAPVGSEVLIECEIVQIGQRLCSLRGTMRRADDGAL VATCEHGKVNTDPEVPKV MYCTH_2299331 MATHLTHLPPEILHSILSHVDPEDLSRLPLTCRLFNNFIKGNNL LWRAIYTNFLDEPPTKDLDFEQEVHDIVKLKRLCSPLDSTPDTEIQSHLPFVHRIVTR LLNHAITVPSLTTSTSKSTSTGTGTGARGDGDNNAPHPHRSSFFRSSRARTFPVSGNA QFLTDLFDSTRARRNFLTRSFLYERARALAVAGFADEPHQLDAYGDVSGSETDTAAEG DDDVSGGGPRPRPRRPRRTRTRFGWRRPRRPREAYQLSAKLHCLYGWGLGIEDGVRPG ATEGEARRRAMYVARQRAGVYALACAKVYDLREYTAGNKWGPFLEEDEEGGGGVRVDW EKVEAILVVLGANIRSKGLERFPIFWYFWGKPFAGSWRGSYIPWSKDREQGKESEVKE LDRMDPYGVAGSWLRVVSFLDFTDFFHFNFPIGDRLPRDVPRPPLDIAQATRLILMRI HVAKVEPAGPGDHPDYPVTHFRGFSRALDGAWDENAEADIRGTVRVTPEGEIRWTSFS VFHGEERWKSEGVQIGGIRSARGVVGTWFDKDFNPQGPCGPTAFWKISDREDTATGPR VLLEDLFPLIADDMEDELADDDEDSGDEVNLQVLDPFGPDWTEYELEDELAGLYYFDD EDIFDVEDVEAELEGIEGLHNGDDDEEEEEEDDDDYEDENEDEDEDDDNDNNSDDEHD DAGEEDGPAADLTHG MYCTH_2074154 MASVLASQPSEITASGPSSRSAQAMQQPAGPQSPDDTKHEPEHE RGRRPRSASDDKDVEGLDLDDADDKDGGDEGQHSSNSDGPARKRRRSRKGLDKKFECP EKGCGKSYSRAEHLPSFPTTGSASPEVNRPGTAYTKPRSLSLQYQSPKDAMGSPYTPM PNTPSATYANGTSNGVDYMHHDPAYSHMTQRPTHHSPQDQRRPSIQTNVGPYGVLSPI STQPGYHNQSRDTPQSANAMPYVPPQNFPPFSLPPSDFATSSAGAVARDDHQAYAPST SGEYTDQQPQSTGEMMLLDQMGMQQTMPVFGSDSILNKSPYVAIPEDFVAYLFNTHGE SSPVTGVPMQGYNYGEFSNQYNVPYYNDPNQLGYFPAAAGPQQVMSVTNLLDQNLPES VISEEKATEIYEFIKERFHENDHAPVERQREAILEGDRHDDSHMLSRKMMQAYIGSYW LHFSDQVPILHKPTFSPDKTPNLLLIAMMTIGAACLDKAHGQKVTKAGAQLSNFLAWH LRWEMFQDPNCRPPAKLWVFQTLLLLELYEKMYSTRELHERAHIHHATTITLMRRGRA LIGKSAMDSPPNARDDKTNGSRQSSASGVAHTPEEWWQQWVMNESTRRAAFAAFVIDS IHATMFGHSTVMVAHEMRLPLPCDDKMWKATSGPEVARIDANLMSVGHKAISFLEGLK RTLSGQEVQTNAFGRTILMAGLLSVSWHMNQRDLQVNSLGGGVSQALGGRDKWRGTLT RAFDSWKSDFDKTLLRRGDVSADPYNYDPSDRNEANVVFESRMVLHHLAHMAMHVDIV DCQIFARAKRLLGRAIGPQDLNSAQRRMKDIWAPSAKARDATYYALKFLQSVLLPDTV STPGSNGSYGRHDELYSARDDVLLNRPWVLYFAALVVWCYGYALEGASPNQPIPATQQ DKVRMMREYLLKYGSVSSPEELKSMKGISHNTPVLMVLKDTFGDSRWELLHEGATLMN NCILLNAGQNVP MYCTH_2086765 MPPSKAARGGQDDSKTDTQSTKDKNGSGGGTKMRRVASNAGSNL REVTNANATTTAAAAPDSTANTQEASTPGLQWPAFDRDVLHAYRRAYRLRTPTAFVSD HHEWVLTQPGSIGLYSPTIARRKELRRQTKDQLTNVVRKHFNGMGIQENDIIVDFLHK VRSQGVKKGRPPRREYIHLEGER MYCTH_2299335 MSTSILPRAGLPVRQLSPTQICRRLRLKPCARRSASAPTVAYSV VSRASSRPSPKSRPQSPISCPRASFHTTRPLLATPRDPYGVLGVSKNASAAEIKKAYY GLAKKYHPDTNKDPTAKDKFAEIQSAYEILSDPKKREQFDQFGAAGFGPSSGPSPGGG SPFGAGHPFSGFGGSGGFGANINFDDIFSAFTGGAHPFGGRAGRGAGGPFQTEIMEGE DVEVQVTVSFMEAAKGTSKTITTLPLVTCRTCSGSGLKQGTRRSQCGACNGTGTRVHF VSGGFQMASTCSACGGSGSSIPRGSECRSCQGDGVVRERKTITVDIPAGIEDGMRLRV NGAGDAPPTGRAASENVRATNGDLYVFVKVARDPQFTRQGSDILYTATIPFTTAVLGG EVTIPTLDGDARVRVSTGTNTGDKLTLAGKGMPKLNGRRGRMGDLKVEFRVAMPKYLT SNQRALVEMLADEMGDKSAKRIMNLHKKSHDDNDPGAHKNEGFLKSLWHNLTNHPAHQ QQPEDGSDGSSSSTTKKPDDGETKK MYCTH_89510 MATESQSLASTGSSMSTQSVENHAQIEPGDSDKIAPSHPLPRPN ENEMFQPKSIRFWLTLLSSFLALFLVALDRTIIATAVPRISDEFQALGDIGWYGSSYM LTTACAQLLFGRIYKFYDMKWAFLLSIIAFEMGSAICGAAPNSTAFIIGRAVAGLGSG GIFSGCLLIMISMIPLHRRPAFQGFFGMVFGIASVMGPLIGGGFTGEVTWRWCFYINL PVGSVVLVFMFFYWNPPKEKHEPVTYKTHLKRLDPLGMVFFLPGVVCLFIAFQWGGST YAWSNWRIVLLLSLFAACTVVFIAVQILMPDTASVPPRVIMQRTVAFGTGFTFFLAGS MLMLVYYVPIWFQTVKQVDPMRSGIYTLPLVLSLVASSIAAGGITQKIGYYVPSMLVS PTIMSVGEGLLSTLNRNSPTAHWVAFQFLSGFGLGFGMQTSALAIQTVLPREDISTGI AINFFVQQLGGAVFTSVGQSILTKILVSELSNLQNFDPKLLVSEGATKLSQIVQPEDA VQVINGYSDAIRHIFLTSMGLAFTSLFCAFGMEWRSIKKDRWLKWLNRAAPGEAFL MYCTH_2299337 MPYAMASEQPLAEPPPQPPQPPPPPPPRASVPEPAAIKLTRGHS CVLCQQRKVRCDKQKPCANCVKAQVECRVVPPQPPRRRKKKPHERDLIERLRKYESLL AQHGVKFEPIAHELRPSDHADDVADLEQDLSGLKTSPSSAADHLSPDQGHDKSVPRFA CCCCS MYCTH_2299338 MFENSDGFPFVVGGSVASVTSAHPSPIQIFQLWQFYISNVNPLL KITHTPTLQAQIISASANPAKISRPLEALMFAIYFAAVTSLSEDEVHSTFGEDKAILL GKYHNATQQALINAGFMRSSDLVVLQAFFLYLLCARPYVDPRSMFCLLGMAFRIATRL GLHRDGSQPGLSPFEAEQRRRLWWQIVVFDKRIAEITGSTVTALSSCACDTRLPLNIN DADLNVHAKGQPGPYPGPTEMIFCLTRIELTVAASPDSIRRTITTPGGRPLHQPMVHF EPSPSSPDVVSHAANQSLPDNLAQFCAYVESVYLKQCDPKIPLHYFTLLMTRQALCKL RIIDFLCRTANANHVDQGERDSYFMEALRMVEYDNALQSSDMLQGFRWYTYAHFPLPA YLCLVSELRHRTTGELCERAWNVMIENHERRGLLRRLNRNPLHIAFGHYFLKAWDARE AAELQLGRSLPTPKVVTLLRATLAKTRRPTSARNREPNTMTMGTPSGSQQVPVQPAMA PRSTDSLGMASVGEMHTIPGGMPSTGMYHSKPMPHSTTATSTTSTTTTPSMAGMGAGH TMMMDDSMMYPGYDNVAPMYAAPASMQDPELGGGIDWDYLVQITSLSGFNPAGSYYVQ QGTGT MYCTH_2299339 MTDKLPPLSTPIALPSQSAFSPASNVSVRDVPRKQETVEEEPYT IKCICDFPDDDGNTIFCETCETWQHIECYYPDNVEDALRPNFAHSCAECEPRSLDRQQ AIARQRARTAVPIVEAAADRKTKRPPSKSHKKKQKSSELQINGVNHGSSEALKHTSPQ DNLHPAKKTKSSHKSSQSVSSLGAKRSPSHGNAKATHSHPLSPATTPPDLLDGFEPHN FSSGFLSLYNDQDYQIVNTNSFADLRISNTMSAWLRDEEKMRSETGVSYEDVFRKLPP NIDAIKVTPEVEQNQQVIAPNTVVQWRCLKAPSAIEKDVPLIEVNGQIGFQALYCADP QNRWAELTAPLPFVLFHPELPLYIDTRKEGSAARFVRRSCKPNAVLETYISAGSEYHF WLVSDRPIAAKEQITISWDNGFPTAEKTRAFQLLGLADDINGDNTEQNITEEDYLKYG GWARSILSEHGGCACNLGPECAFARFHRNYLAKAQARANASKSKKRKPKAQQHAISPT STGHATNSRAASEGRLEDIPEHDRRSVSSSSRSKPPSRDMTPTVRQGSFDMLGILTEP TDRDKRKVAMVEDTFRRMEQQQQQQQQPHRKRKRASDGTHNSQAKASKASSATNTPNL PTGFTDRGYVDAGTGTPRGKSGSPSGVSPTHLGHARRNPTSRNTPAPARSRPSSTAPR LKYCDAAVQTDSHDTSDTATPKRRVGWCLRKRMLENWHQVRLEEEERAKRRAVESPLS AMSGESHGAQDAPLSSPATRDAEKGLATDASIGEPKDLPMADARLVSQTDSAAGGDGS ASSSLAKNKSLDLRVEMPPFPAFATPASVASPASTPVSAVPKTAQSPFSVTSLASGFP PPSVNGVAPSPSPSPVKKKLSLSDYKSRMNKAAAARPSVATALLKPVSSADEPKSAAS ADGGASAGSPIPEKPAEGGGS MYCTH_2086777 MASQADFRDRQFLAVIGDEDSVTGLLLAGIGHVTAPPDNQKNFL VVDAKTDNAAIEAAFDRFTTERKDIGIVLINQHIADRIRNRIDTYTQAFPAVLEIPSK DHPYDPEKDSVLRRVRRLFGE MYCTH_2299343 MPPRPQRLVRRQPLGQRLRAMLNPMDFYLWLSEEIQTFDWDSKA FGTRFGLAANFVFLIARANAGKTRDAVDDVFGDAPANGWFTLLANFLQWTLISISVLN AFYAMTRSRHYRLFEVNVEAAGPGTPSAQRVRVDSPPASSTPLRLIQEVLRPETAEQR AHPDRTRDVWEMKVWDPYPATLRMFCLFSPGHILIHMLFLPLPNLDPRPSVTVFKCFL LQVILSAQLLLLHSRFSQQSKDTAIIHKEVMHEYDVKYVHPRLQPVVREVSTQVSISD GKVDQEEITVGTPTTVIRRSFQTHPNPSYARYVNSDAGQSTPTRTTMSPQLRPATPSN PFTPAAKPRTSVLPSFAAQVQQQRQSSLRNSLPSVASTTDASDPPPAPFSAAKPSASA GTSTGVNFNVAANSGYGGSMGVFTHMNSPLKKATSLGDMNGGYPSPRNNREMAALEQR DLAERMVRQSSPSKEHRRPTGTGTGAAQLEQGQGQGQAQGQGQSQGQSLSASSFMHSP EKLARARANRWTQERFPTRYV MYCTH_2116212 MTSLSETDSPATRRNLSRSVAEFFSKLRPSKRPERGGTFRPTTE DFEAHQPVEPASPVLSRSCERKSPSSLSRHDPDTIPASRWNTPTTPPRRARSRDLLVA RRALRRRRQHTLISSGDFLGVTGANPYTGEPDVITPPTPSDDAIVTTSSSSLLPPPPP PSHLGSGHLDHQQVRDLDSLVVVPVDLRQLSEGCRAQRMSSDRIPKLHELGLIDLSKG SQPCVADSPRGGNGLKIKGRPREIVHGLGRALQSACTRTTTTTGFGQRHDQPRHRTTA HPCGAMRDRWHEAPPDLGASMPKAHSQSTSPPFPTTTLNDVCFTRPVSPGSVRLSVWP NLDGRDPRRATAAAIPKEAERCRGLGSPSLLPHLVLEAGSDTTSSLTDQETTVPVQGH QYSATVGQPATRDENRSPRQRYWRMRETMRKPAAPGETNLDDEVDTGASSPTYTRTSI CCITEQPRTSRSAIPPRKHGNQTFARGAARTAFVHYVETTTTNTTIVPKPISAPGISC CRRQGRAAEMTAKKPDHIGEAAEREGRLGRYKGEQGRGIVKGKERGNREAKSKEEQME KGADMTNRKKGTSSPEVKYWARHAMVVLARVLSAYWQVVSPVFNGDSDLRKRLDKSRA TRGDVVGVESRPVPGLPSSSAS MYCTH_2124418 MLTDPKRIKRRSDQPFKSQRSEEWTWAGTVFDKLQDQPAPELPD QDTIGRARKQRRVCLQAGLSMVSALCMNPYHLPAPGAQCSPAFAAFVCYPPPGPTPPI FVARHGSEPV MYCTH_2299349 MPAAERTPQTLYDKVFQAHIVDEKLDGTILLYIDRHLVHEVTSP NVPTTSRKSLKDIASFIQEEDSRTQCVTLEENVKKFGITYFGLSDKRQGIVHVIGPEQ GFTLPGTTVVCGDSHTSTHGAFGALAFGIGTSEVEHVLATQCLITKRSKNMRIQIDGE LAPGVSSKDVILHAIGKIGTAGGTGAVIEYCGSVIRSLSMEARMSICNMSIEGGARAG MVAPDETTFEYLKGKPLAPKYGSEEWNKAVAYWKSLRSDPDAKYDIDVFIDAKDIVPT VTWGTSPEDVVPITGVVPDPETFPTEAKKAAGRRMLEYMGLVPGTPMEEIVVDKVFIG SCTNSRIEDLRAAAMVVKGKKVASNIKRAMIVPGSGLVKEQAEREGLDKIFLDAGFEW REAGCSMCLGMNPDILSPKERCASTSNRNFEGRQGAQGRTHLMSPVMAAAAAIVGKLA DVRKISQFNGSPHIEAAIAPEPATSSGASASAEKAHPDERIETDDAEKEALADQPQDS SPQVNTTVAHAGGASAAGGLPKFTVLKGIAAPMEKPNIDTDAIIPKQFLKTIKRTGLG KALFYEMRYLEPGVENPDFVLNREPYRRTKIIVCTGANFGCGSSREHAPWALNDFGVK CVIAPSFADIFFNNSFKNGMLPIPIKNQDDLEKVHAEAVAGRELEVDLPNQLIKDAQG NTLCSFEIEEFRKHCLVNGLDDIGLTMQLEDKIAEFEKKMSRETPWLDGSGYLKRKGG KLAVKAAPVPKTNRGDVKTEPLEW MYCTH_2299350 MADAHEQLRAQCLAAAEQIASECAQILEDDSIHHSSRGLAACGE PLVQLLGRQASQLLSLSKGEGEDGRALLLRRIDDLISVAYSKFYAYLFKELPTCWRQL YTDASILKFALLYMSWPVTDAALRGQYDVAAAEKELDDMIKALDLAIILAGAAGDRRG RQWINRAFALLEEVWQAASPESAARPPPSPPLDERPQKRPKTSAQSPPPNPWQDAPSF SSYEPFTPPVTRPVRRVHDLSLEEFQTYLTKRENNAPGPLPLVITGLISDWPALTTRP WRKPDYLLSRTFGGRRLVPVELGRSYVDPGWGQQILPFGKFLREHITDPPSSPPFPTG GEQQHRQQQNEEEEGQEGSKKTGYLAQHPLLTHLPLLRNDILIPDLCFTVPPPYPDST PPSSGDKSTTRGEAPARDELVVGDDDEGNYDDDEGGGGGGGGEEEEEDCPHLNAWFGP PTTITPLHTDPYHNLLAQVVGRKYVRLYPPWIGPRKMRARGREGGVEMGNTSRVDVGV LEGWDRPAPAPRPEEGSGEEGEKEEEGLEAGWEDEFRKLEYLDCVLGEGEVLYIPVGW WHYVRGLSVSFSVSFWWR MYCTH_39559 MAETHGDDTRREEASGVTSDYEPSEEDTATFGSVTSSINEHVWE YGRRYHAYRCRRYPIPNDEEEYKRESLRHLMLKDLLGGRLYLAPIGNNPQKIIDLGTG FGDWAIEVGEAFPSARVTGVDLSPIQPHWIPPNVEFIVDDIEDEWAHANDYDFAHFRF VNTMLRDNTAVLRKVFQNLKPGGWVEVQDIVPRLSCDDNTVTPDHPLIKFFSMVKPVL REKYGFEIRVLDTLPILLQNLGFVNVHRKIFHMPLGEWARDPHLRLLGGYFREIMIGF VGAMAVRPLVEAGYEKDEISDVVRAVTEAVGNRRLHGYLPIHFVWAQKPPT MYCTH_2299355 MYLPRALLSKLYLNLLNTRHPLSPPVLILVALEPDALCACRILT RLFKHDYIPHKIQPVAGYADLERVGRELVAPMMDSRGGAGGVVVCLGVGGMADLGTVL GLESEEEDDGTPAFGGVEVWVVDSHRPWNLNNVFGGFPLEPETEEATSYAARTPAGVR AGCIERAYRPGKGGIVVFDDGDIEDELGKEREAYLALVDMPEVEDDGEDLGDSDEDED DDNNDESDVEEPPVLAEKVSRAGKKRKSWDFLEEDSEEDDDRPRQRRRSNSSTPIPDS PRRPAQRGLMSLRDEAVFSSDALEPPPAAQPSQAPSARTLRRRLLRLRRKNEAILREY YQLGTSYSEPVSSMMYSLASELGREDNDLLWMAIVGVTSMELYGRSSAGIAVPVRGSE ARPASGWMGLRGARIRQLLRDEVRRLNPPEVGNGRVLPENTGIIPTTARSPEDTSIRL SPEPKFLLIRHWSLYDSMLHSPYLFSRLKTWSETGLKRLHKLLAKMGVSLVQCKQSYA HMDMMLKRELRTKLLKYASLYNLDELVPTIDTDGKDRGGAKDGWGFVRSWGWRATLSA QDVGVVIGALLEVGKNTTASLSSSSSSSSSSSSSDPNSSAAPSQDLSAAASEPASAEW IPRFWDAYDALEDIEALKAGLPTAQFLHKAIFTTGTTVLKKKQISHLRAFRMCVVKDS PDAALFNHPGALTKLALWIGEALAEQEREASGGRLAHGGRGTPLVVASLDEKRGVYVV VGTGGGGGPDTAFRDREAARRRAAAREEKARAREEARKVKEKLREEKRAARRRLRDEA GKRGGGEGEGEDAEEEDEDEDETESEESEEDDDDDESDLEEENATRGRGYGLNRFGTA FQEVVAETNARVRIDSFEHCVVEVKKEDLGGFLESLSMKAVVG MYCTH_2074176 MGNLQSKKLADDQLKELQKLTNFDKKELQQWYRGFLKDCPSGML TKTEFQKIYAQFFPFGDPSTFADYVFNVFDTDKSGTIDFKEFICALSVTSRGKMEDKL DWAFQLYDIDGDGKISYDEMLKIVEAIYKMVGSMVKLPEDEDTPEKRVRKIFRMMDKD ENGSLDMKEFKEGSQRDATIVSALSLYDGLV MYCTH_2299360 MAAKQVHLVPRMSATPPFSVEVPGQQPVEGETPVRRHPLAVNGL YTKPNDEITTVHELVRVSVAKFGNAKCMGSRKLLRTHQDKKMVKKVINGEEREVEKSW TFFEMSPYEYISYTDYERLTHQLGAGLRKLGLVKDDRLHIYAATSQNWLAMAHGAGSQ SMPIVTAYDTLGEEGLRYSMVATKAKAIFLDPHLLPTLTSVLAVATEIQHVIWNDQHQ LNPDHADKLKESYPHINILSFGDLRKLGEENPVDVVPPKPDDLCCIMYTSGSTGTPKG VPVTHAAVVAAVAGASPIVEDFIGPGDGLLTYLPLAHILEFVFEHAAIYWGATLGYGN PKTLSDASVRNCNGDIREFKPTVLIGVPAVWETVKKGIIAKVNAGSPVVRGLFWGALA LKERLMALGLPGSGVLDAVVFKKIKEATGGRMKLCLSAGGPVSKETQKFISMAICPMI IGYGLTETTAMGTLQNPLEWTPESIGAMTASVEAKLVDFADAGYFATNKPNPQGEIWL RGPTVLSGYYDNDKETAEALTEDGWFKTGDIGEWDKNGHLKIIDRKKNLVKTLNGEYI ALEKLESIYRSAPVVANICIYADISKAKPIAIIVPAEPALKKLAASIGVQGETLEALV HDKKLQGAVLRELQNAGRAGGLSGIEIIEGVVLVEDEWTPQNGLVTAAQKLNRRGILD KYRKEVTEAYGASS MYCTH_2299362 MHAPWQARATEYEYNAVYVPLESRHAYKTRKPTGNWLRGVRDTA AAEQSGDDATEFQLAIRIGVR MYCTH_112924 MADAEEPRFTTLAERIAALNQQKNFQAPPLTAGKRPPPPPPPVR SLTEDVSKAASGSRPASVGASPSIPPRPVRTVSEKLPPPPLPPRTTGQENGDQTPDLS PGHPGAPPLPSRNSQLATPQATPPNLPARRPSAQTLSVRRNSNASDVSQLSTVSSLSL NQTRSAPNGGSETQTPRRLPPPLEQAKLPPLPPTRRELEAKAKEAAASETPTLPPRRI TEPPKPSLPPRLPSRPGNSPAVAAPEEPSPVLPARRLPPSRPAGFQAKSALECGFSSS SSGGGGRASKPSPPLAPPPIPLSSRPSIAEIDAVANRVAAAAAPLARPPSQDCLPCRD FSVPDALAAQHPTSSIPRHADPIGHLAHVLCAPLPSPTDKARAIFTWLHHNVVYDVHG FFNNCIPRGQTPADTIYSGKAVCEGYARVYEAIATRAGLECVVVTGHGKGFGFRPLRA GQPPPPRDPTGHAWNAVRIDGGRWKLVDACWGAGAVCNGQYHQRFAPECFTMSNERFG DRHFPADDRHWYRRDGRRPTWEEYMMGPAGDEPAEWMGDATREGLDQGNFAPMQRRIS ANGAGTVRFRFAKVCEHWLPEKNGPGKQMLLISSFNTPTWTTNPEFDLRPSDSNRSGT RQV MYCTH_2299367 MAPSAAQEAAATLPTGPARNFMDLPQEVQREIFRQCSNKDLICL ATVSRHFRDLAAAELYRDFKIVFPEGNRRPEDTSFDPLALGLDTFATSDYNYARYLRR MTFDTVTLDFTAAVSYRPYHSTLSCGKFMNTLLLLALRKAQSLESFKWNIGVELSRPV YKELHQIPALSHVHIRLQTLPSRYQSASSPTFGASNSTSSPASVHVAPIPSVPPPLPP PVFAFGAQGPSDGVFESSSVPPGPSSGLKQARSRSPRKGFLNREHPTLSGFKGLKSLA VLDIDNLDIVPELQSAVRNSAGTLSELKLSFSEKLASAARNHQANVSDSEESDQEDGI MPVPLEDVGMSEQARDFRAQQARKVQETVLARILDAEPTPAEELEAAVVETEKKKNKN KNKKGKARTEQELVDMIKTITPKLMGELNGTKEFAASQDITDMIGLAARKYVEEAKSR GEKADQDQNGADGAPSSISEPSDKAAEGVSSEASAPEVSLFGESAASKKPKDHRRVAD PDDIDIEEPLEQLAIDAGEPPAGEAPGDETATSADNPALADTRTRYGEALATLKLQKA DFKALAEELQTFESQASALGDEIRRWRAANSPANLDSLGDAESQLLRLTRSIRDMQEQ ISACQSAIECADKSSAGEVWSCKEHARQVRDYIRDTRGLALESLSIFLIPTKASVLSK AVDLRVLRRLTLLNVGIQAPIWALLHRENKEAPLPLREVFTDNVSLSFLNCIASLEEV RELLMLERDPSEKPESFAPRTQTTMDQIRRIVLRKHAPTIRNLMIKNLADAAWDVDEK TVLLLSRRGKRLEELACNMNVRTMHCFAQHVNAFKTLRALHVHHLHNSDTCVWVVRET KRFLVDSISHNPDLKLDWIAIDEDERADRIMRLSEWVKLDTGEWCHKDDLEHGLKDAA EKNKNKKGKSKHHSSSSSSSSSSSSSPPSSSGPLSAQLASGVGVEDLDVSAIIAAELG ENGGDSSSSENGIGDSSDEDGDEFLGQKTSLYQGVMFYEVDDVRIFEKEVVAGRL MYCTH_2299369 MAEKARAEFVRACEWNESLRSMRRIEADIDGTETERRLFARFRA ATAGRVSAQFCNFAAFWSRLNPLTGSQDEAVKHAVVALAAAYQIFQYPHEPAIDGLSR ADLEVLTIQHYNRSIERLQTHAGSSAIESVRLTLVCCLAFVSLESLRGNHEVAVTHLT NGLRILQSLPDSAFDFLADRSVFVWPFDLQGTSLQIPDIIRLFARFERSACFFTHGIQ PVVSERAYRARRFDDGSSDSLFPDIAHARMAMSCFQHDVMARMHEIAAAAAAGDSSSA VVFWADPVQQRQQACLVARSARLGLLVADFCSPSRFGTLDPTSPELFALYLDLIYFLC ARFIIANAAGGTTFTPNANPFPATSQHLNHHLHHPTLPLPPPLANDQLDAPATSFLHP ILHFTSRLFAASISQQQQQQQQQQQQRQPVRTPTNLHTHLLGPLYLVAAHIPDPHNPT RAAADNLLAQILTPNGESRGVPNMTATMTVDTSLIERAIDNELKMRGRAGGGGGAEPP RALTGPGCLPFLWDALVRRS MYCTH_2299371 MNSSLSRAETLGLVSLTAACVAILANAFQGDDKPLMVSLALSGL AFSATFALIRRLGPTFIKAGFKGVDMSKHNRKELPECMGAVCAAVYLFAMIVFVPFAF YKDVVAATSGGGNRDVVLQVEHVQQGRFLHRFPHSKLSSYLSAIVSLHTIASLGLADD LFDIRWRHKFFIPAFAAIPLLVVYRVDFGVTSIVVPIQLQPYLGQLVDLGALYYVYMA AVAIFSPNSINILAGINGIEVAQSVVIGALIALNDALYLLTPYPHPATDSHLFSLSFL LPFLAVSLALLYHNWYPARVFVGDTYCYFAGMVFVVVSILGHFSKTLVLLLLPQIFNF VYSTPQLFGLVPCPRHRLPRFNARTGLLEPSVTAWSEARQPRFKLVDWGLRLLGRLGL LKVVVDEEGRLVETSNFTLLNLWLVWRGPLREDRLAAEITAAQAALGLFGLFVRHSLA RLVFKEDNWSIH MYCTH_2299373 MSKAAAFLADPPATDDRPSFETIHKHGHQDLVQAVAFNGHGDRC ATGSVDGKIRVFNRHKDGVWRLCDTWGAHASEILELQWLPTTIYPNLLASLGIEGRFK LWAEDPSAASGRRFAESTRNGPLVTIPSRSPYLGPNGTGNVAPATGIGSPMPAPASSS FDGSTAASGAAATAKPAFETRNSRSPYRSFSLKHLDDSRTTYLALLSADGGLTVYEND RIENLAAFTLLDELSTIPTTTTTTTTTNTTTNITATAVATATTTTTGAHPTTTAASAT TEGGSGASGTAPRRITRGEETSFRVRFDPNPEVCYTALRAGVPSDALGLAVAVMDRVR VYRTRDTVRASLGVAAASKAFYLAAEVVAGVHRGLVRDLAWAPGNIRGYDIIATACQD GFVRVFRLDALPPPSPPSSSGEGGEEDGGDEEEPGGGSGGGGGGGGKWSADKVKKHGS GVRRRGDGEDGGGSHASRASLMSSASSGIRAGLDQSRGNPDRRATGLPGHIRHVMTEI SRLDCHRTPVWRVGFDDDGQILGSVGDEGKLLCYRQKPDGTWAKSTEMAMIKMRMAAP MYCTH_104827 MKWSTLIPSVLVPLVSAAPKEREEKPETFSQRVIFTPPDNYTDP RVLYARTAQFRDGTLLATWENYSPEPPPVYFPIYRSTDGGYNWKEISRVEDTANGLGL RYQPFLYILDESFAGFPKDTVLLAGSSIPTDLSSTQIDLYASTDRGVTWEFVSHIAAG GVAIPNNGETPVWEPFLMRNGDTLICYYSDQRENTTYGQKMVHQTTSDLRTWGPVVND VTSPVYEERPGMPIVAKLPNGKYIMTYEHGGSPVLSGYQFPVHYKVVSDPERFGPATG VPLRTTDGYIPTGSPYVVWSPVGGENGTVIASAHSSADIYINTGLAEPGSTWRRVATP EKNAYTRHLRVLNDPTKLLIMGAGQLPPSTTNQVELSVIDISNL MYCTH_2299379 MSVSQAELVQATSSIPSSSSSSSSACAGPATGKGKQREGLPTPD TGSDGEDEKDEDADEARSSDAEQVPGTSRRQQQRRRRQPPWPLFTPEEEQAVVRKLDR RLVIFLALLYLLSFLDRSNIGNARIAGMDDDLQSVPPRDDWYEWSLGAFYIAYIAFEW MAVLWSVIPAHVYVAALVFSWGVTASSQAVAVNYPMLVFLRFLLGIGEAGFTGVPYYL SFFFKRHELAFRTAIFVSAAPLATSFASSLAWLIVKFGECTPIAPWRLLFLVEGFPSL LVAGLAWHLIPDSPQTARYLTARERRVARLRLLQPDDDDDDDDDDDDAPTTAAPSSSS TLRDSLAVLADPAAWLTALIFFLTNMAYSSLPVFLPTILRAMGHSPLAAQALSAPPYL LAFLAVLATAHASDTARARAPFLIAHALASAAGYTVLALAHPPSPSSSSSSSSSSSSS SEGGGVGGAGAVALAGPAMLAVRYLAVYPAAIGFFNVAVLTVAWSVNNNNQRGGGAAR RGAGFALLQAVGQCGPLLGTRLYPDRDAPYYARGMAVCAAAMVAVALLAAGLRWWLGR WNRRWDREEQERERGRGHEREHEEGGEEEEQALVGGRGGKKRDKGFRYML MYCTH_2299383 MRTASVLAAALVAVSSVVAVEETPKPKYYFPRHVKRQFTNDTIT TPQEGLSTTSSETTKRQVESGSDELGVPSVTTIVISSTIYVSPPFFTPPVLFPTNSTA SSTVSESASTSESSSKSSIDESSTGIASSTETAPETSSSIDSSSTEPSTSTPESTSPT EQSTSNPESSSPTESSTSNPESSSPTESSTSRPESSSSIESTSKSESSSSTESSPSES GNSSTAEETSTSVNSQATTSSTSEILLAPTGIVTTTSSTTENDNPISSLFSEIDSGLS SIFNPTQNATSTLLPSPSDEISLSDGITGPGTGVSTTPPVTVTEPPPQSTSTTGDFEP STSDLEPSTITNSTSTPTPTDTGSAGTITPSSSLTPSATGLDPSTNGTATFAPSTGFS SSTSSFFSDNSTAPTGNSTVPSETSTLPRPTSTSSSESSSRSSTTTGPEEAGGVTSIP PVTTFTGTPSTPFIPSTIIVAPSTSSATGSPTTTASGIPSKFPSAIAPNNAPTTQPED TMLIQIGFREGYQYPFVVQNDKAAAQIFKFLPQALSEAANFDISKAQVKRLVPMDTQN SLGYITTCAIVTYPVNMVDALAIDIKVPSSRLYNSPNELLYNLTHQINPAIPIRLGQY PDGLGGGSSGSSGDDSSGGGSSSSDPFGGNGGNNADSQSSAQRGTTAGIVSGAVAVAA AYGVVMFVVARRYKRKKQAHRRASSISNPSEMSDAGRGSPALMGGALLSRDFTSSYGA VPSGGRDSHGSGRSGMGNSGRTQFISAPVAAENSLGWN MYCTH_2108128 MHCSLDQGKLLISSFACSTEYVWTTRKLRLYCAERAQLLVRVVL HGVGDKGIKSESSVLYLPGPRTDFTVMVPWISRNAAIYAIHKLGRKAREARISARAPL QSTSRRLEQHRVTNVDAAHTLVDLHPYGGVQSSVRRAAAHLFPLLPPLGPTAAPRESN EALALFLFRSSASANTECLSRIDDRRISSFQIRGSSTQATPLVEPHTSALQGRRMDGW REEDPYTTDNNNVGSREGDPRPRGGGPHIPRLMSSPQANV MYCTH_2299386 MGVTRTVCVAGSGPQPVKGQKVTIAYTGWLKDTAQPDNKGREFD SSIGRDDFVTEIGVGRLIKGWDEAVLEMRVGEQATLDITR MYCTH_2299388 MTDKTQPGEVDLVTRMQVDESVVGSNEIDESLYSRQLYVLGHEA MKRMIASNVLIVGLKGLGVEIAKNVALAGVKSLTLYDPAPVAIADLSSQFFLRPGDVG KPRDQVTAPRVAELNAYTPVRVHESASLEDHLSQFDKYQVVVLTNTPLRTQKIVGDYC HEKGIYFIVADTFGLFGSVFCDFGKNFTVIDATGENPVNGIVAGIDETGLVSALDETR HGLEDGDYVTFSEIEGMEALNDCEPRKVTVKGPYTFSIGDVSGLGQYKRGGLFRQVKM PKFLDFKRISDALKGPEFVISDFAKFDRPQQLHIGIQALHAFVETHGRLPRPMNEEDA LEIVGSAKKIAQADGVEVEFDEKLLKELSYQAMGDLSPMAAFFGGLTAQEVLKAVSGK FHPVKQYMYFDALEALPTGSARSEELCKPIGSRYDGQIVVFGREFQEKIANIKQFLVG AGAIGCEMLKNWAMIGLGTGPKGRITVTDMDSIEKSNLNRQFLFRPKDVGQMKSECAA RAVEAMNNELEGHIVTLKDRVSPETEHIFSEEFWNELDGVTNALDNVEARTYVDRRCV FFHKPLLESGTLGTKGNTQVVLPRITESYSSSQDPPEQSFPMCTLRSFPNRIEHTIAW ARELFESSFVKPAETANLYLTQPNYLETTLKQGGNEKATLEMLVDYLKNERALTFEDC VQWARMLFEKQYNNAIQQLLYNFPKDAVSSTGTPFWSGPKRAPDPLKFDPNNKTHFSF IEAATNLHAFNYNINVKGKTKEDYLRALDSMIIPDFSPDANVKIQADDKDPDPNAEAG AFDDEAELQKLISELPDPKSLAGFKLTPVEFEKDDDTNYHIDFITAASNLRAENYKIE PAERHKTKFIAGKIIPAIATTTALVTGLVVLELYKIIDGKKNIEQYKNGFVNLALPFF GFSEPIASPKVEYKGPNGKVTLDKIWDRFEVGNITLRELIDDFEKRGLTIAMLSSGVS LLFASFFPPAKQKDRLDMKLSELVESVAKKPIPSHQTELIFEVVTEDADGEDVEVPYI KAKIR MYCTH_2299397 MATKDTTGASASGKITDWVKPGDKSGEFKRQQSSFRNWISREPG ARFPPEKGRYHLYVSYACPWANRTLIARKLKGLEDVISFSAVHWHLGEKGWRFPTAED TDAAGENVIPDPVTGHENFTHLRDVYFQANPSYEGRFTVPVLYDKKTQTIVNNESSEI LRMLGTEFNDLIDEQYRKVDLYPAALRAQIDEAHEWHYDKINNGVYKSGFATTQAAYE RNVVALFEALDRVEAHLAKTASEGPYWFGQTLTEVDIRLFVTIVRFDPVYVQHFKCNI RDIRSGYPAIHRWLRHLYWTIPAFRETTNFLHIKNHYTRSHTQINPLSITPVGPVPDI LPLDEEVPAAARGRGEEGK MYCTH_2299402 MALNLPPLGANAGGAAHTQASLPSLPAHYQSDAQLTAHLASRFH VSIPTARLSSHAIICTNTYTSSTKGDGSSAQAAAEDMADRALLRLGHRSENQAILFLG ESGSGKTTVRSHLLTSLLNRSSTPLSAKVSLAAYVFDTLTTTKTATTPTASKAGLFYE LQYDTTSSTNPVLLGAKLLDHRLERSRITDVPTGERNFHVLYYLLAGTSPAEKAHLGF DTPQKRWKYLGHPTQLKVGINDAEGFQLFKTALRKLEFPRSEIAEICQILASILHIGQ LEFETTANTTVTGDDSGGFSHEGGQATTTVKNKDVLGIIAAFLGVSAADLQTTLGYKT KMIHKERVTVLLDPAGARANANELARTLYSLLVAYVIESINQKLCASEDSISNTISII DFPGFQQQSSTGSTLDQLLTNAATESLYNLALQNFFDRKAEMLETEEVSVPPTSYFDN SDAVKGLLKPGNGLLSILDDQTRRHRTDMQMLESLRKRFEGKNPAISVGAATAKLPGS NFFTENTAATFTVKHFAGEVDYPVKGLVEENGEVISGDLMNLINSSKSSFVARLFGQE VLQTVVHPQERTTVMQASVSSRPMRAPSIMSKRGRSAAALNARQRAMERDLSAGQGSD PGDKRTGSARTSEQGASGQFLSALENVTKSVTAPGTNCYFVFCLKPNDRRIANQFDSK CVRAQLQTFGIAEISQRLRSADFSLFLPFGEFLGLADPETAVVGSEREKVEMVVDEKR WPSNEVAIGATGVFLSERVWMEIAQLGDSFSQSGRYGLPSDAGDGMGTPADPFGVSKE RLLMSGGATPASFNPEKKAGYFGSNDVDARSDAGASAFGGGDMFKNLDTREQMAERGN EKEMQEVEEYKDSPSRKRWVFVVHLLTFFIPDFLVRWIGRMQRKDVRMAWREKMAINM IIWFSCLLAAFFMVAFPMLICPHQYVYSPEELSRYDGKDGRPAYASIRGQIFDIGAFA PRHYPTYLPQKMLTQYAGMDITSLFPVQVSALCQGQHGSIDPAVLLDYKDTNVTGSVA VISSQDMNSKYHDFRYFTNDSRPDWFAQQMKMLRANYKVGNVGYSAQYVRTLSTKQAM TIAILNNRVYDLTKYTQNGRRQKNPPGMPPPSDPTATDFMHPLVVQLFQQRSGDDITA LWQSLGIDANMKRAMQLCLDNLFYVADLDTRASAKCKFAEYLVLSVSVLLGSIIAFKF FAALQFSTKNMPENLDKFIMCQIPVYTEDEESIRRALDSAARMHYDDKRKLLVIICDG MIIGQGNDRPTPRIVLDILGVSEAVDPEPLSFESLGEGLKQHNMGKVYSGLYEVQGHI VPFLVVVKVGKPSEVSRPGNRGKRDSQMILMRFLNRVHYNLPMSPLELEMYHQIRNVI GVNPTFYEYLLQIDADTVVAQDSATRMVSAFLDDTRLIAVCGETALSNAKSSFVTMIQ VYEYYISHNLAKAFESLFGSVTCLPGCFSMYRIRAAETGKPLFVSREVVEAYSTIRVD TLHMKNLLHLGEDRYLTTLLLKYHNRYKTKYISRAHAWTIAPDSWKVFLSQRRRWINS TVHNLIELMPMNQLCGFCCFSMRFIVFIDLLSTIVQPVLLAYIVYLIVMVVERGTVVP ILAFVLLGVIYGLQAIIFILRRKWEMIGWMILYVIAIPVFSFALPLYAFWHMDDFNWG NTRIVAGEKGKKIVISDEGKFDPASIPRKKWEDYQAELWEAQTQSGLHDDTRSEVSGY SYATRANHPMSEYGGYTPSRPGSISGYPGIPAAPSRMSLAASEMLGGNNHRQSQFGGS QFPGASQSQQDLEMTNLAGMPSDDALLAEIREILRTADLMTVTKKGVKQELERRFGVP LDSKRAYINSATEAILSGQL MYCTH_112916 MANRMSVYSMASEPGMGGSRGGQQSQVSSTTLLNSIHNSYLSSQ PYQLDAGTSLVVNTWLTASQPGPTGHVGGTVDANLITRAWEHARRRAEDGCIILGKGL LTVASSLHTSTPSLLRPALSSLPVPVPSSVFQSLQAIEPLLRCVTPYNPSTPRQVALG VTLTLNLAGKVVGASVALSQGGIDTEKGLLRIPAEPGHRAFDAFYYLLTSASTPAERE FLGLKGASSYNLLARSGTYDPPSYLPTADDGTAADDFRSALKEIGIKGSAHRNFISTL AGLLKLGDTVDYHVDEDVLEEVSEDVSGLLGLEPEVLSKKCTTDDRATLLAGMYEALV DWVIRKANEAIAAQVGRIRDGEESADGSQGGRTPNSAEDTGDTVCLHFLEIPDPNLGK AVAMQGVFDDNQGINSEMKQDGLEVAPASSSVLREMQNAVAEAGPELGITVGSLGRDR QHMLEKREEVLERIALAAEEDGFLKQLLIPVPAEGITLGRGGRIDLSALLSTSRAWYH LCIHPTDESPASLAALPSVNSAWSAGTVSRQLRAWRLPEWSNRRNKQLDYTVDFDFDE FVARYRMLGCTDGRDGIESWVLERGWANGDVVVGRERVWMREHAWWEAECALDMSPAG AERLGSMPNMMPPTSLGTGYSATGSGFFPPQPFHDASSNGSREQLVHQRNLSQATLGG GLRPAMAPSIAPTGMTGMRNTSNGDYGLGTKGDTHRGDVYYNADGQFVGLDADLAEGK KITEQPISTSRRAWVTLVWILTFWIPSPLLKYVGRMKRPDVRMAWREKFVLCFIIALL NGILVFWIILFGKLLCPDYDKAWSRNEVSHHLGENDFWVSIHGKVYDISKFWKIDHGT PAYPSSREYMEPLAGMDLSNYFIVPLTLVCPGLVTDPTVQLTLNTSLEYSIADHTSGH YARDPTGPLGKVDWYSKRFLPRMKPYFTGELVWEPKQIKQEGDDYQRPWFLWENRVYD LTDYMKTQEVMRNNARTAFLHDKIVSAVKENPGQDLTDTFREILDNANATEAVKIKNT FNCLNNRFYIGITDYRQSARCQFNRWILIAFTAVLCAVILIKFVSALQFGSKRRPAPQ DKFVICQVPAYTEGEDSLRKALDSLTALQYDNKRKLICVICDGIVVGGGNDRPTPKIV LDILGVDPKVDPPALPFKSVGMGSEQLNYGKVYSGLYEYEGNVVPYLVVVKVGKESER EKTKPGNRGKRDSQILLLSFLNRVHHRAPMNPLELEMFHHINNIIGVDPELYEYLLMV DADTCVREDSLNRLVAACAADAKIAGICGETSLQNEERSWWTMIQVYEYYISHHLAKA FESLFGSVTCLPGCFCMYRLRTADKGKPLIISDDIIREYSDCNVDTLHKKNLLSLGED RYLTTLMTKYFPYMSYKFIPDAYCQTAAPEKWSVLLSQRRRWINSTIHNLVELMFLPE MCGFCFFSMRFIVFVDLIGTVMLPATCVYLGWLLYTVITRTGPFPLISIVMLAAVYGL QALIFILKRQWQHIGWMIIYILAFPVYFFILPIYSFWNQDNFTWGNTRIVIGESGKKQ VVAVDDEGFDPRSIPLQRWDDYALANNLPGRRGGHSEKYGMDGAMGMYEENYEMDDMK SVYSSVRQPSVLNLPGRPGAGAYMPPTPGTPFTPGAMTRTSTLLGTTPYTDNPNPAHR QSMMSMGTMGGGIGRSHTPYADFPGGATNSRLSMASASPSRLGLHPDLSTGSFGGGGM GSAGGVVDDAAIIEAIQSVLREVDLDTVTKKQVRALVEQRLQTELTGERRTFMDRQID NELANM MYCTH_2299407 MEAQTHKPHRASKKSKEKKAKHQAGQNPKAFAVSNPGKLARQAA RSHDIKEKRLHVPLVDRLPDEPPPRLVAIVGPPGVGKTTLLKSLIRRYAKETISEPVG PITVVTSKKQRLTFIECPNELEAMVDIAKVADIVLLMIDGNYGFEMETMEFLNILAAT GMPGNVLGILTHLDLFRKPQALKDAKKRLKHRLWTELYQGAHLFYLSGVLNGRYPDRE IHNLSRFLSVMKNPRPLVWRNSHPYTVIDNYRDITHPTKIEEDENCDRTIELSGYLRG TNFAANGQRVHIAGLGDFTISSMEVLPDPCPTPAMEQALAKATGKTGRRRLDEKDKKL WAPMADRSGMKITGDHIVITREKGFTFDKDAEGVERGEGEQLIVDLQAERKLLGQTDK GVKLFATGEQITEVPEEADSGRKTQRKARFVERDDSDDEGDVPEDEGFVSGDEEEEEK EEEGSDAEAEFDENKLGKLFRKQADKEEGGDIAFADSDSDLGSLSGEEDLEDLDHDLD EDQEDEEFDSDEEGAAVKWKDNMVERAKMLHGKRRPYRAVDLARFMYDTTLTPKEAIK KWRGEEDEEAEEDIEQDEDDFFRKTGNDEKEEQAEDRTIPHFDYEDLAAKWSSQDAVE ALRTRFTTANLLDEEGGDGGSGDDEEEGFGDDNDEGDGAFEDLETGETHGPEKEEEKK DEEPEMSLEAEREKNARRKEELKLRFEEEDREGFKNDRAIARREAGDQEFGEDEWYEA QKAMLQKQQDINKAEFEELDETQRTAVEGYRAGKYAKMVIGGVPAEFVKHFQARMPII VGGLSPTEDRFGFVQVRIKRHRWHKKILKTGDPLIFSLGWRRFQTLPIYSISDSRTRN RMLKYTPEHMHCFGTFYGPLIAPNTGFACFQSFSSSNPGFRIAATGTVLSVDESTEIV KKLKLTGTPYKIFKNTAFIKDMFNSALEIAKFEGAAIKTVSGIRGQIKRALAKPDGHF RATFEDKILLSDIVFLRAWYPIKPHRFYNPATNLIGWQSMRLTGQIRRDENIETPVQK NSQYRKIERPERHFNPLRVPKALAASLPFKSQIVQTKAQKKETYMQKRAVVVRGEERK ARDLMQKLTTIRKEQVAKRRAKKEEKREEYRKKIAEIEERLERKEKREKQAYWEREGR KRKSGDVGGGGGKRRK MYCTH_2314274 MPASYIVTCKKDATPEQVEAAKQHARDQGGQIGHEYNLIKGFQV IFPEDTIHTLENHEHVEAVEKDQVVRTQ MYCTH_2299412 MRRAIVRPPWSPPRAISGFNCRARASSTSAHQHSPRRHHGDRER RRHDHDVVPLLAKQPLHPLSLADLVKHGRPPLSAEALLASARFTLSLLPIRLAHRIQA LRNLPYIVVSNPNISKIYNNYQHSLSTLLPWQGRTISNLEDEIRFTEVLAELVQTHTD TIPILARGFLECRKYISPAEVTRFLDEHLRARIGTRLVAEQHIALHFSSTPHFDPSAS PTPCPEHPSYIGVIDTALRPASTVDACGGFVADICELNYGVRPRWVVDGEPDTTFAFV PMHLEYIITELLKNAFRATVENGKSGEPVVITIAPEPPDVRPPSQQQVQVRLGPPHES RGAFARDAIAPLDDNAPGVTIRIRDRGGGISTDVLPHIWNYSFTTFNESDDDYMPGGR SPPSSSASWGNSYGTSDALSVISAASNGRSSIAGLGYGLPLSRAYAEYFGGGIAVQSL HGWGTDVYLRLKGVGKIE MYCTH_2124443 MRAITRFFGGPPRRVSSHIKRLRAEGEAAFRRSLHLAPPFLLDD YTPRYMGLSSTEAAKKRSKAYAHLRNCNLCPRLCGVNRYETTGMCLIGDKVKVNVIAP HFGEEPCVQGHNGSGSVFFSMCNLRCVFCQNHDISHQRNGQDLTPEELGDWQVFYFVL FIKLQEVGKVHNINLITPEHVVPQVALSILHARDNGLTLPIIYNTSAYDSLASLELLD GLVDIYLPDFKLWSPASSKRLLKADDYAATARESIKAMHAQVGDLCFTPDGIAKKGLL VRHLVMPGFEAEGAEIMKFLATEVSKDCFVNIMEQYHPDAYVGRPKARAKQSAADKSD DATERRYAEINRAVTKEEISSVRKAAEEAGLWRFCDPPGHNGFNL MYCTH_2132825 MDPSATIDPATGRPLPPDAIVRILHITPKVHVYSIPRDAVATAA GYAAASWTADPRNPIFTARLRVLETSFTTSCSSSSPETKGGGEEEEEQEGELQIKVDI LLEDPSTGSLFAAAPYTTPAAVEPTTDSSRFFAVRVQDPSGKQKATLGVGFEERSEAF DFGVALQEATRALGRSQPGSSSGCGRQGAAGGKSGSGGGGAKAGAGAAEEKRDLSLKE GETITVNLAGTRFAGRRAARSESQGGKKKEEEGEEPQSLSAFALPPPPPPSAREVRAQ KRLIPECQSAYTMLHSHDVLRQLRPNRVTHPLFQAKQWNVLFRLCLSHRATTTTTTTT TMCCLSAI MYCTH_2299416 MEADSISQPAPAAGAATQQLPVRTSSTPNTPQRENGATFDIVRT YRELLNSDRDLTMGVAAIESLIELLRVTSSSTAMELVEIVKKEKAKLLASVPNPLPLL AGADLFEQYLLRSLRGPTATASGSGTDRVLSFEETRQHLLDNKDLFAQRAKADRDSIA IWGSRYVSDGKVVLTAGGSRTVTKILLRAAADPSKHFKVIYVVDGHNKRSDVAVAQLR EAGLEVETIAPHKVAYVLGNQKQINLVLVGTEVVMQNGGIISGMGTAQLAHLTKTVKG GIKRFYVAAETHKICRKTPIAYPMVNRVGVNQKDISKFDNIGVDLGPEAAGMLAEQDE VDYTDPELIDGIITEQGVKMTSQIWEMVDNYI MYCTH_2074210 MPIAQETTVVPQGATPRLGAIKEKKGVPPLRTAAATGPYIIDIR HAVVEINLKAEVLAQFRARDGPRKLPTLLLYDENGLQLFEKITYLEEYYLTNDEIAVL KSYAADIVKYIPSGAMVIELGSGNLRKVNLLLQALEDAAKDIDYYALDLSQQELERTL AQLPPYKHVRAHGLLGTYDDGRLWLKDPTIATRQKCILSLGSSVGNFDRREAGAFLKS FADVLGPDDTMLIGLDACDDPAKVYHAYNDKEGITHEFILNGLRHANRILGENAFVEK DWRVIGQYVYDAEGGRHQAFYAPVRETIVMGERIRPHDRIQVEQSLKYSAAEAEELWR RAGMTEIAQWRHLKEYGLHMLARPRMAFSLTPSVYARTALPSMRDWEGLWAAWDVVTR DMLPPEEILEKPIKLRNACIFYLGHIPTFLDIQLTKTTKQPPTDPAYYYGIFERGIDP DVDNPELCHAHSEIPDEWPPVDEIRAYQGRVRARLQSLYAAGIDAIPRHVGRAIWVGF EHEAMHLETLLYMMLQSDRTRPPPRIPAPDFESLAAKARSERVPNQWFDIPEQEVVIG LDDPEDGTDPHAPYGWDNEKPLRRVKVHAFQAQGRPISNEEYARYLYNTRTTKIPASW AQIPGGTVKTSDETAAAGGGTDSAEANNNNNENGRTNSHSLANGVALPESFLDDKAVR TVYGLVPLKHALDWPVFASYDELAGCAAWMGGRIPTFEEVRSIYKHAEALKKEQAENQ LSQTVPAVNGHLTNNGVEISPPATPPGSTDAGSEADSRDRRLSQEDLFIDLNGANVSF SHWHPTPVTSRGNQLAGQSDAGGVWEWTSSVLRPWDEFQAMSLYPGYTADFFDEKHNM VLGGSWATHPRLAGRKSFVNWYQRNYPYAWIGARLVRDVE MYCTH_2052991 LPPSPLPRDPVAHAAGRRFNGADLDPKYVAPQFRGEEPNPAGFE NGKTYYGSCHCEAVTTAVRLDGSLEDGTYKGLLLECNCSFCRQGYAWVYPTSKQIAIE GRDNVFYYTFADRCWRKMFCKMCGENIGTEPNPDLTEEEVAALPRLKREFRAEHSDIN SINLRTINGLDVKQLKLRREDGWNNLKPQYVYP MYCTH_2299427 MASTTVPHITVLGSLNMDLVAYVPHHPLPGETLTADAFATSPGG KGANQAVACAKLSRSRPESGPDVGETARVAMVGCVGADGHGAQLQSNLAGYGVDVSGV EARGGLTTGVAMIIVDKPTGENRIVLSPGANHALRPEDLSTLARLRPRRDGEKKKEKG EEEGAQKLPDLLIMQLEIPFDTVLAALAAARREGVDVLLNPAPARRLPDEAYAGLAHL VVNETEAAILGEVDERALDDEAGLEKVAEGFVKKGVHNVIITLGGRGVYYYRSEDGRR GLVPAEKAKVVDTTAAGDTFVGQYALDAVVAKKGGEAFDIEAAVRKANKAAAKTVEKA GAQDSIPWRDELE MYCTH_2299428 MDSKKHLASLMEDHSPDPGAEAIRDTGRSGDPFSGQPSSGIDLD LGPGPNHNTLSCVTRETSQEDCARHRFIVWAAVKRNSSVRMTPEERLECPLLRCTQRF PDHESMLKHLAGCRYLASGEYWCYDHMRVERFDDLKCKKCLGHPSKRRKMLTMAKSFF QSLGHKAKKSPSVKLVDQEMMLQPPPPPSYDSLSIPSVKANASELPSTEISEIDSFEV PLLQPTPAPAPSSSINPQALLVPAPPSLPELESNEALTQWQPPVSAFTQPPFTDVACD YRPASATAPPRPPMPGIPSSLNAALNAHLPILAQESGSANPPPPPKPALQLTTAGIPG RRQIPRSAPRPAPAVPRSMGLSPSSSVRSTASTDTTTSTASYSSTLISSASGWSGTWS IASGLDTSMTSPIEACTVTDESLVDVLNCDHQQDGPQGSPHDFFSELPADLPMLDSAC DMTSNALLGLDPVLPTNLASAPEIAPADVAAPAVEIGDPEIGQANTCCSETKSLVSSA WDNLQEHIVSSMWKLQDHGDNYLATQLRAMSIKTIATTGLRTLRALIDGQQPSSASDA LCLIHLVYSFFVVVRGQETSNGAKRLFQQSLAYANGLPPNERTPYRQLVVAIWEPPGF SSADTGVQLSLATGSPSSGLSPNHKGKSLDHSGRSPGKHEDPLLNAARDFLDELESSL LAEVSPPLEVQISDLHIEHLKVGNPPVNEALVATAKEVLVTLSRQFDHAALRNRLGEV YQRLCNRSIYSVRRLEIELIQAGRTCLSTGKFFDDYVPRARVLCDGIYEKHDVGASRR DAYHGLGVMLIENLVLVFDGSGGMAGTRPPSDDLDMFLNDLSQAGGTGTDRQLKSGQA AGREPRRNQLPTPMASQSDSPSSSATTSPAAEQTQHPPDPPEPQQPAAGQKVEADSCC EICGYRPRGDPQWFKGSMAKHRKLQHSTEPPKIYKCPYPGCTSQYKNRPDNLRQHQIE KNHWVQGDEITPRRPSKRRKMAAEDQPLRENDELVWGEKVKH MYCTH_39101 DEEESSSGSAPNSPVIGAARRRFDDEEAQDNDVLDSWDAAEDSE VEREKAKKAAEAKAKAEAEAAAKKKSKAQRIAERQAERAAAKANAEKDESEEETEAQR RERLRRTEKESDLRHAEDLFGNIGISSGRKATTAGSAVPIDANDPTKTVDLSALPLFN PATKVQFEELRNILGPILAASAKKPHYTLFLQEFTKQLAKDLPSDQIKKIASTLTALS NEKMKEEKAAEKGGKKTKAAKTKTSLAGVTRGGGMAAEMDVYDDVDDGFGE MYCTH_59445 MTSSAFTTQLGRRFWGSIRDSVNVLAARLPTRRPLPDQPSAAQP FFFNTSRGRGNRFSHSGRSFFQHPSPRISPQNVRRSCTSGGLLLLGLGSSPSSTSAVV ATCTAAADSALARGAGQRATLSHLARQIWLQSRQARHGPGHVVAPGRRASSSKTSAHG DKKAESPPEKQPEPPQQPPAKPPAKAPGAEPSEQETLADSMSKYLHLPKMPHRPTKEE LLAAASGFGQRLKVRFKWFSIRSMRPWNADEWGAFLSWFLFGHIVWILVGTTTFFSIV ILTINTVFAQETLAKWVGDYLTQSAGLTVVFESAIVPKWKDNVITFRNVFVSRRPGQV KSTVTKGSSNAAAEAAAARKAGQEAATEEDDDGNYTQFDLTIDTVNVTLSFIKWWNGK GLLKNVEVKGVRGVVDRTSVKWSPEPVDPLSYRHEHNPGDFELDYFKLEDLLVTVYQP GGFRPFSVSIFSCELPQLRKQWLFYDFLSASHMSGSFDGSLFTIHPRQIHGISSAGDR RQDEFGDPSVWKKFSRLRIDGLKIDHLNRGVEGPFGWIYEGNVDLVADIMFPTDPAEG IGKVVAEFYDKMEEAVTSNRYLKILEATGNRSRSSEPDVADDSSSDVIFDGSQRSHED DISPSSPLEEALSSTATASPQVDEKENPSYLVMDLRIHLNDVRAAVPLFNNPHISYVN QALVRPIVAYINAKHTYIPVNCRIVKRLTDFDGSWTVWDCGLMDDTSAEVYAAFARSV EDQQSRVRRFKRVGLWTISLVVHALLAGVAGDLM MYCTH_2137771 MPAPTALVQPAAAPDPVAVPAPEQKDELLVDMQEASALEPTAVA PPTNAEDTDMAIDEEGRPRFAPGKDVNPVRRAETRKIPIPPNRMSALKNNWSKSYPPL VDHCKLQVRMNVKEKRVELRSSRFTTSNDALQMGADFVSAFAMGFDIDDAIALLRLDS LYIQSFDIKDVRQTLGQDALSRAIGRIAGKDGKTKFAIENATKTRIVLAGSKVHILGA FENIGMARESIVSLVLGAQPGKVYNNLRIIASRMKERF MYCTH_37848 LATMVTDDEIPAQPKKKALPFKRTVARKQQSQKPQQPSEEAKKA DDDNDLDFFRHTDEVFPEILREVSEGKDQENHDRKRRKLSSPPDDPQRSHKQPVTLDE SDNDLIMDVKGKGKEIPRPRRPSTPLQTSTAREVSESPGSSRTTPRTAVSRRSLSKNT AGSPGAPVTVLASDVSDSDDVGVVKPTAPSNKRGASNPTTPGRSRDTSSTSSSPIEIL PNPDPDPNPPSGDDFSEWVAKARALQASESHTAVVEVLTTSRIEGCDKPVRTRCRMNQ AVQIILKAWIERTRSSRVVIPDDMAARMFLTWKGNKIYGHSTLASLGVQVDAKGRLRN NQGEGYTRDGIHLEVWTEEEYAAYLENRGKKRASRLLAADDEDDDDDSGVDDRRGASG GAEEAPAPAQQRKKKGIRIVLKAKDHEPLKLTTREDTTVEMLIEAFRAQRNLGPEWDV AMWFDGERLEEDSLVTDLDVDPDDVNQLEVHVKRGGS MYCTH_2299438 MSVPKSEYLSNVWADGIFANRVLFITGGAGTIGSAQTRALVHLG ADACIIGRSVEKTEAAAKEIAKVRNGARVLGIGGVDVRNFDALKAAADRCVKELGAID YVIAGAAGNFVAPISGLSPNAFKTVIDIDTIGTFNTIKATIPHLVASAARNPNLNPSG LTGGRFIAVSATFHYTGMPLQAHVSAAKAAIDSLVGSLALEYGPFGVTANGIAPGAIA GTEGMERLASSRMSKADQDRGVPSGRWGTVRDIADATVFLLSDAASYVNGTTLVVDGA GWRRQGGLAVGLDPGMVYPDFLLKGEVSKNLKDGRRKSKL MYCTH_2299442 MGLGVLEDRVMDHVPGTTRYFDDPERPQYAADGVEGLKCDTSGP VPVILVPQPSDDPNDPLNWPLWKRDLITFILSMVAIFATCLGPILAANTVTLGLYYTT SFAKIAELTGWYLLGVGIAAFFFVPSGRIWGKRHLFVGGTLLLVVTAAWGGASQGEGN YRSMVAARVFQGIATAPFESLVNAAVGDLYYVHQRGIRMAFTNLAVFGGAFFTPILVG KITNTIGWWWTFYLVAIFCGACFPLVFLFCPETAYRRDTVLNLDLLDKENPANARAAN PGTPEDAEKSGQSNGGVSAVKTDEEANTGPEANGAASTAAPVSAKSATRPKATFKQSL ALFNGRKTDETFWKLLLRPLPLFLQPAFLWACLIQGLMIGWTVFIGVIMAQFFLGSPL WWGEVKTGYAYTAAFIGAIVGFVIAGALSDWSARAMTKWNNGIYEPEFRILLVIPQLI IGCAGLYGFGTTIDGLLYEKYHYAVPLTFFGLEVAGMVIGAVASSLYIVDAYRDLSIE GFTCMIIFKNVFSFALTFRAFDWLVASNTKAEPLFNIVASIQVAVCVLSIPMYVFGKR IRSFFHRHDLLEAFGVR MYCTH_2299445 MKAIAALTLALAGAVVAQDGLGLPECAQSCASSFLAGGIGNCGR DVKCICQEKSFLSDIACCLAGDCSAQDQAQAVSVAANLCRGVGVTDLPSSVSCATAST SATGSAASAPTTGSASTTASGSGTTFGPTNTATAAATETSTQSSNLGPRPTAAAGLGA IGGIIAAVALL MYCTH_2051392 MQRKQGRGYSGHLPRLKPVEQDPLAEYGLPSKGEKRLLSPKVQE SYYTQITARYLAFCTAAGDRDNLQKQFARLSLTGGDSKSSRPSQLQPLPSPLLSPSPQ TEQPAAHPDSEQHQHLSQILSALRKLREALVASNRRDHFAVQVYLFSARLGILASAYE TYYPALLQLLRWRNRHGDVAAAAAAANDNDDGALTSLERQEVVGYLVLDAACRRGDLA GAYRLRHEQGLRDGRVDGALRALAADNWVAWRRVRRQVDGYRARLMDFAEARVVVLHT LKAFARAYHSVPLGVLEEQTGCAWADLKERFGVGWELDAGNVVIRKVKGR MYCTH_2108150 MAAEQTTRHRNAPPAYSEVTGQQSSQGFRSEKGPSKHFSEDGPS STPAGTRRPTVWRRIWNRLALPRWLRQVRSEPPVSPLPSRELENARPLPRSHLPLAGS VSQYSDDGWRRPVTTCSVQTGRLPPRGRWRYKLARQHCPIERATPEALEAAPWIAEAI VGVQDLRRLVQERPAWLENLHRCFSDKISTKRHPLLPNKKLFRRRIKIYYRSPDGASL SRAVGISIWHRDAQWLAALTYARMATMFRPETMMCASAHTFSAEDVLDVGKVFYLVFP KSCCTQWWPPCFQGDGRCMFRRIFATHLYLDDPELRDLAHSYFGPQLPGGRPPPMKMC PPEREDAECACHNAFFT MYCTH_2299448 MSERIKQIARQLNYPKGLLAGQVAIITGAGQGIGAECARLFANE GAKVVVSDIDAAKAEEVASKIRARGGEALAVAGDLLKDECIKQLIQKAAAFGNGKIHI LVNNAGFTWDAVIHKMTDKQWDTMLAMHGTVPFKLVREAAPYFRVRDGEPRNIVNISS TSGLHGNAGQLNYAMAKAGVVGLTKTIAKEWGPAFGVRANTVAFGHIETRLTANKEAG AFIEVDGQRVALGIPAKQKAAPAGAVPYADIPLRRPGTATEAASAVLAIASPLSSYIS GQTISVTGGRNM MYCTH_2299450 MAPAEPSSDKEIYSVILPTFNERQNLPIITWLLNRTFTEHNLDW ELVIVDDGSPDGTQDVARQLVRAYAPHVQLQTRSGKLGLGTAYVHGLQFARGTHIIIM DADFSHHPKFIPRMIALQKERGYDIVTGTRYAGDGGVYGWDLKRKLTSKGANIFADTV LRPGVSDLTGSFRLYKRAVLEKLFESTDARGFTMQMALAVTAKAKGYSIGEVPISFVD RVYGDSKLGGEEIVEYAKGVLQLWWSI MYCTH_112905 MAAEFPKHPFLLSVREVEQALGTNVDNGLSSSKAAELQQKYPPN ELDVGGSIAWYTIFIRQLCNAMILVLFFAMALSFGVSDYIEGGVLAAVIVLNVSIGFY QEYGAEKKMDALRALSSPSASVLRDGKTIVIPNAQVVPGDIVNLKMGDTVPADVRLFE AMNLTCDESSLTGEAIPVEKQIDNDIVVPGTEKLAVSEDEVGIGDRINMAYATTTVQK GRGRGIVVATGMQTEVGKIAASTNKKRRKAGRSMNWRKYGKTQPIKGAFRRTYDFLGK FLGLTEGTPLQIKLSKLAYLLFFCAILLAIIVFGVNKFVQPLPKEVVIYAISTGIAII PESLVAVLTISMVVATTVMRKANVVVRDLSALEALGGVTNICSDKTGTLTQGAMIVKK VWLPPDKIYTVSGSTDPSDPYKGTVRDQPQAEEKKRDFDQERTTQAVKFDGVPDEKLN PTPAEKAKMAELTPELRLFMLSAALCNLATVRYDEGESAWKTTGEPTEIALQVFAHRY DRNKKAMEALGWRQVAEFPFDSTIKRMSVVYEAPPDNDAELDPSNSVVFTKGAVERIL DLCSHVGTGSNQQPMTAEMKEQILDQMTSFASLGQRVLAVAYRPWQGRYTADRTFSPA NEDDEKNLILLGLAGIYDPPRRETSPSIYECSKAGIKVHMLTGDHPETAKAIAKEVGI IPTNMSVLPAGVAETAVMKATDFDRLSDAEIDALPELPLVIARCAPETKTRMVEALRR RDAFMAMTGDGVNDAPSLSRADVGIAMGSGSDVAKSAAKIVLTDDKFNSIVAAIKEGR RMFENIQKFVLHLLSSNVGEVILLIAGLGFQDESGLSVFPISPLEILWINMVTSSFPA FGLGREKASPMVMRKPPQDKRRGVFSNQILADMMVYGLLMGICTLMTFVIVVYGRYDG QLGHDCNRKFSDSCIPVFRARAAVFAELTWLILLSAWEFKDIRRSLFRLNPNDTSRFP LFKDLYNNPFLFWAVAIGFVSVFPTVYIPYLNRDVFKHTSISWEWGVVIGMTIVYVLG IEVWKYVKRRLNILDDHKVVQGPWSQGEEVTKKYHKSLGLGHLKNWLSAGRTLSASRT ESRSKAPSTAALTPVTTQQGTQQETQQGSSALPPV MYCTH_2299456 MSAEPEKVAQQGQSTGPPVTAAMSAAEIPAPNPPEKQDAKPEGA KAEQGEQAESAERSIQAGAGSASGGGVEGVVPEPSAPQEEEPKPASTDRSTEAAEPSA TSTAPAAEEPKQTNGRTDTSAVGEPLEAAASATEPPKDVSEKLEEPTTVKPTDTEPAA AASANGEARPVPDTETKDAPAATPATAAEDDTANNKRKADAALGPSADAEGDAAGSKR VKTDAEDDSAPAKTETEPPAETNGGGADAPPAPPAKKRGRPKKQATKPAAPVGRTARK TRSQGPVEV MYCTH_2299460 MDAIRHVLSRDEPGGKDNNDPAKVLLGLLSDPFSSQVKLQSNAV FAALASSLGVTVAIAICFSFLRPYNSVVYAPKLKHADEKHAPPPLGKGIFAWIVPLWT TSERDMINLVGMDAAVFLRFTRMCRNIFLVLSLLGCAILVPINWTNFAADEAKWLSRI TPLNVWASAHWATVTFAWLLTIVVCGFLWWNYRKVLQMRRVYMRSEEYQHSLHARTLM NLTSDEGIARIIDTVAPNSSFSRTAVARDVKILPDLIQQHDKAVRKLEKVLAKYLRDP DNLPPERPKCSPSKKDPSYSTYPKGHKVDAIEYLTQRIKVLELEIKDVRQRIDKRGSM PYGFASYADIAEAHAIAYACRKRKPRGATIRLAPRPNDIIWDNMPLNSSTRSRRRLWN NLWMAALTIAWIAPNAMIAIFLVNLSNLGQVWPAFQESLRQNAGIWSIIQGIASPALM SLVYLVLPIIFRRLSIKAGDQTKTGRERHVVAKLYAFFVFNNLIVFSMFSAIWNVTAT VVQQTEGGANAWHAFLDANIGQTLFVSLCGVSPFWVTWLLQRQLGAAVDLAQLWTLLS TFVTRKFSSPTPRELIEFTAPPPFDYASYYNYFLYYSTVALCYGSIQPLVLPAAALYF CIDVGLKKYLLLYVFVTKTESGGLFWRILFNRFLFGSMLSHLVVFLTCWVRGDGTHVE AYAVAPLPFLMLAFKFYCSRAFDDKMHYYATRYSPQQRAESGFDFKEQSSRNDRLAAR FGHPALYKPLITPMVHAKAQHLLASIYQGRLSDGRDAGHDDSATVSGYSDTYLLDNMA SGKAGKLSPSIPGFEVVPESRLDFEFYKNRDEFAADHGGGDLFGRNPDIVRPGTPGTI GSGHSEPNSRPGTPQGGMGFAGNRNPYSPYPDPIGASSAYPGQPVYLSSSAFDPVARP RSPMYANPNDSATNLVAAAAGMPVSSPGIPPTPSTYRSPGAGATDDDLGPVASASSSR YNQRAPGMLGGGPHGYGGLPQTDEYDVQSPPLRTVAGTQQQKDPAQYDYFRGGSSGAR AGYGDRSVTPGAATRGKGPGAGSAGSQIWGGNR MYCTH_2299462 MGGQSREGGKAKPLKAPKKSAKELDEEDLAFKAKQREYEKAKKE LAAKASGKGPLNIGSQGIKKSGKK MYCTH_2299464 MASSTFSSDEGEIVEATPLPRSGKNGDVDRTGRHRGRYPSRTPE RDLPSRESADGPRRSRSPRGWKRSREDHRDRRDSRQFRVHYEGSSRDYRRPYRDLDRP PSRGADRYDERPNYRYGSREPAPPRPRGHRADGGTYDRSYDRDRRDDGYPDKRARTRS RSPRGRGDRTDRTDRTDRAGRDGGRSGDDLRSGEDKYSAPAERRPQDGSTSRRDTPVE APDVSKQNAKTDQGATVERGMNDLALSQPSAPPEPEPDMDWDPDAAMDQEAAVQAEIE RRRRAREAALKRAATPTVQALQAGDRPASTPTSTRPSTPGPQKAEGATPSSNGPLSPG PSPGRVQAALSPGSFNFADDQALINAHAKAKTADEDGPSAADYDPTADMKEDERRDEM RHGNVGLHGEPRRPSQDELAAPPAETEEEDKGPKKGDDDDNFDMFADNFADKFAAPAP EKPDAAQEGRAPQGGGGILEGDDKEGYYKIRPGELLDGRYQVLTTLGRGMFSGVARAV DITTKKQVAIKIMRNNDALRKGGLTEIAILEKLNAADPEDKKHIVRFERAFEYKAHLC MAFENLSMNLREVLKKFGNNVGINLNAVRAYAYQIFLALGHMRKCSIIHADLKPDNIL VNEARNVLKICDLGTAIDRSDAAMAASEPMPYLVSRFYRAPEIILGVPFDYAVDMWSI GCTLYELYTGKILFTGENNNQMLKNIMEVRGKLSAKFYRRGELAHNHFDELGNFISVE RDKVLGKTTVRTLATVKPTRDLRTRLLAASAGMDDAESRELNHFIDLLERCLTLNPEK RLVPADALKHPFFTQRVHGYGHGHGR MYCTH_2299469 MNSCGLMAVQHFVSTLSGLRLCPHQRGTGTLNAAQAADKAIRSS LPLRCSEHPAAAGLPVSWPMHANIHLVGGCSDLQKQTTQEKRLNHLSDPRCGTQSMPS PDKLGGKLALKQQNTGDDRFGLRALVVYEVRIERLTGRSGDLLLFTPRKSGSFRVKRS KVWGTKQHHTGVRISGVLLGVPSAP MYCTH_2299470 MQHVSVFGYPTPPASPAFGRPCSVPSQHITVQQACLPQFVPVAP EERLGRFITSSLQLTSIVGTGAYGVVYSAVDTKTNVRYAVKCLSKFNPDGTPLDRRQV AFQTREIRLHYLASSHRNVVSILKIIDYLDCIYVILEYCPEGDLFYNITERGQYVGKD ELAKKAFLQILDAVEHCHNLGIYHRDLKPENILVTDQGETVKLADFGLATSSDRSEDY GCGSTFYMSPGRFLMSRTTPSPFPFLHIYMIYIYMPLEQRPLSLSLSLSPPLVPFTTP FSPFFSPVHTPLTFLFSLILECLDPSSRRPFYYCAPNDVWSLGVILVNLTCGRNPWKQ ASYEDSTYRAYIKSRDFLKTILPVSDELNDILGRIFTRNPDERITLPELRAQILACSR FTQQPAAELPPSPAPPGHTTYVFEGQPIVDDEYDSDHEVPLSPASSDGESCSSDEEGS LTSTCSSIEDLEDDDDCSLEDIPEARTPPPQAQAPLGEPAICEPEEPRHVPFQQPPEY MHHQYHGQPMLVPPSAQAMPMPIQPFAQNKFPLDQYVWDMLRYAQPTPQVQQLHHPVP FHHQVPLFAHHIQGCY MYCTH_2299473 MVGSALALSLIGLGAVQALPAARKVEERQVWLGPGNGDFHPGDG DLQPCLTDVPLEQQPPCLLPPIVGPINPSTKKRGFDKRQIWIWPGTGDFHPGDGDLKP CLTDVPLETQPPCILPPIVGPINPSTKKRGLDKRQIWLWPGTGDFHPGDGDPKPCLIN IPLQQQPPCLLPPIVGPIEPSTKKKRQAVIGDPSGGDITPGQGDLPPCLTDTPLDQQP PCLLPPIVGGIDPSTKKRGVMLPPDYATNPKGAVEQLEKELIRLQNKSKKTKKDLEDI AAIKAALRYIAGITNISAPPGTGTTFTPGKRDAAQCSNLEAAELALESLLHKDKLTAE EKAAKKKLTAFLKSCGITIIKSPDGTTTIIKPSD MYCTH_2116256 MASLVASLKLVLWCGHHLGTATIRDTAQAIETGVEATARRLLLL HWDDLPPWRRDNPSIRSGYRPTSNSFFASLHSLLYLHNESVNIWTHLLGAAAVAVGAA WAYGFLLEEVIAPRYATASSADALVFACFFAGAFCCLGMSAAYHTLSNHSEEVAKWGN KLDYTGIVFLIVGSYVPALWYGFFCWAKWLTFYLGAIALLGLGCLVVSWFEHFRTPAW RPYRALMFVGLGLSGVVPVLHGLTFYGYRELDQRMGLSWVILQGGLYIFGAFLYAVRF PECKWPGAFDIWGSSHQIFHVCVLLAASSHLYGMIKAFDFHHGILEAQC MYCTH_2299478 MRLRSTRGVRKRYVEEPIVFDDDDDEETITVPEDKSKDDDFEAE PDGAQAEDDDDKEEDGELMSEDEEVSGSAKVPQPQEDPSQSKRQRNTGAGMIQSRKGF HDIPHYPLETRIVTRVYAGPLRRYARYSALRDAMYGPEYERIKVIWDLEIRWSEFPVL PPRFPPEDQQGVIPSPWLPRGFERGQEKRALAWYDDFQVSAPEIQQSRVLSPDDGRRF VPQAEGDIITLVGPWNRQKEVRLSQGGSVSVSPTGLPVNHPDAPNKTPNGWMLDTGGI PLAVSWAPLSRQDIQVLAVATVPFSDQQPAGGDGPREDATDKTAGCVQLWEFIPGERR QGQLPSPSAQLPRLLSVKCFNWGRPKRLQFCPVALDSSGLYGLLALLTDDGTARVIDT KVVENEDTPLYEWIETPVVALVLAEDYNVTVTCLTWVNMNRIALGHSDGSITLWSIYP RQLLQRVGAHTTYVIDICSAYPSNPYLVASIPVGGCATLTDLSQPSSEMTYFPVPAIS FQPNLLCWNEPMQGFMALYPSSTPNTTIAFLHHRYFCQARSITTGPNTLTCVSAGATH PFILVGCADGSVFGCNALQKLFKQKGEPLRKLKVFEHEYRPTDSFKQPNAAGMSGLRG AARILQGFLPEENDDPRTEKRKEMDRKKREAKKKKKKAGKRKSRAGDEAETEDREAEL DEKLASRVVIHEPLTRLTTIQWNPNVQFSCWAACAMASGLIKVMDLGVE MYCTH_2299479 MNYKIADPNQSISNGTCFYARGKTSNLRFIPCGNAVFGNIHCCQ AEDTCLEDSACYNGRYGTTYLAGCTDPDYEDESCPDKTLWDGMTVATTMSCALAKADR MYCTH_2299483 MTSSFSLRSAALATVSALFAERTIAGAPADKCPTRFAWEDAQLT DQVISSTDPLLFGFGYPVDTAASASENGGPELPNCKAMPGDASWPSPETWALFNSTLG GALIETVPIAAPCYNNWPQRDAAACEYVTEHWGDPRMHVEDPTSAMFPLYQGRTCLPT DDPDGSNCTLGGYAAYSVAATNVSQIQLALNFARNTNLRLVVRNTGHDFNDRSIGAGA LSVWTHKLRDIQFLPDYNCRGYSGPAFKLGSGIMTEELYAAAEENNVTVVGGECRTVG IAGGYIAGGGHSPMSALLGMGADQVLSLEVVLPNGRFVTANEDTNPDLYWALRGAGGS TYGVVTSVTIRAYPKIPNTLMTYSYTTSPNVTTDTFFASLGVYMSYFDRITAAGAYGY FIVVSIGPDQYLFSMMPLWGANMTKPQLTELATPLLNDLAGLGIAITPNVTEYPSMFS AFNGAFPAAEQVGAYDNHAASRIFPKESFEPDRLNDTLAAVRHAVEGGGVLVGYNIRA APNPAVNQTNSVHPAWRRTTGFFILGASWPANATDAQIQQASETLTNDWMARWRAVSP GGGSYASEGDINEPDFQQSFYGDHYPRLLELKKKYDPTGLFYAPTAVGSEYWYITDQL PWIPTQNGRLCRKK MYCTH_2299489 MAPSAVETETVTVPDVSTLKLQAVAGPYKELAATRYDAEAEAGL KGHKAAKYPHYLPTWNHNEKYPALEPFEHYEHGKDADTSYPNLLPEGTVKVTHLTPTI GTEVRGIQLSQLSNAGKDELARFVAERKVVAFRDQDFADLPIEKALEFGSYFGRHHIH PTSGAPAGHPEVHIVHRGAGDTGVHDFFAKRTSSVAWHSDVSYEQQPPGTTFLYVLDL PSTGGDTLFADTAEAYRRLSPLFQERLHGLRATHSAVEQANASLARGGVKRREPVINE HPIVRTHPVTGEKALYVNPQFTREIVGLKKEESDAILKFLYDHIAFGADFHVRVKWEE KTVVVWDNRVTQHSALVDWKDGQRRHLARITPQAERPYETPFERN MYCTH_97480 MPRQLPWKVGTHATKQAPSRPKASASPAPSSASRSPAPSHRPTP VPKREETPEDRFMIEGIDHDDMYRMVEDEFLAVAGEFTRHLHTAEYQRLKGLAKSQNA ETIQNISRPVTQEMTDLVKRRHAALDTAARQRKGIAKTLRKRAASIDSDEEEPSRRPA TSLQGLMDSPRKQNVPLTSIIGTRPGSSYRRAADASPCSHQHPGNHAVPSMKPEKRVT MAGGTHRGPSLEPSKYEISTESDEGNDLDGQPPWPQRQSLQRPEPGNGVTEGPPVPRQ TSWYSNQSNPFLAASKTQLPRYQSPTNDASTSEHVATPNDDDEDDDPLARLRARRAEQ KRRRMNNKAQDDNTKISDSQAAAIDSIPFT MYCTH_104802 MEQRPSAQGRPGYGDYDPYLQHHGPEHGRQQGNNSGWDAIAPTP DLDSPASPPQRSQVSFAPMPSSTGLGIEATDGIAQVPASYNHYYAVTRDSLEQDSDSP STSPPPVHPTSKSNFAVHEYPVAGQDGAPYYSYYHHHHHPPYYHHPYDSAAPPPDGPG SSLLDRFANSTGWRMASGGWPMYLMFFLGLAFAVGHHAFYTSLDGKPADDQIRMMRFG GLLSYAVKASLVAAVIFAYQQQIWVTVIHNTLRLRTIDCLFAAASEPQSLLNWEFIKK ARVAVCLAALAWLFPLTVILTPATLTVAPRTEVKHDQCYGIRTLNFEAEKWKNWRLLD QLNGYRGLSLSLWNSTVADSVGLVDTPFNETFFDYWTESSPQLELVAAQSALTGAVIP RRDAGLETCGGGWNCSYVISFKAPGYKCSELARGRRLDEDALKRQGVPFNAGELAPNG NYGYLAVVDEGEYASNQVDVFPGGIPKMKPPYPKHLGAFRTEPVLWIGYSAHTGQGKP PENRTVPGWDTAFEAAVVRCEHYLTNYTVRFNHTFSEQITTVLKRDYLRPIINTTFVP GRYATDGTKDNTTAVPESNYVLPADVENYRVTAAYHSLGKRMRAYLGGQIQYAPYAIV ETDASKTRLIDTETYLPVPNLISEIQAFYENMTLSLLSNPQFVIVSWAARPDQRSGVT TPASFPATTPGGDDDDDDNNNNNNNSTRFPTYPCVRTRLTNAYEYKQRDLWGAYAAAV GAALFAVVLGSAALAQNDFRPRDARVSSIVAATRAPCLDALPWEGKASKWGKVPAEVL DVELRYGVIAAGAGAGGTAGAGYNNNGGVGSGGGTGPTPSVGTPAAFAMAVPGLWMGA AAAAAAGGSGGGATGPGGLLLAGKVYYGFAPSEVVERTRAATFGPGKPRNRLSAFSFH KNWQ MYCTH_2124479 MTILLDPVSTASGTGAQMAPPLHGASHSSSSCFLLRLPRSVRRR IYLHLGVARWDGLPMLFDLDGSVSRSMMQVDFHGLLLSCRLIYSEASALLYSSNRFVI HYRHVRGPGHDVEPSLQPVRNLTASSLASLVSLKIVLNQASCHHRSEDEAGGECCDDL RFNGLHEPDRCQRHHTAHHDKPLRSSCSTAGPMLDEWLRTADYLSSRIKPGGLRLALV CDLDPQEADVMDTVTKVVAPLSSLPELKDCHVRLCKSPNAELTRIARNAALQACRTPE PPSSPSGPRILSLPRELRLHILEYTDLITPWREVMWSRRDRGYRYPAEGCYPPGDFPC PSDLHWHHGCQFRGCYYQERIWLPDNELWRGSIGCFCRLRHAAFSSACRCWAPPTPLF LVCRALSEDAKFVFFSRNRFVVSDSRAFNPCVAFKAYEPVRWLEGNNRLDWESIESGN WREVQPRAYPAKRFAASQFLREVVPADCLGYLRFLELIFQPYNHMCWPDDGHPALQDW IATVDWVKHKIRASSLTLRLTMAGSLSHVPNGPDRRDKLSRAQGKQVLAGYNRILKSL ACLGGEDGFARFYADFAWPWKWTNWAYARREVLGFQAGQEWTKSKEDALNERAERFIL GDRYERLSARGKDLEERPWMSLRRRPRSGNANGILAPKMHLYHEYADFATHQHRKKKW SQAAACMIAAAGLRIPAHSHSHCLC MYCTH_2108166 MVVAGLEQAAIAKSRAAMAPVKLNELQARPGAAAEDEPLRKYVP STRRFPPFQPNVVALKPALRDMQQEAYSDSWMYKYPDDDPEGAAWHRTRKYPNFQEFI PWTTLMDEAEHAYHWVSNQPGAWTKGGPTKNGACLVSALFVPRPDGGCIFFSTIGRGK RANEIMVLKNPREWAPTWLAANDGRPNAIHCEDGSCYDFEKYNSGLPASYDIASHMSG LSIGAGKPSAGAPKQTGLSKGQPSTNNVFTAFQDIGQGEVDSHGNPVYYVSVNIYGMT IDQRQYFCFNSEGNPFNKPPEVSEKDPNPARASKLYKLAKALYSGKMAAASTLHDHHV VPQTHHDLPTQNYGHALYGHDGGAYPHHDNSTTNRPSTASSGSSGGSGGEYTTRPESQ TGTTGISASYASVPRTAAYGQHSGAHYDAAPSSRPSSRDGAYQDQPQASTMPKGGPAG GGGPSQTPAASNPAAAQKAPSPPRKVKLDVPKSKSKKSFTVHGIDKGKPYIKGVDGDK DDQHYEVSYSDKYNRFYISTGQSRKDRVYLTAV MYCTH_2299494 MNDEIAKLRLALEEERRRREEEQRRREEEQRRREKAESLLSEEQ RRREEAEEAAKASQPLQLPDYIEACHKLSLNIKVVTDPALTTQGDTTDPVGRVYPRRI LRWDDFPAKQEEIWDKLFDSSFASRRVFPSQHQLDYVQSVIQPISCEYGLRHYERGTV ENAVQKLVEAVYEDPLLRDRLGLRGTVIFESHTNLATIENSVSERSESTPRSGESART SSAPARKRARLSKGKRGQADQFCIYRTSDGAHIPALAIEYKAPHKLSTEELVTGLVSE IQPERDVINKDGSDFVFAAKTLTAAVITQLFSYMVRKGIRYGYVCTGQAFVFLNIPDD PTVVYHYLCVPNQDVLDDDENRLHRTAVAQVFAFIIQALHTAPPSLSWYDAAKKLDTW AMEYDDILSKIPLTVRKEKVRASPYKPQRWRGFTRSPIRTRSYCRQSNTEMISRNDDD EEGAPPSPSAHRQTRSQKKAATSGTGSGTNRARDDKKEAGQHDKTEAGQHDRKEASQG GETRQRIQDAQYCTHQCLRGVISGEFKDHACPNAAKHGPKHISKDDFLRLLRDQLAKD RGPEPDCIPLSLSGAVGLLFKIRLSAYGYTLVAKGVETENLWRLRHEKTIYDRVSHIQ GEHVPVCVGLIDLVLPYYFDGYEFEHFLLLSWAGQPLSKYVDKITKSSAIHLTTKAYT ELHRLHVLHCDAEPRNLLYDASNDRVMVVDFERATLHQPLGSISPNTQRRKRKRGSIA QKQGNGEFEKELEKELQYVVKNVSIRFGDNQPSGRRPPAS MYCTH_2124482 MPVAPLRAWRVRRRRRFRVRYRYKYRLNILYRDISEAFEKRYNQ FLKMNPDILEYYGSRGLDRLFEIDTGGETEVSYNNLRSALRRRSEWARMTGSPASPLP FSASSSSSPS MYCTH_2299495 MGTQIAITAAVVGVGAYAWYLRSSSTNRDKPVFSSFGFHTLRLE SAELINHNTKKLRFDLPDKSKPSGLSLTSALLTISFPNGRWLPVLRPYTPVNDLDEPG YIELMVKLYPGGKQSTHLHSLRPGDTLTFAPIKELAWKPNKHPHVALIAGGAGITPMY QLARGILNNPADQTRITLVWGVNTDEDIFLRDKFAAFERDHPGRFRAVYVVASPAAGS PHQKGFITKQILEGAGLSAREHKNRDVKVLVCGPPAMEKALKKRTGVLAELGYRPDQV YSF MYCTH_36908 TSIAQRTQALTLHSLGVKASEIEAITGMKKSALRALLLRAQKRG YVPGGPIKDEHVANAPKAGRP MYCTH_2299497 MADDGMLLNFEIGDAPLKNQVKFKGGRWRDRLKAQRNAKQWQGN GPSSTPPRRNPITADHEASRPAKRPRTEDGDSHRHAKVPRTADAGPKAPSHAIKTGHV SSSLFTSNPSAVTDFDRAPAEEVEPPKPSNAPLSEEAENFRSLGVSRRIAQHLANKLE MKAPTAIQKNAVPQLINGDSDAFVQAETGSGKTLAYLLPIVHRIMALSLNEDGTPKET KVHRNSGLFAIILAPTRELCKQISVVLEKVLRCAPWLVCTTVIGGESKKSEKARIRKG VNILIATPGRLADHLDNTKVLNVGTVRWLVLDEGDRMMEMGFEDDIRTIVSKIRAGKL LKENPEGVVLDGILPSRRVTILCSATMKMNVQRLGEISLEDAVHIMASKSEMTRDAEA GTEAVFAAPSQLKQSCIIVPAKLRLVTLISLLKSTFARKGSVMKAIIFISCADSVDFH FDLLKDTKALEPPTPHSSPSKADRNPNTDATVAPAAYITSPANKKVMLHKLHGSLAQP VRSATLNAFSRCKDPAVLITTDISSRGLDVPSVDLVIEYDPAFAVPDHVHRIGRTARA GRPGKAVLFLLPGCEEGYTSILPAATPIVPQLYESILQKGLITAVNLPSTYTTTETGK QTWTSRAEALQLHLEQRLLAKPDDATDEDEDGKAKAKAKAKQRSKPRDNPLLESARQA FRSHIRAYATHVREERVYFDITQLHLGHMAKAFALRETPGGIGGGVARRAQKAASGGD KKAKVSSSGKVTIKKSDGGGGGGGDDYDDDDDDDGDRIGAVDEDAARRMREKMKMVMN ASSEFNIG MYCTH_109566 MYAKFATLAALVAGAAAQNACTLTAENHPSLTWSKCTSGGSCTS VQGSITIDANWRWTHRTDSATNCYEGNKWDTSYCSDGPSCASKCCIDGADYSSTYGIT TSGNSLNLKFVTKGQYSTNIGSRTYLMESDTKYQMFQLLGNEFTFDVDVSNLGCGLNG ALYFVSMDADGGMSKYSGNKAGAKYGTGYCDSQCPRDLKFINGEANVENWQSSTNDAN AGTGKYGSCCSEMDVWEANNMAAAFTPHPCTVIGQSRCEGDSCGGTYSTDRYAGICDP DGCDFNSYRQGNKTFYGKGMTVDTTKKITVVTQFLKNSAGELSEIKRFYVQNGKVIPN SESTIPGVEGNSITQDWCDRQKAAFGDVTDFQDKGGMVQMGKALAGPMVLVMSIWDDH AVNMLWLDSTWPIDGAGKPGAERGACPTTSGVPAEVEAEAPNSNVIFSNIRFGPIGST VSGLPDGGSGNPNPPVSSSTPVPSSSTTSSGSSGPTGGTGVAKHYEQCGGIGFTGPTQ CESPYTCTKLNDWYSQCL MYCTH_2052169 LFLKYRYFVRVYINNIIIFSRIEEEYLQYLRTIYKILDKTYIHI SIAKSFIDYLAIRLLRYIINSKSITKTDDRITAFKKLKFLDTLDSLEYYLRIAR MYCTH_73480 MSPSAAVLPRALFTNFLLLLSLLLASPTSALRNSSCGVVNTPRY CRGTDYNESLANTYLCGDRRLGPTQLPSAWEDFTAAPILAAIVFGYDRLGGLCPGDFL ARWTRDDDGRYKYPEETQGFLPSGDGTGPLIWGNVTLPVGTLIDRFGGESGNYTSPAG TPYALRALPPSNLVETTTDYPYNYHVYSVAEPLTVLAGPIQPWFGQPGTGVQYELYSA VSVLIREGSLRREDPSVLLP MYCTH_2299507 METKSVPGAGESHSKHHELGHKLKLPFRELKDKLSHSKHLHDAK VHLIQQKHKIGKFANLFNPEHRHDEEHEKACDEKRTKIGESHRFQSYFPERDGNMVKW YVDGRDYFWAVSVALEQAEETIYIADWWLSPELFLRRPPYFNQEWRLDQVLKRRAEAG VKIYIIIYREVEAALTCNSEHTKHALQALCPEGSPGFGNIKVMRHPDHNVLENAADMT FYWAHHEKFIVIDYEMAFIGGLDLCFGRWDNHQHPLSDMHPEGVTNELWPGQDFNNNR VMDFQNVQEWKENQLSKEDTGRMPWHDVAMGVIGPCVYDIAEHFVLRWNHVKRDKYKR NERFEWLELRGRQGEDEDLVGVQRPRHPVGGYQLHPLTPLETKRINCGSVRAQIVRSS ADWSSGILTDHSIQNAYSELIRSAEHYVYIENQFFITATGHQQAPIRNTIGRAMVDAV LRAAKEGRKFRIIVLIPAVPGFAGDLREDAAIGTRVIMDYQYKSICRGEHSIFGQIKK EGVDPTKYIFFFNLRSYDRLNRTSAVKKQEEASGVKYRDVQRAHAEEVMGEGIHGTID AEGGRDSHMGERREQGPQRGTVHGELRDNLEEERRPDDVVRKMDARRRFEGAADGEKS KVNQVASVARHAMAGQGPVSEVPWEGEEDDEVNHWIQEELYIHAKLLIVDDRIVVCGS SNLNDRSQLGYHDSELSIVMEDTRRFQSTMDGQPFEAGWHAATLRRYLWREHLGLLPP QELDASNDPNAQPPSDDSPNDAWDRDDSWKFVEDPLSDELWDMWTGRATKNTELFRQL FHADPDDHGEPRSSSSSSSSSSSGTHEALTLDSQNF MYCTH_2142599 MAAVQIPEGGTILDTFKASFTKVPIDAENGNAISTTEFLDAAES LTTMFDLLGSVAFSPVKKDMMGNVEKIRKRQLAAPLESQNIQDLVRNELKTKSHTATE GLLWLVRGLEFTCIALSQNLAKHDQELADSFRDAYGVTLKPHHSFLVKPVFSAAMSAC PYRNDFYSKLGSDEEKVKEELRQYLEALDKIVAILKAFLESKEAKW MYCTH_2299512 MASSQRKTGTLKKPAAKAKTKKPDGKACKGKTKKALSAPILGLP AEIVLLIDELLDLQDRLALGRTNRWFHSIINPVIYRNNVNLANASCLFWGAENGQLGT LKHALAAGADLNESGPLPRKAGEADATSDTENDGDGDADDAGAELFEEPPDPSLQPYC TPLHLAAKNGHRDIVEWLLDNGADIDAPSYRVCECQSLKSGRHPSRRLSEWPRWRALH TAMCSQERLIAELLIHRGASLELDASEGHNHTALHSAAANGLIPVIKLLALNDMNLDA NQRDAWGNTALHYVAEIWSPRDSADIRDTITKLLALGADLEAHNDYGHTPLLNACFRG NFAVAHRLVSIGANPDPHRHIPNFRDFRPLYYCMLQRAEFFDMDDAPVKHDEFEGNRV TLIRALVEAGADVNAQFDVRTHRGATPLMLACELAEPRAVATLIQCGAEINAQDRNGR TPLCYAVTLRVDHRGEVPEIATIMLRHGARMDIEEEPNCSPLDTAIKHIRWAEDDVLE AMLKVANRNNLTEGKLKEALRSCASCGNYKALKLLLEFADRVYEVTDADVKDYLCRII EQNDPWNQIETFNCMMDFGRPVYTNEMLLLKTIMQQNRELSLAVMSRGVSISEPRFHG DQTYLHLACHWGDVEVVKALLERGAEVNVFDRELRTPLSIAVSENYLGIAMALMKEVA DPFLVPPDELLQELYGDEDEDEWRFVKRRYLTAFDLAIRDDRVDIVQDMLSRYALPDI APRSKFTYVHRASQNPNPTILKLLLAKGADPAGGVDCPNPPAVALIRRVWDQPRLPDT GISMLQTAKILLSKCAGGGMPWDILKEIASAKVDNDPDKKELRRVVMRELGLRVQLQD GVVQRVGVKCIMTDEEIQRLGLREEDPDGVIEEYS MYCTH_2124493 MRELAARCDASAASASRAGDGGPRRLVIFDTPKPSYCVSGLERE TGKSLSVRTSQKLLARTTEEGSRTLYYHGFPACHVTNDWGRRIQKKFFDDLLSTLEEI QPGISSNI MYCTH_2108176 MSSSHPAMGTDIIITPSSCHYAGNVRRGENGSSIPKAQNLTERL RRLEALVSSLATKDLIIQQRERTDGHRFPPEEPKHTDTSLGTGPNDGSQECQSRRRSL KELELEAARLRQTHDGQVNYVDPSHWLSILLDIREVRKQLSPEGAFLPPQGLPKSDGD GTVCEPGSGNPDASSGLSMGLGATSLNEILGSLPPRPACDRLLSQYFNSRFMVLGIIH PATADITAFEGEIRRRVWINLFQLGALVSFQMGFPSMIPSEHCDAQPPRNLDYSDFGA DTATLTPSRPLSVDTPVVYPSPRLASWPSSKRLWLTPARPRGRPSTAPPRSTSRQVWA EAATSADAGAGMGMLSSSEAHTATFALDLMLRKVSEKKAEALLSGTTAAMTTTTTPNH AAPAPPLTADMSSSSPPSLFGAELPCADVMSDIIDDATAPDWNLLDQYFQNPTLETAE SADWNQDPAFPSYIFGLE MYCTH_59388 MAVRSESQQAIFSSYAPRLRTYNNALLQPLIPGAAPSNPLARTT KRGTTIINYAEDGYDDYDDDEDDNRRRPTGLRSQRRDDSANKVDPSEKVGKDTSEPVE VQGIYRDWMGKMRPNRSDAQNYVQACLPLTLIPIRIDLDIPAFTPQPALPAPGPVDLN HPFYKPQEQTVPYRLRDTFLWNLHETLITTDMFATQLVQDLDLPNRASTIAEISKQIR TQLEEYAGVALHPLFHTRTNRANNAQEAPKAPARPGSSTPAPNQAASRADTPMGGSTI PPTPSRLAGGTSTPAVVHTSQGEVTAAATPLPQDSATAADNDPSPDDTYRCIINLNIN LSSQVYTDKFEWSLLHPPGTAEIFAKQTCADLGLHGEWVPAMTHAIYEAVLKLKKEAC EAGGLVAGWAAAGGGAGEFPNDAAPKLETLSKEEIEKREGDRERQIRRLRRETARFSS NTGMAGGVPIGFAFSGLIEQEEEQRMGRGERNKKKKRFRSLSPAAWRSGTPGGRGTPA GGASGEGYGGGGSLTEAERLNWRCSHCRVWGTSVWAVRDGPFGPRSLCNNCGFLYERD RKLPRGTKLLHAGDARPF MYCTH_2299516 MSPRDRSAQDNTADIRSWLEAAILSANAGLSATSPSDPKEVAGM EKRPNTRGEVGEPPMATASSERKSKTSSVVGVESTTASYQRKLATVRRVASVTTIKDT YQLLAVDGWKVLANEREEKRFEAGEYVLFLEPDAFLPARSRSENLFAKVGNPITFERE VGYRVGTWAIRAGDKEVVSQGHVFHLSDFPDIDAKVGDLHWRNMEMSEQEFTDMIREI DFSRDLGVRKWESFPESGSHASPVIESPACGTRNSDAEYYHTGFETEDDNGRIHRHAN GKTKAQSDDAQIAKYSDGFPTSNPKPPSFIIKADMERVQNCPNLFIKPKYKRFIFQES VKLDGASMTVYFVRHDAGIDLPRLPPLNPSNEHTFLKYAVHPNGRLGVCTRTRDLLPH LLPCETGAREAPAASSASAHTHYWATAIAAGLHRILPSFGRSIAVQAELVGATVQGNP YNYPQTPGGPEHELFVFSITDISPSSWAATTSSTTTTPSSTRMHPRKVETFCRRHGLP HVPVAGYHTLHSVARGHETLLARAELRRGEGLVYKNCADGRWFKVLSTRWIRIKGDER HAREQAGNGNGNNSNGNNNKKRKKNRRADAASALADKEGSRLPRCWLAPREVVDEILD IRDNLDEWVKKDEGVRKWVEWMNRGCRGDWPDVGMFAKGSSSGGGGVGGDSGPKEDDG TQETNTNSATKVEDAGSCCGQPEMDGNPAETNIIDEATASTTAEPKKDENAQKDGKDG RQTAGFGVSETKRDQLVSWLGVEGFGL MYCTH_2299518 MCGICTKGRVFRIQGVRLTSTQPGPENLRTFLSRHNWPTVLFLQ ELKIQQGNDKALAALLASLNSPLNGGDTLSDSRTYTLDGVLPRDKYNARGFQGRLYGV GTILRTDFVRRHVARVREVDWDLEGRVSIVELKPPPPEASTTTTTTTDSNNNNNNNNH LVPATTIPEEGQEEDERHRAAHQNKKQEKQPLAVVNVYAVNGTAAPYRCPKTGAVAGT RHDRKLAFHAALRDECLALERRGFRVVVAGDFNVARGPPDGHPSLRIFPRQHCANRAD FNAKFFAPEENRRAGAYVAAAAEEQEEGEQEQEPFFDGVDVFRALHGLKRRYTYYPRT RAWGSSSDRVDMIIVSRRLWDEGRVRATGILDTPQERGRSDHVPLWVEVVV MYCTH_2052762 EELKRYLEENLRRGYICLLILLVGYLILFIPKKDRKLRLYVNYW QLNEQTIKNRYLLPLISRL MYCTH_2053143 YLDNILIFSKMIDEYQKYIRAVLDVLHVYKLLVNKEKSEFHIRK TVFLGYKISLGQIRIEPLKVKAIKN MYCTH_97470 MDGPHKQEFHVRNLLTRSVTLFPTQAQVVREIKDVVLKPGANEI TVVGVTPTADENSIKVEGTGLATITDITVELLPNRDIFEEIYPDSDSEPDKNESEEEE EEDDSEDKVNAALEEVKDKLVALQDEQKRAKEIIASAESRLRILDSYCNSLNRKADID IEARVETYRKERQKVFRDHMEGAVLDRDLTKSVSKLMREKARLEKLQAREEKKAAEEK AKAKRAREKRKAQQKRRDEEREREKDRIRRERRKFWPRVCYTVRISLDAGTNFTPSSS RRNSIASAADVELASDKSPKEGQATVTCDLTLSYVTSSAFWSPSYDLALSTTTNTATL SFDARLTNMTSETWTNSKVILSTSQANFSGLQDDVPSLVPWRLKLVGRGIGLSDGFDI VYSREERNQKEIWTSRQNAAVSQRPRTDLFGVGQGPLSKLRKEVRASSSVSAPPPPPP PDPSYDAYKARAVRFGMSADGPGASAFNSSNTGANNTVSAFGQVGANANNFPGGSLFG LQAPPAAPPAAPAPGAATVSRAPVLFAARRSPDRAATSAATQPEGYSEDTDGADNDDA GTMLEPAPELSFQDPSFEETGLTATYELPQAKTLKPSPTPSKQRIARVSFANVSFSRT VVAKYRPAAYLRARLRNTSKLTLLRGPMGLTLDGTFLGRSTLPRCSAGDSFTVALGVD PAIRVAYPKPDVTRSTTGVFSKGENNVYTRSVTLVNTRAAAGRPVDVTVLDQVPVSED DKIRVEVLRPAGLAASGGKTGPVPTGVPGREGKEEQDWGKATASLKKAGEVQWDVSLN AGKSVKLVLQYEVAFPTGERVAQVF MYCTH_2299520 MDIQQPHSQPPLGRYEPNHASEHSHNGDHTLNSSTLPYRPRPDA PETTYPNQQPYPGSEPSKPTSYMGPPPHPSAPAQGPLNTSSSTSYNLNDTTSSAQHLA HVSPQPIPGPGLDSKSEPPSVPGPHAAASTTSYPPPNHYGHATATPPAAPADAVPNAI NGGTNAATDIRAGRASAESALRELLAARRQRIMVHRVDGAGANGHVAGGKSDLAAEVE WKVRSQTGLVLLGLRGLQERVGTVLARAEGERWRRWGVGGVIASVIPLVKRLFRRRRG EDDDSSNTTEYAFKKSRNLVSRILATTNRPGLGTVAFFVFAVLYIFQNEVTLRVARTV SKRLKRLAAKVEDGREELTEHDVKVLQGWRWRVLAWSE MYCTH_2124505 MASALLFCIGSLASFLANLIFTVWAVTRPGSNIQNGVGMLSGVT SCSRAKSMNTGIHVLINFFGTILLAGSNYYMQIFSAPTREHIDEAHRESRWVEVGVQS VCNVFRTISSGNALLWILLSMSSLPLHLFFHFGNYSLPLLAISGVLHWLISQSIFVIF VEVYRD MYCTH_2299521 MADGVADAAAKLQLGDAPTENGAKLQLDEETGEWVSKGELKKRL AKRAKKALKEKNKDAKDTTAAAKVAQDGAAPKKTKEKTEDGPIDPDAMFKQGFLADVY NERPEKEVFTRFPPEPNGFLHIGHAKAIAVNFGFARYHGGKCYLRFDDTNPEAEEEVY FTAIEEMVRWLGFEPYKITYSSDNFDRLYELAEKLINMGKAYVCHCGDEEIKLQRGGE KGTSPRYRCKHAEQSPEENLQKFRDMRDGKYKPKEAFLRMKQDITDGNPQMWDLAAYR VLDKPHHRTGTKWKIYPTYDFTHCLCDSFEGITHSLCTVEFFLSRTSYEWLNKQLVEF QPMQREYGRLSIQGAIMSKRKLKELVDKKIVRGWDDPRLSTLIAVRRRGVPPGAILEF VNELGVTTTNSIIQMSRFEQTIRRYLERTVPRLMLVLDPVPVVIEDADEFDGTEVTLP FSPKNPAMGDHKVKFTKTVYIDRSDFREVDSKDYFRLAPGKTVGLLQAPFPIKATSFT KDEATGKVTEIRAVFDREVKKPKTFIQWVPAGEGSGSRKCEVRVYNRLFKSDNPSAAE GGFLSDLNPESEIVYPNAVIESGFDEVKARAPWPEAAGEDKRGKGGPESVRFQGMRVA YFAMDSDSTDDKIVLNRIVSLKEDKEKS MYCTH_112889 MGQLLTASDIPSLSLLYKLRKLSAPSPGASPLPTTTRPGPGADV PPPSKSLNDRVGLIRGDITTLKLDAIVNAANRSLLGGGGVDGAIHRAAGPELYDECRA LGGCPTGSAKITNGYGLPARKIIHAVGPVYDPLDHDKSERLLTGCYTRSLELAVEHGC RTVAFSAISTGVYGYPSQDAAPAALGAIRKFLTGPDGDKIDKVVIVTFEMKDVEAYNE FIPLFFPPVAEDETTTDNEEDEARETEAKLTAEAEAVASELPSAPTSDPSDTGPAGKK QRQGED MYCTH_73461 MPAATSQVAAENAQSVKVLDELMQKLTISNEADAIKESASAIAS FINGRIQDLDTPTRTVEALKKQLANKKDAGAREKALLAIQAVAQHSEVSANVEPYLVA LLPSVLAGAGDKITAVKNAAIAAALAIAEAVNPNAVKATIPTLVNSLRNAQKWPEKMT VLDFIDTIVRTAPTQTSYLVPELIPVVSEAMWDTKKEVKDRAYKTMEKVCQLIVNRDI ERFIPELIKCIAKPENVPETVHLLGATTFVTEVHEPTLALMVPLLDRGLAERDTAIKR KSAVIVDNMCKLVDDPNIVAPFLPKMMPGLQKNYENLADPEAREKTKQALDTLIRVGN VVDGKIPEVRNYGDIATIMGHLKEVLGSKHAAAFEKFTPVLEYASAIAGQLVDEKVSE AATWAENVKPYLTVIVGEAEADGVVGALRKRALPGAADADEVEDDEEEGEDLCNCTFS LAYGAKILLNQTNLRLKRGQRYGLCGPNGSGKSTLMRAINNEQVEGFPKQSEVKTVFV EHDLDAADTEMTTIDWTLKKLKEAGVETSEEDVIKRLGEFGFTDQMIKGQITSLSGGW KMKLALCRAVFQSPDILLLDEPTNHLDVKNVKWLEDYLINSPCTSIIVSHDSGFLDNV CQHIIHYERFKLKRYKGNLKEFVRRVPSAKSYYELGASDLEFTFPEPGYLEGVKTKAK AILRATKMSFQYPGTDKPQLQDITFQCSLGSRIAVIGPNGAGKSTLINVLTGELIPTS GEIYQHENIRIAYIKQHAFAHIDNHLDKTPSEYIQWRFQTGEDRETMDRANKIITEAD EQAMNKVFKIDGTQRRVIGIHARRKFKNSYEYECSFALGENVGQKNERWVPMMTADNA WLPRNELIASHQKLVAEVDMKEALASGQFRPLVRKEIEAHCANFGLDAELVSHSRMRG LSGGQRVKVVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKALKKFEGGVIIITHSSE FTKDLTEEVWAVMDGKMTPSGHNWVQGQGSGPRLKTDDGEEEEKFDAMGNKIEGTKKK AKLTSSELRKKKKERMARRKRGEEVFSDEDD MYCTH_2314296 MSAPSNNSNSGQNQQQPGLWEGHAEYIKGVAESAVGAVTGSSAW SASGEQDKAHARASMQAAAEHRDPAKSGYGRAEEVAGRLTGCEGMKHEGAASRAAGTK RRDE MYCTH_2299528 MFSLMRNNVAAVSTILPSYFDVYGRTEPPGPEHVPTSYLAGRPE ESFWSLLRKDETALRGFGVAMRTTSNGSEALRIGLIWGLIPIRS MYCTH_2299531 MTFRSSLADTPLLKVSRPVSACSRCRSAKVKCDNKLPACTACEK AGREKECTAANDQFARGKERSYVAALELRIEKLERRLSYACSTNASIALHGPDEPPAA DPNRKDSLAFIRAAIHRKAARTQENADINALVSDFGYLAVNTTTRDFEPSESNMTFAR LVLAAATNEPVPEPKSTSLPAESTARALVQFYETSILPLYPAFPATMLHALVSDLYQE HPRQIRSSEYWLFWMVLAISSAAQSRSREDEHYLNGVEFVGRALPHADRALRPGYGTQ VQSLLLLTQYSMLDPAHFDSWHPIGFTCRAVVDQGLHQDPPHTQQISPAALDERRRIF YCVYALDRAISMVHARGFSFYDDAVSVALPSSTLASGTLSGLSMSKPPADPSIPLFKL RQLQSAWYQTLYQGNPTESLPDATSFIWQKCFEMWEWSERLPVDMSAPIREMLDLELR YSYVYCIASTARGAQVSAYGKLLIFDHVIAYVDKMYDIANLADKAAFYTYHDALRVFF MGSQFVAVLREIGDLLLSGSSIPVPPPAPGKVSPPLRPVRLDRGTGDTLDRSLRCLER IKLTLRLFGNRWEQALSLMDSFEIISGEVWKDFTTRRAVRDATTTGQWQQMPHA MYCTH_2299532 MDPAAVFHQSLGSVLPPQHFFPGRPPVPGPYPWDSPEQDGHDAP HRVAHTLTACCRCRQRKTRCDPALPRCLPCERSGSTCEYYDSAKGKRINRSYVVSLQK KVRQLEAELAQYTDQESDHPQDHEDMVWPGGIVRLDAPDEIPRYLGPSSGTAMTRLLM EEAKRYAESRRIANLIPEVLARRAEQRDRMQSVVMGSISGPSGRKKSYPAHSIIPASA LPSREIVDGLVRTFNDRIQVFIPVLHEKVFEEDVNAVFAGDSDPYKHFVVNMVVAISL QRVGKYAGLPDSYYLNAMRRFEDVVRPQDLKTLQCLVLIGQYSLMTPTRAATYYVTGL ATRICQQMGLGDESTIAVGVSDQRELDMRRRVSWAVTMQEFGLANTMGRPNGFAKADD CMNVKFFETIVDEGITPEGIRSDKICERKTVAIHFCKMRLLQAEIRRVLYEKKRPEPS HESHPWFVQMEQRLKDWLADCPAKPPWCKPWLDGCYHNLVISLYRPSPQIPKPTVNAA MKCFDGARSIIDITSRQIEDGTVDITWESLLSVYASLNALLWSISYPDVRTKHSKEEV QDLAATALEAIKIFSDRWPGSSSALQLYTVIANACLQSYDVGEETPSPPSGSQLGTPV SRAGPLSPESDTSRNTPTRQTGPQSAASLFNTSSPFGYVFDVPNGTLASQYGFDNDSS PFRRQPSFRSNSIFMSPSTDSNGRRLSSLAPDSKENPAPARMGATPPPPLDIPKQEPQ PAVTSSPVASLPTPPESLAPASAHPGVHPPSTRHAPTASQAAPMQTPNVHPTSLAPVP DSTPAPMMQGKDGRPEPIPASNFSQQQQQQQQRQQQPSHHAPKPPPSTFVTPPPPPQS HSRHQPQQRPPPSHAPADWYNPLPQFVPPHVYASGMSGGPAFWNATPNPFTGGFQPNG NSLGPYGARTGHAGGLPTPSMNASWNMAFGAEQQECQGGFGPAGIGLGPGGPGLGGGE YYDSFLIGRHGSLSQEQQLELMDMLETEGKSDIDSFLNMGMGMGGPGQGQGGNGVQWG MYCTH_2049292 MPSKQVTELVGDHGEHPSDRSPSPSPSQPLAATKKPPKLKVAPQ PAPSQQVLVICRNKHWRYISSFHGPWLQLPPEILETIANANYNTPRPRPIDPAVFFDL VKIRRLVDEATNLTVRAASGVASIGQRGVPGGGSHHADILGFGYGARPPPQTKLSAER RHRMREQATQKLMEAYWLDEIASSVATMQSASSLEEVASLVLQRNPHDADAKYVHFFH EKIPSRQLAECTSLSPINDMIAARPSNPAPLRTRAMVRIFKGDYQGAVDDLTEALKLH RLYRPAHASSKPSQESRQPENLLHGTRRRQEDVILKPEDQPSSLEMQLLFQRAGVYLA IACRYVAVALPADSAPATGHADGVVVVETGATERLPKEEPSAAEKKAQMRMAEARKLV RLNAKRALRDYTAYLSFFEYSPDLPIEVADDFARKVNCAVNGAKPPRSQSHLSGPRSP SAGEGEPTFPPHRVYALSDLFTSFPPAGLPPYPVTEMVPEPPSSPGPVRTTTEALTCH PLLTDALHALLLCHSLIQTSAKELLRHANMVARLTRLADGYPVFQSSRCPARADWIEV LRAGGNWIQLVGSWEDLCAPAPLPLFQCSGNGATPVPLSVPPTRQPKAPTSPGDDSGS TAPDASAAAPGSGDKQRRDRIHQQAVLDALGDDRVTDESTLRLAIRARQLRAEHDYRL DNAAAALDAKFLSSELRVPASSPASAAVSAGVGTTTISTSTSTRRRAQPSSQFDDGRD YYPISSERASAITRWVTQAPPPSRSTELGAGEGGVRKRRKKPANRAVVGVVGPVGSAS EGPVGGGGGLKGGAAVEHDEGGNETDAA MYCTH_2299535 MSSRRQGLTPRWQWPWLVLCLLAHFKFQLVRAQSAVQTLFVLDS DTIASFNVPPESDDINFYVLTPDWYQYTAIGFGATMSDSLMLVMYPSADRKSVTVSPR KARGNTEPVYSPDTQLVIHDTSIDEENSNMVVNATCRRCLPYATANSASGVERTASMM FAVGPELALNTDDLDARIRRHVAYGNFEIDLRKATGEGGIGEGISGGNSSDAVLSGER GLVQDSNKAATAHGILYALVALAVAPFDSLVAGALRTRWAWVHGLTATVYFAFVIGAL VPGVMVSREHVATQRFRTGHQVLGLLAVVALTIMFVWGIALSWIKHSANKRGQEPPEN THLLGMIHRWTCRTIWVLFLVNVGLGLKLSEHKTVLIFGYMAVALAVLVVLIPIYFCL WKCSKRGREKEGSIEMPTIYDHNSNYLGVHHRN MYCTH_89426 MMSTAHTGRELNQSHPPRSFNYYLVDGPIEEATLPTYRRELFHP THPDQILNSKYRIVSKLGYGAYSTVWLAENLSWRENEPTEPRYVTVKIGVRDLETVKE EKALCDHIATAAPESGFASLLRLPIDSFDLEGPDGSHPCLVYLPMRESLDTFQEHEFG REVTPVLTKLLAARLLGAVHYLHEACGVIHTDIKEENILLSVEDPAVLDDFVREKQKQ GPQPRHVSPDGRATYVSHPHLGPFRSLKFTCQLSDFDRTYPLKPGKAYLHPLVQPHTY RAPEVLLGAGWSAPIDMWNIGLVVWKMMQGSDLFERDYMCDKDGNYSAQAHLAQIISY FGLPPKELIERERANRHIEARNPIINPQGKPCKTVAEFWGGPFFDDSGEFIRKDLIRP GLSLEGSLTYPLEDEERALFLDLISSMLKWIPEERATAGNLLDHPYFASVMDSKSGQE ELENNE MYCTH_2299541 MGPWSRRRSRRNTVSRLVRHRDNSVLADRKAVSESGGDDDGDLP GLGKGRGKGKGGPHRSLPSTSSSDASSPSTEPFTQPPASETTTSSDTTTTDFTTTSAL FEPPESTSLPLVSTQLSQPLPPIETQSAIAPTPTSSVPELSPVLSTLPSGTTTTAPFP TIPASQGSIGRNDLDAQQIAGIVVGSSGKRIIRGFEKGKGGAQRDQSPPSPAAQYQGA AE MYCTH_2299543 MPSVYSSVFQSFIRQGFTKSFTHGYAQSVVAATHPHVLNSQNRP SFARRRNATRVGRLAGLGLQSAFHTASAQAASSLEHLPTKASNHGGLDAYFEHLQKSQ DGGEGGEKEWVQFQFPKPIEWKPSPASVLVGADAKTLALSPEGATSNENGSTAPGVPP EARAALAHIDAAIEREIEARRELEELEEKVESLRATSPALAEQLAEEIRSRTVSPVSR GAETPASAPRTPTSPVDPQSQTYADHLAKLSEAGRYAEIPAVFEAMLVGGVRPIASAY NALLMAAIHLPSEKWEVVTKALDVYADMLRRRVSPDSDTYNILVGLLASRSLEITSLK QSLEAKRLRFGGMDEPGKFMFASHELEYAMLVEDDRLDLAMKLFGTSVTAKKAMYSPE TYHQLISACAQNGRISDMLQLFEHMESSGIVPFAATFPAMITAFANTGDLVSAVECYN EYRNLAMANDQGEPTLKDRLDAQVYASVIKAYIVSDKVEGAVKFYHKIVQEYSSKASD VKDAIIAGGFVKGFIDRGIYREALHWAQQIESEARLPTMGELATVAADHGDKQSAVAA FANLLPQNAAAPPAIAMLAMSVREGDVATATRYWDLLCSPDAKPTVDFIEPTAMYAVA MIGSGQVLEGLTQAEYMFQRIKELNPQSADEIEEGVEFVSRFMNARGIVDPRTVPVAA PEVPQSYPASPYPQTPAVAHQEDTFDPYAHNTDVKGSFIITQELDGASGRKSKLSEAL VRFRNMRRAGRHPRYVVYAKLISAASKEDKFDLCQEILAMARNDVPPLPQYPVVRYGW SSILDAMIGACLAHGRRAMAEEYHQELLAMGSTPSANTYGLYITTLRESGKMVDEASE AVKIFIRAKTEGVEPTSFLYNALIGKLGKARRIDDALFYFAEMRALGIKPTSVTYGTI VNALCRVSDEKFAEELFDEMESMPNYKARPAPYNSMMQFFLTTKRDKTKALEYYERMK SKGIAPTAHTFKLLVDAHATLEPVDMKAAEDVLDMIRASGQQPEAVHYASLIHARGCI LHDMEGARRVFDAVMTDSTVPAHPCLYQALFEAMVANHQVRDTEPLLAEMRAKGVELT PYIANTLIHGWAAENVISKAKEIYSAVGREKREPSTYEAMTRAFLSVQDREGANSVVS EMLTRGYPSAVVNKVLELLGGPHAAETAVVA MYCTH_38472 MAGSSEDRTVVVSAPGKVLLAGGYIVLDRKHTGLVFGLSARIHV LAQEIHTSAGVHLSEIVVQSPQFLNATWRYGYHLVKNGGGIKVTQLQSGTPVEPNHFV ETTLNYVLTYISRVDKSRASHGFQPASLLVLADNDYYSKPKPPGSTDRPSSSSSAGEH LPSRSSEEKAGLSDPSTSTTTTTATTTATAKPPRTRPRPRFRHFGTTLRDAHKTGLGS SAAIVTALTASLLAHYLPRTLFDLSTAQGKRVLHNLAQVAHCSAQGKIGSGFDVASAV YGSCLYRRFSPALLSGLPNPGEEGFAAALAALVDDEGAEPGWDCEVRKEDVGLPAGVA IRMCDVDCGTQTVGMVKKVHEWRDREPEAARAVYERLQGRVDELATVLSAGRVGEVGR VMRSFRETMRSMGKDCGAPIEPESQEELLDALEKVDGVLGTVVPGAGGYDAAAVVMKD DAETEKRVQGFLRKWSTEHEIQVRLMKVRGETEGARMEDVGEFKSWVS MYCTH_2299548 MEAVPIYSEIKRIYSSLQKAVGLHRRKRQLQIVRAHHHTAEGFA LISSQSQPFNFRKESVTLPGLSEEEISALREKAAVSCLGIAHAGAYNDKIAHHGHRRS PSLRPTPGPRFLPTPSTGTSYLSIPLPNPIPGGSSDFEDTCRRGLGPSSGRSSSTARA VPMPGGPMRISITMNDLSDLLLFENHSEVRF MYCTH_2299551 MSAEVITTISPTTEEPILTRNGISSQELEQLPEVATQAFESWRK TSLQQRKDIIKKALEILDERKDELATELTVQMGRPIAYTAKEVTTAIKRAEFLLRVSD DVLQDTPGEPEQGFRRFIRKVPVGPVLIIFAWNYPYLILVNGLIPALLSGNTVILKPS PQTPTVAEQVAKAFTEAGLPNGVIHYFHSGSPTIIESIVRNPKIALVCFTGSVAGGLA VQKAASDRIVNVGLELGGKDPAYVCGDVDIAWAAEEIVDGAVFNSGQSCCSIERVYVD EKIHDEFVAAVQNVLKGYKLGDPLDKGTHVGPVISRRSKETIEAHIKDALDKGAVNAT PENETFSKLPDRGNFVVPTVLTNVDHSMKVMKDETFGPVIPIMKVKGDDEAVQLMNDS EFGLTASIWTKNTEKGYELCERVEAGTVFVNRCDYPSPDLAWTGWKNSGKGVTLSRYG FDQFVKLKSYHLKDYPK MYCTH_2299552 MSSEEKRNEFLDTGDSDDGAGHDYDSQDDLQKGGRSAKRRRVND DESDAEDFSDQEEEQRESGGATVREGTTESGGGAEEKEEAQKRSKDKKTKSTAELPGV SKPLTKKNLVATEAAIKKSGVVYLSRIPPFMKPAKLRSLLEPYGKINRIFLSPEDPAE HARRVRNGGNKKRLYTEGWVEFVRKKDAKKACDLLNAQIIGGKKSSWYHDDVWALKYL KGFKWHHLTEQIAAENAERASRMRAEIAKTTRENKEFVRNVEKAKVLNGIQAKAAAKR KKSENEGEDSSGEDVADKSDSGPTQERRRTFKQIPLAKKRKQEEPPEQVRRVLSKIF MYCTH_2299553 MDIDTDMGMVSMQQMGTARTGATILCCNCGAPIDGTASAGALCY DCIKSTVDISQGIQREATLHVCRDCDRWLMPPNSWVAAAPESRELLSICLKRLRNLGK VRIVDARFVWTEPHSRRIKVKITVQDAVADGVMMQQSFEVVYIVANQQCRECAKSYTA NVWRAAVQVRQKVSHKRTFLLLEQLILKHQAHKDTINIKEEKDGIDFYFAQRNQAEAF VHFLKSVVPVHIKESRHLISADTHTGNKSYKFNFSAELVPVCRDDLVALPLKLAKSLG NIAPLVLCYRIGTSVNLLDPNTLQTAELSSDAYWRAPFQPLAGATEMVEFIVMDIEPT GQRKGKWVLGEATVARASDLGVNDNTYFARTHLGSLLKPGDSVMGYMLTGTNFNSEAL DAIENSRAYGSTIPDVVLVKKHYPNRRKNRRRNWKLKRMAKDEGDLLPKAADQARLEA EYEQFLRDVEEDEELRQALALYKNTKKKKKQDADAMSIAETEMTMDDDDDGPKISMDE LLDDFDELEINDEGHA MYCTH_2299554 MSKDKVQVEPESAVDYENLEPERFDPEEEASLIAESNSHKAEAN ALFTSGKYETAINKYDEAVAVCPNYLDYELAVLRSNIAACHLKLEEWKEAISNATTAL DGLDRLERQEKEKEEQEKQEKQGQSITAAGSSTGGEPADAEAEIISAGAAKAGPALSA DPADDSVEAARRKRRDDIARIRAKALMRRARARSELGGWSNLEGAIEDYKRLAGMSNL TATDRKIVNAQLRALPPLAKAAQEKETAEMWAKLKDLGNGLLKPFGLSTDNFQMVKDE KTGGYSVNFRSTGGK MYCTH_2299555 MAPRTDFPPVRACLFDMDGLLLDTEDLYTLCINLVLEKYQRPKL PWSIKAKLQGRPGPAATKLFREWAQLPISQEEYLEELLALQMKHFPTTQPLPGVPELL SSLGRTRYWDLKKNKEATTSSSSSADGSAATAPSTTPHRVHIALATSSHESNFRLKTD HLQELFSVFPTARRVLGDDPRIPPGRGKPLPDIFLVALRTINESLPPGERPIRPEECL VFEDSVPGVEAGRRAGMRVVWVPHPKLKEEYAGREAEVLAGRTGEAGDVDPHQLGEID DGWAEYLPSLVGFPYEKYGIVVPPPEVEKEECMLEGAQVAEGEVMQVVGTA MYCTH_2299559 MTPSSENTTDERDYLSADEEIHLMQVFIDEVAIWMDALDKDKHF ANVVPYLALRLPMLLNALLACGAKHLALIGRHDGDKADYYYNMASTQLSRSQQERDRD PADCALTAVALDAYHLMSDSPTQRMGRIASTRALIRACGWDATSTGLAAACFWVNVGM EVLSCIAFGWPTAWDPDQWGLDLSFATLEGASRSSGGRSLAESDDVSWPARPPAESHH PAADDSADSADSADLGREELWVQRIFYIMAKVSNFRANTPQFQEPSPHDEQVRLQNRF AEWRRLQNMCNAWNLGCPRSMRPYGYSPGPSPKSLFPNVWLIKSSAKLARLFYHTAMC LLAQVNPLDPRDSRENRASQLHHAHHVCGIVAHTRDRGVVSVAIRSLAVVSAALVDRR ERDEVVAVLERIQHETGWKLGRVIQSLRSTWGWEAGGPASSGPGPAGPAGAAARGMGL LAPPLPSGVGLSRLGGR MYCTH_2299561 MATDGRPAQPESILRGHKAQVHVAAFVRSNDRLVTGDADGFVVA WDLTIMRPRAVWQAHRNAILGIAGWGEDRLITHGRDNKLIVWKFSAEEESRLSTKLPL DTTPEPRPQPWILHMLEVNTMNFCSFAHCPASPGPGASPSGSSSSEIFVAVPNTLASE AIDIFHLPSQVRRHTVKLGDKNGMVMALAIFYRGHDLTLVAGYENGKAMVAQLTHHGD WSVRYQASCHSQPVLSLDVSPGRDFFLTSSADAIIAKHPLPSSPQPATQQLSSPQPDP APGTPPPPTSDNRPDPASGPSTTAQRGNPSKSLLTAALSGAGPPSSSSSSSHQPIHTT TVHRPGELPAQQPIKTVNTRHAGQQSLRIRSDGRVFATAGWDARVRVYSAKTLAEVAV LKWHAAGCYATAFASLDNPAAQVGEGEGEREGKGKGKGKEEEEESETPTRQEEGGGEG GGPRKRLLLEGREPDDDEHEGGTDEGKEVAVVPKLVDVTVRDRRVQQARRAHWLAAGS KDGKVSLWDVF MYCTH_2299563 MSATLLQTKIAQLADMRVAVEEKQGLLNPDDRDFVVKKAQRRAV LVQALQEVAENLTDKMICKFDSKPFIRGAYYLVTQLLLRAYHQGIHVSSEEVLRLRRV AEEAARKKQSIIFLPCHRSHVDYVSMQLLCYRLGLALPVVVAGDNLNFPIVGSFLQHA GKPPLLGFSVRAARRMLIVPPGAMYIRRSFGDDQLYSTLVQTYIDVLLQGGYNLECFI EGGRSRTGKLLPPKFGILNFVLDSLLSGRVEDAIICPVSTQYDKVIETEGYVTELLGV PKKKENLADFLTGGSSVLSLRLGRVDVRFHEPWSLRGFINEQLLRIYKMPPPINIDWR DTKNQARRQKLLRTLGYKVLADINAVSVVMPTALIGTVLLTLRGRGVGRTELIRRVEW LTDRIRAKGGRVAHFGNAPLPDVIERGLDVLGKDLVGVVEGLAEPTYYAVDRFQLSFY RNMTIHLFISEALVAAALYTRVKRGGGPPIQDIPYQDLHDQVLFLSSLFRGEFIYSGE GLATNLERTLLGLEADNVIHIERDEEGNITKVGLSDAERAAGRENYDFYCFLIWPFIE ASWLAAVSLMGLTPPVGTKDDIWVQVSKAQESAQLLGKTLYHQGDLSYFEAVNKETLK NSYQRFEEEGIIQVVKSKDTRIPPRLRVAPEWRPGRDEKTGQLLASGRLWDFTEKIAS SRREGKNRRDGATVSTRVVGLTDALGRKLFEEAISGEEGKGKGKAAAPARLSREDERS LSRSVMEQKRRRRLEGRAHL MYCTH_2299564 MAPDDRHDSAPDLRILGDRITLQPSGYVEPALPEDGKEEALMKN MARFRSEPLR MYCTH_2137812 MEPKAKKDQPIAAVLLSRAHEPDEATRIYTEKVQQRPLFLTPSS PPPSDARAARRRAREKKKQQRKKALKPKPLSATQRRRLGLYDVPRERQKYALFEPLHR LWLGYVREILGNEVYSGGEGAAAKLASADFHGAGVEVVRSGCVSRVGIKGIVIKDSKF AFEIVTKDNKLKLVPKEGTVFRFEVPVPDSRATEGGKQMEATSKMVFEVHGEQFQFRS ADRASRRFRSHFSKIL MYCTH_2299569 MASGCAASASRGNGLEKLPNSSIRVLRTVSAVRRWRRPHLLDQR SVALVPTMGALHEGHLSLIRAAARENHHVVVSLYVNPAQFGVREDLASYPVTWDADAE ALVRLDRELADDGHNLGRISAVFAPTTAEMYPGGFPGQEVDSKGSFVTITPVGELLEG RSRPTFFRGVATVCMKLFNIVQPERVYFGQKDVQQTVVIKRLVRDFMLPIEVVVCPTA RAEEDGLALSSRNVYLGPRRRAVAPVLYNALRAAEDAYTGGARDRATVLGAAESLAAR VLAEQTALGPEKRVRFEVDYLSLADPDTMEELEVVDPAKGGILSGAVKMLPVEAPGEG EDLGHSGGPPVRLIDNIILPGIETKSD MYCTH_97448 MRWSLASVVLAYLGSVFGYHKSRAFDMRYGPAPDWTQSPFLNER SQLYFWFFPSTDPVARQNKEILIYLSGGPGCSSIGELVQMNGPISWLPGTNQPIPNRW SWHHLTNVVWVDQPVGTGFSQGTPTATNEVDVAEQFLGFWQNFVDTFALRGYQVYVTG SSYAGMYAPFITSAMLDWNGTANFNVSGMAIWAGLYSKIPLTMDIPAARYVDKWKMAF PLNDTSRAGIKAIDQRCGFTAYLDEFLVFPAKGPQPSTLPGEDPATGLVRPECALYAG AWLAAHELNPCFTLFDVTSRCPILFDPLGLASGRYILPASFNTSYFNLPAVKAALNAP RNSTWAFCPKVFSNPVFVNGVDTSLRAGPGSQPAIPRVIDGTRNVILGHGSRDFLILP DATLLTIQNMTWQGQRGFRTRPRSPLFVPYHDNRDPLTAAGAGVKQSCDEGE MYCTH_2124533 MTDQDSGLRHSRFQAIVTELDLSLGLALIFDGRLPSSLSQPNPS RQYKPRPDPDSDLAPTLHLFVDTTRTEAWQQEREQAEAHPYGAHGCRSTINAHQVQEK RTIEASSPPAYPQKTVNEEKTGSVVLPVVYHVAAAPERAKAAQDAWVELSTGKSV MYCTH_2108207 MNLLPLRASGAALLLFPISPVFDPGQCVAASCRLGLNFLLQWVP KAVSCSRLLSSGLVETAIFRSLNVAIPVVYRQVNDDIATVVRWLSRPSVSTVTVAPVP ASASPLPWLEENGATGCAEFAIVSSIDEVHREEKLLFHPLMLRLRPRSIYSIRTTPDC RTSRSLL MYCTH_2299571 MAARGVSVLKFVGTVSLGLLTGLSYTLSSLTIPALLTLPSAGAA ARAFDTLTTSATRHLRSLAVVSSSAFALAYLLSPRPLRHPYLLYASALVLSSHLAASD FVAPHLFALGSARPSSTTSARQEKQREGERRAAARARMEASYEVLGAGVSDVHSEGSV GEELDSEDVADVNGEEVRAEVEDFLKKQVVRGALAGLGFLLSVVGIWGDGVGPVYTGQ TVVFEI MYCTH_2299573 MFKREVQGSPKQKLKSSIQRSIRQSILTTYPLLAPHIDEVLPKK HSLEQIKLPERVSLYVIDGNPLVYQQDNGVLLPHLRLVHRFPHCFPTVRIDRGAIRFV LSGATLMAPGLTSKGGRLPIPVDRDAAAGGSAAGGKENKGTEGEEGEEEGVKVPNEGP DEDGHWSRELEKGEPVVVMAEGKEEAAAVGVLKMGTKEIKEIGKGPVMEETHYLGDGL WRLNVE MYCTH_2086920 MPLVVPGVTADNMEDNKTQEWTNKLVGKKLSEEPSSETTDLPPQ TRVLPPGAIVTKDFRPDRLNVHVTEDGTVSHVTHG MYCTH_2124537 MPRNCKPPLCQRREQEERRQREEARKSQKNGPLRATRQRSIPST GPALGEEPATAKDLGSGGSRDSKAGPEDDIQDSLMRLTITDTQSERAQPLNTPPTPNK PPEGSTASDLSLTTAAAGVSGSQKLPKAVDRRRRRKTNNQGGHRIGNGSGPSGDRRRP TTKRNKNAKRRLGAGSHSGRQKGGRDSTRPTMSLQPLSPKAL MYCTH_2299575 MRDAAVLSSLLLASATTALASAASRLECLHTRSRDLAAAASCGH EASLNDCFSNLPVTPEPDLLSSALESCFVNAGCTAAESRIEALHVLEQCDKQLADLRH RRRRHDGPVAPAAAAAAAAAREPLLEDRAAEPLPAGITAMNLPRETYAAMAARQDDAT TTVGTESPSSPSPCFTDVTSHTTTCTIKTDGPSGKEMSCYATAIATPRCRDGLICTSD ARGNPSCMYKHSRLETDGIIIAIVFASVIVISVVSICFLCCRERAEHRRLERAAEAAR IAKEAKTQATVAAKRPGPSVTGPVSGAAVEGQPLMYQTGGAAPSSPGAHQQQFPQQQY AAGNPFTDAGPDGHPLR MYCTH_2299578 MNTPVTSAQPSPTTAPSSAVNTAAQAAAGTEPAPPVNRKKQKRR AKAAAKAAAEQAQKNSATNGLPSPPRTNDQQSADAEPEDDDEEPSAKHDSQDQTPYQN GVAQKPASGKSKKSKKKKKKNATGQAHEGSNDNGQRQNAQNHTQPSSQNDRRSMSKEK IWNTNSQEERERIKEFWLGLSESERKSLVKVEKDAVLKKMKEQQKHTCSCTVCGRKRT AIEEELEGLYDAYYEELEQYANHPNQGEGPPMLRPRRSFGSMGGMRPRGLHSRYSNHQ PSRGRIMDDAGDEEEEEAEAEDDGEGEEGEGVYSEEELEDDMYSEDEQEPSEELHRSD YAADFFNFGNSLTVQGRDRLPILPSFLQSYYPFSGTGNDAYGSSSLGGILTVADDLLK NDGKKFIEMMEQLAERRMAREEDARGQFERGYDHANGDRYGHGHPPPPDEEEFEDEEE EYEEDDEEEYDSQDEEDTMTEEQRMEEGRRMFQIFAARMFEQRVLTAYREKVAKERQA KLLEEIEAENQQDAQRKAKKAKEAQKRKDRAARKREAQAEERARKEAERAAEEAARRA EEARKAEEQRAKAEEKRKKKEAQRKAEEEERQRKEAERLRKIHEREENERKAREAKER EKKAREEARLKEKEAREQKERKERERKERQERERREKEAKEAMEAKEAKEAKEVKEAK EGKDTKEKRKKDEKTAQKPAVPAAAVPVPATFPKRSAAQQPPAAPAAAVPVLPQQPAA YASPQVPVATPALPKAPTPMRARQTSQQGAPPASSGTASNSGSMASQNPSPHPVTPVQ ASPGPIAPPSKSGAPGTCSQNSVQPPSHSASPMSFPAKLPPPQHGPFGVPPMGAAMSY PPGLPQAPPGFASPIHRDPLFPPMPGFRPAPGMMPMPPGLGGPGGNRGFPLPPPPGFH GPMDSPVPSMAQVMAPGLPKDSPSPHSRHGSGSFDASASQPISRPTPIGRPASVVQSQ RPSSGSPSAGLSKPEPEAHLGSRALLDDLDDGPQDFPGRLSRGGSAPAPRPAPGFPIA PFGMDPMFSHNPWGPPGPVPPNPFGPPPPPGFGPNPLSVHGPMSMPWGHPMPSAATFG GQGVVDRPIEPRSVAVRKMLRRACEELANAESGSTEGHSEPKDAFIPLEKIKAQVELF THGYAIDEKELLDICETEGNEVNGGGSFDVRDDDQGGKLIRFVLGDERPTPQPVQLAV GYHPGSPASGNGGNQAGGKA MYCTH_2116312 MANDGSSQNVIRRKLVIIGDGACGKTSLLSVFTLGFFPAIPTVF ENYVTDCRVDGKSVQLALWDTAGQEDYERLRPLAYAKAHVILIGFSVDTPDSLDNVKH KWVVEAQERCPGVPIILVGLKKDLRDDPVAIEEMRKRSQRFVSPADGEHAAKEIGARK YLECSSLSGEGVDDVFEAATRASLLMFEKSEGSGCCVIL MYCTH_2299582 MSLSLLSFRGLASRLLSRPNTLAPSPSAPFKTTQCIAPSTRALS TTPFALAPPGSKNKGNDAKKNASKAAKAQPKKKGKGGRGDEPRDPRMINMLKHFAVLS PRRIPPPLRMARNRHLRHWTIHRAWMLFQRREREARERNLMRQYQSMSRACEELRLTS GPGTRDQGYLYRVAMEKKGVYGLNGIPIEYARAQTETPARVAWNHDWKR MYCTH_2299583 MAHLTLAGGSCFTAAEAQKIKDQINKVAPIKASSVRGSWVYYAH VEGDTGAAQQKLAQLLPLPTESELSASHLTHIGYGRQWYVTPRYISPWSSKATSIAQV CGFEQQIRRIERGRIIAIEFEQPYNDKVVPFRDVLHDRMTETLATEAPDLGAMFAESQ PAPLEVVDIFAAGRDPAQVLNEYNKARGLALDESEVEYLVEKFRQLGRPPHDIELFMF AQVNSEHCRHKQFNANWTIDGMAKGKTLFEMIRNTHKVTPDFTVSAYSDNAAVMEGEH ANLWAPDYSTGSWKLHKEPLHVLAKVETHNHPTAIAPFPGAATGSGGEIRDEGAVGRG SMPKAGLCGFWVSDLLIPGHRAPWEIDVGRPAHFASSLDIMLEAPIGSARFNNEFGRP CLTGTFRTLLTADDTNAEGEFRGYHKPIMVAGGVGMVREKHALKRPEDVKEGAHVIVL GGPAMLIGLGGGAASSNASGEGNADLDFDSVQRGNPEMERRAQMVINTCIALGDNNPI AMIHDVGAGGLSNALPELVRDAGFGGRFELRQVESVDKGMSPLQIWCNEAQERYVILV NSEGMERFTSICRRERCGFSDVGTVLSREVDGVSKLILTDRESKEYPRPIDVPMDVLF PKGRKLERIVNSKKPAWPVFDPVASLKSATDSSLADADLFKQAVQRVFWMPSVGSKSF LITIGDRTVGGLTARDQMVGPWQTPVADVAVTATSFSLNGARTGEAMAMGEKPTLALI SPAASARMAVAESLLNLGAADIKGGRYRGDLRRVKLSANWMAAVNHPGEGAALYEAVE AIGLDLCPKLGVSIPVGKDSTSMKSSWKDGETKKSVTAPVSVVISAFSLVEDIRRTWT PQLRRVEDVGETILLYVDLALGHKALGGSALAQSLNAIGHEAPDVRDVDLLKDYFDAL AQLHESGIVLAYHDKSDGGLITTIAEMMFAGRCGVDVMMDGIAASGSLKDMVEALFNE ELGAVFQVRASDETNFKRCFATCGPPAGLIRKFGVIQPASKQSLTIRYGEGAPFISLD RAEMQQWWAKTSYEMQKLRDTPSCAESEYATILDSEDPGLSYNLTFSPTENIAPLTAS IAGFFGKMPRVAILREQGVNGHAEMAFAFRAAGFDPVDIHMTDIIAGRSLSDFVGLAA CGGFSYGDVLGAGQGWAKSILLHEKARSELAAFFNRKDTFALGVCNGCQMLSRLKELI PGAEHFPTFVSNASSQFEGRFSMVGIEDNPARPSVFFNGMNGSSLPIVVSHGEGRAEF ESDESFRALAEAGGIPLRYLDNRLNVTEQYPYNPNGSPGGVAGVSSSDGRVLAMMPHP ERTILADVASYVPAEEVAEWGEFGPWLRMFRSARRWVG MYCTH_2299585 MSTTPKQRLALAICDFLSTSLTDGTLTSEEKDSIDIAINCISES FGVDFSDKAAVSQAIGSQNLFQIYSVYEKLKNAVPPKPTGSEASTSSSPPPSTATPTE EQKKQAEALKSKGNAAMAQKDYNAAIDYYTQALALHPGNAIFLSNRAAAYSAARDHEA AKADAEAAVAIDPTYTKGWSRLGLARFALGDAKGSMEAYQKGIEYEGNGGSEAMKKGY ETAKRRVEEIEAEEAAAANNSSTRNAGSSGSPGLADLAGMFGGGGGGGGMPDFASIMN NPMFASMAQNLMSNPEMMANLMNNPRLRELADSFGRGGGMPDISSLMSDPSVAEMARN LMGGAGRGGAGR MYCTH_2074326 MASPNNGTAASSPQPTSVHEIIPAPIATNEQRVCFICLQNDTDT PNATWVNPCPCSLEAHEECMLRWIAEMEASPRQSKKGGFKCPACKATITVEEPHDPLL AIRDLLYARYSRVSPYILTFIITGGSFAGALWYGQTAASIFAGRRAVARWMWPGERQR WPSTLIKLWILSSIGPGLVIMRWLPWLGTVVVLPFSVLYSATLVAQDDLPTWPPSPHW VVALMPLVQLSYSYLLYDLFGPLERRLNRALRGLPATEDDAALRNGAEQAGAAPAPTA GAAPAPAAGAAAARNEQEADGLWGAFANLGRAVLGLFADVRVEGAGNVDVELANEHFE IRIGAELADDQDEIDAGRDLEDEDVDGGRDGFQLPAEAAAQHEEQQQVQNPQEPADQR QPRPEAAQQNQNVQNAPDNQRNNDDVPEGSYFTLVINSLVTSLLFPAISYGMGELIRA VAPRTWVAPARSWGRKGPAGLLQQRWGRSLVGGCLFVVMRDAIALYTKYRRVQVRAKR RVRNVERRTGSRSTGEAA MYCTH_2299590 MAGPVPIAHSLGDIYTSDALPSQTKRWNKLVSQFEAKYGHGPEF VSRSPGRVNIIGEHIDYSLYPVLPMAIAADALLAVSTSPTPENASSYTIKIANVQGSK FPEHEFSLGFDAVDIDATVHEWTNYFKSGLRGALELLRKKHGDDFKPKSMNILVDGTV PTGGGLSSSAAFVTASALAVMIANGEQTVDKTELTELAIVSERAVGVNSGGMDQSASV FSERGSALFVSFSPTLKARPVQFPKTNPELVFLIAQSFVTSDKFVTGPIHYNLRVVEC SLAAAYLNAVLNPPGTRLPADAAPLGISLHGFQETYFALKERAAGATSSKSVSEQLQE LLTLTEQTLDKPEGYTREEIATVLGITVDELNARFTSRFPVRAERFKLRQRAQHVYSE ALRVLQFLDLLESSSSPASGEDTAAYNARLGALLNETQASCRDVYECSCAEIDDLCAI ARRNGSYGSRLTGAGWGGCSVHLVPADRVAAVREAWETEYYSKLQLSQEQKEAAVVVS RPGSGSAVFLVKGGNLV MYCTH_2116318 MTANLTSPECDWGSSENKPGPPPGRDENGSIGPVGVREIAVDPN KQVAARIEEDSPMGKTTTEGPVSTARLLAILSSLILSIFLFAIDMTIVTNAIPRVTSI FHSPSHRHGGAGIITGSFAIIALVAPPGRAPACMATLGVTFGCASVPGPLLGGVFTEK VSWRGCFFINLPLGAAASGAVRGGLHDAGCGGGASRLGIAVIMGASACFLIAMQLGSE AAVWSRPATIGLLVDFSVLAAVFILVEWFQKERAMVQFCIFSRWCILGNVIGIFFVAA LSPVAGISAADSGHRTIPLILGVSVLTIVSNTSMPRVHWTVWLISGPLIMTGGVACLY TLDIETPLAKVLGFQLITGSGIGLVLQVPMVANQKLACSFPDVAVVTGAILFFEATGA LLFAGAVEATFVNGLVRCLEVEAPWIPAHEVIEAGATGFRHQFGSDSATILTKP MYCTH_2299597 MLRQTSRALQGLAESRPSQHRALTTAQRATALTARRNSPANVRN QSTTAATKPTTSEERPRPSPSFNTAAKDRSHVQPLVNPRKNDMDESFIGKTGGEIFHE MMLRHGVKHIFGYPGGAILPVFDAIYNSPHFDFILPRHEQGAGHMAEGYARASGKPGV VLVTSGPGATNVITPMADALADGTPMVVFTGQVVTSAIGSDAFQEADVVGISRACTKW NVMVKSVAELPRRINEAFEIATSGRPGPVLVDLPKDITAGVLRRAIPTESAIPAMPSA ASRAALELTQKQLNKSIRRVAELINIAKKPVIYAGHGVICSENGPELLRALADKASLP VTTTLHGLGAFDELDEKSLHMLGMHGSAYANMAMQEADLIIALGARFDDRVTLSVSKF APAARAAAKEGRGGIIHFEILPKNINKVVQATEAIEGDISPNLSLLLPHVEPKTMADR KEWFDQINAWKKKWPLSDYERAERSGLIKPQSLIEELSNLTADRKHNMYISTGVGQHQ MWVAQHFRWRHPRSMITSGGLGTMGYGLPAAIGAKVAKPDALVIDIDGDASFAMTLTE LATAAQFNIGVKVIVLNNEEQGMVTQWQNLFYEDRYSHTHQKNPDFMKLADAMGVQHR RLVKPEETVDALKWLINTDGPALLEVVTDKKVPVLPMVPAGSALHEFITWDSEKDKER RARMRERTGGLHG MYCTH_2314307 MPKDDEKAPQPGWMHKQKKPKNNKDQQLERPRRRRRRRNRYTDD EDDDYDNNEGYDQQFPLRQRQQQQQQQMQQQGGDGGKNPLRLRLDLNLDVEIELKAHI HGDLTLALLN MYCTH_2299604 MPIGLLVLAGIPTTIGVCEALSAQKKQNAAAKEKAKFHLTATVS LDGRGPVECWCVLRDGKLWIDHPGFPMPGHKFTGYYFTYPSEEKPLGLVSTIADDPPM LNWIFVDKDSRAVRHGGRQDTLGGHKVGPWFWSEDEQWLTLEGDALRFVAVQLDDRKW AVAWDGDGFFSQGRGYKGGSSETESETERPSRPRKWISIMLRRRLQLGMESRYVKGAN G MYCTH_2299605 MSSRSGSGEKKPARQQQVYESDGESEDYQPQPQPRKKRNQRAKA GQGPLDNLAFDNVQNTAGGLVNSATGALGSVAGNAVQQKDGGKRDTLRLRLDLNLDVE ITLKAKIHGDLELALL MYCTH_2299606 MAPKQQPESRCSESSPRHSETADDNNDPNKNNGNEDEDDEIIDM EAENLGSRRDASAQADTQKEEDDPAQPASSAYVAPIPNIGSISPVSPGIQISPADRPD IPPFVGALLVGKPVDEDGDIVDEKTGQVLAHAAGDLPSMVGRRVANAQGDILGDDGKL LGYVADVEWPRARPPPNAAPRSLFDIMGRATGSLMVDHAGNILDASGKVVGRFHDNNN PLHRREKEEKEVRERARTARSKGPRPSGEEEQPVSLSEDQRHWSPPPTPPAPAPPPPL LSPSPHQHQQQHQQQHQCEEAQDEGEERAESQSQTATGDGQPRPRRTEEERRRNAEAW RKENPNESPSDISLDVKSTREGIQLRIRIPTVFNGQQATPHISS MYCTH_2299608 MSSIPQKKTAPPGSWIWDKGEDATVQVHDRAQGQSAATPRSQPT VPEYQGFNDGSSAVESQSGEYTHRDNEPSNKEKEAGEDQGEVEEEPIKLKDVEPKPVG EEETEQAGDDVEAPVEADPNADLAENAKQALDRASENQPTNDARETLLKTAREDLAAL QAEPLQSEEQGRQQATTQDEPDEPADQPAEEQDKGEEGEEGAAAGAEAVAAAEEAGGD EQAKPDLSVLEDGTVNKGGNVVSPDGTIVGRVVDGEVRHLAGSKTDADGNIWNSSGKV VGRAEPISDSERDALLRELAPFESFPDAVVGKDGMVVVPSGDVVGRLVQGDEAALQGK SVDPDGDILDKAGNVIGKAERWEPEPEKEPEPEPEADKSVLAGKRVNKAGNLVDGSGA IFGRVVEGDVKRMVGRMCDKKGNILSESGDVLGKADLVPEDEREGLKEGPFAELEGCT VAKDGTVVTPAGEVVGRLTSGDPKILFGRAVDEDGDILDRNGNTIGHAERWEPEQVER KKNPMSGRRVNREGNVCDEDGNLIGKLTSGDLSVCSGKEIDDDGDVVDYKSNTIGHCS LLEDIGESPEEKEKREQAERDKKLAVQMSVCIEQCLDSIRPICKMITEVSSYPSVSLS LSFMFSFSYPFPF MYCTH_2299610 MQAAGRTIEKNENDDDHSFVKRRDSTVKFVVKYWEAEDGVPSLS DVENAHFASFSLGDTGRFVVEGMAYGEKPECLASAKPVVSTFQANFVHGGLIFVVNSH HYSNNVMGWANFVYQLAENCYSIANNTAPPPWDPANLDATRFTASDFPSDSKVDGTTP SERNPLLREHLSLLFHFEFRDYEVKTF MYCTH_2299613 MATAGEVVVCKREPSNEYDSNAIRVDNVLGRQIGHIPRAVAAKL APYMDSEEIAVEAVLTGEKGFYDCPIRVFLYGPSNPFARANLEERLKRDKLVKAMQLK QTRKENEQRRKAMGLKSGRSTFGFPGDEEEEMSLEQLAQASQAVNFRAGGDIAQTLAM DEDQLSRMPQAEQPEQVRAKLLPYQLQGLAWLTAKENPAYPQASSAESVQLWKRDARG RYVNMATNFTVASPPALLSGGILADDMGLGKTLQIISLIMTGGPGSTLIVAPVGVMSN WEQQIKRHVHEKHLPNVLIYHGSSRQTAAKSLNDFGVVVTSYGTLTSEAAAGGPLTKH KWRRVVLDEGHTIRNAKTKAAEAACKLNAQSRWVLTGTPIVNNIKDLHSLLKFLRITG GIEQSDVFTAVIARPLAYGDPGAEALLQSLMKDLCLRRRKDMKFVDLKLPPKTEYIHR ITFWADERKKYEALLSEAQGALQDYQAKSKAGQKGRFQGVLERLLRLRQTCNHWTLCK ERITDLMKLLEEQDIVPLSDENRALLQQALQLVIESQEECPVCMEPLTEPVITHCKHF FCRACICKVIEIQHKCPMCRAGLAEDKLVEPAPEHSADEDAGLDTETKSSKTEALLKI LQATLKNRGSKVVIFSQWTSFLTVIQRQLDEAGYTYARIDGSMNTSQRDAAIRALDND PSTRIMLASLSVCSVGLNLVSADTVVLADSWWAPAIEDQAVDRVHRLGQTRPTTVWRL VMEGTVEERVLDIQAEKRELVNKAFQEKQGKQKKTKETRMADILKLLA MYCTH_2054500 MHPSLLFTLGLASVLVPLSSAHTTFTTLFVNDVNQGDGTCIRMA KKGNVATHPLAGGLDSEDMACGRDGQEPVAFTCPAPAGAKLTLEFRMWADASQSGSID PSHLGVMAIYLKKVSDMKSDAAAGPGWFKIWDQGYDLAAKKWATEKLIDNNGLLSVNL PTGLPTGYYLARQEIITLQNVTNDRPEPQFYVGCAQLYVEGTSDSPIPSDKTVSIPGH ISDPADPGLTFNVYTGDASTYKPPGPEVYFPTTTTTSSSSSSGSSDNKGARRQQTPDD KQADGLVPADCLVKNANWCAAALPPYTDEAGCWAAAEDCNKQLDACYTSAPPSGSKGC KVWEEQVCTVVSQKCEAGDFKGPPQLGKELGEGIDEPIPGGKLPPAVNAGENGNHGGG GGDDGDDDNDEAGAGAASTPTFAAPGAAKTPQPNSERARRREAHWRRLESAE MYCTH_59302 MATSLRSIKSLVPLLDRVLVQRIKAEAKTASGIYLPESSVKELN EAKVLAVGPGALDKDGKRVPMGVAAGDRVLIPQYGGSPVKVGDEEYHLFRDSEILAKI TE MYCTH_2299619 MATHPQDRESQNEDSAQTADNQHNQQSQVRFKSTIEEIAPDNVT STSFPLAGGATLGSPSEVTPEDIRKLSNRLRVCPLQERRMNIFSYEPVSLPPSRTASH DEESREPSRQPTQGSTTHGSPHLSASHRSPDVHSPPLTPARTDTAEGGSKREPSGRPE RLGRGPDIITPQDSALEPPSPGSSRLSVPHQAMPEHERASRRPATSDGRENTQTTLGG HRKGLFSVGAGSGPSSPASSAPPSRDSSPARAAAASLFYSRQAPPPGELDDPYAASKR QAQKGIESRFVFSKKKKPGSSPSSSTLSLPRMLGDKRQSDEGIAHSRNSSMVDLKRFF KLSPSHKAKRTASPASSVRSGAKTPPSSKSAQIPFGDDHGLSSKYGKLGKVLGAGAGG SVRLMKRAEDGVIFAVKEFRPRHSYETEREYVKKLTAEYCMGSSLHHGNIIETLDIVQ EKGKWYEVMEYAPYDLFAIVMRGKMSREEISCCFLQILSGVTYLHSMGLAHRDLKLDN VVVSERGIMKIIDFGSAHVFKYPFESGIVLASGIVGSDPYLAPEVYDEKKYDPQAVDI WSLAIIYCCMTLRRFPWKLPRLSDNSFKLFAADPTPGHDPKKLLLPPARSETALNEIP ARDFDPQALRDEANAAVKHDEPSKAERPSTTATTTGKDGNSQSQPSEKKEVIRGPWRI LRLLPRESRHVIGRMLELDPKKRATMDEILDDPWVANTVICRQGGGEVFNADDHTHVL EPPATPAKS MYCTH_2299621 MSKARSAVGGVDQVVKIIVGAGQASPSPPVGPALGSKGIKSMDF CKEFNARTQHIIPGTPMPVRVTVRPDRTFHFEIRTPQTSWLLLNAAEVPPGKKGKRKG ASNPGKEIVGTVSLKHVYEIAKIKQSELRLSGLSLEGLCRSIIYQAKSMGIAVVP MYCTH_2086957 MFKWAQQQLANVAGTQEPIYGPSAIKSVAEEAETTPYTELTRDD LKWRAMESTCVETQTFYFVSDSGQLAFAQVIYSNVAGIRTTCQFNCKVFSLDGSKPHL WSSTPLSNHGFSEDYTAFYADDCAVELSEDGTTYTIKSLNDEQAIVNLTVKRTAPGFK AGKTGTTLFGTDLSNPWGSMRHVFWPRCTAEGTIMTKDGPIDFKGRAFLSHALQGMKP HHAAAKWNFCNFQGPTYSAILMQFTTPPSYGSTVVSVGVIAKDGEIVVGGCKSDVTHI QTNSDSENDWPEPTTIKYTWAGTTKDGKPVEAFIEGGLEDRLDRIDVMAEVPGFVKKI VAGAAGTKPYIYQYSPQKTKLSLKLKIGDEEVTEEGFVFAEATFISE MYCTH_97424 MPPTIPQRGGPSGLKRTGIAGKTILKTGAKRHRKIIVDCIRGIT KPAIRRLARRGGVKRISGDIYDEARHALKAFLTTVLRDVVTYTEYRNAKTVTANDVIF ALRRIGRPIYGFDPDT MYCTH_2299626 MASSRHAGAITELDLSTCQPSSLATKVVTAAVPGLKTSAAFLTF LRANLVLLDTTVPSNAPTPTLGPQWHTLPPVFPSSLPASRPGTAHNDDIPPLSLEILT AREDKAAALKLIADSIAQQHQRATSHLALHPLPLAAILGVLAVIYRYAWSENAQGDLG TVAVLAGSAIVTYLMVIRVLASGYLRAAKGISWDYLAAGRGGDEEDIVLGARHGSDIV GALVLRLERPGSSWPDGCHGGHGGSSRRKAHSRQNSFKLKGGRGVIRAWTTQLRYRGR GLGADLLHEAVRITRERCGKDAEVGFAKEHANSVMVLPEMFNRPFRKSEMQAARALEK ILAEWDGRRR MYCTH_109542 MISFKPSKLCSPKQAAQVSHAVAPVVYQVHAARLGPLASIRLAA ISHQGCASQQPTPLPGRRNFSTTRVGQLQDVFPAKETPFIRRTPPAWEHPGYTEEELL AVTPGHRQPKTVGDWVAWKLVRLARWATDFATGIGREQQVDMKNPTTSVTSQKPLTEA QWLVRIIFLESIAGVPGMVGGMLRHLHSLRRLKRDNGWIETLLEESYNERMHLLVALT LGKPGWLMKTMILGAQGVFFNAMFLSYLISPKISHRFVGYLEEEAVHTYTRLIREIEN GDLPKWSDPSFTVPDIAVTYWRMPEGKRTMRDLFLYIRADEAVHRGVNHTLSNLKQKE DPNPFVSVYGANEEQHKPKAALKPAGYERAEVIG MYCTH_59287 MDQQHSQDRGRSPSATSTGGGIRNAHSPSPAPFPNPNEAGASSI GLGVGVAAPQSFSQPGVANPSAVPTFDLPEDFVTQIKADPSPFGSPNPGSLSSQSQQQ QQQQQQPNLLAPPFGDGDFTIFPPTTSEPQLNTPLFGSDGQQQLAIPEPNMMAQTNHT PTPPHLLNPDAQQQPGSANHSPRFNQSQFSSPPGRHSRNVSLGPEAALLPGQIDWTHA GPQFQGHRRSASEYSDVSSAAPSPNLVSSDTFENLDQSHSPLQHPQDAALFHELHGLG SFSISDTGAHTPSHGARSPSHSPAISPRILPQQLPDMNQQGSFLLPSQNNNFGPSPSY MQASQEAFPQLQQENQDIQQQQQQQQQQQQQQQGMPAPPSINIDFAPTAVRSGFEQPE SLDVDSLTPPERGMVQRPRAVTDPYNNSGALLSAHRSASASRGLSPEAAAGGRPESSR SLSPFDRSGSTAASRRRQSTSSVPNNVMALRLADPDYNGGTQGEGGGAGAKRVQKHPA TFQCSLCPKRFTRAYNLRSHLRTHTDERPFVCTVCGKAFARQHDRKRHEGLHSGEKKF VCKGDLKRGGQWGCGRRFARADALGRHFRSEAGRICIKPLLDEEISERMRQWDEGQRQ QAQQAMAQGLVMQPQGMMMTPGMDPNAGGYPMDASGNYALPQALLAQYPALAQMNWSA SDMGGGGSGIEDELSGRSSFDASDYDDAEDGGYVSGPGTGFGPGGMQENFGEMGYASD YGGR MYCTH_2299636 MAPPAEIKALVITKPGTAEIKTVPLPTLPDDYILVRVTAVALNP TDWKHIDMGENTVGTIVGCDYAGVVEQVGPKVTKPFAKGDRVAGIVHGSNKVRPNGGA FAEYIIAKGDLQIKIPDNLSDEEAATLGVGVSTVGQGLYQALKLPLPGTAAPSNPPPE ILIYGGSTATGLLGIQFAKLSGYRVATTASPHNFDYLKSLGADGVFDYHSPTVADDIK AWSANPDALTLAWDCHASDDAARISAAALSTTREGHYRALLRVKDDVVRSVNPKVDNG FTLAYTIFGEEIEKSRVWPAVPEDFEFGKTFWELARNLLAQGQLKPPRPDTNRGGKKG LEGVLYGLQQLKEGKVSGTKLVYTL MYCTH_2137832 MPPPEPFTVITRVPIPASLPPNAVLAALKAYEPIIKPNPYLDRY ERRSLEAGEEENDPFFRADGQNAQAFVVHERVPIIPGVGSWATKQVTIPCVFQSFEHG VRCCAHAQGGVTVRSSYEVRRRGEVADGPEPVSGPGDGDYELVDISSIECGALIKPFV KMRFSSAHQALLEQIIKDLAAAPNRWPGQQ MYCTH_2299639 MATAEVLNLLDTWPGRSIHGFPGTGLSPKTIRSNGRVEQFSAGA SLVSQLSFISQLWIELCATSKATQPLFHGPLTLPDISPRWTRLLPSEQGLDSPTSGLP DPTDSGTFVRRRQYPTRTLSAATPRHVPLSSNPRRPKDDLFSDPTTSPQIDFTSEKGF RQAAKKKKKNNASAKTNNNANNDQADDNAKKPEDAGDTNNGDAGGDSPGGDAGGAGDG DGGEDGKKGDDKKEDAKEEQGEEVKEEKNEEKKEEKNGEETEEKKEDAEPVDDWAAFT FSSNKKKKKAKAGSAADTAPAAGDKANDSGLPTVDSGSGGFDSFQEVKLDDNPAPDPP VKPTEKGTKSPLAFGAWGTAASWASSWGSKLSLANKSKEAIDENPWAAKVSKATASLG FSFGLGDEADGLEKDAKPAEYEAADAGFSMAAAKTKKKKKTSLWGDPEPEAEPAPEPP APAPDSPAQPDDDGFAISTKKGKKKKGAIVEETKVEEPKASGDAWDFWGAKKKTKSTV DETKPANPEPEPVPDPPADPETTADHNADGAWGWDSVTVTKNKKKKGKNPVTDSSLQD PEPEPTPEPESAPESALSKNEDDFWGSFGSKKEKKSKTPAAEPEPVKVPEPEPEPEKK EEDIWNFTTSKKDKKKKKGKTAGVDVDPPKPVETEPEPEPKPEPEPEPEPEPVPESSP EPIPEQEKKEEDSWGASTSKKEKKKKKGKKDEPEPEVEPAPEPEPEPAAEPEPESVPE PGTKDDNDFWGVLGSSKKEKKKKNKKGQLEEPQPEPVKGPEPEPGPQPDVEDDVWGLS TSRSDKKKKKKGKDKVAELEPEPESTPGDDSLNSLGGSEEGKKNGEAAQAPGGNDKDL IDLDKPADLKNNDFFSSWSTGIDKTKGDDGEKPNNELATGEDNWEEWDKKQSQEAEEQ ARIDEEERQPKEAEEQAARDAEETEAAKEEEELAALKAKKASSKKKKLNKSDQEKLDR LTASIKRRADIKAAREAEQAEQERQKKEEEELAAKEAEERAAYEAKQAEQERQRKEEE ELAAKEAEERAAREAEQAERERQRKEEEELAAKEAEERAAREAEEAAERERQEAEAAA EAAAAEAAAAEAAAAEAASSGKKSKKSKTKEKEKEKEKEKEKEKEKEKEKEKEKEKEK EKKKKDKDKGKEKENEKGKGKEKEKEKEKEKEDTQATEDDEFKDIELTPEQVEELLAE KPKGEEEEKGSISEGVPPPPPPPPAAAAAAAAAADPFSFWGAAKKSTAKKSRLDSWLP EAQDTPHQAAVPEIAHAESSTSKEVTSPGAIDTVTTEGGGDTEPVRPVKSKKAVSGKI ADRLRAFQVVDDEPDNDAPSEALPPPPPPPPPAPAPVAEPVEVLEDKKTKSSKKSSGR SKNKEQVINIDKFSSAPPPHSGLPGSFPLSEEEPHNSDPGHGDDDEIVEVVDMSPPKK AEKTKKIKGSPEVIDVQPPPPVPPPPPAVPDAPPMMTPAAVRSSRKERPRVNREGSAS WGTWTTTPSKEPKKPSSRSKPEKETSTRKKTSSTTEKVKKTEKPSSRGSGSEGTDKPK SKETPARFASVFASTPPLSRSASTREKRHGASKSSSRRHSIDMTSGLMSPPPEDMSAK AAKVLGFGPGLVGAGLGLSRSGSRRSRKDDGDDIVMVGAADATLDPERSERRRKHRQY SRDDDIVVVDVADATPSRPPLKRSSSTSKKPSAFSSLFGGVFTSKGDKADSRLEPRSE PRRRGTYPTTDEEGGRRGEETDAEREARRAARRARRAEREAAERAAAEQAAEEARRAK DEARRERRRRQEQEEEARRQEEKEARRADRRAQRAREEAERYAAELKEAERAERRRRR AEMDAAEVEADARRAARHHERRRSYQPEESGREEDEERRLRREARRAAKEAREREDRS SRRRPEMAGDHVYPRTSRETTGGSWPHSGTSSWVKEHSDAPPPPEHGEGVIKPPMPEI VALEDDEEGRQEARRAKRRANYAEAAGMTPEEYDEERRRRKRREERERERERERERER ERKKERERLREKYRERERRTGSDGSDGRRDSRRNSSMFLDTTPRSSWWKRLTGGG MYCTH_2045737 PDPESLHPVSSGPAYSVFPRATKRWIIAMAACASFVSPMTANIY FPALNPIANDLGVSVNLINLTLTTYMVFQAISPTIVGDLGDMAGRRPAFAVSFAIYIL ANLGLALQKHYAALLVLRMLQSAGSSGTLSLCFAVVADVAVSAERGKYMGFVGAGINI GPALSPVLGGILTQYLGWRAIFWFCLIYASVWLIPYLLAVPETCRKVVGNGSVPAQGW NMTAVDYFSRRRRRSEDAGAPAGSLKRELRFPNPVNALRVVFEKDLALLLFYNTMIYL VFILIAATVSTEFADIYHLTDLQIGLCYLPYGLGCCLAAILQGYVLDANYRRIARSIG FTIDYKRGDDLRNFPIEKARILPVYPILSAGVAAVISYGWVLQAETNLAGPLVLLFVV GLCVTGSFSILNTLIVDLYPEAPATAVAANNLVRCLFGAA MYCTH_2299642 MANLTTAFNSLLEGHEAPPTKSFSLDTADEFLKEAYRINSLIAR LHSELRNLRQAYLSTAPPRKTHLRSAPSRSAPPQHVQLTDRDREEIDANAKMTLRDLN ARIRALEDAEQLRQNTEAALLRKRLSRGLSVLGSWASGDSARSEQAALEAAARQLGAH REGVIWYLWTKLQETARTQQRMMETRLTREMEKNRSVLAKARGSATSTVSYDTGNRSV PKPPAPAILNSANLSAQEEEERKKPPVQSLTDEQRQLFEKGNQDMLKHLENTLDKVRT AERSLMEIAELQNMLVNNLTTQSAHIDQLVADSFETTEDISKGNKELKKSAGKASPAR YTFFAAAGLCTALILWDLIV MYCTH_2299645 MGQNSPAGPALPVQPQTPGTGIDTIHSGGDPVLVLSPGVTLTLG RDALLLSGQLSTKRSRSCVPCIGNLGANGTPGSIPYYNILWAEITSDRRWLLIDYADE TQSDCLEVRNAKFAIPEFVAEQTPAEVGDQVAPWVDRLLDRAYGSSVRQKRAWVLVNP HAGPGGADKIWEKEVKPIFEAARMPMTVVRTAYSGEAVDLARDLNIDDYDIAIPCSGD GLPHEVFNGLAKRPDARRALSKIAVCHIPCGSGNAMSCNLYGTHRPRAAALAIVKGVP TPLDLVSITHGGKRTISFLSQALGLIAEVDLGTEHLRWMGAARFTCGFLMLALQKKTY PCDIAVKVEIDDKEEIKRHYRDHITQRRNEPPKPAESQDAGAKPSGGFPTNTSPLHND DDLGLPPLKYGTSLDKLPEGWELIPHEKLGSFYCGNMAYMAPDANFFPAALSNDGLID LITTNGDISFFKSVSMQLDVESGRFLDNPLVSYRKVSAYRIIPRNQDSGHISIDGEAI PFGPFQAEVHQGLGLTLSKTGAFEAPGPLN MYCTH_2299648 MASQERSMPFIKNLASSDRKIRTSALSSLRTFLSARQVASALTP IEVLKLWKGLFYALWMCDRAIPQQNLCNELADLIYILPREAVETWLRGFWATMAREWT GIDVLRMEKFLLLVRRVVGAGFKWMKKGSGNEEGKKKGKGNSVSDWDAGKVDVIVGLL GEWPLALEEEARIERATDGDGKDANITLKIPVGMRLHVLDIWVDEAEKAGLLENDDME AKQITQRISDQIDALEEATTSPAVRIRSKESLADDRLIARRRPEPDARSGGDTGNGQD DGADWDGFD MYCTH_2314321 MAPTSTTSFPAEVVPQAPEDPLFGLMRAYRADSSPNKVDLGIGA YRDDNAKPWILPVVKKADEILRNDPEANHEYLPIAGLASLTSKAAELLLGQSAPAIAE KRTASVQTISGTGAVHLGALFLAKFYKVQGANRTVYVSNPTWANHHQIFTNVGLPIAT YPYFNKNTKGLDIDGMKAALEQAPDGSIILLHACAHNPTGVDPTPEQWREIALLMKAK RHFPFFDTAYQGFASGDLDRDASAIRLFVEEGFELVIAQSFAKNFGLYGERAGCFHYV ASPSADAASVTTRVASQLAILQRSEISNPPIYGARIASIVLNDPALFAEWQENLRTMS GRIIDMRKRLRAKLEELGTPGQWNHITDQIGMFSFTGLTEPQVLKLRSDYHIYMTKNG RISMAGLNSKNVDYVATAIDKVVREVQ MYCTH_112850 MPQTLSTREANLFRTVIRHYEDKQYKRGLKAAEQILKKNPKHGD TMSMKALILNAQGKTEEAFALAKEALTIDMKSYICWHVYGILYRANKNFDEAIKAYKF ALKLEPDSHQIQRDLAVLQIQMRDYPGYIQSRLLMLKSRPQLRQNWTALAIAYHLDGN LQQAENILTTYEKSVTTAPLRTDLENSEALLYKNSIIAEMGDFERALEHLETDCKNCL DRLAVMELRARYLTQLGRKEEAAKAYRALLDRNAEHPDYYKGLVDALGTAPDDEVARK AVYDEYAAKNPRCDAAKRLPLDFLSGERFRTAAKAYLTLMFDKGVPSTFANLKHLYSD PFKKDTLPDLAEEYLRERSGTTHTDGQTNGDSSKGEGAALYFLAQHYNYHMSRDLSRA TEFVEKAIELDPQNVDFHMTKARIFKHQGEIAKAAEAMDYARSLDTKDRYINSKAAKY QLRNNENEKALATLGLFTRAETVGGPLADLTDMQCIWFLTEDGEAWQRRGNIGLALKR YHTVFNIFDVWQEDQFDFHSFSLRKGQIRAYIELIRWEDRLREHPFYFRAALDAVNLY LSMHDKPQNGTNGADGSHSNGDDTVERKKAAKKAKKEAQKAEREAAEKAAKQDPNKKS ADGEEAKKKDDDPNGLKLAATTDPLGDAMKFLWHLLQFSPKNIEGQIAGFEVFIRRGK YLLALRCLKAALAINKDHPKILEQAVRLRKALDGAIGSLAQKVKEVIESELAAVPGA MYCTH_2299655 MTQPSSLPPLTPQLCFSTTALRDFLRLSRSAVDDTINQKLNALE TPAKAGFDPTSTTRFGPQPLSHQIDAAACNAFKDKVLFPSWQTRSDVISYCSLVATSP DPDDPERALREAENERNRERVIDERLDPYSARYFPREARTEQLAHILRQEMGVENIVR RRTWDVIQRRCGGSTDMAWEQALESWRGAKNTSHESR MYCTH_2299657 MAQPPEAAPLRLQSSLDSAPVQPRVQPNLDALVEQSSPHSQHQH QHQHLREHDQQQSRQPQFQLQHQNYPPLTLSGAQPHGAPQSGDAPATSSSAGHSSVRG TGSPGTGGERAGVEGVSPVVDANSNNLSSVVHHSDTAPAVIGTRGDTQHKGGAPGPAP SPSDMSNTQPGPGPARQPVTYASAPPYPQAGMSPVSQYMYSTQSIPSDPYRPSPTTLP SMRTLDHRQPQAQAQHGIPLGPHMAAPTMPAPAPAHMGYYGVHPHLYGLPDPSAMRFA IPPGMAHDPRIALSGGRHKKEIKRRTKTGCLTCRKRRIKCDEAHPTCNNCKKSKRECL GYDPIFKQQHGPTAIQPAPSSQPPAAPSTAAQTPAVPSSAPHPYQTSYPPPLPSSVVP DPAVSTAPQNIKTEPSYDYSTAIDPALQEGDSADTAGTRVPRYQQGNVAAGASHGISG STDLPAKRMKVDELIALGGVPPQPSTAPPSPEMLSEITKLYSEIYSPGLIQFFETRWY DFTKNRATTTNSAAIIHNNSALVSLFASFIQTISKVKTTERADMVPSELLESSVVWSL ARLPLATTLTQRPQYSDSVPAEDDPWEARGRLQVFETLLIGETLTANPLSPPPPGGNL HPLRRNELEFWYQLAKYLLQAHSSGSPADTSIREQCLGAMRDLLDGRENRDVLYSIAV MREYTAHWDAFSIEQNVPSHLEESDPRSKLAVATRFIRDESSTAGGTTNVVRRFAELA YRAFVRPGANVNRSGGVN MYCTH_109533 MSLIEGERPRVLLLGAIEHAHSAWASIEQIADVVTPKSTNRAAF LEEASSGAFDGCIAAYRTFESFDVTGRVDSELLGALPRSLRFICHNGAGYDQVDVQAC TAHGIRVSNTPTSVDDATADIGIFLLLGALRNLAVGMAALRAGEWRGSPAPALGNDPQ GKVLGILGMGGIGRNMAKKALAFGMKIRYHNRTRLEPSVEKELEAEYVDFDELLAGSD VLSLNLPLNKDTRHIISRAEFAKMKKGIVIVNTARGAIMDEAALVEALDSGHVRSAGL DVYEQEPYVHPGLLSNPRVLLVPHMGTWTIETETKMEEWAISNLRMAIEQGKLRSIVP EQRGLWD MYCTH_2299664 MSAPAHKFKVADLSLAAFGRKEIELAENEMPGLMSIRKKYAADQ PLKGARIAGCLHMTIQTAVLIETLVALGAEVTWSSCNIFSTQDHAAAAIAAAGIPVFA WKGETEEEYNWCLEQQLVAFKDGKKLNLILDDGGDLTHLVHTKYPEMLKDCYGLSEET TTGVHHLYRMLKKGELLVPAINVNDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKI AVVAGFGDVGKGCAVSLRDMGARVLITEIDPINALQAAMAGYEVTTMEKASKIGQIFV TTTGCRDILVGRHFEAMPNDAIVCNIGHFDVEIDVAWLKANAQSVQNIKPQVDRYLLK NGRHIILLAEGRLVNLGCATGHSSFVMSCSFTNQVLAQILLYKNNDEEFAKKYVEFGK IGKLEKKVYVLPKILDEEVARLHLAHVNVELTTLTDVQAEYLGLDVEGPYKSDHYRY MYCTH_109530 MADAQKDVPIKSAQVESLVVMKIVKHCSSSFPTIATGSLVGMDN NGVLEITNAFPFPNIDVLGADGHQSDATSLAAAAARAKANIAYQNEMIRHLKEVNVDA NNVGWYTSATMGNFINLTFIENQYHYQRENDKTVALVHDVSRSSQGTLSLRAFKLSPE FMVAYKEGKFTTESLQKSKLTFRDILVEVPVVVHNTHLLTSFLHQIPAPPESAEIPLP ASLDDIRRDPVKLPSHPSFDTLDLSIDPFLEKTCDLLLDSIEAHYSDLNNHQYYQRQL AREQFKITQWQAKRKADNAARLAAKQEPLPEDEWQRLFKLPQEPSRLEGMLNARQVEQ YSKQVDGFTAAITTKMFAVRGNLLPE MYCTH_2314329 MTVTQGPILVAEAQSQSFRHIDAKGAAAVSDIAVPAVVETPGQT RYLVVSPYHEQEHLLDLESLDTENQLLALALTELRCLRQDYATAPYVDTFNWGEIIEK LRTLARRRNHAWRKTSFFVVAFRSRIPPTTVYAELGALDKAAHAEATASGGFLKYWFG SPDARGRNLATCIWRSQEDATKGGAGPAHRKAASAARSLYSFWKIDRMKLTIEDGIED WAITEWDE MYCTH_2051168 MAPSAIDTETPTVTKGPAREPLKLSGALEAYEYFDVTPVIGREF PTAKLDEWLNAPNSDELLRDLAITISQRGVVFFRAQDGLTNETQKNLILRLGELTGRP ATSGLHIHPILNSERELGGDDLEISTISSIQNKKFYSKVAPETLSAKKQNSGQWHSDI AFEPVPADYTSLRLIELPTTGGDTLWASGYEIYDRISEPYQKFLEGLTATFEQPGFQK VAEASGFKLYDKPRGAPESVGTELKAVHPVVRTNPVTGWKSIFPVGGHVKHINGLTQE ESSRLLEWFLDLIYKNHDLQVRFKWKNPNDIASVAIWDNRSVFHTATFDYLDGNYGER FGNRAVGLGERPYLDPNSTSRRATLSKT MYCTH_97404 MATILVRDKTPRVANDPDSKLGPDISTTSVNTIPPLGEPLPKKR FWFQKTRPYDPDAIATQASVFDDPETAKQYQPPPEWENTHRFNPLARWTWREEVRLVR KIDFRIMVWTCIMFMALELDRANLSQALTDNFLPDLGLTTNDYNLGVTVFRLSFLCAE LPSQLVSKWMGPDRWIPMQMSLWSIVAAMQFFLSGRASFLVCRSLLGILQGGFIPDVI LYLSYFYKHHELTVRLAFFWTAMSIADICSALLAYGILHMRGLQGHSGWRWLFLLEGL LTLVIGLLAFLLMPAGPCQTASWFRGKNGWFTEREETIMVNRVLRDDPSKSDMHNRQP ITFGLLWRSLKDFDLWPLYILGLTFQIPMTPPAQYLTLTLRQLGFDTFQTNLLAIPNT IGHIITMVLITYLSEAVSQLTLVASLGQIWSLPFLIYLNAVDTAKVNRWVIYAVTTLL LCYPNPHPIQVGWNSRNSNTVRSRTVSAACYNMFVQASSIIASNIYRQDDAPRYRRGN KQLLGILCMNLALYGLVKAYYVFRNKQRDKKWNAMTPEERLHYLATTTDQGNKRLDFR FHH MYCTH_2299676 MATTAEEQAKLVETSKRLAAYQAVKDYLLPEYTRVGIGSGSTVV YVVDAIAALGPEVTSKMVFYPTGSQSEELIEAAGLNLQYISKLPAGQQLDVAFDGADE VDDDLNLIKGGGACLWQEKIVATSAKRFICVADFRKLSPRLGTAWKKGIPIEVLPMAA PRVLDELRRMGSQNPRIRPGLPGKAGATVTDNGMWIIDAPFAPLLLPKDTESGGQAGD GSNGLWAVDVLADALIKIPGVAEIGLFYGKNGLQVASGAQKPVAAYFGMADGTVEVRS PPS MYCTH_2299680 MASVVRSTALRAGGACVRCRKGKTKCVYENGRSPCKNCAKGMHE CYLPSESMSHGGHGVSPARVHHQRVRESLPSERVVSSTSVDRQAPPPSSLSRHVPTTT EKLTPELLQEAERVISKTLPACVAFHKPSFLQQLKNASMDPTMVNALLTTAARHSPAM IRRYGGHGGGSAAAEHFASKTISLIMQALDQPSLADIQALCLLVIHEWGCRNAVRAYV YLGQAARMAQMYRVVHTHHQQPDPDQFLQDESFRRTLWLIYILDCFLTSSPGRHPALS SHDVKDVSLPCPDMNYHFGSPVYVRSLNDASPSAMADPNGTLAEVGEFGHIVLATKAW RNVVEMMTTTTLETFSEEQCVALEQQIDLLRQSLPLHFADKPGQINLHITMGSGYTYA MIHSLLNCGTIFVNRRRILQAVTEENFSVEAWRLSSHAHGQAVDRIFAASHSIITSLL ALEHGADKDSILCFPIFMLFSAFTAGSTVAYLTLKGLAPPDVTESASSIVRDSLRLCQ EGSESWPLVLPWQRHLSVMSKVLREVNSVPRDIREPREDSKPAHRVSPSVKDDVVSQA DTNPDPMEYDQTTSSASHAPLPAGVDGRDSEPSVPRRSGVTTISGGSAGASTPATASP PPQSLAPKADSPGAASSASVSGIPQAPQPGPDVDMSAMELCAAFERQLLELDDLAAFM GGGVAPS MYCTH_2299683 MPGQAWSRFLGLNHPSRGHHDRNGWWDESRQRLLPTYRDESVQS AIPAQKVTEVALRIRHLIEECVPCELKESQITSPHSKVISPKVIQAAKEAGGREHGAC VVFCLLVNKSWWCHQALLELWDADLHYLRATACEVIAKKIIESENTEYLLHSVLLKRY SILVDGRPTHPVNVIEKAVDLHALRVIGSSGYQKCINHLWRGWLVQDENDPASFVDYR DKDNTSYIAHLDPDRMRSPMYQNATQMLMSFIYLALYTVAMNTVNEDGGIDPVEVLLY LFTLGFICSDVTKFWKAGYYIFNFWNAFNSLLYGLLVTSFALRCMALSHPQGDPDGLR HRFSTLSYSFLAVSAPMFWARLLLYLDSFRFFGAMLVVLKVMMKESIIFFALLAFLVV GFLQAFVGLDYADDRAVEDIMFIVQSMANTVMQSPDFSGFERFQPPFGLILYYCFTFV VMVILLNILIALYNSAYEDIYENANDEYLAMLAQKTMTFVRAPDENVFIPPFNLIETF ILVPFLEWWMDKKTYKRINDIVMGIIYSPLLLISAYFETRWAREILVNRARGDADDDT VEEWEQMLGQLDFESDGWKKQVDAAKSNLDEDPAVSEVKKLREEVDKLKDIILSLRNA LGKGDAQGIES MYCTH_2299686 MASEDKTLPDLREGDPTAPAKIGDPNVPSENAAKSAVDEPKPID RSAASEVPSSEKKATSKHSKRRSRRPKKKQSQAASSSDSDASVDAIITGSSSSDAGQS EVETPNKKKVFKKHQGPPKAKKTRANKHRKASTAQKTPDSDSNSESESDSEFGSSESD GGGSGPDGEERQQAQANLLRQLQHLLLFQQQQQQQPQPQQLQKPPGAGSYGYPSGLNN SVPAPIYDTRLRYDPARPRHGGAHPSVWGNLNLGAFDSNLLLGGTQDIDSVKKARKAT RLEYKRVDQVWDDTIHNYKLQDTAEGKVDAQYDEFIFHVRRTFDWEGKYKATIVDIKS KLLRECLQDVIGDIKGVSLVDDTPKVDPNLLFLYLEDLRKHLKDLKKKARESKMRNKH DRKKELKRIESKRQHLKVLIKYIDKDSADIKNSLYPMLENGLITFDLLWALWKPNTLA YTTTYGSHDEPRVFKVEVAEKHHNIMRGDSYYLEGKYFEYDRKQHGFGSISEVIGEFH GARKITSLGCYPLKYHRNEARLRKELIERGKKFVSLSGVHYKSHQGMAYYKKKKTVVK VNINGRVMIDPSVHRRINPNYPISLVKPKDHDMFSGDEDDDDDDKDDVDSVREPGCCG CDADHGDDASLSGEKVRFVTKAVRDDKGNVRYIRMPRFEGDDNDDGERGGKERLGMVI SKGEDGQRQQGTDGDPEKTPPSEDGKAAKPVPEFSDEEYLIASPVVLGFAFAEKLWLE FTVSGVKEIQWNETAYESLVLEPKTKDIVKALVESHKYHAAESIDDVIQGKGKGLVAV LHGPPGTGKTLTAEGISELLKCPLYMASAGELGTDSRYLESELQKILDICHAWGAILL LDEADVFLEKRNMRDIHRNALVSVFLRQLEYFQGILFLTTNRVETFDDAFQSRIHIAL RYDELDHRARKIIFKIFIERARVLEKASLMAFSEEDYAALARHELNGRQIKNTVRTAQ ALAVNKGEPLSMSHVRQVLEVQTNFERDLKGGPGYQEAMRSYF MYCTH_2299692 MAGNKRKAPDDAAEMNSRHGASTRLSQPATDKGFTAGESKPDRT GRPPSPGVSADGYFRQLYATEPDFRQLARRDPQFAAVLQDNGQLDFSDPAATMQLTKT LLHLDFGLKLDLPDDRLCPPVPNRHNYILWLKELMDSTSYEHPGRSLCGLDIGTGASC IYPLLGVAQRPWRFIATDIDAKNLSYAERNIRLNGLQDRIRLLDRKPNDPLVPLDDVG IASAVDFVMMNPPFYASEEDMLSSANKKARPPMSACSGAPVEMVCDGGEVAHVGRLLR ESLILRDRIQWYTSMLGKLTSLEVLVEQLRDHAIDNYAVTEFVQGSKTRRWALGWSFG PMRPAEHVARGMKASLWKKILPPSVTAELLVLPADQSVGPITSRVQDIMGALELMSWV WEPEAAKGVGRTRENVWSRAWRRKKLREQQEGTKSKAHYDTGSESEGCRLGFEVRVVV GRSDTRVSLHWREGHDALIFESLCGFLQRKLKESVESNKDD MYCTH_2299695 MSDQSQASSRFTPQNQTTNNRLSINTVGLVALSDFRKRRAEVLE QQEREAREAALSARTNTSTPDRSLTATPNNASADSSETERQRKKKKKKHAKALVSFGD DDEEEEDESGPKLVTDRGAGAQGAEKAAKQAAEKDVGDSSSAEAGTEGASGEDRDKDT DKNKDKDKADKPPAKVMVNSSVGIVPRARTKAALRREAAEREALRKEFLMLQAAVKAT EIAIPFVFYDGTNIPGGIVRVKKGDFVWFFLDKSRKVGARLGVGADKVVNARRDWARV SVDDLLLVRGTIIIPHHYEFYFFIINKTIGPGNKLLFDYSAEATPTQPAAGASGTSGI NSTPDLSKLEGASDDPTFTKVVDRRWYQRNKHIYPASVWQVFDPEKDYSKEIPRDPGG NAFFFTR MYCTH_2049580 MALLATSKPSPTASLTQRSDVISTFHPLVNSAVQFQQLIGSAAF HLVVRAYFAATIAATVSLWASRSIAWRTLLGSRAVVARALFLSKWLAWSAWDSKPSRR LRRRLELELFLWFLGPGGNTLLLMLFWPGWLMLAALFWGVWRLTG MYCTH_2299698 MHLILTGATGLVGTAALDAMIKCKDVTKISVVSRRPVKFTDDRI NVIIHKDFASYDKDLLDKLQGAQACVWALGISQTQVSKEDYITITKTYPLAAAKAFQS LASGVENSQPFHFVYVSGNGATFTPGRLTPFFGRIKGETELALAEMQKSNPSTLRATT VRPGFVDWTGHAEIKPFLPELGTFRSMVGSIMSPIMKHAAKSKWSPTEPLGKFLTGMA MGMWDNALDGQGVERLPGGFTIVDNTGLRRLMGLD MYCTH_2087011 MDAESLITVLRAYDPSFDASAVRRALSDPASIDLFRWATLHLTP DTLLTANELSQYAALEQSGLADKLAKSSDLAATCLLSDQEIKDAIEELHRSTQAITRH TEALRQQQEALGRLVDSGRESLKERVTIEAERARAWQSERSDLALHTDELLQSLGSRV SKLEQRSTGASATIQQTAENLFSSDDRLLSSLQKLGLELEAENDEEQRDVALLRETCA RLIKFTVEIIRTRLDRLYLEALEPPTKSAPTKVSTDEVSALQEEVESLYAEILPVAQM STEQQFLEPALRSLAAKNGQTLARSAQAISYSYQLAANAVLDLAKAELQTQPSAIRAS PTQQQHSIKQQGTVSPVHARPSRRSRYSTGAPDTGDDSPLEEILRTLAISLPQEKEGL PDLCAQVDELSLALAGRREKLQDAAFHAQQNFEEAATKYVTDGKLAIQLVHDSILAES PFGGVRLVDAEIERSIAVLSQELRKVDEKLSDVCAGVAKLKKAKSAKRDEFISRWGS MYCTH_2052657 MSIGFAIPVVMLCRGPVSDPRSVGMIVICFFLTGLFNTVFAYIL TFLIQCVIVRYDKAFLEARRPGDVERGRETHTQEPVNLDKPSCEGSPGENSLTMGFYG SAESSEPVEPTSHHAPSVGTQQSRSLSPRDALYAWATSNPILLLSWLLTLAVGVPLRY CRGHDVALGTLLIFSAWLTALAIQTAIKCTAHLPPSIRTLLSSLLNPVLCTSLAMIAY VFIDGVLSHRPLSVMLDTLQTHTTLPTLLLHPADTPTTVPQPARLPPGRMAAGDVATT LLNSGLVAWGLKLYAHRAHLFSRAGLAVVTVSSALALANLAGGPLFARAVLGVAPPSN ALAFAARSVTIALAGPVMSMLGGDGGLNAAMVVGGGIVYQIGLGLGIGRWLEAGVVER GGTQWVGGGQAQGANRATVKVQQSANDPRIVAAGVTIGVNAAAMGTAYLYEVHSEAAP HAALSMIALGVMTVVFSSIQPLSQWVVRSIGT MYCTH_2074408 MADQPETGLPPNWEVRHSQSKNLPYYFNSAEKISRWEPPSGTDT EKLKIYMAKYHSNKGLPAGTGEERQPGKIRCAHLLVKHRDSRRPSSWRENTITRSKEE AYEIIKSYEARIKSGEISLGQLALTESDCSSARKQGDLGFFGRGDMQKEFEDAAFALR EGEISGIVDTASGLHLIERLE MYCTH_2314338 MIQLKTMLNCIDNSGAAIVECAMVVGQKRHASIGDRIVVVVQKQ RGAQAEGMAGTSGAKVKRGDIRHAVVVRTKQRVQRRDGSVIRFDDNACVLINKSGDPI GSRINGVVGQELRKKKWSRILSMAPMQA MYCTH_73315 MPRIATATSKKQSLTFAQLAAYDDILTDALIDRVYYWTTIPKNR PSYHPSRGVREEEIAKIIQTHLIVEPNLAVAEEKLLSTDGLRKFHDSLKTAKEREDFR SHLRRYMSIYLPDCPFEVNATNRYTIESYEASITARRPIRRNEAIKYLAGIQVTVTPE EEAQLALRKKDFSLVVSSRSKLTSLFMGPARFANHDCSANARLVTGGQAGIQIFACRD IAVGEEITVTYSESYFGENNCECLCQTCEEKGVNGWRPEDGVLSVHRSIEDSPFDVDQ GYSLRSRKRDRSLSVAGSRTSSVTPDIRPRIPKGSRRRSMVGDRASTTDSLDGENLAM SNASLKRKLDTAGLSSPPLTPSKRSQPDRYSTAPASSSISRGSSAVGSAGDSVVSEDG KSALTEVTSPEPDKPGPLLSPELSPVKQSDAPIGQLVGGRLPHLPLTSAETLKSTSIL PTTESDPMQGPVGNASTTASSPPDPESTPANDPNDEAATVTSASATRRRKRGISNQPA ADRPRRRRVPGDYTLTPLLLSEPETAWIHCTNCNTAFVQKDAYFTKANCYRCERHSKL YGYVWPKTAPEGKHDKEARVLDHREINRFLHPEDEAIIRGRKPWRERLTGASAEPTSG EERGQESLRREPDRSKPRASSDLEAPARRSGRIRRASARALGE MYCTH_2314342 MADSFNEATIEDTPVASTSADTAAGADVDMAESTPAATSENPKG SELPFPEGVTEDLIPERVTFAQYLSTPVVTLLVGSGDNETILTAHQGLLVKSPYFAEA CAEFADDGSPRQIELPDEDVDAMGSFLEFLYTGDYFPKKVPGQRTLERHPSVPEVDMT GEQLLRHAKIYTLAEKFGLTHLKNLASSKIHCINSTAKGEIAYARYVYQYTTKEDTTI RVPVANFWATRSHTLRAEAEEEFRSLCLEFPEFGYDVLTRVLDEKLKRERNEKMHPGT PGSARKRPRHSSAAA MYCTH_40111 MWFSNGLTNACGLAFLGALLLSVVDAKPMPKEGGRDRISINTGW RFYRSEYNTDKLAYDLSRNDYANRTDLTVLKPWILPSANDFIADPAARHRRPSGEPTI GIPFVRSSYDDTEWETVDLPHDWAIKGPFYVGDNVPVGGGMGRLPVHGIGWYRRKVEM TKADEGRSIYLDIDGAMSYSIVWLNGHLVGGWPYGYSSYRLDLTPYLKLGDDNLLAIR VDNPGNSSRWYPGGGIYRNAWLTKTSPTHVGQWGTFITSRSVSKSSAVLDLAVQVENA GETSQKLSVVTDVYILDSATGEARTKVASFPRQTITVAAGHKVSVNASTTVKKPALWG PPPSQSPNMHVAVTRLYNRNNDIVDTYLTDFGIRSVVYDADHGLLVNGERIRIQGVNG HHDLGALGAAFNVRAAERQLEVLQELGCNALRVSHNPPAPELLDLADRMGILIYDEAF DGWQLSKNPNDFHLIFDDWSEADLRAMVRRDRNHPSVIIWSIGNEVGEQTTGDSGAAV ARRLRQIVRSEDETRPVTASMNAARPNMPFPGELDLISLNYQGEGIRDTGPYAGLPGI STPPLYLPFHDAFPDKLIVSSETAATVSTRGTYFFPITNWTSAPANYTVGSGGNDTLL QVSDYGLYTAPFGSSPDKVFVAQDNASFVSGEFVWSGWDYIGEPTPYYAARSSYFGII DLAGFKKDRFYQYQARWRPDHPVAHILPHWTWGADRVGEVTPVHVFSNGDQAELWVNG KSQGRLRRESESVYRFRWDNVTYQPGEVRVVTYRNGKQWASDRVVTAGVPVALRLRAD RSAIAGDGLDLSFLTATVVDSKGNVVPQADNEITFTVSGRGGGEIVATDNGDPRDFTS FASRTRKAFSGLALGIVRANRKGDITVTASAPGLARAVVKLTAN MYCTH_2299715 MAETNGQGSGVNAPHTNGRATYAEQYNLPSHFIGGNTLENAPPS KVKDFVASHGGHTVITNVLIANNGIAAVKEIRSVRKWAYETFGDERAIKFTVMATPED LQANADYIRMADHYVEVPGGTNNHNYANVELIVDVAERMDVHAVWAGWGHASENPKLP ESLAASPKKIVFIGPPGSAMRSLGDKISSTIVAQHADVPCIPWSGSGVNEVHIDEKGI VTVPDEVYRRGCVSSWQEGLEKAREIGFPVMIKASEGGGGKGIRKVMDEEKFEELYKA AASEIPGSPIFIMKLADSARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPVT IAKPATFKAMEEAAVRLGKLVGYVSAGTVEYLYSHADDKFYFLELNPRLQVEHPTTEM VSGVNLPAAQLQIAMGLPLHRIRDIRLLYGVDPKTSTEIDFEFKNPESEKTQRRPTPK GHTTACRITSEDPGEGFKPSNGVLHDLNFRSSSNVWGYFSVGSAGGIHSYSDSQFGHI FAYGENRAASRKHMVVALKELSIRGDFRTTVEYLIKLLETDAFEQNTITTGWLDELIS KKLTAERPDPMLAVVCGAVTKAHIASEACLAEYRAGLEKGQVPAKDILKTVFPVDFIY EGSRYKFTVTRSSSDSYRLFINGSKCTVGVRALSDGGLLILLSGHSHNVYWKEEAAAT RLSVDGKTCLLEQENDPTQLRSPSPGKLVKYTVENGAHVRSGQTFAEVEVMKMYMPLV AQEDGIVQFIKQPGVTLEAGDILGILALDDPSRVKQAQPFMGQLPDYGTPVVVGNKPS QRFSLQYSTLRNILLGYDNQIVMQDSLRELIAVLRDPQLPYSEFAAQFSALHARMPNK LEAQFTQVLERATQRHAEFPARNLIKALQKFLDENIQSQADAELLRSTLAPLTAILES YADGQKVRELNVIADLLSQYADVERLFSGRRLQDEEVILKLRDQHKDDIQKVVQTVLS HSRVAAKNSLVLAILEEYRPNKPNVGNVAKTLRPVLRKLAELESRQTAKVSLKAREIL IQCALPSLEERTAQMEHILRSSVVESRYGETGWDHRQPNLEIIKEVVDSKYTVFDVLT LFFAHEDAWVSLAALETYVRRAYRAYVLKKIEYHTDDDKTPSFVSWDFALRKIGQSEF GLPIQSAAPSTPATPIDQNFSRISSISDMSYLSQRAQEEPVRKGVIIACKYLDDAEDQ LSRALSTIPMAGGRKKSPNPLLELSDKRRPGPPARLDSTDELSAVVNVGIQDAEGRSD DETLKDILPLIQQFKEELLARRVRRVTFICGRKDGSYPGYYTFRGPSYVEDDSIRHIE PSLAFQLELARLSKFKIKPVFTENKNIHVYEGIGKDVETDRRFFTRAVIRPGRLRDEI PTAEYLISEADRVINDIFDALEIIGTSNSDLNHMFLNFSPIFQLQPKEVEESLQGFLD RFGPRGWRLRVAQVEIRIICTDPVTNTPYPLRVIITNTSGYVIQVEMYAERKSEKGEW VFHSIGGTTKIGSMHLLPVSTPYPTKNWLQPKRYKAHLMGTQYVYDFPELFRQAIQNS WTNAVKANPALAPNQPPTGECIDFNELVLDDQDNLAEVSREPGTNTCGMVGWLINART PEYPAGRKFIVVANDITYNIGSFGPKEDNFFFKCTELARKLGIPRIYLSANSGARLGL ANELMPHFHVAWNDPEKPEAGFKYLYLKDEAKHRFENEVITEEVTEDGEKRHKIVTIV GAEDGLGVECLRGSGLIAGATSRAYNDIFTCTLVTCRSVGIGAYLVRLGQRAVQIEGQ PIILTGAPALNNLLGREVYTSNLQLGGTQIMYRNGVSHLTANDDFAGVSKIVEWMSFV PEKRNAPVPILPGVDHWDRDVVYTPPQKQPYDVRWMIAGKQDEDGFQPGLFDKDSFVE TLGGWARTVVVGRARLGGIPMGVIGVETRSVDNITPADPANPDSIEQLTIEAGGVWYP NSAFKTAQAINDFNHGEQLPLMILANWRGFSGGQRDMYNEVLKYGSFIVDALVKFEQP VFIYIPPFGELRGGSWVVVDPTINPTFMEMYADVEARGGVLEPEGIIGIKYRKDKQLE TMARLDPLYSSLKKQMAKEGLSKEETDNLKKQMTEREQQLLPVYAQISLQYADLHDRA GRMKAKGVIREVLEWRNARRFFYWRVRRRLNEEYILRRIKNLTLGIHSKGGATYSSAS TETARDRHLAMLQAWSGIPDFANNDREVAEWYEKNRKLVHDKVDALRSEAIAAEMRDL VRAGSNNEATESAAWRGVRDMLRVMPVEERDRILDYLKQV MYCTH_2299718 MSEPPSSPPREGTSVEDALAWYKSQYEVLEAELAEFQASSKELE AELEKDLDAADKRERALRQKAEGLSFEVEEWKRKYKESKAEANAAQNALEKEITNLRD ANRTLQLKLRDIEVANDDFERQARTTTSSLEDLESKYNVAIERAVMLEEEIKIGEQER ERLRVESQRLKEELADLKIEAEILQDKLKKQESRHLSSISTDISSIPGSPSFCNSPHS TASSPMIMTPPDLKSLSATDTTSELQDPPSPPMSDASLPLPKISGLKTPAAQRKSRLP SADSHSITPKPRAFTASTSSSRSARVVTTSGAMRTPVHRSSAAKPTRTPSHRVAPSNS LAHIRTLTAQMQRLEARVQSARSKLQGPANTPPRSSPRGPMTVPSTVTIRTRKRATGS TASSSATSLAGSETTPSQSRHAPSDSRSSISSSATKHVPRLSASGVSRLSFGPLPNRN PTGASSSNNSNTTGTTTDFDFNRPPSRASHSSYARPASRTDSYNGGSSGTTMPPPRPM SRASMSSTRTPLSRPRSSLGMRNGHGHGHSGSVSYTGSSAVMAEEADENGGVAVDGTE YRTPSRRGTYSRLEMEAALAGGAAGGSGIPVPTSRRQSGGSTSTGISISGRRTSGASS RALGDLGETY MYCTH_2299719 MTKKIQEPTITSVTDLPTDEAKWIALQKISYVDQTGTARTWEAA SRKTRAKSSGGVDAVTMGNVLLHPSRPPATLLVVQYRPPLDAYTVEWPAGLVDEGETP EQAAVREFREETGYECEVVSISPVQAADPGLSSATLRMTMVEVRLREDEPLPEQRLED GEHIQRVVVPLDELYDRLVEFSQRERTIVAAKLFHFAAGMHFAKGKAKEYGL MYCTH_2299721 MKSSTPALFAAGLLAQHAAAHSIFQQASSGSTDFDTLCTRMPPN NSPVTSVTSGDMTCNVGGTKGVSGFCEVNAGDEFTVEMHAQPGDRSCANEAIGGNHFG PVLIYMSKVDDASTADGSGDWFKVDEFGYDASTKTWGTDKLNENCGKRTFKIPSHIPA GDYLVRAEAIALHTANQPGGAQFYMSCYQVRISGGEGGQLPAGVKIPGAYSANDPGIL VDIWGNDFNEYVIPGPPVIDSSYF MYCTH_2299723 MARFFSKTRDGESGEKSPTPVQDVPRSELSGEEGVVDEAQDDLH RGMKPRQLNMMAIAGAIGTGLVIGTGTSLKFGPGSLLIGYAFMGFVVYVVMVALGEMG AWLPMKKSFSGYATRFVDPAMGFATGWNYFFKYVIVLPNNLTATGILLQKWRPDINVS VWIVVFGVAIVALNMIHVSFFGEAEFWMSLVKALVILMLILLCFIIALGGGPNGVRTG FVFWRDPGAFAQYTASAGGDTAVIPGATGRFLGVWACLVQATFAYLGTELVGVAFGET PNPRKNVPRAVNQTLLRIVFFYVAGVLVLGMAVPYNSERLLAATKKGTSGLASPFVVA AQIAGVNKLDDAVNGLLMIFTISAANSDIYLASRTAWALAKDGQAPQLIQRTNKSGVP IPAVALSSIFIALGFMNATKSSATVFGYFVSLVTVFGALNWIAVLVSYLAMIKAMKAQ GVPREVMPYRNPLLPWGAYIALAITALIIIFSGYSAFIPHFQVDKFLTSYIGIPVYII NILWWKFVKKTKRVRPEEVDLVTGRRS MYCTH_2052313 YLDNILIFSKIIDEHRKYVKAVLDTLYTYKLLVNKEKSEFYIRK TVFLEYKISLG MYCTH_2124607 MTYALVRFTYLRLDPFRRSLSWRDITVTTFAPIVIAVQMVGLAC CDREYSDALDFLSRSSSLSDQTEVWF MYCTH_2049971 DKSNVTYYNYGKKGHYKRECQSPKKEWKPVPRKEIADINETTKN ITKVVATSYKDRGSDTDSLRYDGNGKDKQVPYSKLVTVDLETGLAE MYCTH_112826 MLYITCLKNYREPVLGDCIESLIAQWHTCIQELQRLQQAAEEAD RPVRGLGALLKVQQRALDKTIQRHRGPSPSPSPISQAQYFGIKSCCWDDRWAVVKKCR GLVAVNNKDFPRSPRLPVAADEGWLAYKDQPFQEKPVAVDAVVDSGATWIKFISISPK TLEYQVVAEGWESEPEEEGGEGGAEGGDALGHTEFADTVSKIVRAARWNHCHRVHLVL PGLYEGKSEHVDRMIHYVRHKMGGEDVSVQVSCAGSPFLSEPPPPLEEAVTALIQDRD ALVGEDCARLTATVNLDPSALVALVTDLHHGPVPLQPECQQKIITRSILDHETDNNDL VARQDILPTVLYPALRGRKMVCTRAAAAYFRRLIGAISTHSEETRAALVLPPADEASS PPPSPSPSPAALREKLQAWSAVPVPDDLQLPVEVVPDVDLDDAAQLAASGALPPMALG VARDLSPLNRSVYCYGWAHRLTTVTGHRGIERQVRLAVARHWTRGDERDEVPPDIWHR HLGGYLIHRDKPKDWRDMVPPGEGIPPELTRWTNPWTTWGRGISTYGLPDTKTWEGVG HGDKTAYGRRVGGKQARANAEEPPEEQEQS MYCTH_85902 MATEAPQIEAPGVTQTQPEQQQQEQQQQQQPQETTQKDQQDQTT TNNNEKPDGEAGDDAAAAAGAAASAPSQKKPNPLKKVTNVLKALFGCLRKPAVADEQH QKEQKAGDDEAKKAGASSSEKGNDNEKPQGEGQPADGATATAGAAQA MYCTH_112824 MASHKAFVLGATGVQGGAVARQLRALGWNVATIARDPDSAAAQA LGAIGVQVHQGSWTDSVALEAAMVGSKSLFLNLVPVMENPASELEQGREILRIARLAG VEHVVYSSAMFVPVDDPNHILETAFKAKTDLEKLVQTSGFPRWTVLRPGFFMSNYLAP KIHLLYPGTAETGLFQLAMEPTARLPMVDHEDIGKYAAAAFLEPDRFRGQVLHLISEV VPVERAMETMRRVTGRNIRAKYLSDQEVEAMLPSHPLMVLQQVAARLVEALDDGYDAP AEARKWGIETNTFGHFVERHKSDFDETFRNV MYCTH_2299731 MRLASSVIITILLTLTATVPEMATEKARERELCVCTENNCRGPD CCYNGTC MYCTH_97378 MHMSTSSTNQLPSPSVEETDESHETAGSALHASEMVVHKTRRPH RKSRYGCTRCKVRRIKLEQILPPPEVDLFRHFLEHTSRDRTVGDQDRYTMQVGIPNLA CQSKPLMRSVLALAAVCRCCDIIRDEPSRARTPSGRGEIVELLSLAHRYHLGSLREIQ ATLCEADNYDHVLANAAMMGMYGSASYAARVWLAKTAPPGEQLHLLDCMMLPGTPQWL SLFRAVRLAYAGLLRNSPQHSPAISPAALSTQYEYKSLLPPQASGSSAPSTPGHDDDD AARFPVVTDIANDSVGRLAHISPWLRRYTASISSMIPSQVPRRVIMSLVHKVPTAFLN LVEDMINLINAGPAAAGAGAGGRPMPEPSAAHQLALEIFANWLVLVILLDDVWWIGGI GAWELARFVSVRSYPGWEACLWNRDRDWWPESMLTISRQFEKHR MYCTH_2299733 MATWGNKSNNELLQSLDKLFKEGTYSDLTITCGNDEYKVHKAII CPRSSFFAKACDGLFQEGNTGVVSLPDDDPQAVRLMMHYFYHLDYPRQREVKHGFLNK SQSTNPKSDVVTNPGSTSGKTSNKTPKSAYSVPPHQGFEVPNLTIHARLYALAEKYDV QGLKALALEKFKEEARIQWDSDDFIRAAEEAYTSTVDRDRGMRDAVVEAIREHSVVLD KESMQNVVRRFDLCFDLMMRFRDLIGRGYRF MYCTH_2050467 RLYSSGEYSDLVISCRGKEYHVHKAIVCTQSEFFSTACRTSFKA SEKGKIDLPDDDPQLVHIMIYYLYHFDYDIQLAHEQSHSEGSGPDVDRRDMNKPTGSV LATHARVYSLAEKYLIRGLKTVAVRQFKLAATSFPDIDDFLEATLEVYSSTVDDDRGL RDVVVETLYTNSNWLDRENVRDVIKELGALTYDLVIYMRRRRAF MYCTH_2050561 FLNVLIPDRVEYYIKLPPRLDKPSKLGFELIPSNLYLFRYRDIG ILLILYINDLLIAIKDSSTVNGICNYLKDRYNLKELGKVKRFLGLDIL MYCTH_109510 MLSFYPSSFCAPAHSHMVPRQSFFDDILNELSSGASAYPYIAAP APRRPSARRSRPQPSTFNPRFDVRETEDAYEFYGELPGLQRENLNIELPDPQTLVVSG HVERSYPRLSNVSSSKAIEPAPTPTSTTTETEQPRRNSYQATVEDDPEDENSLSFGRS TPVSSPTSRSSSPWTELAEPTTSGKPGQEVATQSRPEQTEEEPLYLRQERSVGQFSRT FTFADRVDEDNVTASLRNGILSIRVPKQKPSAPRRIEISL MYCTH_2054970 YLDDILIFSKTINEHRKYVKVVLDVLYVYKLLVNKEKSEFYIRK TVFLGYKISLGQI MYCTH_2299735 MYYSTFTTSDNCPLAFQSSLPLPIAAAEGASAPKTCILLLHGFS GSSAYFTRNAAALSSSPAAHWVVCPDMRGHGRSGHNPARGGYHVARLAADLRELLAHL RRQLPTAEAEAAAPPAVRFVPVGCSIGAAVVWTYLELFGERDGGGEDLFAGFVFVDQA PLQDRAALGLGGAWGAEAAHRGCFDEASMLAAQRAWVEEPARTHRALVRECLGYRFAP TQEEADDDEGEERARRDEDFFTGISAVCDGVWLARLLADHTRYDHREACEAVTKPVLV MAGRRSGCFPLEGMEETVRRARKGGNRDAEMMVFESGHWLFYEEPERFNRELLKFVER CTS MYCTH_2054820 MEPRLSEGYLLTQFDRLVEQGMVVYDRSYRTVVRSDKGLSFEFR ILNGIAAKPQVSQDPTEHPSSRPSGCRPGGDITVSGYEIATISTTHLLTANKFPSARP HYLILTRDGFRRQHEPLDIHDLAAARHVISSLLPRRHLVIFNCGIDGGCSRMHKHMQV FPAPDDFTLWPDAAAEPELPFRFFMRRFRNGLPPPDDLLRTYRTLLRRAERAVGHAAL EGEAAVPHNVMADRNWLVVVPRRSAGWDGLSTNAAGMLGMVWISSEDKLKAWLDRGPA TVLARLGVPADVDCESTGRLS MYCTH_2299736 MAPVGSEGQTGGYRNPNAAGQQPNSSSGLGPSRHPPLPVSPGSP RNHNHNNYGHDHPGPTSSSFRTQRVEAPNAAGTGRDHCTSETGGRPGPDAAGGDEAAA LAEYPYSIYNHGTWTAEDDKTLLQARSRGQNWAELQRTHFPNKTANACRKRYERLVER RGIHDYSGRRLEMVAKEYMNMRRELWSGLADRVGMKWEVVEALCMGAGLRTIQSNARS YTNRTRRDSRISQKMREAQAEALGTGSLGFALPALPLGSEFGTAFGTLLGDRNAGAAG IRSMPPPPLFPAATGPPPGNRLPPMILAPKSPPGAYADGGARPTPGPPGAAVSASEPR PAPRAPDW MYCTH_89343 MLALHAHTRLLIQLHATLYWTPESGLLRGQKLAPVVFAADVAAV ATNEDDNDYDFDYECAYGYGSATGSAVDVFLRSVDASCLDRVRAMTRATAFRFDEPVH YEAERAFVWAGVGGGDGGGGGGGGSIPIRGLSAAELGRQVDMVRRRGYRDWVKVEMAV EISAGEELRRKGSEAGWAVGGLWDKWEGTGRVE MYCTH_2116377 MADSQVSEYKTAIYLLSQIDAPLKLVIAGNHDFTLDTPTYQRML HKARRRRNFSELLRTHGQPRDARRLFEHATGIHYLDEGTYRFRLANSTALAVHASPYT PAYGTSGFQDPAARPGPGSGKEGGRGHEFAVPEDADVVITHGLPRVVYGRRAGFGHIH EGWGAEVVPWGEPPELEKEERRGGSGSQRGGSGSGSGSTVIADLGSVSPLKGDSPEVV AEKERRLREYAAGKCVRTSHCAGDALPVEKGENTLFVNAAVAGQPVQPARTTSLIQLS ITATS MYCTH_36441 MKSKPDKEAWVASLTETIPLTALDYTAPQNYIMRCFIFPFPGAP DSEREVAVAYLRGKLARVLSYLPFLAGQIIHTREGELPRLVYPGNGTPSNLELFPDEV FDHQIINRSEFPWSFDDLSALG MYCTH_2299739 MSGLVPESVQKVAHAALGSREGDKLKDLAHDTKDTTNKDRLTTD YGVKQGTADDWLKVVSKDKTGPMLLEDPFARERIMRFDHERIPERVVHARGSGAFGKF RVFESAEDLTFAPVLTDTSRETPVFVRFSTVVGSRGSADTVRDVRGFAVKFYTDEGNW DIVGNNIPVFFIQDAIKFPDVIHAVKPEPHNEVPQAQTAHNNFWDFEFNHTESTHMFM WAMSDRAIPRSFRMMQGFGVNTFTLINAKGERHFVKFTWTPELGVHSLVWDEALKLAG QDPDFHRKDLWEAIANGVYPKWKFGIQAIPEADEHKFDFDILDATKVWPEDLVPTRYI GEMELNRNPDEFFTQVEQIAFCTSHIVPGIGFSDDPLLQGRNFSYFDTQISRLGINFQ ELPINRPICPVMNFNRDGALRHTITRGTVNYWPNRFEVCPPASKEEGAYVEYAQKIAG IKARMRSDKFKDHFSQAQLFWNSMSAVEKRHIINAFAFELDHCEDPVVFGRMVQRLAD IDLNLAETVAELVGGEAPKQGRPNHGRRARALSQTEFPGSAPTIASRRVAILIADGYD HVAYSAAYAALSSALAVPLVVGTKRSKVTAANGSSTQPHHHLEGFRSTMVDAVFIPGG AKSVEALAKNGRALHWIREAFGHLKTIGATGEAVDLVQGAIALPSVSVSSSGDAHESY GIVTLKDLRPESLTEAVTIAKGSAGFMEKFFYGISQHRCWDRELDGLNTLVAY MYCTH_2116379 MMRGIEEQPPRPPTLLRLPPHVRHRIYLHIGIARRDGRPNTYYL DGRKESRIFVSAFDPPPTRNFAGLLRSCRDLYTEAATLLYSTNQFVIYAYKASLEPLQ SLSPTAIASLTSLKIVLNECSCHYPVDSKDYPPLCCCDDVEHEPHANSIRDQCAKYHG SVHRRPLLDPVSSGIDSTSSKLAAQALLTSWYNAAVHLSSHVRPGRLALSLEVTWCRR YRRYQVCRPPCLASEGGCQPHIHHGCRLSQCLRVDPSGRPPPSPGCFCRRRHAAFSFT CNCWAPPTSLFLVCRALYRDAQLVFFSRNHFIVHDVQGQDKPRDPETASTKEYYPYER LAASHFLRDVVPADCLAHLRFLELVFPPYMPRDWPVGEHPAIVDWRDTVNWLRGKIDA PALTMRVVFADFLYNPAARRDRTTKDEGLQIVRGYMHITQALKPLVKHDGLASLFVQA AFPWSWARNAIRQGLHPDDWWSQRLAEEEQRLKEHLERIPGCEAIVNSRNKPEPRRSA WQTCVFPL MYCTH_2299742 MRQFLPFLSFLWVFLFSLNVFPASASSDYYEQLNLRPLPLSSLL ASFNFRSNTTFSEFEKGNFRFFPRSLGQILQHAGTRELHLRFSLGRWDAETWGARPWN GAREGGTGVELWAWLEADADEEADRKWRILTNALSGLFCASLNFIDETRTTRPVMSFQ PEGDHPTDSDMHLLYGVLPHEVVCTENLTPFLKLLPCKGKAGIATLLDGHKLFDASWQ TMAIDVRPVCPPGDECVLQIEQTIDMVLDIERSKRPRDNPIPRPPPADQLPCNTSKPY HSHDTCFPMDHTNQEWSLAQIFGKPVKGTCPLADPAVPPVCLQVPHSRTVFVTQDVSE KKNPDQVSRCFHVSPPETELELMLPRLEDGASAGILTPETPLLYAERSFTGYGQERGG VQTILRNPSPDTEVEFIYMETLPWFMRIYLHTMEARVAGTSSSPSSSRNDNNISKNDS ATDRNNDDINKSLIQQVYYRPALDRARGTQLEVRMRVPAASTVSLTYDFEKSILRYTE YPPDANRGFDVAAAVITILDRGAEGNTAARAAYNLRTTSLLCSLPTPDFSMPYNVIIF TSTAIALAFGGMFNILVRRFVAADEGPEAGLAVLVGRIKTKLRGAVKGVKS MYCTH_2299743 MAAEQRKLLEQLMGGGMASRSAQLPLTDPKVCRSYLVGTCPHDL FTNTKADLGPCPRVHSEALKAEYENLSEAEKKKYGFEYDYMRDLQNRIEACNRNIDTL QRRLEKTPDEVRQTNALLKAISDLGSTIANGLLEVEILAESGEVARAYDEYYKVRHAQ AAKAERERELKALSETSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFFGKMHLGFAQ MRKAYDALPKEMKGRQRPPMSMGGGGDEDMGGVPTGPAGGYGDGWKGRGSRSGGFRPR GPRRGW MYCTH_2299745 MDQDQFGGRTDDDLFADDIEPVEEETVILSETVTVHDAPAAPSP APAPAPVSQQPAPTAPAQPVRGSLAQSRHNPGRRGDSKSPRPPGNNNDNNNNNNNKNN NNKNNNNHKRTSKSGNANNSPSVQESTATSAPTTNSKQPPTGPREPSGTAPGAKAKGS TASASTNNNNNNNNNTASAISQARLNSGANPRPKLTEEELTERMEKMRLLAAEKTRRF EEAQRDESEFAAAYAHDMEKALERRRAAEEARRKLEDERAKNRERKLKAMAGKEGGGW DAGKEDLVGREEDRKGGFKGAHGGVRGARSAAGLGASRFASGGGFADEGGDFNGFGLR GRGRGRGGGRGRGGRGGGGRTLFDEREGENGRDQARPNPPAAAAAAAAAAESSPPKKA DLKQEDFPPLPTSAAAAPKTVEAAWVSKPDVDLSIASPVGKWDEEVAADIAAKQNPS MYCTH_2299749 MGFLAATLVSCAALASAASIPRPHAKRQVSQLRDDYDFVIVGGG TSGLTVADRLTEAFPAKNVLVIEYGDVHYAPGTFDPPTDWITPQPDAPPSWSFNSLPN PDMANTTAFVLAGQVVGGSSAVNGMFFDRASRHDYDAWTAVGGSGFEQSSHKWDWEGL FPFFQKSVTFTEPPADIVQKYHYTWDLSAYGNGSTPIYSSYPVFQWADQPLLNQAWQE MGINPVTECAGGDKEGVCWVPASQHPVTARRSHAGLGHYADVLPRANYDLLVQHQVVR VVFPNGPSHGPPLVEARSLADNHLFNVTVKGEVIISAGALHTPTVLQRSGIGPASFLD DAGIPVTLDLPGVGANLQDHCGPPVTWNYTEPYTGFFPLPSEMVNNATFKAEAITGFD EVPARGPYTLAGGNNAIFVSLPHLTADYGAITAKIRAMVADGTAASYLAADVRTIPGM VAGYEAQLLVLADLLDNPEAPSLETPWATSEAPQTSSVLAFLLHPLSRGSVRLNLSDP LAQPVLDYRSGSNPVDIDLHLAHVRFLRGLLDTPTMQARGALETAPGSAVADSDEALG EYVRSHSTLSFMHPCCTAAMLPEDRGGVVGPDLKVHGAEGLRVVDMSVMPLLPGAHLS ATAYAVGEKAADIIIQEWMDKEQ MYCTH_2299753 MSGDRTGTIVACVVVMNCLSAVVVSLRFYVRAKLLRSVKSEDWC MLVSMLFCVAFGIFMIMESQSGLGRHMVDVSEEEFSEYFRWSWIATLWYNLSLCFTKI SILLLYLRVLTHDYIRKTTWAAMAIVAIYNAWAIGMYLTMCVPIAKVWNAKLEGYCHP TSVWWALTYLHIITDFLIFIIPIPVVVTMTVPLRRKIGLLIVFTFGLFVCLISVIRTI MLSKLLWSPDHTWDLVAIANWSTVETNAAIVCGCMPTLRPVLAKVFGPLADRLFPHQH QSLEDPESARPRTIGSLSWNAFRFGRRSSANGAAPPAEPDLSWTDGTTLVLTRVESNK NSKPQTTNTDSDAELTISGTDHAVGPINEGLRRPPKVYARGGSS MYCTH_2299757 MTTLYTTTAAALALLLGSAQGHMIMNTPTPYNYHGDNAVQVNPL GPGFPFPCQGRTDHIIETTTIQAGGSQVVKFTGSAVHGGGSCQFSVTYENPPPADKSK WKTIYTIIGGCPASAQGNLQSTGKDEDGREDGNDCGNDTGTECVRQFNVPIPKELPSG NATFAWTWFNKIGNREIYMNCAPVQITGGADDDKFLQELPDLFVANVDGECTTGNGVF NIPNPGKYGKVLEDPTQGSEGSCTKADGIPKFDDSGSGSGSGSGSGSGSGSDGGSSSA SPTETPAASNTGLPGGIFMTTAPSPSPSSGSASGSGSGSGSGSGSGSGSGSGSDSGSG SGSGSGSGSGSGSGSGASGGQACSENGAIICFSPTSFGLCANGIAIPQPVAAGTTCNN GVIARRSAKFIYW MYCTH_97365 MTTGIALLGAGIFAREEHLPAIEAAPSLTLKAVYSRSQKSAEAL AAASRDPSSVAVYFDSPAVEGRSLDDLLRRDDVAAVSVVLPILQQPAVVEKAIRAGKH VLSEKPVASTVADAVRLIGWYESLADRPPLWGVAENWRYLESLRYAAQRVREIGGELV AFRLQMYGSIKPDNKYFNTEWRKVPQHQGGFLLDGGVHFVAGLRFLLAAAGQEVKKLA GFSALLNKALIPVDTVHAAAVTGGGQSGTIVISFGMQFKSGTEVEIVTTKGVVSWSPT EVRTVAGKGDGSGDKVEERKEFPYSSGVTKEVAAFADGLAAGKLDAFQSPREALKDLE ILQALLESGDGGAIVKDISL MYCTH_39133 MGKITIFGAGITGLATAAVIREKNPDHTITIVARDLPGDEPSQA WASPWACAGWVALGGINPLEREMQLAALDHLRSLAARHPESGARLVELTDCFPGGVRA SDPDGAVGWFRDRVPGFEVLDEGEGGGGEDDGARVRYGSVVLTPAVFLPWLRRRLEED GVRFVRIAEVGALADLAHLGHDVLVNASGLASSTLRDVKDDLVVTDRTWVAVVRSDYR DAFVRRGNGVYTYIFGRGDGTAVVGGVSEPTTGELKSKEEIHQDLFRRAHENLPEHFP SASPADYDIVQDLVGIRPLRPAGVRVEKVEVGGQKVVHAYGTTIGGYIHSFGLAKEVA RLVEEAL MYCTH_112815 MLSALVSNLLAVTSGVFAHLAVFRRGEWDVAAPSVFALYFTVFA AAMCFAYTGVLGLRFVSCSAVAQIGALHVAGLYTSMLVYRAFFHRLSNYPGPFLARLT NFYITARSMKKLHLFEEVQKLHEEYGDYVRLGPSELSIADPEAVKAIYGSQSPCTKGP WYTLLEPRVPLFMARDKQKHARRRKGYDPRITKAINQLLRAIDRHKGQPLDVSKWFSF FVFDVMEDLAFNKSSNMLENGQEAYVFKTIRTDMFNIALFTHMPWLLPFIKRTPILNW NYLEFLDWIQKLIDERKQKEPEKPDIFSWILAAYEKSPKTKRDQLNLHGDAQLIVIAG SDSVAAALSHVFFHLAWDPSLVKRLQAEFDALPDISHDNLVTVPLLDAVINETMRLHP PVPSGTQRMTPPEGLWIGDRFIPGNTIVQVPSYTVFRDERAFLYPNEFIPERWTTRPE LILDKTVYIPFNTGAYACVGKRLAMLELRRVVAEILSRYDLTISPGQTKQAFLDGKQD TFTTVSAPLPIIFTERSRQV MYCTH_104726 MNPFQGLREYERSSPWPEKTPISIRLKDGLSITLTIPNFGVAAR TDDQVGSNATLTRVALAHAAFKSALQPEAALDDSFFKTCVAEVNVIKLFFPDITSEAV LIVFTAWLAFACAMDDVIETLDLGDRELVLWDSIQLLIPTPDTSLQRSESLAPEAKDK RVQALARALLDHCTRYLSPKSADAFFNAVCRVLEAHAAEVGFLQGRNNDSFAEYLSVR SRTIALSPFFEVIKAEYLAEPDWDFNDAWLGLQDEVSRAAGLQNDLIGLLRDIEDGEQ LNAVVILMRGFRGYDANRLDRNILARCVSMVAAEHNASAARCFERMTELHRAAQNSPG SSVERVEKVARHIIMMCETHLRWCSSSKRYRLEIAVDENYTSPSSSAPNTPATTAAFP SGEAQSLESCASQSRETQAHPEQAVVHSAGIFHGLPSYPDTPECRNLTALVTGATGLS GYHMVKVLAALPQRWRKIYCLSSRAPPPNFFEDLGEGSSRVEHLAVNFLDDPSEIAQR LREKIVEHVLHPRATSWISGQTPRNWRLSTRNTENMFNNFIAALQLTSLRPRRFMLQT GSKHYAFYLGPAFLPAFESDPRVLLDRNFYYEQEDALNVARPSYIVGAVRDGTLNHLI GFGIYAAVQAFLGEPIAFPGDYHAWDREQVQSTGMLNAYFEEWLVLTGKTANEAFNIH DGQSFTWGRLWPYLASWYQAEWLPPAEEEDKYRSVKLPCPTTPRGYGPQATLRSTFSL LEWSLQPRVEEAWKDLAKRHGLVLDPFDDRYRARIFSFSDSAVIGDAPMTTSVRKARE FGFFGTVDSYRSIFDTFHDLARLKLIPAPAVKEFTA MYCTH_2053430 QQVSHSVSPGIALQGLGGLVAVPLCERYGRLPVLFYSQLFSLAA TVGATLAPSYGAFTAFRALQGFAGAPPQVLGLCVVHDLFFARDRARKVNLWAASFLVG PYLGPLLSGLLVLRLGWRDDFAVLAGLYALSVVVVAALGDETLFVRAGMPARVSREKG ANGGRASSSSLWGVWRDQCSLLARPYLLLPTALFITPITMWTIGMVMTVSQFVLPPVS AGGYGFSTVALSMLHFSPIVGTVLAEAWGHWFNDFLAARHMARFGPECRLTATYLAVL VGAAGLVLFGQTLDHHLSWVGLAFGWAMLCFSTLANMTAVSAYLLDCLPRQAALTAAW LNFARVVGGFSVAYFQMPWFHRNGPALSFGLQAVVMVGATLAVLATQIWGRTWRVRFP APRGGEGTA MYCTH_2108297 MAGLTYYPAAIHTHQQLSHDVDQRSISIYDATTDGEAVDDTSAA APDTHHHRRRHHHHHRHRHHLLGNREGDGYDGDHEPSSAWPMPSPGRERKPADEATSD DDNNNHHHLGGVVGGNSLLLDPRPSTSADDPLNWSWAKKHAVLAALIPGCLLSDWTLT WGTAVFPMQAAEWYIP MYCTH_2116402 MFNTRDSHDPYYDTAIFSNPAFEPKGPRSPLVSKDTGHFVNAGS TLSSSPAARVSARKRRNAKSLQNELPSALDTSPQHQRSASTQANSPSTTPVVRGIPLV SLRQALPDKFRAIFPYELFNAVQSKCFDTVYRTNDNLVVAAPTGSGKTAIMELAICKL ALDRGNENFKIIYQAPTKALCSERARDWEKRFGHMNLKCAELTGDTSPAEMRRVGDAS IIVTTPEKWDSITRKWQDHRRLLQMVELFLIDEVHILKDVRGATLEAVVSRMKAIGAN VRFIALSATVPNSEDIARWLGRNHTNQQLPAYREAFGEDFRPVKLQKFVYGFESTSND FMFDKFLDQKLPGLLSKHAEHKPILVFCFTRKSCESTAAMLAEFAADRPERDKLWPVP SQGISVMSRELQETIGFGVAFHHAGLDAQDRSAVEQGFLTGQLGVICCTSTLAVGINL PCHTVVLKGTVGFTNEKLEEYSDLEVMQMLGRAGRPQFDDSATAIILTRGANKERYQK MVSGQEILESTLHLNLIEHLNSEICLGTIQDLPSAKRWLGGTFLSARLRRNPSHYHLS GGTRNPFQIDDKLEEICERDIRQLQEAQLVTDQDTFRCTEYGRAMSKYMVEFQTMKLL LQIPRGAGMETLEPVTQTRHKVSLIVQAHLGCVQYPDSNDAVKLRRQLMIERKLVFER LSRLVRAVIDCKGYDRDSVGTKTALELARGLAAESWEGRATQLTQVPSIGPVGMRKLA SKDIHTVLQLADKDHDEIERLMSRQPPFGKNLQAQLDRFPRLHMEAAVVGHKVQRRAE EPVLIEVKATLRYLNPKGPPNWLGRSPALTFLAETHGGVLVYFWRGSIRKLDKQAGLE LKFSVGLRDVNEQNFCHFSCEEIVGTMVSTAIEHNIPASVFPSRQIAENRGLDRRRDK DSQDYLDNDGIDDSDLILVAEQAVVHASNTHGAGGNSRVVSDDYSLVEELAVQSYSKE ADKFNDHLAGCNNEDGSNSSQMVNSEAVRLPNGKWRCNHPCSGNAPTRSGKPCSHRCC REGLDKPSKLGPQRQKRKRQESAVDGGDEPNRNRSSRNRPPQQAEVMARISAGPKAKR PNVEGKVEGTPQMCQLGPSPPLKSSSREPMNDWSDVDLDDMDIDYIDLSFMDDGNEED ILKTATTMSPPNMGGHTLGTRVSATDPGAQSSSRGDGQLVVAIPLKENDDIGNSCLES CDYYFQPGGLSPNNCTVAQAEARAFKSGANDEVLCEELSNKSSEATTSFSERNSHRDT GATPSGETKSGHPGSSVSVDLPEMTLEQSPGSSAAAEPTRSLIVRDAAEAATGDGSSK GRPAKRVDEPDWVAEFDPEFVDMFRGYVTFV MYCTH_73270 MKATLVFWLFAGLRSLAAAETIAEINGNRFISPYSGKTVTNVTG LLIAKGPDGIWIRSTTPDDDDATSEAIYVYSKTVGSALSVGDIISLDGKVSEYRSSDS YIYLTEITSPKNVQVVSTGNAVKPLVIGKDTLSPPTEQYTSLDGGDIYSVPNGVANIS AENPVLQPTKYGLDFWESLSGELVTVKAPWAVKTPNKYGDTWVVGDWTVTGKNRHGGV TMSDKDSNPEVIIIGSPLDGTKNPSNSKMGDQFEDITGVVQQAYGFYAILPLTAIKTT TTASAAAPPTTLLSQGKCKALTVGSYNVENLAPTSAHLPKVAGQIVYYLKTPDLLFIQ EVQDDSGPTDDGVVSANATLTALVGAIKDLSNVTYAFTDINPVSNEDGGQPGGNIRVA YLYRPEVVSLYLPNKGGPTDATKVVAAQGKGKSLDSAPTLSFNPGRVDPANPAWKDTR KPLAAAWTAKGASRPFYTVNVHWSSKGGGTSLHGDQRPPINGAVAARTAQANVTASFI AEILALDPAANVIAAGDFNEFAFVQPLKTFAAVSKMVELDEAVKTPVAERYTYAYDMN AQALDHMYVSPALAKSKTTRFEHIHVNSWAAFDDVVSDHDPSVALFDVCG MYCTH_89324 MFRRLKWTVHSTSKRPAIKISDGTYTTHQLKYLEWQNKRRVTLG HQELALDRVVDVLECETVDYGIMNDMSSILRSDDSYKAAKSVDVAVDLDIQDLRERLS KEKGMYLPEEDAEAENDGAVQIFVLTQPPYRRALSWPAVKVNLITSANHPVPTTGHQG VPFEIGQNTRSIQTETVAGSRCYRILDDSNIPVDSNDASLDVPGDAGDADDATVSRQN GSEASAD MYCTH_2299772 MSTQKPVLIAGAGIASLLLAHSLRRSGIPFRIFERDASFVFRAQ GYRLRLSAEGLEAIEEVLDPDTFKRFWDKCGKTDGSKFTEFDAVTGEEKESRIAGQQA LASRDGKVVGIARGDMRRIFAAGCEAHIEWRREVTGYELTDDGVRALFADGTKSVEGS LLVGGEGIRSRVARQLSGGKLKVYDTGARGIHGQAPTTAFGGLGEGVFIIRDDTHPDG SFALITNVRPGELDDPSVRFGWTMSAQPGVIRPPNDDHTIVGKPAADVARSLTRNWHP RFRPLIDQLDEPEAAFWKITCSAPSGVPEWPNEPRVTLIGDAVHSMTPAAGLGANTAV RDSALLGRLLREAGGCKPGVTAAYEKEMRIYASQAVGQSYATAVRQFGIKIDEEATRT VF MYCTH_2299773 MAASSPKIATGPTLLGMPLKQASLLTLMLQNSALILVMHYSRVM NPPGDHRYFTSTAVLLNEIIKLAISLSFSIHEVSRSLAPQTPVTVLLEQIYNQVFSGD GWKLAIPAVLYTLENTLQYVALSNLDPVHFQLLYQLKIITTAIFMVVLLGRSLGVRRW LSLVVLTVGVAIVSLPSSNAKDMTLDIHDFSDHFFPRSVHELGQFAGGVAEAARELTK RGVVGLTNGLSKRSATYEGIADDLDNSPKMNYSAGLTAVLVAAVISGLTGVYFEKILK ESTTPASVWTRNIQLSFYSIFPAFLIGVVVNDGEEIAKHGFFDGYNSVVWTSIVLQAI GGILASFCINYADNIAKNFATSISIVVSFLFSVFFFDFQVTISFLFGTVLVLGATYMY SLPERKRARPPPITIASYEKTTIEGTPRYIDQDRLTVNPLDSAAARTGNGGGGSLGLS SSRPASPLFFHERAPSARGRRADD MYCTH_2299779 MGHTSKFVFPLPGRRSKPAPPPMVSAPLTTKAQKILGAADLNLD VRAIPGWETQSNSGISIAVTESTAAAGEHGNGAVHKEGGGGPKASRDRRWEQESDIIP SALNSHQELLGSMVSDDATEASSLRRRQSSSTITSYYDKSKLPLSISQQTSNSAMAKG LPPKAMALLDMDGEFAEPKKARKKPSKLDLSALLQRSRSPRHLHPDGNTGLVLDADLL TKSPSAISASTAAPTPPLPVGQQADGVIRKRLTRESLRSNSAHQTSPYDSVSRPEQHT KASIELHNLYDHYEQRTFADAFDQNLDSCDYRIEPPPAGPSPAFTTSTTGQSGKAFLS PFPTTALRPAQTASKPSPVTAADLKLAGVGAPSSPVPADCASVSSRHTRTSKASKRTD RSLQEIDLLQNSVLALSSDSEDDYELSSKGSLTVPPLSDGQASPTSPRSSLSQVSAGA YDGSRGKAVKRTSFAPSPQFLPGGHGPTAAKGPEINPRTSSLNSRTSSSASSHGLVRE TSRLSIGTTSTDRTLSNARNPPVESAAAAKKEPKKMRSESQFDFPAPPSNRGSRSASV SRISDLSYPASLSSDDFHLQSHRLPVATDNGSIRSGTSLGSTTNTGRRGSAASSIHDG NSGRFMAVTRQEEMLLAALRMKRARMREDIIAEFEGDMERDEHHELRREMTNDSIGTS GSMTRQSSRSTMRQEMGALSARPRRPSEAEPRREHLTSGDLLGVVIPQSPLDPTIRTP VLEIGEFIPSEESRSTQSSGALERKDSRASSVNSQRSGSSKRRSSLGSMTAPASRRSQ RGDGSSSRQNSRQVSPNGHKDLPHRIPEDPAEDDDDDDDVGIPRPDSPISPSDFPVPV SIRNNQQVRLSAVGFYKPSSDSGW MYCTH_2299780 MRRLSLRSLLAVSTLVSGIAASVDLDHQGSDASTLTGSPKVVTT VVITKTLTTCPSSSAVCSDSTGSASLPPSSTAGSRTESSASSISSPSSSSTSSAPSSA SSGSSSGSSSSTSSSSAGVPACTDFWLENIKHQGVAPFAGSGYEVFRNVKDYGAKGDG VSDDTEAINRAISDGKRCGPGCTGSTKTPGLVYFPPGTYMVSGPIIDFYYTQLIGNPG CMPVLKATSDFEGRFLLDTNPYGDSGSLAWGATNVFWRQVANLIIDTTDVPAETLIAG IHWPSSQATSLSNIVFRSSAAEGTQHQGLFVEEGSGGFMGDLVFFGGAQAMSIGNQQF TMRNITVRGAKTAVQQLWSWGWTYQGVSIRDCEVGFDFTAVDQGDLKVGSVTILDSEI SNTPIGIKYGAADTITTTSPDVPNNFVFENLRLDDVPTAIRGPSGTVLAGSSGQTVIE AWGRGHAYTPSSSGPTSFEGPVAPNARPASLVAAAEPGGDAAASFSSSSSSSSPSSSS SSFYQRSKPQYEDVPASEFVSARAAGARGDGATDDTDALNDLFASAAAAGKIVFLDAG MYVVTRTVRIPPGSRIVGEAFPVILSSGDFFASASAPKPVVQVGAPGGEAGRVEWSNT IVSTRGSQPGAILIEYNLDSSSSSSSSSSSEPSGLWDVHARVGGFAGSELQLAQCAKT PETAITADNLPSGCVAAFLTMHVTRSAAGLYMENCWLWVADHDLEEGADNRQISVYAG RGLLVEGTRGPLWLVGTSVEHHQLYEYQLVEAADVYMGQIQTETAYYQPNPDGRLPFP ALEAYHDPVLAEGESGWGLRVVDSEGVLVYGAGLYSFFDNYDVNCIQIGQGARCQRRI FSLEGANRNVRVYNLNTVGTNKMITVDGVDVANYEDNIDGFVHSIALFGLDA MYCTH_89319 MLWAWIYSKSSSYPNPSFYDPTLMKSELMPPPIPSESESELYYD STDTDVESVHTIDNETAGHPSTARQEAQEPTDSSSSEADSDAGGAAAAKPPGGRFTDV ALPAPEPRAKRKADFASANDGYAVKFRRREPTDGESTESEDGIDSPVPGGYVEQSFSL LTISLPAALALFKETYPHLTRQLVKRPGKLFKYLAKLPVRRIPVVTQIFNDSKPQNVV AYLMQAAGDVVPISESCGKCYRQSGVFKNACVVIRSPEVAEVTGGACAGCWYGRQGSL CTFRQDTPTGEAPLAALPGAAPQRPAPALPLENQLEPLMAAAPGPTASAPLHPSYVAA LAAGTTSAAPTPTVAPNPSPGPPHDPFSPGEEVRVWDERYSSMSTENLLRAHEDLVRW KEDLTTRLIAMNRVMLQRLMEREGSSRGWQRS MYCTH_2124653 MASSPERAGTEGDGDQKQGSGAITVTFFTTGTVQIRPQMRSQPI SNQWVLGRRLRSFFDRGWTDPLPIGVFLVSHPDGPILFDTGESPRRNEPGFVPGWLPI NLLARTTISREDGVVAQLRSRGIEPSALQAVVISHLHGDHAGGLQELVAAAPDVPVYV SREHWDFVTGTNSLHAKTQGFDRSHWPANFKPRFLEPLGGPVGPWKQSAKITADGRVL AVDTPGHVPGHISVIVQGDGDGGRGTTYLLAGDATYGTDFLDREEPDGINDDPKTALK SLMLIKEFARETELVVLPSHDAAVERLLKDRVVYKPKP MYCTH_2299783 MHTYSDEQLRRYLDHIGYRGDARQQASAEPLGCLTRLQHRHMAR VPFESLSLHYSRHRLLSLDPEDLFCKIVDKGRGGYCMEVNTFFAAILRTLGFTLYSAG GRVRGPNGYKGWDHMVNIVTIDGQRYLVDVGYGSNGPPHPIPLRHDHEFIGVAPTQGR LQYRRLEEHTDPSQRVWVFSTREDENAAWKDQYNFVEIEFLPCDFEVMNLRTMTTPRS FFVQNVMCVWTLLGPAGPEGGKLEDGGDPETAGPVGLLILHRDYVKQRVGAESRIIEQ LESEEQRIAALEKYFGIVLSPEEQRAIRGLASELRPKAGHA MYCTH_2051955 MKFSLATVFLGIAAVASALPQDNPIAARQNQGRPMPFGTCCIAN TNLKQDACVAANGEAGRCVPGGNDCGGRLSCIGQSSLTCDNNVIERGKSLCRAQAPGG GLFDGARIIQNLSQAKVN MYCTH_2052727 MIRFGCSQLVVARMDPLVNPGLPQSPHVHQVAGGNSFNISMDPE THDLVRDSTCTTCTFSEDFSNYWTAVLYFRARNGTYKRVRQFPNAGLRTDGGLTVYYI PPYDGKTKVTAFKPGFRMLVGDASLRQAEGMQKQLCHRCLGAEYDQGGAPCTGSDSQT LPDHFCDGGIRTTVTFPTCWDGKNIDSPDHKSHVAYPETGSFETTGPCPDSHPVRLPQ LMYEVMWDTTEFNDKDLWPEDGSQPFVWSTNDPVGYSQHGDYMFGWKGDSLQRALDAR CGNAVCKELRTQTSEEAMRCTLPQYVPEDVDGWLDHIPGVGDVVDGGKN MYCTH_2116408 MRSKLGSSAGNSGGQVYQYPLGDVRYDGPDPSREDGRATFAASL LSRQTPLYECVVQWPEAWAGRYSGGEELVWGDCIFTGAGFGLDETVSAAVDWESRIMY LAHTFACSDNEGLTGLATGSIELELNCTTTDEGSISYCVPNTTPSGGRPALSIETKLL SPPASAPPPACADLPEQYWAWTVEDWLRQFKMDPGATPTDPDAIHADTGPSFALRSRA TGSAFRCSPSAPHDGIFVGPCSPADNGTTTTTTTTMTTASFVFDSRLNILQVSERWAC DGSPEESATGVLFMQAACARQRNSDDFICTSGPVWVETDVV MYCTH_40734 MGSKFWPKRGSPGILHHFTETLVAFEFTKGSRPKPHSILFLGGL GDGLATTSYVADVVAGLEPTPWSLFSLNLTSSYQAWGFGHLDRDTDEIAQCIRHIRDY KTAEYGSPGKLVLMGHSTGSQCVLHYLSRPNPHTSEPPFDRGLEHVVRPAVDGAIMQA PVSDREALQLIMRDGFLGRTPAELRDTFDRLVATAREAVARDPGCDSMLPISLTAQFG YPRNTPVSCRRFLSLASPDSPESPSEDDTFSSDLPDRHLARTFGMIRSRGLLRHTLMV LMSGADQAVPDWVDKEALLERWRRATDADADADADADGAPPPIWDRQRSGLIPGASHA LSNDDQAEPRAFLVRRVLDYLGELEQKP MYCTH_112805 MGSVQGLEQRPQKAEEATVAVPQTNGLARAAASTAATAATAATA ASEEVVLLAWLIVLLRTREDHQFTFEWAYYRTGRTEAETGEGGGGGDKLSSVVRLSAS EVVPDLSTRVDRAASAIASRIAASSPRPRTGPISLLLSTGSLSPQGSSKGEGEEEANK DEPSLHVEVHLGGGGDGGSAVEVRPVWHSEDMLPFTVRRHVENLKETVGQCLSRPEAE VKSLLGPTEHDLDQIWGWNGELPPTYDCCMHEVVSERARRHPDKEAISSFDGRLTYGQ VDGYSTALARRLRAELGVRLHDVVPVCFEKSRWTVVAVLAVLKAGATMVMMDPSLPLG RLRNMAGQVGAKVMVSSRKQRGLAESILGISSRIVVVEEETFASVSLEIDRVEGTKEE ELPRVPPSTIMYIIFTSGSTGTPKGVQISHRTYSSSAFPRARAVGYAEDWRVLDFASY AFDVSIDSMMLTLANGGCLCIPSDEERLDDINGAMRRMRVNYAGITPSVARILDLDVI DSLRGGLGLGGEAVSARDVDVWGRHARIVIGYGPCECTIGCTVNPSAATGRGYISIGR GNGAAIWIVDPADHEVLVPVGAVGELLVEGPIVGQGYLGDPEKTAAAFVGAPRWLAAG HGSGSGSGRYPGRGGPEVRLYKTGDLGRYDPDGSGGIVFVGRKDTQVKLRGQRVELGE IESQLRARLPPETTVIAEVITPSSSSSSSSQAVLVAFVAPRPANAHGQEVALETVELG PELGAALARADREVAEVLPRYMVPTAYIPVSRIPTLISGKTDRKKLRQFGAGVDLRQM TAEAAAASAAAASTEDRLRHAWARTLRLDPETIGAADNFFALGGDSLAAMRLVSVCRE GGLDLSVVKTFSNPTLSAMAAPAEEVIKEAARACGVDVAEVQDMYPCTPTQESLFTFS LKSAEPYVAQRVAAIPAHVSLGAWKRAWEAVVAATPVLRSRLVQIPDRAGLDQVVLAE GIRWRHVTIAVADGLDRYLEQDRRERMDLGQSLARYAIVEVEGEGGQQRYMVWTLHHA VYDGWSEPLLLEQVRDALKNDDNKHQEDINGDDDGVTNNNNNNKNDKTSRPNSPTPTM ADFVQFLRETDEAETRAFWRRELQGATGPQFPRVPSRDFVPTADRIVERRISLPPGAV SALPFTLATLIRAAWALVSSRHTLSGDVVFGETLTGRDVALPGVEAIAGPLIATVPVR VRVPWGRRGSAGAGTVASFLASVQRAALARTPFQHMGMQNIRRVSRDAQYACEAPTGL VIQPLPDEDRIAALADLGFGPGDPVREAIHFNPYPLMLACGIEPGVGSQHAFRVCASF DGSLLTDDQMGRVLAQFEAACVGLATGDLDRSLDDVSCLPADDLAAIWRWNRDPPLLT PDRATGRLRTDPVAVRPGAVYAPRAVVPWVCDLANPSSLLAPIGCPGELWLEGGFLTG DDVVDSPPWLLAGAPGETAGGRRGKVAPTGDVVELREDGQLVYLGRKDGDGALAILRG LGADPAELEAHLSAYLPPSSTRAAAVPVPVPVSVSATTEEEEEEEESNSNSVSRQNLM VLIQHPRTTSPESESEPSSIIRVLPEDYRVPLPEGVFEAVVCAELPLDLAGRLKRLDK YAQNSLASHLVPSAYVVVDKLPAIEGGEGGEIDRPLLQKLASSIPRQVLDRLREGLEA AWKSSSSSSNFLPTQQQQQPTTTTTMTTTIKTNDGTTNTTTTTSPTAVAQDILRNAWA GVLGLDAGQIDVDDNFFRLGGDSVLAMKLVSRLRARGHKLTVADIFRHMRLGDAAKVL KVNNSSNKSGDNNSSSSPGDANNNNTTTTAAAAPAPSPAPASASPPPYRPFSMLSSPT TAAAAGGGGGGGGGGGDPQRFVEEVVRPQLGDPNWAVQDVYPATDSQMLDVRATIRPP RTSVQYTMLYSDPSTGTGFDRPRLLRACAALVAAHDILRTVFVQHASALYQVVIDKAH LDVPVAVVRAAAESEDLEHAVRAHCSAHVESEEAFRLGSPMVHFFLVEESVEETEEVG GEPRRRRERRDCLVICLSHALYDGVSLPALLRDLDGLYTSGAANTPSSTPSAPFSSYL ARARAEPARSEALAYWKTLLAGSSLSVLPGQTTTTTTASSSRAIFHFNPVPSSSSSSS SSPNGDGPALNGTTTTTNDDSPVATTATLLTAAWALVLARRLRNPDVTFGAVTSGRAA EAVTVPVPVASGRGGGGDQGDEAEAAVVAGPCYQLTPVRVPFARGWAAADLLAYVQRQ AAESSAHDFVGFSAVAEAVGWTSSSSMWTGTNNNNIFFDSIVHHQDWEDFDEMPFAGG RCAVDILNPHGDAARPLKAVSFVRDGRLHVGVVGSEHDAALDDLLAQLTAAAQLLLLR AGGDSREPLLPDDVFETARE MYCTH_104717 MKFVNTFTGYGRLLLAGDPSKGDLCMLLAAVLCAIASGVPFPLI GIFFGELLNDFNEVTCMEDATEGGAVPDAAAASYQSSVNDKILMIVYLAIAQFVTIYA HLTCWTLYGTRLAQRLRERYLATLLRQEPSYFDGLPPGEVASRLSADIQTIRSGTSEK VGICLSSVSFFITAYIVAFIKNWELAAMLISLVPAYFLMSLVGSHYIEKYSGRVADHA ARAASIASEALSNVVVVQAFGAHARLERKFAEALSAARREGLKKATAVGIQSGVLYFI AYGANGLAFWQGSRRIADAAAAGEEKSGATVGATFTVIFILIEATLLLSQIAPFVHLF IAAVASFRKLGEEMDRESQIDGTASSGVRLLEPQQEQQTAGDSSGSNKFGFELEGVSF TYPSRPEVTVLDKVDISIPACKHTAIVGLSGSGKSTIAGLVTRLYDPTEGRVLFGGHD LREINVRELRSLLSLVQQEPSLLDRSLLENIAHGLVNSANPAHARLKATLLGPDLADL AAKVRDGEDLEAAAERLGPEVQEIVRLVREAAKLADADGFITGLQHGYGTVVGSSGRL ISGGQKQRVALARALVKNPAVLVLDEATAALDSRTEERIQRAIINNNISAGRTVLTIA HRLSTVTGADNIIVMHKGRVVEQGNHAALMALGGTYAEMVKLQTLGPAESRKDGGDAT ATATSTSTTTTTTATTTSATTTTEDADHQVDSKEEEEEEKKAPLAAAEETGGGGDDDG GRGGGQGEGEAAEEPETPSKSLWALAKGYAPAVRPHLLVMALALVGSLVVGGAFSGEA VIFGNTVDRLNVCRSPADIRSSGSFFGLMFLVLAVVELAANAASWTGFGWVTERIVYS VRVLSFRSLFEQDMQWHQSRGRTPAVLLSYITRDGNALAGLSGSVIGTLLSISANLVA AVVMTHIIAWRIALVCLALVPLLLGAGLLELRVLGRFEDRHETAYSRSVDIGTEAVAS IKTVAALALEEETLRTYRRSLRGPRRETVKVTVQASLCQAATYFLGNCVNALAYWWGA KQIIGGHVSTAQFLIVVFSLLVSALLWSQMFALAPELSSARAAMARILGLIEIGSDGM KGNVPAVAPAEAGDKKKDEKKEEDDDDGGGEKSDPSTEEKAIDTPASRHSSSSSIIGG GGGSSGGAASVEFRDVWFAYPARPDAQVLKGLNMDIRPGTFCALVGPSGAGKSTIISL VERLYTPSAGAVLIDGVDVSKSRDTSFRDAIALVPQESMLFEGSIAFNLGLGARPGGR EATREEMVEACKLANIHDVIEALPEGYDTPCGPNGARFSGGQKQRLSIARALVRKPRL LILDEPTSALDAESERLLQDGLEKAARGITVIAIAHRLRTIRKADRIFWIEDGRCVDS GTHEELMRKSAAYRTNVMHQTVAE MYCTH_59137 MTSTTLAPNYPPVKLPHPFLTAYRVHTVTGTTPAQLVLKLDDRQ PADGRPLPEPLHLGTLQWLDLIVPPQDERPPISDNSPWARARRSPSTVFSWTGSGAPS LGPVWNVVHAIFLAHPIHEYFRLVLKGEGKGQLTDELLATGLAVVHPKPRWDARGPPA GTRQPIVSGEGELLILRSSFWQGAASPVGPRPIWIVGDGTDGHLRKSLAEYPPMPENF HITNKFPDGEPVFTRHPVRRPKPRPGSIVYSRYIPELDAHFSLEVVDWQDEEHLKLFN KWQNDPRVAAGWNETGTLDQHREYLRKLHFDPHVLCLFGRFDNSRFAYYELYWAKEDH FGAHYDAGNYDRGRHSLVGEASFRGPKRVNAWYSSCIHYCFLDDPRTANVVGEPKATG GTILTYENAQGLVIGKYVDLGHKRSVLSICSREKWFQLCPLFWDGREKPLESADRAAW NAKL MYCTH_112802 MSWKRETVRFRHFYVSYPAEHIIQVTINRPDKLNCIDKATSREI AQVWEELDRDETLWVGIITGAGRAFCTGADLHEWNDMNKAGVVNDMAAPGLAGLPRRD GKKPIIAAVNGICMGGGFEMVANCDLVVAASSAVFSLPEVKRGIVPVAGCLPRLTRTL GLQRTMDLVLTGRTVDAKTLYEWGLVTQVVDAAGADGDKLVVRAALEVAQAMCRNSPD ALIVGRTGVRMAWEEGSVEKAVSALEREWYPRLVQGANFREGIQAFVEKRSPKWRDSK L MYCTH_109499 MPRLSNIKTALRRPSAEEEKRADPTVVDASFERTDKEAVADNAA PVNNDGGDTSSSDLPAKDAQRGVQEVEAVTMSWSKAALIGVFLNIWLLYFVNAFQSAI LNSLTPYVTSDFESHSLLNVIDIVAGSMTAAVFIPLSKILDIWGRAEGFVIMVVFATL GLVLMAACDGLAMFCAANVFYKVGFTGMTYSIDVITADSSQLKNRGLAYAFTSSPYII TAFAGPKAAEDFLEHVNWRWGFGCFSIIFPVVAAPLFFVLKYHRHKARKQGLLVKEKS GRTWIQSIWHYVVQFDALGVFLFSAGLTVFLLPFTLAGQAPNGWGSDYIIAMIVVGFV LLCAFGLYESFWAPVPMITYKMLADRTVIGTCMLSISYQVSYYCWANYFSSFLQAVND LTVSQSGYIDNTFSVVSGVLLFIVGFLIRKTSHFKWLLYIAVPLYTFAQGLMIYFRRP DQSVGYLIMCEVFISIAGSTFIIVMQVAMLAAVDHQHVAAALSLLNVVGTTGYAVGAT ISGTIWTNTFRKALIRYLPESALPQLDEIYEQLDVQLSFADGTPIRRAIQEAYGYAQT RMLAAGTSVMAVTFVGVLLMRNINVAKVAQVKGVVF MYCTH_2299794 MDKQRLAALLQESQVPNTQNLKAVTAELQKNYYSHPESLLLLIE IVATHQDVNVRQQAAVQAARLAVKHWEKIPKEQKPAVRQHLVQATMNEQTPRARHANS RLVAAVAAIDLEDGEWPDLIPALFNLASSNEVAQREVGSYIIFSLLEENPTSFADHMS KLLELFGHTLRDPQSADVRINSMMSIGAMLLLFEPLEDEESVATLQSLIPPMVDVLKD AVQTGDDEKTGQAFEVFQQFLAYESALIGKYLKDLVQFMIDLAANKQAEDDVRSQALA FLAQTVRYRRMKIQGMKDMGQQLTQKSLLILTEIDDDEDDDDMGPARSALALLDQLAN DLPPRQVIVPLLDALPKFATSSEPGYRKAGILALGTVVEGAPDFIASQVKAIMPMALN LLNDPDVGVRHTALIGLARLADDIAEELTPYNEPVLTALVKNLQAAMTPTADQKLAKK NIEIIRSVCGALDAMSEGLDADFMKQNAGDLINNIGALISHDDYKVKVAACGAIGAIA ECLGEDFKPYFEQTMRALGAYLTIKDSEDDLSLRSGVCDSVGRIATAVGAQSFQPYVV DLMRSSEEALHLDNSRLKESSFILWSALAKVYEREFAPFLPGVFNGLFESLKLEEEEI KLKLSEEEKGIVGTDNEVITGGKKLTIKNSNDDDEIFMSDDDDDEYDDFGVSVEALEK EVALEILGDVITYACGTQEIAEYLEKAIESISPLAEHTYEGCRKAAIATLWRSYARVW QLMEQETGTNWEPGLPLKQSPTVTLVKLGEIVSKATLSLWHEEADRAVVTEINRNVAA TLKTCGPAILAQEDFMKEVVTVISTIITRSHPCQQDLGDEDEEQEVEGSSEYDWLVID TALDVVIGLAVALGSGFAELWKIFEKPILRFAASESENIERSTAVGVIAECAANMEAA VTPYTEKLLKLLLKRLSDTDPETKSNAAYATGQLILNSTDSNTYLPHYNTILQKLEPM LHINEARLKDNAAGCISRMTMAHPDRIPLGQVLPALVDLLPLKEDYEENSPVYECISK LYENNEPTIQQLTPKLIPVFEAVLSPPTEQLDDETREIVRKTVYHLYNANQGFFSNNP NVLKLAGVA MYCTH_97342 MAGDEGQAFEGGFKLYRYNPSLAANAIFVFLFGAASIAHLVYLV RKKTWYFIPFVAGCLFEAVGYVGRVAAAQEAPDFTLTPYIVQNLLILLGPALLAASIY MILARLIRLLGAKEYALVRTRWMTKIFVAGDVLSFFAQGAGGGFLAKAKTKEDQERGE NIILAGLGIQIIFFGFFMITTVIFHVRIARNPTPASLSAAAGPWRRLVAVLYASSVLI MVRSVFRMVEFGMGYDSVLMSTEAYLLGLDGALMFAAAAALLWCHPSRVIRHGRDVHF RPAPAAAGVAQDDAGQVG MYCTH_2087100 MASAALTNIPLASLPRISQGKVRDLFPLPDMGENPTLLFVTSDR ISAYDVILKNGIPTKGAILTLLSAKWFEVLSSRVPGLKHHFLHLGPPASCGLTADEQQ MLRGRSMTVRRLKIFPIEAIVRGYVTGSAWAEYEKTQTIHGLPQPAGLKRCERLPQPI YTPSTKAELGDKDINISPDEARGIVGDKYASRIEELALACYKAGAAYAEERGIIIADT KFEFGLDEETDEIYLVDEVLTPDSSRFWSKADYQVGREQDSFDKQVLRNWLTDNGLKG KPDVEMPPEVVEKTKGRYTEVFKLMTGESLEDALARVAH MYCTH_2299804 MTHDVAYSLLHMFVNNPCHLLVVIHEPSVRSLMDSFYSCLAGIR HASSTDSAVTKLNPWHAALILSIAATSAALLDEGMLNSSGCSFTSTEEATRSSLAWGR AAQQILEEARETAAMGSTSLEEVQARAILAYLVYNREGYSARFRFLHSCSVAAAREAG LHVVESGRAAAEEPCIDRVTRREIKRRLWWHITATDWMSGVMGGPFDGSYTIHPRHMR TRLPRNLNDNDLSALAARHDADTVTFPLNVPTQMSCFLQRIRLAEICRSIIDAREPSH PDEDVLSCARALELDSLFRSALDDFPPFMRLDAPVPPGAPCHFPLQRAIINIAFHSRR ARLHRPFLLNGYQPWPAHHAPTHDGRQREQYQQCRITCLDSARAVVEIVVALFEASLT QAKSDQAAGAGAGAGFPSSPSSHGRPLAHRLAIFISHLFAACTVLACALHAPKTTESA SDKAATNELVIKEDLSRACRVLEAAGRESPVAARLVQALTNSLRPSRDKNAAATDGLD DQRAHYPHVSCADSAPASTSADFTSVRGHHRGVVSAGGNAQTQAHVGGGGRAGAYADA GGNPGIVDFGLDALWSDFPGMLGSADNWDELFSSIDSSC MYCTH_2299806 MSFLFVPAVAVVSRRLPHRRGLALGIVVGGSALGGVIWPIMLNQ LLNHNGVSFGWSMRVVAFTMIPLLAVTCLTVVDAPNDRLAVRRSQGTQDETKDAVVEN RPHNEAAGPKTAGASIARGTEGGAEVRAVAVKPEAPARSSKTDLSILKSHTYILLSIG LFFTYLGLFTPLFYISRYAISQGVSESTSFYLLAALNACSFFGRVIPAYLADYYGHYN IQAICILLAAIIGFCLTATTNLAGLIVWTLAYGFASGTVIALQNACAGKIAPRQSQGA AVGFVTAIISIP MYCTH_73236 MPAPTPMPFFSGPAPEPGTPMVANLSPPTGDLEAVMPLSKVQLV LWLDYLRRPMATHYLLTAKVDLGHHAVSLEDIVRAIHLLTRRHAMLRSTFHVEDSGAA ADDFEKAYMAVHTAETAFPDIEMIDHSQTAGSNLNWRRGFDLSTQFPIRWVICTDTSP RGQGLPGPTCTLYVVGHHIAVDGSAMNLLFKEVLVSLGLRDEEREQAVATPQNQLSLF LSYGDYIHQQNAYLRSSPGQAARKFWLSQVRHTTPFEWNMAAAGTAAEVGGREIPNRT IDTWSFWSNEEIRKWSQPYKTSWFRIVASIVGLVTAGASTPAPHHDHALMVAFASRPP AFASCVSHMANTMPVRFPLSALLRDGGKATFADAVKAFGKNLSQAKRHEMYPFMTLME EASKVMDDGLLRFKVALSFTPMPADGRYALCPIEGVWDLFFCFLRQDDGVSLGVIANP RVFDQEAVSRLKTMFVETAALSQTNPEFLLSSLDFMQNRDLGQIIAGPRIDDVEAISN CRVHQWIRARAAVQPDAVALFSAERQASMTYAEVVGKSAQIARLLVRTGTAPGDKVVL HIDRSFETVAWILGILEAGACFVVIDKAWPARHKSAIWGVLKPKVIVTDTHEVPNPHG AVVINTLEHRDEITSMPKEPLGIQTANDSLASVIFTSGSTGQPKGVMIDQANISHFVS ATRSVYKIGPFSRVLQFASFAFDASLLEWAVTLAYGGTLCFAEQPQVLVGEYLSEVIR RNRVNFFHTTPSVLFTLRDAVEDDRDNYPSLSIVSVGGEASPAGLLNQWRRKFEVLHA YGPTETTYVIPQNSNPDGEQQSPTPGSSVIGTPLPNTRILICAPDSLEPLPAGKVGEI CIVGPQTARGYIRQEQLTRSKFHTLGVEGGRQLRLYRTGDRGFLSVENGVLSISGRMD TVREIKVRGYRVNLPEVERGVLEASDLISLASVQVVDGSLVALIVLSTTIAGSGGAAR GSVDTATWDEHEAELRAKLAANMPSYAVPSRFVVVDMLPLNPNGKIDHVQAGKLAQKL ASGGGVEAAKPENTAAAAVLSRPKTCPVPTAKDGEMAEQTVEIRRAKLTAQVSELWRQ ILRLSGPLGEDVSFFDAGGHSMLLERLHKAMAARFPEAGLRLLDLFSAATIRQQADEL LARMPVQRPLLEESAWSQQDLASSSVSTSPGRSNASCTSSPLTGPSSAAPSPAGNNLD GLFAITGLACRYPGANNPDQLWNLFMERRDAITTIEKPANRGLSCELTGDGTFVPRYG LIDGLDEVDGAKWSKTEEDIRVLDPQKLVFLDVASEALADAGISLARGSSTNNIGVFV GAAPNIRCLAPKTGVCPNHTFEEHYRSLLDPPVGTLAAYKLNLTGPNVTVNAACASSM TALHLALNSLAQGECSHALVGGVSIRYQGEGGYPAVPGRDFSPTGSCLPLDARADGGL PADGAAAILVRPLRDAISAGDSVYAVIEVHAIGSDGSVAKAGLGVPSAPGLARTVAVA VGRAPRVPTYIEMHASGTPWGDAIEVDALRIALGEKLKGAAGGEAAAGNRSPAPLMVG SSKGNCGNTEAASGLLSLMKAALSLRKGVVPPLRELKEANPKCGFDATIQPLREPLLL QPSVRIGVNSMGYGGSNAHFVLAAPEVYGAVGKG MYCTH_2299819 MKLLYPTTLRLNRALLSGFPTVTLHAYDAKAPTLPEDLLDAEIL VTWANSPANLSFAAQNLKQLRWIQSLAAGPNDVLAAGFDRSRVQVTTGSGLHDRTVAE HALGLLLNAARRFYEMRDWQLRGQWPGHLGGPQPDREPGTFRTLRDARVLIWGYGNIA RCLAPHLTALGSVVRGVARSAGVRGGVEVFAEDSLPRLMPETDALVMILPGDASTRHA LNRERLALLPRHAWVVNVGRGSSVDEDALYDALEKEEIGGAALDVFEQEPLPEGHKLY GAKNLILSPHAAGGRPQGAEELIVDNLRRFLAQQELRNKL MYCTH_2299820 MADQAREKDELKHPPAPSTPNASTHLVGIASMTPHTPTPNTGRT AATNTTTTTTITTTTTISAAALGAAPTAVAPAVPRAVPDPIDFAELPGLPRLRYRILD YKAKLAVVVFLLVLESSLLPISLYYGLVLGTTLRRGIVFAIITSFFGVVTGIEFGLRC IKLIWMGDTYRPRGGTRWSFDFTHWTLSFGYTVMTAILIGASIPHDPLVRPLAIPVSL FFIQVGCQLVWSGCMNRARRPAPFKISSVPKGARVPPLVLTIVEDIVGVDGAGGRKYR DALMARYEASPRFRHMIAQQNWFWAAGALIDSIGTFIVIWTVPEKVAYGVGWGSPLIF VVIWTTITVHWVRLSLRREKELWRAEHPREKQRGSVTQETS MYCTH_2137894 MAAASPSAPDIVDNDKGPTILAVTIVVTVLSTLFVGARLFTRIY LLGKMHLDDYFMIVAMLCGWAMVALTSKAVQSGSGHHAALLTDEQLGGAIFYTMVAFC PGILSFGLPKLAVVALLTRMMNPSRLHSIFLWFLAIFCQLSLMGCVGILFGQCTPTRS MWDFSVKGKCINPWILVNYSIYAGSFSGFVDLYFAVYPSIKKIALSFALGIGSVAGIV AIYKCTRLPGLASPDFVYDTADLTIWTAIEGSTIMIAASIPILKPLADFLVGRRTFSS SNSYNRYEKHTGSRSGQMASDMELSSGRGFRRGGGPKDPMAISQLDTVVDSGSCEEGR KSDGHDSQTNIVSKPDDYTSPQSHPALPPKGAIVRTDHVAVTTTYGTGASPAVRSMDR WK MYCTH_2299830 MAPSDNGHANGKPAAPAVDISVALNPNAPERVPGLIQEIAAAGQ ALNFDDSAARLQLLEKARDLVRALETPRETMIKHLWAQPACLLALTIGVNTGLFHLLI EDGAGPKKAEGLAAKLGIHPPLLSMGYITEVGPDEYQATNFTKSLTIPIIGDGYPCIL GGCYPALIETPKWLAEHQWQTPNDVANGPYQKAYQTKLNFFEWLQSNQPYGKQFNHHM GGYRQGRPSWMDPDFYPVKERLVTGLDEGAESVLLVDIGGGLGHDLGEFRRKHPDAPG RLVLQDLKVVIDQVHDLDPRIEPMAYDFHTEQPIVGARAYYMHSVLHDWPDEVCTSIL NRVAAAMRPGYSRLLINENVIPGTNADWQATALDLMVMSLLSSRERTEEDWRRLLEGA GLKIVKIWSTDKQNGVESLIECELA MYCTH_2124673 MAPYADINMPRLRTLLVATILILLQQSGLAYPRTHHASKLFVGG RGVDGEYDYIVDGKTTVLVVEYGQRTPSVLQLSGIGPRELLESAGILTVVNLPGVGQD FQHHAMIQAGLRFAAYLARSLTPTEYHPAGTRAMLPRDLGGVVDQELRVYGVDRIRGV PSKWSFAVFRVVSLVLCFLHFHRYTA MYCTH_59106 MSPCGLKKPILALNRMLGHASARNHFTKPRIGNITALDHDTRHE KQVRKAQEHLAKEDERKFERRSFHQRRQEEEERAHQIDPPEIAARYGTKTGDALIKTE SIQKLAADPANVGRSVSFIARIHHVRCMSSKLAFIIFRDQVELIQGVLAYREGEISEN FVRWAEHLTSEGLVHVQGTLKRPPEKIKGCSIQDLEVVIDSMHSVVPVQEHLPVDPFT MDRVEEDAESHQAEAMASTRVRVSNRIAYLRTPTAQSIFRINSTVCSIFRSVLEANGF IEIHTPKLMPGATESGAEVFRVNYFGRTAFLAQSPQLSKQMSISADFGRVFEIGPVFR AEDSNTHRHLTEYTGLDLEMAINSDYHEALHLIDDLMKSIFKGIYTRCRREIDIIKTR FPHEDLVWLEETPILTFKEAVELLNSSGWTDDHGRKASETEDLSTRAEIRIGELVKEK YKTDYYIIDKFPASARPFYTHLDAEDPRFTNSFDIFLRGQEITTGGQRIHKPDVLKER MKKAGVEPSGVEEYLQGFEFGVLPHAGCGIGLERLLYLLLKLGDIRNASLYPRDTKSL QEHKKTTVQLPHPEADTIRYAYDYEHGRPNLKMPPVEKLIANYGDATNTSWLDDRYKV WRHEATGAAIGYAEENGYALVMGNPLCDPHQYQPVIRAFLKHMRKHKDLRPIWLLVGP KVEEILGSKLGWRTLSCVAEERVPIESAKKVAKKERQAEDAGITIHEQPMDEPVPQEF RDRCDKKIEEWKANRKGSKQVHITEVRPWVDMEHRRYLWAETKEGEIAALCVLHRLSP ANGYQIKFALDFPGSPSGTIEALISAAIQALAKSGVRNVTFGTGALPEMVTGGNLDGI RARILSKTYKTIAQQLKLVQKSEFREKFGTTNDLVYICYPFMGLGVSGARTLIKFFED EM MYCTH_2299837 MANARPTVDGIAVVNLASPEEQSGAESAAAARARRLKTARDAVG RAPSRWLLRASAAWWRSLQYVGMTLHFLAPERPPSPSFSKTIRSTISKTEGEFSLQFY TPEGYETAAKTGTKFPAVVNFHGGGFTIGSATDDARFGRFVLKECNAVFVSVNYRLSP EHPFPVAVEDAADALLYLIRSGPELLIDPSKLATSGFSAGANLAITSTLRLADHLETL RQAGTQVPDHRIRAVATWYPITDYTEPRALKRAASVRPDQTLPETLTSLFDACYLHPP DLPLDSCYLSPSMATDEHLAAAIPQTVIFFTCEHDMLMQEGEALAKRLREPPISKDVR YKMIKGVAHAWDKSPDPTKPAEYSEDLYRECCGYLSEAFEKP MYCTH_2299838 MARGRRNHEGTVSAAEWPKHNFQMKDLDNVLITLWAGLDIAFIH ERERVQFHFLLDVFCWSGARIGAFFRGGPL MYCTH_2299840 MAAEAAAFATLTPTNLLARMAFSDLYDRLIAGRQDVDNRERAFR IGPDTQRAPDRDVEAFKRHMRRRADGKADADADQVSDTLPDTQSESDANDPNSSEPGR IWRGHFLLRFDPEPSDPRLGWTVGKRRPLAVEHTAKPADLLVCTSAFEEEHKLSVRHF HARFTFSQDTGQLGIARCSSNLAWQVTAGGVQVEKRLHILNVDSVPISIGPLEYQFRY AEFARTRQCFVLRN MYCTH_2299841 MAHTRAQAAAQRGLTSPASKGQETRPQSIQSRNGATKRAEPNCI RPSTISETSSRQSRGRKQPIEIAVEPRTDRQRRGPKRQRTSPTRPAGNTVGELLSRKR GIDGPAESNLPRAKRVRTAKALEHVSQERAISDHADFHSSRENLAASAEGEGEKQLHA VQDSLDHTPDGSLSKRRRTTLSNQEAGGDTENWRNIIDFWRREGSWPEKYFEPDDSTR KDFERESEDSWLKEMERYDPTVKLLFAKKKTPTSLNRKRSGSDSSTTPSQDTISASYT TPSDQRPREEKNAPYRDPRYPLLLQTKGLYMGVSELGITDTSKQLIRDLLSGEQSVPK ETLFDDDIFVEACYNLETKNEARIIQDISRLIVPSAESLALRDKKYRHLIESVNEGWN NSIPLTTPRPQPDYSVGFRRDAFTED MYCTH_2052590 MTQTTTLSVRRHSWRDAPALTAWTIQEEPSTRISWTPRAPVRPR RLRKPECRELLNPNNALPGIHIHGIGCRCLQSQADEELQDELLATKIASLTRTILAPS SSSSSSSSTTTTTTDSTKPSPSNRLRRSRPGVSNSLRSALTRLLPSSPSSSSSSSSSS TSTTPTTNNTPSPTKTGRPAGNISLPLQADLTIGCAELDIDK MYCTH_2299842 MVRFLVEACGADVEAARVDRVTGAGETVLSMACAAGAPEVVRFL LASGARADRRLPSARGIGSGSGGSGSGTTGAAAAAVTTTPLLTGRGQTALHVAVLADR PECVEVLLREGGADANAVFDAAGPDVDTAGGGFGLERGLRSLRGRGRGGGSRETRKGE KGEKAPKHPVSALHLAAHASPECARLLLEHGARVDIRDAYGRTPLHWAAEAGHGAVVR LLVEAGANINAASDDGLKPLGAVAKLLESADGTQAHAGVLKVLPNGP MYCTH_2299843 MTDAFFFGSDGNTILEALVFADFTSVQAKGEGIRTLPLPGVRCQ KCAENGEEVWVVPGLICGKCGAPAPSQDLSDFPDPDDEE MYCTH_2299844 MHVSSGLITYALSFAALATATLALEPWLISELTTFSPPDRPGSS PHSVINATISDPNDQTPVTAFCAATWTFEESPYGTVNACSEVPGGRWRFAMLEAADGE PSPTTNFKLRFELDKDGQTLAGTASFRVGENLSGLCSAGGVCSFSLKKENTPFAVKQT LEN MYCTH_2299846 MSSSPTDDTKPRSDGFEVAAEAGHTFTLDEKAKVADYKADAVAA ENAEHNMTVLEAVRAYPMASFWAFVMSFTIIMESYDVFLIGNFVALPAFIRQYGEYNA EKNVTVIVPHWQSALQVSGQLGALIGVFVAGPVTSRIGYRWATITGLMLLNAFIFTFY FAESMPVIFLAQLLEGVPWGIFIANAPAYCSEIVPIKLRAPVTQMLQMFWAVGSIIVG AVTYVYNQVEHERAYKIPIALQWMFPTPLAILMFIAPESPWWLVRKGRLEEAAKSVER LGRRSRLNSGETVAMMRRVVELEKAEGEPSFWELFRGTDTYRTLIVCGAYASQNLTGN LIANQAVYFFEQAGVSTNTAFALGLITSALQMVFVMLSWILTTYFGRRSIYLWGTFGN VVLLVALGIAGSIGDPKATSASLAQASLGLIISVLFTFGAAPASWVIIGETSSIRLRP LTTGIGRASYYVVEIPCIFLGSYMLNPTGGNLGGKCGYVWAGTGLFCLIVAFFYLPEM KGRSYREIDILFRRKVPARKWKKTVVDAEDDE MYCTH_2299849 MGPFGNLGESLRSFTPQERRNIALYIAGIMVYKFGLEAFNGSVI ALATNRYDHEAAINGTPSRTFERVGLLTGLNQACQCIGSILIGPLVKRYPTKNVLACA IVIFGACSALLLIIDAATGGTFMPAASRTNHRDHGHYGKYNTDIMIPVYCACGIVYGM VELIRRVIPRDIVGGHIQKLKRLDSMVHIFYEVSGTAGAFTTGLVIIPQLGNNMAFIV TPACFLISAVAWVFISDLGFRRRTAHLQAIERPSYFKAALSGLYLFVESIWVGARILF TSRKFIWLVPGYSVALYAHRFLENNVAPQIAQRYMGNSAWAQIIVGGSNLGELIGAFS VFLFTNAVQTPMPWLRLDALMLLIVWYIPFWRPPPGRVGQAWIAAATFAPISYGWASG DVSLAAYIQASLARIESQNKNVSALGAVMAFLYSFYITTYAVAGTLLGRYLDGVYNRT GGTDGGGEVRTGLLFTAGVQMTVISALVLASTFVPKGSFAFNPKMISDETLEDEHIEV EEQRPGPGPAEEYNLKDGFKNAGSYCSSEDGSESRVVKKISEIL MYCTH_2299851 MAGDEDLLIRPLRDVVSIGKNAVASTTLVPLHVPGSAGHADPIA SAAHALLREGQRALNKVQSIWQDQASKYDDGVRDAIVQQASIEKKRLHLEDLLRNFHG STQLEEIDQARYVALRAAARALALDVVETANRLQLETTAPALPPGGFPPLPPLPSRPE TRAGPGSRPQSAHSTRPRAPSKANTTHRGAGHRSLELSEPARQRPGVPRRLSASSNEM SAEAIAAHRSQSSQHADACSPCQTNPHDSYSFTPPTSPTADPEELQIGSLTPVTLERR RTFDSPPSPGITETSRSVMSTASISSSAVLASLDSVVLEEETNGPDSAIMDESRASVP PLVSRNSSAESGKIGFDDMLRESGYNSDWRKSRPETPRAPDCAIREDSTYYKLKGLCR GATRFRKDGHWGSIKLTSEYDHGVTATGAGAGDMLRASDGIIVPFQYEVTMVGACGDC GYAHSLDDVELDKGNKPEAIRTSESGARYRLRLLFKSHLRQRSSAETHYACLWCVQAG ACTREGDATVFRSPDDLLRHLARHPQPLASIAGVSVCYGKLPDSAPLEFDLHLPETPI PVPMPENVARLPTAIAVKDHYRRPGRGKLDKPPRYEADMLEFMEGACVVGVMFPEKWG GKYCLGRHDGDFGAFPAKAIELRPPQETEIPAGGESGMSVTARWKWQPPETAGAPWLS FGKGEVITNVQCLYADYWCWSGTNSKGKTGVFPQCFIDLQTLRGQEPVAKKQSRGRSF FGSRTKGNAASKSNTAGHSESAAS MYCTH_2299852 MVYRIRVAIIGLSSSAKTAWASRAHLPYLFSSRGRSKYEVVALL NSTAEAARAAAAAYGLPLETTRTYGDPAELAADDGVDLVVCTTRVDKHYATVLDSVRR GKDVFVEWPLAQDGRLARELADEAAKAGVRTIVGLQGGKAPVVAKLREVLESGRIGKV LSSELRVFGGLNDRHGVPQSLSYFTQREVGGNVYTIGFAHIFEMVLAVLGELASAKGD FHLQRPEVKLLDGAGNAVGTAQSTVPDLILVSGKWNESHITQPNATLHYRFRRGQPFP GDPVLSWTIAGEKGEIKVTSPKHTFIHAGEESVPSFFEVHDYETNTVDKVEWQWADWQ QELPFQARGIGALYEAFAEVKAGGAQESYATFDIAARRHELLDSLLSEWKA MYCTH_2299854 MSLTQAATRLLRRDDDEDCVWVEPGPNGHVPPSETACNSYYNFN PQFAPAVAVAVIFGIFTGIHVVEAFVFKKRYAWVLIMGALWETLAFVLHSLGSRDQQN EGYSTSWTLLFLLAPLWINAFVYMTFARMVLYWHPENGVAGLRSVVIARFFVFADILS FVVQLVGGFMATAQLHGKLGLAAVYVYMAGVGLQQFFILVFLGLMIAFQRRCSREPLY DDDGDSDAAADKPSWRPLLYGLYAVLVFITIRIIFRLIEYSSGFGLDNPIPLHEEYAY ALDCFPMMAALLILAVLHPGRYLRGPESEFVRLSRKEKKARKREKKEARKAEKEARKA DREARRQAGREKRSAEQRATDEANSSLLA MYCTH_2299856 MSPVTQLLAAAALALAPGALGAHLIASHFSGTVYSLSFTSSSNS TGTLSVTSETDGCGATPAWLQLYSDTGKVYCFDESWLGSGSSAEYEIADDGSLTLTGT LQTTGNSVHGALYGGADGKGFVATVEYTPSTLTTYKMPFGGGQRLALEKFTMEGQGPN PRQDVPHPHEAQVDPTGNYMVVPDLGADLLRVFRINAETGELTACSEGQAGPGDGPRH IVFWKNAEGLQKAYVVNELGNSVSAWDVEYPEDDDDDEAAAGGCLALNKTQTLSTYEP GTSGGPTTKAAEIRVVGNFLYASNRADETFGPGQDSIATYTIDEQTGELAWLGAANSY SYYPRTFEFNRDGTLVAVGGQTSSNVAIIARDPDTGKLGNLVANLEVGRKGRAGQEDG LSAVVWVQ MYCTH_2116433 MAIFSGRTARSLLGLNNFMILSSSAILTGILSYFLHRGLRLTHL IYNEVIAVITLFFYLFAVVLPVLKAYRGYMLPLNLILTYLWLTSLIFSSQDYSGGRCR WNALFSRCGLKHAVQAFFIIGFCFLLFNTILEALMWANHWRSREGGAGDPEKDRPLAT TTGANTAGETGGTSGAGGA MYCTH_2029285 MAPASIKPIFPPAKEHYPHPPTGGLASLFKDSFSLPTLLALGGL AQAVLSLVLPARYALLPLLFVLLRTVVLTVRDANSLPAYTARLGVIPGRTSGQVPNPS YDPRGPEGKEGEGEGGRWATPAFGPAPAEQGVVVLHLGARFNHPLGGLGPGAKELGDQ FEACNKDLLARAGEFGCLGMTSYRGDGAEARNTLLSVYYFRDLEGLNRFAHDAVHRRA WDWYHRECVKRGGSHLGIFHEAFVVPAGAYETLYVNMPPTLLGAGQAHVRNEATGEVE MYCTH_38796 MESKIRPDSVSAEFEVVHETPRFQRVYWTREPHLRKLYGMAVIL MVASATTGYDSMLVNTSQQIDAWNRFFFPELQDDRNAGDPALNSKLAILVNMFNIGSI VSFFITPYVADHFGRRTAIIAGCTFMVTGGFLTAFSNGYGMYMGGRFVLGFGNSLAQM ASPLLLTEICHPQHRGPVTAIYNCLWNAGALLVSCIAWGTATVRSEWSWRSITLIQVV PSLIQLAGIWWIPESPRFLINKERHREALHILTKWHAGGDPHNATVQFEFREIRETIR IQKETERSTSYRDFLRTRGNRWRLAIIVSLGVISQYSGNALFSNYMNAIYLGAGITNQ NQKLAMSTGKTILDLIVTVTAALGVDRFGRRPLFLTSMLGMVLSFACWTVTGAVYEKS AELNVRAGYAQLVFIWIFGIFYDIGFSGLLVAYALEVLPFHLRAKGMMIMNITVQAVL AISNQTNKIAWERLPHHWNFMLFYTLWDLVELLFVWFFYVETKGPTLEEIARIFDGDS AVAHIDMHDVQKDIYAMEDRDPYNLPGRAL MYCTH_2124691 MESSQDHPLHPAQYAPLSDAQQDKAAPLYHQQYQPAAYYAPYQQ PQTGETSAPAAGPTVLTVTRRVGLTVLGVIVFLLVASSGSAPASGTLTQGVPDATATT TPAAATATAAADDVDCPGVNGTFYTASTGGKRFRRLCGIDYGGNGEAVDMGNVKTRNL DRCIDECASRPKCTGAGWGVIQGDKGPLHTCWLKTNLTKPHKATPDWGFAVLLLPEGS GEGDESDESDESDESDESGGI MYCTH_2116436 MALLLGANIPAEIVELIVQQLDPISLISLSQTSRSWRAFINPNH HDLVQRLLALELTPEHGGLVPVFDEVSQTLSPPCESDEWRTARYACCGCMKLLTHMMF DNPAILRRPYRKPPPGSVEAQKAAVTDWERLEPAARWRRIQERAAREEEERKRWRKVV NRRHEPPPNPPDHHPFALVPRPRDPDPEAEAEAMRHLVGTGRHKRRCIECLYRNGNMS SRGEFLHDPAGGTPVPVFKSRHRKFPYFLERLYPGLISPPDRLPRYWRALRITSDAIH YTLYTVRCPSCGTWQEYAALRQFLCHYYMGRFGATDGPVLCDHCHLETHRDPDRLAKE LYSRVRECLRDYRETMEHYIRLGWRLLCRDFYSHRSLTASPWLGKHRHVADRILEGLQ IAASFDLSISHFDRALDDLRLRFERYRDWLDTELDPATRSELMTGWFRPWVEDYELID SAYRWASEQIAWLDSHPRAVLDYVLEKDPHRVQSRKE MYCTH_2142698 MAVSRSMPCREEGVPIFQDHILVVYASLAHFLQQPYHQIAADDM ENLRATPSLRQPYHFPPSRLARFIRRGRGPDRSGPGTFTGNASDSDGAFLAATCLYRV SITKPTLPYTHSLTQPPLPPNRDPRRKAGFDKPAADPFYQRYGKGPPALDDLPDAIRA IWAPLVLPITAPVFTTIDEETKHLPLPGELLHAKPLGKRICILDLDNLRLQRGWEHLL QPVTLVGEPSKLGRRSSARPSNADRAPHWAKVVFTHEMLKQYDIVVTVDSDTMFANPP VAMADDPVGYPNHDVRRRVNVHSGFIMAQAGELTQRLFKDRAECPSETRYPGCAVWKD RPFHEQSAFTSHVRYDLLDGYSIDSHPQLVRTIPRNEANGLSGGVRNLTLPEFDHNVM AATSLLAQAAFKQPGTVEDYEHGVGWSADPQWTASAGGLISPVPTAPPVILETKQVKP KKKIRCLVAFLGYLHEPR MYCTH_2124694 MKGDSANRPADVGPSSHVRRSYLFALSSDRRRERGSCITAAIIF PLTGLIALDYHLSPDRWGQNPRTPRYGRTGARPNPNRLVYGPPNGSLPLKLATEPAVY PIERNPVYGTRLNYSRLERPRILDFQPPVATQLEDLGRFETTKIPPSKDTRTGSSNLV LSLPKIFSKMLPTAYGHVVILLALLSSKQTDSRPSSR MYCTH_2299868 MSIDDSDDDRFDFTDSEDRQEEDVESPSLSRGKKESPYMAIIKD IRRFKKRRARKSAVATPGTEDNNHH MYCTH_2051009 DFPRQRTRPRPVPSSTTGTDTESLIPSAAPSSSCPVFSNTPRIR CQNMPRPSNSSANPDSSSTTTPSPFHRLPVNPRRKKVAPDERKRVATACNSCNVRRIK CSGERPCRQCTTAQRDCLYPEPVERVTIPRAELESLRRRCASLERQLAATEQSGRLLD AGQQLASPVASSRAEAADSLSPNGEVQHSIRPGGIDGRMLADPAGTTRYLGETSGATF LDTLKELIATATPLAQVLDGESGEGSAGSAFLGSVGQYQTHDSKPMMMPVNVDPLALP SEADITAALSQVRYFIQDGNGAFASGGTMFWPFEDVQHILSLASLPSMQSPGGVTRPG PHHRPLALYHTAFAFAHLLNLREPGSAVDGQLGEEYFARARSLLGNLLDRTTYTIHDI AVLALMTLYLVENNRRDAAYMAISNAMTVSVMHGLHKGGSGNEIGVRTFWTVYVLDRW LGCVMGRPPSTPDDAITLPLPRECPSYREAGLPDLLTKATVRVGKALEMLSRWRENLP PSLQLPADPLTLIPVDLFTQAASFGQGPAALLSGAASFGHDRACWALHMSYNQLVILA VRPAMLMAVWKAIASIVCIDHPFDIEVMQSQIEPIRACSDAARRNLRLGRLMRLHSPQ QKLLLSDLHNIFNAAIVLTMHQMVFVNLRTQDIDDVGWAVEVFETEAKTGNEYAKDCT RVLQDLKYLAQQLRNPIHDPDVKQALLSEVGVLRDLRPDDVAPADVMDEGNDGSAAPN GDRDTSRYQGNHKALYQRAATAYQTLASWWHADYIQFYSSFLS MYCTH_89278 MKCTVTHHSLVGPLPGASIVVVEIASLVSSQGRARQPLLTTRVV LSQGLEPAITHDVHPQPETRLKTTCGGTLGQPQYTTRVFLPTVGPPGCREQGAINWVD APGDWRAVVSVGGNEFAGARPAEELNWVLTSCYLYFDRIDVKFPTESLRWVGLPVRRV PVALAQAQFANDRVPRKAGFSERSHGLWRSLDNITLSARITISTASSTP MYCTH_2314369 MSDLFEAIKDALNPKRREQATVETYDPHTRGPYPDRPPAGGSQA QPESQAQPRAASAAQREEAQDAGRVERCDLSGGGGNSGSTAAGEESSGQGAKPASGTY GPHKSRVANALDPRVDSDRDGTASHGVGGYGGAAAKPLHTEGSNYGLS MYCTH_2124700 MTKDKSNVTCYNCGKKGHYKQECRSPKKEWKPTPGKEITAIDET TKDVIEVAATSYEDKGSDTDSLGHDGNGEDEQVPNSELVTVDLETGLAEWDMAGEYVP PASILPALG MYCTH_59085 MPIEKRKSHSTRYRASLAQNIAKNGFVVMPCSYVTKPAFVEVVL TMALAYRFLPEQRRIKDAKRRAELELDKSQRRLEEA MYCTH_2314370 MQQETQPHTDPASHDTDTIETAPPSVDTPRDGEGSQDANHAPSP SFTPVEETPGSPVVSREPEPYPYMEPQPPYIGVIPVNQLTGEQPQWIECPFCQQTALT RVSKEGSRMQTVGVVLCCIFCVLFTPLPCLCGWCEVLNYYCSNCNNKLATRRDRYEPL LVLAPAAKKPS MYCTH_2299876 MSSVSAPTSTSAIGQQPLPIGLQLKSSLGKCYIIDEVLSKRPAA GRIWCVYRATHEGKQFILKDIIPGDFDYLISLQKHVEHSPHVRTAVDSIPERHILVFP YLEKGLLHVDIAALSSVAKKAIIRDALAGLADLHDKHIIHTDIKPTNIMMDSFKQNNG DLRYCNVQITDLEAAVILPPKAKGLTDRLSGNHFWRSPEAWARGIQNTPSDIYSFAIV AIFAWTGRMVFFSDEANRASPEKQAELILRRHLSFFASEMEDFEGFIAYHGGDDNPFV KRLKGLLCTFSEEDPRLPFGRWQQVDPQFRDLICKMTCMDPSRRITAREALQHPWFAQ D MYCTH_2299879 MSVNNIKSISQAIATVVGKYGTVTVAYVQETDAWRRSFLSNKAA AEFTAAVEKEAKSGALGPDVTTVALIELPHESEKDARTHWTAFAIKNNDLNQGTTRHI V MYCTH_2299883 MASPSAALGPRTPAPEGPGPLRRSLEHLPTELLRPIAEGLVPAR PLTTRFALRGSGTWEFRDASHQWADWLVCHNNLLSFAQTSRRIAAIVKPLLYDTLVIP TPCALVGLLRRMENNPSIRPWIRSVTCLVNVAGATTIDEVHREWERQTGAKWDGSRHG GPYQFAIALSILKTILLNAPNLRDFLLAPPDSPFGAEHPDLVGPAIRDAIIRYRAEKF APVAERLFDLFPFSRMRNLTSLRIYCHREDGDRQLTLSRLIADHAVEILPRLTHVKTL ELCCASADPVTGSDIALPPLPHVEHLRIYGSHIHEPRLVALCLACANLKTLVVHFETS STDEDRDLLPEGKTLSDALLSLSGSLQTLELVSLSEGHYLTRGKERPRKPENHRLRCI PELTRLKTLTLDYRGVFGTLGILDEDDGERLCQLLPRSVRDFTLVCEWGTARDWKQTY LANLDMVLHGVECLCAARSHELSSISLAIHSWPAESRFHRRFRREVESARQRCAKAGI TFRTFDLLPSYQDEDEPPPTEDDEELEFEEGREEEEEGGGGGGFEYAPAAEELELEEE DEASEYYFSGDEDSDPEREARRPPTFNDFLQQLGEDHGHSIDELFYAYHEDRWDEYLF MYCTH_37570 MAKDSVRHLAAVLAAAALVAVARGGNSNNNNNNNPLTTDSDCQC YKTNGTAASYFSHHRFFDFGSLHRYVNVTKPIDDFRANAEAPPTSSYFLRPEFADTWD IQRWDNTELMALNDETINDATIKMVNSPNNIYIEHDDDDDDDDDGQATHLTLRTVRHA AGFQSAAEIESLSKGFRYLSVRMRARTRGARGAVTAMFTYRDPPPGQGQGPDDDYVAQ TQEADLEILTREDEPPTRVQYTNQPSWDEAGDIPEATRNVTLPRGRRWTDWVDYRMDW TPGSSTWYVDGQPAARITFQAPRDPLQVIFNAWSDGGTWSGRMPVGAEAFLQIKWIEI VYNTTDKHPGSSGGGGKAKEEEDAEPEAGCRKVCSIDETSRIGTPVLLSAGTSAVAVS ALPWLSALLTVCACFMLC MYCTH_2299886 MGSMFQSIAPGPEEGMRAYMAPSLFQPHNARQAIRDAHEKKIPA LIGYYAGLSSIPITRYLAPMGYDVVWIDWEHTSCDVETMTTMVHETMFMSGGRTIPFV RVPGHDHAAIGFALDAGASIVIPQVETVEQAKHVVSSAKFGTRQRGTRSAPPFRLVPG LTDMAADGKRDVWQNWNDQAAIMIQIETLEGINNLDAILTEVPDIDVVWLGSLDCRIS MNLPANYGQGDEPEWKAAVEKFNSTVKKHNKPRAGFCLTGGQDLAKMAEDNALLIHAA DVLKLYEMGPQLLAAREAVALKK MYCTH_2299887 MNLAYGDIPPDLESRVDLDPVHDDDDARLPPVEDPQEAKALSLM DRIEEYLEEAHCVQKSASAMIESLQRNPDAAAAVALSLAELSSLIGKMSPAFLAFLKG GSPAVFALLASPQFLIGAGVAMGVTVVMFGGWKIVKSIKNTAASKTLEAPLEMRPVPA PAPAHDPTVAHDKALPPLPAPGGGAAGYDEALVLKDVEALSSIETWRRGIVPFEGDAA DIEQMSREAERALQEQFNQELDEVEPCDSVSQVSRARSDRSRRSHRSHRTSASRRYRE SRAKSEVSDRKSSSGKKTDRDGGESEAASERSHRSHRSSRGHEGSTVSRSSKHSSKVG GLKAIEEGDGERDDSSSVAGKPKEKKRDMIKQLFKMKKDKEDRGKAVSVLV MYCTH_2299888 MGLEVALGQGERALGGAGLAGSPRMATVARRGVRAGVTVVVRPP PTEAVTDGTAVVRIVGVVGVSAAVVVIIIVVRIAAGHIKCLGSLDPRTLGLDGFPSVA VGRVCLLEDTYKLLALRGVSVVQV MYCTH_2299891 MSNSNSAQNGGGCGCGGGDDDDSVVNWAALVISLVALLGTAAQV LQQYIASAAGYANCGENVMGKWHESKKRKFRPTELRFEVRFQTPVIFVCPTNNLRGPI MGQPICFVDGTKESLVKTRAMLANDEERQRRSQESRVHTADNERASWVVLLSHLQTME NESAEWQKEHYKLNWPYRPSPVTFQQHTLAVALQPKERSWDTMPAGVKKPYATTTICH LLEIAAMMGIYWKEFDRSRDRYRAEGNGYLLTGVNVPDLGVMFTLQVTGKSKFRENRV IPVDEVKELCCGFVSTLFRESKDPRRIEFPNEDTNDMGFLQLGSMDEIAETMVQIQCN TDTANYFRSREAKHRHLFPVPFELLGMLGKTLHIRDSGFRMLPNPTPYRWDKKFFNLR RLVKEYRNRISDTDALDLPPNAQIQKLETVAKELVDALSEDMKSKTPGNSLPLLSKLH EVLDQCDDFLKKCDRDLVRMVLREHFQEVMRLINEKSTAESGHDIRSVNGGGGDKRRA EHFDELTAANPEQRQEAFMELYFFKVLRHVKTEAVKWYCRRRQTTQYAPSMHSREPSV KDIDSDSGAKGCTASAPSARPTTTTPASSPPPGPTTPPVPRLQKQESGQQRDKLQVTI QVSSGPGPNSDDGNNIPLMESKAAAIWCTLVLRMLCWLLLHDFDKKDVQEFPKSELLG SRLPVYIA MYCTH_2314374 MASATHTKDRDAEPRVEGEQRSTKSTVLETGAAMTQDFSPLQNV CAHLNAFHVYASDPRRFVETNHYCGHLTEDVWQCLLYDSPSPGARLIGVEYMIRPHLY ESLPQEERRLWHSHVFEVKSGMLVMPRPSSALVPQALWEKAETAEMGEVVQLYGKVYH LWQVDRGDKLPLGEPQLMTSLSAADQLPGLEAVLDERDKRFPGCDWRRKREIRKDIKE PQIHPDADYTWKKKA MYCTH_97310 MDTPTTLQTTNALSIINHPATRLEGPNLLHLLVQASSIDGLDAI DFLGADGRRTSLSYAELHHASELLALRISALARASACPGPFVVPVLLPQSPELYIALL AILKAGGAFCPMNLDVPLNRAKFILEDVSAKIVVTTSELVPRLPQGEHSVLTVDRDDL DKSPSTVNHREASPSDLAYVMYTSGSTGTPKGVGVSHDAVTQSLLAHDRHIPHFSRFL QFAAPTFDVSVFEIFFPLFRGKTLVSCSRSAMLNDLPGILRSMDVDACELTPTVAGSL LRKRENAPCLRLLLTIGEMLTLPVVHEFGSKEGHPSMLWGMYGPTEAAIHCTLQPAFS GSSAVHNIGIPLDTVSAFVLKIPEEGEDARGIEIVPRGEIGELALGGFQLADGYLNRP EQTSSAFIDTPYGRLYRTGDKARMRTDGTLECLGRIKDGQVKLRGQRMELGEVEHAAL RTPGCHSAVAAVTGATLVLFCGVDGDGGGDSTRAILESCRQWLPGYMVPGDIVLVQSF PRLPSGKVDRARLVADYAAQTTGTTQPIAYEDELERLLCELVGSCLGCKATPHQDLFK AGLDSLSAISLASVLRDAGFEVGALDLLEHRTISSIRKRVRSRTEAADLIPANLELDI SANMPCHSALSVHGEPIEAIVPCTPLQASMLAETMNDSRAYCNWVELEFTGNLSIDTL RSWFSQLALDNEILRTGFIHHQGQFLQVIFEQLPQSSVSAVVDSTAREFQLRDEAEFL APLRVQVPLSQSGEDTTVVLQLHHAIYDGWSFDLILSDLEILAQGQQLAPRPQFRHLS AYYHSAAFGRECDAAREFWAGNLLGFQPPPFPILGPETNRTPDVKSSTVLLDVGPRDL REMLQNIDCGPQAVFQAALAWLWGSIIGADDVVIGSIHSGRTVPVPKIESVVGPCITA VPLRTDLSQVRTIRDLLVSVHAGNRATLPHGVLPLAEIKRAAGVRPGQSIYDVLFVYQ ESLPSRRRAVNVVKQVAHRDYLETKLLVEVEPTDKDFECRITYHSDAFPEPLVGILQG VIPALVSHMLQNLEAEVSSLRASSPQHVLSVYNPYPTTFSGVPDLATAVERTAADFPD KDAVCFADHISAGVLTTTTISFAELNETANRIAWRLEQHRVREGQVVAIVMEKSIRLY AGILAILKAGCAYLPLLPNTPVARIETIFQQADVETCLVDTATHDLLKQRLPCTLIDL QSLDLRDQTAPTLSARPPADPNRPAYVIYTSGSTGVPKGVCITQLNIMSNLDALSRIY PVKEDSRLLQSCSQAFDVSVFEIFFAWTRGMCLCSGTNDTLFEDLERSIRKLKVTHLS MTPTVASLVDPAKVPLVEFLVTAGEPMTEAVARSWGDKLYQGYGPSETTNICSVKRMG RGQAIRHLGWSFENTSTIVLARDGSEVVPYGCLGELCFGGDQVAAGYLGMPELTAEKF ISHPAYGRIYRSGDLGRMLPDGSMVIVGRADELIKIRGQRVELQEITEAIRQAGAADC ATLLLGAEETGNRAQIVSFLVPAAIEGSKFNVLDLDDTIRQDIQSLHHVLESRLPAYM LPSAIIPISVLPVTASGKLDRKRLEQAYRDFGKERLALVTHGPSQVETDGEWSSIETQ IADVVSSALNVDRADVQRWAPLAVLGLDSISAIEVSRQLNKTLGKRVPISTILRNSSV ARLAKALSDTDVGNLQQGEAPGPLPKDVADNVATRLVRLGRSFSRLLPCTPLQQAMLA TSSGNGQYLNRMLFRVNGDLEKLKDAWNSMIARHDILRTCFVETDDAKWPMLQAVLGS WQPSWIHLDASGSSLEDCMSEHALGVPNAIDSLEPAVSFATIVQADRVYLSLVCHHAL YDGVAVERLLYEVEQHFLGSTLPQTPSYDQFLRESLRLPASTDSFWLEHLRDYEPKLT AHLVAEPEPARTPTSLSSSELDMPLNEVKTRIKGLGVSLLALVQAAWATALGCMLKAD DVCFGNVVNGRSLPIDGINELVAPCFNTIPIRMDLSSRQRNLELVGAFQALNTEMMEY QFTPLRRIQSLDPKNSGTRRLFDTLLLLQQSPRPLNQSIWTLERDEGEMDVPLVCEVI PDEQLDRLIVRMHSDPSQSVPGEVAELACHLFLYAIRDCLQFPSSYVNRDNLPPSLAE RLRQIEYRPTQSASAAAQERSMSEHWTTTEATVRSVLASLTSHASENIKHDTTIYQLG LDSISAVQIASQLRKRGYSKVAARDVLEHPTCAGLARYLDTSSSDMRPLATYDISRFQ EHVKQQVLAHGIAAHTIEAVLPCTPLQSAMVAQFIKSEGRDYFNFVHFRLDDDRVNGA TLAGAWHTVIRAHPILRTAIVSVEHEDSMFAMVQYRADMSEHMAADIVRSSSDKLDFN GWRLDASRAALQHPHTRLWSVNIVVDGGAGVEMHLAIHHALYDAQSLQAILDDLSRAA LNGVGPVEGPSIEEAVTDILGQVSANRDHSADFWKRQAKEVVINRFPILTPLQETERR MLTESVMSTTRLTDLEEAASRSGHTLQVVLQAAWTRVLSAYLGEDSVVFGVVLSGRNT DATRDAVFPCVSTLPVIATNTSSNEALLAQMLRYGTELYRQQHQPLTRIQQWLGRPDS KLFDTLLVYQKSARDASAEHPWRVVNESANVEYPVSVEVESGHGGHLGYRATFPSHVL ASEQALLLLRQLDAAVQHLAFQPLAQETDLFRTHPDLFSILPPEMPEIPTEVRFLHQF VERQALQAPDTTALHFVERFDGDAPVGRRWTYKELNDNGDRVAHMVLPHAEPGGIVAV YFDKCPEAYFSILGILKAGCAFVALDPTAPRARNEFILRDSGASALITSSALRDQADF ADTLPTLVVDQQLSLSSAAAAGPPPLRRELRPNDVCYCLYTSGTTGTPKGCEITHDNA VQCMLAFQHIFRGHWQANSRWLQFASLHFDVSVLEQYWSWSVGITLVAAPRDLILEDL AGTISRLEITHIDLTPSLARLLRPDDVPSLCRGVFITGGEALKQEILDAWGSERVIYN FYGPTEATIGVTAFPRVPANGRPSNIGRQFINVGSYVLKPGTQHPVLRGGVGELCVSG RLVGKGYLGREDLTAERFPILGHFGERVYRTGDLVRLLHDGCFDFLGRADDQVKLRGQ RLEIGEINHAIRKGVEEVRDVATLVVRSEAQQHKDVLVSFIATDSGRTARKDGRAVLE VSVRPEAVELCHRARDACRSKLPAYMVPTYILQVPFIPLSANNKAELRQLRQLFASLK PETLVQSLSSSRGVSNRTLGAAGERVARVIAAMQKVDASVITPGSSIFELGIDSITVL RLSRALKSEGLAQASPALILKHPLLADLVAALEAQHQHPNPVDVSQSVANARQLVQAC AHKHRASVCRELGVTPDQVEYIAPCSPLQQGMISRTAMDAAYYFNSFEFVLSPKVSTT HLRDALQRTIDALPILRTKFVGTADGVVQVAVDRYPLPWADVLLEAGAPLTNAVRESR GAWIERNQKGLYQPLEAALITSAGGARLLVLHIFHALYDANSINFVLDRAIAEYRTLN GELAASTNGAAAPAPSFLEALCHGPLRDFGNTKSFWLEHLEGVTPIPPSDSTPHSSVT SHQQRVPFGPLESLRTRVGVTHQALVQAAWVAVLAKHRSADPTIGVIVSGRSMDLDGA EMVVGPLFNTLPFHARAIKSEAGSTWASLVRRCHDYNTAVLPFQHVPLRDIQKWCSGG RPLFDTLFSFQLEEQTLAEHGEDLWTAKDSEPNADYPLALEATLGADGHLRLLIVARA EKDLVLALMGDLEQALEAMAENPDGLVLQSGSHDSITTEAAAGGTLAARAGDDVLVNG NNNNNNNNHAAPPAAESSFTWSKEALTIRNEIASLAGTDPESVTETTPLFGLGLDSID IIKLAAVLRKQKMELKTSELMKAQTIAAIAEILQARRHQHHIGQNGIKDASDPDLDEV SRLATTLRQHIVDKGAELAADDVVLPATPLQETMLAEMVESDFELYFNHDILEIAPSV DIAKLKNAWTTVIAGSPILRTRFLQVESPCLNASYCQVVSSASSTYYYMTDVSLNSPD ELAKVCQTATLRARKGAGRSNLLQLAFASVAGQKRYLVLSIAHALYDGWSLSLLHRHV HEAYHGTYQPQDTTSYVNQLRDVLLPEHREAPSFWSGFLQGARPTMFPEEEGSPDQAH HPIYRDEAASSVPVPEIAAFCKANAVTMHTLGQACWSALLAAKTASMDVTFGVVLSCR DDENLERFLFPTMNTVAVRTVLHGTVASWLRYMQENMGNIASYQHFPLREAQRLARSN GPLFNTLFIQQRGLPGHIIDEQGSGPLMRSVGGTSAVEYPVCVEMEVTADSGLIWRIA CDGAFASRNETSQILRDLDELLGRMMRSPEASVLEFSGEQVSICGQPPVVLQARESNI SEGSPGAVATQEAVDSGDASWSSTEETIRRVLAEVSGVPAATILKSNNIYHLGLDSIS AIKAVSLLRKSGLKIGLRDMLKAGSISRMAQCAREAGSAPVGSARTSDGNGPRTEVVA VPPGFDLDAALAGIGMSTPMVEYVLPATPMQVHMLSAWQNTRGAVFYPCFRYAISGHA DAHTIARAWKVLTAETPALRTIFLSTESRATPVLQVILHPSSTADQDDGPSPADGNNS WSSRAAEHPRQPYNSLHAEKQGEKWLLRLRIHHALYDAVSLSAIMDRFAALCAAQDVE NEPDETTRRQFWTAYLANAPSRPLLAPQEEVPEEPPSRVGLVKKVAPAAGIAQLVGHC KARGVSFQALFFAAYAEFLASAAAAESGSPRPETVVFGIYLANRAGGHDGPGASVYPF LRLVPLRVAPRPGPGLLEVAAEVQADIHAISSPVNVEVALWEIKDWTGVTIDSFVNFL AASPLPGSSGDTEGEEKNENEKEEEERKGKEVRWELVGEPAADDAVVVENLKGDWFDG DIAGELSSNPVRDAFPDAIDVEVSLQDNQMTIGVFGPGQRLRGADGAAKILEGIVDVL TGVL MYCTH_2124711 MHASSRTRSWPANIGSNPMSCSATEAAESPAPSPDDPIPSSDTP KRGVSGSPYLVQGRSDRTERIPPEVPRNPSRDGHPQFQAESKPADCVPTSATPGSWDA LLRR MYCTH_73194 MSPHCTNEMEHPALSNGAEDTDAVPRLVNGAANGSSAREFPKSP YLRPADPDAVLDLVCVGFGPASVAIAVALHDSMEAGKITQAPKVLFLEKQPEFAWHAG MLLPGAKMQISFIKDLASLRDPRSNFTFLNYLHKSNRLLDFINLNTFLPARAEYEDYL RWCARHFDDVVRYQTEVLSVSPVEKEGPHRTFAVTSRNLKTGATTTSLARNVVVAVGG QPSIPKLFPAHHPRVIHSSQYAQLVPKILPDKTAPYRVAVIGAGQSAAEIFSNIQSLY PNSNAFMVMRSEFLKPSDDSPFVNCIFNPEFVDTIYPKSSAYRANLLAEARTTNYGVV RLELIEHLFEIMYHQKRTLGPDEKKWPFRMLPGREVVAVEEKGDALRLRVAMLSAPST DDGPLAGAETLDVDLIICATGYKRTAHVEMLKDTWHLLPEAPSPCGEADVPINDRWLV QTGEAQGSSTRVMQVGRDYGVRFSPGAVAPGSGIWLQGCCEATHGLSDTLLSVLSTRS GEMVQSIFGASA MYCTH_2299900 MLSLISLSLSLSLSLSLSLSLSLSLALSLSRSLALALALALRRI ALSSIQLHTTPAQIQIQG MYCTH_2087157 MEPGKYPAYYDDTPKLPTEQSPSGFPSPGHQYNSPWGTASEQPP VSALSPNSSVPWQSLHEDQATYVGSEPVQEKRICGLRRRVFGILAVVLGVVVLAAAIG GGVGGAMASKNDSKSSNTSGTPTQTATTSAASPSPTFLNNQSDSSVFEHFQFQAFSKP DFEGQYTDIIKEEGFYDFPFNLTSYVWLTNKTDCCVTFCRDENTWGEAFRCDSVKRET VDDPTGFPRVSLWCGNRLDTERQSKCS MYCTH_2299903 MLKSTYQPSPSTLPPLPPGWTEHKAPTGHTYYYNAETKESTYKR PGVAPAAPPATPPVAPPVAVPAAAPMDPAMSSYVQHQTVPHLNLSDPAVANAFMAQYG QHQQGNRGGFGAGARGGSQSRPRPQPVDKPRSKVAIPGCEPWILVYTKYGRRFVYNPV KNASYWRIPEKLMPAILELDKARIREKAEGKKPEQTGEENETTQNREKSVASQADGSQ QEEAAAHDFDSSEYEEVEVTDDEGAEEDQDQDGNARKRQRTEEPSADGSGPAEFTEAD IAAQLAAMDAEYNEAEQGEEGEGGWQEGDEGLPLSEEDARELFKDMLNDFRINPYSPW EKLLEEGKVFDDARYSVLPTTKARKEVWEEWSRAKIQEIKERRAKEEKKDPRIPYLAF LQEKATPKLYWPEFRRKYKREEPMKNTKLSDKDREKWYREHINRLKLPQATLKADLKK LLESVPLSALNNQTMVSNLPSQVLSDIRYISLDPKVRDPFIEAYIQGLGPPPEDGEAA EQEDEAAKKAREERRRREKALEERERAVAEEKRRQEKKMQYERARLRDEEREIEKAMH VGKKGLQSQLMADRDRAEER MYCTH_2299904 MESTDREHTKTPPPADAAATANLKNVSDSRPDKQNGGRRSRMES QSTATQLPSPVLTPSDPPPRNTTDSSLPRERAVSNPLIGVPTPDPSSDSQSDGGSLHG SSSAEHIALAAASARDTNSATKTRSRSNTKTSISDGVRRLSASKMQELTAAPESLPVA ALPERAIGNTPLTAGIVEASRRLSRATEENTTQQGLDDTRERQRGDISGSRASHERPG MSGRTLSTPPMGRRRNLGQSAAHQSPRRNSFRAASPAALELGGSSSHSMTTDKTASQP RTDTAEPPLPSPIPPTIPLPPMSIPTLLQLELAGQRPSPLYIHHSYASDMPYESSAIK FERLKNFLLLPWYLETAMAFGAAACFDAWLWTFTVLPMRFCIAASVLVRWWAYVVGKE TRWLIEFVWHGLGRLWQRGRRGRDTSSSGGHGHRNEESRSRSRARDVHDSSAHVTGFT GEVSESKSRRPNHRRPSGTSAGALSKNQGTRQSGVFRHRRTKSNPSNLTSFHKADLLQ GAILICSSIALMNLDASRMYHFIRAQSAIKLYAIYNLLEVGDRLLSALGQDVFECLFS TETLSRNSSGRSKVMLPLGMFLLALVYNILHSVILFYQVIALNVAVNSYSNALLTLLM SNQFVEIKSAVFKRFEKENTFQLACADIVERFQLWIMLIIIGMRNVVEVGGLSVPGAG SEDSGPSSIPLHTSSILPASFTILPSWLWSGEVLSPFIVVIGSEMVVDWIKHAYINKF NNIKPTFYSRILDILCKDYYTNAFVTPSLTRRLGLPLFPLSCLFIRASVQIYNMLLAT HLPTPLPPTTQTSLSVDNATPSPAMLAALDRIDHLIRNALGRSVLGYPYTADQPENAT AGSFGSGDTAAWPSRLWKLSFTSDDAIAALTMVVVFMLLFLILLVVKLLLGMVLLRYA RDRYARMKIKEHAIATGQAERESFDAKGKRVGGYGQVEVGDDRRRWIFGDDLEGLRKA KEREKKAEANIERDKEKDFSRVMRYEMVARRIW MYCTH_2299907 MASNPPGRCCTVGVLHEGTPTGKMVRVAGKHDAYLATPPADKEH KGAGILIIPDVIGIWQNSKLIADQFAANGYLTLLLDVFEGDALQLNRPAGFNIMEWLT KGSDGKTPHTKEAVDPIVLDGIKALKEEYGVTKLGAVGYCFGAKYVVRHYKNGIAVGY VAHPSFVEEEELAAISGPLAISAAETDTIFPSEKRHRSEEILKEVGQPYQINLFSQVE HGFAVRCDPSIKVQKFAKEQAFLQAVTWFNEYLL MYCTH_2299910 MDLANLPKMTFIAVFAGFFSVLATARSKTSFALTLLRLSQGWVM KTIIWFVMITMNAIMGTAMLFMWIKCRPFAKVWDDTLDGWCIEPAKIVILYQWSVGWS GCSDVVLALLPWNILFHMRKTLDVKERLGIAIAMSMGLVAGVASFVKLAMLPRLTGDP TDTVTVTT MYCTH_2299911 MAQNAPKGTIAIEEAVLNPAGLSWIAESAPLFDPGQARSPSSSS PDNTYVQRLNDLLIDVHGERLAQMDAHGVEYMLLSLTSPGAQGEPDPAKARALAAEAN DWLAAQVSQNPRRFGAFAAVSMHNAADAVAEATRAVKQLGMFGIMLNDYQVVNEGGNA EGKKYYDEVDYRPFWKAVEELGVPVYLHPRYPPAKDLQPGTKLGDRKHILGAAVQFHL DLSLHLYALCSSGVFDEFPKLQVVVGHLGEGIPFNLWRADHWYNKPVKKATRPSKQDY SYYFRHNISITTSGNFNTPALKYCIGQIGPERCLFSIDYPYDSIAEAQQWWLALDLPE DQKRLVARENAIRLFKLPLEI MYCTH_2299913 MAAIAPVQPRMLINGKLVEASDGKTFPIYNPATLQVSAHVPEAS AEDTNAAVAAAKAAFPAWSAMGGAKRAVYLKKLAALLRENKDELARLDSISMGIPVAT HHYALTAAAHFDHYSEAWATVQGQASVNTPGLVTMTLRQPYGVVALIIPWNAPVHFLG SKAAPALITGNTVVLKSSEKAPLAVARIAELVVEAGFPPGVFNIISGHGVPSGQILSR HMDVRALSFTGSSRTGKLIQEEAARTNLKKVILELGGKSPALVFEDADLEKAAEQTQF SIQVNSGQVCMANSRIYVQKTIAPRFIETFKTKFAAARAGNPLDKETNHGPQADEIQY RNVLKYIEEGKKSGGTLALGGNGKLETTNGYFIEPTVFLDTPETARITKEEVFGPVVI INTFDTEAEAIAKANDTEFGLYAAVYTRDVNRAMRVAKALESGYVAINCTSPLTGVDL PFGGYKSSGQGREGWLHSMDNFLETKSIIMQIDGE MYCTH_2299915 MSTTSAPKTGIKVIVVGAGFGGLTAAIECHRQGHDVSIYENFPE LKTLGDIISFGANAGRIFYRWSNGEIARRLRELCIDLSSYGFRIHKWDTGEVVYHQPT PEQKPDAPVLNGHRGELHEVVFRYARDELKIPIHLGQPVNEYFEDENQAGIVLKSGER VVADLVIGADGVRSKARELVLGYVDKPKSSGYAVWRAWFPNTDMIKDPRTREFCINGD TFNGWIGPDVHFLFSTIKGGKDCCWVLTHRDEHDIDESWSFPGKLEDVYKVLEGWDPT CRAIVEKTPSLVDWKLVYRDPLPTWVSKHARILLVGDSAHPFLPTSAQGATQAMEDGV TIAVCLRRAGKNNVPAAVRVHQEIRYERVRLVQKTGETTRDRWHKTDWEKVAKDPKSI AFPREDWIHQHDAEKHAEEVFDDIFKKVTQPELFENVKLLPVGPIPVAV MYCTH_2116457 MLSEKLIDSFTLFKLQSAIADAGLFNVALALLAVVATAIAADYA HMLYLRSKMPPGPFPWPIVGNTFTLPDTKPWLYFEELSKKYNTPLITFWIGRNPTIWI NDAWCAHDILEKKAQIYTSRPRMVVFGELGSGQANLVTMRIRNQQERDHWRIHRKLMH VGVGVQSVRGYREIQNNESKIVAYDFLREPKEYVKHLERYATSVVSIIAFGRRVASYN DPIITEVIALMQLAADLNVPGKSFPMLLETFPILAKFPRFMPWFKGLGTRSQKGGHYF FYTLAQEAIEQYNQKSPSEQASMPTPYVKTLFDEAKKYNLPINELSGLTGNLFGAGAD TSSSTLVTFVLACCAFPDAMEKAQAEIDRVIGPNRSPHWDDSPRLPYVNAFVKEVFRW RSVAIIGGQPHSPTQDDTYNGWLIPAGSWVQGNVWAIHHHEREFPDPDRFYPDRFFED NDHHRPFPGDRGYMTFGWGRRVCSGQALAEQGTWVTVARLLWGFNIRKAKDPKTGKQI DVDIFAFTNGLNMRPQPFPCEIVPRSEEIKEAILREGEQALADLKLHDGETRYRMSTF YQQQKRKLKEEPVLDEHGNVKVVKVKAV MYCTH_2116458 MGEEKQSSSSTGTGHSDSESREQPYTIAHHWKCLAACTLMSLCP FQYGLDFGLIGGLQAMVGFLRVFGYADATVPGGWNISTERQQLISSLMTLGAFLSSSM AGTIATIMSRKMTVWVASALCTVSNVLMMSTTSIGGLYAGRLLLGIANGMFMTFSQLY IQECSPARYRGLMISSFQIWTSVGSLVGTVVDNFTAKIDGRNAYLIPLGLIYIMPVIM SLGLLLIPESPRWLAQHGKLDQARKALRWHRPGTDAEIDREMKDIQAALEMEKAREKS VVVWDMFRNPVDRRRTILATCALTVQGASGAMYMIAYGTYFFQMAGIGDAFANSCILI SVGVVAIIINSALVTHFGRRRVFLITGLLLCGLAQLLTAVVYQVKPGAKSTGKAIVGL AVIYILGYNGMVATYAWISGGELPSQRLRSYTFGLATAIGFFAAWLTTFTAPYFINPE SLNWGPKYGYIWTPSCWISALWVYLFLPEVKDRTLEEIDQMFEARLPARKFRRYVCVG PGGGPTREASGSVSTDKEAVEVQHKEIPNIR MYCTH_73182 MATLRAEFLTPLQLHRGLEGWALVSLELGVILSASCIIYNVFFH PLRPVPGPKSWAATPFPYILAWLTGRLPIVIHELHEKYGDVVRVAPNRLSFTHPDAWN AIRGHRKNGQGEHGKDPTFYAMAVRNILGAPRADHSRFRRILSHGFSAKSMQDQQPLI TRYVDLLMQRLKEKTHGGDGEPQPAVADLAAWFNFTTFDVIGDLAFGEPFGCLEQSRY HVWVRTIFESIGQVGAMLALQWAIPPLFTMLRHINPHGHHVGAAFETQTQYARDKITK RLALETSRPDFVEAMATAKSDDGSLLAMDEIVANARLLVTAGSETTATALSGAAYFLA RHPEVQARLAEEVRNSFTSEGEIDLFSVNKLKYMLAVLDEAMRMFPPVPSGLPRKCHP GGDVICGYRVPGGTSLDIWPSAMNYSSRNFTAPDKFIPERWLDEADPTGLQLDKRRQA ALQPFSVGPRNCIGKNLAYVEMRLILARLTWNYDLAFADQETSDNFLRCKAYILWMKG PLNIRLIPVRK MYCTH_2299921 MENSTTSAGVGAGTSGAELLDFALYRYKLSLPAAIAAVIVFLIL TLLHVWRIYRHRSFYFTAFTVGGCFQVIGYCGRIWSHFDNMAIGGFVMQAILILIAPA LYAASIYMILGRLIRALHAEPTSIIPVKWMTKIFVVGDVLSFLLQAGGGGIQAAGTLE LFDIGEKIIIVGLFIQIFMFGFFLVTTVVFHTRFRQSSGSDRQHSSIRWKRHLTVLYV ASAIIMVRSIFRVVEYLQGNRGYLISHEIFLYVFDALLMASVMAIFFVFYVDDLEPSK VGKHDSAMEMLSSRDSDGPGMVPRPYGI MYCTH_2299922 MTVTKRRTHHKSRLGCKNCKTRKIKCDEKKPSCSNCIRRKVDCD FTAVGTPQPSLSPTGLSMTDLELLHNYTTTTYLTLSESPIIRQFYRDTVVQVGLEYDY IMRGVLALSGLHLAHHRQHIRDHYLAAAVTHHQAASQATIPLVPNATPKNAQVLFLFS VLTTYYALGWPRKSDDTLLLRENAGFPDWVYLLRGTKGFIELAGVPTGGPMAPLFSHS IARFQLREGPEASNSSANAALAELEEVVARRPLEDEALRGVYMRAIAELKKSFGQAEA CAAQYEMADAFIWVFLMAEDLLPLLRTPTQEAVAIFAYFCVLLKKLDGHWWMQGWGAH LIAHAYDLLDDEGRLLIRWAVQEIGWVPPLATERLGGETSTYGNSQEIAGTSTL MYCTH_2299923 MIGLISLGLSAIAGAAVTRTHLHLPQAGVPLITVQDGPIVLSST TTESATLVLDYGANVEGIPSFEVVGATGDTTVFEITYSESKAGLDLYMGDGPIPLAAA MDTYRVNRYNIVGPEQFTNRHVQGAFRYQKLNLSSPGELTLQNVGVIPTTRTTSIDKL PGSFKSSDSSITDIWAVGARTIQLTEIPKDSIPEFWEITSEGAVIDSLAPQANGAPDA VTSTAYNLDFKVKPLIGGFGFSVLSDTLNSAIYISVDAGARTIAAYVGSTTEDTELTR ATVPSNVTMALGSWHSVHVEVAMTDIAISINGERVLKFTQYSKFYGSYGLGASFGHKA VFRDLVATDPVGTVTYQHPLNDKSCLKDFLLGTNPLDVSVDGSRRDRIAYAGDLDIAA SAALVSTHGLEFVEGALNLLASMQATPGFFIPTVKIQQRPLSTPLDVNITGLIGYSWN LLTAVSHTYMHTGDLALALEWAPRIVRMLDWSHSQTLSNGLFNLSDATFGGDWNYYDP AQSGVVTKFNVLYAYALQETVGLLADVGVDISVYQDRLAALRAAIDKHLWSDELGAYV YADGIRDGFGQDSNAIAILAGVNLDPSHSSETILSTLSRELSTPKGPLSFSSGVLQHG FQRYISPYASAYHLRAAFTSQNSTAARELLDSLWAPMTDTNNANYSGCFWETLDETGR PAFGVHTSLCHGWSAGPTAELSRFVLGAQPTKPGWAEWAVSPQTLGLTSARGEVPTPL GPLTVSWEFCGTLLNMSVEAPAGTTGLVNVPYPLLVPVTQSKFIMNGSVVNGTTLRVK GGSKVTIMQLRK MYCTH_89251 MSRVSDGPRIQSPQDPSYTRERKNRARIENASQDARSVPSEQTR DDKTLQRATCVAAKWLLKNPPRFAHVHCAQALGIPVHIMFTMPWKATRAFPHSLVKLN ATDIEPSTANWLTYGLVELMPWQGTDTSSTT MYCTH_38236 SPLSPILFILYIASLYQAICQASPAVSLIGFADDTNLLAFGRAK EASTEGLEGLPSVGKDLGGRKQWSRPLELLWPGGGTTTIALSKLARFLRVWLD MYCTH_2053587 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_46877 KIELPSKYGGTKEDLVGFLTNLRSYFRLNNDKFPDDKAKVLYVA IRLEAIRGRNGRFRLRHQKTIRITRQTRKATSYSLLFKEAR MYCTH_95605 MVQNGGDLDLDTDMDTNVTAEQLLAQLGQLQQRIQELDQRDKAA QARIKELENREKYSQKLEIAAIDETTKDVIEVAATSYEDKGSDTDSLGHDGNGEDEQA PYSELVTVDPETGLAEWDMAGEYAPPVSILPALRQWGLTVTQRRDGSWTTDTQGIERP GPNALFLQERIEWYRNEVFRLNTELRERDGRLTRLAQQSEEMKDEMRELRRIVEAIKG EQPVTYDGPDSYAEYLDDQQLSNDVRNPEYQFMRANRGKDERTWESYWKKHSYVSTGV PTVHVQWEGFGKEFQYLPGDAMRLHPRHEAHAQVPWFQCVAHECRYHFRDKFENNHWP TRQENGDGGLRPVEWVYDAGNRAAELLWKIEARNSESITIVPRRAWPRHCGTGRDTWD SCWSNDCLYHADEKKLRIRELQTKLWHARRKAERTQWWEAASTQWLTEMSTIDEAAIS RTTEEVSTDLGNGSGPFEGPGNH MYCTH_2058544 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_2108373 MTVFHRTVARPLVLKCAALFLQRFDPSLQPGSLPGTEQAHLLRG LYRFQLYCNLFGKSPKPGGYYVVGRLSAADILALFFVSFSPWEVEEIDCIYTMIWNKY NVVLDVVRYNLAKKSDRQLLRQGIVLNGLTLFSEVLEIYDHKVLVNTMEQYLVKSGAL ERVLS MYCTH_2299964 MRTLPIFTIGALAPLVTSASDCTREFLQDSADSLVAAQTAGDPS LLQPVSDALDYNENYATATFESGILSKPLEIDFSRHYLDTTQCATFTELISATGSKPY VIGVQMYFTDNSVSKIDTLITTTGDWLFNATGTLYWASQEDWGTIPEEERDSREVIQA AADAYCDIFSDKSVQVPWGYPCARLEGGAYTGNGGPDDRCDVGIPSGVNLTNRRYVID ETIGAVSVFLSFNAIPDSHAFRVEKGKLRFVHTMTVMTASRNGRSTTRRRLRGVMAPS AQ MYCTH_2299965 MASAIFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSEAEEESS AVPPCFSHEGINYLYIRHNNLYLLALTKRNTNAAEILLFLHKIVEVFTEYFKVLEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEIQARPPIAVTNAVSWRS EGIRYRKNEVFLDVIESLNLLVSANGNVLRSEILGAIKMKCYLSGMPELRLGLNDKVM FETTGRTTRGKAIEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECVVESHSGSRIEYMLKARAQFKRRSTANNVEIIVPVPDDADSPRFRTNVGSVHY APEKSAIVWKIKQFGGGKEFLMRAELGLPSVRGDDEHGGGMTGGFGGSMGGIGGPGKG AKRPIQVKFEIPYFTTSGIQVRYLKITEPKLQYPSLPWVRYITQSGDIAVRLPDAV MYCTH_2299968 MEHNHMPTDERPPQISPTQPGAGAALPAPSMQQSTDADNAAADA LGSDRNPFSPDHRPAVNSTPSLQLPPLSVSFPSDSTTELAPIQSSRDSTSLPSTQTLP PLSSVTGAQSHAPLPKPPEPSRPAPFTGPTNPWPSLNPFTTYYKPSYLESAESPPSMT SEPGPSRSVSLDDPDVRIAAEALGQMKTECASSPRNRGSTPNTRASSRDYKTASPPPR NHTGRRAEPLLSLITTTYPLLGSTIENAASAYNTGKNYSPHLKTGAEYVENLVKPAVE TVGRKTGVEGGMRWLFSMRGRKQRPSTDIETDERGNGKRRRSDRNSKGEETPHTSPHD IHTDSVDRRMSISTVDTLPAYDDQRSPAYSEVVENPPPSGPGAAVTGQQWGQRFVVTT SGLGIAMKKESIKSLKYCLKVVRDTNSYLSDILVKLKSVIEEYDLASQTDVDDHSMTD GDPPAPHTAEQRSKLIQRMSELREDLFRVIQRTVQTVSKYAGGALPENARNLVHSQLM SLPGRYQIHYVRETEGRRNRENSSPDAWTRDSAHLALLFAKEALQMMTQVGEVLNRTL VSAEEWCDTLYGKKGQPIPSLGTDQSSPAAPVPTIDQDVQMSG MYCTH_2299969 MGQRNLLLCFDAFGTLFHPKGPVMEQYVAVAQQCGLGGFSAQDV EASFKAAFSRQSKLHPNYGRASGMGALKWWTNVITQTFQPLIGKGTELPNDLAPKLWH RFSSGEGYSLSPGVASLLRSLRQRQQERRPKSSRLIVGVITNSDDRVPSILSSLGLHV SPLRFGAPLNSARGGPSEHYDVDLHCMSYDVGVTKPDRRIFDAAEEMAVQLVMAQEAA EHGRDVERAQPALPWLKLYVGDEYEKDVVGARGAGWNPIFVGAKEEVSGQDSVLDLDQ LRNKRLDEVFHRDGPPLTIHADSTQEVLEWLIEY MYCTH_2058820 MTRPPGLIHEFLRQLESRQSLHSESNPDLPRYDVQNPTDRGLLV TSSRPQRFFLGTGASIFTNYLIPAITSAQHEVILVTCFWAPSRTLTALCEALSKLAAH RRRLVQEARATGSTPIPPLHVRICLSSRSLLQKLLHPQSRSGYTYPPASWHKKLGLPE PALLEAGGIRLEAKSLFFLPFSVMHPKFVIIDRQRAFVPSCNVSWESWLEGCVEITGD AVRALFAFYSRTWEDPADTEPARDAWSDRVPGDSEAAGTSWDGAEAGLVMVRSTARLC VDLESHDTVATLVLPSSYHRNPRFRPFPWQRSPPPPDTPLNIASMQLFERAQRSIYIQ TPNLTCESVIIALLDALARGVEVNIVTNRNMMLLEQLVTAGTTTSWCIRSLIRRFCRP KAALGGKQKAQSGGGDASRDLEAGLPNTGTRVGRLLIWYFHAKNPSSPGVLEGQPAVA TRPQGEEPVHSHLKLALVDAEYALLGSGNLDRASWFTSQELGILFQSRELCSKIKAAV DGVLEGRLDLIFDSDHGTGSN MYCTH_2124737 MREHSRLFFFSSSSFFFFRVADLSSVGTSSQHPVDTTTKRLENA TTSRNLARIFRRRSADAAWAIPPLSRPFPRRQSRALPFMVIQERGFRDSRLFSPSQPA DRNPVPPPRTVRKTWFARD MYCTH_66729 MAKKLFITAALAAAVLAAPVIEERQNCGAVWTQCGGNGWQGPTC CASGSTCVAQNEWYSQCLPNSQVTSSTTPSSTSTSQRSTSTSSSTTRSGSSSSSSTTP PPVSSPVTSIPGGATSTASYSGNPFSGVRLFANDYYRSEVHNLAIPSMTGTLAAKASA VAEVPSFQWLDRNVTIDTLMVQTLSQVRALNKAGANPPYAAQLVVYDLPDRDCAAAAS NGEFSIANGGAANYRSYIDAIRKHIIEYSDIRIILVIEPDSMANMVTNMNVAKCSNAA STYHELTVYALKQLNLPNVAMYLDAGHAGWLGWPANIQPAAELFAGIYNDAGKPAAVR GLATNVANYNAWSIASAPSYTSPNPNYDEKHYIEAFSPLLNSAGFPARFIVDTGRNGK QPTGQQQWGDWCNVKGTGFGVRPTANTGHELVDAFVWVKPGGESDGTSDTSAARYDYH CGLSDALQPAPEAGQWFQAYFEQLLTNANPPF MYCTH_2058711 MPDPFRVLVFSRTTAYRHDSIPAGIRALHRLASASAAGRHPFTV DDSEDPAVFSPGSLSAYRVIVLLQCSGEFLDGAQLGALRGFVQAGGGIVAVHCASFAM QSSEWYGHLIGAVFDNHPEPQVGLVKLLDPKHPVMSLRACDQDGGADRPAQEPQEPQE PKQAQLERVWKDEWYNFKAHPRATSDGLHVLLGVDEKSYEGGAHGEDHPIAWCQTFDG GRCFYTALGHFDEAYEDDWFVGQLHGGILWTAGLAEDPSGGN MYCTH_2299975 MSAETPSKLAETGGPVLQTENDSNVGGLNKKLKNEPEPSSGSEL EEVESGTVDKKALLRKLDWRLLPAVGILYLLSFLDRSNVGNARIEGLADDLHMTGNQY LTGLTLYFIGYVLFEIPCNIILKRTTPRFWLPTLTIAWGVVATLMGIVQNLTGFFIAR FFLGVTESGLFPGVVYYFSMWYQRRERQFRISLFFGFAALAGSFGGILAYGIGKMAGV VWENGWRWIFILEGIATVVVAITAYWFIHNYPETAGFLSDKERSFILQRLAADSDATR DERFTWGNVNKALLDPKCWLYGFSFHAMSLPLYTFSLFLPTIIKDLGYEAASAQLLTI PPYALAFITTLAVATVSERTGQRALPLIGSSLFAIIGYIILLTNSDPTNRPGVSYLGT FFAAGGIYPATALALSWPAINVSGQTKRAVANAMQISIGNLGAVLGTQLYRANDGPRY FVGHSFALGYLVGEIVVSSALYFLLKRENERRAAISPEVKEVGELEDWSGDDDPRWRF QH MYCTH_2299976 MAEEGGHGAVVAPNAHAPSAPGGGHHGPAENLVINTQGATTNGN ATKNPPREEIASLTSPRLITPNPFSRKNTSLEIDDYFTGPRDIQKHSKWPIFLQMHGS ILPKLILPLLAVAMWSTWITCIHVLVTPISIGSVLLTVLGFVVGLGLSFRSSTAYERY AEGRKYWAQLILASQNLGRTFWLHTAERSDVPEDQKEEYKRRDVLERLTAMNLIVAFS VALKHRLRFEPYTCYEDISSLVSHLRTFAQAATQEDHDNAQRTLRRHGFFKSVGEYLG VSFAESNPRKAVKKAGRPLGNLPLEILSYLASYVDELALSGRLPVPMQQTNAYNNIAA LNDVLVGTERVLNTPLPIAYSIAIAQITWVYILVLPFQLLATVGDQTWITIPATVVAA YIILGILFIGREIENPFGQDVNDLPLEAYCAQIASEMDIMASLPKPKSSEWMESIDNR VLWPLSSSGWPVWMSRDEDKIREAIIHKVEATFISQKGEEAVLKGQSSSEKSGHEHSK RSMSDV MYCTH_2124742 MQVPGGSADDGLRTTLQQSGKMVQNEYDRHFANLGPRFAQGDLV AQTQIQSQISFFSKAASSNSSRKPSLPAGCPTSTVSTISPSLQVTEASEHFRVLLTIS TPVGIGADTATTKRPCQEDLVHSLAPGGLNDLRLKLITRGLNRTHRATATPVPYIAAN IPKKRNMLLEGHWTALLPPSTRRRFARHVVRHLAGEREKVVVGLGGDGESEAIMVRTF LLTRRFIFKCPRERPGIAEYEGDSDIRELNQTLPFW MYCTH_2124743 MDCAEMINEKELRVRFVLATLVSTVINLYDRLIEQRRQEKLDRG QNKRIKELEERLSEAEE MYCTH_80081 MLSGILIFNQKGENLIFRAFRNDCRPRLADVFRIQVISNAQVRS PILTLGSTTFSHVKHENIYLVAITKSNANAALVFEFLYRLIQLGRGYFGKFDEEAVKN NFVLVYELLDEIIDFGYPQNTETDTLKMYITTEGVKSERAIEDSAKITMQATGALSWR KADVKYRKNEAFVDVIEDVNLLMSATGAVLRADVTGQIIMRAYLSGTPECKFGLNDRL LLDNDGLLSLPSGNKMGSKATKAAAGSVTLEDCQFHQCVKLGKFDSDRIISFIPPDGE FELMRYRATENVNLPFKVHAIVNEVGKTKVEYSIGVRANFGSKLFATNVVVRIPTPLN TARITERCTQGKAKYEPSENNIVWKIGRFPGQSEFVLSAEAELTSMTNQKAWSRPPLS MNFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTRAGSYEIRF MYCTH_2299979 MGNPLRQCQKWLQRNIWGSKGMQPESVAIKPRDPPSNDMSTKTQ SHPTTEPPPYSQESRLTALPEYDVLFRPVRATRASTQAAVCVAGVTGGPAAARAVATV ISIVSHSVANVSKDERPATVSAITTAVIKFATRVVQVGPGVPSVTIYDQALASVPVAS MPALAEGLPKALSNIDHALSSVVPWLRDSVAATIAEAVAKVTDDVAAASLDGGTWRAD MYSRLANSTADGVPDRGVASTRSGPQKLPEQLPDPDSSKSSSADTRSKYGSCEAVRRV ARMQAVIYAIRAHAATAGHIQAERALAAVAAGSGLPDVANAVPGATKCTCNKSPLSCE ASLQVVRARAALSAVKSYTEMTKNTEAAQALSAAERQTRI MYCTH_2299980 MDSPTRRRRPAVHRFSLRYLLVVPVLLAVLTGCVLFVHGDTNIY MLYSQCHARSRLPWLSRLPVLGGPACFLVSFFGEAVASARAAGLMAAVLSFVAGLLTV STVEAARICNAPSRLIACPTAPWLVFDLVGGAFVWQLVIIPAFFRRTRDIIAARKQQG GGGGPQPLSGPTDPTFGEAMRHLASPAEAVAIPVAVALGFVLPALLMLLLARPAAVLV WLFFPVWVSLVRRLARRAALMLMARQGWRRRGSHGSSGSSLHLESSRPALLAVYALPV ACSVLSHALFIWTLTQPDDRKEMTRSALKFITINIFFVGLTVLYWILVEAGWRVALVM VVASIVLGPGAGVCLGWVYREKTVDLDRTVTVVAVGSRRQSGDADPSEETPLLR MYCTH_2132970 MPPKRGKKAAAKPALDGCILALSGTFPGATHAAIKAKAESLGAT VAISVTDNVTHLVTTDNDYNKPSSKVAKAQSLGTHIISLDWLLACETNNTREPEKDYT IGKPTAGSSAQPDASKLASSQKGGATATDGTRTRKRASSPVADDASDNDAAPKPKRTR GRKAAAVQADGENDVKMQDANNATNTEPKEEALDKTKGVERAVGEGQVAKSKDIQIPL DEGSPFFQYKVYIDDSGVIYDASLNQTNASNNNNKFYRLQLLVQPQQAKYVTWQRWGR VGERGQTQWTSTTSLQEAVRLYEKKFKDKSGLAWKDRGMNPKPGKYAFVERNYEDDSD DDGETDKAGAKDDKKTSVREPPKSTLHPAVQSLMQLIFNPQYFRDTMSSLNYDANKLP LGKLSKATITRGYQALKELSALIDDPSLAANYGTSYRPAVEQLSNTFYSLIPHDFGRN RPPVISDQAMVKKEIELLESLSDMKDAALIMKLDKVADDDIHPLDKQYQGLKMEEMTP LDPTSAEYAQLQNYLFETRGYTHGHNYTIENIFRIERQGERERFENSSFGKMNQNRRL LWHGSRCTNFGGILSQGLRIAPPEAPVSGYMFGKGIYLADMASKSANYCCSYISGGTA LLLLCEAELGDPMQELIGASYNAGEDAKKKGMVSTWGQGRTGPSKWKDASCVHPSLVG VKMPDTSVPPGPTDVKGAGLFYNEYICYDVAQVCLRYLFRVRITVQPPAAGNRLSMST SPRPHKRTNTCVTCRARKVRCDGRRTICTNCERLGFNCSYDENVGVEVVPGEGGGTAI SVPRRRVRQACQNCHARKARCSGTMPSCDRCRAQRLECVYRPGKRSLPPPVPSTSISA AAPSPGSRSGSGSGSGSGTGSGMDLDSHTAQGAPNDYGTSSGTASPATAVDPSEPDEA LALKAFDNFFRHVYHVAMFSFLHRPSLMELYHAGSLDRALVLSIVGVASLLTDLGPGM AEYGNRCIEEAVSLCLASLEKPTLSRLQALVLAIKHRILSKRFSSAFMLHAIASRFAT ALRLYQENPELCFLAREARRRLMWSIYMIDASISMGQADVAIWFDAERQIQLQLPCNE RHFDFDLPDPTEPLRPPGPDPVTGAIPPLPDVLGLMALHIRLYWIRSRILQCTSKAAA SPTADALAMLPSQLADFAAELEMFEARLPVSFRENEANFRLRSYSSRLNIFITTHLLW RQCHLDLYRLFLPGLKEALAPAALQQLDPHFVMHKRRSCYEHARRMADMMSQLLSLSS TSTSPPVADLDLPGGDLGLGFTQERVRELATVCLRAARQSTPGPASASIQADIERLIA SGLSLPEGLPAPPGRPRSLDAGAGEPYLAPQLSTPRTSHAGAQLGVPTSAPTPANQNM MASVQMPPPASVSPMAGLNLPLAEGAIQGTAPVAPSQASGVTSGSNAFEELPEGLYFG PEFFGIESWSALPHGWADIGHFSGSGIP MYCTH_2299985 MCGTKISYACMTTLISQSITCSCDRVVACGKSTLFCGTCSHPRC KELRGEVQQPVPQQLSSSLR MYCTH_2057317 MLRRSNPPPKAELKLEQLADLDVETPLRQGERGEDSSAEDIIAG EKILDPEAERIDSPGEAPDVPERGAARAPPVNSGYLPLPWRGRLGYACLNTYLRSAKT PVFCSRTCRMASIIDHRYPLSDPSRPEHSVKNRPDKSKEPSLERGLKSVQDLGLANAR DIVRMLRWNGKHGIKFMRLSSEMFPFASHREHGYKLAPFASEVLAEAGRVAAELGHRL TTHPGQFTQLGSPRKEVVDAAVRDLEYHDEMLSLLRLPEQQDRDAVMVLHMGGVFGDK AATLDRFRANYAKLSPSVRARLVLENDDVGWSVHDLLPVCEELNIPLVLDYHHHNIVF DSTQCREGTLDICRPELQARIAATWTRKRIKQKMHYSEPCPGAVTPRDRRKHSARVMT LPPCPPDMDLMIEAKDKEQAVFELMRTFKLPGFERINDMIPNEREDEPRPAPKVPKRK QKPAASLKRKRAPDEDPVELNNVGSGTDDREQPVDTGREVSDEDYAMGGPDGRVYWPP GREDWLKPAKRGARTPRLADIERIKKRR MYCTH_2124750 MRLVQIAASAAALLSTGANAAIPELPLPQSCSGVSSSRFQYTVA SGWSAFKIAGGLKQVRTIVWDTEGNMLVAQSGRGISVHTFGADGCINSTSMLIQANQL NHGLALSPDGKTLYASGETAVYSWSYDPVARALSNQKTLISGISTGIHFTRTIAAVPN SDLILVQVGSNQNLDMAAASPSTGRAIIKIFDTTKAPASGFNYNTNGEVFGYGLRNTI GFVPDPSGVFWGVENSGDDFARTENGQQRDIHQDNPAEELNNLGNPLDPERRNIWYGY PTCFTVWDPSPFSGLKTGDQFVLSPNNTFNDASCAQNNAVPPRLSFQAHSAPIWNTFN ADASKMYVAFHGSWDRSPPTGFKVVEIPFQKLDDGSYEPVAPADSQTGYKDIFGAPNP ASCTANGLTMSNCFRLTAAAWDPSGRGLFVGSDNSAEGEIFILTPSS MYCTH_2299988 MVLQDLGRRINAAVSDLTRAPNLDEKAFDSMLKQICSALLEADV NVRLVGQLRKSIRSTVNFKELPPAVNKKRLIQKAVFDELVRLVDPHAEPFRPKKGKSN VIMFVGLQGAGKTTTCTKLARYYQSRGFRACLVCADTFRAGAFDQLKQNATKAKIPYY GSLTETDPAVVARDGVEKFKKERFEVIIVDTSGRHRQESALFQEMVDIQAAIKPDETI MVLDASIGQQAEAQAKAFKEAADFGAIIITKTDGHAAGGGAISAVAATHTPIVFIGTG EHMLDLERFVPRNFISKLLGMGDMAGLVEHVQSLKLDQKETIKHISEGIFTIRDLRDQ LQNIMKMGPLSKMAGMIPGMSNMMQGMDDEEGSLKLKRMIYICDSMTDKELDSDGKIF IEQPTRMTRVARGSGTTVREVEDLLTQQRMMAGMAKKMGGNMKNMQRAQSAMAGGNKA QQLAAMQKRLQSMGAGAGAGGMPDMASLMKMFGGGGGAGMPGLGGMDINAMMKQMGGM MGGQGGRGGRR MYCTH_2299991 MLVEGQHVERREDEAKVLEHYRNPVALVDNKVSVTERGSIVDIL ACGDSSGRGTVMSKTAALLIYVSVAVMLSTASNMYHAASATPHRQ MYCTH_2299996 MRYLCNLSVYITVEAATLIGLTFVAATSYLYHSVMARKKKTGAA KPPQSTGSTPAAPTAAAASKGADAKGFAQAKPAAGAAPVMKQADSRPENSPYGSPSCT IPFASPLTVPLEILKKSPKLHAAYELRLPELPAIPEGVGHVLVHYLHTGTYESLKPKP TDAMSKQICELKTSIQTYAAARTYDLPELMRLAEAKIEKYGKGLPLPALLEVARDAYP NLTEGDAWFLNYLRSRIRPHLKDPKSLMGSNLLDQISGILSPNRVLLRTVLELFCERI VVRPEATTPPAASTIASPVTSPGTSRPVSPHPPASSMSLLEMRSRSVVREEYTPARKP QKATPWPSPDNMSEASWARSMSPDPVLPETVPPKFEANPVADAKPPVTPFLELGPVIR DAVPSPGPSIKSKAEEGEPEPQPAPESEVKGAIEPKAKATEPKVEDAEQEVKSVEPEV KAAEPEVKAAEPEVKAAEPEVKAAEPEIKAAEPEIKAAEPEVKPAEPEVEATEPEAKP VPEPEVSIAIEPEPKAELEVELPAEPKAEPSIVPPVDALPKRAVEVPTEGPVETEATT AVEPEAKKVEDISEPAASIAQRERKDSGKGIDLEPLPKELDSSPEHVSELEPDSKRQP HIRPQVLREADSGFWEGPDVEPGKEPAPSIVELEESVAEPAPKVAHELEAIAGPKDGP GVEIRDFANADATVESESDKRAETETDATKEAVLDSQPTQVNSEAVANILPKELESQP EPEPLPTRSTEAAPEQSADAATLVSEIGSAREVPETAERKEVKAQPETEKVEPVPRGP EQESLLTIRQVQKETVELQEVAKSEPEPEPQTDSSEPVHLPDVAESAGSVETKAAQPG VESEPEPSGKPEVQDANSDSAVHAPSGDAGAVEPAVTLGAKPATEQEGAKTEADGAGS QPCSAQVRQRSWKRRFLSLRYPVLFGRGM MYCTH_2299997 MDESGRKGTGTQSVQSEAALFSVVKPSQSTADSLPSPAMDSLTG RPSSMKTGPVGRKTSFRVREWAKRSNSSRTAPSTGTSASESLKSHIKHLGGGRLEQVE VRGTANNTTLLAPFPKRGLRSRTSSIDSRVTQWVDFYPSSSESSNSQSKPQADDDDGK RPDDEQPGQQQRQQERQQERRRERQWERAGSNSDLRPAPLRIPSSERRGGASADTPPS KPLERKDSKWKPLPILPAQRASRGREQSGLGPAPPTPTKRAETRGPQEPEPAEQPPTE QGKGGRKGGPSRSDEPQPLPTFRFDSAPPTPDSSAGGAARVLVGEQDWGRQVEGARAR PAGELRGPKGPLQGRAPEGRDEKGTGGSGAVGMDSPPKQVVRHTREERVWLHVNYRGE APFLQAWGLDIANPGDRLEGLTILRELMQAEGAGGGPERREHGNAA MYCTH_2299998 MTPLSDDVPRISRGAEANADKRAHGDGDVGPPRAHESQDPWLQQ ARNAGYTTHIRSASRTTWDGRAPLPRSRSPSPPHAPAQSRLSNPPRPSSAISLPNHHA AEHGRPTVLADRWSYDRERMPPPPPAPRDSRPGTPGTPASDPDASDTGFSRPASTLIE SQTPSRQQSGFFNFMNGSAEPNDSPPLPSDFDQRGQHLSPHLRQSCHILTDEEMEMLA RPPPPHPPMTAPSRPSSPGRDRGACGSAAPGHSSESTSAVAPPEPPQPREGVGRHLNR NSVNSHGSDHSRDVRPSCLGMCDIAPVRPVLGKFGAWFMGVVTPVSFGLLTGCLASTI SGCR MYCTH_2089588 MATVSRQPFAPVGEARLKSLASVKNRQNAIASSPIKRKASEVED ADNSENIAPVQFPKRSKGADFWGDLSKSFSKPPAFTLTKAASSPVTKDALSSPISRPS PASRPRTVLQPKSPSSRLKTRSAAQSPLTAPAGRSPTRASKRMGILSRRRSQRPDPPA FSQKAAVPFSLDAALRGTIPSYSGSLRQSKLKRRAAASSSSNAAQDFFIPTPDLASSW CFDIHEDTPEQEMTNLLQHGTCTLDISSDEESESRAKRERAEGRDKENIPPPEDVSQT SSARGGPVPEPVEIDEDVLLLKGRGPLAEMNVTDYYAEGCDSSSVFIVPGDEEDPEAV VEGESAVEEQGQDLPALPADGEDQQRWFAEEEDTSERSPRLQPPPAPQVSLDDVERVD VDDIMGGNDRSLEASPSRLGPIEGAGESFELWESHSAKDEGSAPISPAPLVE MYCTH_2300003 MAHSESSSGSESPAPVVNGDKSRRSKSKNNNNNNGKKRSREGDP KDAASDADANGAHPLGERRSSVSKPARDFRDKPEPPPKKKKRKTPAPAAPAPAVKETP TAEEAAEQSTRSPSPVIDFDGLSRPSVGTRKRVDEDDEQKQARLERMKGAVRTLLECV GEDPDREGLLATPDRYAKAMLYFTKGYQENVRDIVNGAIFQEGHNEMVIVKDIEVFSM CEHHLVPFTGKMHIGYIPSNAVIGISKLPRIAEMFARRLQVQERLTKEVANAVMEVLK PQGVAVVMESSHLCMVMRGVHKTTSTTITSCVLGCFERKEKTRNEFLSLIGVNR MYCTH_2300006 MASPSPHSPPSSHPQQPSINNMSTNKKRTADGAAAPALKRRKPS TISTASGPTSAHPLRQTSFPPDLDDSSSAFFGARSPSADADAMSLVSGSQVSTAAPLK KKRGRKSKAEKAREQTPSVAGGRAPTAVSGVSEGGVRGSKSVAGGAGGGDEGEGEDEG PTEVAATADVRTKEQKEEEHRLRGMLISALSEDQFYRFENWRAANLSKASVRRLVNAT ISQSVAENVVIGMRAVAKVFIGDIIESARRVQGEWIEKLGEKQTDFPTPPATAAPTPT ADGGDADDAQKRETKDSEVDDRRGPLRPEHLREAVRRYRKGFEGGGVGMQWIFHQQQQ GGVERFPTRTGGRRIFR MYCTH_2300008 MTLSPAAVTNSPEYQTALPARVIGRPKMVSIGKADEATATTKTK RQSPIRRLAAKLGAKAVRREVAIYKPRECVVPEDREAEGESQSTTAEGGTGTRRSRRL LDKQQKEQPRSASQQSAVSKSSSSDGASPPRASRLRKENKSSSTSPGSGSRADWDPLG AQGQNKTGAAPSLSLMMADWEIAPGRIRVRDDREGIVESEWSPYHCC MYCTH_2300010 MHLARLQRPLQRAAASSALPFSAVLRPTTTTTALEERFGHLRIS SLNSANAAVEGRRYATVKSQGAYKLKSKKTIPKKMGAKKTGDQYVVTGNIIYKQRGTI WHPGENTIMGRDHTIHAAVCGYVKYYRDPQRHPTRQYIGVAFNREDKLPYPPTSPRRR KLGLVAVPRKVERPAEETTSPSGIPLSVTRHETVAQDEKEAPKPATEEQPVANLPEPV SLTDGNAVVANLIREKLQSRQIAQAKAEAKKLEQLKELEARKGTRVFHLQSDYSYRES NWEIGRLVGDAGVVPGTDQPESRKAKFRLRRRKRVVHFKGIKKRKMAKAARRREYRKM VREKRAARMAQRAEAAAAVKASLGKAASAAANAAADKGEVKA MYCTH_2300011 MRLVSFIVLALASLVSAQFGFFDQMFSGGGQQHRQPQNVPSDSS HYRTQYARSHCDKYLCPDTLACVHFPHHCPCQWPSHEDKFELAEGQRICISKGGFKAG EAARKVELARKGLL MYCTH_2300012 MASVANTTQTRTPSGSQPTRPKGILKNSCRRSPPVSPTDGHSLP HTPEASFPLSPKEAKELTIANTQYNAGHRRSSSTTASRPGGSRRQSSLPPNDGQEEQG QRLKWDEANLYLTEQERTSTMKINEPKTPYAKHYDPSEDPSDEDDDDDDMDKVDGAPH PTGQQRRSQTQRRRHGAPSDDEIPRLSLGEPEEEVPEPSSPPRPRAVHVDSKGSGHDT DGEEYLVGLSAEEREKHRRFEEMRKKHYEMKNVAALLGHPEELEELDDDDDDGDGDAA GEGRPPVPPLPSRVNGTS MYCTH_2076976 MALDDAPRGVVPSDKLEVGGDDEKEQYTQDKVQRLEAKNRVIQG AKTATEKEQKMTLMQGIRLYPKAIAWSVLISTCIVMEGYDLCIVNNFYAFQQFNKKYG KQLPDGTWEVPAPWQAGLSNGANVGELFGLLINGYVSERFGYRWTVIVCLMLINAWTA LFFTAQNVQTLLAAEILCGIPWGVFQTLTITYASEVCPVALRGYLSTYVNFCWGLGQL IGIGVIMSMLDRTDEWAYRIPYALQWMWPLPLAIGIFFAPESPWWLVRKGKLDKAKAA LLRLTSLNRETDFNADETIDMMVHTAALEEKTTSGATYLDCFKGHDLRRTEIVGMCWA IQNLCGNSFSNYSTYFLTQAGLDSHSAYGFALGQYGFNMVGVLGAWFLMSRGIGRRSL YLYGLCVLCAMLLILGFLGLVPEAHRREGSIATGSIMLCWALTYQLSVGTVCYSLVSE ISTRRLQIKTVALGRVFYIIVGIFCSVVTPYMLNPGAWNWRNFAGFFWGGICFLCIIY VYFRVPEPRGRSFAELDMLFQMGVSARKFPSTRVNVFEEDIDGDLMNEYQKQTSAKGP VSAHIP MYCTH_2300024 MSPHGTATSGSGSSTQALAKFGCARCRQHHLRCDRVTPTCGRCL SADEPCRPPGLKIRESNKYQFKFGKRQRWVKTPRRLVFIDESHAATNDASSPDSEPDE SETVWDSSPVASGSSSQKSPPVSAGMTQYRPASPPERLRRMMISSVVNPPAPNWPLTD PEEARLFRHFVEKLAIWLDLCDPHHTFEIMVPQMAKDFPVLLNAIFALSARHLGQTLS DGSLRKKYNRLADTHNEACINIMKGLLMSKDYQSVWTEHLFAATIILQVMEEMNAGLR DEDDDNGVHGHQEAIGRGHLPGMYRFVRERSFEPGTLGAASFWVGLRQEIYSAVTKRQ PVCLNLVHPGLVNRSLDQTDDYTWANLAVMHCADVVNFCFDTDKHRRQSWDELERWNR RWFEEQPPSYDPVFKGSRGSAVFPEIWYHRSCQVIGVQHHRLARLFLLDHRVKSGALV RAEERNEVEESIRATVREICGIGRGNSFTPPGLFTSCMAIAAFGHYFNKVEEQDAMIS ILEETQKDHARPTESVRLDMLQAWGRNTGLSGRPAKAPNTKRIA MYCTH_111314 MAAVDIRPAQRDGPALEAITDAVAMVNILRGMATSETTAFDAES RFDSDKDKSAFRKYDEACDRVKGFYAEQHAKQTVAHNLAARQRFYSPTRKRPEMTVWE AIEKLNALIDNSDPDTELSQIHHLLQSAEAIRRDGKPRWMQLVGLIHDLGKLMLFFDG CAEGQWEVVGDTFPVGCQFDERCIYPETFKANPDSSHEVYGTRYGIYQPGCGINNLMM CWGHDEYLYLVIKDQSTLPPEALAMVRFHSFYPWHREGAYMDFMAEGDEALLHAVRAF NPYDLYSKSDEVPKVEELKPYYLELIDEFFPQKVIKW MYCTH_2089610 MTSSRLRVGFVPEHFSTPIHFAKKHYGLDAELIPFPSGTGHMIT ALRAGEIDVGIGLTEGWVAGLGKAQEAGDKDGGYRLIGTYVETPLCWAISTGAERPEI RTVDSLQGGKIGVSRIGSGSYVMGYVLAEERGWLVPTAGVGSDKEQAAPYSDIVVLNT FENLRKAVNSGEADFFMWEHFTSKQYYDKGEIRKIGEIYTPWSSWKIVASTRLIQGDG NPDAAVENLLEKLDQGIKHFNENKEEAVKYISTELDYSEEDAREWLKTVRFPERTRGV DAKVVIQTVETLCTAGVLKINSSVDASSMIAKQR MYCTH_2300032 MRYHLSLAVLSLLGATSVATADRRPCGFKIAPCPTGEICQKVDP GCDRGENCQGYCVPAATPTTLVTKTTASPPEPTYQFCGGFANIQCENENHVCIDAPDD NCDPRNGGADCGGICVEPVFCGGIAGLQCPDGKRCIDDPRDRCDPKNGGADCGGICV MYCTH_2108406 MELLLRPAVLAPALKGRLEVTHVPPYCLIQGKPVSLLGAQDWVI DQPDSVLNRLHAPDPSVVHDPVHDKLITTNLTNQIGNLVPDVAEETAWDAPLAMQKLD SVMRESARLNSFVTVGLSRVVVAKDGVVTPAGVKIPRGAAVSVPSYPVSRDNDIYPGA DEFRPFRFAEQRADDSVEYVKQVAKAFATTSTDYPAFGHSRNACPGRFFAANDLKLIL AHLVLNYDIRPRNSWLGLNRVPPLQATIPTRYYTYKAEFMSRILI MYCTH_2300033 MGILEDLNLPTGVIYGDDVLKLFTYAREKGFAIPAVNVTSSSTV VAALEAARDARAPIILQTSQGGAAYFAGKGVKNSAEKQEASVVGAIAAAHYIRSIAPL YEIPVVLHTDHCAKKLLPWLDGMLEEDEKFFKQNGIPLFSSHMIDLSEEPVDENISTC VSYLKRMAPMKQWLEMEIGITGGEEDGVDNSGVDNAALYTQPEDIWKIEQAFRPVSKY FSIAAGFGNVHGVYAPGNVRLHPELLGKHQAYVSEKLGGTDKKPVFFVFHGGSGSSKE EYLEAISNGVVKVNVDTDMQWAYLTGIRDYITKNIDYLKTQVGNPEGPNKPNKKKYDP RVWIREGEKTMSARVAVCLQDFKTAGTL MYCTH_2300045 MIKTDVAKAGGGAESAVLGLTKLSSQVFVREGQPGVLDPGHPST VVIYGWGDAKPRHLAKYVDGYGRLFPHSRIVLIFSPILKALYQTLEARSRTMLPVIEA VYPEALGKPAGEKPAGGRERVLLHVMSNTGGINCAATMNAYSKHTGGAVFPHDMLVCD STPGSTRFLPNVGPWSRAMALGAARWFPWPFVVTQALAALFLGCLHGFGWLIGATSAA EFSTRAVNDARLSDRGAKRLYLYSKEDDIIRWEDIEKHAAEARQKGWSVSAEVFEGTP HVGHMRGHPDQYWGAIAAAWAEAVAGKGR MYCTH_2300046 MTDLRKQRGISLPTAFSLQPPTEAAPPRPDLAALREDRDKHWTR LELPVNDPLSYARCLRNCVYYDPRGGQPASLVIYKWIRLASGENFTAASLGYVADCWP YVVEAQRPTRSEAEERRRRGESLPLAPDVRLWYPTVVLNLEVKKPLPDEGLEWLQLRI HSKQIKDGRFDLEVVVLDEHGDLVALSNHVNLILSSERNTSRRSTGGERGGGAKEPLS RF MYCTH_2300047 MVTTRDLEWCFLGNPKSEKRYATYHNERVFPAVGYDRVFDYFDC KEVNFLSEDTFLQGRRGNGRMRLPAGVWMTVKQGSIRFEPDDRSDGSGSRAPPPSLAS GYSGSIAPSNYCPSPPRDAATFRSPARAASSSDITARSQPNPGDWEVIEEMGRHCGEP LDDRSIAPSESISSVGSRRGASQATRRYTYDYYGH MYCTH_2300049 MVANLVLLALPLLATYLAATLWHRRFRQWANFPQLKPSLLWGHL QVIHEYTLRAKPKIHIDAVFAQIAKDLGSPPLMYLDLRPVSYPMVVINSHEIAEQVSR VSKLFPWRTPKSPTMWDLVRVIGPESILLRQSEDWKQLRKRFNPGFAPQHLMTLLGCI CDKTMIFVDQLERYARSGREFPLNKLTINLTFDIIGAITMDVDFDAQQSESSGQGEFI KLYDRLLNMYGTEDSRFPWWMYPRREWRRYKLSKQIDRRLEDMIRQKHAEQQQQQQQR KQQQEGGEAGKGKPRDILSLSLQGSSELSRELLAETRDQLKTFLFAGHDTTGILLAWL FYELSRSPHVLKAVRGELDAVFGPDPDPGAVREKLMAPNGEELINKMPYINSVIKEVL RLRPPAGTARMAPPGTGFTVRTPDGQSFCLDGAIIYNCATIIQRDGTVYGDTADDFVP ERWLGDKSIGSADAEQNEAAGRKFPPGAWRPFERGPRNCIGQDLATIEARVIVALVAR RYDFVKVGLGELDLEEAGRPILDEKGQYKTKPELYNTQQVTAKPVDGMMMKVRRLA MYCTH_2300050 MAPDEKAATVLSQVAQFHVDAESQPTSPRLHKTAGPDNSASAVP VTVTVSEVSSPPASPAERPATVETHPEPEPEPEPEARNKTEPCSTFAYFPLLPAELRL KIW MYCTH_2300052 MSFLPRTVVLHAKRSHYADYVKYDAPKWQSQSCNPAALSVNIEA RAAALEHYTVALPLFAPPPRTSALERAGDLLQDSDRVLYLNLEQDTVVLLGDLQYVHI MKLLDDFRRLDRPRTWSPRAAYGKGLRRLAMSVAFWTHDVGAAALEMFARKALADIEE FILFTYAKPLPPSDWRGLCVLKEVDVDGGFYRDFRMGRGRQFRVKDGWMVVGKGPMKL ADIYFQGGW MYCTH_2300054 MVFNTSRSAVTATCGALRRCSAVTESVARQLGQPARQLSTSASR SSDEVLETPAEQRERPRWSYTPERMKGPGFSINVVKDPRRTVWHNNDDPAKLDAMYNR LLGSKGDRMLPDEIKWLAITHKSFDQGRRGFNTRLAYFGRLIVSLETTRHIMVTPAPA QDSPTQDSFGREPFQHSALANVDKLSSKQPMDVVSKEKLAKLAIEVGLPEVVRWKPRM PENLEASGLTAVLNTALFAIIGAISLQHGAGVAQQVVREKILRRLGA MYCTH_2300056 MAAAPKRSASSTGAAGAGSLRERLAAVLPQGHKFDIHHISTPPT RAEPLCAAPPGQRPEKTYKESHFLAVSICSRDIAVASSKRPSPDANRGKEQTETRKQA LVFALEVFIFTTAYQTILFVSKADSTGYLHLLDLPEGAPSPIRQVCATFLAYLLDHRR RSHVQSVVNLFARAQAQYLFPGSVRNKGKHVLDDRGLVRWWCKVLNPLMEGLSNGPWT STRGYLLVPGLEHLETRAFIPRTTASSSNWVIGHPLEQISHYSRELDRVPPRCLIPGY PDDPKSRFRDELDEEVSKQKKEIGEWRSVKSLDQFWEMMSFRQECSSGRLTGFIWLVF DPIQPSSPLPNSHKTTPTTADLTTTNNGSSDSSPSHAVSTTPPRQEVEVSSNTPQPSS LRAPAPPTAPDSRSSKAVKRKTKRRLSGPVVPRAPKVKTQVRSSLASRPTRTAYYYWP PEARGDKLVREAEYKRIVELLLHLDFSTLDKAVGSTRRWLNEVGIGDGAEGDIVGKAS IATPPQVRGAAFDTVSGTVTNLTGLVRRKNVSTSVEADAGRSSAPTTGEPTGPAFEPA PKVNVLGSSLVRKRKKGTGDH MYCTH_2300057 MQIDNDADARFAATTQSFLTWFQSLPGATFRSDLIAIEDLRSRN AGRGIVARTDIAADTVLFTIPRSSIICTATSALKNEIPGIFDLEGDEDGNSDSGGEDG TSSSQDSWTLLILILIYEYLQGDASQWKPYLDVLPSAFDTPMFWSPTELAELQASALV TKVGREEADRMIRSKILPVIRGHDHVFFPHGRQRLDDDQLFELAHRMGSAIMAYAFDL EKDDDANEEASEQDEWVDDREGRTMLGMVPMADMLNADAEFNAYINHGADSLTATALR TIKAGEEILNYYGPLPNGELLRRYGYVTPKHARYDVVELPWDLIEAGLRERVGDRMSP ADWEQVNKLTRSDDDFEESFVLERSSEDPDSTGQLEGDATFTGLPDELSDQFKTFLKA TKTVGHVDLVSDKDTRKVVFLQVVFDALRARERQYATSLEDDQSLVDAGQLTERQRMG VWVRMGEKQLLREAQTWVQHQMGGLQDRVSDDLRQDDGPAAKRRRT MYCTH_2300059 MSLNCPNCGAHLTFALAEDSRATSAAAAAAAAPRRKLVLAEKAG SHDVNPTDADLQRLKHFMCFPFNTSLLWKPTQPTMRPVVSDKEEEVGILKDCRARQTL DRVARKMHATIRACGEQSADTLLESRRRGRAKQDLPVPMHTVFEAVGAIIYAMEWTSG QPRAEELVPTPAGTGTVHPQAVMGPFEPGYALELPSVYLPQYTLQLRRLFEHMLVIDY GFPARPICGSIFGPMLLPSTWFLHAWSEFEIFSDFLVGIARVWRWRQGLPNDKSWGLI AEATSDWAHYIGLRLPVWDVATMIYYYCLHRKPVDAEDRKEGWTGYTGVLQEMIAEVP TRGTDRLERKLSLDVHLLIPRFVRDRKLREQMLLIAQDLAAKYGCQLAPPKMPKPPMW RRLFGQVPCQLAPPKMPKPPMWRRLFGQVPSQQGPEDREKGHEDLKLLEGLRWESRGE GDLDPSCAGKKSLHWLDVHKSVMN MYCTH_2108417 MVGWGYGLPLAAGAYAVVAWVAKLVRLLWRQLPQVPESRRQQLQ NSVVWRKVGNAGGALGGLVGGLCPAPGKWGVESGGVGGRRGGADGEGVEGGCDRGSGS REGLGRPGGDAAGTSAAGSGGIGCGWGGPGGRGGGTGGGGGGIGCGWGGPGGRGGGTG GGGGGIGCGWGGPGGRGGGTGGGGGGIGCGWGGGREAEEAAPAAAAAAEDWAGMQARW AVAAEVAAEVAAEEAEWAAVADAREAGWAAVE MYCTH_2059134 MPFTSQRTSSSLLEEHTRKNGKFSDAESSLASISTNQARASRKE HKLTHLLSCLGSSNPLPAPIAADDVVWLMDNVAFWDRGGHWEAEFVAAVFDQQASAKV VDIVGDIASKVGLARGGDEERTMERRISPFVMEILQGRQIKVRFNGPTLLKLGPGGRN GISSDIRRVPEPSTGPVAKSTADVPSGVTGVLEMKTVYAEPEGWAVISDIDDTIKITQ TSDPVRILRSTFVSKPTPVPGMPELYSSINRLLAPSALFFYLSASPYNLYPFLRGFRD TYYPHGQLILRDSNWMTISGLLSSLTLGTEKYKIDRIKKIQRWLPRRKVICIGDSTQS DPEAYGEACRAFPQWIKLVLIRKVTDVAAVGIREKNEPERFERAFDGVPR MYCTH_2059724 YLDNILIFSKIIDKHRKYIKAVLDTLYTYKLSVNKEKSKFYIRK TVFLRYKISLGQIRIEPLKVKAIKN MYCTH_2057467 MAAADVINQAALARHVDTAPHTTVDRPSGQHNVQAVMNYYKDPE DGTPPAPIYVGYDKYTLDGHGFQIVRHESKEKDFLDEEQIKSIYYPETEQLLKNVTGA TRVFIFDHTIRREKQPGVSDAAFRGPVRNVHIDQSYKASVERVRYHLAEEAEQLLQKR FQIINVRDPPTTHSTRALSVWRPIKTILKDPLAIADAHSVPDSDLVGAALIYPHRDGE TYAVKPNPRHRWYFKYTQRPDEVTLIKCYDSATEPGIARRVPHSSFIDPAEEDKPQRE SIEVRTLVFYDN MYCTH_2300064 MATAKDVPYHLIYWPGIPGRGEHIRLVLEEAGAAYSDTAFTEGG IGEVLAFIQGETPDDGINPPVFAPPILKHGELVISQTPNILQYLGGRLGLVPDPEKDR DGLYRVNSLALTALDGLSNEPHDCHHPIASGLYYEDQKPESKRRSEDYVKNRLPRFLT YFERVLRSRSSGEGPWLYGGNLTYADLVLFQCVDGLKFMFPKAMAKLEREGEHAKVFA LHQAVAERPRIAAYLKSPRRQKYSNGIYRYYEELDIEP MYCTH_2300067 MMQALEKAKKSIATDYTWQRARQLTVSGARALPGAAAEYVTDKF PIIGWLPRYRPRWLVNDVIAGLTIGLMLIPQGLSYARIATVPVQYGLLSCWFPPMLYA LMGTTKDLSTGPTSLISLLTAETIESLQGGGWSAQEIASAVAMMMGIYGLVIGLLKLG FLLDFISLPVLSGFISAVAITIILNQMDSLLGEPDVRDGTANQIHDVFQQLPRANGYA CAVGFTGILLLAALDRAGRRWGKRNKVVWFLSITRAFITLVIFTGVGYAVNKSRGSPD RFLFDVTQVSSAGGRGIQHPRVPDAGLLSRVAARSVAVFVGSAVEHTAIARSFGVRNG YIVDQSQELTYYGATNVVNSFFHAHGVGGAMSRTAVNSACNVRSPLSGFVAAAVVLVS IYELLGTLYWVPKATLAAIIITACWPLISPPSVFYRYWRASLADFVSSMIAFWVSLFV STSVGIASAVGFNIVYVLLRQVFARVATIPDPPRSELAAALDESRDYPSSVPADTRVF RLTDSLFFPNSYRAKTAIMDTIKTRHAPALGSAENPEAGKRNWSVSREKHVAKLRRRE GIAETAVLPPIRVIVIDFQRANHVDTTACVHLETMLSEIRAYGGSGVSIRFSGMSEYV RRRFERAGWTLVGGGSDDAETCAGRQQEGLVVRTYRNALSAVNGSRQEQAPTQAESEG DEKGGAAVARHVEEVDP MYCTH_2300069 MALLPDWLLRLRRVEPADNPVLAVEKLNSLGRPLQDADKEILVG AVLTMSSSLHLALDMLQEILPQEKSQERLGEVMCPTLGSLLDSLQSTLSSFARSTSRQ APPPEASATPPASTPGTATPRAGGANVAGEHHHHHLSTPRSRHSGHGGRAAVKESEQF GSAGFSRPQTARTETERFPPFEDSRTAGRLDHTGINIRRRPAENLVNALQAEMRVQAA INVAIESLEFAEGQLKMVKEVNRLHGGNFDLLQRHFYQGYNRLLSRALELQWREMGLQ SSRDSLISVASVEQPCPQLARHAATTTNNTGMRARPRQHSISFQSVSSVQSPASTTRG YGETRTPGFQRRSLERRNTIQGIRQEGKYRWCAPPPKAPWLLSHEPVCPGC MYCTH_2300071 MAISFDFQEAEASETRRIAKPRSRLRRFQARAPAPAPAMPPAPA HSQPVPPPVPAAPVVVAAQSPSSVGDKDKGNAPESSADADEPTDPTHTFESVMLPGDL IRRLFESWIEACATFMETSDLCANVSPRWTRSWKQSTLVDLGEKLPADVEEAHMAREN VAILVKRFFQYNLLRRMDGPVKDGKNAVLRHVKELAAKEGIDLGQVDI MYCTH_2300073 MKAVFLSRKVRQLYAAVCINQDRIRKLSTILKEEQQALDDLIPR IRRHKDKLRHEELEAVRQLRLMERYERLRIERQNSKPTWIEELYKRDRYRDRAPLPDP VPRFRQEIVVQPPLCATSCRVLETERDLAGCQRAVNPVPPSGTGFEVLFGCPLPEVLV PVSAPVPELRQTVPLPAT MYCTH_2058462 MSSTSALCYLYLFALAALTALVLQLPTRLSELVQVAGDVFFLLS FLAVSCMALIPFWSRPPPALLTVAGYLLFWTVRISVVVIDFGVRSVGLSLEIVDSILR DEELRSRLELAAMKLRRRYAKQQSTLAALNDDITRVCKEHNGHSREFPTVFRHRGPRL LSAAKVPSTGWTEPPLLVKMCKCPISSLTSFTSALPICPLSLACRI MYCTH_2300079 MIGTRLAGLGLLVAGVCSASASTSAIELRSAERPLSECPGYQAV NVKTSATGLTADLRLAGSPCNTYGTDLEKLRLEVTYETENRLHVKIRDADELVYQVPE SVFPRPKADGISAKKSALTFKYKANPFSFSVSRTKTGEVLFDTSAAPLVFQSEYLRLR TKLPENPNLYGLGEHSDPFRLNTTNYIRTLWSQDSYSTPEGANLYGNHPVYFEHRKSG THGVFFLNSNGMDIKIDKNPQHLEYNTLGGVFDFYFVAGPSPVDVARQYAEISGLPAP VPYWSFGFHNCRYGYRDVYDVAEMIYNYSAARIPLETSWIDIDYMDRRRVFTNDPERF PMPLLRMLADKLHSNNQHLIVMVDPAVSYSPNPAYQRGIEDNVFLKRSNGSEWLGVVW PGVTVFPDWFSANITRYWNNEFAQFFSKETGLDIDGLWIDMNEPSNFPCFFPCDDPFS AAVGYPPDPPPVRPAAPRPLPGWPCEFQPEGSNCTQQQEEATPSVSLPRHGIEPRTAP IANPPRGDNKWKGLPGRDLLFPKYSIHNKAAYKDSWNGKHGGLSNKTVNTDVIHANGL AEYDVHNLYGTMMSVQSRQAMLSRRPGLRPFIITRSTFAGAGASVGKWLGDNLSTWDH YRAVIRTVMAFTSIYQVPMVGADVCGFGGDTTESLCARWAMLGAFSPFYRSHNELGSI PQEFYRWPTVAEAARKAIDIRYRLLDYIYTAFQQQTVDGTPAVSPMFFLYPNDANTFG LDLQYFYGPGLLVAPVTEEGATSVDVYLPKDIFYDWYTHKAIRGQGKTIRVSNQGLTD IPLFLRGGVIIPARVKSAMTTTELREQNFELLIPVGADGTATGQLYLDDGVSLEQKGT TLITFRYRNGVLTARGTFGYHTKAKITKVTVIGASRKRDEEADTAVVTVNQPLTGNFE IKISGAL MYCTH_2300082 MEPSSKRRRLAPKVPDPPAVSALPPTPQAQAQPPPQTFSQDQVP SQHYAHAEAAPRLPERDEFEAFARHLQDAAIHIQQQTLRPKHTSVSVLMLQWEEDTSV EPDMLALERVFQERYHYHTDKWAIPTVPNPSVKLGVRIASFLDNARPDHLLIIYYAGH GYVGPDHQLYWACNSRDDAAKLKWDGVRCLFEDAQSEILLLIDSCAVRDAPVAGSHGA KQAIAAYSPDQSALEPGPRSFTAALAETLQKLSFLGRPFSVQKLYDDLCQQRQQDSTQ ALARLSNGSTKPNHTPERSPAFFTLTPARGQGIVLVPLDPKAAQLQSPPHSADADAQG AWKTKPEDHPLHPDEVLDLTLEEQRVLVCTTFVGDASPDMAFFNQWLHSVPSTTSKIA VEGMFLGPPTMLLISMPLNVWNIVQHDKVCCFLGYISSHNMIHLYQRLVNSPPRGLVG IKDEEDSRALKRTPSMHRNETSSHGAPVQKETARRQDTYLNQAVTASIPNIPLHASPG QTPPVGPKDEVEDSAEMQEAAEQLKALSHVRHIGGDSPATLDRQATQVGDSIAVRHIG DPDSPASGVVTGLDGPLYGAEYSTPSAKTKARKPLQKQTPKQEVRCDHCSHAPFKDSS SLRKHVAAAHTRPFPCAFSFAGCTSTFGSKNEWKRHISSQHLCLQFYRCSSCPQSSAD GKGNEFNRKDLFTQHLRRMHAPFAIKKAITKSDSKLQAEWDAHVKEMQTTCLVTRRKP PQRSACPKPDCQSVFEGPGSWDEWTEHVGRHMEKGEAGRMGVDPLLAKWALDEGIIEE LGDGEYRLCAGSGSSVSENQVNNHNHQHHNNDNNDNNINSNHNNHSHNNNDGNDNDND NDKTSSDGVRRAEGDDDPSITVAMPIPVSDNMEVG MYCTH_101172 MSITACQDGCTCTSHSAEVHHAIRTLRAYRSAQDGWSSTSWSQG QEISAWILVPAVFTEPDSLSWPISDPVQGLGRTLSAQTMPNQELLMVFTNFLSKFTAS LDGNPEPSNPYIKYYVPYCVNSQLLLRVAIYSAACFLTDTGHVDRTVAMAHKGHVFRL LNEHIRSRMPTTDEVIAEVLQIILNEWLWGNADDLRAHQRGLRDMIKCRGGFRMLGLH GLISKLAISADAAISLSLEVPPYLRGGPELEFSDSSPFPLRVALNTPLIPTLVRFSSC ADALRIHPAVASILDDMRFLLAAVLALPAKPSAKELQKVQATSAWIHERISGLSEDSP ATRRPPEASSAPRADGSMVAEISKQVQSPHGSASLLFRLVLLLPPDHIYQAIRLAALL YSRAIMHRRAFSRVVMSDAYLRLWTTAWRVPLSTWRSLLGVLTWILLPLPHDRYMRGM LNICMFQMGMDNWEIASSAMEAGLRLQRRLAGEPVSPSEAGE MYCTH_2300087 MTDQQGVTLWNRLSIEFLADLNFGVSVINIWVLVGEMAVAAGKD TSKCIPDH MYCTH_2300091 MDFNGLKRKDTTKGPPLRILSLDGGGVRGYSMFLILQELMHRTF VEIEGRAPRRSEIPKPCDHFDLIVGTGTGGLIALMLGRLRLDIETCKELYVRLTRMVF ETDKTIAGIPYRSTLFKATKLEEAIKECVREHTVYEKEGNDGSDVGSTYDSLSPVSRS SAAYPRRHASNASVLSFSARSPSAQAARPVFSRNGNPNARLYDERENRTKTAVTAVYK GTPKGGAPAMLRSYDSRREPAPEFDCKIWEAGRATCAIGLAFKPIQIGQSVFHDDGAG TFNPSITALDEAVVNEWPGREVGVFVSVGTGKRPRGSDANSALWYEGFLGEFAEARRK LIAKIEGCEKIHEQMKREHLSKRGVNIENYYRWNVEVGVGEFGMNEWNRLADISTNTR RYLAREEEQKMVQSASAKLAKIHFAKQRWERLSQASPEAAQKVPQISLPLAAELPGDI PPVPARAPTPASPSRQSYDSGHDILPVRGSTPSPRSSCEPPRQSPQPNQLPYPPAPPS GGHPPAAPSHFADGAAEDSDRLVVSAPTPAQYRIATGADKIAIAGPDDYPRRYDDPLM QPAPLRVRPPSVPPPLPPKTPLPEIQAGHGRASAPVLPYPLDEDAPPAVNMARKPYYY SSN MYCTH_2300093 MFSSCFGSGRDRRSAEQEPLLPQYDDDTSLQRQLHQKLHTYQML RALSKGYMPSNEQAIANLRTLLAADVLNPDSSQLSDSGQALAHYAKQWIKQLIELLQH KNANDQIQDFTWYLSQARVSLDMEHVAERASRAKATADTAAVYRSLQTVGSLLLTNSD FRLFLSDLNVVAREVFRDAAFALSEASEEAGKRIGPSAEQQDSVKQQGNGSQSAPSQQ ELVDQTAEVSKVCSGSASTVVDEAENSILRKLDGPEKDTMMHRLKNAVANLRRRRDYS DSVSTLSLLLKRYAMVYSRIARDTVQAAEEDVDRNPETDRALRNFWAFLRSFGEVKEW EELEARFETVVNHGHDDPDFEDLAAKLASALQEMFTDPSFFDDAEQRFQELRTRYKQI SSHSSLRDDMDGLLSQLQATFRSVIRDKDVANLMKTSTTIGKILSPKHHYANTDLVAD SINVFVPLLFQSINYVPIPRLEVSTPQVDLLLENLILEPGTTVNHTSFFPHRLRVETL NDIEIRKARFRTTSAVKTLMRIRVDGLSIRGEEIGYWLRVHSGLLRLADEGIASFELD ERGLDIQLDIEVGRDRLEKILSLRGVKVRIHKLNWKLRRSKFSLLSWLFKPLLKPIIR KTMEVKMATAIGEALHFANRELLYARERLRATRIADPDDLRTFFKAVLARLTAPDDPD LHTRVGVGQPGHGVFEGVYAPGSIVKLWRDEATQAPQRIRENERDGWRNSIFDVQAAM LT MYCTH_2300094 MILADLFSIILRLTELAFAAIVAGLNGDYLSSVHNADSWQLGRQ IYTEVVAGVSIFFAIIWLFPFSGSFVHWPADFAISAAWFAAFGLLVDWLDGNCG MYCTH_2300099 MSGIVHKIKEAVHPDSKSHHNTAPEGSHGPHNSRVANAVDPRVD SDRDGRGAGRTAGHGEYGSAGYGTGRAGYGTGTAEGTHGPHNSRVANAADPRVDSDLD NSRTIGTGRTAGTGATGFGAGTTGGYGSGITGGAGSGATGVTGTHGAPAGTYGPHSSR VANAADPRVDSDRDGRGALGTGPGPAPNTAGPHSSDMANKMDPRVDSDLDGSRTMGGN KTYQSGTMSDARRDPTDAAQVPPSVLRKHLGEPSIEHEDVTHHRERRNSIKTHQETFR GI MYCTH_107255 MNLLLFERSSGRLGPDEFARIQATTLLRSFARAPHFRFDGGERD ASVPVGRGNRAVASAADGSGSGGDAAAPRVSLWAHQAGVSALALERFDGRILVSGGSD ATIKLWDLEQCPNPWRYYTYRPVAVVSRAGSDSAAAGHRFGVTHLSFYPFDSAAFLSC SHDQTLKLWSTERASVSGSFFLGSRVYTHAVSPIASHLLVACGTQHPAVRLVDLRSSA AVQSLVPPGQTGGSAGAVLSVSWSPAYEHVLAAGSADGAVRIWDVRKSNGLVALLDQE DVVGLADGGTTSQLRPPRLAAKAHAGAVNGLTWTDDGAYLVSAGHDRRIRVWDAATGA NTLASFGPSIRNSQMGAVTMFVSPLGLTAPGCELLFFPNETEILVMDLHEGSTVARLR GMGPAVASVGTHQGGERAIKNRVTSLAWRGAGGSGGSSGVVMGGSNAAGGLLSGHLDG QIRGWLPELEGADDEGDDDDDGAADISAAKTKKRKALDDAFRSLMGRQVTFS MYCTH_2300101 MEASPDSIFLSSGETTPSSRLSSPAESHSSSSSSSNRRRRSKSS QRHRRRPANVFDAVAGRVTLNGALGDADDATSRSKRRLRAISDRYLSRNPRLAPEEAL FRRKNAPVRYAEYDIYWASDDLPNAGYGSLPDSDLLRSIHSYASKFYETAAARLGPRC VVGTRTVDERSMDESALLAFGILLEEAGREALGKRGDVVFTEASTEAKEATKVVQSTK SPCLVSGGFEAADEPPVSHTSPKPKRRKVANTEISVEEHQ MYCTH_2300105 MVRNGTSVDVPAEPPLDDDERPESAESAALTAEDAGSSSPGDTA GTQAPINSQEARTITRSMEEEKEVEPGPAVRPGAPSIPLNHTTLAAFLLKWRPISVLV RDILEAENVKYVDEFPIRQEEKRGLLRVWGRGEGLESSLRVDKVDRESLRDVGVVEMV ADDLSDTGAPSPGDCWGGISSSPAPVDGKPSISLPTPDFSEALVWKYVKSFQDNIQNM HPLIIPRELNAMVKLFLDTVQQTMGKQPRGTTIAKFATTPSTQSETGSKRKRSPGPDA AEPSSASPKSSRPVFQRSINNALVLLVLALGKICLHKDKIPDVVPVSEPPAHGSPMAR NGYPASPIQGSSPSQASHSHSSAGLPSPKDGAERPGPSRRASFQGGGASIKGGTSPKR NMDVIPGLDYFAYATDILGGQLAGTSLRHIYAFLLAGLYQGQLGRVLESYAYIKEAGF ALQMKLRPSLDRFRRLNEMGRQGAVSDKSDNQLVFAFWTCLQLESDIIAELPLPQSHI LAYEDIMPYPNIEVAKKLGFDEHVLQSYLAQLYLRKTLNQIHGMLYNPEKPLPLDVTS GAANIIEYIQETMHLSFVPPEFMFEPTDPPASDILSARLRAKYWGAQVITYRPFVRQI LEFNFQKMANDTPLPASDFRSGVVVPVIGPDNDIPPQMIEYAVKGVGALIESTRAFHG LKEKRFIVTNIFGTAHAQWGNLLTLAAAFRDPILSNYIDERLLKELFAKTISFFKIIA PPTSALHIDLRILEGLQRKLWGKSSSTEAVDHPTGSSFSSSASGGPPPVQPMAPAPPM PPVAGPTGVRSSPGDHVGNGMTSMSPPLPSPLPATMPGSATPVPVSPLEPSQGPYPGG MLPPMQHQPSHH MYCTH_2116523 MASPSASSTGSPVPGTRPDRRRDSNTPDTASTSLPRILWSLQVP LYITHASKSTDPFIVSVPRFSYLALLVPRLTAYYGLPCSSFHHEEIQLRNLAVGLLVD LYQPASLPWRLVVSDGPEWDIADTFTNSAKEADFVRNGNAKQIMSLSKEHSTALWNAV QDNDYAAFNKVNSLLLNAPTPLKNVPIRIYIPSSPREASGAAPASFKVVQTLVPPRLP NRAPQTLGAALKSILPTLFPSSRDPVLANVLLHGGPVPFRAPLEELMREAAYPDGWLC FCVVLL MYCTH_80023 MASPLEAAVSRPSSGMASPPPRITSRSRTQSISSDRPSTVAHSL MTPPLAVSPEPAFIAASAASQIVTNDYDSHAEAWYDQHGIEPPGEAATVSPTALQLVN SFLDQLLFNFLSVSRSTALSALRPAVTEVLKPKLAKDAINQADEELREYLGEDGQDGA QPQTADAVSPTGWDLELAWKRTRLRCMVYSSLGDMEEEDEDYYMEQGHLASGPDDKLS ESVSPAVAIFLTSILEFMGEQALIVAGQAAYHRIRAKYEKELKEGARSPTGVADKVVI EDLDMERVALDRTLGRLWRSWKKKIRSPAISSTDRLNRSYSKDSLHSSSGHLRSSGSV AEFAVPATVPEPDAEPGAPPSKEDPADYRDQGANTSQPLEEYLVAASIPLPLGPDDIA EIEVPGLAFYRHEREEDGDAEKERTPTRPKSMISMPLREAPGPLSTASSQHQITRRRS STLPAPARPRFSIPAEPRPDVPAEMQDSPAQASRSTRTLSQNAAEEAEQVQAVETSQA ADSGVAPGGEELKDEIKDDDDDDEVSIEEPRIVRSSRVSILGRTPSTTSSEPGKPASI NTNLPIRTPSIHSARLIEVTSPRSPVVGSRRNSVAVTESARHASPSSANRTVTPPLSE DRQEQSVGSSSVGRPAISHGTRSSGLASSVSISESGEPADRDYTVSPVTPVTPPAPAH AGTSLPTVPEPSTPPKPVIKNVVLPATSSPVSTASTTSGTFFIESMPVLPEGREAAGP SQTGGRRYRSPSTSNPPAVPERSAGRQAVANTSALRQPAAIGQVSVERTRNRSPSQGS SSSRPREPSAGRGRQQTAAESPSSTKLKTVQTSEEQVQSRIDVVRNFEELIQSDQTIQ YTLTPESMRDLESQGQSGRPATAASPVVSIKTRKSEEACRNGSRSPSSSVPRNDAKSP SSAPRSSGSGSHSVTDGHHPSRSFALSPAKHNGVVPRSIPPVPSKPRATNGPQARDAR LERESLAEFAEFIRSTGPADARAASANANANGSSGSGRRRNQGSISNGDVGTTSLASA TSNPNRPRLQPRGAVVDHKDDNSDLIDFIRRGPPSSAGNPRIPRSVAPFRTTMDSDQL SAAVGGRAVDAQLRDAELADSQASTNATDSSVPPSVQSSINSRSALVAKNKPLPSDAG DVDMPMPKRKTRRVRDPYAIDLSDELEDDDEFTPLPPKKAQTQEESLIDFLNNYAPPP EPPVQPFNISQTRNAMQPRKKASTSSLMARFTRRDSAQSSAAGSTVSAASKVPETRSA NGRASAPKAGHIPIQVNIPGSANTHENSNGDSAKAAAMMGGGGPGPVRGRVPMKKFEP REAISVPTRTTSDLAEFLKQSAPPVGTTPMASDFSETAGRDEASGISKVFSRRKKPSV SHPRRMPESE MYCTH_2300111 MCGQAACVWTLPQTPTGRAAITTRLLSARTVEAIPVSPIPDSTD LPRSVITIDKRTIGVTGKGAPKDNNKGKGKEKGKEKGKEKGKEKGKEKGKEKGKEKGK EKGKEKGKEKGKEKGKEKGKGEGEEGKGKDGGKGGKGGHGKKGGHEKEKAKDEFKHNH GKAKDESKHNHGKAKDEFKHNHGKAKDESKHNHDKAKGEKGKQPRLVGDGMPQDAIKQ DGVPHLIRKGMGADQQLLVT MYCTH_2300113 MMADKTGRRRRSSSILQVYHEPPEPIEQLSDQSALPNLNANWVN AKGAWTIHLVLIICLKIFYDIIPGVSQETSWTLTNITYMFGSYIMFHYVRGVPFEFNG GAYDNLNMWEQIDDGAQYTPAKKFLLSVPIVLFLLSTHYTHYDLAYFTINFLAVLAVI IPKLPFSHRMRVGLFSGVPEE MYCTH_2300115 MQAPSQMPKPEATLDESSRQHTPGQKRAIPESGGHNDEGSPGSR KEQADDAGLPSPKRLKAAVSSTEADETASSLSSGPPPSQADNAALHAPPRAHAGWNRG ITSGLRTSFAAKDKLRRPLSRQASGSPVQPLTGSIDVDSLAMPSATSHLSGAIRRDDW QALFTKWCVRLMALNQSQKGLKDDPALLREAWGLWLETKVSLPRAHRTTAMEVAKETC LDAEKLQAMFSEALETDLQNPWDAPPTITGQAESVETEKQSNGQPASAESAPAGCQET NDWVLPPPPSCADFDVRHKDQRGWEERFVAWCKSLIQLNGQKIKVDTIRERNRVAELY LRWVGTIDGLSKAKAAAARRTAIHYAQDNSALLTALFAGTSPGGELQTTGSVSPPRND PRPSPTGAVSDGEDETSGDGGTDLLEEKVAAYREKYFPGLGPNETICHMCASRDHDAT ECPETACRFCGDAGHRSFGCPTRLRCTKCKQLGHQKKDCSEKLALPPDEVECIFCQSR DHVDASCHEIWRSFKFNPATVRRVRSLPVFCYCCGSEGHYGPACGLNPQRGEEGPWET WSQANCDRYQDPASSDVATVFEAPSTSSGPRSERPDFGKSIVPQRHIFFEDTDDDDEA EEFIRPPVQKIMRFGHISFPGSKDGGNRSGRGPSNQHNDKNGRLGYTQPPLPPGPPPP LPPQGHQANGRSGGRRRGGGRRY MYCTH_2300116 MATIPQAFYCLWQIEAIRERLFELLPKEDICAVRLANSACCNLV TKRLFLRTHLTFSANSLTKASRIDALSRIGHHIEHLTFYFPHSNATFLPPLIHPQTGQ EICFLYNPHTSAESGLTRPTYGSSELGEILTQQYPPLFHAATHVPSFINAMKHMTNMR HLTIKTPGQNPSERYRRDIVDYALISLRISLERAPLTKLSKLTLSGVHPSAFNYLRHA PGFGALPSASVRWRQIRKLYISVESWDFYGPSPGLDHLMIIDDYIRAFAPQLDKLSFT WLGRRGPCPIALSGDPLFAPPRNSKKLFNEVTSPMSPLPPRPFRRPLVMPRLRYMSVR NTTMSVDQLRGLVASHKHTVREFDFENVALIKGGTWEEALAPLTEGESSDAWSPRSLG NRDYRPGTSRSNASGSVVASSVEDEYLPASSAAAAAASRELFEVDLEGMMFGGMNDVD ALEAGVEEWARGVTAAAQGASRPSTAEEWHENARPSSAGRRSGDDSGLASDIEAAKQA SEGFSTKLKKRRVRRRPRQHQKEEEAPKHQEKRAEDRRREHHSDDSRHHSTRSHHKHS RSDDISDRHSSSRDSHRRHRHHRRHRSDEGAETTFMAGVVGTDDEADRPPETPRPKMD ISVPILNLDPLPVLLQPTVYDPSAKFGPLLDTPESSPISDDGLSPTQRSIEADMLAEA EEAAARSSALKRAKQAVITKLSREFSKRRMDGSKPRNKDSAAVIASLSALNLSMNLPA QQYGGLSCASSSSVGHRLRDNMFGRSMANVAIAPDHRSLESQTALVPLIFSRS MYCTH_2300117 MRIAIREQLATVVILAVGVALAVVSIPTWIFVNNFTLGVESDGL SLTASLKAARIASEIKLIQTACQSIATRLLLQDAFRRFYASQANNTTDDDTWADAQDD IQSALDKTGFSALLQARLYSRNTTGNPKGLVSLTGNGAIDSGPILLPYKAPNGSDVHL GDPEYGFPPSLFPNITYRDLGRPSNVMPNTNAFTAVVFPGVSLGNGSEKAGVLLGPLV VNSTYALISLTIPIRDTGNNDYVLGYMTLVANTRTLVEVQTSREGLGNTGRVLFVGST NPWNHFSAPVAASNSTWTPPLEEFGEQEVRFLLPPQPPDGQPDRHSLRSFGSGKYGEP FRLREYPAVLDVLRDQNPSPNNATSKLSTTNEQGYSVAVGVARPQTPLVSWAIVVEKD RTEAYQPIRTLRSILLGCVFGTLGLVLLLVFPFAHWSVMPIRRLKIATENSIDSPGYE DDEDDVFGEENPSSGGTTSGRSSEKGMLANIRRKIRKHQRAKLRQAVTVEPGRRRFKI PAKVESGKHFITDELTELTQTFNEMTEELLKQYTSLDEKVAERTRELEISKKAAEAAN ESKTLFIANISHELKTPLNGIMGMCAVCMEDDDLQRIKQSLKTVYKSGDLLLHLLEDL LSFSKNQIGQQVSLEEREFRLGDVRSQVLAIFDKQVRESRSGLTVTFVSDTPAPGPDG RSSTDKRLPALGPPGVGRLKDMCLWGDQHRILQVIINLVSNSLKFTPPGGTVSLRIRC VGEVEQPSDESRTSSFSKTGSSNRAGRTRHRVGSGSTNSASSKGPHLPLSSVNGGTAL AINPADPKATPQIHIRERSPTPPPPNAKTYLFEFEVEDTGPGIPEHMQQKIFEPFVQG DLGLSKKYGGTGLGLSICSQLATLMGGHISLKSTPGVGTTFTMQIPLKYVKERASSTA SSSVKSRPPSVGSMEGDSRSLAPRNSTEVQRQPAAAPVLDQQPRLVGLSQPFFASTTP NRISKEDQMAAIDRAMATKSGQGKLRVLVADDNSTNIEVVSRLLKLEDVYDVTIAKDG QEAYELVKANMEKNQLFDVIFMDVQMPNLDGLQSTRLIRKMGYSAPIVALTAFSEESN VRECMESGMNEFLSKPIRRPALKKVLAKFATIPEEPETSSLTRRTTPDKTPVATPELE VKDPLANGTGISASVPPTEVQ MYCTH_2300119 MRLPYVPNPPETTSEEEAAIVERIKARRAPRPLQALDLTLLHSP PVADGWNSFLGAVRTKTTLPADLREIAIARVAVVNRAWYEWMHHAPLAEQGGVSKQGM EVIKREDPLLLEAPGGAVPAGLTEKQWLVACYADEMTRNVQVRDETFARLREAFGDRE VVEITATVACYNCVSRFLVALDVGEKNGLGPDAVH MYCTH_2300120 MTDSSAWQWILVLSLTALLLSLAVLVPIVNRYAKAYLDAPSEVS TFLDVVDSSVAENESYDRDITKVQRLEDKLRLARLLRDIQKSGDALREELNTLLVGEG SPRLRNGARLCWASHRQRLEEKVRRLDLLRMRFLVVHMAIIAGTATEAAAKKDVALLD PEKSAGLPLTPRPGALPKALADGIKSESPLRRLTVQAIGHQENVEGGHRKGWAGVVME LQKSPLLRERHASIELAMSRSP MYCTH_2300121 MAATDAQFPLPKVLTYPASTPPLLVTQGAEGRLYKTTHLLSDRP CALKYRPPKPYRHPILDSRLTKARISSEAKVLERCWREGVPVPAVYAMDPAAGWMMME WIDGIPVRVGINKLLGPRPEEEALPETHEALLADLMKRIGAAIGALHKTGVVHGDLTT SNMMLRPRKSGQAGGSTGNEDEGEALNGDVFIIDFGLATQSMSDEDRAVDLYVLERAF ASTHPRAEKLFAAVLEAYKETFKKASSVLIKLEDVRMRGRKRSMLG MYCTH_2300124 MTKLILSTGNIVSGGPSIIRKPGAFRLNLELTNSLRSNFLAAQE DYAAEVAHSNGDANGDSNGSANGGVDLNHGTGGRPAASLWTAEKDGVLYVPAIDRGDH GLQEDPAQYDITAKLFFLPGAPVSQRSHHAREALKHVLRELRAPAVDLLIVSFPGMSF EGDCEWEADRKNAAQGNDEDEIASWPAIEELHRAGLAKKLGLAEFGSEKLSRFLPRVQ VRPAVDQINIKDCCNVPPPLTKLAKEQGIELLVHSDCTDILPKGTLRELLGQGLGGAG LLADPETGAGGLQGDLTPQWVIKYTAVVRDRGVIENKGYFAGAELVDE MYCTH_2300125 MAINYLILLSRQGKVRLAKWFTTLSPRDKAKIVKDVSQLVLARR TRMCNFLEYKDTKIVYRRYASLFFIAGCSSDDNELITLEIIHRYVEQMDKYYGNVCEL DIIFSFTKAYYILDELLLAGELQESSKKNVLRCISQQDALEDMEVEDEVTKIM MYCTH_2077028 MKYLSLPSFDVVTSALNFDTPDCHVTGSCDLYTTKAAGSDKKLY KNIQQSLQSQHAALLKFGASLSPPQRDSMAASLNLSRSSPFGSLEEISNRRTFAYLIA TLNASHPDYDFSHVLRPADFQRERVLRRVMTQIDSTLSSVRPTSTLLDVAIPRSSPAK PTDFNTGVSTAPPWGPQMWAMIDKEMSLKDCTVFSYQPADDPFDEEEGAIWALHYFFF NKALKRVCYLYVRGVPVMSHSPRVTGHSAMARRTRRSLAGLADDDVDDLGANKRARYW LGDKFAERITASDDDMDDGLVWNRDADGDVNCQYDDDDDEEDDEEGEDFAMDVSEEEE EDDTQRRRSGSSIRGVSEDIAARMEIEV MYCTH_2314411 MGQTLSEPVVEKTSAHGGDERLFYGLSAMQGWRISMEDAHTAVL NLLEDNPKAAKEHPSKLSFFGVFDGHGGSNVALFAGDNIHRIVAKQDTFKAGNYEQAL KDGFLATDRAILNDPKYEEEVSGCTACVGLITDDKIYIANAGDSRSVLGVKGRAKPLS FDHKPQNEGEKARITAAGGFVDFGRVNGNLALSRAIGDFEFKKSAELAPEQQIVTAYP DVVVHEISDDDEFLVIACDGIWDCQSSQAVIEFVRRGIAAKQDLDKICENMMDNCLAS NSETGGVGCDNMTMIIIGFLKGRTKEEWYEEIARRVANGDGPCAPPEYAEFRGPGVHH NFDESDSGYDLEDQKQGKSFGIGGYKGRIIFLGDGTEVLTDSDDTEMFDNSEEDKDLV SQVSKGTLQGGNASEAKADTPADTGSSSSQQQQQQPEQPQAETKAPGNNSADKQKSG MYCTH_2124828 MDDKERSPSPEFSPLAFGEDLAPLPEYKAAGTTEVDFSGLLGEP LKLHEDLKSGCGGQLWPAGMVLAKHMLRYHRERLQSARILELGAGGGVVGLTVAKGCA RLDHPLYLTDMVEMEPLMRQNISLNGLEDRVKARVLNWGEPLSQEVLEHKPNIILAAD CVYFEPAFPLLLQTLQDLLAVEPSATIFFCFKKRRRADMQFLKAARKTFTVTEIEDED RPVFSRDCLFLYTFTRK MYCTH_2314413 MKSTVFVASLLASLAAAQPHGHGHAHQHRRKEHSHNKRGVVTTW VTETVYETVTAYVDDTTTELVMPSTKPASTTFLTSTTPAASPGVFIQEPETSSTTSTR VQAPPTSQAPPPPPQSPSPSPEPSPSTTSVAAPPASSSAPPTEAPGGDGSSGSGGQDT RSGEITYYALGMGSCGVDDSGKDNSDNIVAVSSSLMGAQSNGNPMCGKTITVKANGKT AQAVVHDKCPSCAPNDIDVSEKLFLELFGSLDLGRGQVEWYFNE MYCTH_2300136 MANALRTSSVVPAQLGFLAIYNPSLGTTDETVDDQIVYYASAST LSNAKERRRLLRAAKDGRPTDSISSEERNERLRQIGLAQGMVEFARSFSGGKAVDSID TERARVVLHELEPGWWILASIDLTRLPLSPSKTPATPPTSSPPPAQARGTAPQQTRPP EYEYSAREVKPPALLLRDLLRAHALFLLHHGPSLSALYSALPRPQFGVALARYWDSFL LTWNVLLHGNPARDVLGGIKVAASGELGMGVGEEDRGSGEREVLEGMAGGAVAGLVDL VVGRFGDAGAAEKEGTRTWHAGGAGSGGSEHQRWLGQGTDVGAEDGAVFLGVGALSRR SLRAVTDWMEDVYTWGESAYGVADAPAAAGSRVKRRRRGERSGGVAKASPSGVGSKTQ KKEKGEPGEPETGGDASKADRNDHTKTSAEGGEVAGGMDKMLSYLKFGYGTYWSLGTT SPPSNSDAGDESAADSAAAEDALGRESSRALDDGYFLLGLEEPKADPEQPDRPLQGGP ATPRTVTVELEPEVSRQRDPNNARSPDPDAPHTSQPRTAQLRPVIYIHRPFIYILLFQ PTGTVLPSWEELSRSLHSQLTTLHKPLLSSTAYRPEKPLPASLQSEIYDLVFDPKTLT IHSTIPSIPDPVFVADSTPGGLFGPSPPPPPPPKSSPTTAPWTRVEALSTHNQILNMF AGSRGEAGALERTCKTSRGWWVVWSRVLEQGQTAGADRRSPSPGGSDAGQEGANDGDG SGCGSGPAVGKEIFLVRRASDQGAGAGVRSVGAASYVGGGASAGWAEGASRLAQGIGV DTRRYIEGLLSLNR MYCTH_2300138 MTLGSALPWRACSPQGRIQQRFALAAPRNPPFVGYAALVARAQS TASDKTRPARRLRPPTEPSADTDTASARPPPSLVDRLSRVPVRPHDPYGSVVVKPVTQ YPDKRENREALLKHHRAYTQQLRLEQRRPPGRGGDWRAVLNKLVKWTPPHERQGRIKV VIPKHSVALLLSDHERNLWNIRSRTDCDMTLYRPADEAAPFDPYIVLSGRPTAMTAAV DDILKVSKGVTVVNLDDFLQAGVESSQAGQPPTEGLDDSAFTATRVLPHQMSVPCRPY RLNVRADQIPRPGEWTAQAFQQYVAALIMGNLDASRARELYPDGETHKETVVRLLHAV FNDPAASAAVSLPALKLALRYLTAAGATHVKDAQALFNRAEELGLRVDTDTYNLMAET SVRSKNLPAFESTVSQMVRHGYRPNLGTWLLFLRLVESEEVRRYILQAMDTKSFFLDP TVVNGVSGIMADHDAYRAIQQGQSFDAFIAGLRELYGPEWRLGLRAANRYLDVFGQHS KFGELRQLLEYMFASEHSKPTTISLNTAITRCKHQRKVDLAVDLVRMFDERGHHVADR TTFHLLYELARKTRKPHLLGAVWRYAHRVGMTNHRMQAHGTKVLAGERESTRLTDRIR GLWEEPRRCAITRQEFMENLLLCDYKDSRPELKKQPRATSGQIEEPWKMSPGVVTSRA PSNGDTIPHANVSAVSPENEPRGSSQTPVGTQPSPFQDIHHETENPSRAAAGADMPAE GIKDTPPNARSDDGARGGTGPQQTLLLTAAEKYELYARAMSKTARQFVPSVRLGDFLQ EALDRDRSLHRLAHNDEARNKALQVDLDPVRLPIAKRRGPMFDENGLLRWLAEKRKKE EEEKEEEMTTAVSHNDHESADREIIDVRWTYQENPKVDGSGGATSERANCERAPDSGA LESNHGANDGTTIERVFCSMVESPDHYGGQGILGQPAAGRF MYCTH_2300139 MPRTRHHRSSRRVPPLKDSDFDHEINLVDKDGEEEAPLGPSSAG PSSQRGAAATGAVMSLAADGEGDAGGETGEQEEQGQPSVVIDEPTPQVGAGDSATLAK TAHVHGKPKTPESEIDVLYENQRGGFLCGIPLFSSKALGNLDPPPWTNFAHKPSPTDI YTAQVPDPSWEWAWPEWRINYDMDVDEDGWTYSFAFSSKFSWHKARWWNSFVRRRAWI RKRVKKNEGYSVQDQYMLNPDYFTVRPSSEMARDRSPSRASTVPGSRASMSTANMESA EPPAIEHSDELLRVLRVSRIDREKIEAVGNYLANAKEDLEGLQAIMHEIISLFLFQAS RRVLLANLTEAYDQSVAEQKAAKESNPELDRKVENLAAAIKHADEEMRRLEYWSDVKG IAEEGESKAAVDHKQGWDSKTWQGVDSSGPSAPSAPERETKTRDGKEAEDGQYP MYCTH_2300142 MSRSKVPLAIGLAAAGGIGYYFYSAGGSPRAAEKQFESDAQHVA AKVKGEVPHRSTDAEQQGRKVGQEIGAKVDSAVETVNRDISKAKSETESHAKAAKADA LKKIDEFDRKVEDSAAKGKSYLSSWFGSK MYCTH_2057284 MASRRDFLSQPAPENYVAGLGRGATGFTTRSDLGPARDGPSEDQ IKAAVAKRSAQLGLTPAKDDDNDDDERYQDPDNEVGLFAGGIYEKDDEEADRIWKEVD DRMARRRQKQREAREKAEREEYERKNPKIQQQFAGLKRALETVTDEEWANLPDPKDLT GRTKRARQERMQRFYAVPDSVLAAARDQGQFGTTVADDGTATEVNKDGTVTDFAKIGA ARDKVLRARLEQQSQTSGIATAGSATSIDPKGYLTSLSNVQIAEQSIGDIEQFRKMLK SAVDSNPKQAASWIAAARLEIAAGKPGAARTLIAKGCQHCPKSEDIWLENIHLNDNRS AKVIAAQAIQANPHSVKLWVEAMKLENDLRSRKKVIRRALDHNPESEALWKEAVNLEE DPADARMLLAKATELIPESLDLWLALARLETPDNARKVLNKAVKKLPTSHELWIAAAR LEEQLGEGSKRPVMKNAVTFLAKRNAMPKREEWIAEAEKCEEEGAVLTCSNIIEETLG WGLDEDDDRKEIWMEDAKASISRDKFATARAIYAYALRVFPNSRSLYLAAVDLERNHG TKDDLWRALEKALNEARRVLARAFKQNPDNEDIWLAAVKLEADNGFTDQARDLLKTAR QNAPTDRVWMRSAAFERQLGNNEAALDLVQDALQLFPAAPKLWMMKGQIYEDLGKLPE AREAYGTGVRAVPSSVPLWLLYSRLEERSGNVVKARSVLDRARQAVPKCPELWTELIR VERRAGNINQAKSLMATALQQMPKSGLLWAERILHLEPRTQRKSLITEAIKRVEDDPI LQVTAARILWAERKLDRAQNWFERALLLDRDMGDTWAWYYKFLSQHGTEEKRAELVAK CVLNDPRHGEHWQAIAKDPKNAGKKTEEILKLVAASLS MYCTH_2300145 MNSSPTPSAGHWPPRRPSKRSKLRNGSVIIPSTGERLHRAFTLR PSGLFTSRQADASESSTTEPFLARGSLSDRLQAAANSARKGGARLLRWLDSPAGHGVI KCTLAYTLGSLATFLSPLSNFLGRPDGKHVVATLTVYFHPARSIGSMIEAVLIAIVAV AYAELVSILSMCTSVLFGGIMGMVALAHGLVLVVFIGGGFGFMGWVKQKMNNPLVNVG STLASLAITVVVTKETAVFTSVFSNQKIVQLLKMLIMGITITTVVNILIWRVSAVSAL RQSMNKASISLGDMLSMVTRGFLSGSEDDMLSPEFAAASSAYSSVYPQMTKNLREAKF ERYFLGHERLYQLDRAVVRSMETLAQSIGGLRSAANSQFALLKEPLFTPLSRVMSPTE FASSPTASPGLYRTMSGPTRVGRDKFLTLSSIDEASEDGHEEGRTAVGERRRSDATAT SLPPFQHSSEIFELFIQLLGPSMRSLAYTLSEVLRDPPFGEAPDYNITINANFRHSLT DALSLFNEARAHALQELYKTLELDRTRSKSIQADFEEVAAACGHFTFSLQTFGEEMQK YLDVLEDLKFANEHRKRSWNWLKWWRNSRQSAHNALALPYDNAERVALIKPIKKTAIP RGIAEPLVRRRDTYNWEAAPETKKTGLVARLSQKILAVARSLARDDVRFGLKVGVGAV LWASLAFMPQTRDLYRHWRGEWGLLSFMIVCSMTVGASNTTGWARFVGTVIGTAAAGL NWQVSGHSAVALVPLGSLVAFWSFYVIIARGNAPLGRITLLAYNVSTLYAYSLTQKVE DDDDDEGGADPIIKEILLHRFVAVTVGILWGLIVCRVIWPISARRKFKEGFSMLYLQM GLIWKRGPLAILLRSDCSRSYLRTGEQAALQKYAARLDDLRASAAREFELRGPFPSEQ SRRLMACTHRLLDAFYAMSLVTQRKGHLSEGERALLLYTADERAMLCDRIFHVFQVLA SSLMLEYPLTDAIPSVTRPRDRLLGKIFQFRKEHQHDAAGEGVDGTSNGNDSSVAGSR GLGQLRHVNVQERDYALLYAYALVTGQVAEELKAVAKEIEDLFGVLDNETLLLQ MYCTH_2133010 MADAFAPRSMKRKNVKGLALKAPAPKPPPTAESAARMGAGNGRG DDNTAQLEIGIEFNLDLKPEDLEIIRDLGAGNGGTVSKVRHIPTNTVMARKIIHVEAK KEMRKRIVRELQIMHGCHSDYIVTFYGAFLNENNDVIMCMEYMDVGSLDRISRVFGPV RVDVLGKIAEATLGGLTYLYSKHHIMHRDIKPSNILVNSRGSIKLCDFGVSGELINSV ADTFVGTSTYMAPERIQGERYTVKSDVWSFGLTIMELAIGKFPFAASEQLSDAESAPA GILDLLQQIVHEPAPRLPKSDAFPQILEDMIQKCLYKEPERRPTPQELYDHDLFVQAA KRTPVDLREWACSLMDRDNRKSHLAPQPSPATQELLRSSDSPTYATQQTPTASYRVDP RQAADHANLAAQVDRLYIREWDHE MYCTH_2300154 MSTSMRDLIDGEAELNEEEEDESFDEETGETHARERENILDDSS EEEEDDEDEEEARRIREGFIVDEDEEEEEADDSERERRKKKKRRRIEREEEEQLDEED LDLIGEANPEWERKTQTQTKFKRLKRGHRDEDRGNERPDLAEIFSDDEEDMADERGYG RPSHRAHVDEFDDFIEDDYPEDDEERIQRQEDLEVARPRDKGLAVDTTGLDKDALDDM EAIFGNGDDYAWALELEEEEEDREREEQTIELKDVFEPSQLKEKLLTDEDNQIRVVDE PERFQLDRKPFKEQQTSAEYFKEEARWITNLMWPKKQLPADLHGPFNKAIGKVLEFFI IDGVEVPYVFQHRRDYLIHAKKIRNPDARDNPDAPEYTVDAEKLLTQDDLWRILELDI KFRSLVEKRNALERSVESLKEVGVQDNMLEEMIRQAATLEELQDLQDYLNFQYSAQLK DIAAMGNGVSKEVKRPGAKTALFERIRRSQAYKFVLALGITPDRLAQNALREGKKESS EDDPRPPTELADQLVDDDFPTGDQVINAARQMYAEELFVSPRMRKHFRIQYYSMGIVS CRRTEKGLRKIDEAHPYYEIKYLINHTIRDLAVRPEIFLKMMKAEDEGLVEVHLTLEN EREFRRQLYNEFASDNFSDLADAWNSERQKVLDIAFSKLEKVIAKGVKDSLRTACQDE LLKTCREEYFKRLDQAPLKPKGMVLGTTPRVLTMSNGMGDPNRDPISWTWVEEDGRVL EHGKFANLARDESQRELFAELVRRRNPDVIGISGFSADSHRLVKDVEGIISEKGLMGP EYDDPETNEYRSDLLEVVIVNDEVARLYKDSPRAATEHPTLSPLTRYCIALARYMQNP MKEYAALGKDVTSLLIHPYQQYLPQDKLYKHLETAMVDIVNLCGVDINEAMGDPYTAN LLPYVAGLGPRKAQLLIKGINANGGVVTSRDELVGDPERHKIPVLGPRVWNNCASFLY IEHDSTNPDSDPLDNTRIHPEDYDLARKVAADALGLDEEDVKAETDENGPGAIVRKLF KEEEQEKVNELILEEYAEQLEREYQQRKRATLEAIRAELMGPFEELRKNFAILSTDQI FTMFTGETRDSLCEGMIVPVNVRVVKDDFAIVKLDCGIEGRVEAHEVSYRHSIKDMLQ VGQTAQAKIIDINRKDFVCKLSMREEELRRPYRRHYDYGRGQWDYKQEEEDREELREK DKVTGRTQRVIKHPLFKPFNSTQAEEYLGGLPPGEVVIRPSSKGNDHLAITWKVADGV YQHIDVLELQKENEFSVGKVLRVGSKYTYTDLDELIVDHVKAMAKKVDELMQHEKFQK GSRADLEKWLTTYIDANPNRSTYAFCLDTKHPGYFFLCFKASRNSKVVAWMVRVVPHA YELMKSQYPDMRALCNGFKLRYQSEMLKMQQAGGARGH MYCTH_2300156 MSTLSAGKSDGGGSSPYHVGVLLKRRRKKGQGYARRFFSLDYTT CTLSYYHHRNSSALRGAIPLSLAAIAADERRREITIDSGAEIWHLRASNAKEFTEWAR SLERASKIARGLENSANETVAPGPQGDAASQPAPTARNAQQEEEDRDWQHVESLVSRI VGTRDALRRLVKDLAAEKRPPSNHGAYLPPNTSTVPEDSDGYSAGQLDKRSFWKRKAS APSSPMTPQSFQAATGASLAVPTTPAAGAPVPNGPKSYGALQEGQERDTYENCAALLK DLDSVVVEFSTLLSASKRRRMPLQEAAEPPRRSIESSTSTVEEFFDAEAGDLDKSQNQ VMLINHQSEEETTASDAEEVSIHESSSASSMDEDEAPPTGDGVGSLFPTKPKALSPLP ITEMVARRKTIPPAKVAPPSLIAFVRKNVGKDLSTISMPVSANEPISLLQRIAEQLEY AQLLDEAARQSQAHQRLLRVAAFAISQFSNGRAKERAIRKPFNPLLGETFELVRTESE VPGGFRLLVEKVSHRPVRLAMQADAAQWSLAQSPAPTQKFWGKSAELTTDGRVRVTLR LPDGSEEYYSWNVATVFLRNVVMGEKYVEPVGTMYVCNDSTGAKAAVEFRSKGVFGGR GEEVHVELLGPDGEQTGVSMSGTWTGSLKAAGQEVWKVGSLVENAANTYGLTTFAASL NEITSIEKGKLPPTDCRLRPDQRLAEQGQLDEAEEWKVKLEEAQRQRRRAMEERGEEY RPRWFVKAETTQDGEEVWRLKGGKDGYWEERAKGSWTGVLDLFAA MYCTH_2300157 MTNASTTPPKQRGIRIAIDRGGTFTDCVGNYNGQDVIIKLLSED PANYSDAPLEGIRRIMSHFLGEEIPRGSPLDTSRIDSIRMGTTVATNALLERKGEKIA LVVTKGFGDCLVIGNQSRPKIFDLAIRKPEVLYSTVVEVDERVTLEDYAEDPERTVTK VDVRVGTPEAASADLVMGLSGEAVRVLRRPDRAAVREQLQAVFDSGIRSVAVCLMHAY TFPDHEALVGEVAREIGFRHISLSHELMPMIKLVPRATSVCADAYLTPAIKRYISGFQ QGFEGGLGTKSVEHGKGGKGARCEFMQSDGGLVDVDRFTGLRAILSGPAGGVVGYAIT SYDENTRIPVIGFDMGGTSTDVSRYGEGRYDHTFETTTAGVTIQSPQLDINTVAAGGG SMLFFKNGLFVVGPESAGAHPGPACYRKGGPATVTDANLFLGRLLPEFFPKIFGKNED EGLDPEASRKVLQELADQIYRETGRKMGVDEVAYGFLTVANEAMTRPIRSITEAKGHD TSKHRLATFGGAGGQHAVAIAESLGIKQILIHRYSSVLSAYGMALADVVDERQEPESA VWSDKGDVVDQLKRKMEGLKEKSRQALREQGFEDSEIAFEEYLNMRYRGTESALMIVK PTGEREWDFGTAFVEHHRYEFGFTLDDRDIIVDDVRVRGIGKSFRYNEKSVDEQLKTV TRKDVPADKKHSEADVYFEGGRVKTPIYELGVLSVGEVVKGPAMLADGTQTIVVTPNT TALVLETHVVIDIPESEKDRHSKASEEREVDPIMLSIFGHRFMAIAEQMGRALQKTSV STNVKERLDFSCAIFDENGGLVANAPHLPVHLGSMSTCVRRQADIWKGKLKKGDVIIS NHPSYGGTHLPDVTLIMPAFNEAGDKILFYAASRAHHADIGGITAGSMPPHSRELHQE GAAIKSEKLVSEGRFNEERVIELFYKEPAKHPGCSGTRCLADNINDLRAQVSANQKGI SLIEALIAEYGEDTVQFYMLAIQNNAELQVRNLLRTVHERFRGKDLWAEDFMDDGSPI RLRITIDPDKGEAVFDFAGTGPEVYANINAPEAISYSAIIYALRCMISEDIPLNQGCL KPITVKIPPKSLLSPSDNAAVVGGNVLTSQRVTDVIFRAFEACAASQGDCNNLTFGFG GNVTGQAEVRGFGYYETIAGGSGAGPTWEGTDGVHVHMTNTRITDAEIFERRYPVLLR EFSIRRGSGGKGQHRGGDGVVRDIEFRIPLQVSILSERRVYRPYGMAGGEPGQCGLNL WVRKVKKSSWESSLRKLQREGQGLGNGNGVVQGDKGGQEEEEEEEGDEYEERFINLGA KNSALMAAGDRIIVCTPGGGGWGKVGEAKALEEKADPTQSWKKGSHAAREETALQA MYCTH_2300159 MAPAHDDEELSISLSPSQIRRRRRPGEGQDQSTDNSHHRHHQTT TPRSSIDAAVMASGSAPTTAQNAPLRDKTRTEQRIGAYNIVKTLGEGSFGKVKLAVHR GTGQQVALKIISRKNLISRDMQGRVEREIEYLQLLRHPHIIKLYTVIKTPTEIIMVLE YAGGELFDYIVAHGKMQESEARRFFQQMLCAVEYCHRHKIVHRDLKPENLLLDDNLNV KIADFGLSNIMTDGNFLKTSCGSPNYAAPEVIGGKLYAGPEVDVWSCGVILYVLLVGR LPFDHEHIPTLFAKIARGSYMVPTWMSPGAANLIKKMLVVNPVQRATIEDIRQDPWFL KDLPAYLQPPVEEFLNTGVDPNKAIKVSDIAPHAPPQEQEKLHNEVTEKISKTMGYGK RDVEEALEADEPSAIKDAYMIVRENKIMESTQLAGLATDDATPSPHPDPTMSSTRSVA STSTGTSSARPPYVSKVGILPSSLPVYHKAFMDRERARAEGIDIPEAPIAVPDPSSHT RSPAEQEETLRRLKPHSRSLVPLDDPSRPRGLTPVNPPKKNKPIRWQFGIRSRNAPWE ALLCIYKALHKLGAAWIVDEDYDLSRQDDENGDCSGSRHSRKQSSSSGVDPRELYKLP ADPWHIKIRWETNNLQKHSAASGLGETSANPQVTREGEDFDIVAMRMEIQIYEMEPGV FLVDFKVDGYETPEGKLFEDKEVASPFPFLDMAARLIMQLADAD MYCTH_2300162 MKPAIAIPATLLLVYRAYSKKSLTPGGLLAATLTAIAHAIHPWN LPFALLVVFFLAGTRATHVKENVKANLTLKSGGTSGGEGPRTHVQVFANSLSASIFSL LHAYQLRSRQRALLNSPSDDAGSLCFAWGGDLLVIGIIANYACVAADTLSSELGILAK GEPRLITSPTLRKVPRGTNGGVTLTGLAAGLLGSVIIVTAAMLFLPFCTDETAGRLGG GAPWSTEQRRTLILGLTLWGLLGSIVDSILGGLFQSSVKDVRSGKIVEGEGGVRVLVS AGAGPRGSEREHDATTDGASAVEDTDPTSGSAAGTTPRPDRYNARNKHRRPSFGNEKP SRIVENGWDLLDNNDVNFLMAFGMSVGAMAVASWYWQVPLRSVFPGA MYCTH_2059558 MGNDLAAADGSYPDYLPWSDFQDELDRYPSTVQLTRQDTSLCAI PNFANIPSPSELVVASSRTSINTPETSVMSLEYAAVPGTRDFATAVHSDSAVPEFEAV VLAEAEWNLARCNPPPPPGHCPKTAVVHLRILEQKSKQEDTWSALEEYLEKVEGDASD LAPVVPISSCTRDKLLAITQSFLHKALEIHRRGHNSCRKSGYCSPGDCNFIVLPPPKI LEYFLRSYLRSLTVYYPLVTAGCVDPNEMLQDNQASTLLVLLMIAQGAAAMPLQEARY LSAGLTETCRISLFDIVEKDMGLSADPTTLRCALLFLVLGAWSGDKWLMDIAMGQRGM YMSTAKNPKFDDNAINSEVQWRAWVERETRHRLVYNYVMLDQELSLFHDTAPLFAITE LQCPLPAPEVLWMSADSAQWLGAMQSLNVCTVNGTQPIFSTAPAAQSLHGLFQEFLQD HPLMGQAGLSPQHLRLLLHPLQAMVCHLRQMVSCFSDVFSTPHPAGCPVTKESILHRL DEVQALLQKWYDITMNYSKTNPGCPATHCNLIMYHLVSLNTVADFSEIERLARREEFG GLQWELPIRHGKCIFHRQKAIIHCGQVIRLLRLMPKECRPAWWPAAMYRAMLTLWADS ISRVDPNARGDEHGNIGSQESVLVMIDQLTLEHPALINYLWSGNGMPLLTQPRGTQVG LDDPGRLLTCAINDIETCYSSRIGEGIKRKLISLGKNWNLEVSVLSPSGAGC MYCTH_2300166 MADPQFILSPFLLFFFLLFTFCWFQRGPVTAVLQTHGKQKNTRV SMNRFSDSPLP MYCTH_2300167 MGQYPDGLRGPLVVQDPNDPYKGDYDEEHILTVSDWYHNDSITS VRNMLTPSNTLFQPPIPDNLTVNEGQGLHINFTKGKRYRIRMISFAAFGAAMVHFDSH TMNVIMNDGAYIKKQDAYQLRLAPAQRYDVLLSPNDSDEGNYPFLVSLDINRDWTNSS ERFVWPHNYTGYLVMDESKPLDRLDVVDKWQPVDDAQFQPYDGAAAYSTYQKLMKLDF AFCFDQNGYPRSCFNNLTYISQRVPTLYSAATTGDSNTNPVIYGQVNPFIVDYDDTVQ IVVNNIDTASHPFHLHGHHFQVLYRAASGAGNWTGRDENYAANPPMRDTITVMPRSYV VLRFRATNPGVWLFHCHIEWHVEMGLTATVIEAPDRLRNMAFPDDHIDACKKTGTPYQ GNAAGNIGNATDTTGFITVPPTTYYGSTYPPPNTTSQRKRHTPTQPMLRKRVVPFAKL GWLWHH MYCTH_2300170 MDQGHLAKAFLLQAFHHLKKLIDKMPPAERAMLPEFRTLQQLNE RYHSATLKHAGIDAALLAMAQIVHYINHSEKHCEDITQPHQTFLIGLCSGLWASSAIS VSPSLPDLVHVGVQFVLFAFKTGTYVHSVSQQLSPAFDRSECWTYVFSGLEQDDVVAR LDAFNRVCNIPPACRAYVSAVSEYNIAISGPPSTLDTLVKNEILPAEPVSIPVHGPYH APHLHAEVDVKALLDLDKPETRDALYMTSPRSPIMNCGAGTWFTETDTLSLVAAVATT ILKETLDFTKVLKGCVEAAREFKFEDRRCLILPLGPTQNAGTLKKLLEEEAKLKVKTR MPPPYSPESTASTVGNQGSIGRPKLAIVGMAGRFPDAPSHEALWRLLEQGLDVHREVP PDRFDVKTHFDPTGQKDNTSHTPYGCWIPEPGLFDPRFFSMSPREAAQTDPMQRMALT TAYEALEMSGYVPNRTPSTRLDRIGTFYGQTSDDWREINAAQTIDTYFITGGVRAFGP GRINYHFGFSGPSLNIDTACSSSAAAMQVACTALWAGDCDTAVVGGLSCMTNPDIFSG LSRGQFLSKNGPCSTFDNKADGYCRADGCASVVVKRLDDALADNDRILGVILGTATNH SADAISITHPHGPTQAILSTTVLDEAGVDPHDVDYVEMHGTGTQAGDGTEMRSVTDVF APADRPRPHDRPLFLGSVKANVGHGEAASGVTALIKVLLMLEKNLIPRHVGIKPNSII NQTFPKDLSERGVNIAFHTTPFKRRDGKPRRVFVNNFSAAGGNTGILVEDPPKPPPAK PDPRSHHIVTLTGKARASLLMNAERLLRWMEQHPETPVSHISYSTTARKMQHYWRISV TGSEIGDIQKAIQNALGEGNFSPVKAEQPKVVLMFTGQGTFYAALGKEFYRNHSVFRR SIDGFVKLARMQNFPSFLGLIDGSEPDVSRLSAVELQLGLVCFQMALARLWMSWGINP AAVVGHSLGEYAALEIAGVLSASDVIYLVGSRAQLLEKACEPGTHGMLAVTGAHDAIM EAMGRRALGLNVACINGPRETVLSGPVAKTLEVASFLSPRGFRSTPLKVAYAFHSPQV EVIMDDFEKLAQGVTYNVPKVPVISTARGKVIVADEFDARYLREHSRNTVDMLGGLVD AQRNGTIDAETVWLEIGAHPVLTTMVRATFGTTTVAVPTLRRDEPCYKTVTESISTLH SQGVDLDFNEYHREFSDSVRLLDLPSYAFDERNYWIQYTGDWCLIKHRTAAASESESK PRWPTISTTSVQKVVKVEVDGDVATVEIESDLHQEQLRNAVSGHRVNGAPLCPSSLYG DMAMTVCDYAYKLLRPESRDIGCNVSNMEVPKTLILDETAKSHILRLTVTANAKLGFA DLTWHTGDGKRKINHAHCKVYYGNRDDWQSEFDRAAYLVKSRIDFLQEAEKRGKASKV GRGLAYKLFSALVDYAPRYRGMEEVTLDSATCEATARIRFQTTEQDGTFYFSPYHIDS VCHISGFIINGTDAVDSREQVFISHGWGSMRFTEIPDANKEYRSYIRMQKMKDSKMMA GDAYVFDGDKIIGMTGDIKFQCIPRHVLNTVLPPRGAQAVRTPAAAAAAAAAARPPTT TAAPSKQTSKEPSKSPTKPPNKSAATKTKKKEKTVTPSNIRTVDQNLKSVVTAVMDIL VRELGCSPDELADNVSFNDLGVDSLMGLTVTGKFREELSLDDIDSDVFLEHTTIGAFK QYLARFESRPGRQESVPSRGSEVSPDDESPELDSDSDVTTPPDDSETHSSKAGEPAEA PSADEGAKLRDTLRRAIAEEAKVEVEEVLAVRDLPSLGVDSIMGLAIVGRVLEEIGVA LEKDLFLTNTTLKEIERALGIEDLPKKSSKAKQEPKQQQHEQAPPRKEARQEPKQESK KETKEEPKQEPKKENKKGPEEESKQAEKSRRQTEESSKTGPPVKQHPRIGLEEPAPPK PPRPTEIMDDYPQRTARSVLLSGTSKCSKQLFMIPDGSGSATSYTEIAKVGGDWRVWG LFSPFMKTPEEYVCGVYGMAAKFITEMKRRQPSGPYSVAGWSAGGVIAYEMVYQLTTS GEEVENLILIDAPAPVTIEPLPQGLHAWFASIGLLGEDSGKDGGEKKVPEWLLPHFAA SITALSNYYADPIPKDRSPKTMAIWCEDGVCHLPTDPRPEPYPKGHALFLLDNRTDFG PNRWDEYLDVNKLKLRHMPGNHFTMIHGELVCLSQDHHLRMLRC MYCTH_93342 MASNITFTGVLNFNYAPKVVEVDGIPSHHPVKESCQPCYGMKVK CSGHNDRARPCHQCMTRPSVECFFTRSKRPVRRGANNAAVPAAFPPAAPSAVPAAAFP AVAAPALVSFSQGPVAIPAAPPAIPGFPAPPTAMSGPLGVATHGANPADLAWGTLGPF PGATNLVQAAAAAPAASISLAPGPPRPPGPVPPSQSRLRRFGCEIHRDLYDEPLELSR LVNAPQHAAVPYSADSLLGQNSASLRSCWLVVDCPRCVARPEVVAATYEVALEMYTIY ERVARIAANPEPEPEPEPDPTFPAAAAVVSGPFALPSPPPSPLLPLPLRLSRDAEEFD CLAERVAVLLAPYDQDGAVELWNRAKNLRVIAGWETDESGEETGGIEEEIQDWVGEEE GNLPWSGEVDMGL MYCTH_2300172 MIPIQSPRKSPRNNQQQSPGKGDKRAKPPPPSFFGPKVAAPSSA IPSSSPAFATPAHPLRPFQPPAPAKAAVLPIILPPATLRPLAFRTFTKKHSLTLTSSA LQELATFIGRHCGSGWREEGLAEKVLEEVARTWKNRNGGVIVDGTSPELKDILKTLEG NMSGGKIMSGPRGLSRQNSLMLEPTEDPNHSKTRLGLRPTTTLTRDDSQTSFGMSSVE IDEEPDEEELQDVRRWMKVINAFEQPRYTYNVAKKQFEKIASKPSLLPPASHKTEAFR NRYNAIHQRLLRNEAFQTSAVTSARLTALRRSGSTMQSHKITPVANLLGRHGTNHMLL GMLVILPTGNLAISDLTGTIGLDLSHAVAIPEDSAWFTPGMIVLIDGVYEEDEETTGK GLSGSSGVGGTIGGRFQGFFIGQPPCEKRRATLGVSGLDGGVDHTIGGGFGWIDFLGV GSARAVGPRMRKVEQRLVRQPALADPDSPGRGRVVIVGELNLDQPRSLQALKKILSLY ATDPEGSTPMAFVLSGNFTTHAVMARGGSGGSIEYKEYFDALASTLADFPTLLTTATF IFVPGDNDGWVSAFSGGAAVPLPRKPVPDLFTSRIKRVFAAANAEAGLLSTTEGKGGE AIWTTNPSRISLFGPNHEIVLFRDDVSARLRRSSVRLKSKTAITATAVGDPQPSQTED VTMSGANPTPSSPLAPNLEAMDLDDNTQARQPRSPREEGKEAALPYDVLAARRLVKTL LDQGYLAPFRQAIRPVHWDYASALYLYPLPTALVLVDTTAPPFCVTYEGCHVMNPGSV LVSGRRGVARWVEYTPVGGAGRGGRLRECTF MYCTH_2300173 MFTRRLFHARELRNFSRTVSTLASNPSIKVFPNPQQPNSYLLSY LDTTPPNPSLAIGTTTAVPPTPNSFQSNPRFISILNDVLAKHAADDPGLKSQARAFAS PGGFTFIQARNQRGTGTGAGGASAEGGAGGAGVGGWVHLSDSRNPPDFGRIAWPEDIF GSVEVNGKGEIIGNYQASGTYRIVTNQGILGLSPFLQEKLIARLREEESKS MYCTH_2300175 MPYNKKRQAGSESDAEQQVTKKPKGEKNAQKDLKQGTDAEGNPY WEIGNNRRIGSSKFKGSTLVNIREYYTAPDGELRPGKKGISLTLEQYKALLRVIPELN EQLRSQGHDVGSIPAAEAGASVVKRAKPQKPNIDATSDEEEDDE MYCTH_2300176 MKQEDASTPGAIPAKMEEQGGPTASPVKTEACPPQKSTGASSEE SLSTKVFSFLATATPETLGGVAVGLAATTYLVLGRLGLVLIGAFGGIVSFIQWEQRNA EVVRAVRGERGIDVVARLLTARKGSEDAAVEEPSSSDAESALVRSFDDLQPETREALN ELVDAVIDNYVKWWYSPIVPSDRSFPLACRKTLTTFLSSVSNRLSRKRPADAFLDFLT NSSSILVVFMSELSNAFSELPVDSKLNAADAVYNYLASHPESNLATLLDKKQQAHKFR LAAEDMLGFLDRSTYECDPVRIFLREILAGVILETTLQSCSKSEWINAWIVYLLESGE PDLSQAIDVGMQTGPGSTDVVFADLDGNVGNIGLTRGNRSSLELERARRKESMAHKKK LSKAEEEMELAMEEMKRLNQMIAREGAQSGAQSNAQAQQDAKSERNRSPAEASERLND ALERHANAQDIRKDSTETQNLPQRPDTSSGDSKRASSDGSSSRNEAIHTPATPQSGVT PSSKHDSPPSATEGSRFTSFDQIVPPARDEPEAEAKEAPKPPLTLHNATITVLDEPGD GRIRNKPSWDYLVQIEPATTLYPGWMIVRKYSDFETLHEVLRRIATISGATLFSERHS ALPSWKGHTRTSLRSELERYLRDACAHQTLAESEGLKKFLERDNGHIPSAPKTGFQAF EKLGKNVLDVLTSAPLEGSKAVVGGVTGVLGNIGLGQRKPAPSAPSPPPSALQDVTAA SRLSISTLPRVDSSASLSGMRRTRDSLDSQRSSVIALQPGKVPSMDRRPSNNSQGDAE PDSRRFSQASARNSREFSRPSSRAPSRAPPMRSPSSFSFDEFRLPPPPDLISDDYESP VSPSNARPHDSHHARSLTMPALDTQRRLNGPPLQGKQHSKLTEQETRVAVELLFAVIN ELYTLSSAWNIRRTLLAAAKSFLLRPGNPSLLSIQSLIQTTVLDANTSDAGIAAHLKK LRENTLPTEQERAAWPAEMTSEEKENLRVKARKMLIESGVPAALTGVMGQAATSEALG RLFDCLQIEEVARGLMFGVILQAVRTVTH MYCTH_2300177 MDRTCGLGYARFDFPPGCKQILRTKDLPKYFGRHFQLEDVIHKD RATVSQP MYCTH_2300179 MGQDAKMRGDETGSADDKVETINVEDARLQRMQPPEFIRNLTPE ERARLEKVLKRKIDLRLLPAIIIMYIMNYIDRWVIRLISPSKKLIKKWGVRTNAEVGN LLSNNIAAARLAGKVGLEEDLNLTSTEFQTSVSILFVGYLLMQIPSNLFLNKFGKPAI YLPACMTIWGLISALTAVCTNAAGLMANRFFLGIVEAAYFPGCLYYLSCWYTRKELGF RTAVLYSGALISGAFSGFIAAGVSWGMDGARGIGAWRWLFIIEGAATIAVAIACMFVL PNFPRTTKWLTEEERTLAAWRLQEDIGEDDWVNSEEQTFWQGAKMAFLDPKTYILTVL LFCIVASGTVTNFFPTVVNTLQEGALGNKENKNISLLLTAPPYVLGVIVTYLNATHAD KTGERYWHITIPMWVAVIAYIIAATTTSIAPRYLSMMLMVPSVYSGFVVGLAWISNTM PRPPAKRAAALAFVNAVSNCSSIYASYLYPKSADPHYTVAMIVNCCTAFIAICTATVM RVVLVRLNKKLDAGIWVEGAINAVPGEGAVNGFRFKV MYCTH_2300181 MIESENLRTASLYINNQLLSRGLLRDGNGIDFAHPGDTDAEVAE TMSRVMAVINDLILRRDRDAEHRESLSTTLRTLRAESLRQANDIQRLQEKYAEAQRKV GLSEAAEAALRTNLKASEATVHRLKEEAARTKSLVAQTRASCANEVRKRDRQIESLKK AVTEAARARGAGKGTGVTSITVVGDTGSEAEHGSRPCGADGQNCDLRTETNAFLAELA RSLSEENEGLLQLIRRTTEQLKEMSGWDVVNGNPPENGDGHALALASNPADLSIEVDA VLEHLRTILTNPSFVPIEEVVVREDEIHRLRDGWEKMETRWKEAVHLMDGWRKRMQAS GRPVNVEELKMGLRLSPVKVKNVEETSHGYALRLGDGSENEEEEDDPQPHCDEGPLDL VPHRGADDDGSDASSMFDHDVDVDDLDVEEPNVEILQQSIMLPSAPMPSPPELSPLRD SFTAANRGERPHNRKPPKGFPTIAEENARSIDAEEPPLPPPHLERLQQSPHRKPILSL RTVPPEEAAKVELPPSAAVSTPDTSVETMPLNKAADERTSKKSAAAAPAAKAQKRVPP TTRNREPSKKVDPVKIAEAAKKVEPSKRETTRREVIRKEEGEKAKNTSKPPAKPRPSR PPITRGRSESRPDEHSSHSARRPRAASATSAASVRSTGTNRSTANRSANKSPNKSANA EPAKRPPSPSAPAAGPSPAPSPSRSPKRVNSRLPLPRPGCNNALPAPQQSPLNMEAIT AKLAASEREADAARVRAKLKAARLGKGVNLPPSGATTAAGSTEFADTDFNPADAATAA ISTRSESDSATTGTASTSASTSDGAPPSCTSTATTATAAGDEDADELGFSPPVKAPQP GRSQAQKQHERRRQPQPQQQQQQQQQQQEQQQDREREQQQRQHQQQKQVVDTDPKKSP VRKRERRTSRVASRRRSTLNPWELDALIQGGNVTVGR MYCTH_2300182 MPSGPATIGDFSVLPVAIPPIPSFPHNVVHYLYVRRHTPKIPTP TDDRSLFLTNVPADSTEAHLRALFASLVGAGRFESASFESKRKDAHSQTQSLIDAAQP AHAARLLQAHGKKRKREDEEAERAREEAAARLPSTWTRPLRRSGNTAVVLLADEKSVE QVLRAIAKTHKTKKYPTWGADDGAGGGDVLAGKVPPLGSVWLKAHNRLSYPDKAALQA SVDAFSTLFAQREQEAAEIAKRLRNEPDEDGFVTVTRGGRAAPASRTEAEEAKRRMLE KQEKKKQELTNFYRFQLRERKKEEQAELLKRFEEDRKKLEAMRGKKAKFVPES MYCTH_2300183 MPHKVDAEHLQEVDLYAPFTSDTILEVRTGKMKPLPGLAVQSGI DKTPREGPVRVTELGLEGDEHDPTFHGGVDKAIHGYCSSHYATWRAEYPSAADAFRPG GFGENLVTRHLNERNLCIGDTVSISPPDPGPDAEPPVLLQVSLPRQPCFKLNHRFRLK NFAPATWRTSRTGWYFRVLRPGAVRAGDVIRLVARPHPEWTIDRVQEYLHRNTGDPAM NEALAAIEELGAEARDAFRARVVRHRARERRKAREQAGEGGENGNGDKERQRWREYRV VERTRQTERIVSFVLQAVEPLREDGEEEVQLRHGAHARIRLGNGLVRAYSIVDGDRNR FQLGVALDEKSRGGSRYLHEIVQVGHTVQVGAITNSVQVATAASNHIFVAGGVGITAF LALFEHYKRIHYSATLHYAVRSVEDVPFRERLAKLGDDVVIYDKAAGQRLSIRRIIEG MPWNSKLYFCGPKRLMDEAARETKAHGIAEKEVHFEAFEADVSGDPFEVVVANKGGKT IRVGEEETLLECLQREFGEVDSSCCVGNCGTCRVDLKEGRVDHRGTALMEEEKATSML ACVSRGIGRITIEI MYCTH_2089701 MSLMQLPYELVALVIRGLDLDDIRNLSCTCKGFRFLIQESEMAK RLLETRAPHSAEARDARASKKYASGLRRLLKRREALASVSPYLVAVVGFAQEWIYENG VLCYCRGRELRLLDLHRSADAEIVVDLRTLVRRALPDASAPHKHELKLLYYSHGIVSC LYSLIRGGRAGRRDFLIAFDASEGQVITAVELQSTSKLFVRNNDLFLYYGTTTGPDQD GDEYWAIRGFDITASAWIAGQLNVPEAIGTDIGSTVCFEIIDGYFYGLSNLRSLAVEE VDWVSYYSCFRFPLKVDGFASLHALDRAPELWRRDHTEGPLDDRWTFLRLLKDEGTGR LMIIESRKEWLEGSITPRRTYYTSLIGFDVMAGPIPDTHAAKRRHGGGPRRWPRDPHL VHPGDDNSAFNITLARCPLRSYYPACQTFIDLVDDSSSSDPREQKVRIRGGTRRPWTP GELTQSGRQETAERGEGLEALLRQIDRIYRSDTGLFWPPDQEEDPSVEDPALADLYAV LNPPGYVGNLHGSWDERSTVYATGGGGSGGLSALVFVSWDPAIYLAGVPAFPGNLAIR RPGSWAPSCATKESPPAKYKSVGTARRHSTLLPDATSRPTASPCSATPLRDGISGGTQ VSWRRIQPAMYREISRGYHFAL MYCTH_2300185 MSLRGFQKGLARAPQQIKVKLNIGEHTKDPVYIDAERRFQDLET ETKRLHDESRKYFEAINGMLAHQIEFSKAMTEIYKPISGRMSDPDSLVVHGNPEGIRA CEEYEAVVKDLQETLAPELEMIESRVIQPANELLDVVKVIRKTVTKREHKKLDYDRHR ATLKKLQEKKDKTAKDEKAIWKVENELEQSTQDFNYYNDLLKEELPRLFALEREFIQP LFQSFYYMQLNIFYTLHERMQRMDIGYFDLTLDVEDAFHKKRGDVQERAEALTIVRFR TTGQKRPPKYAKPPRPGALEGPKTAGLLTAGGSPSASPSTPELEPATTTTASPAPTAS YRRPSWQAAVAASYSSPDSNPPPPYSPGPGLAAAAAAAAKSKPPPPPPPKPRALSAAP KVETVTALYDYAAQAEGDLSFRAGDVIEVVSRTRNENEWWTGRIGGKEGQFPGNYVRL NA MYCTH_2300189 MANIAPSVLRQASRLASRSSVSTASRRGIRVLSRAAPAPARGDC RRCYVTQSKSDNAQVQTDTAIRLDRAELEKAGATLETTQNGSTTLVSPMADVLKQAVK MDEGQRPIYLDMQATTPVDPRVLDAMLPYYTGIFGNPHSRTHAYGWESERAVEQARSH VAKLIGADPKEIIFTSGATESNNMSIKGVARFFGRSGKKKHIVTSQTEHKCVLDSCRN LQDEGYEVTYLPVNSNGLIDLDKLRAAIRPDTALVSIMAVNNEIGVIQPLAEIGKICR EHKVFFHTDAAQAVGKIPLDVNAMNVDLMSISSHKIYGPKGVGACYVRRRPRVRLEPL ISGGGQERGLRSGTLAPALVVGFGEACRIAAEEMEYDHKRIKALSDRLLKGLLSMEHT NQNGDPNHFYPGCVNVSFAYVEGESLLMALKDVALSSGSACTSASLEPSYVLRALGNS DENAHSSIRFGIGRFTTEAEIDYVLKAVKERVGFLRELSPLWELVQEGVDLNTIEWTQ H MYCTH_2124857 MDRSSFYSYMCELWVSYLSSRQTANGRYHVRQTNLNEATQVQPL TFRRWLDNVWAFTF MYCTH_93330 MATIITTLLCDAHIATDHRSADSSFRRGLSPIAREACEIVSRIR RQGTRSPAAAAPADSSRLRQILKRMPKGALLRAHCHALVDVDYLVTAALDRPGMCISC PVGSLAGQHARREADFGIHFRDKADPDRSSIWAADYKAGTFVTLSKAANEYPEGGRPG FLEWLKGRCRTSMQDCHLGRGGMGSTVGRGIIGGMIYYEPMWRTFLQRVMTNMVEDDV YWLEMGLTFPLAFYSEGSEAPDRNYDRMFQAIDEEVAKFQSTSLGRQFWGLRVVWSTL RSQESRAIVNDADNCISTKLLWPQLVAGYDLAGLENLGRPLADSLPELFWFRKRCAQE DVQIPFCLTAGASPGDDGDVATERNLFDALLLGTRRIGNALALPQHPRLIEAVRDRRV LVEICPSLPAGASRHYPLWVLLAHGVPCALCDDDSGILFGQGRDGASRMTNIFWRALL AGDMADLATLGSLAENSVRWAAFEDQDAETWYVRPKPPPPSPPLPSSAVSPLCSAVAC SASRQAKNPVA MYCTH_2116566 MTIRKISSTEADKTGTLSVAPAGLPSRNPSKSYWLREPSRTLLG HRGTPDLPETADVVVVGSGITGAFAARFLKEGPDRKGRASDGGRGDLSVVMLEAREAC SGATGRNGGHCQPLVYGSVPAVAAFELEVCDFLERFVREESVDCDWVSLTGVHAFLSE NMFELAAADAENLKKSHPELAAQLEVVRASGGTETLASLRVPSAQGAIIQKKAASLWP YKLVATVLERLVAKFPAPSFNLQTNTPVTSLSRAESGPGWALTTPRGRITARQVLLAT NGYTSHLLPAFSDLIVPVRGQIGALLPPRPDPSAGKPPAKLAHSYVFAADPEPGSAST APRDDYLVQRPLPTGGELIYGGGRRLARGLGVGEWRDDEVEPEVARYLRRELCPPLDL SPTAGTAEEEEEEEEDDDEGKKSRGLELEASLEWTGVMGYSRDRHAWVGPVPESLGGG GPEGGLWICAGYTGHGMPAAALSAREVVRQMLASGDGNSAAREEEEEDGKVRLPREFV LDEERVERARRLPQLTQGWEATNFATLFGAGSSVPPTGAKGE MYCTH_2300192 MSTEEPTLQRRASSRKSVAFTEEKLVVDADGSVTMVATPNDETK ETALSHTPRTPPLTAALGAFTDASSAPADGAAEGAAPAADSNPDGLDLSLMKKKKKKV KKDDDEAADGAAAAGGEEAIDLSMKKKKKKKVPKEEDEFAKKLEALNLDGGEGAEAPA EEGVQEGDMEKGTGIWAHDETRTIAYDPLLSRFFALLAQKNPDHALTGTRSYKIPPPQ CLREGNKKTIFANLPEICKRMKRAEEHVTAYLFAELGTSGSVDGSRRLVIKGRFQQKQ IENVLRTYIIEYVTCKTCRSPNTELSKGENRLYFITCNSCGSRRSVQAIKTGFSAQVG KRKKMKA MYCTH_2058204 MRTPSASSSFVIPEVPPSSSSDRDDDIDSLPSISSSILDSDSET DSSDAQREWEASLEQLQLLLTMIILPFAGKFLGRKFAYWSWARYMEWMHNVEIRWTSK SAFNAAGAAEAASSL MYCTH_2314426 MQKIQLILRAVQLLFIIILTGLIGNVIASNEDAASSATAAINFS MFVIALSWVAALLGLAAGLFERIVLPVLVILAADGFATLFTFIDAIVLAAKLGAVNCS RTQGHAASWIAYGSHNDEKRCREIQASTAFMWFLFATFAAALVLSFLSFRRGGGSMRS GPTMSQVRV MYCTH_2300199 MASFDLEKRAIASAEGNYVSQTFKENTPRRRGFHWLALLLGTLS IFSIAVAASRRCSAATSLFSSYGASRTSRSHSAPGSQPGYNRLPGRKAWEATTATTSS ATPHPTVLKTFEVAQPVLMPDGPAESDGSTRNATDYSPELCTVLLMRHDFAWSYDAPF MGEYTPPDCEFNRVVLNFSVVSEGRQFDRLAIMYFGDTEVWRTSTAEPTAPPGISWTY LKDMTQYLYFWKSPQKIIFDLGNLIDDKYTGIFNTTLTAIFYNRDIKTDQAPPSDLII PISAHRGANNSISRFILPTENATNTITLPRNIRRAVFSVSANGQASEEFWWSNVLQTD VDTFSATAGELPGLSPFREVQVLIDGRLAGVQWPFPVIFTGGVVPSLHRPIVGIHAFD LREHEIDISPFLGLLCDGKEHTFTIRVAGLNNTSHTAATLTNTVNESWYVTGKLFLWL DSDPSSITRGEIPIIMQPAPAISTTRSVTSSLINGTTEANETLTYTTSVRRSLRITSN TASWTQSLTYTNKGHVSAFGYTQFNNLLISGSDTLRIASPSVRYHATYRYPILCNSTY SVSPQGNLSISAYLSHGKSVSVSGASVFPTGLEAFQRYAGKGSTSRVETTKVGTAEFR QTGDGRSSTGWGEAKQWFWFGLEEAVVEDGGSGTGANPGTGGDVESPEDRRGKELELY YREVEAVNGTVVGDLRRLDGKAVSSGSGGDGEGQQKVLVNAAAMQYA MYCTH_2300201 MSQLRTARPASARPRLALTRAPLPKPRRPFHSTRPRRADSSQKR GEAVPEEPLSLSARLKKLSREYGWSAVGVYLALSVLDFPFCFLLVRTVGTEKIAHVED IVIRSVQKVIPERVQNWWREYRQAARELKRQRTGGVDEVEVIGHGVAEAEQRTRQEGA SLATQLALAYAIHKSFIFVRVPLTAAITPKVVKVLRSWGWQIGKKAKR MYCTH_2300213 MSAPPTQTHFSYPPPPGNGQGSVNYPPPPQAGPAPTPPSGTSSP SDPPPPTPQQQQQQQHYPPPPQQQQQQQQYSPPPPQQQQQYPPPPQQQQQQQYAPSPQ ASPSPMQHGALPQHLRTPSTVSQGSPNQPQFAPPPSYPVQDDKGTYPPEKTQHLQQPQ PQLQPQLQPQFQPQLQPQVPIIDPTGTPAAGHFVGASTIVDDVGTFNGGSYRISHRDC NSILTIQLAIGCPIEARPGAMIAMSPSIVLKGSVKFSVKKLVAGGDMGSSTYTGPGEL LLGPPMLGDITSLRLTGQESWSVSHDGFLACTQNVVKDYKRQGLGKAMFSGEGLWVYK ISGTGLLWLTSFGAIIRKDLVEGEKYIVDNGHLVAWNTKYVLERVASGGIISGLASGE GLVCKFTGPGTVFIQTRNAKSFTAYMGGQQVNA MYCTH_2300215 MAGYTKHVSSIKGAPRLRPCGLKTKTTQGIASNDWRKRATAASS TEASTKIHKQAYDPSDDVCIRLDLETDESFSGPQFRFPKEKKVAWENTVSAPVWQLLS SSSSTSGDDAKEVINVQLKQAKPILASVNGETIALPNRFSFDVVAANQGNMSPGPAVG ELRRLNGFTAFRRISSQDPDDLFVELQKVVNGSRDVGNPATSVSSASSHLRESAEEPS VQGKQRHLGLGGSESSASEIQPSNASTVRSDEEELRFQRMLDRLQNNRRHPSPKPEAG VAAAPSRRIFDPAIMALKVKNEAEVLDRSIHLGQSEEAANTFFAQQLDQMRKLERQGS NDSGYGSTDRSDDTDGRSGAGLRIEQRLNPAAAKFKSAAQSDAAPWMPPKKMSRPPLT NIFPDAMLSHSITPHAAAKGNTPSRSSQPTLASTATAISHEHRLVNKSGNVEAPLSRS QPISRPFEFATPVQGAIPNGLPVGAYPGQIISHAGVAATMSAATMASPQVLTTTNLPA TNAFNTFPPTAGLQLPVCTQSAISGVNVYPPHAVSFIPVTPVPVAIGSNGKPARPYFP VTTKPRDHDPVKQQLYEAYLEWRKANEPGYHMKCKMRQANRVMRQYQQQQDPTLTKDP ANWKAIAERAKAAVGAAAAAAAEEKKRREELVRQEMKAKVKDLSRDSHGMVRVGA MYCTH_2300216 MAASGALVPGGQPDLTLDANQKRDTEIKAALAQGQELAKNGKYS KALRVVVDAVNLCPCDPAGNGKQRHGKDKSCNISQCMAALKSNDPDALYQVAKGPCSC GYSWPSCSIPTHAVALDALADCLFKAEQHTAALSTALATIRLDPTSAVGYCRGAKILR HLLKNASITQSKPAEARVVAHILSGDDRLPSILDLRRLLDRFIQVGLDITSRYRHKLK DSYNVVLQRMAYHMKCEVARRDPVKELPSEVLSMIFSLLDTTTLIRCLGVNKQWNQRI THDSRLWADLRLSRPGSPGRHFPAFLQKHQRDIKSLVIHDVSRFQLTTTKIHQILQGL PRLERLYLDSGKRYPNRSEIHLQLPRAPTPSSARLTQLSLVSFNLVKPVMQLLSFSWD TLTVLNLVNAGPFVSRQSAGTSNPFDAIRLAKLKKLRITQGDPTVPSGQLSWEDNIEM EPIVMATPNLEQFHLDGFLAYWRRGEPRIEKDGQWLSLRKLVLGSLRTPDDTTIDDWN THFLPPLPSTMESIEMLNVSPEIAHNVMFTLKLSDPVTNDWAPPHEEYEARHFPNLEV FRCISGVLHHALLQRVLEPSARAGKLKVLELAATSAPGFYPRTHPAGSQLGSDFVPAR DLRSLASENLHTLGLHDFNFFDDTSNPHASIYAFCGDPFIDWLDCFPNLHTVAVYPGR WEGVAYFIAKLILHPKVKVIHQDYLRGVHWYEAQQLAKEHGVALHHTPNHLPVGWPMI ED MYCTH_2300219 MLLVQPFFVLFFFLFLFWLFHVSRADTLRLDPTGYNVCKRAVEI RLSSGAWFVWQVSANRSWMFTSFARALP MYCTH_2300220 MCYQLVELYSACRCLYYQHAVDRCAAYGRPGHGIQMRTILVGYA CSEHTSHASGYDCSYSPQYSDSGYHSGRSSKSSRGHYR MYCTH_2300221 MLSASSSNNHSLFSFGSRNGLSADVTDSAASFDFLPSVSFDDLQ SSLESAAEFKLTQFPSPTGQGTILGSGSAADHSMVERPDITRNARGIHASVQQPAATR SRTGSILRKPSTSSRHASLTAAAPSNPGAQNAPSAPAATRSRRQSHYPPVSNASIARP PRKSTGDAGLGLDFEPRKRRPSVASLSDRNGLEPPTRSSVDGGSRPTGDLLRAQAGSR SSKARSVQPPPRASQATLTPDTSARSLDQPRMPTALPRSPRAAVKGASLACSKRVSVM PGAPQLPHVTGLGARTISPTDTRRLKRLSMHHSQSQTPAPVLPNPPPLAANVRSSSRS PSMLPRKISTPSSSRTTPDPNRKSYSSGLSVNSNGSFNNTTAVRTSTASVGHRNSQLL NSRLPAPKALASHVIAGRDDEEYVPPVPAIPKAYESPKDPLAEAPSLEKRKSNLSHDA SSVHSSNSTPSISGALNDGPSAKLQRRPSNRKSIHTSKLDLESKPVAAHPKKSLQPLR LPPLTLGPLSTPTATKIAALQDKGSSERDLSPPPTRQIVKTPTTPMTASKGSFFSRTR LEERHDIQHLRSSSSVHRLRRGTPPPPPEPASSSDSFAAVKNGDQRSGPSPFLSSSVP KDGHMEVPFLKRSKTGGDLAAPLDAAADIPTPTHQSKPSGPRAQKPVTTRQPKSPPPF SSPEEPQTSSSMSSLRRKLSLSWKRQNKNGSTNQPQGEKAESKPAKHDSMPPPRIPAS ATANNIPSAKPASPAPSNKSNGTGTYLESRRRKSSASSLNAIIAQEQRGRSDAAAKKE STLGTVSERNAAPQNPPVVQKGLKPRHSTAGLRHHDVWTAELDSYDLIAEEEMKKLGM RRKDTELAARTLDALRKRATPKERVSPQEAIRIAVLNIYERGEIVDYKDVYFCGTQNA AKVVGDPQSDSPNFGYDDERGDYAIVPGDHLAYRYEIIDVLGKGSFGQVVRCIDHKTG VLVAVKIIRNKKRFHQQALVEVNILQKLREWDPQNKHCMVNFTHSFYFRGHLCISTEL LDMNLYEFIKSNAFRGFSLKLIRRFTKQMLSSLNLLKQHKVIHCDLKPENILLRHPLH TEIKVIDFGSSCFEHEKVYTYIQSRFYRSPEVILGMTYGMPIDMWSLGCILAELYTGV PIFPGENEQEQLACIMEVFGPPEKHLIEKSTRKKLFFDSMGKPRLTVSSKGRRRRPSS KTLQQVLKCDDEAFLDFIARCLRWDPDRRMKPEEAIRHEFITGQKTSVPVPRSAVRDS SPSKRVNSIGIPRPLPEPPAAAKTATSGPLRSRDNAAAAAAASTAGGTLGANYGPGKP STVPPSSGGGGGQTTTRRVSGMNASAGGVKRTSAGAAATAGSGGNNNNNTNNSGGVNG GANAASYSGVTSSVSNSSLPRASIRSVSGGLAGLGVGGGGAGGVGPRQDLAAAGATAA MSRHA MYCTH_2300225 MDEYATGFLNVIEEDAAPEVEEQDDEGRREAAETPEPDSAKKRR SLRDGIFRAANLQDRLLEKLVSQVIPADDGHGQVPDLGGGGVPAFAERPGFSLPLMTT NFRRFNARIGVVFKFQARVLRLLSWRRPTQTLSFLAVYTFVCLDPYLLFALPLAVALF GILVPSFIARHPAPAQDADRLRNMSYHPRGPPLAPARTVKPTKELSRDFFRNLGDLQN VMEDFSVAHDKIIALVVPKTNFSDEALSSALFVLLFAAFLLMLIAAHLLPLRFIALVG GWAGVLSGHPAVARWLQQAKLEYLEPTTGPPSAPRDSQQQQQQQQQQQQQQKQQQQQQ ADTPQEKKRGSALPFPAFSLQELIRRDIQLDSDPETREVEIFELQRQSPTTLEWEPWL FSPSPYDPLSAARLAGERPRGARFFEDVLPPPGWEWSEKKWALDLWSREWVEERIITS VEVETEGERWVYDMWSGERDAGNGGGGGGGVGGSSSSSSSSGEGEGSKEGGGGGQGKA PAAGPSANVSWEEGEEGMGRRGQWRRRRWVRMVKRKKVAGSG MYCTH_2300227 MPSPAPAPDTRPHRDTFGKVVCNPEDSEWRPLPSPAHMVHFRSS SQKDRPAMATQPEQPASSSSSSAIPGAGLHPNSSSNKNNNNNNSSSSSSSNNNNNNNN NNNNSNSNNHGYHSNNPAAPLWQSPAAIPPRTSSTNSTAAAALRNLPDHDWLPGYAAA TAAAAASHKRQRSRKASVVGTTSFPSAAAMSAASQPPPDPNRFATEDFSNRRTWSEEK DKVLMGPFDYLNGHPGKDFRSALVKAFDAWLEVPPESLDVITRVISMLHTASLLVDDV EDNSQLRRGFPVAHFIFGIPQTINSANYIYFCALQELQKLRNPKAVSIFAEELLNLHR GQGMDLFWRDTLTCPTEDDYLEMVGNKTGGLFRLGVKLMQAESRSLIDCIPLVNIIGL IYQIADDYHNLVTSQYTANKGMCEDLTEGKFSFPIIHSIRSNPGDMQLLNILRQKTES EEVKRYAVKYMESTGSFDYTRKVVDVLIERARKMTDEVDDGRGKAEGIHKILDRMVIK D MYCTH_2089733 MVSNAPVTGVDPEVPSEKKKGDVQNKFNSDDSSTRIEPVPATYP PDKEEKEGSVEINYHTLTWWQAGVVLIAETVSLGILSLPAVVATLGLGPGIVVMVFMG LMATCSGLVMGEFRKQHPWVQSFGDAGEVIGRSIGMGKFFQELFGWAQTIFQIFVMGS HLLTWTICLNTLTNSAACTIVWGVVGLAIFWLLNTPRTLRAAGYYSFASFASIFTAVM VTMIDVGIEKPIGTTSIEASRTIGFTSAFLAVTNIGVAFSGHSCFFSVMSELKQPNDW PKALMLLQVCDMVLYIVVSVVIYVYVGPDVPSPALSAAGSPVVRKVIWGIAIPTIVIA GVIYGHVAAKYIFVRVFAGTKHVVKRTTLGVIGWLGITAATWIIAWVIAQSIPVFSNL LGLVCALFASWFSYGIPGCLWLWMHYGNWFSSPKKIAQFSLNALLLLVGLVLCALGLW SSGEAIAQDSGSDPWTCKSNAAS MYCTH_2300230 MESLLSVAFDNLSSFDGSKIKKGLKQVEGLLAQICLSGPSSPRK PSAARGRDQEQASRKGLSDLSGDPAFCEFFKLQEGFEWNIAQRLLTTLDWLVVRGGDG QYDLLIVNALDLIQGVLLLHPPSKVLFARSVHMNLLLDLLEPINCPAIQSAAIITLVV ALLDMPQNMRVFEQLDGLLTITSLFKSRETGREVKFRLTEFLYFYLTPEAPSIPRAEA RMSAGAPGLLQPSPSKLAKVFGGNRNGEARADRPGQGRGKGGQESDATLSVEEKKRLV DRYLPGVVDELLKDLDTYKPFGGVLS MYCTH_2077114 MAALIRSLPAFGWLLAAVAGAATTEHERFAAVETGAVLAPRLYH MGPASPLEKREDGCREGWHPCNDIGPPGENACCPNDQYCIVNPSDTTTAGCCSIGARC RGSPCDPTAYQCNKTTTITAQGTPSVSLIPACCGRVCTGTSEFQCPTSLGGGCCKYGS RCGRDKQCIFTLTEPSPTPTPSTSIDPALIPPPPGCETGQTSCPASLGGGCCPATQSC TLITGKAHCADNPPEPTGSGVSVVDGADGGLSPGATAGVAVGVVVGAGAILGLAASWW CARRRRKGGGMSEATGSAPASRPTGVVGRIVGGSGGAGSAGREISDVTSDVASRSGRF GGGGGGGGLVQDYFGPAPAVGPYSETHTSSPVTTPGLDRGGVPLQPHEPGDIAVPVEI DSRLKGAEGPPAGLAITPRANAPHESEDVQEHYELYGSEVGQISPTLPSPYGEGVPSP HERPS MYCTH_101107 MSTTNSTKWTITAIVALAAAAAPMGSSIFLPALPSMSVDLDASE TVTNLTISFYMLAMSIFPLWWSSFSETLGRRTVYIVSFSLFLIFSICSALSVNIAMLL VMRILGGGASASVQAVGAGTIADIWQPANRGRAMGIFYLGPLVGPLCAPIIGGALTQG FGWRATMWFLSIYGGVMLLMLLFCLPETLAKPPGGAAAPAPAPTTAGAVSPALQRVPT TTQSIKLRTRHTAVLLKRLLLDPLSVMLYLRHPPVFLTVYLASIAFFSLFILNISIQS TFSRPPYSFPPIPLGACYLAPSTGYILASTLGGRWIDYIMAREARRAQRYDADGKLVY LPEDRARENMWLAAALYPGAMLWYGWTAEKGVHFMVPCVANFFFGFGSMLVFGVTTTM LTEFMPRRSSSGVAVNNFVRNIFSCVGSVIAQPLIDAMGNGWLCTMVALFALLTGNAA VWALRRFGPQWREEMDRRLRQGK MYCTH_2108494 MLLLLLLLLLVSWLFGNLIGVIFAALQNHRVLFVPGVVLVSRHG SDPGILRVWARRSRSGSGRGRGRGRGWLTVRRAVDAQVPGQNRKNQEEKRQNPPPTRE CSCRTVSLERERDIEAGNKQAGASAPLIGRHFGLILRPYPYLG MYCTH_2300236 MSLTNASPADAAAAAKSASHVLATLSADARNEALTAIHAGLAAA RDDILAANARDLESAKKAAADGKLSQSLVSRLDLGRKGKWEDMLQGILDVRDLDDPVG KVTLRTRLDDGLDLERVTCPIGVLLIIFEARPEVIANIAALAIKSGNAAILKGGKEST ESFVAIARVISAALDRTRVPNDAIQLVTTRDVIPQLLALDNQIDLVIPRGSNDLVRYI KNNTRIPVLGHADGLCAIYLEPSADPAMAAEVIVDSKTNYPAACNALETLLVHEAALA THLPTVAAALAAKGVDLRCDAPSKAALAGVPDLTIADATEQDYSTEFLSLTLAVKTVP SLADAVAHINTHGSHHTDCILTSSAENAEQFMAAVDSSGVYWNASTRFADGTRYGFGT EVGISTNKIHSRGPVGLEGLMIYKYKIRGHGQVAASYGAGEGKKQFLHQRLPLE MYCTH_101105 MATPLTPTPSAALNSSTPAGAGAGHGTFDTARKPVDNAENRNSS DDGDSTPFLPHGRDSVTSPTQRGPSPPKPRPGSRILSGSELSPLKILQQHQQSQVQAQ TQNQPSPQSAGEEAPSSAKSMPPPPLPQSPRKPAPIKRFPVRVSQASSTASESPRRSS EDRRSSGERQFTGERQASSLPEAVRENEGLKHVIDIFEDEVNAMNDEPDDIDHDGDHT MSMEHDAADGRSHAAEEEHSAADDTMMSTFSAFSTVPNLTMLGQMRSDSPTKLSTVGG STPRVGGRPEGSIAARTPRSNGSYDAGNTTTNLMDFTEQMRYGAYGAQPTPSRRGGHL PARTPDDAATPQRNNLVNLLDFDIPPAPTPRSIPTITPRELESLKSGFLSEISSLKAS LSGKEAEVLSLKTAVGDAEKRVGECMEQLREVQGMLEALQAEKDSWERRGREMEAVLR KVKEEIVVSQREREELEFKLDEAEKRREAAEMMAQDAESKMAGMRAGKLSAEAAAAAG ENRPPVPSNKEVEIAVERVARELHALYKSKHETKVAALKKSYENRWEKQVRKLQAQVD QLTQENDELRHSNHSRSHGGIDPARLAEIEEERRADKARDAAQIKELEAEVEKLEAVL KTVQADNADLRVLLERERVEKGELVMLAEEMMNMQQSFIGNVPEEDEEQQQQQQQQQQ PQPQQPTPAPAPVQSAKTPNRRQSSSLSSRTPGTTAGNGSSTNNFRMSVNGAPNGFRA SGLRAPGASGFGKPSGIAGPESRIGRVAHHERTKSAAVMGVSGHHGSASGERTERDWL LVEPVDAFGGSKASHHVNTPSLLFGF MYCTH_93313 MNSAAPEMYYSQHMSAGPAPPPQTVTSPYTHQHPQQHSQPTLLQ PGPGQYAPPPQYGGQYAYANGLSPPTGPPVSNALSAPQPVLPLPGVSQPGVPNSPYGG STFDTTGQIAPPGVKPRVTATLWEDEGSLCFQVEARGICVARREDNSMINGTKLLNVA GMTRGRRDGILKSEKVRHVVKIGPMHLKGVWIPFERALDFANKEKITELLYPLFVHNI SNLLCHPTNQSRGQVMAAAQRKPIPGLPSLPHHQLALPGPQPPLSSHPPSMVRPSLDR SHSFPTAPTSAPTVMNGMGNSDNFQWNQQGMGNGQGPNQVSIDTSLTNNSRSLPSTPA ATPSGSSLQSMQNYPPASQPYDNSRPMYQPGPPSSSYPPPSNDRPPIYTNTHNNYVKS EMGPPANRSNGSNAEQGDTKPPNGIMQASQGEAGASAEEEADHENDGEYTHDSGAYDA NRSTYPYNPPPVSSLSNDHSHISPELAGSPHQAGSGRATPRTAAAPQPYYGPQGYSTP PRVGQTSSNLYNVVSNERGSANGTSTNDVYGNQGDMSGSMPNGYPVLSGVPTLKRGRD EDDDRGDMKRQKGLVNGTGSMPSPTFNNQMTQPTAAISAQRRR MYCTH_2124880 MVCIPPGPAPPDRGGLVPASGLPPTTPPNLRPMSMSLFAPPRFP PPSFGPSSAPAFPFLNTNHHLPNLAGEENTAELIIVSSNVMPSYQLRSVFGGGLEELF VDRLVSSLATFYGLLRDAGVGYTI MYCTH_2022424 PPPTPKLPLPAEARYSRTFDPWNSASTGHQRAETRGPHGWRESR NKKMNSQFRAGNSGGERMADTVGVGSEEFDERLGMFVPKEVRARALNSVADMLRNPGS MRAASKPPVTRPSATSFVSQPAPVPEGGLGNAQSDEDGGGLTADEKLTVDRKAEDEAR EEEKAKRARERKIFDGLVIYVNGSTYPLVSDHKLKHMLSEHGARMSLHLGRRQVTHVI LGKPSGPNGGAGGGLAGGKLEKEIKRVGGCGIKFVGVEWVLESIKAGRRLPEARFANL KIASRRQQSVLGAFS MYCTH_2300248 MDHPQDQVQRHSLTDPEGFWAHQAEQLHWHKKPSAVLARTTKTL KSGNKHAHWEWFPDGEISTCYNCLDRHVLAGHGDAPAILYDSPVTNTKQRITYAQLLD EVEVFAGVLREEGVKKGDVVLVYMPMIPAALIGILAINRLGAVHAVVFGGFAANALAQ RIEASRPVAILTASCGINGNKPPIPYRDFIDEAVRISSWKPPKTIIWQREQLLWRPTR KLQGERKWQHLVKSARARNQRAECVPVRSSDPIYIIYTSGTTGLPKGVVRETGGHAVG LHLTISYVFGIHGPGDVMGCFSDIGWVVSHSYTLYGPLLTGAASVIYEGKPVGTPDAS AFWRLVDEYKINTMFTAPTALRAIRKDDPDNAHFTRVGERGGLRSLKALFVAGERSEP AIITMYQSLLNKYAAEGAQVIDNWWSSESGSPISGIALAPHAGRNRKTGMKEKQRPLE IKPGSAGKAMPGFDVRVVDDQGVEVERGKMGNIVLALPLAPTAFRTLWKDEERFYKGY LKRFDGKWLDTGDAGLVDENGYIHIMGRSDDIINVAAHRLSSGALEQAISSHPLVTEA CVVGIPDALKGHLPFAFVTTSATSPLRPEEEEKLYGEIQSLVRSQVGAIATLGGMVVG RGMIPKTRSGKTLRRVLRELIENAVLHGDVDRPVNVPSTVEDAGVVGVAREGIREYFK RRGGLKAKL MYCTH_2300252 MKLTAAVLALASGAMATVAGNGTVSYTTEVVTAVTTFCPGPTEI AYGSVTYTVTEATTLTITDCPCTIKKPVTTISSVVCHNCPSYTNGTATTGSATSVQTP AGTITLPANPPANTPPANPPASTGSGSPPITAGAGRTTVLSGGALAGLLGLAAFVL MYCTH_2300258 MDLLQREGRYPLPPHAPKTLGVEFSGTIESLGPGADASSSSSSS SSSSSSSSRFKPGDAVFGLAYGGAYAEYIAVSTKMLLRKPDYLTFEQAAGIPETWITA IQALHFVPQAQPGQSVLWHAGASGVSIAGIQLSRLAGASEIYATAGSAEKCAFVEKEL GAALAVNYKEANWVEEILKRTGGRGVDLVVDFVGGDYFEKNLDVIARDGRICMLGLMG GAIAEKVNIGKLLYKRARVEGSTLRSRDEQYQGRLRDKLEEYLPKFESGELKVLIDTV LPWEEIVKAHELLEANKTMGKIICTIP MYCTH_2059010 MTNSELATRGPESDAQETDTPSPSDSPALADAPAHPAMTEHCTS DRPLPAGQTSTGELTKLNDVDVYISKPADYPHTPSRLLLLLTGGTGLHSVNNQIQADR FASEGYVVVMPDLFAGDPAPNSSLTAADLAGVSTTAAQSSSSSSSSLLDVFKVKALET AKSFLIDMWLARHTEEKVMPILEKVLEGARDEFADAVSHGGGVYAVGYCFGARYVLLL AAERPARRQGQRRGDDEEANRPATVPPQIKAGALAHATLVSKQDFVGLKAPLSVVCVE DDPVFPDEVRIAGEQYMTENGVEHEVQVYPGVPHGECKPAKQPPPPGARGAECGRRNR C MYCTH_2116588 MPGVLTRNTRVPRGHSDSSTPATGTTKCNKLSKAQAAGKQSQTS APFTPRSSNIEIVLPSRKRKVQDAAECKSKKIRFEPETSIAPATPVSRKRKGARFAEP DAAAAPSTPNCAAPTPSSRRKRRFESDETSQAEELLERLNLQSSPVSKRSKTTVHRRA PQNDFDLPNELLDLLDLHEAFLKTLNMQYAHNGTTSPIDLRTLYTSVTRAWGKRHVML DDIQRCVGVLSWTPTRTMGAQPTAPFYLTDYGRDKICIEFHPSAERGPLREPKLKMDF EANLRTLWLSRSNNIPPTIFIGTLPKAPLHPKNASMMNPLLAASAKTQTTLDAFKQSI AEKKQQDEAAKKQAAAAAAAANGPSGGSLLDRIRLKETLASQSPQNEPTAADLQRRRA LQRAADVAAVIGMLCKATVATGSGQARVSFSMAALMVRLKDSVRTPVSQEDGMLCVRL LAAEVAPHWLKIVKIGGRENVVCTMGMQPTKAEVEDRVKALLG MYCTH_2314437 MSAWDTDVVKIGRNVRSGGGAPRETVVRSQSALNAAKRAGAPIV TEKKYSTGNAAARPAVEGQRLTMVDRADDVVKPKTVGTVVGKAIQKARNEYQHPNGNK GLTQKELATKCNTTPTIVASFERGDAAPDQKVLAAMERVLNVKLRGSDIGKPKFEKKE K MYCTH_2300262 MVSPGAAALAALFTTVCSVLLPATASGQLVLLLAPKHSDLFVGF PGHGLLWKALQAALGTSILVLLFLWSLRATGGTFEPSCCTGSEKVSLYPCRTTHSRLL PKQHSFSYSYLLVGIPVSFEGNAGGMVSVRAKARPGLLSWFPIGFFGGWFTVDAGDYL ERGKSELSLREKLDRYLLNQGVCPKTYPYAYLITAARFLGYHFNPVSFWYLYDARKHL AAMILEVNNTFDERRMYFLTEESFSESQSDGFSTCSCGGQQSKRPSQRVSCERTFRQA WPKDFHVSPFNSRKGSYTLTASDPLASVGVQGMAAPISITITLLSSKGDPKMVATLSS AGDPLEPSTMTSRQKACFLASWWHVGFLTYPRILKQAAALFFRHRLRVWFRPEPLKGT LSRRATATERQLEAVFRRYLQHLVEQAQVALEVKYIAAAGILLDKDVRVFTSPAAREK RAEKRGTGSIAVTEELELAVLTPAFYTRFVRYQRNGLNSFLRELNEARTIWLSRPELL RGLFASQKKESKPCSTNTAPSCSAGLLPPGFWELVCFRVIPYLRSGNVLGLSELDEYV MQHDSERERERYGRCVLQVMLADRITLRSVAGLKVLEFVVLVYLTWWLLGFVLGWCVK VSLD MYCTH_2300265 MPLTGHCLCKAVTYTADVDAPLLTGYDHCDDCQRQSGSTYSLVV VVPKDKLEVKGPLKKWEGKGSSGKSVWRWFCQECGSPIAHDPDAAPEIIALKGGTLDS EIKKTLKPTQEIWAVGKLPFCQEKLENVAEYMP MYCTH_79897 MAPSSKNAAAAAKDRRKSSNTAGPAVTGAAASPSSSHLVTLKVP PQRLRAIVDPDFVEEDTPAKQSPAAAATSGTENASDSTPNSPPAGTPASQSAVMGPPS EGPKKKGVKRSAAAATNGNGEAKVRGKPGPKKKQRLEDGTIEGGRGSLAAHKLGPKAN QGAINAGLRALDRSGKPCRKWSRGGFTIKTFTGVVWEIPRWTAPPKARPEESADSSTP ASDSSNKENKEDNSQVKSEASNNGGDVEMQDTPSFAADNSPAPVPVAAV MYCTH_2300271 MTNPYQQQQAGSDFDPLASSRLPPLNARRPSYASVVSGNPSGLT RPTRSGGFSHLLNPSPDSEQQRQASGLYSSGMAYALNAPPVEVPGRDEAVSGGEELGE DVWPARLGVSFPYFSRAFDLYMCSKDSVFPDDPVSREDIRFPSAPSIPNISSTGFLSP SYLRGTIYLQKLEEKHRKRISAERDSAVSSKGGPAASSSSLSGRLTANGSSSHSSATS SKISGSLHRGMAFDAAEKPIYLAEDDDAVSPLPSRWNKDDKEAALEVLGDGYEVRHTG RASSEHEASAIRADHYISPSCGVYYFEITVLNGRQDKIKTPQIAIGFASKDTSTSRAP GWEPDSWGYHGDDGHSFASQNVGKAYADTFGVGDTVGCLINFRLNHALFTKNGRELPI AFKDVPFKDVKGKLYPIVGLKKKEDHIMANFGQRPFMFDIDGYMKRQQSMIEDEIRLA DTSKLVPGLSETDLIQQLVLQFLQHDGYVETARAFAEELQAEKTALQLDPQAPVKGIS IRDDEDAHNRQRIRRAVLEGDIDRAMKYTDACYPTVLRDNEQVYFRLRCRKFIEMIRK EAEHNLLLEERRSGHINPKALASGDTDEEMLEASAGDFGGTSANTNGNGEDMDMAMGD ADGSDDNDLAAGLSKLSQEALVYGMELRAEFAADQDPEVARQLDEISSLFAYPNPLKV KEVAHLLDGSGRVAVAEALNSAILTSLGKSSRAALETVYAQTSVLLEELRKDGGDGAF VTIDNVIRQIPPTTLRPARSGSGGRGVETMGL MYCTH_2142789 MASIPSAAPSWRKMQIPRPLQRLFDYFPLRIYEPNELPERSQQL TSGDLPTLYVFSTDSDARLGLPSFNPGCLKWQTLLRLANLDFRILPSTNHSSPTGSLP FLLPPRTSPTASPAPIPASGLLSFARKNPWRPGKAADLDLGHLDADLPPRAQAYLALI THSLRNAWLCALYLDPTHDALLRRLYVDPASSSRAVRAALLHQLRRAAAEQVATASSG GGKIVSLAPVDSADGIDEEAVYRSARDALDALASLLRESETAWFFGTERPGSFDAALF SYTHLMVEYMSEEEDTESAKGRVSLGRMVKEAGNGELAEHRERMLGVAWPEWDGYRR MYCTH_2124896 MAIDCYATLPSDAKTHTIPGVAQPCGEGEKRFSLTDPPGQQQAI LDGVTFSGGNSGSRVSRRRTKEPEDPGLSYSVPPADATALQHLSSSKTNRSCGSLAPS WAPTSTDTTHLPGPHEPLASCNPTTETCFNARQLGCSGARHGHGNGGQQWKVSLRRSQ SLSVPSENLLADTLRRRPGYDRCPLQVQVLI MYCTH_2300272 MDAQPTFNGTQPSAMSSSSSTQPSPTQPSPTGNVVPPAPRPIKA PSTEAFLKDFTLVAEAAKRAQMAVLMRDFESVGLN MYCTH_2300274 MDIQSLYSVKDKVVLVTGGAKGIGRMISEAFVVNGAKVYIASRD AAACKAACAELNALPNVRPGSAIALPADLSSLAECQRLAAELSAREKKLHVLVNNSGA TWGEAYDSYPDSAWTKLLTLNLQRVFTLTQLLTPLLEAAGQASKTAGTEGRGEDEGEG EGEGVVTDPGRIIHIGSIDGLRVPQLPTFAYSATKAGLHHLSRHLAVALGPRGVTSNT LACGPFPSKMMAATLRDFGDAIRAANPLGRIGTPADAAGACLFLASRAGAFVNGATIA LDGGVSLVSKI MYCTH_79885 MAYHSQGDGYEGHPMQDLPAHHGQHEDDSHAALLNQNQAYDQDR LGAHTPPVRPVSAYSLTESYAPGAQLTRPGIGATSTPPPHGGTEYGGASGYYPPQFSA DPAYRMSSVDSDESWVRRQQPNVAPTGGLKRYATRKVKLVQGSVLSIDYPVPSAIRNA VQPRYRDEEGNNEEFLKMRYTAATCDPNDFTLKNGYDLRPRMYNRHTELLIAITYYNE DKVLLARTLHSVMQNIRDIVNLKKSSFWNRGGPAWQKIVVCLVFDGIEKADKNVLDVL ATIGVYQDGVVKKDVDGKETVAHIFEYTTQLSVTPNQQLIRPVDDGPNTLPPVQFIFC LKQRNSKKINSHRWLFNAFGRILNPEVCILLDAGTKPSPKSLLALWEGFYNDKDLGGA CGEIHAMLGKGGKKLLNPLVAVQNFEYKISNILDKPLESAFGYVSVLPGAFSAYRFRA IMGRPLEQYFHGDHTLSKILGKKGIEGMNIFKKNMFLAEDRILCFELVAKAGQKWHLS YIKAAKGETDVPEGAPEFISQRRRWLNGSFAASLYSLMHFGRMYKSGHNIVRMFFFHI QLIYNILNVIFTWFSLSSYWLTTSVIMDLVGTPVPASETSSEHHAWPFGDSATPFINA VLKYIYLAFVILQFILALGNRPKGSKWTYITSYIVFAIIQGYIIVLSAYLVAQAFKTP LSEQIQVDSAENALKSLFGGTGAAGVILVALITIYGLYFLASFMYLDPWHMFHSYPHY MLLMSTYINILMVYAFNNWHDVSWGTKGADKNDALPSAQVSKGEKDEVVVEEIDKPQE DIDQMFEQTVRRALAPFKEEEKPEPKDLEDSYKSFRTMLVVSWLFSNCLLAVVITSDN FNSFGIGHSATKRTAWFFKFLLFATAALSIVRFIGFLWFLGRTGIMCCFARR MYCTH_2300282 MLEVLDTAGQEEYTALRDQWIRDGEGFVLVYSISSRSSFTRIKR FHHQIQRVKESTASSPSYPGSPISAATPSAPVPIMLVGNKSDRIAEREVSTQEGHALA RELGCEFVEASAKNYINVDKAFYDVVRILRRQRQAAAQPVSPTGSSKHESSRRTDSGI QSEKGRHRRERRRGGCVIL MYCTH_2124903 MGDDELSRHPRNRRTKTRANDRRTLPGSHSHVSLFSLQPSAKEG EAAQDWLRSLHARDEHVPSNGDSYRTLAVLSAKRTDSPETTVIWGENPPPRLPHPLFI PPQARHGSHTRKVKNEGRREGGTEGRFRLCPVPPVETATARYTHSFKASGPGLNGRTT VPTLPPPPQEGSRQRTPQRPSHHREPRFDMAERSRLNGNGRPIPAGLSIVCPSLEAAC LENI MYCTH_2300285 MNVWETEDESAGPTLSRAVSRSSRKSKRSITPPGKKGKSRSPPP VPGDKSERGSKRSSRDVTNDENISILDPRRFTPTLHASLVSEILSLRRDQEEKLKIIE SLESSLHAAREESEFLQASLLATGKESRSLKRQLSLLEGGTSSALGELAKERDEAIES AAEARKRLEAVQKKLRSQEEDSERVHGQWAKEKEEWEDEKRKYERRIHVAETRLKAVL EEVAAFQAAQAQGQNGQNGQNGQNGPANGAESDGEDGSVKDNNDAASVRSMSLTNSVR FSVANSILKATGNSLADELGFDGGYDDDSDYGGRDSVLSKGGHMRNFSRDSLAVKTHQ RHWSTDSLVRPGSVARGKLAFNRAALERLEDGMVKEDDESLPPAPPKVSYVDTGIQFS PPPSPKIVPVKPPAPDPASFVQRHERVCDVDSPPRADQEIEANQRRKRVSATQPLAIR MPGIHSLMVNGSSQTVEEPLSPPRTPKSPLQEAAPSPRPKTPPPPPPRPVMVSASTQT EEAPPPPPPPLDPSLLALPMPVPSISIIPPTSRPTTPREPLLPQLTKDFGCQVSLQTT ISVTSTSMQTEEIRVDKRLDKLPPHLHPSAITSRPSSPAGGAAENATEDTRQFTPVPG TLPPRNPRRLTSKRSLNDMPSSPPIAPSILEEETSDLYPGNNDNGPLSSQRAPMRRPP RISSLFAGFDGNSSDEADEFMDAELSDSEYRTALSAPNPRSGSSLGGKRNSIGTVTSS ENTFSLKASNRLFGRGSEDGEAFDYGSYPTHDMRDSSFGRRVSRRGGRPSAFGTKSSV MRRAVMIQNGIASHQRARSPSITDPREPPFPIPTRASSRKPPISISAPSDGRASPSKG SDAWSRRGSGRSHYRASSIRKVRSAAVMPRSHRNRRRGSRSPPPVSLSTEAPESPGLP PLPGNDITAPRTRDGYMAPRDRSHRSQPSTTTANTADTGAASHGGSNQTPTVVDAIAQ TMVGEWMFKYVRRRKSFGVADAKGGDDSSNDRHKRWVWLAPYERSILWSSKQPTSGSA LLGKSGRKLAIQSVLDVKDDNPPPKGVASIFNRSILILTPQRALKFTATSAERHYIWL TSLSFLAHSNQAIPETLMVPQPKPLAEQYELPKPKRRAIRDSIRLTKAKAGVKSDPVT AESQPDSAPPAIPTFRPRPVPEVYSHPAHARDLSRDTAEPPPIPRFSDRTNHAPVVHG RKRSNTGGHTGPPISFRGFSGTAGSGGYHTASNSTAGNSVMTAGSSDIYSNTGASAIT GSSAMTWATPSVRTSEASSRPSGPGTNNFFDAIGTVRMEAFISPLTWSDTPPYELDDY RLMARRRSKELRRRTSRSSRQSRTTRATDDLDEWYLRDDPFRGF MYCTH_2300287 MEETLEQIQERHRKEQRDLQSRITNKKKNATKKTRKFVNEECDR LERELKERQDEELRKFRGEADGPEDLLDGSNDDDEQSQEHQTQPPRPPIATADDLSNK LESTTLTSLQETPQSQSPPSQQQQQQKQQQQQPRQKRNRQKERLARRAAEQEAAAAAA AAEAASMPDRRELERRAMQKEFAAHALREHEIAPDGHCLFSAVADQLQANDIPLTAGR TTTTTTTAAAAAAAEVVQADKNTNGGPDPDQEPKQPPSSLPPYRVVRRAAADWIAAHR DAYEGFLEEGIEQYVARIRDTAEWGGQLELSALANAYGVEIRVVQGQGRVEVVKPAEE EEEEREEEEESGAGAGAAQKAGKGKTLWLAYYRHGYGLGEHYNSLRRVET MYCTH_2300289 MLKIWSMKKEQQKAENASDGASGGGPKKKKVTAAQLRVQKDLSE LSLGSTMSTEFPDPDNILFFILYIEPDEGMYKGGRFSFTFNITPSFPHEPPKVLCREK IYHPNIDLEGKVCLNILREDWKPVLNLNAVIVGLQFLFLEPNASDPLNKEAAEDLRNN REGFKRNVRQAMAGGTVRGETYQKVLV MYCTH_2133056 MASRSFSKALRPLARQLASPAVQQRTFVAAAAAVRASAVASRVA AAPARQQVRGVKTIDFAGSKEEVYERADWPQEKLLEYFKNDTLALIGYGSQGHGQGLN LRDNGLNVIIGVRKNGKSWQEAIQDGWVPGKNLFEVDEAISRGTIIMNLLSDAAQSET WPAIKPQITKGKTLYFSHGFSPVFKDLTKVEVPNDVDVILVAPKGSGRTVRSLFREGR GINSSFAVYQDVTGKAKEKAIALGVAIGSGYLYETTFEKEVYSDLYGERGCLMGGIHG MFLAQYEVLRERGHSPSEAFNETVEEATQSLYPLIGANGMDWMFEACSTTARRGAIDW TPRFKDALKPVFNQLYDSVKSGEETKRSLEYNSQPDYRERYEAEMEEIRNLEIWRAGK AVRALRPENQK MYCTH_2133058 MADPSTAPPLTRASVEAAHKLIEPYIHKTPVLTNSTLDRLASTP RTEAELAGTVWAGRTPAKPVLRFWFKCENFQRVGAFKARGAFHAIERLKQEPGWAEAG GREKGVVTHSSGNHAQALSLAARESGITAHIVMPSTSAAPKIAATRGYGASVTFSGPT APEREAAAAAVIARTGARLVPPYD MYCTH_2300294 MGSLGHTVPPFPVLEDTRPDDRSLPAFMVSTTRGFLPRMDPIET LPPDFDALESILQRMPVKTLSGEPGLLAHGKLGETVDRELPDLTDAIDKYKDDLPLMN ALYRDYSFLASAYLLEPCHERFVRGEGYGLARDVLPANIARPIAKCAALCNFKPFMEY AGSYALFNYRLVDPSKGLASDNLRLIRAFEHGLDPSSSEAGFVLVHIEMVKHSGPLVA GAVACIDACSSPSSSSSSSHRRSRAELNEGLRTVLAALRRINATMETMWSRSRPADYT SFRTFIFGIASQSMFPRGVLYEGVELSDDDGDYYYKDGGEEEQQQQQQQQQQDGRREG GRRVAFRGESGANDSMIPLVDNLLSIPMPDTPLTEILRDFRSYRPGEHRRFLEWVARA AEEGGLKAWALGLDGGEEAEGEVEDEKRETRALWIQVLNQVRDFRWRHWCFAREYIIK RTSHPTATGGSPIVTWLPNQLAAVMDEMVRLYERVGGAGLGAEVEDIMELVGRQRETL RKEVRKFCEERGVKEFVPA MYCTH_2300296 MPADRGAKFHHEYCAFGDHTTFAPASSRPHAPTAAAIAARSLHD ADDARRLWANASAELSLRPPFALLSEPEIPEKDESIAPAWSLFRRAASALALLEKRQW ACPSGTSSCSSIGFPNSCCGQDETCVEVPDTGLGPVGCCPSGATCSGGISDCADGSTA CGSSIGGGCCIPGFVCMGVGCVRYSSSSSPPPAATPTTLTTTSTRIVSDPTRSTILVT VTTTVTPSGPPVTSTVIETTTASSTRSGGGAGAPFRPTSSPQPSGSNTRTTGTGAYCP TGFYPCLARAGGGCCQTGRDCAVTTCPPPPQQSEITTIVNGNGVTVVVPADGAATTAT ATTTADGCAAGWFLCGRDAGPDAGCCPSGYECGTASCFREGAGATGASVAKELPRQSG SAGEARATAGWGLVVGIGMLWGVGWGLWV MYCTH_2300298 MSLSSLAHRAARPSLLGNPVLRLSYTALTTTRHASLSAINKGLV RSEQARPQGPRPEKLSELAAGTKRMTYAERQEARKRLAEERPSFKIRKGKKDITEYPD QAKPKSRQARFYDPESPFGKKSLVYQMKTGKLRDELRALEEKETESGGSSDSPFETSV PRPDLRSPPRRRSARERQSVRDDDTGDVADFVAELTRSAGPNRDAPRGDVSRRREAPA GAEDGDSLGRGGSSSSSRRDRGAGRREDAPRHKKEEDRDPPTITYTTAASQFLYGRSV VEAALRCSRRQLYKLYIYGGANRRTRGDDSLIRTLAERRGVPVTVLGDERGLRLLNRM SSSRPHNGFVLEASPLPQPPVTALGPLPDDYAGNPRYGVELGHQSAEEVAVNGRPASL PAPTASHRPLVLVVDRVVDPGNLGAILRTASFLGAAAVGITRRGSAGLTPVALKASAG AAESLPLFSVGSLPEFLSLSRANGWEVYAAVAGAPARKQRRHVDLRDVEEADPLRRDP CVLLVGNEGEGLDRMVVKKADYEVNIPNMSAPDSGVDSLNVSVAVGLLCSAFLKGAAK EMEGLGIGLGQGEEKGAKEDLW MYCTH_2300299 MQFGTKKRKRDADYTSSDEADDSSVDDDELENLRGTRRRRGLID DYLEIGNGRRSTRASTRASSHLVSSEGEHGAETGRGRRLRPRASLALNQGFQYDDRDE LQDSDGETNDPTFAFVQSDIVKTRRRRLRKGTATVRRSAPVSSLGGDSDIEFEPRRRS SRANRNTRAMADNFLEDDDTFYVEEDRTSATPKVVGMREVFQAASYDFKEAHQTVCDS CGFDERKGPLIGCQGCSNSYHKACLGVRSVRDHRVTKVAEDSFVLQCRFCVGIYKQKD PRAPRHDVCQACYGKNPSCVPFSEKKTPKQEEALRIENGGVDPITPVDPKLVNNPENV FFRCGRCRRAWHYEHLPHPNKSRDPVFDDPLNLRKHRLQEYQISWMCKACQDTEEEKV DKLVAWRPREPRLYKKDQPITDYNEEHLEYLVKWENKSYNHCIWMPGAWVHGVVSAIM RQAFVKRTFGNDSDEAVDGERQHVDSLLRWTEKEAIHDSWITPDIILDVHYAPRTREA EKKYRAKSVKDKFEDDLSRIHHVIKIYVKFEGLGYDDAVWDTPPAPDTGPIYDAFCEA YREFLNGRHFQSEPWKNMVARIDEFRQRDFCADIEVKQQPKGLQRGKLMQYQLDGLNW MLYNFREERSVILADEMGLGKTVQVVALLSSFIQDNPRVWPFLIVVPNATCANWRREI KKWAPDLRVVAYYGGRVSQRLALDYELFPNGPREMKAHVVIMSYESAKDNETRSRFGN TKWAGLVVDEAQALKNDENTLYRALQALRIPFKLLLTGTPLQNNKRELFNLLQFIDPS FRAEELDQQFAQITSENLPELHSLIRPYFLRRTKAEVLTFLPPMAQIILPVSMTVLQE RLCKSIMQRNPELIRSVFVQGKLKANERAGLSNILMQLRKCLCHPFIYSQAIEDRGAP PEVARRNLIEASSKLMLLEIMLPKLKERGHRVLLFSQFLDQLTILEDFLAGLGLRHER LDGRQSSMEKQKKIDAFNAPDSDIFAMLLSTRAGGVGINLATADTVIILDPDWNPHQD IQALSRAHRIGQRKKVLCFQLMTVGSAEEKILQIGRKKLALDHLLIETMDNEEDAPND VESVLKHGAAALFGEGKKKETITYDSAAVDKLLDRSMIEETKTGDDKSAESAFAFARI WANDEGTLAEELQEETEQTINMSVWDQILQQRAEEARREAERNMEKLGRGGRRRGAAN YTGPRFEFDEAQEAAAAAESDRGSVDGDFVGSDKSEPESTDEDTVRSGESSRTNMRNP GRQDSESLDARAAIKKIRDSRYSREYSREPRNPKVKGPEATTTAVLRPPPAEDTDENN KALAQKPPGKRGRPRKHPEPAGQHQATTAPARAGAAGPGAGTGTASAGTLAGHPATGR RNPSQNKLIRKTLPGEGIAWTVDDVKVDPGRRSSVPPPRPIIQSTTPIPVPKIPSYTP IATSVASPLFSNPAAAPAAVPVHSIPPPPPPPPAPAPAGPSSIAPVEAQMQFQACFVC RYTHPTTWECPEMRSEVHLRLLLDQLKSVSGPVAGEEGAGAGTVATAALVAQQRRAFV LEKLRQIKAEQVAAASSGH MYCTH_2108524 MNQTKDLQTKHDPVSGSMSSSEISRSSCYTIEPIRPLAAVSETS KMCKMSPTISTPPTTTRPPSPPPVEYPPTGAIRGWPPMTPSYSPARPFASESPSRCAV LGAGRSAQRLNDYCAELKTLVLDDNRMSGGSQNIGNGIDVLASDDPLSSHPDLADRGG VGKPEGDDRLGASTKIGTGPVDGGQTHEHGPMGAVFRRLPRFDQASRPLVSGNWRAKS AAEASRPQLMTVNGVQLSPVTTGGQAQQQQQQQQQQQQQQQHPRPLFLGTTTRNPLTA SANLGMATGFGQPNLTSHPPPAPVSLSTPASMGSFARGGGQGQGHGQGHGQGHGQGHH SSASSGTPSSSYSSSSSSSSSPSSYYYYHHHNNNNYQHSSPGPAADGRDAMTTTFSVS LPNDAVGYCFVRPDGRRTRLVPVDMLPYPLQGIPAHEAAGGERLVALPVPVGVGADGR SSNCHPLMAASPPARNSGGDAIQHASLTPLFSSSLIHQTTTPGTATATTTAATSAKRM KVYCDKWVHEGVCAFTQQGCKYKHEMPSDRATQHQLGLFLGYPVWWKRRQAELARAQF PASGPVSVPGPGSGPGSGPGSGPGSGPGSGPGPIRDGERPCSASSSFSSSSSSSSSSS QSSSSSSSSSSSSAAVASSSPSSSSPSPAGGGGLPATRWGSGSGSLPAREKLLLPPSW RTPASPVDQQQHHQHQQKSPHRACPEQVLQGRNGHGLFASRTWHREEEQRHRPPGQFS NNNNNSSNNSSSSNSSSSSSSAFKAACTFAFPSATTTTTNNTNTTTNTTSINNKTTSC SAGAAHTTPTSPYGPIAPPPPPPPQPLPSARPNGNDKDDKDDEENNRAGGPPRQRSPL TGANAQAGGQDR MYCTH_48238 MDQLISVLLHFPPAGGIAGDDQYDSAAKSHSQKLDKLAASSDFN DAAAQLLDHVDPAVYSISHAHLLAAVRAAKSLPQPDLLAKVAVFLNTFDARQMRYAGK TLAGILDWLVSGDLFPVTLLRLDPTGSVLTSYHVALVKLAFTTDNVEPALPLLERNIV FYPGAKGLQETRRLGSLDLPPASYMTVETRLTKQLSSSDVLQYDLLRGLCFIQRRAWA QAFDALERVVTYPAKDSHSCSKIMVEAHNKWILVGLLLNGKTPMLPSYTAPGAQKTFA SLGKPYHSIGKAFEESTAERLKTEFEGLGPQFFSEENNLSLMRLVLQHYQRWQVINLR NIYTTVSLEQIRARTQSAETAAPLATEAEVERLVKDMIDEGMLRGAIERPIDGGPAYL VFHEPNEELSEAEFAQRMLRTAQRLKEVEPLVRATNERLGTSRDYVRYLTGQQKKGGK DAQRDYGSSFVNQVEDEDLMTGVVAAY MYCTH_2300304 MAEAEITQGAIEAIFCDRERAAVQFPVPVLQCLHIKFLENKNPG VPGPERYRIVLSDIRNYVQCMLATQANRVVHDGLLQKGSIVRVKQYQAQSVKGKSILI ILDLDVMTHLGTPDKIGDPKLMEAAPSEQQQNTTIGGAGFYGGAKSEPAAVHETKPQV QRQVASRTGGGGGSGGHAASTIYPIEAISPYANKWTIKVRVTSKSDIRTWHKTHSEGK LFSVNLLDESGEIRATAFNQEVDQFYDLLQEGSVYYISTPCKVQLAKKQFSNLANDYE LMLESGTVIERADDQSSVPQVRFNFCTIQELQGVEKDATVDVIGVLKEVNELEQITSK TTQKPYDKRELTLVDDTGYSVRVTIWGKTAREFDASPESVIACKGTRVSDFGGRSLSL LSSGTMAIDPDIPEAHKLKGWYEAQGRNNTFATHSNLSSVGAATGRKDDAKWIAQIKN ENLGVDKTDYFTVKGTIVHMRQENFAYPACPSESCNKKVTDMGDGTWRCEKCNITHDR PQYRYIMSVCISDHTGNVWISCFDEQARLIMGKTADEMMELREQDQAAFEAAFDAANC RKMSFRCRAKMETFAEQPRVRISVWNAAPLDYKSEAHRLAELIKEMSV MYCTH_2300308 MPPPIIDSHIHLYPSSEVSSLAWCTPDHPLAGQRSVSEFLAAAT TGSSSPADPSGGGSGGSGGGGGGGSLAGFVVVEVDRKNDGSRDWAGPLQEVAWMRRLV TGQPRPGEGHSAEDARLCLGLVPWAPVASGPAELGQYLEEAEKEAGPETWRRVKGFRY LLQDKENGVGLEEGFIEGLKLLGRKGFVFEVGIDQHRRGRVQLEQAVEMIDRAHEGVE EEDKVVFVLNHLCKPDLTIINQNDPSYVAWRTAMFTLSKCHKTYMKLSGCFSELPDKL KERSAEDIFAAIMPWLAVVVAAFGPSRIMFGSDWPVCTVGVGEDAWKKWHKVVDMVCD LAGLSERDQEMLWSGTAKQAYKLEI MYCTH_2300311 MPSQVATTPLSAPIPIPPPRRNLGLITTQELFASLNATLASKSD ELVTPVRHIQEHDYSVPAPPPPSPVSFRDHWPATMRH MYCTH_2300312 MSKQITKAEVAQHKDEKSMYIIIDDGVYDVAGFLDDHPGGAKIL KRMAGKDATKQFWKYHGKSVLEKYGPKLKVGTLKEEAKL MYCTH_2300313 MMASLKRIIAGALCLILLSIGPVRCDKADAETIKTDDPDITMLT GTRSDATESSTDVPTGIYHTPSSRETDATTSLPTGRGHLTESDTEATSSETVTYITGS HASSSTTTSDKKTSSTTSTSTAPPQPTNTRPCNNYPELCDRKYGNVTQVGCHNSPFVR SGSAAANQQFPVVDQLNDGVRFLQAQIQWPVNGTVPHFCHTSCDLLDAGPITDWLRQV KDWVASHPYDVVTILLGNGNYSTPDKYAPYIEESGITKYAFTPGLGLMTVDDWPTLGQ LILSGQRVIMFLDYMANQTAYPWLLDEFAYMWETPFDPVDDAFPCIVQRPPGLPQPDA KRRLYLMNHNLNAEVSLLGQSLLVPAVSRLNVTNAAQGPGSLGMAANNCRTDWGRAPN VLNVDYYNYGNYPGSVFEVAARMNNVSWTRRPCCGPDSSAAARMEVARAVAWMGLAWA VWMTV MYCTH_2089819 MGLPAVLRSRLNTSSEKTDPSTPSTPISKEHVLESNDAGFKRAT RMRRNFALSASFSYVLAWIFLVLVLVGNTYPRPVLSQTYFFKLDLTDIIPTSVPNASL INSIAQSIGLHDFYQVGLWNFCEGYVGVGITYCSPPRTLYWFNPVEVLTNELLSGATI ALPTQVVTILSVLRITSQIMFGFFLTAALLAFLMIFVSPLAVASRWWSLPLAVASFVE MLLLIAASVVGTAISVAFKYAAEAQSELNIHAEVGPRMFIFMWLATGFSIWAFAAHSG MGCCCTSRRDLRTGRRVIRNGRGVRF MYCTH_2314451 MSAIQLSFSLRVSSGVKTVHLLGSWDNYTGQLPLSKDKSSSKGT WKGTFRFQQSTLQPGQRYWYYYIIDGYHVSHNPSVDSTVEPTTGRALNILDVPKVKSS SSSKSSSRKSTSSSSCRSSRASISSDIPKGRPLSISQIKAPKPVSPNATRHILDNDFD EEELSSRFASTGIYDDDYYYDDEDVITDFGAPGSPVSSVGSSLSYHSDSSGSSGYSTP ASECSSCTCERYGITRKGERVRLDCGGSRCAYDDSSCSSSEDEAEYIERSSRRNGIVV RA MYCTH_2300317 MDAFPDDTLVQAITAHVSAYMQNYDASHSWDHIERVVAMAHHIY AHSDPAFRSTLDLRTIHLAALLHDVGDRKYLKPGEDPTTQVQTLLLSHSCPPDLAARV QTICSAVSYSTERADPERVASLVATHPELAVVQDADRLDAIGAVGIGRMFTYGGARTA RSMAGTMEHLDEKLVRLEGMMKTKVGRAVAKVRTERLIVFRGWWDEETGLAGRQ MYCTH_107186 MGAPHAKFALEPDPDLDGLGNHAPMFKKHKVLPHPRKDELQRSG PLRYGRKSEASLPRNSALPAASPSGRHHRASRQARGPEPPPTPPAHSRTSSASHPANP STPQYADTPLGSTDSVEPRPLATPNQQTPPTPNLTPDQTPPGPPVGQASRGPLLNVRN SSRVTADSRDDSFTTAREDPYSSDNNNDDGRSTLRPSSPSARTSQSTVRQVGRVTRSV SQPVGLGLGFEPRPTEDSKPRAAQEFAKFDGDWTNGQAGASEADRKREREWDSGLMKN VTVRKRRPARPTETHDPEVVDDRAVTPTNAARALRSVPLEESPIVCPSRRIASDRYPA PRVAGPHSESSSSVDVKRSSVISTKSNVSATVEAMVVETAPQRRKTLRHVKKQSTLRD SGSEMSPASSAPTSVSPAANGQRQPLGAAAPIAGENVRESYASTTTNNAISSRKARRS VWDSGGVPVVVIPERRSSVRSNGKPPSLRSTSSRRTWSLGSAPVSQPSGSKEHVPIFE RPGRRGRAYSESDGSRPGDQRTMDSPPVIPTRSSSLSAPTSRNASRTGSLTAESLRNH GILQAQQAHRALQEASWKLDQRHNNPRPAAGDEQQSGREVHRPLASVETESRQPVVLA ERAPRREKQSELDGSPALALQDSHSTPKENGALGVERDEDPFFGKRLSVQNTPLSVAS AETTTTSHAEVSEAMAVNIYPHQSKSVVLVNHSAKPSESSSLEQQHKSSSLDTPIARA SGERADALSTPPPLQPPVNDVDSPLRNPRAPPKPPAINFIPATPSGLTPTSEKQKQLG NYYEMTAEKPKRGMSLLKRALTGRTPSDYGPSPARPVGILTRTFSLSRNVRRRNERPG LRRRSTVDLVPPDETRLHPHWRPAYVQDEPCDCPSCREREEADYDYDDRDRDRDRNRV RVGEDEEEEEHGRTYRYPPIDNRPARSSPPSFPSRVTPRRRLSERIKRTFAILPLQNG YEDDDEDGDIFGGYDRSFPATAPDAPDRRTIRRTPSGNLRVMKVRRSMESLTGRRGTP PAAAAGPAVVGGDSQRRGRTFERGYTRLWRSLSRRARSAVRRRGSLGGGIGGGYAGPT AAESRDKDKDQRERRHGGGRGSSNDGRGGGGGGATTAGFLPSLGDRINIPRRLSERRR ERRTEELRGKISGPREVRDGVGDVIRRNSWRDRDALYVMEQQRERRLMLLQQRQQQRQ QQQGERRG MYCTH_2048966 FCDYCDVYLTHDSMSVRKAHNSGRNHLRNVVDYYQQIGHEKAQS VIDSITSSYAA MYCTH_2089827 MARLRSLLQQVCSILLLLAVGSQALKFDIQAGSGHDKHSRRCIR NFVSKDTLVVVTAIVDGYRGDGMQLNMHITDATGNEYGKPKDIAGEQRTVFTSHADAP FDVCFENILTGSRYVEHPFRHIELDIDIGADAKDWSAIQATEKLKPVETELRRIEEMV AEIVAEMDYLRAREQTLRDTNESTNSRVKWFGLGTTFLLIALWAWQIMYLRAYFRSKH LI MYCTH_2300328 MASLRPATRAVTRARPSLASAVRLLHPNRFESSSSSSSSSPSQQ TPAEDLQVGELQGASFRIEPLRRVGEDPATMRARLLCMPPLPSRFPVPRPDAHIGGRR EREREREREEKKHGKLTQFRGTDQSRKRGTLESDLLLSTFASAHLATMTPAQLAEYDR FLDENDWDIYYWATQDANAAQSQQQQQQQQQQQQPPQQPEQSPRPGEWAQTVGTFKPA YRPVPARWRDSEILRMLRAHVESRRGAGKGGMGFMPPLEEYKL MYCTH_2300329 MSNQPERRQSKRLAGTSLPLLPSRPLPSGQEAEPTTRTRGRKRK DAAAAAAAAAAPTAGGGADADVSPSSAAAAVYDEQDGDFLFTRGPKRAKTASVPVDDE LGEDPAPAPVKRSVGKPPKTGPRRRASPPAPAAAPAAQPMPTRSRSAAATAPAPAPEP APAAAPTTRRISKRKPSLDKTPPPAEDPVVRKPKSRRKARDSTAEKRPQQRRTRAGQV NGTHRGAEEEERGEYGDEQPPSPGRNDGSTPSPSAAQPQSQLIALPLSDTPIINRNKE FRKKGGANGGRRSSLGMRGRRASSLIDNGHTALPHREVNPAEFYKYISSEGLSEPRRM KQLLVWCGERALSEKPPHGKPGSSAILGARAIQDQLLKDFGARSEFSDWFSREDAPKP PIPVVLQPNPRNVDYDAKIAELEARIERLKAQKAAWQSIAAPLPVVPPLYPDPDPRKA PLPDPALLSPSEASILSALTNPDTAFSSFKRQLRSRLQNAQAALEFRVDRLADSAHKL DARVATGGREADAVLALSAARLREREERERRAVGAGAVSVMEVLRSLGRILPEGG MYCTH_2300330 MGPPTGATGRGASQSPKQSSKQSPSQQDTQSDSKRDTAVKADEP TDTEPAKPLAPPPRPNQQHQGSNSPDYFGNAPAGSLSLEPNPFEQSFSGAPPETPGGT KLPSVAALTSPSSLLPGNTPFAWGGGSLRTGPLSPAMLSGPTNDYFSDTHHIRGSFPT PNESSLRTGLTPGGSGSMFPAPSPTTQAMFGLAGGPSATPGTLDFHRTALSAAAAKRE QAQAHQQQAPPAAPTSQPLEMSNGAQAPKTESKAPTGPFDPHDNDAANGLFLLAQGRN SAQPSSSQQYPPVPPAQVQSQPTTSAPAAQPLNTSPQMNGAPSLTGSSVRGTSEVSQG SEESETARPNTRTRGKRNSGGAAPNSRRKAEETTATKAPANKKQRSNGGASISSVDMD HSEEERHEKIGKEENNNNNNSNSNNNNNNNNNNNNNNNNNSGPKAKMTDEEKRKNFLE RNRCARSTLSGRTTTMALTGFTEWLRSSAVSGRSSGLPTFSPRWRCFLLRTRHSPSKL PNSARRW MYCTH_93272 MDSPVGLGSVGEPVAANQQGECREGLQDRANPRKHRVQPDGKNK PAAGHSGLIAAICSDLQPKGLDAMTEGSQADSNGNTGGPVMISFISKLFWLHRLLAVL TPVLHEQLGHCTHEQDHGIRRALWGSSTNTGDSPLHLWSLLALTDRAAVPGVTATSTT KSRNTLAYLAPAESYRGDGMLTSRAAHHSFGLICGSAPLPARWPGSLSGSGGERSSQQ VSETLDSIKPPVGPERKVDLKECASSPLYPVVDGLLLGRGGKGNRQGESTRAKAGGVC ACVLVWGARGELSRTVESGRAGRVWNQEANRRVWSASPGMMIASGTLCNLAFPT MYCTH_2300332 MTSSVSDGAGCESPAPPAFSPLTDPAPDPDPEPGNREPSVGVPI TSETPAGDDAVPARHDGDPAPSNAPDAGADPATARPNGDSDQQQPPPPAPAQEDPLPP QPATPAPQTRQAAAVPDAMDLDVPVDAPMSYATSPGLDLYSPYTPQQSQLLMALARLS AASQSQMLTLPPSTVRPSQVSLPPNAALDTPDGFAPETPNAANAAPPADAPLESFARI EFADSVFQMTTYAVIIGRDQRALDQARRDERRLEEYQRKVREHEERGLPAPSPPTQDR GKFSKSYVSEEGGMLGPESDGDENPRPVKRRKTSGGAGSAVGDSHPAEPAAGELAGSE DKNPTLNRQYVSHTPGAAAVNLSALRPSPHYVPFIGIHSPGPNIASRTKAISREHLKI QFNQKAGVFEAIPLHKNGFFCEDVHYRDEKVVLKSGDRLQIKDVDFVFIINGVPHGKT GAEENLEEEGGSNSRRYSEGGKEMSFDFESSHDPERRSTSPEEVPAAPAKEDSDSELS EPPAEDVPEPGPEKAPEQEVMETIEKDPETPAPNIKPEMSLELLASLDPPIPKKRGPG RPPKNGIMSKREERLRKKAAMELAKKNMPQPPPGDPPVKRKVGRPRKHPLPENAPDRP EKRKYKPRKSKNGEEGEGSDVEKSVKERRREKPKTPPLELNREDYTEEQLQKPPKNYG MLIDEVLSAAPDGLTLKQIYKRIQMKYPFYYFTVDTKGWESSVRHNLIGNDAFRKNEE THLWSRVPGIDIDAGKKRTKATSPDHHHSTNMHTFGQHYNANSAAQGQQQMFQAETGM QQQGYRAAAAASPQRPGYAPGNGQGSMGQQHAQPQPGAQAVGAAARQGPRQPYSVPGQ VQGTAAPTAAGPGNQATTAQQPQGNAQTMAYSSPYAARPPPAAPAQPGAPPQGAARQG PPPGQSARPYNGLPRTTTAPYAQNPPAGTRPAQPATMNANSAPLKPAVAPELVRHITN FKTTVTEQLKKRTPAAEAIAMSVINRGLGLATESTIPEEEGLEKIVLGVFESSRQNLG VNQSLHPGLVQALNNFKGNMIKTLEPKMGKLNAERLILSAIDRVLGFADRSTMPGPDT EKKQYDEAENVLIVAIQRVVTEHQRTQAAVASASAPQPASRPGPSPAPTAPGSTQTSV KGHASAAPNPAVSGQYSATAPQGQGAKPNTPLPAYPAPGPARPSAPAPSAPANSKPTV TSAPPPTQQVPRPATASASAPQASATPPPTQIQSQAAQSSGSLQGPVPAPGQHPAPGT TAPGSQVARPPQHPAPAGVAAPLPAHVSGPGPSQPQSPAHALAQAQAQAAAQNAQAQR PTHVPGSGAAPAPTAGQGPDQPAPQTAHVSSAVPNTAAFASSPALSQQQTQQAPPVKP QAPAPAPATTPAAHQPGHDAPRLPSVGSTPVNGPAPVPTTTAPPASTAVQAQMETSPA APSPKPTEPVTAAAAVTAQEKVPHAVNPPLQAPAQGSSQPAAAASPHPTTKQGVQPAT SSATSQTLSQSPTPTPGSSPSATTVTSNQGGSQAAPKAQAPTPVPAAQSQTPAPAAST TNEAVATTSSSTSITSPTTTTTTTTTTTTTTTNPPPVTAPPPTPPAAAVPAAR MYCTH_2032045 VPRSHIVLAVFFVWILLVAFVVLPGSFTSDKRKQDGETVEIPLG GADADRKKLSLTPANTAALVIGSVCVLTGAFGSAWLGLRWRRNHVFLLNRLYMPLVLN ALAGMLATITSVYTQQAGEWGPQAVVTIVVEAVVLGLSLIFFFLHNYWLMQRVASDEE MYCTH_2300334 MQRAFISELVRRNQYNLRTLRQAPLAEISGALGDLGTLLPLMIA LALQGSIDLPSTLIFSGLFNMVTGAVFAIPLPVQPMKAIAASAISTHLSLRTTTAAGA LVSVAVLVLSISGALRLLTARIPVPVVKGIQLGAALRLVTSGASLILPLPWLAPAAPL DSRLAVLAAFLLLFLTQRAPRFPYALILFLFGLLAALSAAEPPAALVSTHHQQDQHQQ DRHQHPGPLRFYLPGFASPAAWTAALSQLPLTTLNSVVAVSALAADLVAASSSTTGTP RTPPPPAGVTALGLSVAAMNLVGCWAGAMPVCHGAGGLAAQVRFGARSGASVVLLGLA KLALGLVAALSGPSSSSSSSSSSSSSSSSSSSAESGVVGVLQRFPRGALGVMVVAAGL ELGKVGAGFDRVWRAGDEDGDGAAAAAVVEERRGKEREERWMVMMVTAAGTLAFKNDA VGFLAGCCCHAAYKIADWTGRRRVWEVAGERRPLLW MYCTH_2300336 MARTGFFHHLGTFLLFAATVLLIVTCISAPVVNDLAILRVDLGG DNSGGEQINFGTFGYCLTRPDADDDCSRSRVGYSPAAFLAAVDGTEFSEHAEDTTRTL TKAMILHPIACGINFIAFLLAVGAGTIGSFFASLVALVAFLATGLACIIDFVLFGIIR SNANDRGETTGAEAWYGPAAWTILVSAVCSLLGAVIVFFTCCSARLHRRRGAATKTDY ASPPRRRRFW MYCTH_2300339 MSLSQAGPTAAKLRSILVKVTPAPTTLSERRAVLGVLKKYADVE VFKKLHDPSHFVSIVAQPQMALNLVAKSPLEFEYAARQSASTRTAAAATTPEPKTFLV KIVENPAYKHRTHIRESLTYGRWPEHEQQRKQRDADAQLFAMDSMPRAALGAVCPPGI ASAGLADWESAGQLAEDDGLATWLSGKVDFVQARQMKRARNATAFESLVEAYERRRNE GKTSPAVSAARAADGS MYCTH_2124936 MATDELENREKYSQKLVNEAYAKIEALEGAKVKRIKIEPPSKYG GTKEDLAGFLTNLRSYFRLNDDKFPDDKAKVLYVATRLEGKALRWFEPTWNDYLTEED KDDRDAFTQAVFRSYDRFEEELRKVFGDKDEKIHA MYCTH_2124937 MNGTTVKANDKKKRAYKQDQKKTTKDKSNVTCYNYRKKGHYKRE CRSPKKGWKLTPGKEIAAIDETTKDVIEVAATSYEDKGSDTDSLRHDGNGEDEQAPNS ELVTVDPETGLAEWDMAGEYAPPASILPALGQWGFTVT MYCTH_66448 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTSVAKPTFVEVVFAMALAYRFLPWIAFSRSSVVLRMLNVVPNLSWMNLNAVWKKPS ASCPKSLRGSGVFVSRRSF MYCTH_66442 MPCSFCFSRGLCCRMIESSSRCGEYVHHGRSYDGSRVLVLSLSR IIDESKRLDRLKQDAKEALRADRDSLAKA MYCTH_2043746 QWEEQHEQAFTQIRDAITEDPVLVLPDPKKPFEVETDASDYAIG GQLGQRDEQGKLHPVAFFSKKLDGPRLNYPIHDKELLAIVEAFKEWRPYLSGTIEPVQ VYTDHKNLRYFTTTKELNGRQIRWAEFLAEFNFEIRYKKGKENARADILSRRTDHTKG RTGTTPPLFKERTDGTLHHETQTPVEDDPLDSFLECCAIFREERINEAQYQAAPGPVV PDGVEERDGKLWYRDKAYIHDKDQQLRMIRELHESKLGGHKGVTKTVAQVKKHYDFPQ LTARVKEVVRNCDICNRSKTARHKPYGLLQPLPTADKPWSSVAMDFITKLPESKDTAT GVTYDSILTVVDRLTKWAYFFPYKESWTAEQLADVIYRQVASVHAWPQEWITDRDTKF ASKFWQALMQRLGVNSKLSTAYHPQTDGQTERLNQVVEQYLRSYVNYQQDDWVMLLPT AQLAYNTTPTETTKVTPFFANYGYEADLRQGPEVTVPRAAVKAEQMHALHEKLKKELE FVKTRMKNYYDKHRLEGPRLERGDKVYLIARNLRTKRPSTKLDFKKVGPFVIKERIST SNYRLSLPSSMRLRTDVFHISLLEPAPKNAKVATHIEAEDEEEEWDVEEILDSRIING ELQYLVKWLDFGPEDNSWEPVKNLNCPEKLEQFHRQNPDRPK MYCTH_2300343 MASSVFHQARPPSPPVPLPCVPGPKLAPFTRNAYADIEFIKFLG RENDVDSLVWKVKINKAGPFALKLFYFWHWNFLRKNQGGDLTRPLAGPQLYVDYFDPF NCECRAYGRLKEEKREDLAVRALGYLLLTPQQEIDLARRVIGRSTPPPSANAATLDGD NFWGRHEQHRGLPVRAIVKDLVASKAPDPAQAAGPWVDLQALHSLGIFVKDTHGGNYL NGKLADFSRSWTMYHPALDQIGARKLRSLMLDELQQLLDYYYDGADDSTVIPQDLEAF CSGYLDRYRNFPKAYNWLKWEKNADAAKAYVEQGLFERGAH MYCTH_2300345 MLSGLSSLLAIPALLAATAVAAPSGEVPAALAPREAACPTVTRW KEWHYETVWLSTTTVTNGWSSLGSVTSTKTEEMTRTINTRTTVFSPLVTTLPVTTASP TVATETTTLTWYTHTVTVTSPGYAPSSVCQVTTVTYTIPSTTSVTQTMDLS MYCTH_2300347 MLWDKFMWYAGSDGSDRHYDSEKRLCFGLVSANSNPHDRDRPSK WGCGYLHYSHSGASAATQFLRRDGPVIVYISNPNRPPAHLVPGPVSQAVWQAYDSQPF SQTVEVTVATWTSTDVTVTGCVTVV MYCTH_93263 MLAGERSDQGGIGAPRALRLGGRDGPLSRDGAVAASSAVWLDQL GSRYMESSLRWRASLLRKKLLPEYLNGQPGWLKEGTRSGAEADNGKSEPSQARVQQSG TAAAGAGQVAQRGRANLVCVRSTQERTLAIQVTLVSEEQNLLWEKHALSVPHGQMNEK DVRISLSIGYTTDTLCIRLVGRPGSQIVRAAYRWSRDPQRQENAKLAGQPQHSRLHGL HALLGGLVWAAAGAIRFGAPRVPWSSTRHLASLWGSMKSELARWQPGPTLRAADEVVG ALQLPRFPAAATQRGFPTPAHIAVEAGCYAMYQPQDPPVSFLPALCPVPTYTRLNMVA MCRSLPCEHRHIIAVICHSKSILRLPAVTEYLGAIHPAPKRTNSDSSACNAYSTHGFI TLSQAGPPASDAECHRDLLSRGWFRVTIPLPPTYLPPHTHPHARAHTNARTHTINTIN TINEEGRLRRKTTLFQELGMDES MYCTH_2300348 MPSPGSDNNTSDMDDFHNELWALAESSSISLRYASAPGGIRKYT AGGISLRYQRATDAAMRATTVVRNRPRMRRRSKTRRGSTGSSAVQSPAMSSAASPQPP NAECPP MYCTH_2026137 MAGVQAMPFTASELPHSANKERAGFCWQGVPGAASTDIFDQYVE LGDSDAESASGQSGGFGRLVQLDQLDQLGFSELPSMTPHVSLDQIMAPGGGAQGGPEA QSRPSELKRDHEVAGASPSPRVCQPSQHLHPLYRAQTDMVLLNSVGDTPGGGSISDSE LLNLEGLTMRSPRIQIPHLSTSEPASPPSGAASPRKVSQLGTLCTKIRNMTATLQGMN SETSILPEDVQRFVAGSEPNLVNGYLRGSFNPSGLLNDQFVHPLQLNGGAMHQTPLST APIADGLRLPVSALDGKPLWTTAPGTYLDGGGGDDDANTWWDPSSDAMDTDVPAVSYH AAVNERSSSLNMGVQLHHRQSFEYPAPPGTNDTTNTVTTTIFGSKGMMLHTPQPRGIP SAVLHSDVSAHRPTRADCHHRRPRPRASSLSARHHQQHQYGPGVSPRKGRTVKGSGSA SASRIASTSPSPRPPAFAPGPNGSLLHGRSASMQTLHYSGLAAGLSADGGAAIHKRKS WTGRRTSSSSSSLHHQYHGLAMTAAAAA MYCTH_2300349 MRWSITRPLAALASLAALASPSHAEYILQSNSLNACQENSGFTA SLFKVVFTPKNHTANVDIVAVSSVQGNVVFDVAISAYGYQFIKRTVDPCKVGLAGLCP MTAGKIPLNFNLNVGEDAVKQIPSIAYTFPDLDAKVRVFINRTDGSEAGQSVACVEAD ISNGKTVDLLGVKWATAIVAGLALVSSAIVSGLGHQNAAAHVAANALSLFGYFQAQAM LGLTGVHLPPVVMAWTQDFQWSMGIIRIGFMQDIFTWYQRATGGTPSTIFDSLTTVSV QVEKRAVNLSLGLAKRSLAMMPRSISEPMSAIMKRDLIKRGNIQTSSGSYIVYGIQRV AFRAGIESTNLFMTGLIFFCLLVLFTSAAVAAFKGFLETAVKKKWMPSDRFLEFRNGW LTVLKGILFRLTLIGFPQMTILCLWEFTQKDSAAEVVLAVFFLFGMVITLGWAAYKVI MIARRSILMHNNPAYILFSDPQALNKWGFLYVQFRASAYYFIVPVLGYALVKAMFIAF AQDKGTVQAVGFLILEAGALIAASVLRPWMDKKTNSFNIAICALNFINSIFLMIFTEV FNQPPLVTGVVGVILWITNAVFALILLLMLIVSTIFVFFRENPDGRYQIMADDRTSFM KSQTHLAQTTELDALAATARGDKGGFKGGLDLDDDAESITSDSLRHQTEHLVVPSNGS VTGFSSQPRAPASPSMPLFPADGPRSPPRFNERPASPFGASNYGPAFRAQNNSSPWQR GAGYDH MYCTH_2300351 MDHCPPRPQRLLRSATSSSSAFSRISTVSDFTDFDSLRGHATCP PPQPPPHPRQPLSSLQTSNIVGSRWGGEQGYVYASPTSGWATLTTSPHAVGYSPVAST DAVAQSIEHHGRCYPYNHHEAIPAAEKEEEEEEQEKKRDGAGLGRLARSAAPMNCGEA DNAASARVSSAPVFDLTTTLGPTTKADEAFIKALQEQEAHGKLTGGLGAGIKADMTVT ESALLAATPLSERPLSQTFTRSSRRLSRAETVKRLGQSEANKRGEVIEVVMEDDDDVP PHSKVDISLVAGDDDTEDRGPAPFVPRQTTFPTRKPTTTVFYPQPNWKPFSLRGPYLI SLIVLSLALGACVEILYRSSARDPLVSFKGPSEIPPAQYFAIKFLPMIVAVSYGVLWQ ITNFDVMRLEPFYQMSKEGGALAAESINVDYLGQFNLFRPLRAIHYRHWAVAVSSVAS LLANTLVPTLGAASIILSPDRDTRLLFPNREKNILMHHVWSRLLVVTFVIIASLGAVL LYQLQTRRSGLLADVKGIAGLASMATVSHILMDFKDMDVATHQDIHQKLKNHRYVLRN SSLAPDDSSSDQVDNNANAKTKAAVGGDDDDDDDDETDRYTNHHLSPNPQPLMLRAAG ALPFLCLILLFTALLPVILFTPATTLADRAPWLVTAVAVLIKLSWGALETDVRVMEPY YILSRRHAPPKTLCLDYTAMPFGWVAVQGLLNRHWIVFAVGLGTVAAEVLTVLVTSLA TVEGRVFIALLDEKKTGSGGAGGLGGGEDAGLTTRAAAAAAVVAEAAASSSRKTTAGE IINAGEETVPSFWLSLALALAILIYMAAVVAVVFARRGRVFLPRQPNTIASVLAYIHQ SKMLYGFVGTAKLSNAEMLKRLEDLGKTYGLGWFHGRDGQTHCGIDEEELLSGYKVGY DYSRATKPWEEAMNWL MYCTH_2300355 MARNLFLSSSSRPRQIQRGIAEPFGRREPVSTPSPRPLEQPSFR PHAPRSQNQQRRFYNYYPGGGGGNRERSPPPYDPEHREARLREAKPLFHWRGFRALNT PSTYTVVAVAVSGALIFYFSNLETVPVSGRTRFNVYSPESVKKAGEMEHKRLLWELEQ RGARLLPDWDPRTIRVKRVMARLIPFSGMQDENWEVYVVDDPRTANAFVLPGGKVYVF SGILGLARNDSGLATVLGHEVAHNLADHHGERLSQDIGASIVLWSLVILGGAFGLGPI IMHFFGSRFLDVAFGFPMSRLQESEADYIGLMMMAEACYDPREAVGFWARMERATGQE VPEWMSTHPTNMNRIKKIQEWLPQAMEKRAKSDCSTTASFADLFRQALRTGQAVIVI MYCTH_107178 MAPSVLRLGAAALACASSTLAVETYQLKESYNPSNFFDKFTFFS SPDPNQGFVKYRSKQDATNLGLIQSTKDEVTIKVDSTGTDKDGRSSVRIESVNTYNSG LFIADFSHFPKPACGAWPAYWMVGPQWPLDGEVDIYEGWNLNTANKVVLHTDGPSVVG SCTVSQEDFTASLRYSNCWDKAPDQPGNTGCAADEPDGTFGGAAGGVYATEWSETGFK IWSWTHDNVPSDVKSGKPDPSTWGMPVFAAGGSTCDVTKTFNNMRIILNINLCGDAAG GLWGETCQAATGVAQCAQYVQQNPKAFEQTYWKIRGIDVYQLETVKPSSTAASSTSTT SSKSSTSNTSAASSTSTSDSTPSSTSTKSTKSTAPTSTTGASTSATETCTSDVTTESA TETVTSTSSSGPATETDTESDCPDDNTPSTTFPPDVTGTSGTATATASVSATETATET ESECSDDISETATVGPTDSSTKVTATAAPTDSFTEVTATASTTEFTTSTIYSTVTSTI TSCAPTVTNCPARTVTSVIVIGTTVCPVTEVKPTETGGGVPGTGVPSASAPGAGSPSA DVPSSNVPGTGVVPTSSVPTVSVPAPGGPIGGDTTLRTSTTVYRTTTVVVPRPTDGSA GGGDNDNNNNNNNNEEEGSGDNNETASSSTLAPSFVSPPASSSAIPDEPEQAQPTDTG AGVPPVEIVTSTSPSATSSGLSPVVTAGAGKVAARSAVLMGVGAVVALVNL MYCTH_2300359 MRMGLRMMLRHVLAVLALLAAPSWARFTNAFDGINCGSDLVLTW DAVPPQYYPPCITAQVIDRNGDGFSANAYRVNITTGVSGTSYTWEGAPYPLRWILGGL YQLELRPMSWPGGDVPLLAKSPSFTIADAGVAAQPDGSAEEVSEFPNSAAPRAGRRRK ERECSQADGCFFLFLLYSFQTASARRFSER MYCTH_2089843 MGDLGHYVDFPSPPNSMYYVYGDGDRYLSESQSPNNSAVGSRAQ LGSEQASDNSVYRYPSPGHEEGESAEAPEPQKPAAKRKRENRYKNAPPAVLSRRREQN RASQRAYRERKDQRIRDLEQMLNEAKQRNDVLSRAYAALHAEYMALKSSQLGDTSYPQ QFGGGLLYNSSGTPVTTPLNASGGVDGLEGLDMYAYGDMSTPRGYTL MYCTH_2300363 MKSTAPADHEPEHSSKQTGQTKPPSPSLKSMIWHGIKSDASRAW YVIRNTSFREVMRRNPGEAFGAIVAVAGAIAVFIYCVYAYYTYFYSRQFTRYPEPVAQ ALRKALYFSNHDPDPQRALKYYKQALELCDQEGLDHFSDDVMGIKIQVAAWLEKIESY HNAITVLENLLNDCKRWVEVMEKSAAEGTLPKLEPVPAAKEGEAGVPEQPREGWWAKR TRLLAKAVSISVKLANLYSDEHVLEREVAHERLVWAVETALKETQRRAKEGLKDGEGP WMSLEEIGGSLEALAYSYQEKSQYHLAVPLFFQALRLCQDPCHIALLMNNISTSFAEH PLIPPGDAPVHAMMEESKTWATAAEQRASYLAAAQRWAQNAIEHASQPQGDRRTSECD RACAVALSNLGSVLAMLGKNSEARAKYEQSIALSKKFGFDDYAAEAEARLRSLPEA MYCTH_2314458 MAGKFEPKVPVQLDPPKDDPISPEELAKANGENGGKCYVAIKGI VYDVTGNKAYQPGGAYHVFAGKDASRALGKTSTKPEDVSPEWRDLPEKEQSTLNDWIT FFSKRYNVVGKVQGATNFE MYCTH_2023079 MCDYDEFSFTCGHYVIRRKSYCHFARNHPTHGCRSVKKLRNIWE QGRPCDECIQR MYCTH_2300377 MARRSQFSPQDRFPLLSHGDSSPGSPDSIIMRNLEQSASLNMLS LTHARSQRGFAQHQGMHPLNQFIHGPGPWSPFEAAAGQPVGMSFNQPRPSFTTYRSAP PSEPDTVCLSIGGTPTDSGYGSNARQSVGNPSVQGELEQNFLSRFQAIGQEDASPKDE PHTRETQAQRPSSGAPTSKRMTCPVCNEPVKRRSDLKKHEARHTRPCKCPFWDCPKAE EGFATKNDLDRHTKSVHKLLMGVVTVYRCDVDQCKDKPKDWPRLDNFKQHLRRKHGIE NADLSRFTFQVSGSDAAGLRPSESAPSELPTASSSTLTPETLWVGTDQGHVDPASLFR NSHLDQMGNVNPFSSFSSMKERVPVTLETGSLRLSDQNITENPHPVTKSAPFVLDTQR PTMEGARSGAELISEEANLPSRIGIAPDILIQACAASRPFDQLDAQPRQAQEMANSDA PETPDVSRSDVLTRVDEVESNAPDDMDVDGSALDSGSEDGSHDSDSDEDEPSQNSTDS QSNLLRDAGAQYIKVDEAHIKASLSQVELDAENQRPIDLDDETQASAVVKSLIEKGKL GEMLKRLGYPALDDVETKGQMPTDPSSTAGDSGNIYKCQECQKPFQRRCELKKHLKRH AKPYACTYVNCNKRFGSKNDWKRHENSQHFQAEIWRCAERPTDRPDQGECGKVCHSRE SLRTHLEEEHGIRDFVVLDKRLADWHLGRNYEFRFWCGFCQKTIESTGKERPAHSQRF DHIDDHLNGRHGLPKADIKDWKHVDTESIESPASLPGKSRRSKGKHMVLAGKARKRGY DGGGDDGSSRSKRLKDGRGIVWFWECCLCHHYWRESITPKCMNDGCNHECCENCDREP ATSLENEPEPEPEVPPSIRDREEDGIMM MYCTH_2300382 MGHPYVLGGAAFPCDDMPFQSQPCGGLQDGLQDSSMRYIDPNMQ NPASSAFDGTSWAAPAQQSFKENAATFFVVDPASQVSDHGAVRPAVSVSQAPVPYARY GSPFSSAEPSPPGAGLSPPADTESYYDGGYPRTPSEANLLSPFQPPLPMEPFAHAVQF RSMGPGPDYVKLCDVSPGQQHSEYCESDNDMFDFNFAPQPAYFSGHVIRDVVPASHGA AVPEPELSDTQPGLDETQSQSVVKEEIKVESQYPPLEDDAGPEKQPGPKRRQQHGNDD EDDDGGRGGHGNNGDLQYRPSKRRRTTSRIPEQHAAKTIPAAASLPRATRTRAGNPIP PPPRSGSSLSGGKARLACPDCKQRAFASRADLDSHVKKEHRRPFSCVFDFAGCNSTFG SKNEWKRHVATQHLLLNYWVCTEGVCAKVSQDHPPSPDQHQYAAAAATTTTTTTSSSS STTEGKENPRGAIFNRKDLFTQHLKRMHAPKEVKELLPQAASKRAGTSSARGSASANS NNNNNSNNKMSTAQESAILARWAARVKRLQDAAVRPRCRLPTFMRCPFPGCASAPPFR GNDAWNRRMEHVARHMNSPGNDDDATNNNNNKTRRVGCGSGGDSSSSGVPVPAPDPTL VEWAARPDVAIIVPDGRGGWVLKSPLERKPGGNVVVTAPAGHASGDGGGGGGGGGGGH DAAEGGGEEEEGEKQDEDYDGEDDDEEDEEEEVVVVEVMEVGLGDEEQGDGGGGGEDD EAEMDAEGEDDDD MYCTH_45263 MVENSPCRNTYRESGPSAGRERILDRKIERRQLLASFSSNLARR GPRFEGKASDGSVRSIVSLFEKSATHSDSPASSRPDWACGASNELGGRNGRKGHRVVH NDKDHNGRVSGQPLTSQLQNDRLVPSMSPSSTTGYQVEDYSLTLLRHKSYFNNRSLAR CLDEESEEDTRTKVQLVKSNKDGDDKAIVERKKDDPDEHGRGGKGDRILLASQRNHPF PKQQRDNQMSELLPRKELLRPRPQELANRNLLEVDNFWSHVRAELQVDDEEIYGTRPA RTRRQDYEARPRDGYESWTFKQSSTPDASPPASRQSKPDIVHPFLPAPTRPPPPVPVA ARERSLSAVSRWKPHSATHLSEPFPDLASDYPVIWDEPSPTPFRESASAPESADIASL SFDPYPEPELPLLEAGRDSSVSLTEPSHSRYPSSGSGPWTRPPTWRLPSSLYSSSPPS VPPLPSQSPLPTQPRSHRRHPANHSRNRHHHQPHSSKTSACTSFSVSTTYSINATDSS DCGRNTYSSRQTAQTSTSSTATRSTRVDSGAALSSSWSSLVYGPHPPLRRLTTEEKLS EIDAFLGQDAEPEPEREWLCHDQGQNQTDGGWI MYCTH_2300384 MRAAFQRLDGSATEAPRASKSTRGILKTYEGLLALKTVEEMRSS WLAIAPEKREQDWLYLMKAVVDFRPESAALVLEATVEPSTTPGWAVADVFAFLNRWSS TLPDDGRRHQQARLPGLLLHLLRNSPPNHYQFHQWALGQTLSVCEPDTAAEIYSALRR YNHYIHYNTKLKIAGCLTRGSKYKLAALRVFEEVLKDPHVDVNDRRCAALATELFTLP QSWKDGRESPVEVQLLAEAFERVVGLGLSPNVVTYTAMIRSLCLTNQLGTAWKIYDVM RSHGTTPDPHVFSILLNGAKLAISLDSTVRVVEEATPDTFRIPYFWNDIIHTILLAAA EEATSRPVMHGQPIAIPAFSSMLRVYAKFFRLEPLQGLVQTDLQEILAQDDDPSRQLE LNWKQKLAVLVDQLPVSSPEELVEPGVDTLGIMLLGYIKSFSTPQPVLSFYSHFRKLL KSRDPIAIGLASHSTLPYDVVLKSLTDNPGMLRVGIDIVNDMLRDAAASAAALKARPA ESDPTPPPAPPPTTTSGPGAGPEAVEQQTDKATTSTATTVTTTSAAAAAAATEEEESS LPAFHHPPPSVYTWSILLLAFSKQRNLREVERLIQAMRRHGVEPNRVTWNTLLTGHAK RGNERGVVAVVDRLDAARYHPDRYTVTAVGKLHRPERALTHLEERAAAREGERWAEVE EAAVVPEPGRSLWPPRPLVKKQGSSSSSRGGEKVPLRLGKRIDKFRQRLAKERAELEA KMQQERRQAETALEKAKREARETRSRVQEEEWAARARPPPPLPLAEGGAGEEEQAQEE QASSTAEADGAGVSDAAVDSYLRMYDELVFMRTHNELREIKESIKEDQQPTVRRVQTE KGKYPPRWDSYARRPLGLSVGLERAEAETASDGTGTPTPPPPPDEAPATREEAELEAA GEPRPSEQQARE MYCTH_2300385 MSSHASLSAAADDRKARLAKLKSLKRKQPTGADADDADKAAAAP HDDSATDQAVPSSSSSSSSHSSSHAPPTKDVARMHLSGRNYDFETKGPKLGFEAPLTL ALEQPTLEQKAQDLEEESKRQAALDAQNDKGIDLFKLQPKKPNWDLKRELNARMEVLN VRTENAIARLVRERLAEKKAASGAARAAGAAGSRAGGGEQQQQQGDAEAEGMLDGAAI VEGMRQREREEEEENRREREAEAEEFGMA MYCTH_2116644 MYGYRGRTGPSKTPPNVQCQKCSWLTAAERHYSYECKAAPQERP YVARPSRTQQLFNPKLLPKLASETPNALQERQGVADEELAKREAERARKRAREAEEDD ALTDSPSPRRHRSPSCDSVSSISTRSPSPAPKRSRTPERRERRRYSPSPSPQHRRGRQ PSYDSDDGYSRGPSERPEPGYRAPASRGSRSPSPRRRPSPRRERGGRDSRRHGSPGSH ARGRDAHARRAYSPSRSRSPSRRDEERERHGAGRYRDREDRSFQGRRSPSPLPPPRQP SPTRERSLSPFSKRLALTQAMNRGR MYCTH_2300388 MWLSTGNPTLAIRTRLTLLWFQVAAASTPKGSKPAATVDKAHGS SPVKDAAPAAAAADASNDAASSPNAQQTTGSEQDDESKVVAGLKNLKLEAKSPPNLVV NGSQTSTAEKSSKVGNGQTPSDDTSQRADSNSELGTKPPSLDGKSITSGTTFALDEKE SLRPDDSASVKAAAEDDDAFSVRGSYMAGSRMGSDVAARIQRIQIGDMPPRALPAHNA LAGNKGQGVGTPPSGVSDKQAVAESKGSLGGGATTPDALAPNAFYSQHPDEKLLEAMQ SPKDRIFLLRLEQQVIEFVQDSKEPYMDLPPSNSFCRMLTHRLADYYHMTHSYEAAQG AVRIFRTPFCRIPPSLSSIAASTSNSSSPAPVVLPRKIMRRGEDGELGPASATPSKPT SEAGSESKEKPAPPKEKLTREEREEAYNRARQRIFGSVEKSENSNQDGEDSNGVSRAS SVSGKDKPNGGKRKPKRRDDSENFESRSQFVAWPQHPGWGPSAPQYFPVNAAPFAGQF QQPYPNTPQPVYAPSQAYAPQMVPNNGFAPQYTGMPTYPTPPLPPAVPQAVPPPPQQG YRGPNPPMGGPYGSPMPSISQPEWPQQGFNQNAYPPRSSPVPPAGIPYAYGQLPANAN PSDPKSQHPIPGSYNRQAFNPKTQSFVPGNGLPLQPPPPPHVSGPYGGSNSRHGSPQF HSPHVNYSGYQQPLPQPGFAPPPGPYGMTRQGSNNSMPPYHAVQQPPHVQPPPPHGSQ HMPPGGPMHMPNKPAGPAPTGPGQSFSHLPNYGNPATLPQKPST MYCTH_2300392 MAVDQEHFVHLARPLPPTSMGFAGSAPLTVNIQPQAVFSVIDHA TRRDIRDTQSTRVIGALVGTRSEDGSEVEVRSTFAIPHTENEDQVEVDVEYQKNMLAL TLKASPRETLLGWYTTSHELNSFSALIQNFFASPETGTFPHPAVHLTISTEPGAPIET KTYISAPVAVSPDRAAESCLFIEVPHKLLFTDAERAALGTASAAAANESRSAPVVSDI DSLAQALTTVSDLLDRVSGYVGEVLDEERDGNQALGQYLMNALSLAPKVSPAQIEADF NNHIQDVLMVSYLANTIRTQIDLAQRLATAPLVGSGGEKEGGDKGKDGEEGGGKQESR GAKGGKRGVRSGGGRSTGQRGEPREPRETGE MYCTH_2300393 MEVDSDEEDEDDVQQPAQPYMSLMRSLVESAPKAKRRKLDHPST EGHDRDSSKPEAAPEPAHGNEEESGEEGDPDMVEEPEEDPTNLAPEDLFDEDDELDST DPFETHFADPKEEEVQPKLKAIKEGKWRMERIPTKSTRIFLSTPDTGDAAAKLLPAPV SGISDLKLKKRLHDAMASKHPKFDQVEQTIAPLLFNYQDVLYCNRTVAGSQGVRRMAA LHALNHVFKTRDRVIKNNSRLSRADPNEDLELRDQGFTRPKVLMILPTRQSCVKMVEM IVSICEPDQQENRKRFEDGYVDKQSKFSDEKPEDFRDLFSGNDDDMFRLGMKFTRKTI KYFAQFYNSDIIFASPLGLRMAIGSEEEKKMDYDFLSSVELVIVDQADALLMQNWEHV EFIFEHLNIQPKDAHGCDFSRVRNWYLDDQAKQFRQTAVFSAFNTPELAELLRAHCHN WAGKARLQQECPGTIQYLPVKARQTFSRFEAATIAADPDARFAYFTKAIVPMLTRHKG RDAAGTLVFIPSYLDFVRVRNYFANNTAVEHVSFGTISEYTDVPEASRARSHFLNGRH KVLLYTERAHHFRRYRIKGVKRVVMYGLPDNPLFYQEVAGGYLQRSEQSLMLEPGQGV VRVMFSKYDVMKLERIVGTSRVGKMIHDQGDTFDFV MYCTH_2300396 MAGGHMKYRQLSRTSAHRQALLRNLVTSLIKDESIHTTWPKAKE AQRLAEKLITHAKKNDEVSRRKAQGILYTPHELMPKLFGEIRERYLNRPGGYTRVLRT EPKDKYDQAPSAILELVDGPKDMRFAMTAAAVARDRALGKPHTELTEKNIAKVTRYRR GGREAFEEMVNKIASLRL MYCTH_2300398 MGFVAGFAGGVTLTLSLTYLALLTHTSNRQAQSAVLRSQASAID ALIPPEDDHLGRRRRRNATVPLPDGTYRPRESLEQYRRDAAAGGAGPGVSFIETAKTR WNSEILSAVRWAQNKDWSRVREQAEDKVAALLGLELSREPVTVEEVVAVSPPAVRQHH YHSDQQHQPQLHPQHQQQAEHEEAVRRARDSLHHARDTTVAVAQAMRDEAREIVSEAR EVVAAGVHEAKGAVEKGVEKAHDLVERTKAAVHLAEERAESEAYAKMLPVSDIEKALA ERYDSARREERMKRSVEEVLRERYLPLDKRDNSRLRGL MYCTH_2124971 MSSRVVPIHGLDPEEKVEATLVWDGRSSPDFERDVCLGDLIHDF PTSIPYPRALWAPAHRALAHLPGLLRRAAIFLLPSFIQPLTASAPACSRGRATFAGDP RFLRNVRESPTTEPAADRHDDGAAGAGVGGQRVPVRARLGLAALWGPGGGGGEAMDVH LWTVPVEFRCSMVLFLTLLGTARLRRGWRFVVIGASVAFAYLSQRWELVLFYAGMVLA EMDVARSAHGHLGVRRILAGCRWPRRELCEVAGGEMFDL MYCTH_2300401 MSFSSLVQDLSLRDSSAPRRRGEASVSTVDDRASHISMAKSYTS TAATSVSISGDIGSQLHAGYSHPLARSWQAERQLTKSMLIYPIFVSDQDDEEVLIPSL PNQYRRGINRLVPFLEPLVHKGLRSVILFGVPLKPGTKDALGTAADDPEGPVIRSIRL LRQRFPHLYIVADVCLCEYTSHGHCGILRDDGSLNNQLSVDRISDVAVAYARAGAHCV APSDMNDGRIRAIKLKLIEEGIVHSVTLMSYAAKFSGCLYGPFRDAAGSTPSFGDRRC YQLPPGGRGLARRAIIRDINEGADIIMVKPAGQYLDIIADAKELGRDLPIAAYQVSGE FAMIHAAAKAGVFDLKSMAFESTESILRAGATIVISYFVPEFLDWLSN MYCTH_107168 MAGSTDQQSGRREASDSVDRPGSPGTQSPIPRIVVEPADDRIGD NGPPPATRQSAAPRERPRLSERPFLEVPSPPRPSHRRLGSQQIGSSQPSREPSRSRIP QSLTGTLGLPPLMTGPIHPLRMHPVHLTGSSSMPIADTTGRPLGLRYDGNPYTLQGKA VMEKVDKMLAASRALKPEAERSSKGSRSGAAGAMGFLKKLRSPSQLFSKPKPRVPIKP HQIRHITGSLEPLPLPEQTRHVPSVCLRRNELGNLKREKALRVLGETPNLDPLPSIDL DPAATSPDLSFSSTSISTEDDHPFFSRSGTTTTADIATDTATNTITTANNNTTTTTMA AAVTTETVAETATLSGRAPAGFETRPLRAARSGSSRGVTSGAGAGTAAGAGAGIRTAP ESPTMAARTTTTTITTTTSNSTTSSTNAGAGMHTSTRAFRRRSRSVPSLDALLTTPPL QGRPRPHPQADAEGAGPRSAAGAGAGAGAGAGAGAAAAVAPPPSRWVSPRAGGGDRVA TKRHPTPALGDLAALERALRERFPGLAGEGSRVVVVEENEEEVEEEEEEEGKPGDLQP PAGYF MYCTH_2300403 MSSTTPDRDFLLALAHTDVFTPLPATTLAAVLASPPFVSIPDTF NARDLGLVPGSPIPPGRLYRTAVFPTEVSAEGRAALRERLGVGRMVDLRTAGERARRP EPAVDGVEEIWIEPEEGLAPNLDDFGEGEGEKGAVRMYLDVLRLYRGGIRAVLEQVRD GWERGAVLFHCNAGRDRTGVVAAILLSLAGAGAETIALDYLLSRIGIEPAKEQLLAYV TKGRMAANMDARGFRNMANLKLGCWEAFVKAVDAEYGGFEGYVRGPLGLSEEDVAKIK NNLVFPN MYCTH_2142826 MTRKLKFHEQKLLKKHDFINYKQDNNHRHHDVARRYMIQKPEDY HKYNRLCGSLRQLAHRLSLLPPENEVRRKHETLLLDKLYDMGILSTKSKLSQVEHNVT VSAFARRRLPVVMTRLRMAETVQAATKLIEQGHVRVGVEEIRDPAFLVTRNMEDFVTW TVGSKIKQNILKYRDKLDDFELL MYCTH_2300408 MSSCRQWLSSAVRQCRIGPAQQQPRSFSTSAPVAAFRSIFGDNE SNAGTTSSSARRQRPSSNSNEALYGLLTESVERGGPLSAEEQRQVMEQQGGLGARAYV KDLRATATTDNYLRQMPRRWRTGDVYAPRDMSPAEMRKWRQGRRPTRDIIDMLGFNPL DNYKNFSLISEFMTTMGRIKHSSETGLRPVNQRKIAKAIRRAIGMGLHPSVHRHPEIL RLSRHGLPTASIPTPSDPSQNRL MYCTH_2300411 MRSQLTRNVYLRLLASRGLLRPCPSPPGRCLAVSSRATPFPLLA RRQPRRTFFGIFQKPPRMLKEPEIEPGYDVLLHYRSLETENARPPEREELLKGWRQFI QHKRKNHLRSLNSTQAFLAYRLLLHLVETGPEDEEGQGDDLSFADLRAALWVTAMKPP KGTTEHHLDLAKLLYGEIERRIQKMRELGIDEDVIREAVGGKDTGDLELFFTALTQYG GSLEAAQRLAELKDTLAERKLVPRVRVFWTLVLRGLAKEGREEELLAEWKKAEAAKVE YMPPIHEIMTTFYATRDRIEETKYWFEKPVHANWARSPKSYMEVVRFALRNGQQQWLQ PVFEQLINSNPQKEWWDVIFQWAVLVMDKGVEDIKQMIDVMSSAQAGKEGKESPKPDA ATIDALITAAIEKQNPYLAERFVSLGAELKIRPRASTYILQMDYRLDAKDFSGVQAIY DKLLRGEVAVKQDEDLPVLNKYLRALCSVPEPDVGRILDITADLEQRQATLEPETVVA LCMVFLRSDNQYEVIDTLSLHTVSYSLEERAQVRRAFVEYCLDRRVSTARAWDCYSLL RQFFPETEPEERVLLMDGFFARRRPDMACYVFGHMRSQSNPAQRPTADMYVRCLEGLG RCPENGGVEGGEEGAGESLRMVHNMLKMDTTIQMDTRLYNALMIAYAAAGEPDVAIDF WRDITNSAEGPSYASLAIVFWACELLPYGDRTARTIWQKIQRMDLEVPPDVFWAYCGA LAGSGHVEEVIRLIRGMEASVGYGPGLMTLGVAYNALPGESRKEQFEAWARAEYPDIW AQLEKKGRKETLRGTKFNIVRDMRA MYCTH_2300414 MAPTPPTVLSLKQSFLTTQTRLLSQPLAPTRAWLSSNSNSNNNN NDDDDDEPPLPEKAIDDALFKLNHRLQQHARRVYPPQATRHVAEQIDQLYWNAAAQAT DGARGGDADSDADGEGEGVRPGADLANPDVIATLPLEWEEDGSTSQQQPLEAKRYAEL VGELRALAERKQQAVARVARLRRIRALLEPFSPSSRSGGDGSGGGDDDDGGDSGGGGG TLDAVQENLITRNGEIEAELSRMRMLLARVGGRVGQLKEQGRQARESSAGSLFSDRGE GVGDVDVEMEEQRKVGLLLERF MYCTH_2300415 MSSALSKRQQARNEKSLQELLHNVPGNNLCADCQARNPGWASWS LGIFLCMRCASIHRKLGTHVSKVKSLSMDSWTSEQVDNMRKVGNVVSNKLYNPDNKKP PVPVDADEADSAMERFIRQKYVSRSLSAGKRRPGDEPEETPPPLPPKTPSRFNMRSAS SIFPLGSKSKKGSGSEPNSPREPEARPPLRNKSSGVFGLSVERDRADGDDTAQKLAKL RDMGFTDDQKNSMVLKGVNGNLEKAIEALVRLGEGSGRTPALLTPARAATTPVTRSLT PGANTEANRTRPVSPASTNPFDALDAPPPPQPLSTQSTGTLQTKNPYLSTNPFGAPLQ APSALDLAFQNMSLAPPSQPLFPNHTGGLAQQQNAQMLYQWPTSGPLTAPLSATTQGY SGMATSGSQTYPQQTQPVMPSHNPFFPTQTQPQQQPLSVNTSPFGGNFGSNPFTRSPT RIQSPTLSQIPEQTQQNFYAQSVQPAQPQTTNPFLNQLTPPAQQFPAQMQMQNPQHMG LAPQMTGYMQQQPQQQPPRPDKASILALYNYPQLAPTQNSLQNQNAGQATPAQTANSP FPPQSFPQQQQQQQQQTPPPAQAQQQPQQATPVSSAAMSKNPFASAATSQPGRLMEST PKHNVSRDSMMAQGLEWSNGRHSPDAFASLSARDTR MYCTH_2059212 MAPPPPTSSGISFRSADRPLDNYQFTFESYLDDSSRIEPDVSYH FPNDAPENDRVEEQYAIIEMVLDGRLHLAPFSRANPPRRVLDIATGTGTWAIEMGDQY PEAEIIGTDLSPIQPTFVPPNVRFFIEDSSDDWDYPNLFDFIHTRLTTGCWSDMKTQI IQRAFDHLQPGGWLECQEIPSMVYCDDDTLPDDWGWLRWTREFQVAGRLANRDLNAGP DIKDWMCEVGFVDVHEAVFKIPINGWPREARLKQLGMMWQRNLLEGLSAFSLGMFCRF MGKTVEEIELSLVDVRKSLFDRDVHAYHKLYVVWGRKPEAA MYCTH_2124981 MGRFFVASRGRISKNRTRKVIRAVLENRLDILDNEQKKLARWAR ESEDSDDVPPGKQLLHSDYFWHCGE MYCTH_2300423 MAHPPTTDPANTTDMPISTSTTGTPAAMNNPNPETETTDPETDF LLQSYIRLRHAISTKTHRGGDDDDDDDGQHQHRHHHHRHQQPSDRRPVLPTREALAAA LSSLPTRGSPSYLEGRGAAQTHAHLLAALLPALNAQALSPRYYGFVTGGVLPVAEAAD NLVTALDQNVQVHFPLPPGPGSGAPEKEEEEEEEEEEEGPGWTHSASTAVEDAALRML IDLLHLDGEEDDEGKGARTDPRWPGRTFTTGATASNVLGLACGREAVVNARAARAGGK KTVAGDGLLAACLAGGVREVQVLTSMGHSSLAKAASLVGLGHGSIKDVGVPGEPWRLD LDAVERELEREDEGVLSIVVVSAGEVNTGRFATNVLDMPKLRSLADRYGAWIHVDGAF GLFARALPKTDEFLGLHANVAGLELADSIAADGHKLLNVPYDNGIFLCQHASVLTQVC SNPNAAYLASSPGDPNAILSPLDIGIENSRRFRALPVYAVLLSEGREGIAAMLSRMVL LAREIAAFVRDSDHYEWLPTEEASLESTYIIVLFRAKDPELNEVLVDRINASGRMFVS GTKWEGKKAVRIAVASWRVDVERDAAVVKEVLTAIAVGRKC MYCTH_46719 MAQGEVKKKAKADPGGKGGKAGGKQQQKKSGVTKPKKAKTTADK IQKKYTAGLVAKTEQMLGERAGHLELIGKGRKKGAEKQFKGGSRKFG MYCTH_2300427 MAALKFVPFSSEIELPFYSALFSSKLDHDKLDDSARPVMGLYEP RSQADSQGARMQILGSALTNRDVPAGMTRAKGYIKNVNTIEEFKNTDKTAMITDAGRQ IWEAIQDGTIYSVPSLLSSFAILSFADLKKYQFTYWFAFPALHSDPAWKQTGPVGHIT PDESTALVDAVGTWRYAVSTEGEHGFFLAKKVRGENGAPRQLPEEDASPDIGYQWQIG SLRDFETGFFNDVPEEDRYVAFVDPSNYPESPSWPLRNLLVLIRQRYRLNKVQILCYR DIQARRHEARSIILPLASDAADVTPATKMPNVTGWERDASGKLRRRLVNLTEYMDPTR LADQAVDLNLKLMKWRLAPNLDLDTIKNTKCLLLGAGTLGSYVSRNLMGWGVRKITFV DYGAVSYSNPVRQPLFQFEDCLSGGRPKALRAAEALKDIYPGVEAEGHVLSVPMLGHP VLDEAKVKADFTKLQELVDAHDAIFLLMDTRESRWLPTVMGKASNKIVMNAALGFDTY VVMRHGALPEDGSEETLGCYFCNDVVVAADSMKDQTLDQQCTVTRPGVAAIASALLVE LLTSTLQHPRKHHAPAPVPSQGSGSNYERDPVDHPLGLVPHQIRGFLSNFQNMVIHGR SYPQCSACSKPILSAYKSEGWEFVKKALDSRDYVAELSGLAEVQRQAEAAAAEVEWSE DEGLPEEGEGVLL MYCTH_2300428 MFIGICGSICAGKHTIARYLVDHHGFTQLHLDLRPNHGTEHTTG GATPADSSSSSLSSSGTSSKHPYHFSSPDDLLDFVTKHWRERWVTTDIPSESVLDQYA RRPFFLLLSVDAPITVRWQRYQARQKQRQALLPNSTAPPDGSPPAAAPTLDSFETFAA LSDAHLYDPVTGVNPLVSRAAVRLLNTSSSLAHLYATLGKLDLLNPDRLRPSWDSYFM ALASLAAQRSNCMKRRVGCVVVRDKRVISTGYNGTPRGLRNCGEGGCGRCNAAQGSGH GLSTCLCIHAEENALLEAGRERLRDGAVLYCDTCPCLTCSIKIVQVGIGEVVYSQGYS MDQEAAAVFREAGVRLRQFVPPANGLIHLEKPDLYT MYCTH_2314467 MAAINYSLVARDSFSTLAKRSNWAGRNAGVMVVFCVVFVVGVGL IGLWIYKKVLARREKRQSHV MYCTH_79765 MKLSLFSVLATALTVEGHAIFQKVSVNGADQGSLTGLRAPNNNN PVQNVNSQDMICGQSGSTSNTIIEVKAGDRIGAWYQHVIGGAQFPNDPDNPIAKSHKG PVMAYLAKVDNAATASKTGLKWFKIWEDTFNPSTKTWGVDNLINNNGWVYFNLPQCIA DGNYLLRVEVLALHSAYSQGQAQFYQSCAQINVSGGGSFTPASTVSFPGAYSASDPGI LINIYGATGQPDNNGQPYTAPGPAPISC MYCTH_2300433 MASKLTPFLTRTVARTASRVARPMALPTRAFSLSARNQSDTLMV HRNTPDNNPDIPFKFTEQNERIIAEILKRYPPQYKKAAVMPLLDLGQRQHGFTSISVM NEVARILEMPPMRVYEVATFYTMYNRTPVGKFHVQACTTTPCQLGGCGSDAIVQAIKE HLGIKQGETTKDGLFTFTEVECLGACVNAPMVQINDDYYEDLTPETIKSLLTALKESV TDVSKAPKPGPQSGRKTCENSAGITSLTSEPWGIEKTRDDL MYCTH_2300435 MHSFKLLKRRITEMFHSLEESIPSMPSLGGHGRSSAMKGTWEKL QIPPLPRSSHSADIVGGTLYIFGGEVEPRRPVDNDMHAITLPSSGAQADYYAIEAKPA KPAARPGAEKPVIPIVKEPEPEAADGEEPAKGAGAGAGTGTPDDKELSEVPLTTPSPE AGLGGDGGGSGDSIISPASRPDLPDVPPPRVGHATAVIGHRIFLFGGRGGPDMTPLDE GGRVWVFDTRTHLWSFLDPRPAAANLPDIPRPAPRSYHSAAATPKPDTFSAKPPAHSH SNNNKTEAWRDWALGTSAEAERTLGTPQRPVVGVLAERATDPEDDGYGTFIIHGGCLA PSAPGAPTERASDVWAFDVRSRVWQRLPDAPGAPRGGAALAISRGRLYRFGGFNGASQ EGGQLDFLELGVDVFDDQVSRGEAVLCARGGWKSLLFGKEDVGYDDEEAGTGARWPGS RSVAGLEAVTVGGGREYLVLVFGEREASGVGHAAAGRFWDDVWAFQVPPEDMSLASVA DTALSVVGRKSGEGTWTRVQLGPHDDEDDASADGPGPRGWIATAPMGELEENGIVVFG GLDERNRRLGDGWILRLD MYCTH_2300436 MGEQPEQAVPSLPFAEAETGKGDTPRRPEFRNGPPDTRPSQQHQ VEKRENTTTSSGSRQRYRRVFYYCAAILLHLIFIRLVYEAFSPRWTTPLLRASDQDEA TSSFGDRYLVGVGKADITGPVVEVNLMGYADPKQVGTGLRQRLYSRAFIVGSIDRPED RFVYLVLDTQSGDTAIRYGIINGLKKLGPSYAVYSHHNVAVTGTHSHAGPAGWLNYLL PQITSKGFDHQGYRAIVDGALLSIRRAHESLQPGYLSVGTTKVYGANINRSLFAYLAN PERERAKYNTSADDDGSVEKDLTLLKFQRASDGKNIGVLTWFPTHGTSLLGNNTLIAG DNKGVAAYLFERSARGDPAAAEDFVAGFSQANVGDTSPNVLGAWCEDGSGQMCSFENS TCSDGKSQACHGRGPFFRVQDNGASSCFEIGKRQFEPAKALYDKLQRDPTPVRGGTVK SFHTFHNMSGFSFALPNGSEARTCPAALGYSFAAGTSDGPGAFDFTQHNGNENTTSPV WKVVSRLLKDATPAQRACHAPKPILLDVGELHKPYDWTPNVVDVQAFRVGQLVIIVSP GEATTMAGRRWKDAVRDASAKLFADEPRGQSSSSSAASPVVVIGGPANSYTHYITTEE EYAIQRYEGASTLYGPHTLAAYINVTLSLLHYLGDGAPAPPSHEDVGLFPPDNSERSL SFISPVVVDRAPMFKSFGQVTADVERVYRRGDPPVRAVFVGANPRNNLRLEGTFAAVE KLSLLREGSQSVWERVRDDGDWALTFHWRRTSEILGTSEVEIAWEPEDWAEPGVYRLR YYGDAKSLGGDTEAFEGVSSPFTLL MYCTH_2138041 MSAAGFTSPFGTNSNPFGSSRPDTGGMQRVAEEDENDNVTSPTT PNFGQSAGNSFRGPFGGDSTSDSQQSSIRGPPSAEGYPAQYNFGRRTSVSAESLKPVG DSYDNWSPPVHAKTPEQLDRLKKAISGNFLFSHLDDEQSAQVLGALVEKPIPTKGIKV ITQGDAGDFFYVVEKGSFDVYVNNSGSLQPGPDGMGQKVGTIEAGGSFGELALMYNAP RAATVISAEPQCTLWALDRVTFRRILMESTFARRRMYEGFLEEVPLLSTLTPYERSKI ADALESQKFPAGHTIIQEGDPGHSFYLLESGEAVAYRSGNDTPVKHYKKGDFFGELAL LNDAPRAASVVSQTEVKVARLGKSAFQRLLGPVEGIMRRTKYVGVKTGLDEVDSLQVS MYCTH_2300439 MAFRRRRGAANDAGDAASENDGHKNRPPNTAFRQQRMRAWQCVL TPKLIVTIFSILAAIYLGFGAWLTYLAYTVRDIRINYTNCQKEATSKFEPMPSKYISA HFSKTDSSYNPYEAEWMKETLVVQGKGFTDPRDYCRIRFNIPEELQPTISFFYNLENF YQNHRRYVNSFNAKQLLGDAVDGRTINDSTCDPITSDWRGTGKIVYPCGLVANSLFND TFSSPVLLTVPGSNAANETYNMTTKGIAWSGMKDLYGVTKYSYDQIVPPPNWERRYKN GYTDENPPPDLREDEAFQNWMMLAATPNFYKLYQRNDNDPMKAGQYHIDIEDNFDTTV YNGRKAFVITTLSTMGSRNIWPGIIFLIVGGICLILDVYFILSFFLWKPRKLGDPSYL SWNQPSTSHGHAAS MYCTH_101019 MDLYVYNARQWQPTLLSQRRGETCKKDKTQRRQGKRNEKGQRQK RHHLPPFAPYNPASQPTLVDRTSRATPYHSATFHLPVPLP MYCTH_2300442 MADIKIDSKTFQERLSHFITAWKSDKRSGDALFAGASSIVILMG KVDEEPEYHKNNAMHFWLLGYEFPTTLMLFTLDTLYILTTQKKAKYLDQIKGGRFPVE VLVRGKDAAENEKLFTKITDAIKAAGKKVGVLTKDTSKGPFVDEWKKVFTENCKDIEQ VDIAQALSAGAFSVKDETELRAMRTSSKACVALLTPYFLDEMSSILDQDKKIKHSALA DKVFGKIEDTNFWKTVELPNRQKMPADFDPEQLDWILGPMVQSGGKFDLKWQTDSDDQ PLHPGVIIAAMGLRYKSYCSQIARTFMVDPNKSQESNYRFLLAVHNLILKEIRDGVVV KDVYNKAYNLIKSKKPELEKHFLKNVGYGIGLENKDPTLVLNGKNTRTLRDGMTLCIT TGFSDIQNPEPQDKNSKVYSLVLTDTIRVTQKEVVVFTGEAPTDADATSFFFKDEEET QPTPKKEKKDPRVGAVATKNITSTRLRSERNTAPDEDAEKRRRAHQKELAAKKQKEGL IKYADATAGKNGVEVKKFKRFESYKRDNQFPPKVRDMGIVIDQKNATIVLPVMGRPVP FHINTIKNASKSDEGDWSFLRINFLSPGQGVGRKDEQPFEDASAHFVRSLTFRSTDSD RYEDIANQISNLKREAVKKEQEKKDMEDVVEQDKLIEIRNRRPAVLDNVFIRPAMEGK RVPGKVEIHQNGIRYQSPLSTTQRVDVLFSNVRHLFFQPCQNELIVIIHLHLKDPILF GKKKTKDVQFYREATDIQFDETGNRKRKYRYGDEDEFEAEQEERRRRAELDRLFKSFA EKIAEAGRNEGIEVDMPLRDLGFNGVPNRSNVYIQPTTECLIQITEPPFLVITLEDIE IAHLERVQFGLKNFDLVFVFKDFSRPPAHINTIPVESLEDVKEFLDSSDIAYSEGPLN LNWSVIMKTVTANPHQFFLDGGWGFLQNDSDDSDGSEEEEEESMFEISESELAEASES SEEDSDYDSNASDDASEDAEVSEEEEGEDWDELERKAKKRDRESGLDDEDRGSKKQRK R MYCTH_2108584 MARTWRREHKRGAVAERVLTGEEQGGPGRFSGSLGAPIVKVTAF AVHHPSSVARSKHRAVLTSVVPGSARPEANLEIGRGETGTSAPVSRGETEWMKMVIAA ADRVAHGANTAPSYSYAGATLTPPGDRQAVGFGLGPLSPGEWTTRDQQVPNQWQGSRE T MYCTH_2300447 MATASLISPNTPYQQHQHHNSFSSGYPYSAPITGMTGMISPVEP RRTSAGSEPGHAHRQSLPSISEVLSDRKSLGYVPQPSSAPGHPTPGLPSFTSAPPPRP LSDIIIPDKSSSPRALHPTVSTYPRTEPLPAFSDPARHGLSSRPMPPPLNTFTSQQPS PPVKFEQLEAEQRHAEAQSLRDGHRPPQPPPPTQQPQQQQQQQQQQQQQQQQQQQQQQ QQQQQPPLPGLYPETGRLPSGQLPLSAYPVSPRPSGPPLSSPYDAQRPPAYAEEAEYA HHRPSDYKANFDKHFQVNGYQDALQAIMRSCRTGYNFAEAYAAAAQEQQGSQPLPSRM PTENEVSELLSSLVFALKKLEDVRDMVQQNRIQNERARDSNGRSPETEDVPMYGDGMK PPYTMHEVKKRRGVSFFSLSNLSFLDNHTEGKKKGGGEYT MYCTH_2124996 MDHINLPIPQGNNITDAIRAGIQRLTYAEGRTFSAAWTALDWPN AKKQANLVRSWGIKQLLEIWNKAKGKEADAMLWGDEVEYLVVSYSEQDPKVLLSLKQA DILEALEHDKGLSEKANGQNGSSPQTSQPPPVFHPEFGRFMLEATPGKPWGIEFKELL EVEPNMKLRRRIAKEHMLPNEYPITLTTFPRLGSPGVFTDPSYPVSGPKLRSQFVPDE IANPHIRFPTLAANIRSRRGRKVQVNVPVFRDEKTPWPWRDPTVNYDLHQWPEDDDVR NGAAPDNFIHMDAMAFGMGSCCLQITFQAKNLTEGRQMYDQLSPLGPILLALTAATPI YKGFLADTDVRWNQISNAVDDRTPEELGEKPLVNDRWRIPKSRYASNSTYISEDARLR PEYLDPDLVIDEDIKQQLLDGGMDDRLATHFAHLFIRDPIVIFSEDLKELDLSKTDHF ENIQSTNWQHMRFKPPPADNSIGWRVEFRSMEVQITDFENAAFAVFIVLITRVILSYN LNFYIPITKVDENMQTAHARDAVLTRRFYFRRNLFPTTRAARSSASGASTPSTAASRP PTPTGPVENEYRLMSINEIINGTAYAKATAAVAATAANRGDDPSSQTDAVDKDEEDEF PGLIPLVESYLDSVNVDVDTRCRLATYLDLIRKRASGELWTAARWIRQFVARHPEYQK DSVVGDKITKDLVAAVIRVGELEARGEAFRDVPEVEGFLRELR MYCTH_2133103 MKRRADMSPEPVVTEEGGKREKPAHGRPAAQTADIPAEILNFVP PCARKCFQSFISANFDSDICGNSPSLQCLCRQQGTFGYTLGEGAVSCLVAESSRGACQ GQDGGSDAKETAYYMCIGVSNAVSMTHSTILATLVLPPSGTGPLIVPTANPTTTGTDV ATGTTTSMPTPTVRLPTGDEPSSTTTTSSSSPSSSSSAPSSTTATGLASPTQTAETGS GVRDGHSQLSSSQIAGIVLGSTAVIVFGILLVLLARCIRRRRFSDLESGFFNIRESVS FGKKSRPGSSHGVPHITGPLFQVQPQRNPADPRWQPGMPRAGVGLAISPLAARGGGLA RSSPAQAPALSVSRPPTPPRKPSPVSVPKLVPPPRSVPKAGLSPAPGTMAPTARRSPP KPTLTLAIPKGQERMVRAPVSSRDSVVTEFAEDGEGDTAPGPAIWRPPTSDPLSATTV YYADKGGNWILRNSSTKQPGQGQGSSSRAPGPTKRVIQEVPAAPVEVELPSPEHKTRA ERARDAYGGFSPDAVVSPLRLPRKPGRQRLGSPISFKDQRREPQISSPSVSARLSQTA ETIMSESPQASSQPIDTYFATVRESRDLTGGKTKRRSAQKFGRRVSQESATSIESTAE DEDMIEDERQADLSPVAESPRTPVSPGKPPVKYPAIRKHNDKQQQQQQQQPPPDRVAG SELLPPAHRYNVWHPPGRSSPTGMGSMVKLGPFTPDASQSRSNRPERLRNAPSPGPAA SGNPDRQMTGSPEARRGLLSAAELEARYWQRQRQVANPASYWNEAPQARAQPSRSRQP QQRQHQPPSLPTPPYELPGENSTSSPSSSSLGRYGTPRQQPQPSHQQRRPGLLPTPAA TPQSLEGGVKTEGAFSSSSQDQSQSQSQGQGQASLLLAKRRGAEKAAALVLPNANGKG LAGGDGAPGGRRGKSGWKREETTEEHGPVPPITPGWVPELTPTRKGEDLYLNVR MYCTH_2300457 MADLASRISKPSDAPADDGAAPATATAEGNGAEKHVDEGTNNGL VETSYDVEVKLSDLQADKDSPLYSVSSFEELGLPKPINDGLLAMNFKKPSKIQERALP LMLSNPPSNMIAQSQSGTGKTAAFVLTVLTRIDLTKPTQPQALLLAPSRELARQIQGV IQTIGKFCENLIVEAAIPGSISRETGVKGSVVVGTPGTVMDLIRRRQFDVSQLKILVI DEADNMLDQQGMGDQCVRVKGLIPRTVQILLFSATFPDKVMNFARKYAPNANEIKLRH QELTVKGISQMYMDCPDESKKYDILCKLYGLMTIGSSVIFVKTRESATEIQRRMEADG HKVSALHGAYEGQNRDALLDDFRSGRSKVLITTNVLARGIDVSSVSMVINYDIPMKGF GDKEQPDMETYLHRIGRTGRFGRVGVSISFVYDRKSFEALSQIANYYGIDLVQLSPDD WDETERRVQEVIKSSRARPDYAPNPLGN MYCTH_2300461 MAEVDVAPTLGSELKDGFKPANAWVANGIAWLDDIQSFYRERST IEKEYSAKLNALAKKYFEKKTRKSASLSVGDTPTMTPGSLESASLTTWTTHLTTLEAR ADEHERYGNDLINKVAEPLKHLAVRFEELRKRHAEYAEKLEKERDASYAELRKTKGKY DAACQEVESKRKKTESSFDKAKAQSSFQQQVLDMNNVKNTYLIAINVTNKQKEKYYHE YLPELMDSLQDLSEFRTFKLNGLWTLAAQLEAGMLQQSSGQIDRLSQEITRNQPHLDS MMYIRHNMGSFQEPPDKVFEPSPVWHDDGSMVVDEAAKVYLRNLLNKSKGQLGELRRE ADKKRREVEALKRMRQRVREGTEDKDEVALVSQIFSMQEDLHQVERKRIAVEVETSTI TATVGDVTLGAKNHNFKSQTFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHSKCEMK VPAECPGEQSKEERKKLKQERQEAANALLKPTGPPEHVAELPSLSRSDTVTSGRSGYA ASSQRSMTGPLSPAEETPPEVPTATRPTSTSGSTTTRRNRIVAPPPAAYISELPGSTP NGSSQGAEQKAKMLYSFEAAGPGELTVPEGRDLVVLEPDTGSGWVKVRAGYKEGLVPA TYIELTSTTSAPAPAAIAPQHTGQSAYSNSGSSIGTAAGQTGGKKKGPAVAPRRGAKK LKYVEALYDYTAQSDAEHSMVEGERFVLIKEDPGDGWAEVEKGGVTKSVPASYVQVV MYCTH_66349 MRSAALVSVLATVLGLGAAKPTTTVPEPPSKRASVPTVTVSGNA FWQGDTRFYVRGIDYQPGGSSAMADPLADTTVCKRDIAEFKKLGVNTIRVYTVDNSAN HDECMKELADAGIYVVIDANNPLYSLNRLDPAPSYNTKYLQSVFATIDEFIKYDNTLA FFSGNEVINDNVKSTLAARYVKAVTRDMRSYIKSRGYRSVPVGYSAADVAQNRMQLAH YMNCGTEDERSDFFAFNDYSWCNTNFEEAGWDQKVKNFTGYGIAIFLSEYGCITNGRD FGEVAALMSDKMTPVYSGGLMYEYSLEANGYGIVKIDSPDATSVEEQKEFSKFAKALK ENPAPKGDGGFTSTTHAVACPTKDANWLVDSTLLPAIPDDAKKFMSEGAGRGPGLKGE GSQNAAEGTSTGDATPGSGKVTGTPSHSGDSDDESNAASVDATPFILTGLVAALTLAG TLLL MYCTH_2300475 MTLLTPDIDLAPNTKQNTYVNSNLSNAAALLWHAYKSLPSPSNQ VNWAGFYTLDPASPPSRPQLILGPFQGKVACQTIAFGRGVCGTAAATAQTQLVPDVDA FPGHIACDSDSRSEVVVPITVGEGEAKKVVAIIDVDCAVPAGFDEVDRVWLEKLAKLV ARACDWP MYCTH_2058793 QVHADASNFAEGVTKEEAYKQVLDQAEGLFFEQRNWVRRHVYVY ICIQQPPLPPKASMDPWSWWFMGHHTDCWS MYCTH_2300476 MYNAVVFVSKTAPDFKAAMVGESFLRGATLSDDISNNKGSGLNR PELLVLQQRTARGELVRLSTPACIDQFSGAFEAEYSAVLLVSKELEDMSLAQGSDINP ISTPVPDRSSIQYCLAQRAPAPTCEVDLNAPLLGSVALVNSIALVAAVAVLFKWPSSF HPLVTLGDAISSFLQDPDPTTQGACLLSKTDIWHGRWPLTTAKCWVSRKHYWLRSVPF PLWIATATVWAVCLGLLAASLAHALSMDPSARLSPPGSASPHALLALPNTAPARAGTG TGGALVAALPQLLLAALYLTANALLTSYHLSHESSLFAAAAAAPTAWPRPLRVSSADP AGAQTSSLYLTLPHSVSAVLVCLFAGLSLVLSQSVFAVVVRRVEARVSSSSSSLSSPA GNAAAAATAPPIVALGLSGVGLVVLLAALVGLAAAVLGMGLRRAPPAGPAKEKTLGNP MVLPGGSCSAVISARCHPLAREKGLWMKPVMWGVVREDGGPLGISHCGFTAGRAGPLE AGRSYA MYCTH_2300477 MEMVAPPRDQDLGTYRYTWSEDGGMNKMTRCVRRSRLRAVLRDR EDEPQCFDILFTNYCCCCYSYNYH MYCTH_2300478 MDPYSAEGELINIHNHFHQGQYQEVVDFDVSSLSPENALPARVL QLRARVALGQAEDVLAEVQGEADGVPELAAVGALAEQALGRGEAAVETIEKLAQSAGD NPTVQVLGGTVLQAAGKSEEALALLGRHQGSLDAVALIVQIHLQQNRNDLAVKEVAAA RRWAQDSLLVNLAESWVGLRLGGEKYQQAFYVFEELAQAPATSSVQSLVSQAVAELHL GRVEEAQAALEQALKKEPGYAEAIANLLVLSVIAGKSAEELTSSLQKANPDHPLLVDL AEKSDLFDRAATKYKAKVAA MYCTH_2300482 MVRIIPNRLKSGFSNSPTTNSASNSRSTSPMGTKGDVASTEGRK DNGLVLHVVILRAKDLAAKDRGGTSDPYLVLTLGEAKHITHTESKTLNPEWNEQCELS VSGVQSLLLGVCAWDKDRFGKDYLGEFDLALEEIFSDGKTEQKPKWYPLKSKRPGKKT SVVSGEVLLQFTLLDSTNPNATDEQIMEKLAALVKSVPGTDTSRNPTPSRTPVLAPAE KSNSPSPSPPLEANRPADDQDEEDDDDAYEDETPEDEDQSKPEAVEKRRRRLRIKGLK RKKRENPYEFINGGSDVVGIIFLEICSITDLPPESNLTKTSFDMDPFVVASLGKKTYR TKTIRHNLNPVFNEKMIFQVLGHEQQYSFSFTVIDHDKYSGNDFIASVNFPVKELIEK APKADPETGLYSLKEVPDYAAPTQRSRFMRLGLSRTSSSQSLSKLTRPALSKSSSSAT SCSATATQSQTPGASQSQTEGIPEGAQPALPNTGLLQPGDNSVAAAASTLGETSPPDG DDPDFIQYTLPLKMKNQEKWEAKHNPQLFIRAKYMPYPALRQQFWRAMLKQYDTDESG YISKVELTTMLDTLGSTLRESTIDSFFQRFPHKASDSDDAGDLTMDEAVICLEDQLQA KSRPQGVAERVKNLLPESGKIANLLAPARSGEETPPEPKTNDTSTISVPELNTPGEEG ELFDRDDLNISSSEEHVVEIRECPICHQPRLNKRKDADIITHIATCASQDWRQVNNLM MGGFVTSSQAQRKWYSKVITKISYGGYKLGANSANILVQDRLTGQINEEKMSVYVRLG IRLLYKGLKSNNMEKKRIRKLLKSLSIKQGKKYDDPASKAEIPKFIAFHGLDMSEVLL PLHEFKNFNEFFYRALKPGARPCSAPDNPRIVVSPADCRSVVFNRVETATKVWIKGRE FSIKRLLGDAYPEDVPRYENGGALGIFRLAPQDYHRFHIPVDGVLGRPKTIAGEYYTV NPMAIRSALDVYGENVRVLVPIDSPEFGRVMVICVGAMMVGSTVITRCEGEQVRRAEE LGYFKFGGSTVVLLFESGRMVFDDDLVDNSNTALETLVRVGMSVGHAPGEPMWTPDMR KDENQITDADKAEAKRRIQGQVAEESPDDSASGPEGEDVSAKVPPVASIAG MYCTH_2300487 MSPTYTMSAHLCKQIYSSWRQARQSSPDTSPLPSPPTALSNRHD SNTASYFPRPSSPTANEKYSMDSERNLSSHPPSPSLSPSGSGSSGSGSSWRGWGSR MYCTH_2300488 MLVKAAAVKTQACRVLSRPRTFFSSVVVILMLCLLGLMHHNDRL KQRALFMSREPLGRDHIERRAEELKQKRILFEKEYHALKDQPGYNAIYGNTLETLVDE DVRRPSHEERLGSASNTTRVNFTTAEPVTFNPYPKYNSREWKAHHEAYVPCLGPTGKV TEDIRVFKGRPRNFPKPAFGSYNVLGLDRNLCFERETRLGQYGVLPVLDENGNEINWD EVNWGELQDQCLEKNQARFARNGPKNEYVDTNESSAESKRSSPSDDKSTADQEHVLHT LRRFWRKPASRKAPERRSGQPADGTKQRSKERSKLEPRTALLLRSFSGKTYTDNDKQV IRSLITELNLRTGGEYHVFLFVHIKDADQDIWTDDAYKAALEQHVPAEFRSMAVLWNE RAVDRMYPNLTAKARQVHHGQFLPVQMFMQEFREFDFVWNWEMDSRVVGHNYDVLTRL SEFGKKQPRRGLWERNERFYIPSFHGSYDSQFRSAVERAVGGETIWGAPKVPVVTPLG PKPPVPKAEDDDYKWGVGEEADLIELAPIFDPVNSNWVMRNTVWGYRSLDFPWGRLPR RTTIITQSRLSRRLLDAMHYEDLRGNHVASEMVAQTTALLHGFKAVYAPMPVFFDRAW SGEQLERWFNGGPRGQSGSFGSSFGWGQEGRYMGSTWYFRANPPQRLYNNWMGYEDTA IGGPEWEAEHGRPCLPTMILHPVKEIKPTEKGFATDVRLAYD MYCTH_2116681 MAAVGRESRFMPTVKLQGLANLGTDRAYVAPSELTPVSQESGSQ SGVSPQFPNAQPDTGKTDGSSAPGIANNSSTPPQSARPGGYGGLDDDSDYEDAVPQTS ASEQEPNMTDRMDAAKDRLDVDRRPSAPGFGPNHGGPPGNGSERPSTSASSNISSGLG GGMMSNPQNGNGRFIPYSKPPEQALEPPRTPNRSETFPRPNDRFGTPARTPSAPTPSA TLHPDRQQPPLGSPGAPGERDLMANDRPRRPSRGPDTSRPPPPRTNAVRPSTPGVPTI NLAEEFGIGNPYHNSSESTSSTNSSANSSQPERRPSQASQASSRTSPPRSLASSRGGT SPSDGPNVNSLMTDIKHSMDEMRSKPPAPAPLKLPPRGMFPKDRPSPLSARPPRTGER GYDPRIDPAVQNPRLAKGRSPLPAPMPDTSLQTGLKIQPPNDVLSPSTPGLPSPSWTG SPERGRPMGDRRPSQAHPEPQPSPQSRAPPQRRSPPERGPERVPERVPERVPERVPER VPERAPEPTRSRSRSRSRPREPTSTSVRGNCKACNQAITGKSISSADGRLTGRYHKSC FVCTTCLQPFSSSTFYVLDDKPYCELHYHKLNGSLCGSCGRGIEGQYLEDESAVKHHV GCFKCGMCRMALRDGYFEVNGRAYCEQDAWRLAGGGMNGGPPHPPGPGGMGFRPPHMG PPGGPRGPMGGGYNPSRLGPMGQRPRMEKRMTRLGMM MYCTH_87669 MRRGPAPPSGTPALPSPEKTGPRAAAVLRPGRRPISEPRGREAG PPPRIVRRCSFTLR MYCTH_66338 MAPHAEIDAATANGGGHHTVTNGAAANGTAANGSAARPKFIVNS PNVVYTDNEIRSTYTYRTTEVTDREDGTRVVTPKETVYDFKLDTKIPKVGVMLVGWGG NNGSTITAGIIANRRGLVWETRNGRQAANYYGSVIMGSTLKLGTDAKTGKEVNIPFHD VLPMIHPNDLVIGGWDISGLNLADAMDRAQVLEPSLKNLVRKEMAQMVPLPSIYYPDF IAANQEDRADNLIPGSKACWEHVEQIRKDIRDFKAANGLDKVIVQWTANTERYADIIP GVNDTADNLLNAIKNGHEEVAPSTVFAVASILENAPFINGSPQNTFVPGCIELAEKHG AFIGGDDFKSGQTKMKSALVDFLINAGIKLTSIASYNHLGNNDGKNLSSHKQFRSKEI SKSNVVDDMVAANTVLYKKDEHPDHIVVIKYVPAVGDSKRALDEYHAEIFLGGHQTIS MSNVCEDSLLASPLIIDLVVVTELMTRLKWRLHSDEAESAKGYKSFHSVLSVLSYMLK APLTPPGTPVVNALAKQRAALANIFRAVVGLEPESDMTLEHKLF MYCTH_2058064 MVITSRWSVPIPRCSLQQWIFGSACGPLPDHKAFIDPENPDANY LTLADYRLLGKRVALGLQKAGLNKGDRVLIFSGNNLFFPSVFVGVLMAGGIVTGANPA FVRRELAYQLKDSGASFLLVAEHAVKTAFEAAAEAGLPRDRIFVLGSSTVPISRLAWA SSPGPGAGARVDGARHWTELLAGHPAEADAWSWDEPSDPEETTCCLNYSSGTTGVPKG VEISHRSYVANGVGVAFMRRLRPDYEKKQKTDRGLALLPFYHAYGQTFFIANFPHLHI PVYVMPSFDFVKMLSYIQRFRITTLTLVPPIVVLLAKHPATGEYDLSSIESIGCGAAP LTREVSEEAERLFREQRRDGGDGDVFIRQGWGMTELTCTAMSWDPNTPVRASAGVGEV YPNCRAKLVSLADGRTPIERAGERGELWVTGPTLMRRYWRRPDATAETIVVDADGTRW LRTGDIAFVDEYKPGGIFHVVDRVKELIKVKGNQVAPAELEGILLDNPDVADAAVVGV TIDGEEVPRAYVVRSPGSAATEQDVARWMEGKVVRYKQLKGGVAFVDAIPKNPSGKIL RKQLRERAQKEVGDAAKMTSRL MYCTH_2089931 MISIPWTWPRSETAADYSSAVVPLDEAHQHSHSYRLRPRPESGE RRGDGVPGHGDDHGDDDDGADKDGEEGDTAMLVMTNAAAGYSIEGLRREVRRGRRGEK WTAYEMKSKLINKAIQDIGMGRYNWQLFVLCGFGWFADNLWMQGVSLTLPSLSAEFGV SEKSVRYTTSSLFVGLCLGSFFWGIGSDILGRRIAFNLTLLITSVFGTAAAYASSWAG VCLSLLTLLSVWWPVGQLCSSLFAWFFIANWPVDQGWRHFVRTIGIITFVMFLIRFFL FHMFESPKYLLSRGRQAEAVAVVQGIALKNGKKTWLTEEVLDAVVEDSAPRVHTRLST RNIIRNKLASFSAERIRPLFNTRKLGLTTTLIWFSWATIGLGYPLFNAFLPQYLSHGG NGGGGGGGDNTGDIPASEITGETYRNYAITSVVGVPGSLLAAYSVDMKSPFLGRRGTL AISTLVSAVFLFMFVRLGKSPTSQLVFSCVEAFAQNIMYGVLYAFTPEIFPAPVRGAG TGVASFLNRATGLMAPIIAATVPGDGTTAPVHLSAALILAAFVGICMIPIETRGRQRL MYCTH_2300500 MSALLSLLGWSFLPGLVTGWTQSLYYSITIRAGDPKPQPGTPRW AEHRRRIHIAVVALYLLYTIYEADYELRRQGTFYTDLGVPLHATEREVKSRFRRLAAL HHPDKAGGADDANAYFVHLKTAADTLTDPARRFAYERFGPDAVASWAPRCVTVRDFVI RGAQTLVPYYAVAAVAMYGLGLLGYLDWGRYERWLVLAVLFVFEAHTVTRPDMPGILD KVVNPLLGRLLGRAPYLQFQAIALARNLSVTVYIAFSQIGPLLSADTSSGQVVVSGSR GGNEEKALREGLERLEMTVKRLDADATRLLETELAPFAGDEQIRSSMWAKVKEWLVQN TIRSDPMVRDALGRSFAKRRIDAPAGARGTR MYCTH_2300501 MSLKNDKFPSSAAFDAINDALQASEADRKDAIKQGNAVFAFNLK NAAGETESWHIDLKNKGVVGKGPGDKPTVTLSLSESDFGALVAGKANAQRLFMSGKLK IKGDVMKATKLDPILKKAQTKAKL MYCTH_107142 MEQQSKKRSIFGFTGLFRSSAAPADNRDDKMDASTKPVAPASAQ RESNTASAPHSPMKRASETQLASRRIIGRPQGPSSKLSQSISASDIAHRPSSLVVGPP RRMPGDNPNKRPPAVSASGTSHAPSNVIPKSTSFSGVSSTPRNIFRSSALYSRPGQQT FSSRVPANTLTQSFPPTTPGKPPHGSTPDVNGRILANTSSTELFKMRIPSPPRHLTGE VLAKEVPDDPNRSGSIYADEFLAHYCPPDFDDYQRRQFFCILDLRRLKYAADEVFTKK DWKINILNFAKEYEKSRSLIMLRYGLYEFKTVRASEAVKKEWKQKHGIPESDDESGAA PKTNGTSKRKAEEELTPESNTLTASISGANKRPRAPESPAKNKRKADVEPDENQPAKL QKPAPSSATKSVFESIANKDQPASGAAAKPAGKSLFASSMASKPNGSLGSSIFGTTPK PTSTTGNIFGHLSDGSKGSGNDGADEESDSSSEAEEQESEAQDVSQSDEAAASGGTST PQLLETKKPTTNGISSASSDAGESSQGRSIFDRITRDPAGQPVRKLTPGDGNLFAAPS DKERTSFGGGSSSGPVFTFGASSQPSSAPIFSQNPPAAQSIFGNSLAPGGGTSTGTNS PFTFGGASSLATTPAATTPEPSANAEDGQGTNADGDDAPQEQISLTGGGPGEEDESVV HEVRAKAVKLVTGSDSDDDSGSNADKAKKNKSPWKVQGVGPLRLLKNKTTGAVRMLLR AEPRGHVALNKAILPDFNYKAEAKYVKVTTATDDGKGLETWMLQVKTPASAQALAEAL EEHKKANKK MYCTH_2125016 MASFAPRQNPSPPVVPGAPFAAKFTTMPSASSTTRQRASPRIWA SPPPWLPPGAAPPGDETTTATRGLGNRPPTPNSASSSEPFFDDNRTPGQVAVAVITVV VLALVMAPCIAAYYSGRYGSCRRRRRRRRRRCQTTTATPLVARGIPSRRTAVPTPREV PRAHRGTGSSWEDPEARALVQDQLEAHYRSLRAEGLNELGEPPPPYKADEAPPRHHDH HRDPPTLGPQNGTPATTTAAAAAAAVPPGYRGPLRERDGGRRAESATPPTGGVAASEP EPLDEGSGNIELRTLPSQSPTWPQTSPRRSSPSTPVEGPLPRATSRAPSPSPSPSPSS PSPLALALVQPAPSSPPPTPQAAEQADIVAEPEKGRTKPAA MYCTH_2300508 MRVLSYRLVTTASRWARGPSFTAAESKIFEPTVPTSPAPSRPAL NQPPIRASAARTKTWMSWDRLRERDEWEDLDVWEEKIKEVENSQAAIDSQVASIRKGL AEMDPLCPEAIDRVQELRSRIEKLSSGARQPSTRAQTETVTIPKTPGVLASHYINGVR HGAGI MYCTH_2116689 MVKAVRGVLVECEPAIKSILVHIDSTHHHEFIIEDLDETHLFVK ETMLQVLKQKLEERLKETYRPEEPLEDSD MYCTH_2300510 MAQQQQSPLPAYADYQYFLVTSPSPLVAHVEINRPAKLNAFHEA MWHELRAIFGRLSVDPDVRAVVLSGAGDRAFTAGLDVAAASEGGVLASAEGQGLDPAR KAARLRRYIADFQECITALEKCEKPVICVMHGIAIGLAIDISTAADIRVCAKDTRFAV KEVDIGLAADIGTLARLPKVVGSQSWVKDVCMTARDFDAQEALAVGFVSQVHESKAAA LAAAFKTAALLATKSPVAVQGTKELLTHSRDHPVEDNLRYTGVWNAAALQTNDVPAAL MSGLKRKKPTFEKL MYCTH_2300515 MNSETGGSWASTRSMGTLACFQRVVYTRPAPKAAANHQASSLPK QNPKPVAEHSGEQTPPAAGPSSEENKTAPVSNAEPVATAIPTSSFQSTTSTSSLNPAS AASVPGSGFGSTARPSTSADSHVLHETLNVINEHMTDFNLPRGNASVRNVNDSGSEYS SHLDHRISYIQGEETDEEEETTYTRQEVESWNADQVAEYLFTVGVEKHHCEVFRDQEI TGEVLLGMDQTSLFIKALDLGSVGRRLKTWQKIKQLQDEVNGQALGTGRNTRNYGSDA GSDAGKARSRTNTITSSGQRYAHLNENSLSGKAGGRRQSQTPKLEPYEPVSPVSPVAE SPGRTYHDKRPSAASVRDLHHSRRHSSTDFRMPGATMNSPVPPKMSASSSTFSRQEAS HKKKPSFDRNWTLGSATKYPPRPLSSAGHHDEVADQPAALQDSAVEMDRGYFSGTDAD GRRRNVLKKRDSAQSSPKSSYAEEQRVRSGTALSRHSRLGSVESVRDSSSLSPAAQKY YGVSSKRTPSTGTTDSLRHIAGSKESMNPTVTRLDSNASNHSQSSPKSAIKRLSQVNH PDFNVNMMLRSGLGGLRAASDAITGGERAKMSPVESPLKESPMYSPARTGSSTPSGAR SFELDSPDTKSPSTTTTATSRTSRKKTKQETSAYTRGLQKITPQEAMKDADYSGWMKK RSANLMTTWKPRLFVLKGRRLAYYYSEDDEQERGLIDISFHRVLPADNERLTGLHATL TGVSSSPAVPAGSHITTSAAADAERDPAEESDSIFIFKLVPPRAGLSRAVNFTKPTVH YFAVPNVKQGRLWMAALMKATIDRDDTQPITSTYQQKTISLAKARQMRHRPPALMNLE ENADEKNEKKGATGDDDGGLGIYSGLDVDSAVARLEKFGLHQQSDSAGARGFGAGTEK DTSLLPQSA MYCTH_2059413 MSKPFNFHIPSSNSVFGFSPDKSREPLLPSTPDRQKSNKTNLFQ QTTTSNRSTTPAGPPPRGGRADDQQDDDHDLSTASFTPAGAPSASYLGSSILKGISST AKDAPARGLFTSGVSGAGKGSASPKGLFTGGGAGAGKKEEEEEEEEEEPPRGLFTGIG GGFDTAGGFTGTGDVKKKKNLFSGIGLGGAQQRRNTPLGRSIRGPGHARQPSRLSRAV GVDEQDGESPKRTTAHGQGKTFGVPFDDDDDDEEEEGDMWLDMPSTAETGADQPAAGE VSDLLMLATPAATERVRREAEDIFRASSFRAGGAARRSEYRYAALAKDAYQQMGTAPV TEPPQVILGTEDLLSRLYDEGVGEAEDEQKMDDTLATAAAQLAALWREHVDELPRPTE DHAAEIGPGPHATPFEKANYLANLALQIHHTRYEEGGLIRAEPLPQTLFRWLNEYHDM YGSQVDDILRHRPSPACHSLFWQAVFIALLRGKVGDAARLLDQAGWGHVRRGQRGEYA YVGQALENVQRAVDETIAVLESCPGFDGNWEIWSSDWTLFRVRARGLLEHLRRFAEGK DSAFGASAFSASAASAQSRQSMAGLARRAESQVPWEIYENLNIVFDIVLGQQGAILEA AQDWLEATVGLFGWWDERASRTEKPLSTSQSLSRSQALVLASAPANSESYLDRLARAF HTAVESDFHFNSQNAVEIGMACVFEDNIKGVIGLLRGWSLPIAAAVAEIASLGKWLPP HRPSGVYGLEDLDMDDLEVLGMDPGAPDEVDGIKDSTLVQYAQALADYEGLSSVQDRS GTSKDGWELSISVLGRMDSPERSEEMVRDLVEHLVQQLHVDSNATVDRLWFLLNELGM IEFAEDTTETYGDILARDSHRYGEAMWYYALAHRPNKVREVMNLLTSYSLIQSTAFPP ANDLDDYLYKLLNDRKNTLEQCASQDMEAAELLGKMLSGYASLRQFYDIRDNEDALPH ATPLSRRKQAATALISVIASSDDNIRGGLYDQTRDGIVSEDFLLALLGEALVFVSDPD NTNVHHGQLATPVITLDQIDVLLKAIEDLQAVGSRVYNACDEFLQLVLASAPGGLKGS TPADLLKKSAGPGPGGSGNAMLAGSSLVASQLQRSLSGTGGGLGKVAVKRRGWDWRSE VTAKTKGEDIMRRLRLGLAKDLAGLWLAEADEMVW MYCTH_45679 MAPLPPPDPLPEFVYKITPTAPPDPIPEQYPLSDLDRQDGFIPI TADLFFKDFTSFYILKLRLANFDQSSVKWDEVDGTNGCPHLYGNFGVKDVVAAKEFRR AEGQSWKDVFEREKGWLE MYCTH_2300520 MASTVGKTITCKAAIAWGPGQELSYEDVEVAPPKAHEVRIKIEY TGVCHTDAYTLSGKDPEGAFPVILGHEGAGIVESVGEGVTNVKPGDHVIALYTPECRE CKFCKSNKTNLCGKIRATQGKGVMPDGTSRFRARGQDILHFMGTSTFSQYTVVADISV VAVQQDAPMDRTCLLGCGITTGYGAARITAGVEKGSNVAVFGAGCVGLSVVQGSVAQG AGRIIVVDVNPAKEEWARKFGATDFVNPTKLPEGKSVVEQLIEMTDGGCDYTFDCTGN VSVMRAALEACHKGWGQSIIIGVAAAGQEISTRPFQLVTGRVWKGSAFGGVKGRSQLP GLVQDYLEGRLKVDEFITHRKTLAQINEAFDVMKQGDCIRAVLTMHE MYCTH_2314483 MAKVKCSFEDCKLPAQRIVGDCSFCQGSFCKNHRLLEDHKCRNL EDCKREAFEQNANQLNKERTQVIKTA MYCTH_2300528 MRAPRAAKEKTSKYFDSASAAAAAVPRRLTRASLARFAYNDGTN GSPPTGIDASTGNNGATEEVTLGSDIEDAITTTVSHTLKRKRKRTDTVTQSASRRVAT RSATRSTMKAEIKTEIKPEMETEMETEIDAEMDTAEVKTAGQPASDAKAEADADAESE TKDRPRGRARKPVRKTTDAATGAVTVEPPSDWEEMYELVRQMRISGPAANAAVDTMGC ERLADPDASPRERRFHTLVALMLSSQTKDTVNAEAMARLRTELPPHEPGARPGLTVEN VLAVDPAVLNRLISKVGFHNNKTRYLKQTAEILRDRFGSDIPPTIDGLTSLPGVGPKM AHLCMSATHGWGRVEGIGVDVHVHRITNLWGWQRPPTRGPEDTRRALESWLPRDRWKE INWLLVGFGQTVCLPVGRRCGDCELGLRGLCKSADRAKVLEGRKRRAAVTVTPTTTTT TTTTTTKVEKKEEVVVDKVEMEVEVEEERKEREGVVVNEAVAEAPPQSPRIKQEEEGR DG MYCTH_2300531 MAAGSDPSSYSGGSLEADHLCVLVHGLWGNPNHMASVAKALRAQ HPADRVYILLAKSNSGSFTYDGIELGGERVCHEIEEELDMIRARGGSIKKLSIVGYSL GGLVARYAIGLLFARGVLDKLECLNFTAFASPFLGVRTPLRGWHNHMWNVLGARTLCT SGRQLFGIDKFRDTGKPLLAVLADPSSIFMSGLARFKRHTLYTNIVNDRSAVFYTTGI SKTDPFVDLTKVKVRYLKGYEDVILDPADPVSPIIDDDTTTTTTTTTTTTTNTNNNNN NNNNNNNNNNNNNNNNNNNNNNNNNNNNKTTATAIHDDLPVTKAPAPAATAAVAKEAA AVAAAAAALRRWARRAPMALVLAVLVPLGTVAFLLNSAVQTARSARRVRLHERGLGGV PTGAFRGVDLFIREVRGAVEDAYVDAAAAAREEYLLVNQPPPPPPPAPAPDRRDEQPD VEKTDDDDDGHGRDEEQKKEGEERGEEEEEEEGGGGGGGGEDSGKKKCAALETERSLA QDIARGESGGESGSGSGSGSSPFPTLALAAYQFAAIDALDQLGWRKYPVWIHKHNHSH AAMIVRMEKPGFEEGRLVLKHWVTEEFLV MYCTH_2142854 MSPEETPLLQKDGGPAEFGPWPPRLPQNIGHRGYKAAYPENTMA SFRGAVEAGAHAIETDLHLSRDGVVVLSHDPTLKRCFGVDARIADCDWSYLSTLRTLQ HPREGMPRLADLLEWLATAAASSDLPAAASVWLLLDIKTNDDPERLLPAVARTIASAP PPPGGDMKGWKKRIVIGAWNEHYIRHARLHLPGHPLAYIGFSLLYARRFLSDEYSDVH FNLAQPTLVGPLGAAFRGAVRARGRRLFIWTVNEPRWMAWAVSKGVDGVVTDEVVRLR AVLDRPSSSSSPSSSSSSSSPSSASSASDIEAEANGNAEGLEVGIGVGPGDGMRWPRT IRLYLVAMLWQVGAALLTILLWYPLNTRGRPKKGRGAGQLGGATLPVKA MYCTH_2300537 MPGILPMKVIKVGTSSQSRIAQACDRCRSKKIRCDGIRPTCSQC ANVGFECRTSDKLSRRAFPRGYTESLEERVRALEAEVRELKDLLDEKDEKIDMLSRMH GNRQSQNEKCQSQPPADAKKDAGTPAKEDTFRVQASPLLLGVENSDSYFMGASSGRGF IESLKRKMQENGKSCSDFNPEAFLHIQGCYPLTAKPPSQTMRIPPRLFSDRCVNVYFQ EWAPLFPVLHKPTFLRVYEEFVADPEKIRNNHKLTQLYLVFGIAALSSEQQDLQQIAA CEQQWQRSLEAILMDNTMMTLQCLLLALIYCAIRADYKRLQHYKGIAVGLSLRLGLHQ SQKRFSFGVLTIETRKKVFWTLYTLDCFSAAILGLPKLLKEEDVHTEFPSDTDDEYVT EKGFQPSLPGEATRLSSALALFRGARILSKVLEKIYPSATSHELSLQQMSVLAGELDD WYEKLPPHLKLTFKQDKPSTDVTGSRSPILALAYYYIRTLIFRPAVTSSLGPKAAPAL IAVSEASKHIIQIIQLLEERNMSFSLCLNKADTLVLCGMVLLYQTLGLKQDSKLLKDN EKLVNDVIKAADKAKAPGCYDLKRIARMLVTIDEPPPQSLPTPPRQSPDACLTAPPAQ RHSVSASNKPHATLGRHNAASISESDLLMQQEKLRRMTMPHPTHPRTEQVHPRPRGSF DSQRPSIPLSQRDHRLSLSQAHAAQAALLGRLSASPHTKHQSIDYLHVDSPTQPDSPV HSRGAGGSHPSINHSQQAQLYSQLQKGSSVSTAEWEALLGSIEGGHLNVYDAIYGGPS LSLAEAANAPVSATPTTTNDPWSPDSWDLTGFNLGDFGSATTAHSVVSLSEDGLSSSD DMGSVGSTAAAGMDFRGGLGMPVTTTDGFVLDSLDGPFPM MYCTH_107134 MRRQTLPIEGLPAWARLNDVVFHDVKVTRTERKGYGMVSGTDLS VTDDTTDSPTLLAVPHALVLNAEAVSEYAKEDKNFRQLLDAVGHGSTRTDALLFLLVQ TVLASRSSHPAVGVSNPWTEYLKFLPETVPVPTLWTEEERLLLRGTSLETAVDAKISA LDAEFGLVREKSSDIVGWNDLLWGAEGASAVSFMDWIRLDALYRSRCLELPRSGESMV PCIDMINHSATPSAYYDENPRDEVVLRLRPGTTISRGDEITINYGDAKSAAEMLFSYG FIDRETAINSLVLPLAPFPDDPLAKAKLVAFGEPPRIHVSRGRGESTGAGEGTLAPTR WTSPFAYLMCVNEEDGLEFRVLQQTDGEQQLRVFWQDEDVTERTRDFETLVRTHPLAA VLRLRVVTVVEEALRAQLERLRSAPAIPVPVLNGEQEDATAPRLREDCVRAAATLRDI EANILEDAITVLDREWCIIRSLLLTDENVVAYLGLKEAAESGLASEEASNEPEDFS MYCTH_2300544 MAPPMIDPSLFDQLKSKIEEDTSIRKELDQIVDDLNQHVSFTQG VLSRIHSTPRSKYASLLGQVQDGINKEIETVGKLAAFASQYPYYKYNHKWSRTVQDAI STVILCAWLGGLSTESKPGELGRLLTLEDVGQVFNVPVNLKDRDAFHITIEEYLLGLI AVIDELSRLAVNSVTLGDNAMAVQISGFIKDLHAGFQVLNLKNDILRKRVDSIKYAVK KVEDVVYDLSLRNLIPAQDAQ MYCTH_2300547 MLLADPVTSMSSALVKGAAAQAQAQAQAAFAKSASLAPRTTLRP VQIRQTPLAQTARHALPALLAGLFALRFRALVADPVSTMATTTLPLTAALQVAYAVWC LPAPGGPGTAAAGSAAGAGAHAAGGGGGGGAAGAAGTAGTAAAKAGRKQRPGESSLRK RGAAESSGSSAVTTAVLSLILSLLVTPFLYVAMILFGAPFLTHAAHTFLCAAHLAVLV LLPLFYVHGVDGSAWAVVGGFGAPLDETFGGLVGGLVGAWLGAVPIPLDWDCDWQKWP VTIMCGLYGGYVLGRVIGGTLAWGKSF MYCTH_2300548 MTVQTPAATSASGQQPPPPTQPQTTTSNKNYKGFVAGVFSGIAK LSVGHPFDTIKVRLQTTNANRFSGPLQCLTQTLRNEGLFGLYKGATPPLVGWMFMDSV MLGSLSVYRRLLRDHVFSPHPPPGHPPPPLPAHGHGLAGILAGATVSFVAAPVEHIKA RLQIQYAARKSDRLYSGPLDCLAKIWRHHGIRGVYHGLQATLLFRSFFFFWWGSYDLF SRWMRQHTSLSAPVINFWAGGLSAQVFWVMSYPSDVVKQRIMTDPLGGGLNDGVRRFP RWKDAAKAVYRESGAMGYWRGFLPCFLRAFPANAMALVAFEAAMRALPE MYCTH_2300549 MAPIAKKTGAKKGPKVTKKFIINASQPASDKIFDVSAFEKFLNE RIKVDGRVGNLGETIKISQQGEGKIEIIAHNDLSGRYLKYLTKKFLKKMQLRDWLRVV STSKGVYELKFFNVVNDEAEEDEE MYCTH_79688 MPRDPLIGLVGKPSAGKSSTLNSLTDASSKVGGFTTIDPQRAIG YLQIDCACARYGLSDRCKPNYGSCVNGRRSVPIELLDVAGLVPGAHQGKGLGNKFLDD LRHADALIHVVDVSGTTDAEGKNTRGYDPSVDIAWLRSEIVAWIKGNLMEKWGSIKRR HVAVKATAVETLQNQFSGYGSTSAVVARTLDKLGLKEPLEEWSEETIDRVVNAFTDEK FPTVIALNKIDHPDADKNIAKIAKMQDPNNIVLCSAISEIFLRKLAKQGYIRYTEGSE FVDTREDLIAEGDPDGGGLKELDEKNRARIENLRDMVLYRFGSTGVVQVLSKAAEILG LVPVFPVRNTTTFGSGSGDSKAVFRDCVLVKKNSTVADVARKIMGDAPIAYVEGPGGI RIAEDQIVSVGKNDILSFKVGK MYCTH_2314489 MPLTASDICKIIFAIILPPLGVFLERGCNADFFINILLTILGYL PGIVHALYIICKY MYCTH_2125036 MVSTIHNTFVHVLFMDSFPTDSKSPSKSLPRRQVLLLCFARMME PIAFFSIFPFVAQMVQRNGRLPESDVGFYSGLIKSLFSAASAPAGSCAPAAPPTGSPL PATPSSTGCSGANPAFWPPRTLGTLNALALTVSSAVRAVAPGATSALYAMAVRSGLLD GQLIWFGLAPLSALMGVAAGGLPKERKPREADEVGKP MYCTH_2300553 MLCLVLRAIWQWIETQVPHSLPEFRHVLGSWRPDFLVGDVVQGG PYPSGEIFCLTEINARFSFNGFMHEAYGRQALVNLGVEKRGLRSETSSPKILDGLCSL FRLDRPLHLLKGEEAGIDIHMFVDFLERRSGLRPRIIAPKDLRLVPDDGALEGYKLCC LAPSSSSPPSASTRLLSFGGETLEEIHQVGLELHQRELFALPRDVLRAVALRCFNDLR AVLLVHDKRMLGLVREELDSLVARSVLSETQADALRHGIAETLLPGSPAIRELLRRCA ESPDLRREYLLKPIRGGKGAGIIFGDELSASEWMAHLQQLENAAQLVPGRPTWIAQRL VKQRSYDVVLGSPAKSARQPLVGTYHVVHGELLGFGTWRAGPGRICAVSNGAAWICSV VQEDASDSESSSEWPTNTQI MYCTH_2300554 MQHLLHPLKHSGVGALPTRVLAAAAATTATTTTTTTSNAVPHLS VSRLDCLQQPGHIQEVNQLLEREGMVKLSLGFPDDESRYLHGLIVGLGRFHGHGQPVD HSATRGWFWDIRPSASRFQSNGCQARSETMREFPWHTDCSYEDRPPRYFALQVLQPDR RGGGVFSALAARHVLRQLSPAARDALSRPDFRIAVPPEFVRSSGRTHLVGSILAPGCS ASASASASASASIRFREDIVTPLSEAAARAMDELKRALQSPEARRETLHLTSETLPRG SVLLLDNRRWLHARNEVRDPERHLRRVRWDAVPFV MYCTH_2300555 MMTPAAAELLSHERVDVLIRRRIAELRETPFAPEADLPFVVADS TRTLGQHQRWMHHLPHIQPFYAVKCNSDAHLLRFLADLGLGFDCASPAEIELVLGLGV NPSRIVYTHPCKAASALHMASDRGVLLTTFDNADELDKIASVSPDMRLLLRIYADDET AAVCLSNKFGASLHATRPLLLKARALGLQVVGVCFHIGSGATDPKALHAAVRDARRIF DQARDVGFDLTILDVGGGFTDANFEAMASALRLAIESEFPSGDRVRIIAEPGRFYARS FYTLACKIIARRTHDHDNNDDDGDGTGAVDGPQLDMLYQNDGVYGCFMNRLVEDEVFV PTLIPVDDDDKEEKDQPGAPPRRARAQGKHLYSVWGPTCDGFDCVSERATLPCEARIG DWLKYTDMGAYSVTCATTFNGFPNTYEILYVNPGPEVVYRNGENRS MYCTH_2300559 MKPGAYSLNEVLAVARIHPFYNPAVQYPPDEEGIRAVLESAAKE QSAPDLGRVPLTTKKDLYKTIERLAGDASPDNTYRRSAYLSITGGGSGGLPMLFATDA AENRAQRARMGNLIRNCRLIGPGDWVLTTHASGHFYRSLDLTLEILGNAGASVLSAGH NMEPAEVAAALAHYRVNVLTGDGSQVVRIVRHIASLPADERHRIRLTKIIYTSEPLTP SQRAYIADVLGPGVAIGSMLGSSEAGPWAVGPLRAPLEATAEEAEQKQQHEDASAAAD AGATDFVFDTRTMVIEILDPSSLSSSSSSTTTTTAAASASASEANRTSQQPVPVPVPE PVPEGTPGIVVQTSLQRLKNPLVRYVSGDVGSRHPLPASLAAVVPEGEREHMRVLRLR GRDRRFSFKWYGAYFEFDRLAAFLRAPEWGILQWQVVLASVEASSPEVALEIRLLLPS GPARHGAAESELVRAIETFFFVQAESRHLFRIKFLKDITEFERSCTGGKVMNFVDKVH MYCTH_2116710 MAAQSEDVEFSPIRTELHWSQTQFDNVKFADFNNVQVAQYGEVQ YFQQPPDSDEENANGVNGEGDGAGSGSHPEGGEAPVEEAVPAAGASASKKKKKKNKKK GEKAAEKAAEPEPAPKPEPTAEPEPEPAPEPEPEVKQEPEEPTTSGGNKKKKKNKKNK DEKDAKSKSPTEEKATEPESATQSVEEQPKKEEVTSEPQPSDSVETAAATESKSDDEP KAEDPAAASAAATGPEPEPQVTESKTEDSPAAEAPSSDKTIDTAPGTEATPAEPTAES TEAKAAAENAPPPAEEAAQAEKQNEPVPADAEVPATGGPAAEATKELAAAETPDAAPA AEASAETEKSQETQETPAAEGEATKTGEPAAEPEQIAEEKASAPESEEAPAPAGEAKP ADQSQNAAEPESEAAEVKEQSAPEAKEGTSEVKDEPAPEAKEEPAEAKEQPTPEAKDE PAPEVKEEPLEVKHETAPETKEEPAETKDEPAPEVKEEPAEVKDEAATEAKVEPAEAK EQPATEAEETPAPELATDAKEDPTPETTETSPPEPQADEVKEESEPAPEKKDEPAPPS ESAEEVKEEPAATDAAAAEVASKFVDEPSEVTEKDGPAEAAASEEGLAPEAATEATPA EPEIKEEAPATTNEETSDSAKSQDTPAKEEGTEAIPETEMESAAESADAAAKDTPAEK SEEPADAKESADPSAEEAAVADKASPAEPAPAEVAKSEPATEAPEADESKAAEAPEPE KAETNEQSTEAVSKAEEKAEEEKPAVDNGTAVEAEKPAEVNPAEEAEPAQTTAEAESA PATEGDVDKPEEKAEDTPAAEVKAAEPQEVKAEETAAETAVTAADQQEESKPAPAEET PAGKEEVAQSEKQATPEKVEEAKPESVEEDSKAESAEESKPEPPAEEPKTELPAEESK AEAEADVKAEPVEEAKAAPAEEGKVEPAGAPQAEVQEEAKAESTEEAQAEPTEDAPDA EIKAEPVEAAKDEVKEEPIEDRKAEAVKEPETEVTEEAKPDSVVELKVETEAATTDAE PAVEQETKAETAEAANAEPAEESQPAPVAEAAVESAEEAKTAPTQEAPAAPAEEPAPV EVAPASTEEVKAELVEETKPEPAEEAKTAEEVKVESDEGKAKPAEEEAEPTEEAKPQP VEQAKSESLGQEARPETAPEPAQEVAVEPETTKPAVEGALPSAEEKPADTPTPAPSQD EKAEDPKANGKEGPETAPAPAQEETTLEEKPKESKTEDGESKDTVGPIPSEQKVAEKK ATGEAHPVLLDTPGGSGTAEQNAPECISQPTDTDLDLQLPDTHDTQDTSLTTSTVNDD TPDTPKQLNTGATAGDNENDAEKVCSDAGAEQVHEIATSERTADGEQAGSATATTAGT EGSTNPQEPLPQAASEIAPTTEVETQTEAKVEAEAEAEAQVTSAGEPGESVAGQSLAQ ESGDDKPQTDVETTTTEPQQQTEQTSAGEEALPAEASNADVPAPVTQEDGHLPSTDIK EAASEQTSAQSVEAAEEELQAEKSAAPGAETSEEAVLEKIVPEVAEGESTAEKEERVP VEEDITEAGDVPLQTSHDADAAAEAETVSEPVQVDEKEAPVTVTTEESTQAPEVQETV QEPTSDTAEDKKTASVVEEPAAPNVAAEEPAQVLEAQDSKNNATVVPHDVKEPEPKAD DLAVDTSRSLESDATAAAEVASSVPAVEASPETPAAAKAIDEAAAGSPTPEATVPGEA TVPEEHPSTEDPKLVAQPGAELTAEEVQAIAQVATTTQDPTTEPSVPEPTQATVDKPG SEVEAVATENVEAEGPANIDAPPGVEGPVTAEPPPIVESSPSATGTGEVDDVQKGQTT EIPEADGVVQVDSPSAEETVAVEELTPAEDSVQAADAVSTKQLAEADQHAVVDDPSVA EEPASTPETVAPAPSVEAEEAIQAAGAVEAPKPAEAEEHAHAEPIAQAEADTKAGESV AHTEGVPAEQSVILAEPAAVEEPTKGNEAEDVVARAVDETVSKDAATVEEPAVKEEPD DNLEPTSQATASEAATEAAAETEVTEPDLKATHLETGKPEPSEVSSPRETEQVGPETA SEEYVMVEEAASIPHDNQSIVTPTAEVPAAAEQSTASSLEKVIVSEQPEDGQDVATSR DLAADEPVAAGTESFQLVGESGLIDQSAASSQHVSTSELPSQEGVPAADAAADESIVV LSAQTSHLSTEGPVVLEQQPSQDTAITAAVDVEQTRATAEDKIISPSEQVANEAGQLE TITAKPNDAPGVAVNETTLAPSVVEEKPSVQVAVADYAVAYNATAANFGIASQVGGDS PVERVVHGTASDYDPRDTTLSLKTETTYPLPTETTLTLPTDTTLSLPHGAAGPLPDDK AEIAATEDLSAKKLAVGAGIAGAVGTVGVATALVAHNLSDKLDVPPTISRLEELASKL DGPAASDAVTAEEIPGEGARPDKVPPSHKPAEQAEAMESSQVVVDKVAPLSESFHIVE KDAPAQEEAAKGEEKKGASSEDGEESVARFAVVAGGEETKADDGSLGAPRPTTEETGS GQQGDVVLDFRVPTPAVILPDLDDPVAKQLGRMRSLRRQRRKTIKQAEEMVAAAVVLY ATAEAFSPPGSPRLASPETSVLGSPEMHSDAKGKGKEAEVHAASPQDDPSGIEQDRGR SRSRDLPEPVADLSVDAKIREPLKAEDSRIAHSSRRHSHHSSRHRSHRDSRDGSKDGS RRSHRSRSDSHASVRSRGEDEPPRTPIREDAGFGEHRSSPHSRRHRTPEEQAAHEKRK EERRRARELEKAKDGAPSSPASADRDRDGSAPRERSERSSEHHRHSHHRDSRRHSQSR HSMEPRPSNVSIPPSPAASKRFFDIKNGQSVLEVNFGVGPRDTSASNGPPPTSSGSAS KTTVTGGGAPPELRRSSTSRVRRSEERGSRENKDRDRERERERERERERESERDRPST AEQKATKASVASAGEAAVAGSSSSASGGDVDSQAHRAKRQEKRERAKEKEAKEKGGIR AAIKRFFSSSS MYCTH_66289 MSGIWGWFGGGAAQKRKDTPKNVILDLRTQLEMLQKREAHLTRQ IEEQEQIARKNVNTNKTAAKAALRRKKIHEHNLEQTLNHIGTIEQQVNAIESANINQE TFLAMQRATEAMKSIHGKLTPTKVDETMDQLQEYNRLNEEIADAMSNIAVGPQVDDTE LDEELEALQQQDLEDKMLETGTVPVDSIQQRLPTVANGEIKGKAPAAATAEDDEEAEL RRLQAEMAM MYCTH_100975 MSFGPRPSQLCLLSNLPEKQVGDKVRFLGCVTSYIPASGVLALE HRLPEEARSVHALVDVNLVLESLGPEQTRVGEWVNVIGYITDIAPLANRGNESAPGTQ IVHAQAVLLWSAGPFDIRRYEASLKTLMQTGSTRYRTPS MYCTH_2089975 MSSSSSMNKYWIPHLDIHKKVITRELQYYLGPEATVRSFTRNGE DGFLITTPGPCLTDEQIDDICVKSREMWEKQAAARSSGTANKVLKRPLHQPVVVSKAG SSDSTKRRRDSRRSNETRSRRDNRRQS MYCTH_2300572 MGWFINACSSAIFLLSIVLSIPIAFDVGGRDAGLAYSLSLFLFY FFYSLTKLLTPEKSRVRWFFRNLVGLSQWVVIPTLLIWSLNRFSVDAGSTGWVSRTFS QITSTHHKTWKEWFFGQDGFVETVALGAWDNTLSYSSPVFQLLEGFCSLLVIQAAGQI TRWLVNRGRSDTWVIILLVLSGSIISSAAYFLWRVISFPQVSNLDATLIGVAMTSAAF LAAFGIASGRGNPIESSLLFAYAVLCVYQIFTDYQPSPEAAAAAEQAAAAQPEFPPLP PIIMASYSTLVHILSSLPTAVSSSFQFLYAAFQTITPSVIISLTYRIIVFYCATRIIP AVRELGATAIMEEPTLEDTDSANRLIGFLSWFSPSMLIAVYTSLLLQHFSVSSGDDAG WTLRAGEVGGSTWRWVNLAATMGLYAVELYLGGDGDGGGHWKTD MYCTH_2300573 MASTPPPPSTEAAPKRKRGRPPLSPSQRKPKPAPTGRPRGRPKG SGTKSAKKTSALTAPAGVQKTSPADATPRRGRGRPRKDGSTSSSSSAAAAAAAAKKEE KLLEAAVSARQQEKEAAAGPATPAGQVKRGRGRPKGSGKKQKQMAASAAMKAEAAATS GAKKNGPGRRSVGSSSADAEGFFNVEDDDEEQNEDAEEEEDEDGDADSEEQEEDEE MYCTH_2300574 MAKKGKNSSSASAPAPSSASSKSNKKDAKNKGSSEGDFIVFTNS DKDSKKGGRGGSSNKQGGGATFNNNSENPDGAPRPTARQLIGGASWTGKLPVNLLSEH CQKQKWERPEYSTIKTKEGYSVLVSLSARNPKTNEITRLPPFKLPPSHVHMAYKPTAL EAKHFAATYALYRVCSMKNLHISLPPDYKALWKDFEALKKQDIKEGRAWMYEADPFQA AREREEAKAAAEKKRAQAQAARDKAAAEGNGQPGVSLAFRGSAGAGGGEGGGGGGAHS LTRGWATVPKIEMGNKTRAQLEDLLRRETVWNPHGVVMPPEQKEAIVREFKELGFRQS HVEEAVEECKDREEALEWLLVHIPEDDLPRWALPEKYTAGVTVAATDLRKEGVIKRLS ESGYSIDLCRKVLDASGGDEGRAAEALQQMLLSSGTGKDAPQSEEQVDSWRSPDESWD EEMATLEASFGDKYTRLSPDVCQIRLESVVNGANTDVETYLQFRKTPQYPTQVVLSIV APLPAYIKLSIIKKALGYATESLQGEEAKIYYLVSWVQENINEIIERPGALRDVSAVS SGASEEPTSAAKPSRRRPRYPKPVDWTPNPQSRKEWLARTEAPAYKRMVAQRERLPAW QVRADVIRTVLENQVTIISGETGSGKSTQSVQFILDDLYNRGLGNGANIIVTQPRRIS ALGLADRVAEERCTQVGEEVGYSIRGESKTGPDTKITFVTTGVLLRRLQTSGGRVEDV VSSLADVSHIVVDEVHERSLDTDFLLSIVRDVLYKRRDLKLILMSATLDAASFRDYFV ADRQDITVGMVEISGRTYPVQDYYLDDVIRMTGFSVSNRYDYQDDGAGTPAGDQADPV NKTILKLGTRINYDLIVETVKSIDGDLSSRQEPGGILIFLPGVAEINRACNALRSTPS LHVLPLHASLETREQRKVFAPPPPGKRKVVVATNVAETSITIDDIVAVVDSGRVKETS FDPANNMRKLEETWASRAACKQRRGRAGRVRAGKCYKLFTRNLEFQMAERPEPEIRRV PLEQLCLAVRAMGIRDIGHFLSRAPTPPEATAVESAIAMLRRMGALDGDELTALGQQL AMIPADLRCGKLMVYGAIFGCLDECVTIAAILSTKSPFLSPAEKRDEAKQAKMRFARG DGDLLTDLRAYQEWDSMMADRSVPQRRVRQWCDENFLSFPTLSDIASTRSQYYASLAE MGIRPPSSPPSTPPSTPLLRAVTASAFAPQLCRIQFPDKKFATSVSGAVELDPEAKTI KYFSQDHGRVFIHPSSTMFDSQSFSGNAAFVSYFNMMATSKVFVRDLTPFNAYTLLLF TGPITLDTQGRGLLVDGWLRLRGWARIGVLVSRLRGVIDRLIERRIENPNAGLSSSSE GGGGGSAAEIIRLVTKLVELDGLDA MYCTH_2300575 MADRYIPEHRRTQFKAKSTFKPEELRRRREEQQVEIRKAKREEN LAKRRGIGTGEDRPGASLGAAPDSDDENAPSETQLNEDLPQMVAGVFSDQIDLQIQAT TKFRKLLSKERNPPIEEVIKTGVVSRFVEFLRSPHTLVQFEAAWALTNIASGSASQTQ VVIEAGAVPIFVELLNSPEPDVREQAVWALGNIAGDSPQCRDYVLSQGALRPLLNLLG DSRKLSMLRNATWTLSNFCRGKTPQPDWNTIQPALPILAKLVYSLDDEVLIDACWAIS YLSDGSNDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIINC GALPCLLSLLSSNKDGIRKEACWTISNITAGNSAQIQAVIDANIIPPLIHLLTHGDLK TRKEACWAISNATSGGLQKPEQIRYLVNQGCIKPLCDLLACPDNKIIQVALDGLENIL KVGELDKQAAGEGADAINRYALYIEECGGMEKIHDCQTNANEEIYMKAYNIIEKYFSD EDEAADDAMGQNQQFGFGAANGAQQGGFNFGANGAESMDM MYCTH_2300579 MPAGASRKSAEGAAPPESSIPPSFVQIRPILDEVVSPGGLVNVI GVVKDCRLPIPTNGNDHKCTITLYDLSTDEDNHDINFVVFRPEADMPRVTAGDVVVAT NVKVQRYRGDLSLITNRTTSIRVYTASKIPPRPQSAKIALAPASKRDTHVPTVAETAY VSHIYHTIDKYSLPGEHEFQARAEQSLNVKQKFSLLKDVQEGKFCDLIVQVVRDPYDG LTALTLYVSDYTENPRFHPRAWEGLSDSGLGDGDPYGYTTGVADVPNKEWVGPYGRMS LQITCFEPHATFIREEVKAGQWVGLRNVQIKYGRDGRFLEGFLREERGSSSRRVNVDI LDLANADTIDPNLKEAIRRCRDYHKKKRQQIKAIKAAEAAGMKRKASLSSKKEDLPPN SKERRKQKRTAKEQSESEEVLNQEPPHLGLNDHITCETHNAQYSTIESILEPVSYETT INNQTASFVVPFVCAKYRAVVRVVDFFPPSLEDFACSRKQTVYDMLSDNEADSDCVSS TSDEGGAVDGDRIWEWRFALQLQDPAPPGHTKQAERPPRIWVLVDNIEAQCLTGLDAT DLRQDPETLQKLRERMSLLWGNLEEHKAQTAEKRRTEKGAENVGQNRFRHHLRLQKPP LQSSPAEDNEGEGIEGFVSNRPFACCIKQYGVQGEGGAWVRCFGLFGTKILVTGP MYCTH_2142867 METSENAATSSPAPTSTTRRSGRVTKAPAKYTPEAPTATKRKRN AEPDDEGAENESPGEVDDVSDANDDDTDDTAADEPRSAGKKKRPSTTTTSSLSSQTAK SRKPAAKKPKTNGDAPAADSVHAAQLPSRPKKAVRIAIARGDADGLYADIFASGDSPD KVATEWYHKYQADDAAAVTDLVNCILLSAGCDQQVTQDDIRDPENCSNRLADLQNVYT EEGITDYPLISRAKSTKSFRDLLVGFFRSLVTVLHETDVLYKDSALMENIARWVASMS SSTLRPFRHTATTVALAMEAALVEAAKKLDDRITRMTQQVDAEKSRKGKNKERLAVIQ KNLQEAEQSRQICQEQITDFFETVFVHRYRDIDPKIRTECVEALGTWIWLLPTFFEKP EYLRYLGWMLSDITPQTRQEVLKQLARILKRDAEKLGHFIDRFRPRLVEIATKDADVS VRVAALSVIQILKDIGMLEPDEIDSIGRLVFDSELRVRRAVIDFFAGCVNDSIETKVE EIGGADAVDELFGDEQDDDYSSPRRDWISIKCLAELLAAYDAQLEEENKTPPVRALDI AVGMVQAVAPETRVSLASQVLYEKIDQVRNWELLAGYLLYDHTTSTKSRSASKRKTNE AALKSAVAPDSREESVLLEVLASAVKLSLASSEADRGKRKQRPDAGDGGDDSAVHLAG IIPRLLSKYGAEASTAVIVLRLEHSLPLDVFQQLRQDSTTYGRLLDEICAQFNRHVDR GVLAEAATALLHARKYDELEEMTDTKISELWETVINALRHFDKTHELNDRGNMEAAAI AQLGNVLLKASKLSSIADCVDLLEADGQSEDSPNPVIELLIRTVHRGKLDQVDEALDD LEDEAVSYAIQAINFYFMWKVRSLIAAVQSAADIPAHTIERLSTFRRTFTNNLIWTLS SRGTNDELRLFATGALCDLHVLFASLRETVKQSLSSSRSQPQPQPQSQSQQPPPHPRH DYKELEPLYEPIQPGLINELIEIYNAAERAYARITKRTLNEPAPDERRRHQNQNQQDP DQPPVDDDDDEEEDEEAVGEDEDDEDEEEAAADAALSPAERKGKELKLERALCELAGK LILAILAKMVDHQGPHAGKLRRRMVRNQTRLGANLRETLAFLDEGKLRERAAAAAAAG GARKKKTKAKAKAEAASAGAGAAAAAGKPGAGASRKKAARSDEIVVAGDDDSHLSEIE EAEPEPEPEEGSAEDLRRRELLDDPIEEDEQEQEQDRGGGGGGGGGSGGGGGDKMDED ESVLGD MYCTH_2300582 MNSPFPQSSSADGKGRIVVRRLPTGISGLETFEYQYPLKLVTPK RPRETSALVYLLSYGGGLVGGDKVSLEIEVEAGARLSLATQGHTKIFKSASPDIVTRQ TMRVKIAAGAAVCLLPDPIQPFAHSVYEQIQVFRLARNASLCLLDWVTEGRSALGEHW SFVKWVGRNEVWTMGDGQATQDRLLVRDIAILDSKRAYPQLPTLRESMNGLTVFGTLI LRGPLMKSVGEFFLAEFAALPRLGARDWRSDDAKGQKDAGSDDLSPREKWREERVQRE KENKVLWSAASIRGCVVVKFGAPTFEAGRLWIGSMLAQEGSIAQHFGEQALMCLRW MYCTH_2300583 MPPKTTTRGRGRGRGGTGRGGAAATTAAVDDAASRDAAPASEAA AESSPAMTTATMRTTTTTTTTTMTTTTSGDTAGPPGPAPVPIMTVESTPEAATAPSTS RVSSATPAPSSTRGSTSKFKPKAVRRSEAERARLAEEQLRIQEQRNAEEARRLARLNR GRGRGRGRGRGGLLRGNLRSSAAAGPLSAGMTFGGGGASGYQGTGGYAFASGSSSGSG FVKSEGETKGVKGAYGQSGIIDNSRINADMLYNYVEVSDEEDRSSITSTKKKKAIMPM GIRRVEHKEEAVTLTTAAEIEAQEKAGASDESDEEGLFVGGPAQMEDFEETVKEEGAA EAAQTREIKREEGDGGAMDLDQIPERIKAPESPEMKKKGVATEQKPKKKSAKPKDPEA EVMAEDLQRMVELFTLQGDDTTAEDGITNNAALEGHMFLFQFPPVLPPLRAVPHDGTS SNPIAIKPEPDDDVVMLNQPPVNIDLTRDEGKIKKEGDGEEEDGGQDKENGDDIIKEG GFLGNLTVRKSGKVELSWGGQKLELMPGTQTNFLATAVLIEQADVKPGDSSQTAGVAY GMGKIQGSFALAPVWGDEEEWVVDPKDLEIPEH MYCTH_2300584 MSDQASAGEGIHLIVIGAGLAGLSAALSTKLANPAHRVTVLEAA KELQEIGAGLQITPNGTRLLAAWSLFPRLAPRAAEPRSLSVRRYDGTRLLAHEPALQD SVRARYGGYPFWDLHRVDLQQAMVARCAELGVEIRLGSPAVRVDFAEARVHVLGDNGG DNGGAGAVVEGDVVLLADGLWSRIRGQFLGRPSPALPTGDLAYRITIRADELEGEDAE ELRAFIRDAAVNFWIGPRSHCVGYSVSAGEVYNLVLLCPDDLPGGVVKREGDKDEMRA RFSGWDPLLGRLLKQVRGVVHKWRLMWLDALPEWANEEGTFFMAGDCCHPMLPYLAQG ANSSLEDGAVFGSLLGRVKKSEMARQLPRVSALYQRLRIERSRKIQIETFKQRDDSHM EDGEAQERRDALMLSMLGKEVKAPFPSRWTCPEIQAFLYGYDAYAEAEKAYQENPF MYCTH_2300587 MAAVPDIEKSAQVSRPPSRHGDVSETQGEEMATPLPEGDFQPPD GGLVAWSQVLAGVLINMMAWGYPSTFGVYQLYYRDTLKLPESQISWIGSLQVFLAFAM CTVSGRLADAGYVKSTIIAGSFMVVLGTMMTSLCKQYWQIFLAQGLLTGLGLGIVFMP PLSVINSYFVTKRSSALAISATGTGLGSVILPATIQYLIPQVGFPWAVRCAGFVTLFV ATLSVLILRPKLRPRKSGPMVEWDAFREGPYLLYTLGAFLFFWALYFGFFYINAYARN VIHFSTTDSVQLLLITNGMSVPSRPLTGYLADRYFGPINLYTFQTLVLGCMIFAWTGV KTRTGMYVFAAFFGLTVGAAQGLYAGSLASLTKDPRKMGTRFGMVCTIVAFASLAGPP TAGAIIDKSDGRYLWAQIWAGLVIVLASLVLTATRCAVTGFKLWVKI MYCTH_2300589 MSAMSPNGTGPMPAGSHSGSVSPRTVTAAAPKAVQFVLVLQHSP YQARLPLRVSIYPHDSTDSIITTVKNFYGLYSGPGISKGVSFEDENGNTMIARYENFT NNMIVRVRVIDEPLGPDFHAALGGAHYHANGYPTQGSQHLDAHASRSTSRTPRMRSPS PNGGRGRRSTSTGGNPASGKKGRSRSSKNRSRVNGDGHGDSFNGYSSSDGTAGPSSRA KEQLGNTDISVENIVEGGRRKRTKFESSELPLFAPPQMPATTSNPSLSPARRLDPHRS SLPLIPSGQNPFSNPHLLHSPRALSNGYAHPGAYSTPSSDNRRSREGLGFLPGPGDGS VMPTPEPTVRSAMSEEDKDVAMQLMRMSTHGRASGSTQDDAFSGRADASSSAGATSDA DSCDEDERLATRRQRLDVSGNHKNVFDTSEGHLAVPRDSAETSGEEAYSSDGPDDSTT AASAGKGVKPKVTSVVAAKPPSQSSNKTKSYKAPKPKAKKPTSIAGPMTPASLPASRK QSIASNPTFPSASAEEEQPDLSTKPRCQRCRKSKKGCDRQRPCGRCRDAGIPAELCIS EDEGNGRKGRYGRHMGVPIKKDDMPPPAMNLLPAAPIASDALGLSMPSSSIMDKNKKR KR MYCTH_2300590 MDARRPLRAVAANSNNENIVSSKQPAHYRQKSVGNLKAMAPTGA LNAPPKRTAFGDVSNTARARVVEPAGKAGTRETVKPRGKAITTLVSARDARLEDKENR KGGTKENRSKPTAASKGVSHGSKPQPNGARQAANPTSRANANYVPTGTSLAQPPLRNG ASKKTTAVYSDRKEEQVNAGTEAVSPVDDLAIPVVKPIKSPRHYKSQPLLRIEQHRMG CQEAKFPVQPENVVDIENEGDIDDNATEAAYEDAVEELSQGAECAVRGTLAEMEQADY KSLRRDEHDTSEQSKALPELPGASELEEYWDEEEEQELYDEQGYTTAHSYRSHGDNTT NGPTTLLAPHVTASVREELEMAKAYVAEHQTEEEIEEEAWDVSMVAEYGDEIFEYMRE LEAQMLPNPHYMDDQTEIQWSMRSVLMDWLVQVHHRFCLLPETLFLTVNYIDRFLSVK VVSLGKLQLVGATALFVAAKYEEINCPSVQEIVYMVDSGYSVDEILKAERFMLSMLHF ELGWPGPMSFLRRISKADDYDLETRTLAKYFLEITIMDERFVSCPPSFLAAGAHCISR FFLEKGDWTLAHVHYSGYTLSQLKPLIKLLFECCQYPTKHHSAVYEKYATPKYKHSST FVESKIASGLTLSQLFAGAAHQDTSSIVGDSKTNQYQAPRVLIPTHG MYCTH_2300593 MSKAATVKEAVKETLVGSKEPEKFSAQTRARFNRHAVKDPETGE LYLGPEQFIDAIAPPHEDYHKIKREQYSILFHVADRTNKGRLSLADYGYFENLLSKPD AEYEIAFRLFDVERLGTVKYEDFRRLYELNKGPDSIPFDWDCEWAKLYIGSKSNRHSL NYQQFSQMLRGLQGERVRQAFQRFDTDGDGFIEPEEFATIIQQTARHKLSDHLLENLH TLCNISQGSKVSYANVRAFLNMINEMDLVELIVRRACSNSKDGRITRTEFLNQAAKIT RFSLFTPMEADILFHFASLDEPSGRLGLKDFTKVLDAAWRRRDEEDDGRVVPEARSTG QNVLAQTMESAYNFALGSLAGAFGAFMVYPIDLVKTRMQNQRGADPGQRLYKNSIDCF KKVVRNEGFRGLYSGVLPQLVGVAPEKAIKLTVNDLVRGWFTDKQGKIHWGAEVLAGG AAGGCQVVFTNPLEIVKIRLQVQGEVAKSVEGAPKRSAMWIVRNLGLVGLYKGASACL LRDVPFSAIYFPTYSHLKRDVFGESSTKKLGVLQLLTAGAIAGMPAAYLTTPCDVIKT RLQVEARKGDTTYTGLRHAAKTIWKEEGFRAFFKGGPARIFRSSPQFGFTLAAYELLQ SVLPFPGKQSEAKVAAGVAEAMSNLKEKAVDSPFYRSRNALKILLDLDEHFGRTPLGP NSRGWKSLPGWMNAKTA MYCTH_2300594 MPRDPPTFLTLPLELRLEIYSHLLVLPPPPPRESLRPIYRCSFA ASRALGSPTGTNSKPTLHPQILRVNKQTYHEALPILYGQNTFSAHPVQFTARPTLYHP YCSPCRPVTTVTPVGVEYDHPKSSSSTHAPGAEGRKEGENEAGRGKRTALALTNPNIR LIRKWHLRVRLDGPASPAPGVPAAATPAHSPEEQGGDDASPASMSLPSIATASAIATG DDTIPTSSNRSKPATQPEGPPGPPYSNPIAAAFSNAESLTLDLWRGGFTTSGHGAATA ATGGGGGDDEGGGEEVGGPAALRPFEDVRGVRRVRIVGATAGIEEYLGWLQERMTVPT TTATKVSG MYCTH_2300596 MGLLDFLSAFFGVIYFAAWSVSFYPQPMLNLRRKSTSGTTVDFP LINTLGFTAYLTSNMAFYYSPLIRAQYAARYKGLTPTVKFNDIAFAAHGLLLSAITTS QYFYARAWGFAPSMGSRPSRFILSIFFGCVVGAVIIILVILGSPDRDNTREGVSSWIW LDAIYAISYVKLLITLIKYTPQVIVNYRNRSTQGWSILQILLDFTGGILSIAQQSIDS YLQRDWSGITGNPVKFALGNVSMIYDLVFMTQHYVLYRNADGKPQEGESLLREDEEIR I MYCTH_2108644 MSVPLPMVAAGAATSPTTTPAATESRTSTKTTMTMTTTTTKATK ATKALRGSVRSHETRARLKISWSRELRIAVEVATNERNSAIADSDEESDIEALSLVLL QRPRLLSTIATGTRRSLADTARAELPSWWWLLGLYYFIWMGTHRTY MYCTH_2116734 MESARRVPRPRLYHTKSRTGCTRCRSRRVKCNEARPVCNNCHRH GVSCYYDKDPSKPLQARQPSQPILRPPLSNGDADADARGPPRSGPHASADYWELRAFH HFAVATVGTLPGSHIPSVRQCWAMQVPVLALSYRPLWNQLLALSALHLVASGGDDPGL LACRAASLDATLQSYRPALDQLTPETAEAACFTSILLSVDTFASLQHRPLAGDYEAPM QWLRFTQGARAVFEASTSAAADPASNIVTIIASSNHNRPVPSDRPHRTPDFSYLLQPL PDETQSSSRGVAQAYQETVDRLNLIRAAAEAGEPMLALCRRLMSFACLVPPLFLELVE QKAPRALGILGHFFALSACVKDLWWVGETPVREVSAIRTYLAPELQYLMEWPDWFVTI QGLG MYCTH_2059044 MTTNALLTAELAIYAVLSLPVLYLLIRHSPAGLLGWFYLFTFCT LRIVGGAVSASPASIISSIGLSPLLLAGSGILHEARIYHSRVNRAQEWSLVAVFHLLV ATGVALVGSGASGLQSDHPTNRDLKLVKVGIGLLTASWAVLCLWTGISCMPSQRDKSA PRHRQGITLLRAVAFSLVPIGIRVLYALVALTTRRRSLNPVTGSLAARVVLGLLPELI TTLVLIIAGMRTRNASRVGNEKAEELMGDSSQGRHQLS MYCTH_2300600 MARVLALDENGAPRQDGADQAGHQGGSSSSSSSSNNNNSNNNRE PIREYSVERVEKVYRKLDLRIIPAFWALYFLSSAIRSNIGIAQTMNKTEGHDLASVLR LSPRDTSTALALFYVSYVLFDLPSNLVMSRLSPRVWMARIVLAVGVVGTCFAAVNDAW SLKLLRFLLGVVTAGMWPGMAYYLTLFYPPSRTGKRIGMYFTASQVSAAVVGLVSAGF QKMDGLRGLVGFRWMFLVYGLCGVVLGISLLWWLPDRPLAPGEVRARSWYATFLPPSP EPLRGEDAVVHYHDLRRVYHARPWNLRDLWQVLIDWRLWPLVLMYFGVVGVGIGTQLY GNVIISAIDPTFTGVEVSLLFAPIWMMDFFAILLVTPISDRFHRHRAAFFSAAVCVQI AGLLTVTFALSNPWARYGGLLMVGFGLGPTVPICMTWTNEIFQRRHGEVGVAAASALV SGLGNLGSITTTYALYTGWPEDAAKGPHQYRKSNFTMIGILCMSIASSLVMTVLLQIF GNQPSNKIKNSSSSSAADPDEYLDGAARREAQQRGFSRMWWNKASSSSPPGDA MYCTH_2300602 MATVELPEPFASIPREILTFGPSPIQHLPRISQALGGKVNVYAK REDCNSGLAYGGNKTRKLEYLLPDALAQGCDTLVSIGGVQSNHTRQVAAAAAKVGLEV ALVQEHWVDWEDPGYTKVGNIQLSRLMGADVRLDPSGFGIEHKPTLARLKEELAAKGR RPYYIPAGASDHPLGGLGFARWAFEVAMQEREMGVFFDTVIVCAVTGSTMAGMVGGFK LLEKKMGARKRKVVGIDASAKVRQTFEQVLRIAKQTAARIGLDESDVSEEDIVLDDRY HAGTYGIPDERTIEAIKFGARTEAFITDPVYEGKSLAGMIDMIRKGEIAEGSNVLYAH LGGQLALNAYSALE MYCTH_2300604 MSVVYEPRNFIHDGSYPPMDDHHDPSPEADRFTDPTDAVVNELA TTVATFTAPHSQFVDTAETRLDLPAAPPTTTATSPPKEESPNATTPQRIKAIPKPDRE VTRNLEGKFICTWPGCSEEPKEFVRKCEWNKHMDKHDRPYKCGAAGCEKLPGFTYSGG LLRHEREVHGKHGGPKNSFYCPHPNCKRHSGKGFSRQENLNEHLRRVHTQNGTALNGT EGETDDGASDNAVAGGQKRKREDRSEKDGDLREEIKRVRQENEELRRQVQAQTQQTVI MMQKITALQQALETRMPTAVPTAPMATATMI MYCTH_2300608 MSLNTSGLPPPPSVSRTTVQMAGLLVDVYGLAELPPAATRVSCL WLHHPRTRSKEHMADIAARCVGAWNARRRQQHSENDDDRRGGGGGGGGAGEQQRQTRG LIALAYDQRNHGTRKVDERANGSWREGNPMHAVDMFGVVQGMVADQRGLLDLVEGYLF AGDGGRRKIDQHLALGVSLGGHSVWQLMFAEPRVTAGVVIVGCPDYLNLLSDRARLSK LSTYTADDGGASFLGSKDFPPSLVDACKKFDPKAIFFGTDPVPDITESSSKYHGTALQ IMRERVRGKSFLLCSGGEDKLVPYRCSKPFVDWFSQAGRSWFREEVLSLSVSDRVYPG IGHEFSPDMIRDSVQFIVDTVASVDLRPSSTHGQGSSKI MYCTH_2314496 MAPTHLIIVCGHAVWIGGPKNGWDEAEWLIEGFQRGETPTFIEH IKAGVRLLQQDGDATLVFSGGATREQVSLSEARSYYNLGRANKWFGLLPSGGPSTPHP APESRMLLEERALDSYDNVLLSVIAFWRRHAVWPQRVTIVSHEFKRERIVDGHCAAIG FPLEKVAFVGINPPGVEPAGSASSGASDEKREAMKGVQLALGQWADDPHGVGSQLMGK KMERNHWGTRQPLFDSDEERQRSGVATRWLWDGIHEGLVPGVKMPWGGESK MYCTH_2300611 MIDLGLARVGRLVQHTPQTWKAIHVAGTNGKGSICAYLSAMLSA SGLSHARFTSPHLIDRWDCIAINGRPVPEAVFRDAEEVVKQRDRDGRIGATEFELLTA TAFEIFHRQKVEYGVVEVGLGGKLDATNVLKQKAVTVIAKIGLDHQSFLGSTIEEIAL QKAGIMRPGVPCVVDASNQPSVLSVMEKHARAVGADLHYASTAGVTDAVAGEKFEPHQ IQNLACAHTAFCLARPGHEQPLADFLPAIRHAQWPGRLQKVDISKITGRQQEVLLDGA HNPQSAEVLAQYVEKHLRSRDKPITWVLAATQGKDMNGIFSLLLKPGDQVTAVRFGPV DGMPWIKPADPAELLGLAVRHGAQEATIHNAGDDVKGAMIWASQVAKDGPMVISGSLY LVSSVLRALRDS MYCTH_2300613 MGLRLYQASVESDSQSKFKADDRSARSRSSIRRALDRNEDRIRE RRRRLLATAAAYNSFDARRGQASADLGSAPPATTDSAGTRSGSEHGRRTLRDANRRRA LFGDGTVTIFGEPWAHLHAEPHPGPFRDDGHVLFPALSMAVESDFLPPRTQARPEPTY ALSNMRSTQASNHTSRSTSRHQPRPLWSRVHRHSTRLDGLRDDVSSGRASPWAVDADG LGDRNRSLSPEGDTDWGTLLTTLTPDPQPPSVGSSFASASASAATTQRTAAPTSSRTS FTTPDTAENSSFEHPCESGCDNSDTEGDEEDEMDPMSISGLNSLRRSSALRGDSDDPV ELLGGMQRIVRNLARREDIPDEWWADAGLSRTLSREASRN MYCTH_2300618 MTQVLQGLQYLHDQGVIHRDIKGANILTTKDGTVKLADFGVSTS TLAGPDKEAQVVGTPYWMAPEIIQLSGATPASDIWSVGCTVIELLQGKPPYHNLAAMP ALFAIVNDDHPPLPEGVSPAARDFLMQCFQKDPNLRVSARKLLRHAWITGCRRSDAPV AKAPSNFSQAVEEVKQWNKALKSSEGNLRASTGSDIPGHRANPGRMPLSLTTKQRPPA DAFPAPELTDDDNWDNDFATTISPSALQLPQIKGQDNFGGLLSGDRLKAFASTDAQRE DSENWDSNLEGELVTIKGLGHWSEVDPQEETIRPTPKRAEKTRESRLKLPESQGHRRQ RSRQGASNAAQPKSPGRPLAMKFELPPRPDVIYREQSTEDYSDLFADNDHVFDRRLSL AHKETPQLFHPSDLTTSAPKSAHSSSGGSSRRSKTTSRPALLAPDQPVRRTRSTVEIT KFAEDEGDEDFSDIFGAAGDGLTEPEESDRGSETEGQGGQLVLSRLSNNSWLGDDEDE DDPFAMMDPGWDEMDLQANIARDRHARLVQRVEELVKSLKITEGEEVVAEVAEDLLGL LWENSEVKNLIIGAHGLLPILEILEPCTLKSRQHMILQLLRIVNAIILDDVELQENLC FVGGIPIVTKFAARQYSNDIRLEAAAFVRQMYQTSTLTLQMFVSAGGLNVLVEFLDED YETAQDLVLIGVNGIWNVFELQGPTPKNDFCRIFSRSKILDPLAAILHKVLDEERDEL SELVEGRIVNIFYLFSQAEPYVKEAVAERQVLKTVLKDLRRMTPAHQITMLKFIKNMS MCSTVLDALHSADAIDFLIDVLSLSMKKGQKNFREISNQVLNTMFNLCRLSKERQEYA ASNGIIPLLLKIMKTDRPPKEFVLPILCDMAHSGSKGRRYLWQNNGLDFYVSLLADQY WQVTALDAIHVWLQEETAKVESHLLEGGFTAAIISCFNPTKANAFDPNLLEPLLKVLR LSPAVAASLAKAEIYAGIAQKLSHKKAVVRLNLLRLVRNIMDGCEANNLPMSALGTSG TGRQLRILFDNIQTLADKDPAVLVRNLASELIRSHVDVELQHDAMSLGNMGLGAVSSA ASNAGSGPRSRSGARRNTSYTPPGLHLSMSMPPTPTHGHRLTQSFSAAYIEVAASPKR SAAGLTQERDPSFYRPRSRDGGMPIPASSIPRRVSDTPPLASPDGTNSADSSSTPASR SRLPRTSTAPQQQQYQPLSRPSLPTAASAPPISIASGGQGAGAAGGAAGGGGGRRAGS GGRSESFSHHLSSSYKENYSSQGYLQLQAGRLRSGSSVTVASVSSAGGASSGTQYSAS PTGSAVSAGSGNGGLMPPVVPGYGVSGAGEVSGGSSGSSGKRRSRAPSSNSNAGSEGR WP MYCTH_2300626 MTRTVVYSVALVALLAATSMTITSLVSPKWVTLTVPSPSGGTVT DTIGLHRRCSASTTGRKCVPFPEQWRCDGDERSFCSMWRTTGFLMNLAVVAELAALAG FVVILVGGRVKREGSGWKALGGMLAAVAAAEFLGMAIVAYAFDHDDLFLVPGYRLDSS WYLCTFSAGIALLAGVGLAISAMVLPPEDGYQLLLGESDGV MYCTH_111214 MSSRYSLRQTPRKKELFDGMVETPGRRSTRRKAQVLPESDAEST SAIEVMESKQVRRRPAPKFTEHIDELTPPDTPIDSDASEVASVKNSAKANGKLSDAEE KPKVIDHTLTRFSGEYEFGGPWFVSLMIVGFPCLMWYMWIGATYYDGGFPVREPGQSW GDFAKHLVHLVYTGAFPHTKAWLIYWIFFVVEGIFYCLMPGIWAYGKPLPHEGGKQLK YYCSAYTSWYATLAIVAALHFSGVFPLYTLIDEFGPLLSVSILSGFLVSIIAYVSALA RGAQLRMSGNHIYDFFMGAELNPRMFGILDFKMFFEVRMPWYILFLLSCAAAARQYDR YGYVSGEVGFLMMAHFLYGNATAKGEELIVTTWDMYYEKWGFMLIFWNLSGVPLSYCH CTIYLANHAPETYRWNRWALAFLYVSYLFVYWVWDSCNSQKNRFRAMERGTLIKRNTF PQVPWQTLHNPKTIETGLGDKILADGWYGMARKVHYTCDVYFATTWALITGFKSPFPW FYPVFFVVMIAHRAWRDIHRCRQKYGEAWREYERQVPYLFIPYVI MYCTH_2314502 MSSDACPVYAPFFGAMGCTAAIVFTCLGASYGTAKSGVGIAAMG VLRPDLIVKNIVPVIMAGIIGIYGLVVSVLISDGLKQDNYALFTGFIQLGAGLAVGLA GMAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALLMNSKATINI TC MYCTH_2300637 MSAQNESAAWPKAEDPALVQELLDCVQQASHYRQLKKGANETTK SINRGTSELVILAADTQPLSIVLHIPLISEEKNVPYVYVPSKVALGRACGVSRAVIAV SLTSNEASDLNSKIRALRDKVERLAM MYCTH_2300639 MPGLARKIIICAAVDGLVLHPLNARKDSHQRSAALPPVRIKYGD ASISPIPRDAAPDPSSLPPNSSFEAFGIVGLVTVFHYSYLISITRRQQVASIRGLPVY VVTEVALTPCTSQHEAAEAIARTAASLRGRRESLEDGDDGSADASTAAASADEEGILS GSDERGGGGGDEVGGRDDDGEVMSDTEAATAGATTGSAADAASDGRRRSVVQDVIGKK GSYGRFAQKWFSRSGWAMDQKRMMGLSADDRAAPRGSGDSSSSKGEGKASGEAAKLGA ASGTGGTAAAAISLLPKLLRTSQLLFGSSKTFYFAYDHDITRSMTNPKVPETPLVPLH EHVEQTYFWNRSIIQPFIDAGVDSLALPLMQGFVGQRTFVVDSNPPQDDGAYKDSVEL SDFSSSRAASPSPADKASADMRPTEKRFDITLISRRSIKRAGLRYLRRGIDEEGNVAN SVESEQILSPADAAWDPNAKVYSFVQTRGSIPLFFTQSPYSLKPVPVMQHSPESNFAA LKKHFEGLRKRYGSVQVVNLVEKHGVEAPIAEVYEKNIQQLNEEAGPGADKVEFEWFD FHDVCRGMKFENVSFLLQTLGGQLERFGSSVSVNDRLETRQKGVLRTNCMDCLDRTNV CQSSFAKYMLDLQLKEEGFDMAAQKDQENVWFNTLWADNGDAISKQYASTGAMKGDYT RTRKRNYRGALTDAGLSLTRLFNGMFNDFFLQASIDFLLGNVTSLVFEEFEATMMTKD PAVSMQNMRQQAIELCQKRVVADEDEEFVGGWTLLTPHVPDTVRSASMEEAVLLLTDV ALYLCRFDWNLDKVSSFERVDLAHIRKIKLGTYITSTISPAQTDDTRNVGLVIEYRPG LTDITRVNTRSLSSMSSSTAQARDSEEGGAPTAAAAAAAPARDAGSAPAASGLAGLLS RRPQTAAPRKIALKALHSQTSAADPSSRSMQDEPGAITRLTEIQQVLLIAAEIERLAI RNQPRLAGKSPEEAGLVEKGDIISLAEAKKNTTLLEQLGHSIKKLVWA MYCTH_2133149 MDLNLHSEMRLKRSTPAANTKSRPKKGPATLSSKETEDERGQKR TISPEERKRQQRVLDVFRHAFSEVLSSPSFTDTLQSVKQALYDRDFARAFGNPEYLEV YAARWSPTRALCYASVLERIRDHLQSLSCPAPNNTVQNQEVHQDGDGEGEPLAHDLLT PRQLNIFSIGGGAAELVALGAFLSHQPSSSSSSSSPPLSGAITLLDSGPWEAVVTKLT EALTTPPPISKYASEAARLANAAMVPPSRFAASVLRQDVLALDRSSLPAVLGAAPLLV TLLFTLNELFTAGGLRRTTTLLLNLTAAVPVGSLLLVVDSPGSYSEAAVGKEAKRYPM HWLLDRVLLATRDEPVGGRRWAKLESHESLWFRLAGEQGGGLDYPIALENMRYQMHLY RAEDANQDEDEEEEEEEERDGDKNDD MYCTH_2300643 MGYTDVDKLTINTIRVLAADATFHANSGHPGAPMGMAPVAHVLF NRFMRFNPKNPKWLNRDRFVLSNGHGCMLQYALLHLYGYDLTIDDLKAFRKVDSITPG HPEAHDTPGVEVTTGPLGQGISNAVGLAIAQAHTAAVFNKPGFDIVDNYTYCFLGDGC LMEGVSAEACSLAGHLQLGNLIAIWDDNKITIDGDTNQAFTEDVLKRYESYGWHILSV GDGDNDLEGIEAAIRKAQEVKDKPTLIQLKTIIGYGSKQQGTHGVHGSPLKADDIKQL KEKFGFNPEESFVVPQEVYDHCRKVAAAGAAKEEEWNKLLAKYAEQYKAEYEDLTRRL KGDLPEGWEKNLPTYTPADAAVASRKLSETVLNKIFDAVPELVGGSADLTGSNLTRWK GAVDFQPPSTGLGNYAGRYIRFGVREHGMGAILNGLAAYGTIIPYGGTFLNFVSYAAG AVRLSALSRVRVIWVATHDSIGLGEDGPTHQPIETLTHFRALPNCMVWRPADGNETSA AYYVALTSKHTPSILALSRQNLPQLEGSVIDKAIKGGYVLHEQEGADITLVSTGSEVG ICVDAVKELAKQNIKARVVSMPCWEVFDTQPKEYQLSVLPDGIPSLSVEVMSTIGWER YTHEQFGLNRFGVSGAYKDVYKKFEFTPEGVAKRAIATINFWKDVPNIRSPLNRAFQQ IL MYCTH_2300649 MRKAKAKKAGASSKKGGDAKAPAPAPPTEDAPPSPAAAEPTVAE APEKKEEDDEERGKEVKEEEPQEQEKTTEEFPSVPEDAAAESAPVTTPSLAQQSKARS TSFRQGSGSLSSGAPLSPGAGAAGLGLLSPEGETAPDIYRKHVLRIEELEKENKALAK ELKDAEKRWQKAEGELADLREGEGTAAGGDRGGEVEKLKNEIAALQRQNSQLQAQLSR RHGSSPSLSMSSPPATSELEAQLRSKSVTIENMELEMSRLRAQVERLSVSASTPSEQI TALEEKLARADKAAGLAQRELHDLKIELEQASKKAVKEGSERASAETKARALEQQAAE AAAARDELARKAEALEKKVATLTTLHKEQDGRTQALRRDKERAEKEAAELRAKLDKAE AEILRLRKKDAAEGGGDDDGVDELEDEERQRLEKRIRELEAENTDLRRGVWREKRRQM QVGPDEDVVAAAAAAAAGGAAGGAFETVDLGGASAPPARKSAAGLGEFLASGLNALTG AAGGGHGYGHGHDDGLLEDDDMEFDEEAFRRAQEEEQKQRIERIKEIKRSLKNWEGWR LDLVENRRGGGEGIGEIFEV MYCTH_2300650 MVADLEKRVRHGKIEDQMKAYLSAMDACGDNEYGTDDLTGKLGC LVSDVLRNKDFSVPILERVVAECEKVVASLDETLSLFVAVKQDLLETGSTSLKRLNRL WENKWLAQTHSDLLDVNTQEDVDSLRQALTRITLCYYGPLGHAQELMRNLKLEIHEAS WD MYCTH_2300651 MPPTRIPTKEDFASILPSHPTYQGRRQQQQQQQQQQQQQGKGGG QPDDDPISLVYPNPPESTTSILLLFHGLGDTEAAFAAFARNLALPGVLAIAVRGTAPL PPALLPADDDDDPDPDGGRARARARARAGYHWGDDLVFSRDGDGVDPDPGFDRARAWV VDRLIGEVLVRRCGWAAGDLMLFGFGQGASFALGLASALRAGDRVVEVVATTTGAAAA AGQGNGYGNGMAFKGVVAIGGALPVSMVPTVGGLGKSKTPVLVCCGRESEAVDEDAVE LLEREFEDVKVVRWKRTDDGMPRNRDEALPMMRFFAERLRNVWQR MYCTH_2300652 MRETTAQVQVQSSDHESHDAAISDVTVTVVGADHPDAPIRDAPR VEGLEQDSRPPTPRFIQDAGSWKRFKWVPYPVRRIIKATAKWARGPSHPGRYRIDPLL PQVQYAPIVLLDMYLPRKRHKVLAVFGFWGVWLLTFALVMRQGLIAAEIPDWGTPTQI GCGSTYWVSGNGCGVDGVDCRPFTDGGFPFRCPANCASYHVLNPRAVGDQEIIYRPLV IGGPPEAGNGTAVYRGDSYICGSAIHAGAISNANGGCGVVRLIGRGARYNSTTRNGIT SIAFDSYFPLSFAFEPGIQCDARDVIWSLLSISVVFTAVFSVFVTSPALFFFPVFTVL FWTVGMATDTPNHSNIASLFSIEIGRFLPAMFVAWVMYDKMGVRRTLKGLTAQIEKTI LWLGAAWVGALTNHTLDFIPIQRLTPHDLNQQPGARAALAVIIIIILVIASAQVWFFR QEGRLVRNLELYGLLALGIIICAALPDLNLRVHHYVLALLLLPGTAIQIRPSLLYQGL LVGLFVNGIARWGFDPILQTDYALRGDAPLDSPLPSIRPPVIVGNSSITFSWDPPPAA YDGISVLVNDVERFRKYFDDGDGTDVSNVTWVRDAEDSNMNEYFRFAWMQGSQRGDYT RAGTWDAKGRWSQMAPGPSKLRTRDEGGDMRRRA MYCTH_2300653 MTADTQSPDSAAMRFDILKGALKDGVAARVGRLAFAGRLPMDTP NFIGITSRGALPHLTPDNVSKHLQTVGAYMALEDFIERPQQYSQRTPPIYGTPTTAKH NTRLHRFTAMPQTVTTVLGARRIPAVPSPMGNTNASISVFTSTGFQVLTTKEYLSAVD ALSPDIAIPLADLTHSTITPNSKRALRMAERTDEWVVEWFSSLSESGTTPPPRRTSTF APILPISYSIQWEYVSRLAEDYLPTGQLAGLAIHDPDVLPDLAEHCPALLPLPRLSLS NPPTPHHILRQIALGVDVFALPFINALSDAGLALSFTFPPPPRPPSSSTPSSPPSQSS PASAGTGTGTETETGTGLLPLAIDLSHPSHATSLEPLAAGCACYACAAHHRAYVHHLL SAREMVGWTLLQVHNHAVVAAFFAGVRAALAAGEGKGEGEGSPEAFDEAVRRFALTYE PDFPEGAAERPRARGYQYKSVGGGEGKRNKPAWGKLEPAAEAEAESGSGRGADTTAGV AA MYCTH_2300655 MSLLYECINGIIQGGFLGSGEDFSAREEVASLCVSKLRGMVSTN SDPNLKYVALLAFNRIVVTHPFLVAQQEDVILECIDSEDITIRIKALDLVQGMVSSDN LVSIVSRLMRQLRASSAAASQQQNGPDTQDQESDSSDERGGNAGRRRKPLGRAPPLPD DYTVDVIGRILRMCSQDNYANMVDFDWYLDVLAQLIRIAPPPRTKDLDADASAPSQAG DISEKIGNELRNVAVKVKAIRAAAVRTAELAISRMSTEASPTRPVRAGALKPVAWVVG EYASQLLSPDDTLRHLLDLLPRIEHPECLATILQAVMKLFACVTGDDRAPWTPERKSS VTLLMARVIHVLEPFASHPYLEVQERAVEFVELLKLTAEAAAGQPPSTDEVQQDPPLL LTQAIPSLFAGWELNSVAVGTQQNVPVPDDLDLDEPIHPDLNRLLSQADSLMLPAQGD DEFEAYYNQKPAATSIASEPAINRLMDGPDEVPTGSYQQSEESYLDPDIIARRKAERM ERYRDDPFYIPDSTASGGRSTPIHNILQKENGPDLDIDSIPIMKLELLNPSDSASPAE KQSAQQQQQLKPKPRQRVVVAPDETLGFGSSGSGLSTPRAYDSDNNNNINNAKARKVK QQSLLQITSSQLDDLSLEEGGPAGGDVRLAHERQQREEAEMAQALREVQRLRLEMQRA NERIQVAQGVPAEGVVVKKKKTKKKGTGTGTGTGKEAKVDEKGDGGEEAVVKAKKKKK KEKAKSGVEGEEVGEAPASVGEGEPVVKAKKKKKATRVVRLDEGEGAAAGGGGGGDGG GGEG MYCTH_2300657 MFEKSLYDLIRGLRNHKGNEKEYIQSCLKECRTEIRSQDMGQST AAPFKGPPS MYCTH_2314506 MSSMVLQTPVQLSPAAALALSQQAPAILRSSPSTASSSPFSTLL SGSEKPEQWLQYENLILACLRTGDDRAAHACLSRLVARFGDDNERVQALRGLVKEAEA KNNGALEDVLKEYDQILSENDTNIPVAKRRIALLRSMGRISDATSALVQLLDYSPTDA EAWSELSDIYLSQGLYPQAIYAMEEVLVLAPNAWNIHARLGELQYMAATAPGVANGSY QKYMAEAIKRFSRSIELCDDYLRGYYGLKLVTKKLLDDGGKPAKQTDESDFWLPDTNT IERLNELATAKLSEITRRSTANDRGWRGYSEAEVAAARELLADDAAATER MYCTH_2300662 MPLKHRSPARGQKRTPASATSSEYDEETSSQHSEDYDDSLADED DEDDEDQWGDEYDELDPSDSASISQEYKQRALPRSHSPRPLRGQPRNHRIPVGRQSYS YYSPSVPPMSLDPSEDYPAYARGYGGPPQPQNQGAFYGARGGHQSAGYAQSHVGYMPN HYASQMVPYNEYRNPFAPAPMAGTGPGFFGNEHRGGHGHYDIMPYHQGPGYYGAGGGA GYGIPPPHLQPYLYNAPPPPPTEAPAPKPPTPAPPPPEKNPEVEALKAQLAKFEEEEK KKAEKERLEELKRRMQEEAAEAIKRQMEEMKRVQEEQKKAVEQAQAEAAKRAREQLEE ERRKEEQRRKEQQEQLERIQRETRERLEAERKAAEEQRRREAEAAAKAEADAQARIKK AIEEEQARIKAQIKAEEEARAAAEKKAAEEQARLKRIEEEAQKKIEAALKEQEEKLAA AVKAEREKFEAAQKAEAEAKAAAAKKEAEEAEWRKKLEAEAKLKAEIEAREKLEAERK KAEEERLAEEQRKKEEEALKQRLLEEAKAKAKAEEEAEKKKEKAPIKFKDAVGRKFSF PFHLCQTWQGMEELIKQAFLHVDVIGPHVQEGHYDLIGPNGEIILPSVWEKVIEPDWA ITMHMWPMDKAPLRNQQVPPMPPGAIPRPPHHNGRTVHANGPVPFVRPPSAHPGGAGG MQIPRPPPNGVWPNGGAVPVGAPRGARPSAAPHIVTVEPEKPKRKDKGAPQGMLSWMV GAKPKSSSTKKYVGPIPPKGARRPDSAQARVPTPASAPAPAPAPALAPLPPSKHKPKN KARPSSQKHYPSYILYPAHLWLPKSVAFPPLVEFGL MYCTH_2300663 MASRLPTRARHLSATRIAVRSPLPPPSPLLQSHLLQQHPPSQPK PAAAGTTTAIRHVSTTAPRPWLLSALPNLVPGANSGPPPPKTVRARRLLPYTPAQVYA LIADVDSYKHFLPHCAHSRVTKWTTPPKHPPAQAAATTTTTPTRYPALADLTVGWGPF TQTYTSRVYCVPGEVVEAVSGSADTSIPREVLRSVGYELGEECGGGRPPRMEGIFESL VTRWTVKPAGVGHGGGGPAARGRGEGPASCWTEVTLSVTFQFANPALGFAVGQVADEK VDEMAEAFEGRARRLYGR MYCTH_79619 MGHLVTVATCSLNQWVLDWEGNLARIIESIHQAKAAGARLRVGP ELEICGYSSLDHFHELDVYTHSLEMLRQLLLDKSTHGILLDIGMPILHRNLRYNCRVI CLDGKILLIRPKMWLANDGNYREMRHFTPWMRPRETEFFHLPKMLAELQGETHVLFGD AVISTPETAFGAETCEELFTPKAPHIDMALDGVEIITNSSGSHFTLRKLDTRLQLITE ATRKSGGVYLYANQQGCDGERLYFDGCAMIIVNGDVVAQGSQFSLNDVEVVTATVDLE EVRSYRAAISRAMQAAASTAKYQRIQTPFELSSEADDSDVSKAPTLPIQPRFHSVEEE IALCGGCYLWDYLRRSGAAGYLVPLSGGIDSCATAVVVYSMCRIVMQAVEQGNQQVID DVKRIARYGGEGVLPKTAQELCNQVFTTIYMGMRKQSSRETRQRAKDLSEAIGSYHVN LDIDDEFGQILPTARGRPGGGSLLILGSANVGEVSLRGYLTKYDCSSADINPIGSIDK ADLKRFIAWAEKSFDLPCLHDFLTAVPTAELEPITQDYVQSDEADMGMTYQELTIFGR LRKLNKLGPFGMFQRLVHDWSIDRERKPDDDAPYYTPAQVAEKVKKFFHYYAINRHKM TTLTPALHCNDYSPDDNRFDLRPFLYPPFWKSWSFKRIDMELEKIEKKRASKKQ MYCTH_2300670 MTVNSAEAVAGQNDAQEKLAAQASSLEIAQDKPEQDKQQQQSQV PPHRSHDPQYNQKRSDPFQFGSRYLGEDDDVFEFNAWDHVETDDAYKEYAEQQYAMQR QSPVSEFDKMRFNSDPAKWWNLFYKNNTANFFKDRKWLQQEFPVLHKVTREDAGPVTL LEIGAGAGNTAFPVLAQNKNPKLKLHACDFSKKAVEVMRNHESYNPEFMQADVWDVAG DELPPGLEEGSVDVAIMVFIFSALSPQQWKKAVENVYRVLKPGGEVCFRDYGRGDLAQ VRFKKGRYLEENFYIRGDGTRVYFFEKDELERIWSGKLSEPAEGEAQGLQPSFEIENL GVDRRLLVNRAKKLKMYRCWIQGRFRKK MYCTH_2300672 MATPANNPFGAPPSQVLNNPFGAPAPAPAPAPASSQPAPAPNQQ FQNPFGAAASQTNPFGSPAANPFGAPVKNPFGAPASGTKQQPSSGAASGAPPAPRSAF GSPAVSPGSSSRQLSPAPPLQLNNPFGTPPTGPKSSRSPSPSGSRAQPTEPSSRSSGS KSAEANTMARKNKSAGGKPAAPANSGGSGGQGGGQGTKGGAAGKGGSSNGGFGGRQGH DQGTQLANPFAGANPFAKQPMAQQPAGASSTQSRQNVRGKQQAGRQERHQPPSSSRAS GQKMVDRGPTERTKELSSFAYDYANKLYDHLKKEGIHPPKWPADPGDPSKRAAIENLK EAYKKYRARVYASLRKADLIDDPEKRRKLEDALPFKGICESMCPEFEQVSRIAEYDVK TEEKELRPDGLTMWPDPAKMVKKFGRSAAGQDAPLPMDVRSVDALRRTTDYLFNDLLQ SESNLPSMHNFLWDRTRAVRKDFTFHSQKSAEEMKDMVYCFETITRFHATALHLLSKK GVANEDFDQKQEIEQLGRTILSLIEAYDVCRDKRVHCENEAEFRAYYLLLNAHDPSIA RRIPTWGAEFWFESEEVQTALSLIQAMDDVREPKGPIKPRVGTTLSDTSFTNYFAIVE DPRVSYTMACIAEIHFTSVRQAILRNLVRGYARHRDAPRTITASDLNAMLRFDTPDEA VEFAELHGFEFSTWVPDGRNPVTEPYLLLNNKKKTVPSPRVRQAFSGTLVERKRTTQS LPYVIYNTIFEEPAEKPPGGGSPDSLFSEDSGLFVTQTPASEPLAETNLAPLPPPPSK QSASPTTSSWSFGTPASSTPFSMGTSTTAPAFSGFSAPKPTASLFPGSSAASGQPTPQ PRVGQSPFSSLSSQSAAAAAAAPTTITTTTIGTDAKPATTPAQVQPAPTEQTTTTSTT TASPFAPAKPTEGSSPFGFLKSSSSVPSLPNFAQPTQPQPSTTSPSVPSPLGNAAPKP TTTFGPSQDTATAQKKPPDSALSTSNLGSTNPPAGASPFPATTLPGQATQQSTASPSA VPTIYITPPSFTAPTTQGLQPPVPASPSKPFGQEPQRGVDAGATAPSTVAPKPPPPPK RDLLGDFTKWFVKGDDGLMEQFTEEFLRHILWGVWQDFEREREERKRKEEEKESWRLA REHQTYRLRLKYFYRWRNNARALATKRILREGREKMRLYREQQKEVRRRQQEEKEKAD REAKRAAKRQLMEDTHRFSLLASSSAAAGRRRRGSVAYSADYVSNNDPEEQLLASGVF SGLGDDPRSLARRVVREAAGDADNEAWATGSATRSFRYPESELELELEPPRTSSPDTS SVGGGKREGWKTRSLREKFGLEPRRSLSAGGGSLSSLSSRFRQSLPGTNSRTTNFAAS LSSSSRKRSAAAGGEESDDDPGARRLLQYGGAKAAAGSGLVRSTHWDLRARGFVPMPD GKWLPEALANKQLQQQQQQQQQQQGLGSPEDGLVDAAPDVDMASDAGRAASPTPSDWR LRLAKLKRYRPLAQHGHSSRHSVDLPQQTPTTTGLSTPPRGILSTSPPHPPFTSASAA AAAATATATIMQPPPLGGWRGEDGPIGGVGKRKRGPDDTGENEDEGHEDGAQQQRRSR EPSPSAKKKAAAAAAAAAATGAVVVPGRAETYAMVENTKRMLRELREAMDRADRDARE EVY MYCTH_2300674 MAKSALYTLLFFLFLVPHLSTALQVTPNSPCASVCQDLRGLDPS DPDSSTTRNSDITCEDADYSSAAGTKFKKCMTCLQTSTFSQDGESDTMWFLCMSRRLS FAPDWPNGEKSTLTLLLLKPKDNLRYTAAYCVFGYPNATNFSPTPCTTRTACGDLEAN MRHGIPNPKGTTAYSYCHAGDGNAMDFSHFESCIACTSALGTTDYLANYFAALGVACQ QQPAPGTLLSLNDTIFAHTPIGPGNDDDDRGSGLATPAVVGIVAGVLAFLLLSAGMTL VCLRKRRKQYLRAGADAGYNNFSHHRHHSSMSFQCQTHMLSPRFWSAVAEDGVPTPMT ENFGAVPAIWTPHHPDDSYIYHHQHHYQDDTTTTTTTTFTPAPNNKSSSSSTISRSSS IRKPAQLQITTTTPPTPPPQAYYSSPSSSPEKVYHYSPSDFRSPLSADTVTRASALLP PYPSHSPVAAPPVPAERHSGGRHGSGSGLGSGFPLRLGRKSPKIGSGGGGGGWGGGKG VVVSGSSGSPVESRTIQTTFAAPPKR MYCTH_2300677 MAALVAVIYLLLALLLPSFSSSSYSSSSRATRAARAKTPLLSVD FPDPCIVQDASSGTWYAFATGGWWTPPPPPPTAAPNNNNNSSSADNATAATLEAAAAA VYKNIQAASAPHPAGPWTYLASADPLPSAGAWALSGPDAQVWAPSVARLNASAYVLYY AALLAPGYVGSAANEGNSSSSSSSSSSSSSSSSSASASRLHCIGAATSTTSSPLGPYR PQREPVICPAGEGGAIDPAGFADPATGRRYVAYKVDGNALGRGGECNNGVAPSRRTPL VLQEVEVDGAEGVRPVGPAAEILDRDADADGPLVEAPDLLYLPPSRDADADADGDGGR SRPGRYVLFYSNRCWDGPGYSVNYAVAEGSIMGPYKRAAGSGEGPPLIGTGDRFDVTA PGGAASVPGGDWLLFHGNCPQGRCLFGAEMEVVGGRVTVW MYCTH_2090056 MADSKALVKKAGDNGEEEYAIKPQSTVPTIDTSTWPLLLKNYDK MLVRSGHFTPIPNGSSPYKRDIKSYISSGVINLDKPSNPSSHEVVAWLKRILRVEKTG HSGTLDPKVTGCLIVCIDRATRLVKAQQGAGKEYVCVIRFHDTVPGGEAAFAKALEIL TGALFQRPPLISAVKRQLRIRTIHESKLIEFDNDRHLGVFWVSCEAGTYIRTLCVHLG LLLGVGAHMQELRRVRSGVMSEDDGTMVTLHDVLDAQWQYDNGGDETLLRKVIQPLET LLCTYKRLVVKDSAVNAVCYGAKLMLPGLLRYDPGIEAHEEVVLMTTKGEAIAIGIAQ MSTVEMTTCDHGVVAKVKRCIMERDLYPRRWGLGPVAMEKKKLKADGKLDKYGRPNEA TPAKWTQSYTDYSAPDVAAAASSAAPAAADAPAAEAASPEKKAESAPEERADEEKKKR KKHEGETPEEKAERKRLKKEKKEKKKAEKSKESKEAEESD MYCTH_2300681 MRLGTVHLYVAAAVFAPLAASIFIAAPDSPCSKYCGNVQSSTAT DEMVCDDAGLASSEGVVWEQCIGCLLTSTYVSGGHSDLQSLLYNLRFNVGYCLFGGET NPCVTSTACAPLGRAVSYKNMSTSVGALDYCSKWDQGFYPPCSECLIPLQQDHLHGIY QNNYVTILEAACEQRPDPGFTVSIAGDPFDPNVAVSIVEPHPDASSVPTPDYGPVSLG ARVGIAFGGLAFILVVAGVCIVCNGKRRRRAFLRELERRHGGQGWPHPKTRYGGSSSS GGGPDMFETPVSQRPLRGWETESPVSAHTDAPFPRYFSPYSSQYASPVTSPEAPGSIH HQWPTLVPQRTEQQQQQQQQFNQMTQTQSPSTHGSPPPAFSQWPTSNQEQIHAQHVQG QNEPAIGIALGGDEASLRSKASNLNLNGYPIDSKGKQRDEAYEMHEVESPYGYGNGTA NGNASWNGNGNGDSKDHRHYEYPFRMPAEPQAPVLHHPGYGRHHGSRTGPGGADAGAG VVGVGQYPDYQRGYPVS MYCTH_2300684 MRIACLQFSPQVGDVDDNLNRADAVLDRVDPEELDSLDLLVLPE LAFTGYNFKSLQDIAPFLEEVGSGISSLWAQTTALKHDCTVVVGYPEKVDVSEKWPAS PGCYNSAVIVNGEGDTVGNYRKSFLYYTDETWALEGANGFFEGEIPKLGSVALGICTD LNPYKLEAPWDAFEFGFHILKSEANLVIMSMAWQTHHDRSVFNFNPAEPDLETLVYWV QRLEPLIRADTEEEIIVVFCNRAGSEEEVTYTGTSAVIGIRRGEVFVYGVLGRGVDEL LIVDTDQPPMSKLTDADAVAGDEEAVAEEKKAPDTEIKGGLPAPPIPENRHSRESEVT PRHLASPGLSSPRQPTSPRLPWLAQPTQPGETPTDNRSPTRLQIPTRPPADEVYTAID TAFTDDNIIIDTPAMAHTPAFARRLQRPTLTIPASPGWRFPSKQSPYPWQHHDGSHSS VFGAGATMTPITPFDEDGWSSTPIDQKAPPQWFWRHEPTLSALKESAVEEEEKENGSD SPQHVALPSAQERKQDASDARTHESSDYHTRAQQAEPEERVMDWKTQEGGEDVREEGQ DPAEERTALKNDWADLAHVLEGLRARPGSVLDVSSSPDDRPSSPKSRNVSRSSSPFRS LERWGPRYGGQEHDNASGGPGGPADGEAARPHQGPRQWQRQRQRQPSRLRHVVSRDVA DAASSRDMDDTTPPLSIDPAAAAAAAGEARGGERGGKRGGVETGTDGIPIQDATTTPS LCSATSATSTSTFSDDVVEPRDPVVFVRDGGDSTGSDSVADAAVLPPGLVAAVEGGGK GAADPGANGSLEMEGGSAGLEIGRPAVHG MYCTH_2032303 MRHDAKTYHSVAARPETPPTAPSSPSSSSSLSTPSLLDLGFMRE DTDLSRSQQPRTPDRRSVDTAAALRSYGHERGPHKHSGLGGNQQADIPFIAELPAMPP LQQTSAPPPTPPPTTPPRKGPAVPMRKEVGGAKPITYLNPVGEPWVN MYCTH_2090061 MRIGCLQFAPKVGDVTNNIAQAEAVLSSADQDELKNLDLLVLPE MAFSEPENYNSLIVVDRDGETLANYSKSFLYYTDATWAREGRGFYGGKLGDLGQTAMG ICTPYKFEAPWDAYEFAFHILRVRANLVILSTAWLTNDERTGFLACPDAPDLYTLTYW AQRLEPVIRTGASEETVVVFANRSGVEDEATYAGTSTVLGIRDGQVSVYGILGRGVEE LLVVDTDKPPLGRLVYRPAVPGDGSPTQTSALPTTASRRRLTAAKAAGAEHKPAGSTH PRPKLTLQTDLPRLRTPPAASAELDPTGHGPSASHCRRRSPFSSTTPAARPTTPPSRS RAFRHGGGGGPRCRLLPAAAR MYCTH_93131 MRLFPLLLPLASAEALVSWTISSLACQSAAATYTPCTYTLALDI SPPDRDAPRICTITITSFLDDGEGASLTLVPADTARNLCAFFGYTDAGRVGSSGAAAE SRSEAGFTAGSLPGSSPPDDSGEKEYDDGRMRAKSGRRMATDTKRGLNTGSEERKEWQ VKGLTRCIQAPTSDPVGSFYGIPCSSDDDPGFKASWGYNSDTDSAVMTICYAPNGTDA WFGFEQVSKNQWLGDSKKEPVYFTGCA MYCTH_2300688 MIGPLALRLIASGPAAVLLVPGGGQRTGTGAKPWGCRTTQLDWH KTQRCRQRLL MYCTH_107095 MTLAGMRVLPRDGEAGSAPLTTGAIAGIACGAGALFLGATSLFI VYFRRQRRYAREDYSDSDSFDDTMPRRAMAPVVTYTMDYKIDNPQHHEGSSYIYSPEQ ASYILSPQSTSDAASAMPTHPAYIPRALVRGSSTPSNRSVSTTSPPPPFLSLSPPYPP SISHSSKIQPDDSMIGAYLAAAAQAGPQAQSQDSPPEESDAASSSSGPAIRPAPPRSV SPTDGSSSDHNNHQQQQQQQQFPLPNGLQPLPARRKPRAYTPPRLNLAEGTAQNRSRR ERPLLGKEHATISGPLAFPQFSHPPIGPPPPPAVPPAAAAASRSGWRREEVAADIWDG EGEEQWHSHASPPGDGRRTFRSRIALAGGGGGGSGSGSGSGSNSGRGRGRGRGNGNGK KKHGRKKSDRGSGGYGSNRHYTEVEIGRGSDIW MYCTH_2300690 MSSEIMDAYWAAPPMARTLATAILVTSISVHFGFVSFVWFYFTE DRLLRFPPEIWRLATTFFLSRPKLGIIMDPYFAFQYLRDLEVANSRFPRKEDVLWYLI TVGGFIIFLNRTFLGGGFFLDALIMALAYTATQDQRGIRSNFFFFTVPAQAIPYCMLV SSLLMSPAAIPLQITGIVAAHLYDFLSRLWPEFGGGRNILATPRFVSYLVQTPRVLKR DYGTAIRQPNAPTAGSSTGASTGSVLPDSWKTRGAGHRLGGN MYCTH_2300695 MAMAGFNGCLLNQLRQSRVQLARHIGRAPSRAYSALPNGSIPAA KKKYVPTTGTYPLGFRASGTIVGVKPSNTTKPDLALLTSDAPCAAAAVFTKNKFQAAP VTFSRDLLKRKSNQGIQGVIINSGCANAVTGKGGLEDAAKMAQAADKCIGQSDSTIVM STGVIGQRLPIDKILSKVPAAHGALGGSHEHWLTAAKAICTTDTFPKLMSRTFTLPSS PGIEYRIAGMTKGAGMIHPNMATLLGVIATDAPIAAAALPPALKHAVDRSFNSITIDG DTSTNDTVALLANGAAGGKEVVEGTPDYGAFRAVLTDFAAKLAQLVVRDGEGATKFVT IRVTESASEEAARRIASTIARSPLVKTALYGKDANWGRILCATGYSLLSEPGQPVNEV PEIVPEKTNVSFIPTDGTAELKLLVNGEPERVDEARAAEILELEDLEILVRLGQGDKQ ATYWTCDYSHEYITINGDYRT MYCTH_2300696 MPAVRAEEKGESSTAPHKALTADNNANPTYELPWVEKYRPVFLD DIVGNTETVERLKIIARDGNMPHLIISGMPGIGKTTSVLCLARQLLGDAYKEAVLELN ASDERGIEVVRQRIKGFAQKKVTLPQGRHKIVILDEADSMTSGAQQALRRTMEIYSNT TRFAFACNQSNKIIEPLQSRCAILRYAKLTDAQVVKRLLQIIEAEKVEYSDDGLAALV FTAEGDMRQAINNLQSTHAGFGFVSGDNVFKVVDSPHPIKVQAMLKACYEGNIDSALD TLRELWDLGYSSHDIISTMFKVTKTIPTLSEHAKLEFIKEIGFTHMKILEGVQTLLQL SGCVARLCKLNMDPKKFELPKK MYCTH_2300697 MSSSQPAPRWAAFARDTNETKIQIALNLDGGAFPPDTDPRLQVG DAGGHAAQSSKSQTITINTGIGFLDHMLHALAKHAGWSLALACKGDLHIDDHHTAEDV CISLGYAFARALGTPTGLARFGSAYAPLDEALSRAVVDLSNRPYCVANLGLKREKIGD LSTEMIPHCLHSFAGAARITLHVDCLRGDNDHHRAESAFKALAVAIRQATSRVAGREG EVPSTKGTLSV MYCTH_2300699 MAPTRTIKNKYATSKGGAGGGKGSSKQSGSGGITKSKGRAGSKA NVPATQVKGRPNLPGLDKDKKKKQRVYTEKELGIPELNMVTPVGVTKPRGKKKGKVFV DDRESMSTILAMVQAEKEGQIESKMMRARQLEEIREARRIEAEKKEAERKAKLEETKE SLRKKRKRNKGKARKEEEEGESVKEVAATGTKAAKPKKKKRVSFAPE MYCTH_45273 MDWFGRAKIAFTHSPTPLTLQRKDGTVTDLLKVCEASTPPCQLN PLLFNGDIQTMWTAVQEHGPPIFYRRRIFVADHKTYTGTFAVDFAVEPHTDVDEGLPP RTAFYSDEDFAKIASDDSRPMLIVLHGLSGGSHEIYLRHAIAPLVLNGGNWEVCVVNS RGCANSKVTSGLLFNARATWDIRQVVKWARETFPNRPLFALGFSLGANILTNYVGEEG ANCPLKGAIAVGNPFDLEVANKSLQRTFLGKQVYSRVMGSNMKKLINAHKDAILKHTN LNFDRIQQVTYLHEFDREVQTVTWGYPTENAYYRDASSSDAVLAIRIPFLAISALDDP IAVYDAIPFQEFTQNPYTVLLTTSLGGHLSWFELGGGRWHARPICNFLNRMVTEINLD AITPDANGTKLETQFVTEFNPVRRKLQILNN MYCTH_2300704 MPPGEAYGNQGQQQHPQQHQFQVHPQARQLHPQPQHLPPLHSGP QAPPLPQPSLLPHPQYANPYPGGHQQYQQQHRQHQYQHLHQHLHQQQHVQYVHPAYAQ QQQPAPFYPNDTPQQPYRNYNGAFHQFTPAPAPATAPAPAPIPNPTLAPQSAPLPHPT HTSTPMPAPIQFVDPSYMQHGPATRPPGVPPPVSQPPSAPLLNPQLSRPAAQPKAPCP MPVNPSPKLEEKWPPSRGTPKLLTKEPRRPSSSAGVTKSPATPQAPAHVETLPLLLSV AEDCFEKANAAAQRLARTMGPSEVAEHHKLIAIGLGCLDVALKSNKLWPRLEARLCLR YTSILIEETTNITEAETALTRGISVCEKHRFLDLKYSSQFLLMKTLFQRNQKAAFKSV ESHIADCTTYKHVPWIYAFRFLKASFHLQSGTAADNHAIENLRKIAGIASQRNDKAIF VVAMLLEGLAHLSTMKDDWATRVQMCIAQVSKLQLDESIRIPQTDVLLLMLDLACSLH QKTHQISAQKLSALQRRLEELKQASNWSSQHGEMLLPINRMQNTQQIVSADTRAVLRP GDDRVDYLVISALGKQEAWALAYVLNGIVAHYRATTPGRSSGMWGEAIRLLEESKTGP SSYSLPEALKQAEWAKELSCYAHVLTGLQAATLSDWAKVKACLKAIEQQKPESELLDV LTLYLEGVFHQGTAALDKATEIWKDKQFEMDWSGAPKPAGNRIATELSILAALNRLWI MQDPAQADDAETAELVDLLRPICEDNPDQEIRTVYNLVLSSIRTNPPLSINQVKRHIQ QSLSGAQQTSNTQYLAIALNIMRARLFENVVGEQALKSARAGSAQARKSGNVLWMSVA EGMLAQSFEMQGALAEARVAHETGVRLANEAFAKTQV MYCTH_2300706 MSSLDPPAQASPPSAPPPSSSSSSSPSSSGEEPNRNQNRNPILH NAALAMAILCPVALVLPTRGGGRAKSTLQNAVLGGGAFWGVNQLAEDYTGKSITARSA ERWGALLGVSVGGAGAGAGDGSGGNHKGDSSESSSSSSKVGFMHNLPTERAARNKELM EAERRRRAEAEGKEYVPKKKAPGSLWERLWMGGEEEGWKERRLEEERRAIESGKGYGD LIADQVTEVWRGKSGAADGNGGKDGKGNKKE MYCTH_2300708 MANEYYNQKPLPDPVSPVYDSDRPAPSYSSYSTPAPSSNSRQHL APGDRPPQGVSPSPFDTVFDDHVYPASTHSSMHRLSQQDTGYHGHSPVSNDGIPLQDR QRLSFKDAEMQDHVYDAPQPKTSRRGRVRFGELGMLGSNRKKIPFVVYFFTLVQVAVF IGEIVRNGEQIPFPPSFPSSALSLSLSLSLSLSLSLSFPFANPRMAVATNGTRPATET GSPIMTQPSFNPMIGPSPYVLINMGARFVPCMHNVDGIQNANRTLPWPCPSTTTNDPN SPENQCTLGDLCGFGGVPEPAYKPGTPLDTKPAPNQWFRFIIPIFMHAGVIHIGFNML LQLTLARDMEKSIGSIRFFLVYMSAGIFGFVMGGNYAGNAVASTGASGSLFGIIALTL LDLLYSWKDRVSPVKDLVFILLDVIISFVLGLLPGLDNFSHIGGFLMGLALGICVLHS PNSLRRRIGDDVPYAHSDVSGGFAAQGTPPSFLKNPVGFFKGRKPLWWAWWLIRAGAL VLVTVVFIVLLNNFYVDQRTCSWCKYLSCLPIHDWCSIGELNL MYCTH_2300709 MLQLTRQARSAWKTGFICTSCRALLASGATRLPGAVARLCAARH YSSPPDQHPPPPPPSPPPPTPPSQQEQQQQKDTQTAEQQTAEQAKTPKRSKPSKRQRK RKARRSRASSASASASSPTTTHQLWRETLNVLKEIKADQRQSTPQTKDAHAGTETETP QTAPASGSSSEEKPGKGKGSSSSSPQDEKTLEGALVMLKKVLRQELRDDAQLVKQTAE HAPCEKAPAAAPKEAKTATTKRQKSLAEALGFAKAKAEAKAEAKADAEPAEPAAEKPA KKPTQEPAKPAEKLSAKASSKTPKSTSKSKPAASSSKASKTLSSLTPLSAVARHAKRK GKGTTGFVVNRIDAAKIELTPIEKEQPPVPRLAYGLDRVLFNPGVYHIRDPRSRVFNF DPYLERIMPIKEFDFEAIKQYVTSSKDMTLIKTAAEHQKKYTGSTSSMTSTLAHFHYL LSAWRPINPCMLSKNFEIDSYRFTRIMRAPAATFLHWKDGTYAIDADKEFDTANILSM LGKSMEKFLTLPKQEFEKYRKINSDQLTEEERNGPESYHYTTLGDFLMRSQLDAYDPR LPGTGMFDLKTRAVISIRMDAQDFHKGLGYEIRTRFGNWESFEREYYDMIRSAFLKYS LQVRMGRMDGIFVAYHNTERIFGFQYIPLQEMDLSLHGQENTTLGDREFKLSVYLLNK VLDKVTKKYPGRSLRLHFETRGEETPFMYIFAKPVTPREIEEIQGATRAKVEEFERQM MGVVEEARALDEEDGGEESLDDAEEHDMDESDGEEITSSAAWEEVMLKVEDELEDEEH GVTFVREAIEDALQESGLLRNAASDETQRYVDAFLEALTGNGRRAAPEDHDAPEPAAS GEAEPAVERSAGSGATPSIVPEATEQAEQSSGEPHEYDAQPAATESSSAEEPTLKDLI VKLATQIRAAPSEQRTSLKEREDVIDEDESEYALKLRKIERLLSELTDQPPQGDKAGE GAGSGVVETGEVLAEDGQQVKPTEPSVSAGPTTRPEHAEAKGETAVNTKEAPVTPPKD SAAASPTSAEAAEAGKHSEKEKSQPTGTTESPTARPTESAPIPFPAPAAKDAGEAPAT PPKASSQPKSEPEPKAKQAATESPPAAADAPGKAKFPAEERDTGELYGLILTVRNKVD GQYVTRPEKLHPRQRWQVEYAIEEVKPERAHNLYKMVLKRRKNLLYPEDAADANRWRE MFSGRLEKYSARGRKFRRREDERLRGQPVHVYGEDEPLPFESVYGEAALGGYGKGNGN GNGTGKGGGNGKEKDDGEEDESPEKEKEKKEVEKAERNEEDDDNRAGRKGKRRS MYCTH_2300711 MSAHSASPSPLQSPANGLADESRMPKGHCRYILLQPEIKGQRCA CVNFTLNKGIPGSTCECGHLACYHNREPDVSADQRQELEQLKRRLQHLEEQLSRGQED VLDSVVSRLNEVEEHLEKSKEEVGEQIKGAYRNVSISWRSIEQAERRSQQHDEQLRHI YDKLRDHDEQLDRVHAGQLELRDADLSLEERIENLTETLEEEEEARLAAALAARPQRR RSTSDTSRPSVPLGPLGAGAAHQVPYPSPTGGPISTSTFSEVCDGTGRDAKRSTRHFC RHPSSPRPALSPPVRPTGPWTVHISLLPHASVPMPFERNTTAYQRCLSRGLHRMVAVQ GRDAASFKEAVEKAFGQFLRGREWMPLQAKLCDAATLQGLPMLRRLDDPKRRNPRLVL GGDPACDHEFLRRHCAVVDPHGVIDSLYIAMRNHTISWHTLRHAPVFMEGLEDCWAYD PLLDKDPFDDADIAVDDEARPAAGDITTALPPSAGGVAGLKRPLSEMSRSNSFGSDGN SSGAAAALQGSSSSSSSSSSSSSSSSSSSSSSSNTTSTAAATGDMMGDEARVKRPCPA PPSASIVEIRRRDTIKTA MYCTH_2300713 MDLDIEMDVDDVQDMPVPAIPAAYTEDVVAEDEQEPGEVEEGPN GGDNGDASDKAKAPVPYKVHIKGLDTFNPDDVKGYLAEHYSTSELNRVEWIDDTCANY IFKSDRIAQEALVALAAVEIADVTQLPPLENIPAKSYSQKPECSLLVRFAVEGDKKVA GAAERSRFYLLHPEYDPGERRRRGELGRGRYRDRDDRYRRDRRNDRRRDSRDEEEPES FDVNLYDDDESALATRARRRSRPRRRSMSRSSDTRGAAQRNRDKELFPDKLSGSLGRS LRDRSASPVRDRDGDAEMDLDEAARAAAAMRSRERGRSIKERLSRDNSTKELFPSKEI NRKKELFPSKVNSSGGGGTAQMDKVNDTTVLTTASLADRITAPSAGGFSIRGMANKRS DDQGIAIKGTGPTVRELFPEKFGTNAGKELFAEKLEGRGKRRQKAEDMFT MYCTH_2300715 MADNTNPTSSWFRPFGRSNTSNTNQQQQQEQRQSPPLQTRQTSH HVLTHISTSTESPTNESPPRGHGHHSHHHQNQHHQHHHRKSAGATLRTVSSFLNLKSS TSKSGGGTGKSHVWQLSRSGNGAATVATLEDDPRIRTPASLSMLPLTEVDYGSPSSCV DGWYGTEVGTRSRSGSGRSGGRESRDAEGGGERTWHNPNVMQMTEMLSSVMTRMAPGD RLDPTYHSCIFSLIEGFYKLTRRLQDVEEQLAEIKDLRERELDQFRGMTEEWMETSEA YKAEVKRLELALAKESKDGVASVALARHGSLVDRVGSKRFHARLERLNGLHDQDTTGE EHAPHAGTQPVGLAEETTSYRTLSTYEYVQTVVLETKWTRGSIPRILDSQNDVTLSRI LEQRELEERMAHQRRGEGRVRAAPVLIHPRGAPEPRDFLGDTLRAGRQPPVAVVERDP LAAKAQENPTADSTVRPGPESHSSSSIGNESSSSSSSTPSSDSQTDAREEFAHSSISS GKSNDLPRKRRQKQSICSESSYQAYHGNGEFPRAGEGTGESSGQQGQQGQQSLLGPPL REKGRRRRYSFEIGDDELLSIAPRSGSEVRHHPRVPSAGEETCVEGNQSLGITPDSGV AQVSAAKPSSNWSSGSISHSTSADTVRWVGEDGSPESSNTRQGQTKAKP MYCTH_2125110 MPGGKERAEERRENKRRPKEVQMRRTKEDPRVGIGRKEVPTFFN KVTLSREREGLTDPYWEAHRYRCRCRRTRAAPPHQAKYAEAVMTRGRMGTGIGDAKSK GGAGQGETDRGFSAWLTQKMAYYIIIVIIVIIVIINVLRLMLTTTCQTRVL MYCTH_2300719 MLSEQSLNKRNWEGKEDLLLLELHAAYGPNWTRIAGGMGIRSAK QCRERYTQHLKPGLNRTPISDEEGRQIMKMVSECGQRWAQIARNLGTNRSDNQIKNWW NGAKRKETSKRQEASQEQQHAHQQQHHHHQQPQPQPQPQHQQHQQQQQFHQQQQYQYP QDLSPSTGVAALSERRGILPPVPLELPLDAARARSSPARSYDSERPPSLVSDSGSSWS ISQPASASCSPTSLSLPPLQYAPPTPPTANNCLPPPSALGHGFGPGPVRRSSDAMQPA ASSYSPRSRYATTAPTTPVGRAPSFSSRWEPYPTKGRRPSTGYSSQGHHGYESESGKA DKGSLSFLLN MYCTH_2108695 MADTVHTSDASGKTAKSRPTLPGVPASWGELNNGHDEQDRPQSA APMQRCSRQKPSGHRPTSHAHHAVIAPCLQYPGTAAIRPKTRRTIRVTLVVETVPGIP AEATRGGNDFTNATSGTTRSATSQTHGILHFIIPSVRPKLDVDPTIATEDRMTTETVS RGEAKPRGWRQSAVNEEGSARGLHEDSTLVDPVDRDPIRITYTTYIEGTQEISTVFSR IEEPTTQLDALLHQSPYASCPDPGEFYITLSGNIGFSSASHGRPQSRSLHGAVDPADQ PCDVTRKVRATRLFSSRAF MYCTH_2300721 MVQPPLDFLGSHQGHLKPGYPPHHHHGSHHLSRQPPSPYSSDST PRAVAIRNAQHAPELHRITTSFTRLHAHDQAEHSLRRKTPSGTIDNGYDGSLTHLASG PPPPKHMIVPGSSETYPASVLHEAPNNQAAGGMLQQPLAGPWAYSAPVPTAKFNHGVD AMNGRAGPPRGWGMPTPNSSLDPSIGDRVNSLQPAAQHTYHAVPGMQPVLGQGYQQSL SQTVYSPGGYQQPAVWRDGSFGYQTMIPLANNYPMQNSVDGAFMAAQAPIPHGLPNAP GSGQARYFGPSMRGHPLDDGFARYGPGHMAQHVAHAGRNLMPMMPGTAHLAKGATLDE VGSPTRFKERALQSAHKAYNDLLIHLTHTRGVQDGRFVSMSRPTSKIMVYPKPSTAPA GSGGQAQSLSAFSDPAASYMQRMAQNEAAARMFAHGHNPQRAYELSSPVHNAKASLDM LSNLCEQSGWKWIDGMLLGGCLHYGLERYEEAMEWFRRIVSLEPSHVEAISNMAATLY CLNRLEEAEQHWWKAVKLRPSYLEAVEHLVSLLCSLQRNAAAVKAIEFVQRSLRISES DVSRDQASETASEAEIASPVTLAESDPDSYVLDADSIDSPEQRFVAMTEDRKLPGFGS SGYRIPGSENGRMVLLIHAKGNMLYSLKDVDRASDAFEEVVMISAGKHKQGIKGLIKR IQAVLAPVDPRSGQRLTSTQEDLSAPLLLPPDKAKLTAQVVFASTRGQLPGLQYVPEG AHKRSVVATTSNSLLSLAKIYQDAMSNSGPSSGIIRHPAGVGDILSLYYLSLSLQESP STANNVGILLAGVQQTAPAQYLSPSDMSLAASVPGIVPGSGLALALAYYQYGLTLDPN HVHLHTNLGSLLKDIGQLDMAISMYEQAVACDGTFDIALTNLANAVKDRGRVSDAIKY YQRAVAANPDFAEAVCGLSTALNSVCDWRGRGGVLLAGGKYDRWHVDEKGMLLDGKTQ GQGSGLMKRVVDIVRRQLKESSTWGCGVLQEQSIRQLAAELRDAGASVTDASLDLEAE LRKWAGLPGEGYRVLRLIERSTVAAMRCWYQDKHIKGTPSPTGYRRPKPPASLSVPSA PTVLPFHTFTCPLPAKDVRKISQRNALRISFSTLRSPWIPAVVYEPPDPPNPHLNVGY VSSDFNNHPLAHLMQSVFGMHNPKRVKAFCYATTASDGSIHRQQIEREAPVFRDVSTY SPDRLVQQIVQDKIHILVNLNGYTRGARNEIFAARPAPIQMSFMGFAGTLGAEWCDYL LADTTAIPPSALRPHRSNLTLEDVFRDEVDAEAEDWIYSENIIFCRDTFFCCDHAQSA DGHDERNLTWDEEQRRRWKMRKDLFPNLPDDAILLGNFNQLYKIDPTTFRSWLRILAA APKAYLWLLRFPELGETHLRRTARDWGGEGVASRIIFTDVAPKLQHISRARVCDLFLD TPECNAHTTAADILWSNTPLLTLPRYEYKMCSRMAASILKGALPKGEAGEQAARELIA RDEAEYEEFAVRLANGMTYRPRGGEYREAVGRLAELRKLLFDAKWTCALFDTRRWVRD LEEAYEIAWGRWERGEGGDIYL MYCTH_2314521 MPPKFDPNEVKIIHLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKATGDWKGLRVTVKLTIQNRQAQVSVVPTASSLIIRALKEPPRDRKKEKNIKHNK SVSLDEIIDIARTMRFKSFSKELKGTVKEILGTAFSIGCQVDGKSPKAISDAIEAGEI DIPEE MYCTH_2300729 MDYDALKEQWGEVEDRDGVRLSWNVFPSTRMEASRLVVPIGALY TPLKEKPDTPLLQFEPVTCKQPCRSVLNPFCQVDVRARLWICPFCLSRNPLPPHYKDI TANAIPPELHPSNTTIEYRLSRPAPSPPIFLYVVDTCQEEDSLAALKESLIMSLSLLP ENALVGLITFGTMAQVHEIGYTECAKSYVFRGNKEYTAKQVQEMLGLVQPAMRPGMPP HHQPGRPMPMGPASRFLLPVAQAEFQLTKALEQLQKDPWPTTSDRRNLRCTGVALSVA VGLLETSFQNAGGRIMLFAGGPATEGPGMVVGPELREPIRSHHDIDRDNVKYYKKALK FYDNLAKRTAHNGHTIDIFAGCLDQVGLLEMKGLCNSTGGHMILTDSFTSSMFKQSFI RIFEKDGDDNLLMGFNAVLEVLTTKELKVTGLIGHAVSLNKKSTSVGETECGIGNTCS WKMCGIDPRSSYGIYFEIAQGGPSQHQQSPQKGMIQFLTYYQHSSGQYHLRVTTIARN LSGPAGDPAVAQSFDQEAAAVLMSRIAVFKAEVDDSPDVLRWVDRMLIRLCSRFADYR KDDPSSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNHEDVSNSLIMI QPTLDSYTFDQEGGQPVLLDSTSIQPTHILLLDTFFHILIFHGETIAEWRKAGYQDQE GYENFAALLEQPKEDARDLITDRFPLPRFIVCDHGGSQARFLLSKLNPSTTHTSGAGA YGGVGAQSAQTIFTDDVSLQTFMEHLMKLAVSGTN MYCTH_2300733 MPRSTVLILAVLSAFANAIPQSHRPRSLLSSRQANGVPDNSVFL RRAHHASAVLNGRVYIDGGEFSYQDKDGVAYEYCTTGSSLASV MYCTH_2300734 MWAAPRVRTLYTGFAGTSPHFGDRAFSPQGLWSFRRDSSAGGSW QCLNGSTDGWFTSQPRPHPGQAASGHGYGFFVGGMPASRTPVVSPSLTPMVTGNGTGD QRQPGNMIIMYGMSDKKITVIANHGPSDSRVPTHAGITYVPNWGNRGILVAVGGSQDR RDSNEDSLGTFRTVRIYDIDKQRWYDQQSTGDVPDPRKDFCFAGSPSSNRTHEFLVYA GWAGQLGSAAVPYDSAYVLTLPGFYWAKADYPPAHPRHGLSCNAVGGSQILTIGGVDT AQDGNDSYAAGFTTRDPFTQGLAVFDLGALAWSPAYRAGRSAQPPAPRFREYYDTK MYCTH_2300736 MEDTTHANGGDTPACDDGLEEGVVMGAAAAATTGRKMSRTTREG RANSGVDGDGDGSGGKKSGGGGGGGGVATDGDDYYDHVVVDDDGNDGDKYDDGGGLYR GVIPTRAAIAIAAANRRPLLLPPLPPQPPPPPLTTPGPAELASRPVCELEAAGIEPPP YELAGDLAAPESRDNRSRRGAAGERVGEEGRREE MYCTH_2300737 MAIVIPSDYGYVLLAATSTFVVNVVHGVLTAKSRKAAAIKYPNA YASAEQAEKDPRAFTFNCAQRAHNNYTENLTPFLGALLISGLKYPTFAATLGGAWSAS RLLYAIGYTSKGPDGRHLGGAVGSLINLLLIGTSAYSALSLARGW MYCTH_2300738 MEAHSDARSRKRSASHMALSFPIIFLLSANLGSQELQELEEQIP TLTYDINEAEVVLGRIFRKERAMFELRKHKLVTEEVDPSERSAPASPGRKRARMATPA LTSSDLDSDSDTASDGEIQRRLTGPGPGPAAPAVTVKVVRLGWFTDSVKAGQVLPIDD YVVYQGRKVPRTPAKAPQPVPAERAAERAADVMKRALADAGGQSRSPRPGSSSSRGAR GETYTSHPVRPPLVRQTTSEHEIDAQLPPIPDFLHTTYSCQRPTPVHPPNEAFIEELA KIKTARTLVGDKIGVRAYSSAIATIAAYPYTFQTAQENRSTEVSRLPGCGNKIALLFQ EFKDTGQIREAREDESDPKLAVLKLFYNIWGVAETTARDFYNRGWRDLDDIVEYGWET LTRVQQIGVKYYDEFQQRIPRAEVEAIANVILAHANQIRDGFEMVIVGGYRRGKEASG DVDVVLSHRDESATRDFVGRLVVRLERAGYVTHTLSLSTANSERGQVPVSWKGNDRSR AGTGFDTLDKALVVWQDPVRATTGEARNPNSNPHRRVDIIISPWKTAGCAVLGWTGGT AFQRDLRRYCRREKQLKFDSSGIRSRTDGSWVDLESGPDGSPAPDMLTAEKRVFAGLG LEWRPPEERCTG MYCTH_2300740 MEIAQTLVRAVMRAFYSTQEILVIEALVTHSVLRDDELAYLMKM NLKDMHRLCATLRDARFLVVHTRPEMQEGKTRPINRTYYYIDYRQTIDAIKWRVYKSD KDMQGSVQPADESKEYSCPRCRAQWTQLEVLDSVSPAGFTCQRCGTVLELSKEKETPG HQQLSRMNNQFKFMTDMLQEIDRVVVPECSFDKAMMAHRPIVRASTHEVLPSVPVEPG MNKPSAVKGLANVGPKTMQVTISDDNEQERLEERKRKERLLKENALPSWITESSVPAI SQTTQSFEMRDAPDEDMLPSKRVKLETGSPTSAPADDKGNAMSFKMEDEDEDDLEFED VV MYCTH_2300741 MDAISFVLGIKSSHLRSSHLRELVYRGRVMKTSKIQDDGTAVPA TNGQVNGHEDGDDEDSSQRASRNDPKTAWVMAVYEDDAGEEQRWKRTITSSGASEYRI NDRVVTAQQYNEALEEENILIKARNFLVFQGDVEAIASQSPQDLTRLIEQISGSLEYK DEYERLQAEVEQAAENQNFQLHRRRGINSEIKQYQEQKREAENFQKKTQERDEAVITH ILWKLYHFQRVMDESSAQIQEHHENLKEFRRNVETFEKRLEAARKEQATVGREVSHIE KSIKSKEKSIENRDNSLVPIDEKITQSSQDMAILRKRISDVKKDRDDKATNIQKLKKD LATIEKAQQQFEKQWSETLKKQGKELSDADRKEYTSLQAEAMRKTSDNRAKLANLERQ LKGDEVTVNSLKGRIDNFEAAIEKLQSEAQVIKDRRDAIQDSVGQTARDIDAKKKEFN SVQSERIRINNTHTELEEKLRDVLRKLDDADMGRRQNEKETKMRSMISDLKRIYPGVR GRVGELCKPKQKKYDEAVITALGREFDAVVVDTEKTGVDCVQYLKDQRFPPVTFIPLD NIKVNTSNSAVKGISGARLTIDTIDFDPSLERAIAYACGGSVVCDSLEIAKDIVYNRK IQVKAVTLQGYVIHKAGTMSGGRLPEEKGGKRRFEEHDVQNLERLAEKFRDEIAKLPR PGRRGVAEESLQNEIAALEQRLRLQESELAAFEKNLKSKEKELEHAKQQLREYQPKYA EKEGELQRTRATVEKFEKAISEVEDKIFANFCRRLGYENIRAYEAQQGSLEQEAAQKR QDFGLQKQRIQNNLTWETSQHDAANERVRAMETTLKRHQKDLEAYEREKRSIEEARAQ DQDELAALQESLEEVRASYAEKSKKVADAKQDLQKKTREIESRLKEISNLEGTVQKNS TQKFALLRRCKLEQIQLPLRKGSLDNIPNEDVLLQRDQDAMDIDGEADEDEVLENAMD DYGIEIDFDGLDEDLKKPDDDIEDRLQEKITSLTSELEKLNPNMRAMERLESVKSRLE ATEKDFEDSRAALRAAREAFAKVKEKRFELFNRAFTHIQEQITHVYKDLTRSDAYPLG GQAYLDIEEDTDTPYLSGIKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAIHSYHPS PFFVLDEVDAALDNANVEKIKKYIREHAGPGMQFIVISLKPTLFQDSESLVGVYRDQE ANTSRTLTLDLRKYV MYCTH_2300743 MAEPENRNITIPIPNSGDNSKWEGTSTDSEAVPTPEMSGTPPEG TSLHEHDLATPTMGPVPASPRRSRNPSFSGSSSYQEDWDPFPPLERLTVLDILDNFAL SQQIEKLQKGISAQTEKVRKSREALGNRSRIARERMVDEWRRRVPSAEEQLDRYRKRM RASVEKLGARWNDTKAITLREKISFIFGVMNILVSGYLIGGLPEWFHLWYTVQILYFM PIRYYTYHKRGYHYFLADLCYFVNLLLLLSIWVFPSSKRLFTAVYCLAFGNNAVAIIM WRNSLVFHSLDKVTSLFIHIMPCATLHCIVHLIDPVVQRARFPAIWTIKTSSAAGSSP DYASPLFMLAWSTIPYAFWQLAYYFFITVRRRDKIAAGRPTSFTWLRKSYSKSWIGKI VLSLPDALQEPAFMLIQYTYAVLTMLPCPLWLFSRWASATFLTVVFAWSVYNGSTYYI DVFGKRFQKELEAMKAEVAKWQHSPDMWPHEEGGAATPIVGPGAGAGAGAGAGNGTGT DGEGKSGSVADVISGKALLDDDSRRAAGSVDNIPLLNDERTSSVLVTGVDVDGGARDV ARERRTGSGESL MYCTH_2300744 MLLAPRRQHRTVAKVPIKVLDAPNLAEDFYLNLMDWGSSDVLAV GLGAGVFMYNAQNGKVAKLCTLEDDKVTSVSWIQKGTHVAVGTKKGLVQIWDAQKFKR LRTMTGHTARVGCLAWNAHILSTGSRDRTILHRDVRAPDQWVKQLTGHKQEVCGLKWN CQDGQLASGSNDNTVMVWDKAMDQKPLWCFNEHIAAVKALAWSPHQRGLLASGGGTAD RRIIFHDTVRGSVRNDVDTGSQVCNLMWSKNSNELVSTHGYIQNNLVIWKYPSMTRVA SLTGHTYRVLYLAMSPDGTQVVTGAGDETLRFWEVFKPKQPVRLLGGSIDLPVIR MYCTH_2300745 MVRNLVLLSGSSHPTFVDRVASVLGIAPSSRVLGKFASGETRCE IRDSVRGKDVYIVQSFGVGGHTVPARGVTGYDDGWAEGEGVDNSGGGASNGEGKHTVN DYFIELCIMISACKTGSAKRVTAVLPLFPYSRQPDLPFSRVGAPQRDGKGKLEVAERG SYTELDHENPSSSSTTTMMMMMMALQAKPGHEHVTAHVGSLAADLLTCAGADRILTCD LHEKAYQGFFDIPGTSVDTTA MYCTH_2300746 MVMPTLVTDRFTALDYTDFTNNHVFLKSCNNGFFDYNQHVHHDH HDGHRVNLAKQLIGEALRERVESIDHELCEPGEEDTFFVADLGEVYRQHLRWKLNLPR VKPFYAVKCNPDPKLLELLSALGTGFDCASKAEIEQVLGLGVDPSRIIYAQPCKTNSY VRYVASQGVRQMTFDNADELRKIARLFPGAELFLRILTDDSSSLCRLSLKFGASLDST DGLLALARELGLNVVGVSFHVGSGASDPGAFLKAVQDAHVVFQQAASHGFCLKTLDVG GGFCSDSSFEQMAGVLRAALDEYFPAHSGVNLIAEPGRYYASSAFTLACNVIARRTIE DVSSASYMLYVNDGLYGNFSSIMFDHQHPVAKVLRCGGRTLYNTPAAEASDGPGCIEY SIWGPTCDGIDRITESIRFAQLLDVGDWLYFEDMGAYTKCSATTFNGFTNSHDVIYVC SEPGAKALLGMP MYCTH_2300751 MLRGTAKRAAKAVTDLSQYPKIGEKLHGFTLLRTKHVPELELTA LHLRHDKTGAEHLHIARDDSNNVFSIGFKTNPPDDTGVPHILEHTTLCGSEKYPIRDP FFKMLPRTLSNFMNAFTASDHTFYPFATTNAQDFKNLMSVYLDATLRPLLKESDFTQE GWRIGPENPQAITAGVEVTPEDRKLVFKGVVYNEMKGQMSDAGYLFYIRFQDHIFPDI NNSGGDPQKITDLTYEQLKKFHAEHYHPSNAKVFTYGDMPLADHLKEIDAQLGAFERI RGDMTIHRPIDLSSGPREVRLQGPVDPLVDLNKQFKTSVSWILGDTSNVVESFSLALI SALLTDGYGSPLYKGLIETGLGTDWSPNTGYDSSAKVGIFSVGLTGVAEADVPKLKPT VQNILRGVRDKGFDRSKIDGYLHQLELSLKHKTANFGMSLLHRLKPKWFAGVDPFDSL AWNDTLAAFEAEYAKGGYLEGLMEKYLMNDNTLTFTMAPSAEYVQELAQEEEARLKTK ISKAVEAAGSEEQARAALEQRELALLAEQSKSNTEDLSCLPSVHVRDIPRRKEPLVLQ SETVGEVELQLRQAPTNGLTYFRAISTLENLPDELRSLIPLFTDSIMRLGTKDMTMEQ LEDLIKLKTGGISVGYHSASRPTDFTQAKEGLSFSGMALDRHVPVMFDLLRKLVVETN FDSPEAAQQIRQLLQATADGVVNDIASSGHAYARRAAEAGLTWDAFVREQVSGLSQVK LVTNLASRPESDLLEDVIGKLKLIQQFAFAGSMRVAITCDAASVADNTAALSRFLDSL PSHTANFPTRQGRDFSRNIKSFYPLPYQVYYGALALPTVSYTSPDNAPLQILSSLLTH KHLHHEIREKGGAYGGGAYSRAIDGIFGFYSYRDPNPVNTIKIMRNAGQWAVDKKWSD RDLEDAKISVFQGVDAPRAVNEEGMSNFVYGITEEMKQTRREQLLDVTKDQVREVAQK YIVDALAQGSERLVFLGEKRDFVDKSWTINEMNINGSA MYCTH_2300753 MASATPAPRPATPVANGTPNQPTPDAGQANGNHAAGNENRPAPA PPAAASGKKGKQKKAPEPTEASKLIAQRISQLELDAAGEKDQEAEIEREVRKANRELH NQTSKMSDLQKIEHLTKRCSDLLSDMKRHERESIKNKKRGDQLQKDKDNSRNELNKTV SLKEKLEKLCRELQKENNKLKNENKTLSDTQIRSQNTWDERYSGLLRRMDDYQEEKDN PRKQVVDMEIEELFAQRFKSFIDQYELRELHFHSQMRTKELEVQYNLARYEREKKNYE AELARSRQLNAQVQTFSKTEAELRQQLNVYVDKFKQVEDTLNNSNDLFMTFRKEMEDM SKKTKRLERENENLKRKHDQVNGNILKMAEERNKNLAEIEELKKKLEKLNGIIKQMQQ QGRGIPQGMTGTVENGYAEGSVEGDESEYEDDEYDEGEDEEVSDEEDEYDDETEDELH HQQQQPQPYGPAPPAPVAATTNGHR MYCTH_2300756 MAFIQDPRLRQTWNQLSQTTETVTSDAAAGIWAFGHRYVQPCLS SLAGAVDSCTAICLGDREERARRARERDRGARGSRRSVGGGRGLLSRPEYSFDFYDDW EEDLFIAEQLAEDQDDDDGLLDRGAAASSRRGGGILGGDWDRLLAGSGHRSRLGAGGG GIGGTGDVVDQPRRKRGMSYGTRGGVRRKVSGEEDPNVIPRTAPLGFLGRLPFKIMGS LRYKPSAANLREHPGGHGHHHHHHHHQGTARDDEQEPLLGSSNEEAATTGQKGKRPRS DTTNSGDTSSSYRSRGDLFPSDGEGDEDAVPLDDEFTVALERVDDRGSTRTRSSKGKR TDERGKDRDRNRDKGLSRTVSRTTISSTNTPDETKWDSNPVSPVQELGYDDDGDNDDN EDDNDDAPQVPSLEDLRREEEQAEREEAEEIERRRRAASRLARERGLKTDDAHVPESV GEANGDAQDAESGRPPGRIGTEVAESAADDAGLEEVQEVKPPPSAATPEPAPPQTNKT DGELQAESTVVPARLPRFGQR MYCTH_2300757 MATPTKTTTRTTTTTTTTTTTAATGPITTPLTTLLGIRHPILLA GMARVSNGRLAAAVSNAGGLGVIGGFMYTPEQLREIVAELKAHLRSPDLPFGIDLALP QVGGSARKTNHDYTGGRLDELVDVTIESGARLFVSAVGVPPRRVVDRLHAAGVLVMNM VGHPKHAVKALDLGVDMVCAQGGEGGGHTGDVANSVLIPAVVDVAKRYRPQLLGGDRP ALVLAAGGICDGRGLASSLMQGAVGVWVGTRFVASVEAGCSDQHKEAVVSCGFEDTER TLVISGRPLRMKTNDYIRKWHAQPDKIRELCSKGIVPLEHDLDQGNEVDMPHLMGQVA GAITKIQPAGEIVDEMVAEAVEMLKLANVYLNGGKASKL MYCTH_2300759 MANFRRFRPEDLNKLSKCNLDPFTETYDLGFYLQYYAKWPSLFQ VAEDQHGNIIGYIMGKLESSPDVYRFSEHYLPWHAHITAVTVAPEARRLGIGRLLTEQ LEMAADANDAWFVDLFVRVSNHKAIAFYKSMGYSVYRVVKDYYGEHSSDPTLSSEDAY DMRKPMKRDVKRQHVRDDGEKHEVNPSDVW MYCTH_2300760 MSSPRTPLHSPQPSVDYSAASYASYSPQERRQSKASSFNDSSMD LGIPAGGAGAEGGGGGLGNLADELAGAFSDGEDDYDGYEEGDYDDEAAGEQGSEGRAP AINPQEPAAQSPRKEDGVRDSGVDVGGSPSGAGKGHDSKRSLEPPTPNGRGHRRKGSE YDGSEYGSESDFESTGMPARLIERMDEVESLARRGTERTGSAADGAFKRVTEGLRDLS SQANVEGSATRLITAHTALTTHLTHQTRQLHNLTFPLLSPLAAPPTEETVEALLPMLL TLTELMPRPSAPALQSLASLHALTADLAQTLNYLSDTLHMSRQTTTAAARRLRAAREV VAELRRDEELREEGERWLARGGWGERLARRECALVCGDVVGGFEKVCESWRERLMKLD ESSQA MYCTH_2300761 MTAQRRPRFRKVQSFRTDYAPTTITQYESERSGMQVIVADRKGP KINGYFTLATEIFDDSGAPHTLEHLIFMGSKSYHYKGLLDKLAGRAYSNTNAWTAVDH TAYTLETAGWEGFAQILPVYLEHVIVPNITDDACVTEVHHIDGEGNDAGVVYSEMQAV QYRSNELMELRARRLLYPENVGFRYETGGMMEALRVLTPQRIREFHKAMYQPQNLAII IIGEADHADLLRILDDFEESIKDDIPPPDPHFKRPFVDSAQPPPLEETIVETVEFPEE DESTGEILVAFFGPSCIDQVQGTAMNIILTYLCGSSVSVIENVMVEKEQLASSISYGW DSRPNSVIWFQPTSVATEKLAFVEQRLISLLREVASKPLDMGYIKECLQREKRLVKLQ AESSEGFYATNIITDYLFGERSGATLKDLETLREYDVLDGWTEEQWRSFLKKWISDAH HISILGKPSMELANTLKANDEARLAKRREDLGKEGLLKLAERLEKAKKNNDRPIPPDV LDQWPVPGTSSIHFIESATARSGKARSLGVSDNAAQRVIDAAPQAGLPLFVQFEDVPT NFVHLTVHLGMSQTPIKYKPLMPLFADIFFNSPVTRHGQRLEFEEVVKRLERDTISYH ITSSSRLGDYEGVAIQFQMDREKYATIIGWLRTLMFDLILDPVRVKAAVVKALADVPE AKRDGRTMAQEVDMAIHLKPESYLAAKRTLVKAVYLRRLKKLLEREPDTVLSWFDELR KSLFTFDNMRVLVTSNVAKLDNPVAAWDSLTRDNPGFGGAKDILPLTKPYSMLSAEGR SPGSFGAVVIPMTTLKSSYSVSTATGLKSYSDPRLPAYLVALGYLEAVEGPLWNAVRG NGLAYGVSFSREIDGGYVQFKVYRSPDASKAIAASRATVARLASAEVPLDRHLVEAAV SGVVFGVADEQATMEAAAQQNYVVGVVRGLEHDWYRDILARVREVTEDEIRAVMRDVL LPVFEPGKSNVVVTCAPVMEETIAKALGTAGYKTQVQTLSHFYDDYGLKGDEDGEGEE EEEDEDEDDDENDEDGMDEDSNFDEDSE MYCTH_2300767 MPHPYMTPTTRHFGRATTETLPGPDNVGPYLLRVIWAMAGLSTL FLGLRVYCKLSRRRRLWWDDNFLIAGWIALIYAISLLTVAVHHGLGRHYEDLSEEAIA SMGMFSMAGGFGNILATCWTKTSFAITLLRISEGGMRRTIWFIIISVNLVLGSNGVIH WIQCWPVQKTWRSYLEGSCFTPDIVRNYNTAVAVFSGVMDIVLALLPWKIIWSNTINR RERLGALVAMSAGIIDGVMAFLKIQTMYVIGNANTTTVDLQIFGTAEPATAIMAASIP MLRVLIQRDDSSKPSQPEFVQLRTTSSTARGTDLLSNDTDKKRSTGSSWPGLDVQAVE EVHVRQGDEKDKKSIGLAV MYCTH_2314529 MAATKNETPRTGLAAGLNKGHKTTPRVTKPRVSRTKGHLSKRTA FVRELVKEVAGLAPYERRIIELLRNSKDKRARKLAKKKLGTFGRAKAKVEDMTRVIAE SRRAAH MYCTH_2300775 MSLEDAVIGLKAFKEVDRRMSRLWDGINDAVLLPRMDITKDTLP GIHTQDNYMELRGSADKTVHSLFADLEQVFSFLVHKLPPDLIETISSTLLPEIIGKVT NVWLDSAIPSSLQAMDRFEEVIGAAKDFCTRLEALGLSNLGGLNQWTENAPKAWLSKC KEAALDSVRTKLSQGLGASKRVEKVEKQMVSKSEGQQLVSNSAAALASDEDHGWDAWD DGEETAQAATADKGADVPPDGDDDGADAWGWGEEEATVDEPEGSKEGPGESKAEDEDD PTEAWGWGDEAEAGEPEAPENPPAPPPAPQTRELVLKETYSISSLPQPVLDLIFSIVE DGAALTQDRYVDSPVAAQAAGLFSLPTLALAMFRAVSPHYYAPSVGGNMCLYNDSTYL ADQLAEFAAAWKTRSDISTRARQMLRLDNDIKLLRSFANRAYTNELGIQKTVLRDLLG GEQNLVHQPDAAASISSATARVRALATAWEDILARSVWQQAVGALVDAIASKMIADVT DLASIGQEEAYHIAGLISSVEELDELFLPSRWQGDKKKKKEGGNEGGGEGEGEEEVPV TAQFAGNWLRLKYLSEVLQSNLRDVRFLWVEGELSLYFTAEEVVDLVKASFEDNPRTR EVIREIRGNPRPLGE MYCTH_2108717 MPSNPRPSPATLLAMLHIIPLQQARMNKDRRPGRRQPRFTSCCE TWVLCRGDGRVFGPTTILQLQGPSQIRLTQYAQGVHGVQAHQGTTFQNHAGDLGEEQN IPRAEVPDAGYQYSWCAPPPQKTSLQNTTKSARSAVSEPSQDTLFHYYCQNIEFLRRS AETMQPFGDSYSTSLHKGHVPGHEDAAAAAARE MYCTH_2125134 MTSRAETTRDQQAAGRNRASRPVVVERYLRVQVRKETAGTDMYV VLRRLSGEAKGPGVARVVTARGLTTAPDEDAGSGGGRKAQGPQKPGASEMYPFPRQGE RETELAAAWDQVCVQRFPRPFEGNGMRRHGLQRCRVAALLGKTAGQ MYCTH_2300779 MAPESFQTRRARPTRVKTDLATQTQLVAMPPSAISISSASPASQ HGSPAQSVETIGTGMTTGSSIQASPEVAEGCLDKEIDDLDQLVTPFQLPESISVKQQS EPATAYMSRRTSTASLSQPSFRSSGTTAMGEAVKMSIVRRASNTVRKAAGLMNRRPSS THPRSRDGSVGPSVIRRRGSMSNPTTPYENISTFDSDSENEVAFAAEDRAGDDATLPE TGSILSSTSSDGFGLIPLSLRQGMTLTKVSKKKSTKRITLYLDPDTAKIWWDRNKYIY IDDIKEIRTAEDIRQYRLDAALPESVESRFFSLIYTLPGKSNTKLLHLIADSDESFQQ WVEALETISKHRQDFATSLMSFNDKAVRAYWGMEMERQLGKVRSAEDEHIDFAGVERV CRSLHIHVSTEELRRKFDMAKGRRNSSDDLPSSAKHSSRLNFDEFLEFVRLMKTRSDI RAVYQAHASEPAGGMTRDEFFQFLREVQREDVDEDLAHWESVFARFARKGRPRDAEKQ GILGEEGLTMSETAFAGFLTSTSNEPIPKEPQDYVLDRPMNEYYISSSHNTYLIGRQV ASISSHEGYISALMRGCRCVEVDCWDGEGNQPVVTHGHTLTTSISFLEVIKTINKYAF VKSRFPLWISLEVRCNPITQINMAKIMIDVFGDKLVRAPLDPTSDRLPSPSELMERIL IKVKKPDESMRGADRPTGRRRGNSMPSPYQRALALDSMPVPTPSSPLLSPSPTSMSNR QINTIAEGLVHEPVSSSPSECDSEGEAGAAKKANSKIHPILGELGVYCMGIHFDGFDS PDAKKFNHIFSFKEKTFAEKNQPGEAKRALYRHNMRYLMRVYPNGGRISSSNFDPLIY WKRGVQMAALNWQTFDTGMQLNQAMFDGGTAHSGYVLKPLEGRQIQVMPNLAPDECVG KRPRKKVAFEIEVISAQQLMRPHNLGEKRTLDPYIEVEVLMADDKRNKADAAAYAAAQ QTQLKQRTNIVRDNGFNPEFNQTCTFDVTTKYPDLIFVRFSVKLADRGGYNDKAPFAT YTVKLSNLKQGYRTIPLYNHKGERFLFSTLFCRIKKGPVTDIMVSYQEDAPRNGGNKL KNIGRNVFANTSPKSSMDSSRTT MYCTH_2142918 MPSVRRWARRLERSCCACAKYLPLVFVYGLTTWAVYVLVTLCST PSKVSWLDTPAATAGVVLYLLLNWCYTTAVFTPPGSTTNEHGYSTLPTHNAPNITSLT VKSNGELRFCKKCQARKPDRAHHCSTCRRCVLKMDHHCPWLATCVGLRNHKAFLLFLI YTTLFCFYCFAAAGSWVWEEILDTTATTVDTLMPVNYIILAIVSGIIGIVIGAFCSWH IYLASKGQTTIECLEKTRYLSPLRRSMHQTYINQHTPGRGVPLPSYGQQLLDIHQNAL PGITRPEEGEELRPEPAHSPRFNSNGSSSNNNNNNRDLESGTRSRNPELQAGSRRFTP NEMERYRARKRYEEYLDEQDSAKLPNAFDLGPKRNLLHLFGPSPWLWLLPVCNTTGDG WSWEPNPRWLDAREKLARERAEQAARERAAGWGPADDDDEDEGESDGLLRIPGGAGRH YLQPQPRPQSHLLQAQQSRQTGGGNSPLSKTPSPLPSPGARKTPSKADRILGRDPNLY ADDDSHYMNGNSNSNTPLLPPGQGEAVSLKRLSPATGRTIEDELDDIDRDDVHSDDAY FLSDGDRIIDENQRQPNGVGTQQQQQQQQQQQRAEAERRALNVVTNGRWGTRAASGAG PGVGASTLLRGPASPALRVAGGSDADRTRSSSGGNSNDNDVDDGVD MYCTH_2300782 MSTTTPKFNSKSPTIRRILREAHELTSSPSADYHAVPLETDLFE WHFTLRGPPKSPFESGIYHGRIVLPPGYPLRPPSFRFLTPSGRFETNREICLSISGHH EETWQPAWGIRTALVALRTFMETDVKGQLGGLESGEAVRRRLAAESRAWRCGVCCGGK TNAEILAECEERVKESGGEGRGREEEVQVPEELRMGWRDEMEADQRGSGQQSQDDRDG ESDALAEGFVQTTPSGETSASGQHQLHDQQRQQQQQQEQQQQQQQQQFAQGSTVPQPP TRTTPSSSPLEATTAGPALQQARQGPVDETTVWLDRLIFAVAVLLAAVVAKVMLA MYCTH_2300783 MSGVGLEVLLEDLIPEIAYSGQKGVSITELLKIVRRYHLSLNGQ DASSHDDAAGGLRGSIDDADIEKTLTEAEMASARWAWDWLRSRPQILINGNRRWNRLE LSEALALPEADSVDPAIASASAHGKEIDHAKGDKSKKTLTTRPRIYPAEDLVWQTLTR HGVDYKRVPALEWACLQGIASSRSEGILQSDLRRLVDQDKRSLPKRTDSLARKGYIAK RTVVVQKMKTSRLWLIDFAPPLVEAETHGLDLSPETLSKDLEPVPWHGRWTGNNIDMD ALGRTAVGVVKAFNVIRYADLRLKMGVSGKRWQMKTLAKNCQRLVDIGVLKYTAASFP GSRKIFKDCLKFVRDPSAEEWDKFLATGKKTSLYSDPTRHREPKPNALALYGKSGEDG QGSGDARSKVRRIFSGWTPEKPLAQTVFEVIRSAGPEGASNPQVSVATVGYQHRRYLS SYLTKVAETQQPPHLKKFQVVSKLVRTGKTSAYMFSAPGATGQAALPGIDERESTTDQ VATGDLEDSTSADPYGFGTVRPKAFSSSEDFTLSDMSRIARKSKPSAKRKLLPRIRPE YVSTAEGSTGGTAGQDAVVPDREEHNVQSASAGSLKRPFDEMTASHEGVEENPVNPSD PQEQGTVSTSTTLDKAANVPTDEELVLNVRYNGVPGKLQLDRAQRKVTFTRSGRGLKK PVTIPVDSNLDEPAIQDVPGGDEKVLLLTTREKDGLQPQTYPFNFDTDSQNSAVWIQQ EVTRMRSPDYQEPSPEKSAGTSEEITVVAVSSEPPRGRGHGAGRGKGKRGQASSAGAK PYVCELCGGSWKNDIGLKYHQQKAQVSCNPNFDPAVLLERSRKRRRLTPVPPSDANSE AGEEDGIGRTRKPKGGNKGEKRTFHLHSKVRAAMRCVRGPAHKFRGLNLPDAGDEETV DWELERPKNMPAGMSGSEGPGLAWNNTVPPSSSSALGPGTPFNAETRPQTPAGVLSYT EHMHEAEGDAAQKSSASVGDSATAMVAGDKPLEKGLSRPTASTALWRSRASEGAPMTG APFSNKEASRTEPEEFTPQPSGKAPYPDEYGEGSPSRQDLGRHQSEPPKSEYPEPPYP QDVQELGTAETSTSLPDQGLYKPFTRSSNYERMTSDAKRRTAQAFDIINYLLDNNLGV FPGDKALFYALTNVFLKEFKNQMPPTWKNCTSAVKALENRKLASVHTHMLKTERGRLQ TCTLLIRTGVDPNGIIATRMKQKMRESYPGIFIPPAFSPTQEELALLQELDRKTHDKN IVNSKPNTNGQKFRSRRKIEDVEIFDAPYYKNTAPVISPRKDPLWIRDTERLAEEGTG VRKRPVGDDTWTEPPQKKARTDPEADAYPDIPVDPSIIGDTQSISQPDQRDGHSVLEA IKTYSLLPVKPGSRGSRRRLSYPYKPLAKLSPELGRTRNPGLRSLPDEFFKNLPSPAA VQFVSPEVLMLEPNTSLEDEDEEQAQERQGQSQVSSRETTEGSVGEVEVEAEVEKAIE EPKAFRFTSPAVLEPMSKGTWPDLTLGFFEHYEGSFALNGWMPSLKWLQTQNLPTSTE EMAQKQKADRIKLRGWVDKEYAKFCSVVNQCAAWEQSRVGTAVMLGGKVPPGFSYINV SPPASKANSKPITLAWSDETQYDLETLPYEELEDDDYGDVTCVEDSERAARDGEPSSK KRRPQKAPGQVRRMQGRPPKLKLTAIKTMREHTAYPRSEEDFLRGDGEELDWSSENVR LAAFVAVTTLLGGVDRVVDWGLMMRLVPDQTISQLRHYWCALKKDRLSTIVGLTEKFR RAFLKAYENNEIPPIDYDNVLAYDWKSLIKWTTKLDMAERRMLPSTRKELDDKFVVTK FRHGNRPWREAFYHPQRSIFNKFQDATSESLALPVDNNPEPKLSTDMIVAMSWTRSLC VTPVEAYPAEAVVRRRNSLFPNRAKTEITELMIKGVDQLQRQGVISKSSSKWSNGRRW RFNTRVIDALEKAAQQEKFAKATQFKKELDQAFRAGETKKRVTYITNDGMIMALLNLQ ANGRVRVETTGQPYVPMGHEPGNYETRKYTKKYMHFRLDIVPTDSYLYDEVTMTAAEG EAWKGREDTTLADLRSRIKATPPPTCGPGGAVPVWCDVFGKVDVDRWLKYLSAVLITL ASRGSMRAEELTETLKPVIMLFETELIMEWLAGLGLLKPQMGAMAPAVMEWWWVAVEV QREGLSEKGNVATAAAATGGTPSGVNGDGTGEVRAIVGEDGK MYCTH_2300784 MPHKHTRREKDESTFDLPPTQIAKPLPPTTISKKKAAERKTVDA GKKSGQKRKRSEKDLDDAPRAFKRLMALANGKLPRSGLDNGDAPENGKGKGKGKKAKS TANSTEAAKSTSGSVTAEDLKIRPGERLSEFSRRVDAALPISGLVNKTVKNGKDPLGL KVRQTRKERKMQNMYAEWREIDRKIRERREEERELAEEKEMENEAALGVSWKLELEGQ GKKKKKKKGKRGKFIGEQGDPDEDPWEELRKKRGEQKIGLHDVAQAPPELKLPKKNLL VRGATVAVEDIPKAAGSLRKREELQSIRQEVVASYRKLMSERRPTVGT MYCTH_2300786 MRPGSVGLVEAGSQEPAADATLAEVAIAGDSHSSLLTARPRSAG HKHQPESSDIFSNFWSAMAPWRSDKSKSAVSAEYAAVAGDAKEDEDDSSGALRNRAGQ QRPGIPRAWQTCILGLAMVAVTFLVVRVSESTASHCSEWLRAKRNPFANWGKPGTGTE DLAWYPTDFLRDVLPIPCHSHNDYWRKIPLFNALYAGCTGVEADVWLRNGDLLVGHDK ASLQPNRTFQSLYVNPLVEILTHQNPKTPFFRGKNNGVFDTTPDQPLVLLVDIKSDGR ETWPLVSKQLAPLRERGWLSYYEKERFHRRPIIVVGTGNAPFDLVVGNSSYRDTFFDA PLDKLENSGYNWTNSYYASVDFWRAIGPVWWTSGPSQWQLDKIQAHLREARRRGLVSR YWKLPSWPIHVRNKVWEVLVEEGIGMLNVDDLDAASKQDWTKLEVLEKDGMIKSATRE GEQVAG MYCTH_2300787 MTESQRARRGSIVAIAEQRQNLASLDSSILDRLPAYSATLFEAK TQKRLTFEAIAEYLGRSEVAVAAIFYGQARASPEDVDKLVRLLGLSAESNVAAQMMGF PDRGRAGPMPPVEPLIYRLYEIVQNYGYAFKAVINEKFGDGIMSAICFNSKVEKEVDE TGTPWVVITLKGKWLPFSRF MYCTH_2125144 MPSTTTQPKVPQTSINFSGYCVVILRAQETDSSSRPTGGTTQST AKNQQKSFPPFSPPIAINTDLSQLGYFTHSLPFGFRLPAGLCYEFDEFVLAEDE MYCTH_79542 MAKKGATQSPKAGSKPKAQVTDNKPQKQKGDRRKSNEPAVEKVV KDEEEREDESNEEEAPKSNGSKTNQAKYGNQETSEEGSDSESSDSEGSDSESSEGNEH GGINLEGINESDSESELDSDEEGPEKNGDGQKKKKNEGKEKDEDDDGDEEEEEEEEEE IDVEELSAEDEEDEEQIAAGTRVRQTINNKEGLLTALRRFALDTNPKTVPFAFHQSIV SSKKTEDSIPSIEDDLQRELAFMNQSLEAARQARTLLRKEGVPFTRPTDYFAETVRSD ETMQKVKAKLIEEATAKKAAAEARKQRDLKKFGKQVQVQKQLERAKQKRETLEKINLL KKKRKEGGSAALGATEADDLFDVAVDNELKSSGNSKKRSADGRPQPNPKRQRKDAKYG FGGKKRHIKSGDAISSGDLSGFSAKRMKSNSFGGKPKKAGKAQRPGKSRRQAMKR MYCTH_2300790 MREANFSIPNVNKASVGITTALYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGIERLVCILKEGRSKDMMDMWKWNLAFQCVVNIGVRGTEAV RTRVVEADMVPVIATILDNYIKVIEKVREKAEEAKQRSDPHRHRIGGSSRVHKSSSFS SRSGTLDVDQRTFRRQAPPPSIDVSAALAGPSTAAASRSAADPTPTAPQFQVTPPADR TPLTAHRHHHHHHHHAVTSPGPQTAPLAPVVPSMDAADGLARTIRDTDRLGSMLPFSR SAAVTSQPASPTTPLPPPQLRSPTVRPTSMLTAAARPPRRPSIRHQHSTGAETDDMNA ESIASDESPDAEMSGTNDMQSDVNVQDVAVEDGDSIMASGTLDLTTPTASETQDAGTF NITHQGPVDGSANTNNTRTPVPAMGLSPNRPTLVAPPQPAMPNASVPRYLLDRQFSPN PQVLAAMPREEDVLMSLQLLAYVSKYCNLRKYFQKSHLVPRLKIGKEIRLLDGGDPAA IEAELQQEEEELEEEYCLPNDLNIFPLVEKFTVRYHSTDMQYWAGVVMRNLCRKDDTR GGIRQCAYYQCGKWEEFTRQFAKCRRCRRTKYCSKECQKSAWAFHRHWCIAATQ MYCTH_2300792 MTPRPGMDDYHPRSATSNSDQGATPTAPQVLSPNMERPKPDAAR QAADRSPSMSYSTTDDEDALHMSRSNPATLPIPRSGNPSVSLSSSSAISLPHPERGSI SSGSESYYLARPPGGGLSSGGSAMGGAISSTQRRRSATIQRAKSPLALTSLATPLPQP DAEWDYSETEWWGWIILLVTWVVFVVGMGSCLGVWSWAWDVGTTPYAPPELEDDPTLP IVGYYPALMILTGVMAWVWVVVAWVGMKYFRHAQVSGD MYCTH_2300793 MTTEDGTANNGGSTPKNPFNFQTQFISTGPVRPNIGQRRGHRYK HSSISAQHQIFQEPPPRPPPVLPASLPIPTLKEAWASMQSGQRARLWWCCCHAVVAMY VFFSAEGSLAMTALSHLVFFDVGSAAVCVAVDVLGNFEVWRRSSIRHPFGLQRAEVLA GFAMSVFLVFGGFDLISHNLKHFLETVGDHAPHHPASSTTATSHSGGAVHLDGHGHAH GVRYIPPGTVDFTSLAAVVSTLVSAYGLRNHGRIRRVMRVPFPYLARLLPGAGILANP FHFLTLCFSFIMLLLPLLSIPLFVWLDRLICAAIAASMFVLGTRLAVAQGLMLLMSYS DLPSSTATAKPSSSGSGSSNNNNNTIATTIKSALVSDDQKKTDGDVGGSGNATTSVSS VIREIESQPQVAKVEEAQFWEVHYGLAMANLKVRLAQGCSGNDDGMLSQLRSRLARVV QNRLAGEGGYGRGGTRRWEVTVQTCSSSDG MYCTH_2300796 MCRFLVYKGSDEILLSKLVLDPAHSILKQSFDSRLRLDTRRGQN NADGFGIGFYTDPKLGAAPCLFTSTTPAWNCVNLQRLATKTASRLIFAHVRATTEGSF SEDNCHPFCHGSLMWMHNGGLGGWKQIKRRLGERLADKWYLNVVGGTDSEWAFALFLD TLERMGHDPSSQPENGFGPTVLRKAMLKTIAVINELIDNIPESVILAENVDTRSLLNF CVSDGHSIICTRYVGSSTDEAASLYYSSGTLWETKAPTPDSSDYQMERSDKGADVILV ASEPLTFERENWVNVPTNSILTIHNQTVMVHPIMDQYYNRNPQHRRSAAFVRTKGLSA NEKATSRSGTPFGPPASIPPVTSVPHLPGNPESHKQRLRGSTIPAGLLNTRTPDGPSS TSSLPRSRTPLSHAETITPEPSSLQSPTADVRELTAPPIIRAASQQPPAQGNIKKKRA TLGTVEAMHGGGGGMALAQYLDTSPVTPEPVRTEFGNPEKIARMFPELAL MYCTH_2300799 MSAQLRAARAMAPIARRVTVRAVRQFHQLPTGGIQRAELAIRGS RRSLQFPSNAYHNAVIVRNASFARLLPKLALKFVRIPALFGGLMIGAVGWIQYQAIQV SNSAQEMYSNMKNTVTSTASSLWGSAKEIAEQTKQGWDNTRQQFEIPEWLDKIMRGGG DGSSGEGGPGGQGRPEPPKQSRAGAAAVAGASAAAYGFDHSDETDERTPGEILKDDHM MYITKRMIEIRNLLQKVGQSSTVTLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNMI TRRPIELTLVNDPQARTDYGEFPDLGLSKVTDFSLIQKTLTELNQSVPESLCVTDDPI RLTIHSPRIPDLSLIDLPGYIQVAGENQPRELKRKISELCDKYIRGPNIILAISAADT DLANSTALQASRRVDPRGERTIGVITKMDLVEPEKGAAILTDKQYPLRLGYVGVISKL PSQTGLFRRETGNLLAGISRNEKSYFSSYPSQFGPDTGVNTGTMTLRKKLMQVLEQTM SSKLQETTESIQRELEETTYQFKVQYNEQPMSAEAYLAASLDDFKHQFHTFATSFGRP QLQSLLKDALDQKVLDQLAARYWNRPIEDLTVALPEPDSIADLPKADPKSAYWHRQLD AACSGLTRLGVGRLAATVAAAAIQSNVDKLLDKSSFSKHPAARKVISEAASTVLADRS YATSDGIEISLKPYKFDPDIQPNEWAQGREHVVGVLQGELEQCQAAMKSLENSVGGRK KLKEVMNFVDKARKGEIIVEGDHPSGAGGFSAALLARGREAVFLRDRANIINMRIMAA KSRQCKTLENKYYCPEVFLDAVATKLAQTAVLFLNVEMLNDFYQRFPREVESKLHEHM AGGGLERFAREDPKVRRHLDLIHRKELLELVLAKIEDLHRLPGAVGGRELADPAKRRG RRFF MYCTH_2300802 MVNFKWSSLALLALRVATAFAADDADAEPVTSVPVEEPELKADI ETTFPDADIFGVKIVNGHVTKALVEITNHEETPIDVAFIGGALKTTKPLPEDAPASAA ILRNLTAVRYDVSIPPGEKHQLPFQFVLDMMPQDVIIELVAIITNAATNQIFQVQAHS GTASIVEPPTSLFDPQIIFLYLFLTGVFGATLYFVYKTWIEALFPQAKKAGGRAGGKK GRKGAAAAAADADPLSGNESAGATSGGEGSKSYDESWIPPHHINRPVARRVKSGASGK SK MYCTH_2300806 MAASEPGGHAFQEQQGFIDDQFDIFDWYPYFQSCVRYFLEHAQF DGPVQALAAYLNIQLPFQRAQNALLRASPNPGVPGALGGIQIQHPGPGGRLSPAAAAA AAAGAGYPPQPRHHHHRAWQYQNISLHPYIRRLVATGFDAPAVLHGFFGDDWELGVRP LHEQERRNYLFAAKSGSWLEVKRAYDTAHGPAAGPFSFFPPSAAAAVPPSAPVSPPAP PPPPPLHPFSSSSWAAEDESVPFLRPLRNVTEAEIVAAEAGWSEWLAMQDWMLGPRAI GVSRGAGTSSAGSGGPVGIKREED MYCTH_2300809 MDSHSSRERLSAAVAAWSQLVHRALVKRTAPDQFAAFLPLHFAE HPLPPVIVADVLLRPSKRQRYSLDPRVPIYLDALLKQRRVDVASVLRALYKYSSAHLK VQSPDPGVLDGGENGRKEGGEGEGGKGAKEVKQGTPKGSKIVRWQNSYEDEEMILWRL AQAVHQGSGIRTAKNVIQIAKVLAKWMPLFAEAAAAFSRDAFDSLHGLQVRDETADAR TAFVLLLIAFSDNPLVQATLEKPACKDVCKMLSDALQAFIPCVMQVLPEMASRLEVFR NETLGKHLAAEKKDADVNNYMDNLMGMDSLQIPEVPVVNSRAGLYIHLSAALVGRPVI DDSALFAYLHNRYQGDVQSTAVQLILASFDLLANAVFRNEGPKTGHLLKSFVVNKVPL ILVSLTAAAMYPFDPEMCIKEALGQVDTNVFPTLSGMFEMSNTNSSFHDSVRQDFCFS CQLHGLLSQAAIENLLGEITYQSLPDEGRYSKDNLVHACLQDVDRTQKLIGELDNMNG NVGAAAQAIIEVIGSLCRNKETMTLKQLCSQLAAKPLSLDVLLLFDKPEKILHPLCEL LDNWAGYEEDQGEYQPVYEEFGSILLLLLAFVYRYNLSPADLGIRSPDSFVGKLLSGG AVCRPLEDLSEQEKSHLNGWIHGLFDSEAGALGDDLMASCPPQDFYLLVPSLFHQIVV ALSAGYLTDDMLKNGLEYLVDVLLLPSLVPAILYLSNQLWVSSPQLQSSIIKILQVII RPSSSSNEAWTMLSSVLNIVAKPLEHALRSYQRQDPKSQEVEPLLRAIQDNLLLSRRT GGADHTELESWCSTHINHGATPHSGLSAAVRHTMQNLIQWAQNPQLNGMPAPYTHRQT LAAVKMLGAKRFLAILLDELRALADSPQAGIAYDVATALICAPDVTNDASLSGASGGA PSTTNNNNNKNKNSNNNSGNNTNSNTNANTNNTGNSSNNNNNNNSNNAGTPPTDDPAA SSRQQQQHRHTSLRDALKSEADEWKKTQKADPVMAETVVRLYRRVEAQMAPAPPSAAE AAAAAAAAAAMLEPPELGGLGGVPGDIGGALGDAIAAAVGGEHHGLHGHEGMVMDGGG GGGGGSNGGGPDGATAAAAGAAGGDQQQQQQQQQQQQQHLGGFGGDVMTGSDLGADGL FSSLSGNGSDFGADFGAGWDIDMS MYCTH_2300813 MKRALGCAALSCAVGLIDAKALKWSDDDPRWVPAQETQLGFMGA LDINPPMPTAAPETSRLRKALEARGATDNTCGFVSGVFTASLWCSTTASCVYNSINSH IGCCDEGEISDCLIPTVCYDYTDSKRYSTNNGLTRWCGDSSYPHCTTYIYQDRVFTGY TLLGCAVAAGTGKVWYTPLDTSRTSSSSTSESSTTFFDTSSTSTSSDSSTSTSSSSSS TTTPVPPDPDPDTPVGAIVGGVVGGLGAIALIILVVWVLLRQRNKQKNAAAAAGVIHP PPAPPAAGGQPHYDPHMSQMPPQAYYGAGGAAAGGFDPRASIAQSNGGTTSAPYGPSA YDQKTATGSSPPGSPPAPSSFGNYDAHHSTPSPPPQGQQQQYGQHGYGNVSPSAASPT MQAGGVFPQQQQHPQAYGADQQGPAPVELPVTRGDGELRELQG MYCTH_2300817 MSQTISPSELSSHNTVSSLWIAIDGLVYDLTEFAPTHPGGLSVL LEHAGKDGTEAYHAVHSPSLLRSNLPVSKCLGRLSSPLPASSPSRPSPAPKPPLSSLI SVHDFRQAAHTFLPPKTLAFVSSAATDCHTHGRNSSAYSDITLRPRVLVDVSAPVSLE TTILGQRVASPIFVAPTSLGKTVHPEGEREIARACKELGGVAQVVSTSASFSVSDVVQ AALDHPFPPAAAAGAAAAGDPGAEPPNVPVFLQLYVDKHAPNTEALLASLTSPDPADP AAASKIKAVFLTVDAPVPGKREADERVPVPVPTPAAAAATTTTTTTTSTTTTTTPMAT QLSPTADSSGAALGRLMASYISPSLSWESTVPWLRRRLPRSVPLVIKGIQTAADAVRA ARAGADGIVVSNHGGRSLDTAPATVLVLLELQRCCPWVFERLEVFVDGGVARGTDVFK ALCLGARGVGVGRAVLYGLGYGKDGVKRVLEILNAELVTTMKMCGVTKLDELHPGLLN TRAVDHLVPDSLSEDHPYAKWRRSKI MYCTH_2300820 MTRWLGLREPAASPALQLACRAQHFRRWELPRSSYPMTRAGYLT WRAKQKAQAAAQVAELLSSSADIQPPLPPEEVERVAALVRKEDLKNNDETQALEDVAC LVFLDDQFDEFEKKSEIDEEKMVGILRKTWAKMTEKGRELALGMELSERAKALIGKAL ESENQS MYCTH_2057198 MASSDIDQKFLGRLAKAVEHDNPLLASVLFRILGLSISLSAQLI KARKQRWQDASQAAAALDLTCHIVWLSREGLVLLQQYVLPMVGNYVELKVLAYKLRAS FYHVFVLFHNTPPVSTMGVHTPEAQSTSAVSGPSPRADKGKGVARDDHDETSGKGGPV APPPGFGPAPPTAFLMKPGDYLPEAHRYFREAVQLADQLLWGSHSLRLSVKTEYAAFL YECVHDAEASRKVAKDTIAEVYEATEGIDNDMFNDACELVTVLGKMMKRGLGPSSTTV RSKAAHGGSGSGTSHGAAAPQVEHQHQHQQQGEQGGAPPGMI MYCTH_48424 MCRYYAHQHACKHTQFSFAAFCDPASLIQNPCGERHIWATIVLG DPCDDCRAAVTAAAAGATGGGEGGDSGNRGGAYERGYPFAHGYGQGRQ MYCTH_2300826 MLCTTEAPVLVPDHPLRKLFWRYGTWAARHVNIVLPLSGTVIFF FLYLFAFLYTTEATTNTNGVPHLPHHVWTAAQPLSAGSDVEPDVIMRSIWIHGSYMKA IDRDVLLGALEIQDEILGPTVNFNPREAHGHPSPLDTDGDLSRQQRDSFHISNGVTNQ SWFFHSPLQYWAGSAENIAADDDLVATVNEKKTQSTLANTTLRHSIVFSGKRFEERRL VAADALVITLIHMHDSPVGRQWVAKAGALAQNSKQDCKWRVIPPDGRSPSSQLYKFQF QPMSSYDWAMLTLAYSLALANLLLRLSKLRAVKSRLGLIVTILTQIAASIVSSFTVCA IFKINLSRVPHYAYPLVVLAISIENSFRLINAVMMTSSTISTSDRVGEAFGTTAHIAI ANRVLNSLLLYSLSKVTSPGVSAFCTFVAIATLFDFLYLATFFLAVLSVDVRQRELVE LEKASLRRARLSQGGLRRQSRLYGVYPSRLGDTTMSTTIAGSIVVLGFVLIVQAHYSS EGGRQWLNQLLNLSWGRVRNHSKPSLLIDFHQARSPTSWLGLQDHETAQEVIKAIKPW AHSYIARVYDPIIFVMKGADRTPHTKEPFLLPAVYDFIHHQIPLFVVSLLTTLAALRL FTNYLIKAQFEHGYGSGSDDEHLLSMQSLSRGHTLDVAMLAASPRGQLVSVGLDRTIQ IWDVSSGSRSRVLSDPDVPLENPFPVLNLALDDRSGLLALVSWQRVLVWNVEKQQWTR AINIDLGGHRPEAVFFVTDAPGAVPSLVLVRRDGIGLEVQVMTGDVRDFAICRTPLVW AVHFTAGANAQQRSPHPAILTASRRSCIHLVRQQDNEWLSVEVKLKGNPGPGAVHCLL PLAALSRFLVGRSHSVDLVDMDSSTIIHTFHTETMQPRTLKQITLMRIHRTGLASFTL SYTSAETGDLVIHTYLPETGDQHQPRPPSSPPELIPLDAWTLTREHIKHISYPGAWEA LPSGSIVGVRRQQTTPLSPTITPSRIPGLRRRGAYGTIMDDPNPTSSTTTTNAQSQAW EAWVLNLRPGAKSEFETRPLDEDADVDQQQQHLMISELGPMTRLGTMSVAVGFGDVIK VLSVGHEHFDRARGGSFSFSDEGLQEVMASRKKKGVGASGVGRSGGVPAGGGGTGQGV KSGP MYCTH_2024024 EEAKTEDPIAELIKNYHELNSSVIEEFDEEPSALEFMRFVARNT PFVVRGAALNWEATRTWNVNYLKEVLGDEPVNIAVTPVGNADAPTPYTHPDGTTTLVF AKPHEEDQPFDGFLTYLTTQEKHFTQQPPIRYAQTQNDNLRHEYRALLDAVPPSIPWA RIALARDPDAVNLWIGNSRSVTALHRDNYENVYVQVAGRKHFVLLPPVCQPAVGEREL RSAVYVRDPDPDPDPDGEQEEEGLRLVLEGGEDAVVPFPTWDPDRPAENATPYSALVR PMRVTLNPGDMLYLPCMWYHKVSQSCSPEGVCIAVNYWYDMDFTGPLYPLSTFVRSV MYCTH_2300833 MAIQKKHGKGRLDKWYKLAKEKGYRARAAFKLIQLNKKYGFLEK SKVVLDLCAAPGSWCQVCAETMPKDSIIIGVDLAPIKPIPKVITFQSDITTEKCRATI RTHLKTWKADCVLHDGAPNVGTAWVQDSYNQAELALHSLKLATEFLIEGGTFVTKVFR SKDYNSLLWVLNQLFTKVEATKPPSSRNVSAEIFVVCRGYKAPKRIDPRLLDPRSVFE DLADPAPNNEAKVYNPEVKKRKREGYEEGDYTQYKEIAASEFIQTTDPLAILGQYNRL TFEQPKNGDVALAALDKLPETTQEIRHCCADLKVLGRKDFKLLLKWRLKVREIFGLPT KKTTKAPLVEEVAEVENMDEELKIQEELQRIKDKELAKKKKERRKENERKHKEIVRMQ MNMTAPMDIGMEQEGPRGADAMFRLKSIDQTEALRRIAKGKMATITEVDAKKDRDSGI GSSGETDDESDEELDRLERELDNMYESYKERKAAADAKYRAKKARKEYGDDEWEGVSA SEKEESDDDDEILEEESSDDSDAEERGDTSKPLLRDLDNTPQDGAGLSKRARGFFNQE IFRSIPGLLDVPEDTEGEDEAKNADEGAASNGEDSDNDVPTIEEQKKMRKEKAARKEK EKEDDFEIVKQDQDSDDDWEEKEKKKKTKDGKPNIDIITAEAMTLAHQLARGEKSKHD LIDEGYNKYAFKDRDGLPDWFLDDEAKHDKPHKPITKEAAAAIKEKLRAYNARPIKKV AEARARKKLKQAQKLEKLKKKADLLAGDEGMSEKEKATSIAKLMSAAARKKRKPPVKV IKATGVNRGISGRPRGVKGRYKMVDPRMKKEMRALKRIAKKRK MYCTH_2300837 MADRVPSSPTRKSVASNASIASSPPRAPSSRAKERRNPSITPRK FQRFFTPRSRVSSKPSAARKALCDLTAPALNRCQTPSSPLKPISEESLPHSEDAHRGK RRKFNHSTPEKQAGAYLPSPLNSSPLLPTADSKPGLRSPIQSLKSRHVLRDGVLRDDD VSEDEEEEQEVVAAPAKGPVQLYRRGLGAQLVQRMTGSMRYASERLLECPVGDWRTET ADFQSRPEDVHFSSSHEGAARAIPFCTTACHKNSLVAVGDEEGFIRLLDSEKDFSKIH LSFRAHGNAIIDLAFSEDDHLLATASGDQTSRVIDMMTQRPVSILGHHTASLKQVRFQ PGRGASCVLATSGRDGSIQIYDLRCRGGPVQDFSIVSEAGLHHSIPKAVNPGCVVNSI YDAHARTTRQGKSQQQPASNLGDVARLGEVPGRAGEVSVTALQFLPPGREHLLLSACE ADASIKLWDIRAVHTSRHHKASTPISLTSPPPGHVAFRPFGICSMVLGGDGARLYALC KDNTVYAYSTAHLVLGHATELTPARPGTEPPRRRHHPHGAAHEGLGPLYGFRHPLFHA TSFYVKAAIRPAAADGRSELLAVGSSDGAAVLFPTDERYLRDAWSLPDADRDEETYYV GSPTDEAPVARPRPGLRSASSAAPASLARANSMSHMFSSCRQGGGGGVTPVVRRGTPL VRGHGKEVGAVAWTSGGRLVTVGDDFLVRCWSEDREKAADLRLGGETGGRRWGCGWAD VGDQWAGDADDW MYCTH_2300840 MHQGADLGCQLFRSLSAATTSDSRTTTGASTGSGSSMREKLGLG SIARRTLGITLLLIVVFLWTLSNFLASYIFSDGTYNKPFFLVYVNTSCFAISLIPLTI RYVKQNGVGGLVTAALQLWREKRSGLTLLKTADEEGRRCGAAYDDGDAAERLLVDDEA GLDVLPPGKDEKLSVGETARLSLEFSLLWFAANYFASACLEYTSVGSVTILTSTSSIW TLVFCALTKVETFTLRKLVGVLASLVGVVLISSVDLSGANDDSRGSFPHKTTVQIAIG DSMAFFSAIIYGVYVTVMKRRVGNEERVNMPLFFGLVGLFNVLFLWPGFFILHYTGIE PFELPPSASVWTIIAVNSMASFFSDILWAYAMLLTTPLVVTVGLSLNIPLSLIGEMIQ YSQYSSWLYWVGAGIVFISFLFINNETYEETTGGDDGQVRGVEGSAA MYCTH_2300844 MASRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSASYKATIGAD FLTREVLVDDRQVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNNAKSFDALDSW RDEFLIQASPRDPDNFPFVVLGNKIDVEESKRVISTKRAMTFCQSKGGIPYFETSAKE AINVEQAFEVIARNALLQEESEEFSGDFQDPINIHIENDREGCAC MYCTH_2077534 MADPQLLDKIHGLGDLELAVLLCLIAREHCLISTEFDSVDDLAE ELRLVASKTFNLSSAIVSCHTHTTLEEFATGLFVQPARVPPSPGNTRSVSPYRPRNEA SQLSTGGASSPGSYVPMNPSPRIGGHMTPLSPPAGGSSSSIAQARIANVVLAKDLDSA PRAVQIQALELLRTRRIFTRTSVQTAPKQFLFIALVGANSGGQARVNPHLNDFFYLSH WHDPAEDGFPYLDEEFSPKSGDQGDDPDEAASTISSSSVVKTPVFTEHEISHLSELSR TAHTSISVTRYQANLVAFLRTHRAVAPGSGVTPAATRHLAQLARSLAPLHGLDYVTPS LVALALRKVYLHRLNLVMAAGRPERERSVQWGSDVPTVRGMLEGWTVEDVLEDVLESV QVST MYCTH_2300848 MRRTSVSLPTKHIAHDPHEKPDRYRNPREQSLIMKMQSAWVSQS QRARYIKTGAIIFLVFILFYCFSPSGVDIYNGALGGQKSNQGQTPSDSSYGTDRCTRS YSKDKPIVQYVSMIDAGSTGSRIHVYKFNNCGAAPELEGEILFKQTEKIEGKSSGLSA YKDDPEAAAKSLDPLLDAALKVIPDKLKACSPIAVKATAGLRLVGPERSDKILAAVRR RLETNYPFPVVSQEQGGVSIMEGSDEGVYAWVTVNYLLGKIGGPDRSETAAVFDLGGG STQIVFQPSFEGLASGGMPDKLAEGDHKYDLDFGGQRFTLYQHSHLGYGLMSAREAIH ARLVQDLHEANKPDTAWTKQPVINPCLAAGTSKTVTVKLADNHPLGATVELNMTAPST AAPAQCRSLAERILRKNAECKLAPCSFNGVHQPSIAKTFSREDIYLLSYFYDRTQPLG MPESFTLRELADLARSVCGGEPAWDVFASVPGALDELRDRPEHCLDLNFMLALTHTGY EMPLDREVRIAKKIKDKELGWCLGASLPLLSKGSGWQCRITETH MYCTH_66088 MDVHLLVYDLSRGLARQMSMGLLGFQLDAIYHTSIELNGLEYVY DGNVVAIKPGSSHLGQPMERIHLGRTELPMDVIEEYLDSLREIYTVEAYDLFRHNCNN FSNDFATFLLGKGIPSHIINMPQAVLNSPFGQMLMPTLTQQINANKRGGGILGIEKQT PGSSVKAPSQLHHPPGKVHIVSNLGRLDELLAAFQKSCAVVFFTSATCPPCKTLYPLY DELASEFGEKAALIKVDTSQAFDVASRYSITATPTFITFLKGKQENRWSGADPSALRG NVRLLVQMAWPPHPHQALDLPTFTNPDAEYVTFTKIPPLEKLLAKMGPTAADPLIQSV KKFIETRDSDGPAETTLPDLAAFGSLIRSCLTSLPTDSLFAVVDLLRCALVDPRVSGY FAEEQPAHQTITSVLEHVVGITVPPRTCPYALRLVTLQLACNLFTSPLYPAQVLAHAP LRAAVTQLLSSSFLDDAHANTRVAAASLLYDVALANSRRRRDGPGDLLPEDEQVELAA SVLEAIAQEEGSRDALEGMLRALGWLAYCLPPDGEVADLLRAMDAGGLVLKKGEKGQI GEGLGLPPPSPWTWRRNLEQRFRDVVADINETLIGISLEPRLMPNSAGYLDVVAPRPV RSSASTPSLRSRDGTVPPHARADPGGNVRVVVRVRAFLPREIERGAECLIHMDPVTQR TTLRPPTSDADPANARARSRKVVEEKSFTFDNSFWSHNPADEHYATQEDIYDSLGEEF LDHNFEGYHTCIFAYGQTGSGKSYTMMGTPEQPGLIPRTCEDLFERIAAAREETPNIS YNVRVSYFEVYNEHVRDLLVPVLPNQPPYYLKIRESPTEGPYVKDLTEVPVRSLGEIL RYMRIGDSNRTTASTKMNDTSSRSHAVFTIMLKQIHHDLETDETTERSSRIRLVDLAG SERAKSTEATGQRLREGSNINKSLTTLGRVIAALADPKQQRARAGRKDGGSASVVPYR DSILTWLLKDSLGGNSKTAMIACVAPSDYEETLSTLRYADQAKRIRTRAVVNQVDSVS AAERDARIAAMEAEIRALQLVVGDSRRREKDAREAEERLEEYQERVRSLQRAMEERSL VAEGKIRSLQTENETLRLHLRLALDSLKNPIPIPPLAGPGRDGIGEGRQGNEKAEQGP NGSDDDDGYASGGTAAEDGNEYAGGEENAGYDTYERHADDMHEHMSGLLRDLSLFRRK IGDDKMRFMDELSRRRPLGQRTNII MYCTH_2125169 MAAWESGLLSAQDRALVCRQLGDYFGQLRAFKSPDGLICSFGGL PAVDARRMARREGGPFAGEAAYNEFLLSGLVGHPVVRDMIRAQMRADHPTEQRLTLPI NWRL MYCTH_2077546 MTPQIRIVVKDRGLFGPELPGKPFVASKAVQPVQQPPRSKRGDL AMLRLGLDIALEYFNSDGSLPISTLTTKARLWADRYFKGYPYASKRPTALLRTFPNLF NLNIEPHLRLVPRTMSPPSSPEPEGTSSRDLRRAERRAMNSEAFPEFAEPTLASDSEI DRIINLPNRRTAPATKPTMRVDAAMLRGSGLMSTLPEFLGQLARANLELETKLATNPE SVRIELDEEQAASQQHVEMNLFSGLVEAQRRRHRRRIVLPGDLDLAVKPPGATQHDGS GQDSGSSGGEQSPPRETSDDEEDSGDETDASTSTTASLRANLKKRKAAASVADEDAEA DSPPNKIRLHYHYPTPKLRHYDMKRRKLVSRPNPDAAPGDPFKALPDKPAAAVRRPAA DESITVATTERPGSSGSDSSSASASSSSSTGPVPITRIRVPSRSPDGSRSSSPDRIIM LRHPVVPSATPSRDASEEPASSSSSSSSSGSSPGRRTKIKVVQRERPDAERRRLIEEV E MYCTH_2314543 MSMRPSLFTRGLSGLSQSSDPNSPMSSVSSPAEQRIDAKQNFLK AMRPLPTQHYWNVWFDRQQPRDQTQQQEQQQQQGSNNNSSSNGEYHAHLEQLGGSIES VQDFWRYNNNTPVDQIRMRESIYLFKAGFKPIWEDRRNILGGSWTFRVPKSIGPDVWT RVQLLAIGEKLQSVLEEGDQICGVGLSVRFNSHLISVWHRDASRKKSIDNILQCVLEE LPPELRPKPDSYFYKRHSDHAGFKAPPELQAVIDSQRAREAAAKAAQEQQQQQQQQKQ QAAAEKQEQAPDTTQAPSSGTQ MYCTH_2300863 MPPRRRNPAAGASSAAAASSPASKSGARLSKLAKENDITAEEEA EIREAFSLFAEPMEGEKEGVMPIGEVRRALIALGIPPTSPAQLAEFTAALDPEDEGFA TYEGFVGICALQLHARRDNDGYGGYGGDGAEEAHRAELDEAYALFVGDRRGPDAPAIT LADLKRVAALLQLDEESQQKQQQQQVGGRGDSIGGLLTGSKGKAGKGDVPTVVTEELL RDMILEANDGAGVGKGVSKDQFDRVMRRAGVWR MYCTH_2314544 MHIQSIPMWVGRSDNYAYLVVDDKSKDAVIIDPAHPAEVAPVLK KAIQNGSINLKAIVNTHHHWDHAGGNEELISELGQPLEIIGGKDCKKVSRTPKHGETF NIGSIAVKALHTPCHTQDSICWFMQDGDDKVVFTGDTLFHGGCGKFFEGTGEEMHKAL NETLGSLPDDTRVFPGHEYTAANAKFGLSVLPSGPLKALEAFAKANKETQGKFTIGDE KQHNVFMRPQDPEIQKATGETDPVAIMTKLREMKNNFK MYCTH_2300873 MVQYIFTPWRNRRELLAVRRQFYPDRHPQRQPPRRQTPGQAQLS STNTAATAYSTYRATTVNELPPPPLPPPSSSSSSLTTAKQQAVGRRRSHGEKQEAVAR VSMWMQRGGCPHMVESTALLTAAILSDEVTGGPGAGSDDGLARASRAYAVRAAYSAAF SRFVTGLLDSHQDRQRKMSMYDVAKSVGLPATFVELRHQATHEQLPSLARLRAAADKA LDWIWEYYWRGLTAGDSDSDSDEHESDADEDEADASGREESGAEAMEGVLGEGDGDGE NDGPDVTMREEDGCGGSGGGVGDGHKPAQEKEIRTLLEGYLEGNEKQPQEEEEEEEEK LKRELGRFDEGLVLMVLDSITDNTRDSKVLRRALVLGRRILEWKGNQEHVSGADRMEE DGPAGDDSSRTGMDTREKMATEEGEEEDDKEEVEDEEEDEDDRPAWVLYDAETWVPKP IGVV MYCTH_2300877 MASQKKVLMLGAGFVTRPTLDILSDAGIPVTVACRTLASAQQLA AGVKNAHPISLDVTDEKALDAEVAKHDLVISLIPYTFHATVIKSAIRNKKDVVTTSYV SPAMLELDAEAKAAGITVMNEIGLDPGIDHLYAIKTIDEVHREGGKILSFLSYCGGLP APEDSDNPLGYKFSWSSRGVLLALRNAGKWWQDGSVVEVSGKDLMKTAKPYFIYPGFA FVAYPNRDSTQYKERYAIPEAQTIIRGTLRYQGFPQFIKVLVDIGFLEDAPLDVLSRP VPWKEATQAVVGAASSSAADLEAAILSKATFESDEDKQRILSGLRWIGLFSDEPISPK CNPLDTLCATLERKMQYEEGERDLVMLQHKFEIEHKDGSRETRTSTLCEYGDPKGYSA MAKLVGVPCAVAVKQVLSGQLKDKGIVAPMNSRINEPLMKELKEKYGITMVEKTIS MYCTH_111173 MMPSLVGANMLGMPHQQTAGGTYTVDAFLNQLSRQLIGNTRRYS RGANGQQRGGNGMRISKPGSASNSPRSSTMQARRRTLIGDGFRGGLQTMSPAMEQIPL PTPAAETPNEHFYERQTTRPARPVSWHPSSQNAQQPLYALPDAAAYPYAAYNDAEILA SLQQFPPTPAIYSGYASPAEPFSPLSLPYSSFSSSQQPVYSPVSQPLQMPHQQQAPPF SPVTCSSDHPAAAALSDIPYLPLPQAAAEVPAWEQAQPAVAATFSQQTAPPTPEDFAC SLGPSLAMETAAGQQQPTKPDAAAEQTCQPVIYEEDDDDEPEGEILYGMGLYDAPDHG KELTLHQSVVLSLLGSTRDQEEDGDTGKGLGLKLEDPWEPPASDDEDEGEGEGEGEGE GEGEGEGENENGENDDGQNEED MYCTH_2116851 MKHLILVGACYLDTILTVPHFPAEDSKLRATSVQVRRGGNCPNS LEVLVQLLAAGPRPGLPLKLHLVSCLPDARAAATAKILSSLGADKGAVDCSHCLYREG YEEPASSYVIRSAETGSRTIVNFNDLPEMTAREFEKIADTFVKQGEECWWHFEGRIPE TTLQCIRYLRRVTPKSTISVEVEKPNREGLVELAAEADVVFYSRSWAEPRVQEPRGLS QGRSGVIEEWGAGALSLPSGEYIHHRAAQSEGRVPVIDTIGAGDTFIAAMLYGLHADA WSRETKLSFGVDLATKKVQREGFAGLVP MYCTH_2300889 MEKTSPKRVSFEDGRSSPSDDDRIPVEVVFDESNPYRRKSSLVA NESIPPHLRREPPPPPKKTECLVHQFLADQWKAHPPAHHRRHNHRGTTTHVDHRFDGT ASREADDISSEVGLERPLDPKNGHFDGHDQVHVHHHKPEEKDRPSTTPPPPSPPPPPQ QQQQQQWERGREEEDQDEDEDEPCHPRATVLRSSLTSSDVDSSSISDDNYDDGGSGSS NTSSHGPGQLDEKHWRRSMVGDSVGGGPGVRSPAPSQLDRRSVSDDAPNSRLLTKKQL SEMAWGVRELSRRLGSMRLKFRVKNIFLLTKIYDPELISKTRELCRWLLDRQRDVRYT IYVDRKLKENRKFDVAGLLEELRQDYVASGEVSEEASWDIAKRLRYWDGDTCRARPHT FDFVITLGGDGTVLYASWLFQRIVPPVLSFALGSLGFLTKFDFEDHQKILTNAFNEGV TVSLRLRFEATVMRSQKRRSRPRQDRQHAGNGADEDHEEPLRDLVEELIGEEKDDEHT HRPDGTYNVLNEVVVDRGPNPTMSSVDIFGDDEHFTSVSADGICVSTPTGSTAYNLAA GGSLCHPENPVMLVTAICAHTLSFRPIILPDTIVLRIGVPYDARTSSWASFDGRERIE LVPGDYVTVSASRYPFACVQPRGRRSEDWVNSISAKLAWNTRQRQKSFKTWEK MYCTH_111172 MKQPKSRVYKASGLPATWGHRIWPYLFLFFSPSVSCAQSTSSDQ AILVSLVSYRSLQPLVGVPGRFILLVYPLDTRCLLSPEWSGDGEISFGGRQDVSSLVT ALEGASLNAAAGAASAANAASTASDGAAEGGTSSTAAVSSKPLPLPLYR MYCTH_2300892 MVKETKLYNLLNVSPAATQDEIKKAYRKAALKWHPDKNPGDNKA AEKFKEVSQAFEILSDPEKRKLYDQFGLEFVLRGGAPPPDAGAGAGGAHPFAGAAGGM PDGFASFFSGAGPGGARTFTSHFTFTDPNDLFRNTFRDAGLGGDFFEDIFGGPRPSAS SAASGASGGERRRARESFGESMRGARATTPEVTTVERPLPISLEDMFSGVTKKMKIKR KMFDETGKRITTDTVLEVPIKPGLKKGSKIRFKGVGDQEEGGQQDLVFIVEEKPHPLF TRDGDDIIHTVDLDLKEALTGWRRTVTTIDGKNLNIEKAGPTQPGSSDSYPGLGMPIS KKPGQRGNFVVKYNVKFPITLSPTQKEQLREIL MYCTH_66068 MPASLRTFTPLLRAARLSLRQSQSPLVSLQRESRTPVLNFARAY AVYERTKPHVNIGTIGHVDHGKTTLSAAITKRQAEKGLANYLDYGSIDKAPEERKRGI TISTSHIEYSTEKRHYSHVDCPGHADYIKNMITGAASMDGAIIVVAASDGQMPQTREH LLLARQVGVQKIVVFVNKVDAIEDAEMLELVEMEMRELLNSYGFDGENTPVVLGSALC ALEGKRPEIGEQKIDELMNAVDEWIPTPERDTEKPFLLSIEDVFSIGGRGTVVSGRVE RGVLKRDADVEIIGKGTEVIKTKVTDIETFKKSCDESRAGDNSGLLLRGVRREDIKRG MVVAAPGTVTAHKKFLLSLYALTKEEGGRHTGFHQNYRPQMFIRSADEACTLTFPEGT EDPDSKMVMPGDNVEMVAEIHKPIALEAGMRVTIREGGRTVATGLITRIIE MYCTH_87600 MAHESVWNSRPRNYGKGSRSCRVCNHTAGLIRKYGLNICRQCFR EKAADIGFVKYR MYCTH_2300901 MVLLKSFVLAGLTAVAAAKSAVLDLIPDNFDKVVLKSGKPTLVE FFAPWCGHCKNLAPVYEELALAFEHAKDKVQIAKVDADAERDLGKRFGVQGFPTLKFF DGKSDKPTEYNGGRDLESLSSFITEKTGIRPRKKAAKPSNVVMLTDSTFKNQIGGDKN VLVAFTAPWCGHCKRLAPTWESLADTFASESNVVIAKVDADAETGKRTAAEYGVSGYP TIKFFPAGSTTPEDYNGARSEESFVTFLNEKTGTHRVAGGGLDATAGTVEALDTIVAK LVGGTALAEAAAEAKKVAESLTDEVQAKYAKYYLRVFEKLSKSEEYAAKELARLEGII KKGGLVPTKLDELTIKTNVLRKFVEKATDGEKEEL MYCTH_2300902 MPQLPRENARLWFFLFLVLVTLMSRNDAPGLIAAPFFGAPRLGR LWAAHSILNSTEWGDFSPGAAKDGAPPWEAPRYLNLTGFRETDGYVWGDLDYFKDRCR QWSRHAYPPPKGAGDWAHGHVWKTWQNATGTVQGKWVRRPGSVTKHARSYNLSAIAPG VHWVDDDDAWGWNVTGSHGTILLRLSEDASDPVYEEKEGDDKRRSSGRMARSISVEAT IQDEDHSASSFVVKLYGVHWPRQGSILLTTTTEKFSGIFGLPHLAPSPEFYRSGQMLL NRTVGEVLSRKEQSRFADASNPWTPLVEEEVSVPVPRCEYVMYLQLHPLDPRFIGKDG VDNKFVPLIDQMERELRFPTGAPSRGKAELRMSLVAWSPDCGYYLESKGPPLFPSVEG NHLVGVKSEVLLSRTKSWMFAFAAVLMAQLWLLKVQMRESNTPSTLARISFHTVAVML LADGLIFACSTTWSLSASNTFLPSLILTFAAFMSMTLGAGFLSEIYKHQEPERRREQA RAADTASPRTTPAAPASTTATTPGTVPPPAPNTATRPPSPPIIIPSDQDIDAEIAENT ARGAAALPTPATATTTAAGPFQAPDVGTFASITGVFVLVGIAILLLIAVSLSWPPRAR SLFFHFLAFAYLSLWIPQIRRNVWRNSRRAFSWRFIVGQSVLRLAPFAYFYLREDNIL FVETDVTAFLVLVGWVWCQLVALAVQDVLGPRFGLPKSWMPEVWEYHPVLRESDVEAG GLPIGLVASLSSPYGGEEGDGSGSAAGSPVVTTAGRTRSWSAGSSSGEVGAQRRERAK ELERHGMMLREIDCAICTEVLEVPVVRANARDPSAAGGLSGVLARRAYMVTPCRHIFH TKCLEGWFRYKLQCPICREELPPL MYCTH_2300903 MSKINTILLDCDNTLVLSEELAFEGCAELINEIAAAKNVPLEKP FTGESLIVEFVGQNFRGMLLSLQKRYGFPLTDAELEDYVRREEDVVIAKLKEKLVSCP GVDDVLAKLAASGEYKLAVVSSSALRRVQASVDKVGQSKYFGGDIFSAATSLPKPTSK PDPAIYLHAMEKLGKKADECVAVEDSKSGTLSGTRAGIKVIGYVGPYKEAEREKMVKV LTEAGAVIIMHDWSEFEDCLAKVQRGEV MYCTH_2300905 MPAPTLDQVIFPEPVHHNLSRILGDLKRSNLSITNRLRSISQDA AFVEQVADALRLPLIANERCGSWYIDPARKAGSAYFKSTDGHTGQWKFSLRRLNLHLL PLIGENNGIIIVDSTRRGKRMPDALSKTVPTWCAVLNRALFPSLADSHELYTPPNAVS ASEASQIAALLPAFVSSFLSLQLDLAPLRAQLGGRPLRPFWVTQEDDLSVLADGPLGA AHRRRDGDGDGDGDLAGFHPVVCCTASRRVVGTGTEAAEAGGYIQGAGDDTENWALGL TAGIFWRWKEDLLAAGEGELPDLIGELVAREDRERRRGEGGVLKEVVKGKGLFVGLLG AEVGEEACVVRLVPKATAREGWVKGKRCLEVGIGKSRAASRLLRDALGQVCEFVLAYL REPDPVGKDAPQKKAVVVLCETGKDLSVGVALAIYCWCFDDAGNVREDDGTEVSFNKA GIRVRLGHIVTAFPEANPNRATLQSVNSFLMD MYCTH_2300906 MASTMDPALPPTLPADASGDAPRRKRRSSKKVKSGCASCKQRRI KCGEERPECYNCRRSGRVCAGYPPPPRSARPFEEIKIAPKPIAADTASAPPPNHRPVQ LPPRRLTKPYRRTTPPMTPGAAGAPVMLYRPSVSLPFTDQEGQYFHLFREQTASELSG FFDSTFWTRSVLQECHSAPAIRHAVVALGALYKTLEKSNESPPTSPYPDRDPGDNAMR HWEMAFRQYSNACNALVKAETADSTSNRTRLMASVLLACFDSFVGDHKQAIVQIQAGL GLLERLRAQRKSAFLSASDEPVEEELTQMFTRLAIQAKSYDMAFHFPQPWVVRLTSSQ GQDPGSPASDASSPIGISQEPIPDRFASVMEARLAWDRLCERIFRFTEIMFAHAQNGV MGVLPASLQQYGVSFKKDIEAWSHAFEHILASRTAPGVSSQEKAAIAVLKMFQTMGKI LFLMTFSDSEMHFDNFTPYFKTIVELALEVVGDEERRAAAKRCPDPAFCRHQSRCAPN IFGGREYAARHIKPSFSADLGIVPPLYVVATKCRDPHIRRQAIQLLRSSARREGMWDS ELTARIGTWIAEVEEEDARLFDSTYGNTMPTPGSSPVETNARPYAGGDSPHSRSRSEF ADGQWGRSVSPSAASSRASSSSTHSLQQNQAKTIPIPEEKRVMVRAVEFDLRERSAVI QLGSRNLKTGTPDLKTRVTRITW MYCTH_2314555 MDTIKQAGNYVSDKISSATHAASKEANKDVAKNENANIGTRVQA AGDAVADKVKEHKDDASAEANKQAATH MYCTH_2300911 MHADAVTAVFIAPYTQLVFGVEIAIRALRKKPFAPRGKYNVSIC LSTVGMLLLANFLIADFDRSPNFCLSSLFWFVAHYSVLCFGLLVAITSIVLGSALTLF VRLYRSIKIEVTARVAASRMVYYLALAAISDSFMIPFFFVQGFRNDRRQFGNALDLAM IASVVANVSGLMTGGLYLFLKSSTMSTIGPRDKVGEYENTRARYKITRQYSNDSDNSD DDNYDRHIMNPVTGPRSLRRVTSEEILMGTEKEEEVLDSRAPLQSRGQSRDSVRSNKL VSAVASVLLPKAPEPARISSTSAGTHARKRPYSLFPRGAVASKASMLLPATTYSPADS LKPPPSMANLADIRHRRDSSLVSSATVQIGLRLSSVDDMPPLAQTKPAADDSVVHTLD CPNVPNNPSMRSPKRAGTIAVRSATRPAPTVSTAPTQPAEKTDNNGQREPVQDARMGR LPAFPKTDSQVPSIEAAKEKGEFTLSPKIYSPSSPNKAKLQSPQDVGFGVPPGSKLPG GRSRSNSNSPPRSPPRRRDTGNTTSPLPTVAKSDWI MYCTH_2300913 MNALWSSSASADGAAGGEKPSADTPAEETKAGPTEDTSPAEPAT SNGPENEKAPKRPGLRRNHPSVPPPPVPTAPSSSSSFYSSFPSSDSNTKDEITDDSKN NNSGSRSSSSSQNGSSSPPAPARERQAQSLPQSKQQQQQQQQQQLGQGQPPQDSLSLA QLRRIVAEFPRAEPIAYDYVYADMAPLEEEVDEWFMYNFWQWVRLNAANRAFYSAWAR LFPPPPPPPPPAATSAGAAADSGKGSGGTPPAPKWDRADEEGEEEAAERKRVFVRALL EGFRSSDRIARAEAIGAVVYLVLGRWTETVKAAGVLGAAVLEGKARSAATPQQLEAMK EGVRLVAECGGLELVWEALRAVFELFWSDDLPQNVQMVAEELIHLMTILYMAMQEALD DPEGMAEVRQRLLALNPSLTSFLLQVVVKLRWDETGILPQTQIFLLFWKSILLVFGGS KDLAEAKKATSEMIGCDDKNIITASPLDYHAFRQEITSKYPAYIPPQPLLPLEPDQNT IIPPLPNHPTRNNAANGIHPGPPGPGGGASILHQPVHIATPAPSPPPSPGVGGKGGKK QNYQTNQNFPFMYPPLDATSNSAGGKGGAALQDLLVGRKWEGSDVPASILEAGELFSK RVRMSRATRQLWEEREEFLKFERGYDADDDIVDELDLSSLTLEEREELGLAKASDDKP RKPSNSGPDYGPRGISQQIKERLDAVEGFYKEALPHLQSLVIVFLRQITAMTSNLVSP QNANGPQGPPSARPNGGQQNGHNGPNGGSKGDPSSPLDADVDELRSREIAAKAVTGTL ILLLKWFKLSHVLKFEYMTQLLLDLNYLPMALKIFAMYDVQQVVESRTDRLEHSFFYF CGSRAGVIPQQHSVPNPTATDFEDISDDDAAPPPIKRRRSPPNAHSEAGASSSSTDPS SNASSASSSSSSSSSSSTTNEPPPRPEVDELGYPINPLPAEPITDFSRRNFFSLINYL RIMQKICKHRAHRNLLMVQYKSSNILRKSLKVPQHELRLYTLKLFKNQVPYTGRKWRQ SNMRVITAVYLHCRPELRDEWLVGSAVEDQVDEAVPLEQALRSLTHWFNLRRYPDRMA GAGPGGAAMLRQAMKEEHDFFVRELEKADWSWLSDGGAPAGANGGAGGDEAGGPEGSM GWEEGSWG MYCTH_2300915 MFELPDAKRYVCRPPSLTLGSQGRAHATTAVPATDGLTNRVRRE DLFDSASERHSSPELQDEEAAELRAKLNERLAGLLSISIPPPPPAAPAPAPAPEPEPG PEPAETAADADAEDSGEEPQRPQQREGGAKQGADKLEFEFRLFSTPAGAPAPATTSGT GAGASAPPPQKVVQKVVLLPDDEAEAPFDGPAIAPRPLSHYIRGELSEREREQFRRAA VTGREVLSWAAQRAWGLEVPWRVTRVTVSVGSGSAQGSRLGGGGTRGEDPGVVEVEGR RKKKKKTRPGKKRRIVLRKREKIRREKEAEAERLRMSKEEHLREKKKRLNREKKLKRR QKEREKKMAARAAGAAATATATATAATAGGDKEGRGPSASASASEGSDGED MYCTH_46530 MAELRAESQHGGMWSNYGNPVQMTGRFNPQDPSVSVAPPASSHL VRPRSHTMDYPQYQPGRPGQEEGEPYDRYPHPSLNIPSINTLKRPYSQVDQAPYTEMV QDLREDYKPPNHDQKLLSFKKVGDKHTIVDAKGRIHEIEIEAQLHGMFFLSEFPSTTG DGNVLNAELTCYRRNLFQISGSICFPQIPLSVLLESGETSQIKNMEVSISAIESVDGH PVRLIVIPWKTPPPNSPETSQAPDQEPPTLPLIPPSEDEEESGGDHYAIYPIGWRRLQ FRIATANNGRRKELQQHFVLHLKLHGTLANGQKLVLSELTTAPIVVRGRSPRNFQARK EIPLLGSSAGSRGQTLVETGHGIVAQAVILNKPPYDSRPRLSSLDVPRSAFTFTAPKQ MPQSPMQMRSNSYPTAWNPPPQVSMPHTPGSASYTTSTMPPDPYPKMPLSGAPAFTAE PQEMPMQPSSMGPSVQLPLSASHDPHQHQHQPQHHPHPHAHAHAHAHAQQQQPTQPPI RTQFATYTSAPPNHLSLSSTTGGGSTTSPDNSLAVPRHIGDANPRPSKSPRHASHQSV TSSISNETASGEYRYGPPPPQPQAAPASGYPAPPPETSPQSHHPALPPPPQGTAGGYG APAADNGAGGANPAAAAAAAAAAARDYIPASQGWAAAATAPGAAGSGDPANPGGDRPY GYPATAGGSAGGPPHAVKTDGHPHAGAAAAAAAVGQAGAAQAGYGYTWNAA MYCTH_2125192 MPSRTHWHFASNVVPALGEHQLPGAPDAWGERVNVHDERLFGLS PGQAKAMSRPAVPGKTSGLSSCGVLVLHGTARYGPSQHLRLLSSYGIGQGVLLCVENY EESTSQQEKPLDGQALRAPLRVANPHSHSWLKREAAKKCAYIKEQFLQNDTNVNLTWI ILNRCHIATIGDERNPS MYCTH_2300922 MADDLDDPRDAELITLAAIYPEIQQMHPDDPYGIALEVPVNPSK PVNVFFRASADDDALSQAPNGAPSDAAAGSNQQGAVAVDSHELAYLPPVRLEITLGPN YPAEQPPQVRVSTSPSWIPVETIKRLEDDASRLWEELGRGIVGFTYIDHVQQAAADAF GLVDGRGSLELDSRYRTAILGHDISARKAAFDNETFGCGVCLEPKKGSVCHRMLDCGH VFCVDCLQGFYNAAIREGDIAAVICLEPNCAKDRAKAASPGSKRKRVRTFIHPSELLQ IPLDQDVVKRYLMLKYKIELESDRSTVYCPRKWCNGAARSKKHKKPQGLELPEASDKS ASEGEEEDDKDSPEGEDKPKTRERLAICEECSFAFCARCLQSWHGELNFCPGSREERL AAAELASLEYIRLHTTPCPKCGVPAQKIQGCNHMLCSRCGTHFCYLCSTRLDPANPYR HFNTPPTAARSEPCYMRLWELGEGDGADVPGFERVAWGPAHPARGAPAMAHAREVIPE RGRPREEAHADRNGAAAAPAQGGHANGGGEVVGIAREGPLVLRIAADPPAVPGRGGPD GRQPAPAAAAAGRGAQRGAALREPGAARNQPAARGGGRLRQQQQQQHQHQQAARGAGA DAGGAAAARRGRRRGGGAAAAAPGHRQHNNIRADRGARGQRRNHDLADAWDMGWDGEL APAHEEWVRQFVLLALNDEEHLLFGEDED MYCTH_2300923 MAADDDITPLASLSLTHVYYNPTDPLSTASAFLSLLPQALCVVY ATLLWSTREAEVLLMFLGQLACEAINFALKRLIKEERPPRLGVGKGYGMPSSHAQFAA FWAVALALFLCVRHRPARLGPPRRPPRLRLGGRAVVPPGKGDRAAATVADGNRNGNGN GDAEPRLSDVHRAYVSGGLAAASRSIEAYSHSHWTMAQRVVVSAGALLVAGLVAWSRV YLGYHTVRQVVAGSAAGAGCAAAWFAVTYLMRETGMVAWALELPPARWFRMRDLVVEE DLCQAGWEKWQEKRQLALEREQSRERVREKKGQ MYCTH_2300924 MGAAKGIALRGLMFLLRAIQFCCAAIVLALFSYFLATLTNHNMH IPTWARAVAGISGVGVLYTILALLMLCCVPGRSFPSFITMVLDVAFIGGFIYIATANR GGASSCNGEVDTVYGKGDANTNVVDNGSGGFTALPSLRTACKMESACLAVSIVAVILF AITPFVSLALVRHRRKEARFGPSPANNYTEGYGRRKRFNWFGLGRKRTAPVDGIPDPN ALPQHSTPEDLRNSYATEQTRVGSGGGYGGLGNGSTKSPYGAGNDIPLGSYPNGSAGY RYDNPGVYHR MYCTH_2300931 MRTKATLLTGLLSLAAQTGRADPTWPAATDHMEEIVFQLQGFKG SLFNDIITPCNNEAAGPGRITASEWLRVGFHDMAPHNRYFGTGGLDGSLQFELNNGEN TGPGHRTTLEFLANYLSSRSSLADLIAAGVYASVRSCGGPIVPLRLGRKDAITAGSAG VPQPQNSVVTFRQQFDRMGFTPTEMIQLVACGHTLGAVHSTEFPQIVPANVGQIPFDT TNATFDNRVATEYVSGNTQNPLVVGASIGVGRNSDFKVYNSDQNVTITTLTDPDVFRD TCRVVLQKMIDVVPAGVTLTDPIAPYAVKPVDMQLTLNSGGTTFLLTGHIRVRTTELP ASSIKNVVLTWKDRNGGNDCGSSSTCSTTSTLQGVATGFDDTFGFFPIEATIPVASGI SSFTLVLNLNDGSSRTYDNNGNSYPMSDAVILQKPQSCLLQGPGALTVSALLRNDLSN LPVNLVVSYLTPRNTPDDNPVPALNEATVQMTKGDCVGPYTFYSGSFTIPGGLSYNAR ISVTAGSNVTAYTDDFNKASDLSGTCGTFTGGATCSDVTPPSTSSVTSSTSTSSSSAS VTSTTSGSSSSTASTTASTTASPTPAVKPTVGGYVFVSCWTEGTGVRALSGATFAYDE MTLESCMANCTGFDYWATEYGRECYCGNSLHPSSSEAPVEECNMVCGGDPTEFCGAGN RLELYSTTATRTTSATPTPTGTLAVKPTVGDYTFVGCQTEAATGRALSGKEVYADDSM TLEKCATYCAGFTYFGTEYGRECFCGNSLNAGSVPAPEAECDMVCAGDPFEYCGAGNR LELYRLSSVSSTAGPSSEAATTSTTTGPATSTTIPSRAPTVSPFTHVGCWTEGAGVRA LAAKTYASADNMTLENCAAFCTGYRYFGTEYAAECYCGNALAATSSNASLADCSMPCS GDPAQYCGGPNRLELYEDPTVPPAPTAQPTQPATVTATATGAGGSTTWTWHGCMTEAT GVRALGKASTASDELTLEACAAFCEGHAFFGAEYGRECYCGDEFAEGAVEAPEQADCS MPCAGDATQLCGDGNRLSVYAKAEPAA MYCTH_2125197 MPRQEALACITREFRIVSQQGARDESSLSSDPWLGHGPPLHNAG SAGPHKKTTKITGSFPPVLTVLAVGKKRSMPHSAKRRVEKPISAPRLIAEPRPHV MYCTH_2300935 MSDHGDSPSPRSSSGPAGSVSPPPAPATAPTSTASTETAASPKK RMSGSTEGKVTKRRAARACVSCRARKVRCDVVEGAPCGNCRWDDVECIVQESRRRKKN LPYNCGPAAVGARAGTAEALRTKSIGAAPPVITANLTKPAFAPNGSFSLPLNGVDGGQ AAGGLLYQQTPGGFGTPGSAAASAALTGFPPVAPGIAASPALLHPLLRTAFEQASEWP AFIKPFPDRVLAEDRQYLAQKQVFSLPSLPLQNALIAAFIEYVYPYMPLLELHDFLRV VNDRSGASGKISLFLYHAVMFSATAFVDETLLKDAGYASRRDARRAFFSRTRLLYDFD YETDRLILVQGLLLMTYWYETPDDQKDTWHWMGVAISLAHTIGLHRDPAKTPMIPRKQ KLWKRVWWSCLMRDRLVALGMRRPTRIKSEDFDVPPLNEDDFDIDPLPAENTLLGPEC ALIRDVGMQRELAVMCIEKAKLCMLIGDMLRVQYSVLSRSGVRPEHTINSTHMLLPNK NPENMQEVEKVDQNLRDWFAGLPEPCRYRPLDSVTITDANKVIAVQRNLLHMIYHTTV SALHRPLFLPASPTEGPRTPVEVQETARKRVREAAEHITRMAAEMRQHSLDRFLPTTG VTVILPAMIVHMLDTKSLDPDTRANAVRGLKECLVVMTNLRNIYAAADFATGFLDALL RKGMLGQAPQPQPPQPTPPLVAMPGARPGKILNRVLAPALNLVPERPSTPPPENVFLN LRTASNNNNANNNNNGTTASSLFPPQSHHGPIATTQAFMPNVSDDAGDPSALAAAVGA TTPPHTDSEDVDMDVDVSMADEVMGNGGSSSSNGGTGLDFTAGTPAGLGGTSGDSTAP GLLAGAGAGAGAGAGGNNFDFDQWLQFPAEGGLSTSDDSFMGGMFSAGPGDHPHQAGL EMGGGEGAIEQWMSLPEGISH MYCTH_2300938 MNESPSARTPGLSAPSRGRRLRSTSESSPTRQRNTDDLLSNLTP RTVVDAFRNPSGSLKACIEAATPAEQAFALRVAIASNTIHEWLSELSSWPWPAGGGSA GFEMPADKRKAIPGLGTSQASDQEYNGSLPAADVARYEKRIDEIAQGLEELNIEEIKS QVLNNHILPLSRPGTPVIDSGRSAMSLSSITRLGDLTALVTATTLQALPELSRLTKLM NAWSLRLLVLRKIPVFLASVSDAEAALQSGWNALGPDRRIQSGSTGAPSAVSPLDDLE VMKSVIGQKVAKAGRDLDAMLDILEGQPDTLPEDWIDRVDTLEHGYGEWTVACDRKMK QTDLISSIVQAAPATGASVPPQHSEADRSGPSVAANKSLASDSLEREQTQVPEGERPP DGAQKPDSRPDVSPPVIKIQSTTEDQAQTPEDDYPNTDSELVQSASDGSSGAGPHTVL ASEPSKAHHNHGARPEDAPGSSFSSDSELWDISGHGAPQPAQSGRRASGVSESSTVLN EAPSMFMDSFSSDLLDQGVSDSFDHGRVDQEEGPNRSLLSTRSRSVSFNDHPTVTELP SFPSPPGTPTTSTTLDDDDATEPGTPSERQMPGMDDQLQQQISEILESVPAKIRLTAE PPAVNLNPPDFKMPTARRSSRSDAIPRTQSNGSMRSVYSRSATPSFTLAPARNSRPRH QRDNREIKVYHLSRSNGEALIKLFIRCVGEHGERVMVRVGGGWADLGEYLKEYASHHL RRSAGAATDGKVEVRDIPRTGSVRPPDATPPSRPASAMDAHSPVSPLKVRKTRRSPTV NSDEQNHQQLPAAIRPKTPLANLGRLDATPPSDASSSMRSRSSSRISWEEDDGTFALG MAGPRAKQIEMSEESRAWVESIKEKVRIASSERKPPPPPPPSSSFSSVPSVAGAEGGA AAGGVKGLEASLMDRGDGSGRTTFGELGKVGSTKRLFKRG MYCTH_100838 MKSLLTFLPLASVALGAPSLWGRQAAITEEQLNELELYVQWAAA AACNGNQAPNQPVICNPTLCSRFASHNATVVASFIGPETDIWGFIGLDPVDERIVVSF RGSSSIQNWITDFDIIQRPCNLTDDCLVHTGFDRAWEEVANEVLNGLTAAAAAHPSYR IAVTGHSLGGAVATVTAAHVRRAGFQADLYTYGSPRVGNEAFADFVTRQPGAEYRVTH ADDPVPRLPPLCLNYRHTSPEYWIDPDDKDVVSIDEIRYCPGYSNTDCNGGTAGLDTS AHGWYFQNLSGCAQEISRYAEMDVKLAANLNAQGQP MYCTH_2059579 MVYRASAVWLSLVLSLSAPPTLARTIGPRDKVPEGFYAAPYYPT PHGGWLDSWKDAYAKAHALVSRMTLAEKTNITSGVGIFMGMPCVGNTGSADRLSFPQL CLQDSALGVASADNVTAFPPGITTGATWDKALMYARGVAIGREFRGKGANVHLGPSVG PIGRKPLGGRNWEGFGADPVLQAKAAALHIKGVQEQGVIATVKHLIGNEQEMFRMYNP FQPGYSANIDDRTLHELYLWPFAEAVHAGVGAVMTAYNAVNGSASSQNSYLINGLLKD ELGFQGMVMSDWLSHISGVGSALAGLDLNMPGDTNVPLFGFSLWQYELTRSVLNGSVP LDRLNDMATRVVAAWYKMRQDKDFPRPNFSSNTRDRNGLLYPAAIFSPIGQVNWFVNV QEDHYKIARQVAQDAITLLKNDGSLLPLTGSGKITVFGTGAQVNPAGPNACLNRACNK GTLGMGWGSGVADYPYFDDPITAIRKRVPDVEFHNSDEFPLFFTGEAPAPDDVAVVFI SSDAGENSFTVENNHGDRDADKLAAWHGGDGLVKKVADKFPNVVVVAHTVGPLILEPW IDHPSVKAVLFAHLPGQEAGESLAGVLFGDVSPSGHLPYSITRAETDYPDSIAKLKGF TLGQVQDTYSEGLYIDYRWLNKRSIKPRFAFGHGLSYTTFAFTNATIRAVTAPLDPIP PPRPSKLPTPSYPTDLPAASEAYYPDGFKPIWRYLYSWLPKSEADAAWAIGATGKQKY AYPDGYSTTQKPGPAAGGGEGGHPALWDVAWEVDVTKKTTGGVGGPGGLPRFFPGPAR ASVQAYVQYPPGIPYDTPPVQLRDFEKTPPLAPGESQTVTLRLTRKDVSVWDVELQNW VVPGATLGGGGKGPGRYTIWIGEASDQLFLACYTDTGKCEQGLEPPV MYCTH_2300943 MPHQLPHLSQLSHSTGHPSSAQSRPFNSPVDENRGSRSQQQEQQ HELNATVEAWIDHVIKAGGQTIIMPDGPDSLPTAELVVTPRQSNKRQRQASIDFRNDD LDDLETTPRPLQFCRDQTLSDVQSTSTADTNGTSRSGRSSPRKKEVALRRTLDWPIAR VNITELKSIPAMLESLALDLKKIADGRQSLIPDIFRESMSADAGIFDQPHPDWFYTAR DGLEELRAVHRQMRRICRNSRKCKDKMEYTPAWNDLVHCRVLEEALEGQDAVDFRNIT LCHTLKAFHDDDPALRENKADYGIFLQPARGGDGLSERLSDLASEGQADRGFRATRQL GARPLPTAC MYCTH_2300945 MPSVSLQPPLLLQGALRRVTAQLLPRRLPAPTKSTTARTFSHLP SLRPTLAAPSPIFRAPNINSTPSTTTTAATMLASTPTGEVLDVVAKSSVTSHPALAGC GSQIRCGPRPTMSGASRLIQKRRHGFLARKRSRTGRNILKRRMAKGRKKLSHG MYCTH_2300946 MDFLQRLARFLDRPLFPWKKLIIGFSIGQYVFEAFLGYRQYKVL QKTKPPKVLEHEVSQEVFDKSQAYGRAKAKFTGVNGLYGQLQNLAFYHFDVLPKLWSW TGSLLLRFAPARFTGEISHSIVFILAFIVIQQILSLPSSIYNTFVLEEKFGFNKQTPK LFISDLIKTNILAFVLAPPILAGFLSIIKKTGSQFFYYLWLFGAALQVFMITIYPIAI LPLFNKLSPLEEGKLKTDVEDLAKKLKFPLHELYVIDGSKRSAHSNAYFFGLPWKKHI VIYDTLIEKSENDEVVAVLAHELGHWSLGHTTKLFGISQAHFFYIFALFSVFVNNNSL YADFGFSNQHPIIIGFLLFSDILGPLDNVIKLLMNILSRRFEFQADAFANKLGYNIQL ARSLIKLQIQNLSTMDADWMFASYHFSHPILTERLKALNWQPTEKVEAKGGDKTEDKE GIATATGRDEL MYCTH_2300949 MFPQEPDPKGDPERWTTEELRRWLAARNLHPQSSDTRQQLLERV QANMRISRN MYCTH_79440 MPQEIGDIKKFIEICRRKDASSARIKKNPKTQQIKFKVRCQRFL YTLVLKDSEKAEKLKQSLPPNLQIKDVPKRNKRSSS MYCTH_2032845 PVTEFALLHLTTPSPHLPDSIRASLAAATRLQDAWHAKAFPALP SSAVDRAALWFPQVEDPSWLMTTAKWDSVAAHWDWIRSEEN MYCTH_66022 MDAMTTQRASNGAPNSHKGHNGVHSDSEPDSISTEASDATLESV DGVNGITNGTINLKINPRRSMKRKQSSPMMPAFMVSAPGKVIVFGEHAVVHGKAAIAA AISLRSYLLVTTLSKSKRTVTLKFPDIDFDHCWNIDELPWATFQQPSKKKYYYSLVTE LDPDLVAAIQPLLADVSPDKPPNIRKVHQNSAGAFLYMFLSLGSQSFPGCQYTLRSTI PIGAGLGSSATIAVCMSAALLLQLRTLSGPHPDQPPEEARIQIERINRWAYVYEMFIH GNPSGVDNTVATQGKAVVFQRTDYGKPPSVRPLWDFPELPLLLVDTKVPKSTAHEVAK VGKLRNTHPKIVGSILDAIDKVTEASAEVLTEAGFDTQSEADLARVGELMTINHGLLV SLGVSHPRLERVRELVDHQGIGWTKLTGAGGGGCCITLLKPGVDRSKLDKLEEQLDEE HFQKFETTLGGDGVGVLWPAVLKNGMDEDEQGGMEIDLEKFLSAEGNEGVERLVGVHG GGGEREGWKFWRVDNN MYCTH_2300958 MPPNAKVASKPKASETSKPPQSTTTGATPAASTSHTAPPTAINH PALPTQPGNPQTDHDRSQTRFSLSALSRADQVAWLAARIASRLAARPALSSGTTTTTT ATATTAATVARLACGGGPKTLKEVWRVVNERSAPLRAPRPKGRKAVEEEDEEEEEKKK KKKEEKEGLRTGEDEARWERKVVLSCLAVQSCCFRARRERERQGQAEEKTGEAAVVTE QEVFEETRRRREMAALRKELYGGGVGKAGKLAADPEWDDVQPVVLEEPEGALAAIAYP ADYAEAMAYLRAVMQAKEYSPRCLRLTEHIIAMNPAHYTVWLYRASIVFALQLPIPDE ITWLNRVALENLKNYQIWHHRHLLVENYYPTIASDPSAVASFAASERSFLQRILAEDT KNYHVWSYRSYLVNKLDLFNDGDELASIEAMLDDDVRNNSAWSHRFFLVFSNPDYATP GSAATEADPGVPQAVVDREVEYAQDKIRLAPQNQSGWNYLRGVLVKGGRKLGSVEQFA SEFVKGLGEGGEEGKEEVLSTHALDLLAEIYAEKGDKEKADLSLRRLGQKWDRIRVGY WEWRRKCLEATA MYCTH_2300961 MSANSHETNVRCSCEAAKNFVDWYYRQINEGKSISQGYVNGHAA YERAGHPPADICINGLVVSTPQEWEKLLEQQRQAPKQPDPNKRRVRYEVEGHNVHVIN ADYRFGAPQKVLDLHSPTDGVRMMMSVTVFGTVYFGTGKNKGDEYWEKRDFYDSFILV PNWDVIEKPGVRHGRKYLIISHNYRAN MYCTH_2142956 MDNVAGLASTIGNRFVTNLKGSFANMTPEQMIRLVIIVGAYLLL RPYLVKLGARAQMKAHEAEEARAEAAAKAKMSANELRGRVEIPDDTDDEDEASAKASG PEWGKRARRRQREMIKKLLAAEEQRLRESQEELEDKDIEEFLVKE MYCTH_27758 MADLQDEEVQERLKAALWYSIGKIVDQESLQRNRNATPQFIGAL TDMVWHQIETVATDLESFAQHAGRTTVTTDDVLLLARRNQDLYSVIKDTIDKERAAKI KAK MYCTH_2300968 MGRLGPMTSLGLGRSPYDEVVPGEGPEDPRAPRQQYDERGRPIN PETKRINRDVIRSHNEVMMVIGVAEPENSLVDAQAEAAREQQRYEDRVGRTLLLYGGV LNTAAIWGVNGMRQRILLYKPYSQTTFYGMFRMAWSRQSLGPYLFGGLPSFLVSTLLE QLPALGVIKKTPLTRYATAYIRLHLAIYAFFQRTGVVPFRNWLPNWRFFVPGSSLSPI CLPPAPTSFRPRGILQWLGAFALAAAPFAGFYLYTKFYTILTRALRYTIHQRLPRPYN SSKRRRDWAPLSREDPDFDFVEQQTDENPPTTPPTTTTNTTTTTTTTPTTTDPSPSAV LRRQSTISLRGGSGSNNNNNNNNNNNNADQPAPAQDDFASEDEDTDIISATLISFDVE ATDPTSPDAGPHSSGSNAANHHNHTGGDATPGVWSAELRPSLADGSRSGGAAGLPGSR GGGRDPLYRETVLTRLPAILATDVLAITPARLAMLPFAVSVWLGLTRPYMARMGMSLD GVLEGAGRWWGLSSARGLVNMLGLELLLAVMQGEAWAVIMLAADRYRISEEEWRRRVE ARREEREREERI MYCTH_2300969 MATKQAVKTTFEVENVIQPIFTGGSVALENGARILASTLGEAAI LTELNTGKRLAEIEGDGEPISTLTITPSASHLIVCSRSLTMRIYSLKVSPDFDSVEAT LVRTTKPHATPVVVLAVDRTSTLLATGAADGAIKIWDIVGGYVTHTVSGQSVLVSALH FFEIAATAEGTSERKSKKGSRKGQNDQSEADVASKFRLAWGTQDGRIRIFDLHKRTTI SVYADPKRKKEAHESNVQSIQYSPEQHALLSGSRDKTMTLWLWRDGAWQGTPMLRHEL VESVGFLHEGRWMYAAGSSGLLRIWDTTTRHEITAKQDAKSEAEAILSAVCLPHQSLI LCAQSDFTLALYRVPSPADVTSSGSLVLELFRRISGTHDEILDFTYVAPDQSLMAIAT NSEDIRLVSVAETETQADGEEGGAYFGHDVALLKGHEDIVMSLDVDWSGHWVASGAKD NTARLWRIDKANNSYTCYATFTGHLESVGAVALPKVVPPENSEAFKNPLDHPPPFLIS GSQDRFVQKRDIPRQSQKAKASSSLKRLAHEKDINALDVSPTGRLFASASQDKTVKIW DVERLEVQGILRGHKRGVWTVKFAPLHTPAIQGEQGSVSGRGVILTGSGDKTIKLWNL SDYTCLRTFEGHSHNVLKVVWLRIPTEETTTKKRVQFASAGADSLVKVWDANLGETEC TLDNHEDRLWTLAVHPKTNTLVSGGSDSKITFWKDTTAETQAAAASAALKLVEQEQEL ENYIHAGAYRDAIVLALQLNHPGRLLNLFTNVVTGTTPEEGSLTGLRAVDDVLASLSD EQIFLLLLRLRDWNTNARTAPVAQRILWALVRSYPADKFSNLSVKGARGQKSLNEVLN ALRVYTERHYKRMEELVDESYLVEYTLQEMDSLAPPALEGGEQDGGDAVMAEA MYCTH_2300970 MSKRQASEALDDVSADSPASKKSRFDDYTMDVIPASAEDGDDEQ TRPAHENGVVKQDGNGDADEFSEEEEEEEVEEKAPIRQSAPTAGYDDLYLDTIDRNVL DFDFEKLCSVTLSNINVYACLVCGKYYQGRGPKSHAYFHALDEDHHVFINMSTQKVYV LPEGYEVKSKSLDDIKYVSDPRYTRQEVAEFDRKPRTSLTLAGKEYTPGFVGMNNIKE NDYLNVVVQALSHVAPLRNFFLLEDFSSAPELVKRTSILFRKIWNPRAFKAHVSPHEL LQEVSLRSNKRFTLTAQSDPVDFLSWFLNNLHLGLGGSKTKPGSSPIQRIFQGKLKVE SQAITARTDTHDDRLRFEEASEIKTDIARFLLLTLDLPPAPLFQDEEQRNIIPQVPLT TILAKYNGVTSQELNAQRKRYRLVHPLPPFLILHVKRFSRNRFVSERNPTIVTFDPHN LDVSPYVEPDPAYHPPGEPIWYDLVANVVHEAVRAKEDVADSAVGEERKTWKVQLRDK ATDEWVVAQDLFVDKIRSELLYLGETYLQVWERRRGPPPQGPAPGARAL MYCTH_2314567 MDNLWSRRANSSKLSLSTGSGQDSPSGRNSSFKRFGGDSSSLGK HTFGSVTTPGGSLASPIGGASSAFGLGSGAFASFGSAKTPKTPKSPGNPFDAALGAAA KTPTAEKSAKDGASGGKSISKPAFATSLKEAGKTATPSAGPSTHQLRNCWVFWFRPPI SKANGFIEYEKTLHPIAAVDCVEHFFKIYQHLKRPSTLPLVSDYHLFKKGICPIWEDE ENKNGGKWVVRLRKGVADRYWEDLLLAVIGDQFGEASEEVCGVVLSVRNGEDILSIWA RANGQRVLKIRETMRRILSFPPDTKLEWKSHDSSIQQRTAIEESRREKANQHHHGDKR SSNKQHQQQHSQQQQQQQQQQQQQQQQADDQKNPTNSS MYCTH_2300974 MGEFLPSLELPPEAVKNHAMEKITDKIAALPAGQHYFSLEFFPP KTAMGFSNLRGRLDRMARALRPLFVNVTWGAGGSTAVKSLELAEICQRELGLTTCLHL TCTNMSRRLIDKALDDAKALGIRNILALRGDPPRRAEYLDVSEPEPDEEEEFSWAVDL VRYIRKMHGDYFCIGVAAYPEGHADESHPLGQSLEHDLPYLVEKTRAGADFIMTQLFF DIEAYKHFEKTLREHPSGAFKDIPIIPGLMPIQSYQMIKRTTKLSHAKIPEPLMARLD AVRNDDEKVKEVGVDIVSELVEQIKEIKSRTGGPRGFHFYTLNLEKAVSFIVERTGLI APLTPEEAEGAAVDRNPLPDVRFLRINGLTPEQSSHDHPHLRRKPSVGSDPRDRVIVQ ERSASHPDWEATPLEASVPAEPINSRANTLAISEGEGVLGREATWDDFPNGRWGDARS PAYGQIDGYGVSLHVTVAQARQLWGAPATPRDVSDIFIRHLRGELPTIPWSEESFSPE TDKIRDRLVALNSKGWWTLASQPAVNGLRSSDPVFGWGPQNGFVFQKAFVEFFLPSKD WEVLEQKLKRPEMKNAVCFYATNARGDFVSSDTVLPPPPPPTAAAPAEQREASTNAVT WGVFPGKEIVTPTIIEEVSFRAWSEEAFGIWREWAKIYPRGSDSERFLEAVRADTWLV NIIHHDYVDPDALWDFLLG MYCTH_2300975 MPPKERAANFKTYEAQSRLLAALVASLEGHRFDYKKIAQYHGGG VTESAMEHKFRLARAQAELIKVMVANDRDPGDYDIPNLTKAEIQKFFGASTPDGVGFQ FRGIKRGSDVLKAAVENGDDPVDAFARFLRGGSSAAGSAPATPSTTKRARTTKAAAPG SGATPSSKRHKAIKIEPELDDDEDSPEIDYSELDTTPTKTKAKPARSAQIQAKSAPGT RAPTPRHVPIAPAPPRSAAASPAPPSYNLAPIPGVASASLPNGYINMATGLGYNVMAP PTSMDSAAPFTAGSQAMGMAQARRGSAYSTGSYTAASSPATPNMSWQDPTPSPMSNAA SVNNMHNMGDMSLNMGGQYPAVPGTADVTSGMTVSMASLDAATTMSTSSNMPSFNASA VHAAPSPSESSFDMITQPTATSSSATGAATPGMYATAKSTEASQEFLPSQGYGSASRH VAPVNGHSSHSNSGGYSNFDQHEAELAAQVDFGGFEVQDEESDYDDAGEI MYCTH_2300978 MDSIGGLFTTLSGYLTPAFLVLSPVLSYSDQAYSMYRTRTSAGF SLDIPLIMLVASLLRIFYYPGARYDNALLAQSCVMVAMQVVLLKIGLDYRPPPYSRGG DAAVPFARVNEMRRPFDFWQWRSPKPYWQFLLGLLAALVVCELLLAPVPSVYQTYSSL IGVVGLSVEATLPIPQILANMRSRSCKGFRLSVLASWLIGDSMKMFWFFTSTTSIPIA FKVCGMFQAACDSFLGVQYMMYGDGGPASKEHASPTWQHELQSNGFSTASGHTRTPGR RTSTTEKTI MYCTH_2300982 MTGIRAVSQCRFVRLLLLGIARWASVEPIGDWDPKEEPVSCAQT LLGKTGRQNGVVPNSLNCNGQPRCVSFLLFWKFGHRLFRPIQREQATQGGLLGCNTGF FSPGIPRAPRLGRAGTRRRPLSTSQRSAVRAPRRRSQRRLNSSGGQSGACKAQVRFRD YLAGYLLG MYCTH_2300984 MGFTTVLEPRLRSAIPDTPPEVLTPDSASFPLRQFERAPPSPPM SNYEPTVKATDMSSGKGPEESSTAHRESSADRSGSGAPREQLPSLSSLFGPSSQTPLL HSPFSDRPGHYSASSSPLDYPPRPTVGSSSYFQPPGSSASQTRSLLESKLPDRPQIPP LSSMFPRPLSPVPRQEQQRLEHGSGNQWSSVRHDANREYSLGSRDPHQYYQPPPLDRY SSHLPSGRGDGRRADFREQPAPQTPAPTNPPATPTSSVGSEVPPVKDGLGPRIWTGTH FLPRFVRAAEVPGEGMCYFYDDGSHCKTVIDGEAVNAHWGVTKAGKPRKRLAIACVTC REKKIKCDPDYPRCLQCEKFGRVCKFKNAPRGGHNNNAPSTPPADQEEMRRLGSGTAS RPTTESGSNSSTTTTNNNHNSSSNQQYHYPRPDSHSSGSVSPRTTTAHRPASPDPAGS GPRKLARVGYNHYSPTTGRVSPLTPTPEAAQRSTSTTYSWRQPETLPRIHDDVLRRTW QAESRPDARV MYCTH_115198 MAQRTPRFPTRPVAQLLGSNGPVHAVTYSASPGTYILTGSSDRS IRLYNPSSTTPTPSNAASNSITSRSSSNSSSSNGINTSGGSPLSPPPAGRLIQTYNSH AHSVLSLAVSASNATFCSAGGDRAVLLWDVATAQTIRRFGSASTAHSHAGRVNAVCFA GADDSLVVSGGLDCTVRLWDVRSGGGGGGGGGGGAAVQVLRDARDAVTSLAVCGAEVL AGSVDGRVRAYDVRMGRCVTDVFPGSVTSLCLAADGRTVLVGTLDSKIRLMDRRDGAC LRTFSDPAWRNDELRVQSVLGGRERFVVAGDEMVDAPEGTGSDGRVWAWDLLTGKLVV TLSVPWGGSGGENRKRVIGRDGKEKERKNVVSCIAWKEGGFGDQFCVGGTSGVVTVFG EA MYCTH_2300989 MAVARPVRALALTGVVLWCFFVWQLFKPTGSPKSPARVPLLSRE RDPNLDPTGEPEGVLTYASGEYAPGPAGTARINATLLALVRNEELDGMLQSMRDLERT WNHKFNYPWTFFNDVPFTEEFKQKTQAETKAECRYELIPKEHWEVPSWINNDLFTESI KILKEKEVQYADKVSYHQMCRWNSGLFYKHPALENTQYYWRVEPNVHFFCDVDYDVFR YMRDNNKTYGFTINLYDSPESIPTLWPETVKFINEHPEYVHEHNAMNWLTDKTRRPSH NEKANGYSTCHFWSNFEIADMSFWRSKAYEDYFNHLDRAGGFFYERWGDAPVHSIALG LFEDKHRIHWFRDIGYQHIPFFNCPNSPKCKGCVTGRFTDGEAWLHDEDCRPLWFKFV GMG MYCTH_2300990 MAHLAQLGALIDDLVAAVAAIPTSQHERRVACREAVLRSLRSHT FTRTNHFEVEDRLNGLEERFSVVGRDGLAEALRARRDALESCRDQFTPEVLHLLLELA DQPAQKSNLNDLDALVEPEEEAPPPLTWRDIAKEDGWKQEKDIWRFVDYSLGSSDEED VDWQSEASAESLTTASSADDQRHRTAHDLAIKPQGTDLLMRVHDAQGWRHASAVDENG RPKKIPVSTTQFFREALFMLAGLETSLFDTRCSPITKYQLRGVSWESYKALATAFSEC GRKLAPLRAYVENREHSPLLQVFQDCLQEALRSLDLELSSIQARVVAAEEDVVVSLIG VLEELGPKLAPLYVLADIVCQVQEERNPHAFRYLELLYDAVGMAQLRDSRATYTLLGT IFLDCFQVYLKPIRLWMEEGKLLQGDRTFFVLESPTKLPLSQVWKGQFNLLRTPEGNL YAPRFLKPAIDRIFTAGKSIVVLKHMKQHEATKKYQATAEPKMDFATVCPDDLEYAPF GELFGLAFQAWVQSKHHTAAATLRELLFSSYGLSESLHALHHLYLMSDGARSDSFASS IFRHLDNFSNSWRDRFTLTEIAQEAFSACVDTYRLSADIDSRGLTHSALACRNSVRIS LPAIRLHYRLSWPVQIVITEEGIRGYQTLFTFQLQARRALSILRHPIAPLQRRDGGSR GTAGMARYYLLRSKLLWFANAIVTYLTTLVFAPNTARLQADLRSSTSAATTPTSTTPT TADVDDMIATHAAFVSRIVEESCQGAKLRPIRDAMLDIFDLAIRLADVQRAEVAKLEE EEMEISRLSVMSSPFKASPARKGRDGGRKRRSVLDEDGDVDDEDEGGLAAQWMVGKKG GSDEGKPHAVVLKELEADFERHLRFVTSGLRGVARASKEEAAAKWDLLAEMLEAGIKE STTIH MYCTH_2077636 MAIFLRFEPNTLERRLSHRFATPIPEERQFSWIKEIASAASWLE RLDFFHGDLRPENILLDETEHVKVCDFGRAQKRGCKVEAATYPFYRPCKNAAAGPAHE QFAIGSCIYTIRTGEVPYGQWETPEEFKKMYEALLRGEYPPTEDDGVFGHIVSSCWHL NYDSMEDVEAAIQRVVGMLCEEGSAVRLSREEHDFCVRKCQEFLARQRQEYKGSNVV MYCTH_2300993 MSHNEPWPPLPPDVDTTKLEVEMPELAVSANSTLYRVKGQPSTV YKFRGHPREYQLQKAAGDCAIPVRGRVLGKSTVGTGHVVFFGFLMDLAAPIPKTLSLP QRRDIMHQMIRTIQQLHAKRIVHGDIKLENMLLDSRGKLRLCDFAEGRYLDEDERVWE GNSTWHFESPNRLRRGEGLGHRLPPPIIEGDLYGLGLSIWQLYTGRTPHEDMVIDDLG LKERQRNGQTVNVAEVGDPEARDIIAKLLCRGGARI MYCTH_2300994 MSERKVLTKYYPPDFDPSLVGRARKPKTQGPKVQTVRLMAPFSL RCVSCGEYMYRGRKFNARKETPPGEKYLGIQLYRFYIRCTRCSAEIVFRTDPKNQDYV VERGAKRNTDPWKRGLDGGQEEEETVEQRLDRLEREMAEAEGEEERNAMAELEAKTED AKREMAVADALDEIRNRNARLERAQREGGADVLEAVVAGVLRPEEEERRRQEEEDAEA ARRAFAFARRQEVLEEVVEEEEDEGGNAGPGSGSGSGSASAGASTSGSHPASAIATPD VAQPPPPPSFKRQVKKKKDHAALLGIKKKQPLV MYCTH_2300995 MSTSQRTYSTSMPLSPPPPSDLGSYSRFMHQHTKRQMESISQSP PTASQHGQSSPTGLPDGVAGRSRNPNQYTYHQS MYCTH_2300996 MPPLPQPPALPRVITYYQTHHTPSGKPISVLPLLQQPGIGITHV ILAAIHINEDPAGLTLNDHPPSDPRFTTLWAEMRVLQASGVKVLGMLGGAAPGTYARL DLNGNGNGNGNGGDDDGAFEAYYRPLAELIRERGLDGLDLDVEEPMSLGGIVRLIDRL RADFGPDFLITLAPVASALLDPLRNLSGFDYEALEVLRGRDIAWYNAQFYCGWGDCSN PVMYEMLLAKGWPPDKIVVGLLTNPDNGSGWVPWNLLSGVLPLLAGRHPRFGGVMGWE YFNSLPGGKERPWEWAQAMTALLKGRRAVQSLEGLQGSEKPAEEKKTSEVSPEADPDE EQPGEAPPVPAEFEYHSDGGGEEE MYCTH_2300999 MRTDTSLAILASVSGAAAFWRMECRGRVALARLDPLIDPGVPSK HAHAIHGSSGFGASATYEDLRNADCTSCAVVEDMSAYWAPAVYFRHANGSYQEVLQDG GMLAYYFLNPDLKDPSKGIKAFPNNFRMVAGDTNRRNYSVGGLDVKMPDPPKSNWASL GQTSQADLAQRAIGFNCLNYATEPEPSLYRHYLPDKQFLDEKCKDGVRFELSFPSCWN GKDISSPDQKSHVAYPDTVLNGNCPEGFDVKLPGLFFETIWRTQDFVGIPGEFVISNG DVQGFGYHGDFISGWDEDHLQAAVDQCTATSGKISDCPLFTILSEQEQKECRMPTPPM IASEKLSGLIGDTLPGNVAIAYGPEPANHNKPLPDPLPALSSVVDNILPGGVFQEKQT SISTSEEPTSTPTTTPTTTVTPTPSEPPVPEGYEAVRTDYVTNGNVVSKIVVIETVET VMLETATVTVTATRTAEIERREVHLHQHLHHRHHHGLH MYCTH_2301004 MDDLAGLNWSAPNQKPASAATPAQSAPTNPAIPTYPSLRPTPSP FASGRNSPLPAQGSGNAGTKSPAPKIAPDSFGNLLNFGTGKSNANLSLRERQDQLEAE KRKKEEERRRQAQAQYGDGSFLDSLGQTQSSSLRTPSPAISVPSSLQGLDSGTHNGKP ATESDDDLFAAFNASTKVDNSSYFPPPASSNTPSSANAPALDLSNPQAWNTSGAPAGT SVGGGFADEDDDPFGLNQLKSNTSPPPPPAADGDDDDLLGDLAKPVDQVRKKTGPVFA REPEPGKPIEDSSSESEAEPPAPSDDPFDRAVAQLVEYGFTPENARRGLTESGAGLNV QAAVNWLLDDAHRQAKEKQKGGRGAGERSTERGERASSQSRSSTPSWTKEGQSGGGAR GRDNRSPASLDGDFAKAAAAVGSSFLKSANNLWKTGQKKVQKAVAEFQQDGDPSQPKW MRSAQGHGRAEDTGRQIPEVTDEALMLEMGGPPGTRPGKAAPQRQDAGEARLRETPPA LPTRPGKGNQAPRWQQAPQQFMDPRSRLGRQGAEEETFQAYVSPARRKKPAAQPQPEA KPAETDEDLLFGEAAPTSRAAPQTRSAQPSPSPQPSSRPPPPAPRPAAPRPARQIPGV SAIALQNSTRCRLEGTAHFKRGDFAAAHASYTASMTAIPQDHPLTILLLCNRALTALK TGDPRQAVQDADAAIQLIGPGKGEGEYVELQTTDGTPGEKRDMRDLYGKALTRKAEAL EQMEKWADAGAVWQLCIEAGLGGATAAAGRQRCQKAVAPKPASSTPKPASASRAAAPP RPRPSANPQKSFEAVERLRAAHQAAEREGDEKLALADKVDARIAAWRDGKRDNLRALL SSLDTVLWEGSGWKKVGLHELVMANKVKVVYMKAIAKTHPDKIAQDATTEVRMIAATV FSTLNEAWDKFKAENNL MYCTH_2301005 MAQSTAHRRLLQEYRALTNNPPEGITAGPVSEDDLLHWEALIQG PEGTPFEGGVFPAELRFPKDYPLAPPTMKFLGEIWHPNVYPSGLVCISILHPPGDDPN HYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRENRAEYEKKVREGV RRMLGL MYCTH_47844 MPPALALGRALSRGRGLQTRPKPASTCLFCSLARPFPRSAARTT ARTTGPLRLQERTSLAPWIPRLSGRCYQSTESLSSDPRADLRRALLDLQTHAPNHVNL PRLQLALRNLSEPPGRESVRVAVLSVSSDGAGGSSGTNTTTSTAKRLLRLALADPLRP AAAWEARLERRDAAGDGAGSSPLIVRVVGADKAGRDATAGAAGEGEEGREEEEGLRTV VKEHVIPELRVSTPLLRDAELEMLVADAGDALAAAAAASSGAAVDDPVLVPTVDVAAT TAGHVAPIGTPVHLALLVGDGVRGAASILALPVLEGRDVVMGVVNFKGLGSEDAAGCP LVAVNVDAASEGLELFRSDVSNAMKYEALWTEANIGPISEWLRKSALPDGEGVTKAPV RNLVRSLLRSARAAVQGEEARDLAAELRTKVQPESVAHLDRALADWAQSAHQELQQQL DTAFTTGPWSKLGWWKLVWRADDVGMVTSEMVAQRFLPRAEQGLIFLAGRIQEAGVVE GQQGAPLYPGPALPGPSTAEHTGQDSVAPGVVSKWPSHIPFTRHYLQTKTVPALQALA QKLVVQSASLAGLSTALTGLSYLSGFGAYECGAIAALGIVLSCRRLQQKWDAAREYWE GEVREEGRKAIRATEASVAEVLDKAGRTPDPAANRGAQLKELRKAEEIITRAEEALAR MK MYCTH_2301009 MTSSIGIPIKLLNEAQGHIVTLEITSGQTYRGKLIEAEDNMNVQ LKDITVTARDGRVSHLEQVYIRGSHVRFFIVPDMLRNAPMFRSRNVRGRGVGLARGRA TVSRARAGGRGR MYCTH_2125234 MLGLFEGALGIYGQALQPGRIHNTRVTRLSDGEAQPTSDARLMN NMGAQEIDCGKREGELRAAKRTSTYHSCLMSRRCEEACCPTVGSGIHEQAGNGANRPP IGQYSQCRSERASDDEPGTPPFGGTVAPMKSRVCPYCALRLWSIEAHFIGIGSEGGEQ MYCTH_2057781 MPPKSREYYLTTSSSRDFAWFLFKAAGARTQSKKLAPPSPNAVP KARRAKMAEPKLALLPPAEAKARKQAPPQSRPEPAKPRKQAKPKRAGLKSVGLWSDWY VSEDRSYFWRARMSHNETWDYQFTPGYQEPAPADKITPNPSSGESSQPQSPIPEKRPG SSNPNVSQGPASPKSSLRTILTTSTGRPTEDLSASTSRTLVTLPKEVEDSSQSGTAPV PLAAETKKNPSGSGTKSNEARRASSTRSPVLRLLQEGRSRKNRARAEAARSGADNTAG MGMPDAADVTGKRKQHPRAAHAGNGGNGSGAATVVAGNRTSSAFAKKLRAKVKSEKEL KVDPKKRVRTWLKGVEVDWAPIPLDAQGFPIYR MYCTH_2301014 MTRHTPEFLRARSSNLSLRSTALEQSTAMRPDIVIDNTMDAKDL RPEAFTKPYLEFMTENPTVFHAVDYFKQKLLKAGYKELSSRDSWIGKLEPGGKYFTTR NGSSIIAFAVGASYKPGNGVAMIAGHIDALTARLKPTSKKPNTHGYVQLGVAQYAGAL NETWWDRDLGIGGRVIVRDPDTGKTTVKLVKLDWPIARIPTLAPHFGIGMTGHNNRET ELVPIIGLDNGDLDSAAAPEQPLGAPGSFVSTQPPKLVKLIAKQLSISDPSSILNWEL ELFDSQPATVGGLDREFIFAGRIDDKLCSWAAFMALLHAKSDPSEGNIKLVALFDDEE IGSLLRQGARGNFLPITIERAVEALASAAKTPFGPGLLGQTYASSFLVSSDVTHAAHP NFPQTNLAGHSPRLNVGVALCVDASAHMTTDSVSMAILDRVADLAGCVNQRHMIRNDS RSGGTVGPMLSAAMGCKAADVGIPQLSMHSIRATTGSLDPGLGVKFYKGFLDHWDAVD REWRN MYCTH_100809 MAREPGTGVASKKTGVVPLWACNSRFGGIGVVAYSGFFVKHGRP HRGLTQPPRFAKELDQDAVPEWRAKYLNYKAGKKHIKAVTRAINRANATPTLTRKGTE APQAKTPANIFGIDHEFTPNRSSTGDPSLFRCKSAADAPGGNERSGLASSPGSTLQYG SFGPSPSRQSDRNDFELPAPAMRVPSNTGDPVWHRMPLPRSATMADDAARLGRPSPSL RTFRSRGTAPTPRLRVARLFSTASSAPRQTPNRAEIGLQNLDYVRSAERDFFAFLDSE LDKIETFYKEKEDQATERLAALRAQLHEMRNRRTAEIAESRKRREQARSSRRSEEEDA NGRPKDRNRAWIGPLKHRFIKPGPNSEELQKMTRTPVMTGQSQTVDVGRDYVRRPQQA NDVPYRTAKRKLKLAMQEFYRSLELLKSYALLNRTAFRKLNKKYDKAVNARPPYRYMN EKVNKSWFVNSDILDGHLRTVEDLYARYFEKGNHKIAAGKLRALQKRQGDSSDSAFRS GLMIGLGSVFAVQGLIYGSEILLGHEDEDFVEQTGYLLQLYGSYFLILLLFGLFTLDC RMWSKNKVNYPFIFEFDARNFLDWKQVAEFPSFFFTLFGVFLWLNFSRSGNWEELYLY YPVILICISLVIIFLPAPILHHKARRWFLYSHYRLLLSGLYPVELETSSAIWDLFMDF SLLQANAQQRLLRDITALRPVWIYYVIMVLDPILRFSWIFYAIFTHDMQHSTIVSFMA SFAEIVRRGMWTLLRVENEHCANVAQYKAARDTPLPYHLEQFVQRPSLEQAAAAATAT AGAVGAGAALAPAVDDAGRPTTGAAATPSLRHRPGTAATPAAAAAATPSSLRPVTAAT TTMAPTPRSERAAAPQEVTATALEEGAAAAVARSGTFRRRLSDAVGKRSILQAMAEAH KQDFEKRRPTAAEAGRDDRRAAEEVDDVLGSEDDEMEELKSDYDDDDDDDDGNEHGDN NNDGAGHHHHHQHHRQHSLQHHRHHSLQHHRRVHSVGGGGGGGGRGNGSGE MYCTH_2301019 MSQRWPADPAYDDPYARNRAPPMEPASNASYTHSRTRTTSSNTF PAGMQGPPFQPNPYQPPMHLAQPPVNHQRRSASVNTFSTVSSGGMVPPAAYRTSPTLE VRRSTSSRSGGATGSPQPSYVALLRKQKATVWCDRAQHEDPRLQAQQRAAKMRAYREI AGASSGLGLGLVGTGRTATGLSSAGGKVAAKIRHHGKPTVIGYSPNSDFNGVGGVPLR LSATEVEGEESDEDDAAIQRQNHRRTGSSGRNSTSSARRAMPYRSSGGLGPAGGATKW SPGGTPDRTGSLVGIKSSDQSPPADDAASGTAKSFGSGSSNERLDNVPELNGASSHLA NNSKRNATVAREKSVKSVEELKRRGSVDERTMTLTAGRLYIANPD MYCTH_2301021 MATDVQDRDVAGRKRSHDDFLTQDSCGAAFGESEDCKADHSLSD KENNVQCAPYGPLASPKAKSSSSLSEPPSSPLNPNSPSPIPSPAPPAPSTGSAQPSST TGNPTAQKLAGEPPKKKRLTAEEKAAREAEKRKRDEERERKRREKEEADKLKAQQKAA KAEERAAKAADRAEKEQEKRQRAEERERKRREKEEEELRKARSQMKLTSMFRTTTVSK KEPAASNSDQSQAIGATAKGGSKEMSLYDQMFKPFFVKKHVRMASQLPELDEETREAK TRILDEYLLGDRQHPITTFKPLEALQIPYKVRRGRVYPSVRKIMAEFEGLSSNAAGDI SKESQSAQTKHALEALKSVPLKVIQFREDVRPPYVGTISGLPPGVTSLQKLARKPVSR ILPLDYEYDSEAEWQEEEGEDVDDLDDDEEEVDMDEDMDDFLDDSEDVGPSRMVFTGG MEPESIGPSWEDKNRTATEPELCKYRLEFVLQSLEHDHSIDPFSTAYWETSKTKANGN APPTGSTSGTTASNPSSGAQDNARGKPMAPPPAPSDAFQALNSGASAAAPAGNKKSQH PLPPDMQQKLKDLVRSMPTLSKIGVIELFAASNPGCPKGQIKTSFDALFEKDGKIFKV KGE MYCTH_2301022 MPPPALRPATGPAWRGVAPKIARASAATTSAVLLSHRDLTVNDA QKVTLGIIAAYVVAIALLWNLPYIKWILWPFKMLVIAFHEFGHAFACVLTGGRVESIS LDPHEGGVTHMRGGRSAVTLPAGYLGSSLIGALLTFAGFNINASKVASIVVGVCFLLT LWWGRRDWLTILTVLAAVGLLVACWFIAHAEALRFVILYSAWDICDDLILRKVNSSDA SVFAKRYGGSSQCWGVIWSIISLGFMAAGIVAGIAAFRQSASQQREDSQHFIPTKF MYCTH_2142974 MRTYCYTAAIESWPPSVACEQIGALALAAAHLRVARPTDDIDRL LPFYRDGLGFEVLGRFADHLGFDGVVLGHKSAAYQIEFTKLRGHDVGRAPTQDNLLVF YLPDDDAYTKAVTRMEENGFQAVASFNPYWDRYGKTFEDPDGYRVVLANRPAPFASSP MYCTH_2301025 MRLIQPSNLISQSLSRLLFRPPPARRAPRAPRAQPSATLRPKQR PYSTASQKPNSGAPLTTSPTSTTTTTTTTTTTTTNTTASSAATAGADSTRAAAAATTR LTRLLSRLPRPLQRYASRLRGAPLTHVAAFLVLHEITAVVPLLALFGLFHYTDRAPVS SAWVVEHCGAYVREGVGRFERWFAKKGWFGFGEEEEEEEEASSPSYSPSSGEKGKQGS ASRELEGLGTAETEAALERWEADPKYRILVEIGLAYALTKVLLPVRIAASVWATPWFA GVLGRLGRLARMRG MYCTH_2116909 MSLFHALIRTHHITSRKKVAHLRKAAGKLGCYALLRSGGCPGIM YCKGSEEDVKEWVGTVQRLRYKDFQLVSKPAPIDATVAKPEDRTGLFEVASVKEFADA MEKRGISEWFKRGMEFA MYCTH_93007 MRPVRVVLSVYLYKNINLSFSIKKIIGYYDTSTALTSPAYHKTA NQGAYYAILTPKFSTLAAFAPTLAASSRRSNNDFALVTTTAAPNTPTRCVDTNATFIA YVLGTLLPASYARTSVSVRPVPMLIDFGCSYTYYSSLPAERVTYLVRNCNDPTLAAIT IILEEEDKEEDITE MYCTH_2056182 LFLKYYHFIRVYINDIMIFSKIEEEYLKHLYTVYKILDKAYIYI SIAKSFIGYLAVRLLRYIVNSKGIAKTDDRIATFKKLKFPDTLDSLEHYLGIAR MYCTH_2301027 MPFPFSYVCDLLQRLDDNRLARSGVKSNTAIIEEWFRGHHGLLY RDDHCSAPLLSALLPEKRTDRVYFIREKKLQAIIGRALGLGRSRIAELSRWTTPEASA DLADCVESILKQTPNTVSPAHRPVTVEEIDEALHSIAAACRFSSPSVRSSAENRPADK ELSLGSLYQRLSARDAKWLTRLILKNYEPVVLDPRVVCASYHPLLPAILKVQDDLAVA GRILDTLKRDRTVTGTSELADYLKPTLGVKIGRQTWLKGRSIKHCLSLVQGRVSCEEK VDGEYCQIHIDLSKGYHCIQIFSKSGKDSTRDRMALHDSIRKSLQLGQPSCPLKHSCI LEGELVVYSDKDQKILDFHKIRKHVTRSGSFLGTDKDSQAHPWEHLMIVYYDLLMVDN ESLLAVKHSERFRRLERLITPVPGRASLVKRELLDCDRPSAASDLRRAFAKCITARRE GLVLKADDPYFDFGTLRRPYSGCPIKLKKEYIGHFGDVGDFAVVGARFDAAKARTYNI PGLKWTHFYVGCLENKDEVQRFGKKPHFVVTNVVELNAAQLTDFVSFVNPEAIRPEDN SAISLRIEPGLDGGKRPSMIFSTPPVFDLRCFSFHKEGNTGFWSPRFPSVSKIHYDRT FHDAISFAELQEMAAKEKEAPPPPEDSQELLGWIAALENAEPRTSTDGPSQSTTFTGS DSATQSPRASRVVEERAFAARISTADQRADPAGQIRVATAGEQSTLPTSAVAQGPAAP ASPRSDSRNGVSLGAKRRFESSTQHDDSDRYKIRKCSSHQGAPGSPSRDNGQADSSAS QRETPGASPEIPSRGDAGAVLPVLGPSRSSGSPPPTMRSLAAHSVQQADSGSRRDPDR SPLQVSPSFHEAQPQFVSPSLPASSLKSGAPKSGGVGCRSSEQAFEGPTHKRSNETSL AKCHFLGDMCKFRTYSILLSPCIANFPWVTDDLLSGHGVSEFLRDPKDWLRSQDASAT PVGTSASAPTKISTPNTRRKTKIVLVDRRRREATLAFLQSIEAAQLKRRNGEREYVPV YDWRVLEAIRDEERKLYNNKWKPERQFELNHHTSIWRRFWVGLA MYCTH_2059249 MTTDSKLEERGPGSDPEPGADHGAGPDVRAGRWAGLKNILHKAV KFGRVEVRGITPIPVKERTVEKTVNVFTLWWSMNTNILGITFGMLAPAYGLNLRNSAL VILFFTLLTTLLPAYLSTLGPKIGMRQMLQARYSFGRYLVSVPVLLNLATLTGFCVIM AVVGGQCLSSVADGNLSVTVGIVITAILTLGISFCGFTVLHNYERYAWIPAIISIVVA VGTGGKELRNQVTYDAPPSAASVLSFGMIVASYMVPWACLSSDFTTYLKPDTSSTKIF TYSYLGLAVPTILLMTLGSAIGNAIPNVPRWQAAYDQTLVGGVLAAMLAPAGGFGKFL VVLLSFSLLGNLAATSYSVTLNLQLLLPALVRVPRYVFSVVFAAVVIPVAIRAAGDFF VNLENFVALIGYWSSAFLAIVLVEHFAFRGGDCAAYDADAWNDARRLPWGAAALAAGA LSFGLVVPSMAQVWWTGPIAETTGDIGFELAFFVSALLYLPLRWLEKRWTGR MYCTH_2301032 MDTTASGQANNVRASYPANERKDVPIPPGITEAARQARDRQFRE DKKLGDQAAENPELNKDTATSDQANQDQASRLPSGRQGVRIPPGMTVETWLAIRRANL KQYWEEKKLADETAKNTELNKNITISDQTYRNTRFNKNVKWADVFHPAQP MYCTH_2125251 MAAAALCPMITTADQITVTSSNNDRELADAEPSVQPSVKLSASQ DQAKLQHNIGRCQRRGTHDGEDRSPIDDGVTDQGRDDFTQLPRKRRKISISTSTTATH KNSAQAADTSAVHQFSVTTGSKNNNPTPQRDVEVSVTLLASNLQGGPRWERRQLKLLS NFEEWPLDDAVLKRVTVDRVATSPEKEALKEPKAASL MYCTH_2301035 MALSLSPAKLVLLAVHYAVHGDVDSLTALAARHGAVLKKDLLLR ILLTYLPETLPSSRYTDFVRQLDERGLFPDTVPVEVDCSPVEHLAEDDAVKKVRRLRL LPLALPDAPESAPEDALTLFLLRRSYKVDEEAGLLDELPALLLPFMDHSPCVRTLLVS TILPLLRRNCEYYPQEPIPFTLQGFQHLPDRVAVSSLLSRSGSHDADISLVGRDLRGL VGPWLSGEKRWKQGTQGTHGADSSRSAAEADDDLCPGWDEVLRWLMTKASKSWRVAVS AIQQWDGPGDADLGGWGSVEFSDSQREYLEESYARAALASAYLIPEASLEALDGAYTI AARVANLRALDPVSPLASALAVLPPLTEQISDDILSLKNTAQMRNDLLSPSNPLTSPT DSSLAFLQALALSAHILTKAGCPCTIRRAGELALLRDEREQKAEAGKLIYAISSTGPK TDDRFWIKARNEILWLRDWGAEDGWSPEEAACGVFGQVKKDFLEVEILKALLSNTRYT LARSIYEDAPDQPLDRGLLQDTIYATAMTAYDNASNPNRTRGGLKKCDEIIKAFPKTI QQSDPRAKRIEALLQATHSLSGYRLVLKQGEPFTPVVLRVHDDPVSIISKILEQNPRS YTQLHDLLTLGTHMVDAGLTTKTPLTSEKDVARHRLSTERRITAMCINAALAEDDFET AYSYVVNRIAPATTPNSSSSSSSNNATWGDDYSWKAALQAGKYRRGHSSSSSTTTTTT THHQRTLPSGVGSGGGLFSSANADVRHLEQRIECLATALRVAPPHTLQEIVNAFRRAE EELDVLVREEQEREDEWDARGDQLGSGATTTTTTTLPGLFGRPSPASAAGAGAGRAGH HLEAKRSRPSRTAAAAAAAAGDEDAAPMSLFDLSRASVLSAQRNLSALSALHRSTGLG ARLASVGSGLGGGRRGGGGGGGGGGAGRKASEGRGDGASDAGDGRSSMDMPPLSATGS TTSAGSADEEKRVRKRDQLREAAMGTLVSGVGWLVGAPPPSQLRD MYCTH_79373 MATPSSAALMSDMEPPSSPAPVEKSFMTAVTEDDDTRMMATPEP SERQPRGKKRGRDDGGGPNSNPIGKIRHLKKEDGEPLWRKDIQYDFLKAVFDNEQKVF TNSYEKDKIGLQCFADLYIDTMSRSSKTSKVLRDKLLSDRDAAKGMAMVCLLVNIGRM NTTLNSDADRRPVFPEMRAQLRTYHAIPSLQARQDPHAYKQLQDAPRLKSILKGGSDD RPEPGSLDEIKAMNVPRTNPVNLLFLICQSAAKIAELHFPPGQEFHDLIMKTNYSSES RARAFLWLMWFYLESDFTEEGCEENPFGPGVDYGVGVANQGVPRLKALTEEEEAQENV DTPEEIEFGYAKQKMRAKIIEADQQFMAETQSKRGGRGGRGFPAGDEVGPTTGILPRI RPSKNESDLDSVRSTPPPRGFGRQSGIFGSIGRRGPHSLKYQVFDGSSPAADKAAEGV VARKPRPPTAHQLAVERNRAQRVEYILDRGVRRSYHESRKRRRTEGAILRALPRLSQM QDPFEDSEDDEIYTSGKHGSSVTNGDSNKHMRERGYGGLAQLKDERDDFGEQVSSYAA ALRRAVRRLDRWETHVGPKLGVIPPIKRPKVNGARHADDDEMEEGEGDGGNSPSKEMV DPAETEDENEVAAHTPTDDADELDEMEKELLGLGEGDADEGEGENEGEAEGEGEGEGE GEEELDDVDKTPLGADGDSDDSDS MYCTH_2301039 MATAAKIPVIDLSGDDQTQVAKDLVEAAIEHGFVYIRNTGKDIP VEAIDGAFAVSKKLFDAPLSEKQACTIQKNNRGWSAMNYETLDPANQRIGDFKEAFNF GEFVDGKAQQPIPPTIAPDEAQISAFRDLCNALSLKLNTLLGIGLGVSPPDFFTSAHL RSRGPSGSILRFLRYPPRSAITELAPGADDVRAGAHSDYGSLTLLFRLRGQPGLEILL RDGKTWSPVPVVPPGTEDDPAPPILMNIGDLLSYWTNGLLRSTVHRVVFPSGDSPAGL EGEADHGPRYSIAYFCHPFGGTELGPVPSERVKEYKGGLAEGNPYAERKVMTADEHLR MRLEASYTQLYKEGKA MYCTH_2301045 MASTTSNVSPFLDPGPRTKANSLAILQLSRDNLLPVYLQPPTGE HDGYAEGAVVNTRYGSFPHSTMLDVPWGSQIRASKVDTGSRGRKRKRDAADDDDDAGR ETTGTGQREKEESPAVAAVATNENGTAAAAVKKAVADTSGFIHILPPTPELWTSSLPH RTQVVYTPDYSYILHRIRARPGSVLIEAGAGSGSFTHAAVRAVYNGYPTAEGQRKGKV YSFEFHEQRYHKMKKELSDHGLDRLVHLTHRDVYNGGFLVDGKSPEADAVFLDLPAPW EALPHLSRRKPHRPSCSSSSSSSSSSSSSSSSSSSSPPPDADGAAAGDDQAAGWVSPL NPRKSVHICTFSPCIEQVTRTVSAMRRLGWVDIDMVEIANRRLHTSRERVGLNLNFDR GVNNSARDVEEALARLTEIEARTREHAAKPRAASADADEDMDSADDGDGDGNGGSGPV NNNNGGQAPSAAEATSPTGTTPPWMEGRLITRGEPEIKTHTSYLVFAVLPMEWTAEDE AAAAAKYPVGKEQKVIGAVDKLARKQERREQLQKVQGTGGRKARRKERDEKLGEMAA MYCTH_2314576 MFPPQLQPIQPTPAPQFAPQAAHVPPHFLVMQPGQQAQQVPIMQ PQQQQQQQQQQQQQQQQPLMWKANTGRDPNPRFPAPHRNPNQSFMPPPDVMQSIRKQD LRLQQPSHVQSPSPGQGQGQALPRLDAATHGGSSQGASEEVKAANNKTAVASAAVKSE HEEKASGWGKTNGGVSSSEYDGSGWGDEEDGQY MYCTH_2301048 MASSADSPPPPSRPALHVQMPSYSDPSAEADDLTKSKIDPDHLA PDVVPLSPSDSREAANRLHDDLELLRAERVVSTEDRRDRGSVRPRSNTRSHNEGIEDA FNTTNAPTPAVAAGPAKTTWLTKLWRWLKKFPRIFRYVLYAIPPGLIIMIPVLLDLFA YNRKGHPVGGRGGVQLLWFGIWLEVVWLTLWAARIISSILPPIVAFVADTVGSTNHKK WRDIGRQLEFPTALFLWLLAVLVSYHPILDHRVIGPGEDNKTPYVAWIDVVYKIIIAL FVLATLNLVEKILIKWIATSFHLRTYSHRIRENHTHIEYLVSLYAYAKTRLEEQDPAW ASPSSRRGSGQHSSPLKDIRNNARQVLSKVGNAANRVAGDLTGRKFPKGNHPRKVVLE LLRNSESSYTLARVFYRTFVQPGKSTITVEDLFPAFPTQEDAEGCFSVFDKDLNGDIS MEELEMVCNEIHLEKKAIAASLKDLDSVIKKLDEVFMFIIVVIVIIVFISIISNSAAA ALTSAGTFILGLSWLLQATAQEFLQSIIFVFVKHPFDVGDRVTIYGNTGSTMMGDDYY VLEVSLLYTEFKKMEGHVVQAPNSVLNTLFILNQRRSQGLADPINLKLRFGTTEAQIE ELKSRMLQFCLQNKRDYAPRIISEVQTIDEVSSISMNIIFFHKSNYQNELLRLNRHNK FAVELMHQMRDMGLETPRLAQPGGMRDMPLYWTQVQPPPSYQSTTHDAGAPAPATVRK RGNSRAAVVEAGVDFQDVFHNRRRDNSISRLPSIRQSPREEEEEEAEEQRRLRSSGED SNEKRQSMDSASSTQRRSWRPRSRSTNRGSMSNVV MYCTH_2301051 MSTTERTFIAIKPDGVQRGLVGNIISRFEARGFKLVALKLVKPG KEHLEKHYADLSSKPFFAGLVEYMNSGPIVAMVWEGLDAVKTGRAMLGATNPLASAPG TIRGDFSLQTGRNVCHGSDSVENAEKEIALWFNKEDLISWESAQKAWIYE MYCTH_2301053 MHVPGPLSSRWTAYLGLLSAFSAAFAPAVAVKEHDFKKCHQSGF CKRNREFADHALATSSWASPYNVAPDSGSFKDGQYQAVILKTTNSGETVWLPITVSFL ESGTARVTVDEEKRQKGEIELRHGSKARKERYNEAEKWAIVGGMTLDKEAKVDYEDKT QITVKYGPTSKFEATIKFSPFSIDFKRDGISHVKLNDQGLLNIEHWRPKVDKPEPEKK DGDSTEENKAEEAKEEPKGEDESTWWEETFGGNTDTKPRGPESVGLDISFVGYEHVYG IPSHASSLSLKQTRGGEGNYQEPYRMYNADVFEYILDSPMTLYGSIPFMQAHRKDSSV GVFWLNAAETWVDITKAKDSKNPLSLGGKARTNTHTHWFSESGLLDVFVFLGPTPKDL TARYGELTGTTAMPQEFALGYHQCRWNYVSDEDVRDVDRKMDKFKMPYDVIWLDIEYT DEKKYFTWDKHSFTDPIGMGKQLDSHGRKLVTIIDPHIKNTDNYPVVAELKSKELGVK NKDGNLFEGWCWPGSSHWIDAFSPAAREWWSSLFKYDKFKGTMENTWIWNDMNEPSVF NGPETTMPKDNLHDGNWEHRDVHNLNGLTFHNATYHALLTRKPGELRRPFVLTRSFFA GSQRVGAMWTGDNQAAWDHLKASIPMVLSQGISGFPFSGADVGGFFGNPEKELLTRWY QAGAFYPFFRGHAHIDARRREPYLAGEPYTTIIAAALRLRYSLLPSWYTAFRHAHLDG TPIIKPMFYTHPSEEAGLAIEDQFFVGNTGLLAKPVTEKEKTTVDVWIPDGEVYYDYF TYQVIPTVKGKTVTLDAPMEKIPLLMRGGHVFARRDVPRRSSALMRWDDYTLVVTVPR ENKVAEGDLYVDDGDSFEYQNGQYIHRRFVYDGAAKSLSSVDAEGRDAASIREGAWMK QMRSVGVGKIVVVGAPASWAGKKSVKVESEGKVWEARMEFTPAGQGRAAFAVIKKVGV RIGADWKVEF MYCTH_2301054 MKPTVLFTLLASGAYAAATPAIPGYSPRTRGMNPHHHAPLRLLH TFTPISTSGKSFRLLASSTESTKGGAILGLPDNDLSTVRTTIRIPAAKMPTAGPTANN TVGEYAASFWVGIDSATDACGAGGSLRAGVDIFWDGTLGGQQTPFAWYQGPGQADVVG FGGGFPVGEGDLVRLTLEAGPAGGEEIAVVAENFGRNVTRADEGAVPVRKVRKVLPAE AGGQKLCRGEAAWMVEDFPLQGRPEFPTALANFTSVTFNTGITLDDGTEKDLTGAEVL DIQLEAQGGRLTSCEVVDDRNVKCARVVGDN MYCTH_2301055 MASGYGLNGGPSRCFPFWQELLSCYVINGAEEDGAGKRKCVPAM EDYYECLHHRKEAARVKMLQAAYRQSEAKKLQENPPTAGQIRNLGLLDKEEDTKKVLG SS MYCTH_2057586 MPCLRGVELSLTTIPSNEPIPEYPHPEGTSARLLGTLLSGQNGQ ASQRKTGPTVAVYIPINTAPSTPCKYVFFRLYMNGRPIAAWGIDPVVRLKGKVTKSLW VPSELYHGQVGFEGRNFVFLPGQEHKSVAEDGGLIEIQVFRARERRARAPRLEEFRHR ESYGIARSFRSDNTDEAVFHERSRGVTVLGNLESAGYHLKSPPELFPVISSNAKVPQP SKALRDAYLESYLQRPLPQLPSEEPNRRSRRSSAASATSATSATPSITPSLLRDLEED SLDLEEVDIGIARVVQLAPSESATDLVGDENSGSVNYSVSDYETSPKSQGDSFPVEER MSPGRYLPTTGSGLDRGIALFTPPKRTVISSEPKNEESLPGCFASHHHLPCFETAALG ELERRGARRSPNRAGHVDVAARPESSPQAGKGHGKSLFAGLRRKKSIGSPSKLGLSRP GNGGSIVQRATGSRIWPCPGPDD MYCTH_2301059 MGNQSHIERTAHEPRDTSLHTLTISRITQFSPTVRIFRLAIPPD DNITFLPGQWVDLYPPPEAGVTKPGGFTITSSPSAASPRRRRRHTPDPSHDPNHPLQP PVGNVEEDAKEGDEGEGKGEASAYIELAIQHSPQNPAAAYLFLPRTRLLHSPVRVRVG GSFVFPPALPPPLLSSLRKAVFVAGGMGINPLVSMLVWIGEQAAAAGGGSRWADLEVE VLYSVRDPRGGEEEQDSGAVSGSGILFLERVARLFRSGRVKGRLKLFLTGGVFAGSGA QEAERQGVVSCNGGADLVPFSRKRMTVRDVQEAVGKNKGATVIYICGVPRMTDDFVEA LVSPEGFGMDQKRVLFEKWW MYCTH_2301060 MKRPRKRLLDETADGPDELTDGTRGKQAFSSNAGQPSGVPPMVD APSLSKRGDMKRTNWSQKAGTDTAPAGVSIQAAVCEPNLRCIAKEDQPCFFLRPSGME LRVFTRDGDEAEPCDWLKITNKAKLLAYHPESSLIKISQAMDPSALIGGLMMLKFRSK SDVSWVVNWARDNLGLRLVQESESHRLHMTWDNLNSRISQAGSQASTRRLPNGNSHPQ PRAIEKSPKANAVLPEGASPSTSTSARTPLRRQMQVSVQQSSTPRFQIDISGEHASHE PRSLRSGRTIRQLEKLDSPPLPTFRRWSDENSDWAKDWETPLIVRRTTVDKEDIPRLD EGQCLNDNLIGYGLRYLFDEHKNTAEGLRDRVYLHNSFFYEKLKAPRGAINYDGVKNW TAKVDLLSYDYIIVPVNEHYHWWVAIICNPGKLDPDSRRLPAKRHSLSDEQDSKAEGE VPEVEMTDVTQKRPSPSPEGSSASGVDLIKSDIVDLVSEDKHVGTGQSPSSKGKRARK AKPGPKLLNPDDPRIITLDSLGSTHTQAIGHLKKYLLAEFEHKRHKVITETPPNFGMR AKRIPEQNNLCDCGIYLLGYIQQFVENPDRFIQKLLREEPLEWDFDPSHLRQLWRETI LSEKRSQRKKQPASQGSRCSSTAVSTPKKSTTPSRHTSPETSENRPNGASEANREAKD GSSELPASAKAAESPAKPITLEPEDRNEPQHPPRASSNEQPQPSAPSSSIAAQTDCAN DGDVLESIESSEPAEPEDPTFIRQLSSSTSTKSADDGAGLLEVDSKESKSPARQKRAT YESTTKGQDSVPKSCFPHTSSRFVIDSSMDLDPVVEKAEMVREPDSIDLTSE MYCTH_2301062 MSSFFTAPGGQKKRKRTAAAETTPKKRLATTKTSSSKPSSKGPA NAAAAVPKKKKKKAVERDESISGSDLESDESEDEVRDRSDSEADSDESDAGGETAAEK RLRLAQRYLEKTRKEVELEDEYAFDAEQIDRDLLAERLQEDVAESKGKVYRRLAAELN FGEASHTQFRWNSGSVTSVAVCPPYAYTTTKDGYLTKWKLQDLPKDQWPQTTKRKPKK PPAPPKRRPERICFAKSNPSKAKDKTYQGHTGIPLTVRASQDGKYVVTGGADRRLVVY NAADLKPIRAFTQHRDAVTGLAFRRGTNQLFSCSKDRTVKVWSLDELAYVETLFGHQD EILDVDALAQERCVSVGARDRTARYWKVPEESQLVFRGGGGPGEGRKNRLPPGVDPAS AAHEGSMDRVAMIDDDIFVTGSDNGDLALWSIQRKKPLHVIPRAHGIEPPLKPSKASA DEVPDPSVVPAPQPRGITALRTIPYSDVILSGSWDGYVRVWKLSEDKRKIETVGVLGG ASATSPAAAATTTTAATTAAAATTPTSNETRDKEKEELNRGFLVRGIVNDIAMFERGD RGRDGLCVVVVTGKEMRLGRWKTMREGRCGAVIFEVPKVVSKKQNGDEQNGRLTGSEG DD MYCTH_2301064 MEVLLGITGKDFTLIAASKAAMRGATILKASDDKTRQLNKHTLM AFSGEAGDTVQFAEYIQANAQLYSMRNDTDLSPSALAHFVRGELASSLRSRKPYSVNL LLGGVDPITHKPSLYWLDYLASLAPVPYAAHGYAQYYCLSLLDKHHHPDISLDRGLEL LRLCADELKRRLPIDFKGLIVKVVTKDGIEEKPFDVDEVVKGT MYCTH_2301066 MADQLSSGMGNLSLDSAPQATQLGGQQQQPATRSYIPPHMRNKM AQSGNNPSMNGPGPQGSVGGLNNSAWAGNNNYDARGGNWGGYDSQPQSWGSRGGGGGF NRHSYRGPGGGGIGGVGRGEGRWVDGKHVIGPADPRLERELFGTADDPSKQHTGINFE KYDEIPVTPSGRDVPEPVLTFSNPPLDPHLLSNIELARYKIPTPVQKYSIPIVINGRD LMACAQTGSGKTGGFLFPIMHQSFTQGPSPIPPQAGGGYRQRKAYPTTLILAPTRELV SQIYEEARKFAYRSWVRPCVVYGGADIGSQLRQIERGCDLLVATPGRLVDLIERGRIS LVNIKYLVLDEADRMLDMGFEPQIRRIVQGEDMPPTGQRQTLMFSATFPRDIQMLAQD FLNDYVFLSVGRVGSTSENITQKIEYVEDVDKRSVLLDILHTHAGGLTLIFVETKRMA DSLSDFLINQNFPATSIHGDRTQRERERALELFRNGKCPILVATAVAARGLDIPNVTH VINYDLPTDVDDYVHRIGRTGRAGNTGIATAFFNRGNRGIVRELIDLLKEANQEVPAF LETIARESSFGGGGRGRSGGGRGRGRGGNTDFRKYGGGGGGFGGGFGSQHGSGGGFGG GGYGGPPNGGYGGYGGYGGGYGNPGGAGGQLWW MYCTH_2301067 MARRKASAEAPAPRASQKRDLPDDPYEIPDEAETPRRRRRPGAA STVSANLGEDSLEEEGVRSPLNSTPAKRRGRPPKSAATGTPKAHATTPTARRQKQPTE TPVRLNGTDTPSRRSIADRSARRKSARALIDRVIGGTVSDDEVEEGDIAREIYESSED EEAEADGQAGEGEEEEEEQEVQEPTTPSRTPGRKRRTAGRKRSPTPPRDLPPHEQYFY QNKPGLSKTSNNTLSSLNLLTHEEYFSILRQLKDPHAADVDFLRSLHAESFPQWAFEL SQGFSTCLYGYGSKRRLLHDFASYLSSLDPSRTIVVINGYVRTLTARDILTTLTSALP PSLAPANTSNPAAALQALLANMTAASSAPATTTASSLTVLVNSIDAPPLRRPALQAML AQLAAHPRVRLACTADTPDFPLLWDAALRASLNLLFHDCTTFAPFAPCELEVVDEVHE LLGRRARRVGGKEGVAFVLRSLPENARALFRLLVGEVLVAAEEHGDGCGGGAEEVAVE YRMVYNKAVEEFICSSEMAFRTLLKEFHDHQIITSHKDSIGTELLSLPFRKEELESIL EELTS MYCTH_2116929 MNLNLSGSLNVFRLLAKPSLCLPHATVATFDDLPIPLNKAFSKN VKQPDIRAVVLDKDDCFAIPDHNEVHEPYKKHRFEALRAAYPGRRLLIVSNTAGATSY DVTGRLASEVEKSTGVSVLSHAVKKPGCGEEIMSYFRQHPETGVTSPHQIAIVGDRLA TDMMLANMMGSWGIWVKDGVVPLHQKSIFSKIERKLGPYLLSRGYSAPDPSSPFE MYCTH_2108831 MGGKVWSREEEEYFWLRLIPHSPKRLGDDMFFNEEKDWGWVGEM MTQYMGNRARRKYTQLCVFEHYFLNASLSRFSPNVGNLCARYWRYEQHQLRLRARALR IQQEAAQSDSEANASGESSTSQAESPIEVNSVVDQDTPIVINYEGLFVTQQHKFRMDM AVPLWGYGYRHC MYCTH_2301073 MARTRGQAAAQKGFASPAPKAQEPQGIQLRKGASQRAESNRRIR PSAIRETPSQQDPPALQSKGRKRKQRIEPGAARERRGPKRQPISPTRPAGDTIGNWPS QTVSTMNRLLARKKSTLSRKRSNSDISKTPSDQKPREEKSAQYRDQRYETLLQFNGSY MTKAPGGLASASQDLCRSLLENPQPVPGDTLFQDDIFETTCEKIRNKNEARVIQDISR LIVPSAESLATFGAKHLDILIESVNEGWSNSMPLTGTRPQPDYSVGFRREAFTKDQLA KLSPCIGDLIAGDASPVMATYYMYFPFLTCEVKCGTAALDIADRQNAHSMTLAVRAIV DLFRAVKREDEVNRKILAFSISHDNTSVRIYGHYPVIAGNDTKYYRHPIHKFDFTALD GKDKWTAYRFTKNVYDIWMPEHFKNICSAIDQLPLELDVDAPPLSDTTTGLSQDLGGL MQSDAGSVSQDSQSSNARQQGRTPDTSFTVPGKRRKG MYCTH_48078 MALDKAYPRLEVPIFGPDDGLLAVSLGARRLELNRAGSYALGGT TPTLSELAALLSSLGASDRRPRPAIRIMIRPRGPPKSATSTIIPNNTAIITTDDTGGD QASDRAPEPTTTPRQRPDQDFLYTPSELAAMAASIRAFAASGLLSPRHGDGFVFGALR LGDDGRRLELDVERNRELVSLGEGEGERPPSPRLACVLHRAVDDLLSSSSCLGGDDDN IEGVMRSVRECGFDGVLTSGGRGPALGNLEGLKGVIGSGAAAAAEAKGLEVIVGGGVR RGNLDALVHGLKRGTGNDALGEMVWFHSSCLGPDGRLDEQEARALAEQLWRSGVVLTD Y MYCTH_79335 MLLKANAAALCLAASLSAVTAQTFQRLGTCPDLGCVLPPDQQDF LPGQEFDIRFEVHAPKNGSEAFNNGVPDEKFTATIAKDGHRPKSIADFFDRSEPKLEK WTFSWYEDLFAKDANTPSVVNVASKVYRRVALYEPGEYTVTLKYYNGKTTTAKWTVRA LATEKKAKNVIFFIGDGMTTNMITAARLLGHKTVNGRYQSLLAVDEFPVLGHQMSHSI DTFITDSANSASALYSGHKSSVNAMGVYADSSPDTEDDPKVETIVELLHRIWGSAWGA VSTAFIADATPIALTGHTRRRSNYGILIDQALHGVSNYSWTKTDGPDAYFGGGAEQFI PGPGSFKGKDYYAEFAKKGYSVSWNKTALLAAPNNKKALGIFCKSNFPVWLDRNIFPD NLDSLDNNPSGAAGPAKDLPGLKDMTLKAIDILHERGGKNGFFLMSEAASIDKQMHAL DYERALGDLLELDDTVRATIAKLKELRILDDTLIVVSADHGHGFDVFGSSDTKYADAQ KDDRAKRNAVGVYENSGLSQYTQPKEGVSYGTGPNFPLNWDPRYVIAAGMGANPDHRE RFGVGREPRTPASVGAGGEVYVNPADRPDGFVVNGTLPTDQTQGVHSLTDVPVYAMGP CQETFGGTYNNIDVFFKMASCLGLGQPRNRTRADAGHGGTKPKS MYCTH_2301076 MERFLRDWRQDALNKAQYESAIFIGDKLLAMTKDENDAFWLAQV HFAAGNYTRAHDLLNKHGLIGTNPSCRYLAAHCLIRQNNFADALALLGEHTPTHLFTS NAKRKTHRTAGRGTRAGSKNLDRQPDELSEEEWSVRRYEAGMCYLRGLCYAKENAFDR AKEAYKDALRIDVQCHEAFTQLVRNSLMSADEEAEFMQSLDFGAVRAPRGGDDPELRS EPGDYVHMLYQTQLSKYGNPRAFNVAVESLSTHYGLEDNADLLLARADLLYTQCRFKD ALAITAAVLREDKTNFPAYPVHLACLYELKRTNELFLVAHELADHHPEHACTWLAVGT YYLATGKIADARRYFSKSSMMDATFGPAWIGFAHTFAAEGEHDQAVTAYSTAARLFTG THLPQVFLGMQNHAMNNLTAAEEYLKSAYQLCRADPLLLNEMGVVLYHQDRLRDAAKF FRQALLVADETGADPHAWLGARTNLAHAYRRLRLLDEALDEFDAVLRDGGKNAPVLCA KALILLDKGLPDEAARVLHEALAVNPQDPVATELLNKALEESAAAGDLAVLMGGLRTG EAGAEGEPDDDDDEGGRGGGGLGGGGADDDEELARFELELEEKKAAAMRRLERRGRWS STAGLGGGGGGRGKGKAVMRGNARGGRAAGAAEYEVVDDDDDDDDDDKGGEDVMMDMS DDY MYCTH_2301077 MASVEQEAASVPRKLWEHPDPKSTPMYRFMQEINSKENRQLKTF RELYAYSLAHRTSFWSHVFRSANLIYEGSNSVVVDESAPIDAVPRWFEGVRLNFAENA LFSSASPAPPDDGGGDQAARRSLRHKEDDKVAITEVREGGSEVRSVTYGELRRRAGEM ARAMRAHGVGRGDRVVIVGANSVETLVVFLATAWLGAVFSSSSTDMGVKGILQRTVQV NPKLLFMDDAALYNGKVVDLRDKMAEVVKGLSECSNFEGVVSIRRFEQARDISRVPKA RTWASFLAAANRNAPLPEFVRIPFHEPFLICYSSGTTGIPKAIVHSVGGILINTYKEG VLHEGLGPDSVTLQYTTTGWIMYLASCNVIIYGGRTILYDGSPFQPDATILIRIAAEQ KATKLGISPRWMLEVAKSGLRPRELADLSSLRTVTSTGMVLSDELFRWFYDVGFPPHV HLANISGGTDIAGCFGIMNPLEPVYVGGTQGPSLGVHVAIYDSLLPDGAEGKEVPPGT PGELVAVAPFPNVPCFLWGDKQVPGGGGPAAPGTKYHSSYFARFPHVWAHGDFCVMHP VTGNITFLGRADGVLNPSGVRFGSAEIYSVIERRFSDRVQDSLCVGQRRPQDSDESVM LFLLMKPGAKFDRALANEIRQAIAADLSKRHVPKYIFPTPEIPTTVNLKKVELPVKQI VSGRIIKPSGTLANPKSLDYYYQFAKVEELVGPKEKL MYCTH_79327 MASSLIEDVVRKLSAPETEPRVKVEAASALRDSLDHYTTGPGYP IFLKRVMPTFISILRQPCVLQTSTPDQANAQKLRNCVLEIFHRLPTAPSPPEPFEPYA EEVVDLLMQLVRNDNEDNAVLSVKVISDIMRHQHKIMGNKVQAFLSLIQELFEQLDKV VREQLDNTAAPTAPGPPSTPGSTQTTFMPHQQSPRAASPVATGAPDFSADASQQSNRT LLKGMQSFKVLAECPIIVVSIFQVYRSSVAQNVKAFVPLIKQALLCQARAQDQAHKDA AARGTIHTGVSPNIKNRAAFGEFITAQVKTMSFLAYLLRQYSGQLTDFLPSLPEIIVR LLKDCPREKSGARKELLVAIRHIINFNFRKIFIPKIDDLLDERTLIGDGLTVHETMRP LAYSMLADLIHHVRDHLSPEQIRKTVEVYTRNLQDNFPGTSFQTMSAKLLLNMAEFIA RMPNKVDARHYLIMILNAIADKFAAMNRQYPNAVKLSKQYAQQAAEGAPETYLPDKEH PPEWDEIDIFNAMPIKASRDRAGDPVVDNKFLFRNLMTGLKNTFYQLKSCNQEGVVDL THAPAHWKDVAYGFTAEEVKVIIKLFREGAYVFRYYEIEKPAAESPYSSPVEYMANFY MISSSKEEKELLETFATVFHCIDPATFHEVFQQEIPRLYDMIHEHTALLHIPQFFLAS EATSPSFCGMLLRFLMERIEDVGSADIKKSSILLRLFKLAFMAVTLFASQNEQVLLPH VVDIVTKSIELSTKAEEPMNYFLLLRSLFRSIGGGKFEHLYKQILPLLEMLLDVLNNL LLAARKPAERDLYVELCLTVPARLSNLLPHLSFLMRPLVVALRAGTDLVGQGLRTLEL CVDNLTADYLDPIMAPVIDELMTALFDHLKPHPYSHFHAHTTLRILGKLGGRNRKFMT DALPVTFQQYVDDRSSFDVRLIGSKRDRAFPAHLGIELAIQKLMEVPKPVKGQLPSPA KQYDPYYKRQALNLIIAQVKLRVGYENLPDDLPRLVRLQAQDLINRNKDVDISVFETS ERERSMAKKHDEENLLKRLLKALLYAQSIPDFKAEVDALLLNLARHFTIIEVGRALVE MKKAYSPFDTKLGEGPLFVDNRVLSDAILESLASENPDIRDAAERLIKEMYNSAVIIF GSPSHVARLSFFNSLAATFCHGCYEEEWFTKTGGTLGIKALLTEVELGDLWVAAKQIE FVRALMYVIKDMPQDLPEKTRRSAQVTLEILLTRLTKNVKKADCIFAPPAAGTQPPQP SPKPSRIAQIVMMLNGELSHMNRHVRDTARRSLELIAKAAGAEVWELLEPHRKHLLQP IYAKPLRALPFAIQIGFIDAVTYYMSLKRGFVAFDENLNRLLMESLALADASDESLAG KMLEFRTHNFIVNLRVACIKILSSAMSFDEFGSGPNNPTRLKVVSVFFKCLYSDSQST IEAANDALRTVEIGARLLEHVKLIAEPNFLQQVSFTFFEQHNSLKVIAAVFNIFHLLP DAAKQFKERVIDNVLDLEEKLRRTHHSPFRLPLYKYLNKYPSDVWAFTLGKLEELRYG RFLSQVLRHPDSQVLRDYGAANVEFIIRTCNNIVTQGKETKFIAIVNTINMLDALCQF PGTLSWLDNKEHIDWLKQVGKELERNLKANSLPPVLRLPAHQASEQLMTILTKALGRN PGDLDSLFALIEGITADDFRETPAVLSHIYKHIICSDSIDFWKTTVLRCLDIYAGKSA SQRTKWYLLHNIVNPIVAMDVMRHWNRGEPGRGPRFLDKAIIDSINTKIWKVNLPDPQ EDLLQPRIDHTRMEVLQLSAMIVKYHHTVLHDARKDFIKFGWTYIRLDDVINKHAAYV VIGYFIAHHETPAKIVTQIYFSLLKTNQNEGRALVTQALELMAPVMPKRCNTALTDRN PVWAVAPRRILAEESQNVQQMTCIFHFLVRHPDLFYHTRDKFAMLIIQCLRKVASPPN PSNESRKLALNMMWLIWQWEERRVEGKMSEPLRAASESPNTKKRKLEDQQASSPSAVR QPEKAEFQIPAVGRQKMIKYLVEFIAQLNERYPLPSAKPRDPALPVLPSQAPDLCKKA MTLLYNLLQPQYWGDLDVDLFPNVTDVVLASEKSASLLAADPSDTDKVDDKFITNIIN TLQVVRIILNFKSNEWILKNMPQIQKILEKCLRSENPEIQDCLHVADREYDGDRDIKP IVKRILDAVPEDVPMEDADADGESETQTSEIITFFSSIATESMAASNYVSGINLLWSL GSRKPSAIDQHIPSIMKSLQSKLARDHVSHYAALAHQASGMRAQQDPNAPTEMNPYDL EIQTNLILKAIEVTAMRMDILGDNRRPFLSVLATIVEKSLHVRLCERILEMVEGWVFR SEGTWPTLKEKTAVLHKMISFEHRQDPHMLMKFLRLVLRIYEDPKITRTELTVRMEHA FLIGTRALDVEMRNKFMTIFDKCLSKTASARLAYVILGQNWDTLADSYWLAQASQLLL GGVDMSPVIQLHHEDFRTLQLSQLFGPYTKDNREPTLISDDKYESFMANHRRFMAELG DVRVRDILEPITQLQHIDPNFANELWVTLFPMFWSATAKEDRPDLERGLVALLTKDYH SRQMDKRPNVVQSLLTGAVRTWPHCKIPPHVLKFEAKTYDAWYTALYQLENSAIKPEI DSATVRESNLDALVDLYASLGEDDLFYGTWRRRCQFVETNAALSYEQHGMWEKAQRMY ETAQIKARTGVIPFSESEYMLWEDHWVLCAQKLQQWEILQDFAKHENFQDLLLECAWR NPEYWQNQENRDQLDTLIKGVMDAPTPRRSFFQAFMSLLKLHNKQESMQDFNRVVDEA IQLSIRKWHQLPKRLTAAHIPVLQNFQQLVELHDASVISQSLNSTNANNLDVKSGELK LLLGSWRDRLPNMWDDIVAWQDLVTWRQHIFGLINATYLQLVPAQPQNPGGVSFAYRG YHETAWIINRFAHVARKHALPDVCISQLSRIYTLPNIEIQEAFLKLREQAKCHYQNPD ELTSGLDVINNTNLNYFSAPQKAEFYTLKGMFLEKLNQKNEADSAFGTALYFDITAAK AWAEWGYFNDRKFKENPTDLAAAKQALTSYLQAASSYKNHKSRKLIARILWLLSLDDA NGTIASGFDDFKGEILVWWWITFIPQLLVGLGHKESPRVQHVLLKIAKTYPQALYFQL RTNREDMLAIKKNQDAKERARRAQAQAAANRPNASPQLTKKDPAAAGNSDSRPATANG DASGQVKTEAKPEGEAANTPKAPPPATNGTAQGEQTGGGGGSGGPQKRPPWELTEEIM SVLKTAFPLLALSMETMVDQIQKHFKCPPDEDAYRLIVALLNDGLAYVSRMPTSYARD VKLPSATENNITRFAETILPSHIRGTFEADFVKVKPTMYEYIHNLRKWRDKFEEKLDR RASPVPLESFAHYSPHLSEFRYQKFDDVEVPGQYLQHKDKNQDFVRIDRFLPNVELVR TIGASHRRLQIRGHDGSVHTFAVQHPAARHCRREERILQLFRQLNQTLASKKESRRRD LQFTLPLMVPLAPHIRIVQEDTTYITLQGVYEDHCRRHGIQKDEPMLYTMEKLRGLAD TKGPKPAEHAATARLEVMRAIQEKFVDNTVALEYFQGTFPDFSEFWLFRRRFSYQLAA LTFMTYVLHIDKRYPHKMHIARGSGNIWGSELISFMAANRPYFHNQEPVPFRLTPNLQ TLMGPLATEGIFACSVMAIARCLTEPEFQLEHALTLFVRDEMMYWFTSSHRSVALTEN QLRETVQANSDMIVKKAVSLAQAPAGNLPAHQTVIDLIAKAVNPMNLAMCDALWMPYL MYCTH_2301079 MFSLKFFILAGGLAVLTEAHIRLVSPAPFTNPDQGPSPLLEAGS DYPCHNGNGGGYQGTPTQMAKGSKQQLAFQGSAVHGGGSCQVSITYDENPTAQSSFKV IHSIQGGCPARAETIPDCSAQNINACNIKPDNAQMDTPDKYEFTIPEDLPSGKATLAW TWINTIGNREFYMACAPVEITGDGGSESALAALPDMVIANIPSIGGTCATEEGKYYEY PNPGKSVETIPGWTDLVPLQGECGAASGVSGSGGNASSATPAAGAAPTPAVRGRRPTW NA MYCTH_2301080 MKRTYLAFQRNGHLSTLQVVVTAVRTVASLEESNRSLFKGARDD DHVVVTDSTIFHPQGGGQPSDVGAMTAEGEGEGGARFDVHMVRMSAVAQGEVLHCGRF ANPSAGLFRPGDRVTQRIDVEKRLLYSRYHTAGHVLGAAVRHLLEKEVEGFDETKASH FPDSAACEFQGLIEARWKDAIQSKVDEYIDKDMAVEIEWWDEDDFRANGMGRQIPDRA AMGLADDEKFRVVRIVGAETYPCGGTHVQSTKLCGRTMVKKISRSKGTSRVGYTLP MYCTH_2125279 MTVEGSAKSDDGTHCAFFYGTLMLPEVFYSVCYGSKNVPEAIAK QHTFQPAILHGYCRRRVRYADYPGIVEDKDHDVFGTFATGLTGANMAKLDYFEGSQYV RKTVTVRLLDKVGNLKGEGNVEGAEREAQVYVFQDKQDLEEKEWDLEEFRREKLRFWT RAGYVFEDCDPNDTAKVEGTS MYCTH_100777 MSEALVDEIEAINSIFGDGTLAREQDDDLSVFVLTLPGDTASLR LQFPPEYPDVPPAVLGTHSAGSAGKRGAAARHLALFRDAVGEVYEPGQVCLFDAIEKS KSTFVARCAPVTSPSQAADFLAHLLATDKRVRTATHNITAWRIRGPNGTSFQDCDDDG ETAAGGRLLHLMQLMDLWDTMVVVTRWYGGQKLGPRRFALINQTARDAFVRAGLVKEE GAAGGAGGKKKGGK MYCTH_2116960 MTAQVSFLDGTLSLIHIPLDLYSSLLQPILKILLPPSQNPSQRA TGGWTIEDHSHGFLNISVTPIECSIVCNSSWASSVFEPAIHRLPKDQAKTVAISRDTY VALSVYGTDMDAGSRVAELTSPLALANIPIFFITTYYSDFILVPTKDREAVVKTLLAR NFVFSDDDQSRLVSANPSPTSTAHHLGLGTARGRAPSNPESQADTVSDLQQRTFDLLK RRHVTPRIEPGLTLVQCSGIRGAVTPSSHPGRSRRGSGAPNGGCGGGGGNGSSGCGGG GPGWVDTVDTRLYTGIVAALAAQPRFLSVTLAQDDPPSLLVDKALLKIFGSALVGPME GALVPIFLDLADLPFEATGIVSGVAGRLVRELNAAAGSGGNGSAGVGVGIGLGAELSY LSTARAGAVILGREQAAVALGVLKPLLAGEEGSEGPS MYCTH_2301086 MSQFATRPDRPLPYSDEFSSADEYVEGLLHFAAHSDLFRFLCGG VHILDFFTTEPGLFAAAVPKDWQDYLLQTKPIDLLDFLMRDDLSSIAPVPGSLTPPES LVRYVKDVRRFSLQRSFRPSRPKLPVLPRHVALGMKTKKIHEVTHFAGYIDRLAEDVA RVTGAEFTHFVDFGSGQNYLGRTLASPPYNKHIVAVESKEANMAGAKDLDILSGLAER EKRVRNKKLYHRVRETVDPSLLKDEEALKRAAKELGAPDEDINTIDLRSRKELQATYT TEEGKGTIQYVVGRLEHADLTDVLSQLRGRENGVRQEDLRMMAISIHSCGNLSHYGIR SMIMNPCIRAVAIVGCCYNLLTEKLGPPTFKPPFSRPTLQPLNARVVRESERRDPQGY PMSERVSTYGGDGIRLNITARMMACQAPQNWTDQESDAFFTRHFYRAVLQKIFLDRGV ISRVFHGEETDTESPFNSSTNPVVIGSLRKQCYTSFTAYVRGAIAKLTTNPDFSQYSQ TIEEKMGNITDEEIAQYEEAFRDRKRELSAVWSLMAFSACVVESLIVIDRWLFLREHS DIVRDCWVETVFDYRESPRNLVVVGIKK MYCTH_2058620 VFIFGVNFNENKLVKKALESFYALGPQTSARILAKYCIHPRAKV GTLPPKTVTALTAELSTMTIENEARKIVQDNIKRLRDIGTYRGRRHAMGLPVRGQRTR NQINTARKLNKVERKG MYCTH_2301088 MTSVTELPGPLARMGQKADHSSGQELRARRRSWVARAALYVVLP LFLFAWFVRSAGYSTCFGHGLPFSSTKHRSIEARVKRILRHNPLIDGHNDLAIWIRAY YNNHIYNETFSKPFAEGGLGGHIDIPRLRAGLNGGAFWSAFWPCPANGSDYTDENYHR TVQQTLNQIDLLTRLQQAHSDLFLPPTLTSRQALHRFRHSHGRRLISPLAIEGLHQIG NSAGVLRQLHALGVRYATLTHNCGNRFADAALWEHPAPRKAPPVWGGVSPAGRALVRE MNRLGVIVDLSHTSADTMRDVLGGGRDGWEGSLAPPIFSHSSAYALCPHPRNVPDDVL DLVGRRNGVVMVNFNPGFISCVEAPHRDDGLPDFYPQNSTLQQVVRHILHIGRRIGFD HVGLGSDFDGITEVPEGLEDVSKFPALVAELLRQGVSDKDAANIVGGNVLRVWRDVEA VAEKLQRAGEPPLEDDLPRRIAAFDGVDGENFEDATAFAAQQREGEGGRGKKSTESSF F MYCTH_2301089 MPGFAESFWSNDYAAGLGVLFGKLQQGIVENRQVLTIARMRAEA EEIYGQRLSEIAPAVDKIQGGFDRDDGASVRKAYEGVRAEMEDASRNHKKIAQNIRDL VVNPFSRWCEAHEARIQDSQEELQARIKAHDKQAETVKKLRSNYFNKCRLVEDLEEEN KLAFQDPESSPKQNIPAIKVNQDPAEEEEPLEIGDVLYTPEQVKKMLSHMLATIKMGE TKVPILGTYQNTSAGADIVEYIQQHMGATSVSHAERIGQDLVTHGFLRLVGNVGNTFA NSSKMFYQWRPKAFETAGVPEKKALGRTFSIPVGGSESGDSPVVGTVTEYLAKWDVLN TSRPNETPAERMRREAREADERYKVAVQKLDEMRCELEEAIYMHLKFLERCELDRLKA IKTVILDFSGTISNVIPSLQATVDNMMLFQETVQPLGDLRYLLENYRTGSFIPKVVVY ENYYNKVDDQTFGVDLEARARADRKRVPIIITTILTYLDHHYPDLEGDEARRGVWLVD VPLSQTHKLRAKVNNGQPPALEMFAEFDIPTVASLLKLYLLELPDSLVSSHVYEIIRT IYNSPATEGGDAARIPVLQQTLSQLRLTNIATLDACMNHFTRLIDLTSADEEYVQKLA TTLAPCILRPRTETSLTMEEKHAYRLIRDLFAHKDAIFNELKRLSTMNASGSGKGNQG RPRAISTDESNRKAHMEERNRAILEKASGSRSRATSPAPSPRAHRRDRSVGGPETRFP ISTSNISSPTSEHRKRTSLGPNLPKRTSLEVPGESDASIGLSHPSDGNFIMNGVSATV AASSSSSAAAAAASAASATPATPPSTSAATATDPQLAKVAAAAGGQEGTVEKRNSLGR SGARFGSGRRVTPAASAAARTAAPPPHHQHTGSGSQSSSQAQDHQQQQQGGARHAHQH SVTLVDAPMDD MYCTH_2301094 MASTDILSQTLSSITTIKLEQLQKQKEAYKTKKRALHEDVAAER DTAKRTRKLLKGYEELRSLGLQNSPTLSSLDEFLDQAEYDPSVSEPLLKEYEAEVRHY MDALTNRYEFASLYGKLVEEWIASGSSDADAADGSDVVVVGREEMHQQRATWEAYVFE AKETDGTAIKAYLDDVFSSKEAKRALDDVRKSFESWQKEWKNRVHFDESTLEAAIAGM LRSDILTEEKRTTLRDFKTNKVVLAEIADVLNMRMSAIATWTWGAPLVVEQRRNLNGR YRFYTDEDLLHSIFVYYVGLQWAVQLRGALMGFIEREGVWKPDTKLISKADARRRHFF LDTTTLLHPKSVQAARDSHFRRILLDQLPTSVDEVRGSYGSLGDEEGDNKPSHVAVVQ DLLHRLQTEIIMRTKLGSDVTVIRSDFKWFGPSLPHSTIFAVVEYFGVQAEWIDFFRR VLETDLKFKQDPPDTAARTRKRGTLISTPLADFFSESILFCLDYAVNQQASGTRLYRL HDDMWLWGSSDACGKAWSIVTRFADIMGLELNADKTGSALIVAKKNTAASTASSGSLP QGDVKWGFLKLDPATGRFLIDQEEVDKHVNELRRQLSACRSVLDYIQAWNIYGHRFFS NNFGRPAHCYGRAHIDSMLATFKRIQTALFPDHPGGVVEYLKRTISSRFGIPISDIPD GYLYWPSSMGGLGLQNPFVFLLVNRREVTEDPEKLMDEYLEAEELAYARAKARFEGDL SFSSSDEDDAAPRNYTTLDADTWRNKPEFADLQSEPFMSLAEYTRYRERTVGRLGDVY RKLIGEPEETLLEPSGEIAAVFVAQKRLVKWDELSPYEKWVVQLYHKDMIGRFGGIDV VDQGLLPAGLIGMLRQSRFRWQE MYCTH_2301095 MGLKKRRRTSNAKSKSLPKATKEPERKGTKTPSSTRTRARGPTI RAPFTPYPPSKVYRLIEPGPVLLVSTGPAPSPAGSPSGDDSSTPSSSSSSSAAAVAAA YDINLMTMGFHMMLQHGSPPLVAACIGPWDATYARLRATRECVLAVPSVEMAQTAVDI GNCSAAEDGMTNKFERFGLAALPAERVGAPLVGGEHVIANVECVVEDDAMVDKYGLWV LRVVKAWANPALMPGQGGRMFHHRGDGTFSVDGEEVLDLRERMVKWKMFQD MYCTH_2301096 MWEDFEFDPERIPTFKLVFHSLQIVFGFVSWCLEIAVFRADKSR IVGDNGWTFGVFFLSIPAWIYLIMAPRFPRTRKIAEPHAMLVVDTLYTIIWLSAFSTQ AAYNSKNLCGTACSVSKAVVAMAVFVFLFFCVTTFLSVWTLKYYQWNHRLPGYDRAQL SSQNIDPDKAAFSLAPHDEEAYAPVNMDDHDHDDHVDGSHYGGAGSVVGGRSDYSDPY GPGTAGHVGGASTVSSYHDNPFRRQEANPFDGQDTEYHSGSLSAASGRYAAAPAAPAA DGFEDARFPQADYDRIGRP MYCTH_2301098 MGRELQKRKRRSSRPKIKMPNRRKKALNPLGNDIIAKNWNKKET LSQNYSRFGLVAKLGKTTGGTAPNNKALLRPAADPLAVRSGDHGLLQVREVKVERDSS GRITRVLRGDNPLNDPLNDLDSDPEEEEKEKEKAGDGQQQKRHEEWAGFGDDNNDDGG KPEVLRALEREASRPVEKAVRHQSERELEWLRRLVARHGQDTAAMARDMKLNPMQQTA ADIRKRLRKAGLLGEEAP MYCTH_2301100 MTAPINTPAPPAAKDAASARKRRRRAPAGGAADDCFTCAKRNVK CDRRRPYCSQCLEIGNDCSGYKTQLTWGVGVASRGKLRGLSLPIAKAPPVSSAKTVKS PTTTTTTAPRARTGSVASTGQWPQEQDDRSAREEVEVKMEMDHRRNPSASLTTPQFPP PPYDMSHLSPTETAPPGWTHIPFSSSIPTNDASRFPPRQIHIPVSSPGDMMQRELIQT PIDSMSDVDYMSPLGHSFPREEVPTFIHSPIVYESFPSHASPGPQSPVAAMMIEHSRA PAPCPSLVYAPSEPASSLHSQLSHVDSMEAQLSRKMPQDCDVLVPGTPDLESYSSSAQ SHGPYWTSSSVDEESVSHSVPDRTPAPWPGSFPSQSPSPVLQVSPDLITKMPFFMDYY EKTMCPSMVFIDGPHNPFREQILRLGTSSRSLQHAICALAACNLRMKRRLSRGHHGRD VGERQLDRTSPIDGSSDGQPMDQSLAEEYQHRNLAVRLLNEQLNDAEKSIHDSVLATI LLLCHYRMAESGVAKFHTQFAGVKKILSLRRMSPYPASRDSAWMEALFTYFDAISASV NDREPQLTTTFYGVPPDTQLLPPGAENLVGCDRELFKTISKLGRLNLLSQHRPVQPLY SPSSLPRVAGSRSASPLGAPFKPPPPPQLNGSDHHHHHNHLQPHGMLGGLPHPINSSV RFDGPPHGFGGAPNHLEDDDLLSPGHLQAATTPYDDPRSMFWREWKEARQALQSWEFD AARVRASLAAAMSPASDPSSGGPVSVSATTLQQQAPTNGQVRDLGSLSEAFRYAALLY TERLASPNVPSDHNNFRNLVSQVVYYATSLEAGSAAEKFLLWPLFVAGSECVNELQQG IVRAKCREIMARSGYMNNMAALEVLERLWAGEGDAVRNPHHHNQHPYSQHQGGGLCGK GKGGPFNWAKCIGGPGVEVEWIMF MYCTH_2301102 MASATTFYDFKVADKKGAEVSLADYKGKVVLIVNTASKCGFTPQ YAGLEKLWKTVREKYPDDFVILGFPCNQFGGQEPGTNEEIQEFCQLNYGVTFPIMAKI DVNGDNASPLFEWLKNEKPGLLGLKRVKWNFEKFLVGKDGRVKGRWASTTKPESLEAT ILEELAK MYCTH_2301103 MDAQHEKKNIVIVGGGIIGCTTAYYLTRHPKFNPALHTITLLEA SSIAAGASGKAGGLLALWAYPTCLVPLSYRLHKELAAEHNGAQRWGYRRLGCGSLAAV VRTSDLEARAPKPPSPPAENGVDGGLPIQSTASDEKSKDWEKLPKQDGAATGLLRDSP LPPDLNWIDGKLVQYYEEMGSPGATETAQVHPFHFTNAMADLAKEKGVDIRLRAKVTR ISETKTAGVLHSVAYEDRDTGEIRNIDDVTDVIVAAGPWTGKLVPRTRVEGLRAHSVV FEADVSPYAVFTDIQLPADYVPEHRASQGQRRRHRGNVDPEIYARPFGEVYACGEPDK TIPLPATADEVSVDQSQCDDLVAYIGTISPTLAAAPVKARQACYLPQHIRFGEQRGPL IGPTSVPGLWVAAGHTCWGIQNGPGTGYLMAGMIFGDEVDEEVEKLDPRKFKV MYCTH_87557 MTVANGTANGAASGSSQATRRPLPCGIYAPTMTFFDPETEDLDI PTIKKHAERLVRDGLVGLVTMGSNGEAVHCTREEKLAVTRATREALDAAGFTQTPIII GATEGSVRGTVELCKLARDAGADYALLLPPSYFRFLMDEEAVHDYFVAVADESPLPLI LYNYPGAVAGIDMDSDLLIRLAQHPNIVGTKFTCGNTGKLTRVALATDAKTPSSEGSG YMAFGGMCDFTVQTLASGGSGIIAGGANVMPKVCVRVWDLYAAGKTDDAIALQRVLSR GDWVLTKSAIAGTKSAIQSYFGYGGYPRRPLKRLDKARVAAIEEGLREVMEIEKSL MYCTH_79295 MQPLNVLMVGTGEYTTGFVGGGASGSDKKVGVVGLTLFDLRRRG KVGKLSMAGVNGKKFPAIREHLYKNISLVYNNLDTSFESYPADDVVDPEAYKKAIDAL SPGDAITIFTPDTTHYPIALYAIERGIHVLITKPAVKLLEHHLALLEAAEKHGVYVYI EHHKRYDPAYWDARARARKLGDFNYFYSYMSQPKFQLETFKAWAGIDSDISYYLNSHH VDICDSMVSQLGYVPVKVSASASKGVAVSMGCHESTEDTISLLVQWEKKDDRSKKATG VYTASWTAPQKAGVHSNQYFHYLARDGEITVNQAKRGYDVAEDAAGQLVWYNPFYMRY APDEDGNFNGQSGYGYVSFEKFVDGCRAINAGKLKPADLDAKGLPTLRNTIATTAILE AGRRSIDENREVRIESKDGVWKLV MYCTH_2301109 MGSIGATDPRTGLPDNSLSAHVHVDERTPLLHQPGHDATQPSPS PGAGPEQGGRGGGGVEEEEERTVIPTAPLSRARLWLTLGATYVGVFLGAVDSSIMATL SAPIASEFRSLSLLSWLATAYLIANATCQPLSGRLTDIFGRGPGLVFSNAAFALGNLI CGLARGGPAMILGRVVAGVGGGGLMSISTFLASDLVPLKKRGVVQGVGNIAYGSGAML GGVLGGFINDTSSWGWRLAFLLQVPVIVVSGLLVWYLVDVPPKISNKSLLARIDYGGS FLTVGFLVLVLLGLNAGGNLVPWTDPLVLVTLPLGAIMLLALVWWESRAKQPIVPVRL LLERTVLMSCVSCFSCTMVMMMILYYVPLYLQVLGHSATQAGLRILASSFGVSVSSIG CGYIMKKTGKYVGLGISVLVVYTVAVSLVVTLDAAAPAWVPFVAMALLGAAYGGMLTV TLLACIAAVDHSHQAVITSATYLFRSVGATLGITVASAVYQNILRRRLWDRFGDLPGA ADEIERIRDDLGELDRLPDGWYQGVIDSFMEAFRGVWVMALALTVAGLISISFVKQHK LHANLARQDD MYCTH_2301111 MEDELNNDTVHPQVRAHITSLVSALGGYSLDDDDGYKLGDDALE VLRDLKKWIRFYDEKTNRMDVARCLAEANLVGSDLLHILALWSPNDSSKYKARIALAC LEVMVPLTWPIEKDRETMTVNHHRHLPVLQLAQLGYKRAIINFDAAPILGTAVRVALP SMAIPIGDRSARDQGIIKLMLYFLRNIAMIAPPPGVKYEGDETQISRSALIDAFSFQD IFLTILTIASNMGEDFRTEDVIVMEIIFHLVKRVDSSKLFVSEKRLHKMKEDELTAAM KKEAAMLRSYSKNAPTRHSRFGTMIWVKRESGKLATVSGQDALLDAATRERKMDNSKS FRPPRRARREDMEPKDLGPPVSLDERARQQLQSFVSDFLDSGFNPLFSHVRRSIDREA PHVLPSHSSQFFYLVAWFLEAERMRRKAKNDSKKPSAEDDVGSFNLVAEVLNQEMFIT LNRALNRAYGDKDWRLLTTVMRCFTQILLTVQEMFASGNEEDEEIADNILSRLFYEET THDAIANIVRTYKDQGFEYLDACTELTHTFVRILEAYSKQNVDLQVRSRKRRRRRKKA ANKAAGDDGGDEDQDDAEDDSADDERQAEKTSQERKFDFKRFSLRFVPQGVVDTFVTF TKYYRDLDDSQLKRAHRYFFRLAFKQDMSVMLFRLDIIHLFYHMIKGPEPLDKNSSMY GEWEELVKQILRKCIKKLAERPALFTELLFSKINSTAHYLEYGHEKQTVSSNPRPAAE LEFKREVDRERQIAIVVGVLIDRNQTDHLDWLKKQLTEAESERRAWEEAEKARAADGL DGEAAGGTVETGAAKVAPHATIRPDNDARRAAMFKNPHLRLLMKLVGLERLAPTLDET PDSVWVVPGSIPADSLKETISLINQAEFNPPTFEDGELAEDQLRRKSAARRRAAHDDD GGDNGINDFINDGSEEDDDDGAGILFPRGGPTTRKRTAEDEPPPPPKRKRRRRRGSDE AETDEQREARARARRKKERERARRIKSAMYVDLREDDSDWEGNKEADREFFARERERQ AAKDASFGLVSGSRPSGVGVELWEELLGLGAAASDGEDGEDGGARRGRKRKSVGDDGD SDGDALSDDDQDDDGATAESESGSSSSSSSSSSARRPNKRRRTTQKKKNKAAEISSDE DEDEDMTGADDAMDSTQSSKDGAVTNDTPLSSSPGRQTADAAAEKAGERADGMGDEDD DVPVAKAATRGRPRARAGFIVESSDEE MYCTH_2301113 MAAPMMLARQALRLSSRVRYFSSTAARPAAEVKRLGVVGAGQMG LGIALVAAQKAQVPVTLVDSSQASLDKGLAFAEKLLAKDVSKQRITQEQADATRALLQ PTTSIDDLSPVDFVIEAVPEIPELKFDIFAKLAEICPKHAILATNTSSIPITRIAAAT ARGNPNDTSNSSRVVSTHFMNPVPVQKGVEIISGLQTSPETLDTAIEFCKRMGKIPSV SADSPGFLANRILMPYINEAIICLETGVGDRDSIDAIMKNGTNVPMGPLQLADFIGLD TCLAIMKVLHTETGDSKYRPSVLLRNMVDAGWLGKKSGRGFYEY MYCTH_2301118 MSNNFDTVTQSDWQGQYSFLPPGDNTIFSQPYEHVASSTENAES PAQPRTVVAPSEAPKAEGSPLGQRLDPLGLRQTKQPSPIPEQPENQEEQCPSKAPELT HEESTTSTETRDVSLGSNPLSSVSSAPGQGSEAGAPSQSGNEGQPAAVKEEEEEEEVV EDEEMAEGGEGGTEAQPQQPQTAAERTAQRRKMKRFRLTHQQTRFLMSEFAKQPHPDA AHRERLSREIPGLSPRQVQVWFQNRRAKIKRLTADDRERMMKMRAVPEDFDSLSALHS PYGAVHALGTPITSPVDLGGSSYAPLMVDVRRHDGDEHLSPTGLSPAFGNIGFGHSAG LGTPDILSPISPTSTDRYGYPSHLSTTPLSAGPRTSNPFARQASLDSGMQMHNAHSRQ QIRPLQPLQLRDTMTRSRSDSLQSPLRSSMSWKGDAIDYTTYQGGNPSSHLGSRQHSI YHQDQVGGSSAGGLGAYESGNYSASAVQSPAHYSGFQSSSLQASQQRNSRLRAASASS LPLGLDLRTQFRSAIGSGGGLPNSTQSPGPRTASTPQLGGVSSGFTGSFPSTPLTAPV DFSLPRSSAAYRTSTTDYSMPQMSAPIAPPNDFSQAFQASMSSPSARTPMRDSFGGGG GGGGGGAGPLGLGPQRQGPGDRSDDYSDPLGMKRKRSFTGPLPATTAGAGAYGSTA MYCTH_2301123 MASTSEKHTGPGAGPTLPTTNDPGRRQDRPGAGLRIRDWVRSPG AGVSRRLGHDSGSSSADAAAVGGSEPAAEQRRRSPPKHDPWWNIHLFRGMVNDVRKRA PYYLSDWTDAWNYRVVPATVYMFFANILPALAFSLDMFNKTSMQYGVNEVLLASVLGA VVFSVLACQPLVIVGVTGPITVFNYTVYDIMMPTGTNYLAFMCWIGLWSLVFHWILAV TNSCNWLRYVTRFPCDIFGFYVAFIYLQKGIQILETLGDDEPFYLSVVVALCVFGVAY ICGELGRSSLFKHPVRVFLKDYGTPLTLVFFTGFVHMGKMKHVSLETLPTGIAYMPTV SDRGWFIHFWDIPVRDIFIAIPFALLLTILFWFDHNVSSLIAQGTEFPLKKPAGFHWD LFLLGLTTGVAGLLGLPFPNGLIPQAPFHTESLCVTEVVPSGSSSVSPDSSDTDNNPS SSSGATKGFRLRAAYVVEQRVSNLAQGLLTLVAMTGPLLTVLHLIPQAVLAGLFFIMG FQALEANGITAKLLFLFRDAALTPPGHPLRRRQKGVRRAAVWAFVAVELLAFAATFAI TQTVAAVGFPVFIFALIPLRALVLPRWLTREELDVLDEPTASPFTMESVGGTFGGRGG GGGGGGGDEDGRGGGGSHGYDSVFLDDVPGGEPGSGDETEKRGKEREESDRDRGRGHG RGDDGDVRSDEDLAELGESRSAGMRRRLSTLHRDESV MYCTH_2301126 MFRTSTRQLARCSRLPLRPLARPAVRAARFPQTAPAATLLSTRY NSTNSPRPDGENEPPNQPPKKQDDAGKSNQPTPPPRREPPPGFVRLTQEEYAELTSIL ALLPSKQQRAELEDIFKDATEVGVPVELRDILRSKMNGQLTMRDALRIPRIAYQIASK IDAYERSQKGNVPDFTSNKPGEDKEAGQDRQQGTEGEQHQQQHQQQQQRQQQQQQSGN QQGQKKQGGGKQQPPRRFDGSDALTWAISAALLYPFYSMFFSSETSREITWQELRKNF LDRGLVQKLVVADKRVRVELNRDAVRAMYPDSQAANPNFVYYFSIGSVDAFERRLDEA QNELGIPPNERIPVSYAQEGMAANLIMAFGPTIMIVGLLAWLSRRAGTMGGGSSGMFG FGKSRAKMFNHDAAVKVKFSDVAGMDEAKTEIMEFVSFLRTPERFQRLGAKIPRGAIL SGPPGTGKTLLAKATAGESQVPFYTVSGSEFVEMFVGVGASRVRDLFATARKNAPCII FIDEIDAIGKSRSEGGGFRGGGNDEREATLNQILTEMDGFNTTEQVVVLAGTNRPDIL DKALMRPGRFDRHIHIDRPTMKGRKDIFKVHLQKVLTKEDMDYLTGRLAALTPGFAGA DIANAVNEAALIAARANASSVEMIHFEQAIERVVGGLERKSLVLSPEEKRTVAYHEAG HAICGWYFRWADPLLKVSIIPRGQGALGYAQYLPSSDAYLMNTNQLMDRMAMTLGGRV SEELHFPTVTTGASDDFKKVTRMATTMVTQWGMSEKLGPLHYENDQNQLHKPFAEATA QAIDAEVRRIVDQAYKQCKDLLVAKKKEIGIVAEELLRKEMLTRDDLVRLLGPREWPE KEEFSKFFDNRHGEKSAPPPFPTENTDESSTLLDEDKKTPPTEGER MYCTH_2301131 MHVVPNLVGVGLLGEDDNGATDLGTLEKKLVEKHQQSRQASQAI SDTASPQPTSGQDGSGSTPHSSLTSPGPAKDGDKRRSATPENDSQDDDREDVAELSEM MCSLVTNTNGETRYIGSSSGFSIFSPKGVQWVNEKMGDTSFQQMISDVSMDDHKWNAW KPDVFGDLFRRTIFRDLPPKPEALSLLRDYFENFNCIFPLFHQPTFMHLVERQYSNDP YTGSGWWASLNVALAIAHRLRVMSNLVPQEEDEKAWGYMKNAMAVFSELAMRNTDLLS VQALLGMSLFMQGTPNPQPSFLLIATAIRLSHTIGLHKRGTNFNLNPIEIEQRKRVFW IAYMLDKDLCLRSGRPPAQDDNDMNVELPDADPADGIGNIPLADGKGKMNLFRVMCEL AIVESKVYTRLYSTKATKQSDGELLNTIGELDKELEEWKDRIPIDFRPEHEIKASHTP LILHIVMLHFNYYNCLTTIHRMSIHHGYWTSRLSNFAIRGLNARPLNPRIFSSAALCT SAARASISLLKYIPQGDFSCVWMVLYFPVSALVTLFGHILQNPLDPKARSDTKLMNVV VNFLSTLGHEAETGGVHRMLGICSEFERIAKVVIEKAEKEHASRRKRKNQDQSLNKSA VDSGNANTSAADSPSFNPNPAPPTSRPTAANAATPQASGNHTVTSNQRSIPPQGNDSR SSANESNNNNNNNSSSNSSSSNANSNGNGNGNGSKNNGYGSMTGAMSQSPSPSLAATG WGQVGEFTPDSSDYNKSAYAADMASFDTLDTAMTAGGMESPPLVGPDGELVFQQPMLP QDLFSLPMTLDWNWAEMSGGAYPSVENGNFGGGGMDGMDGMGGMAVGVAGGGTTVGHH QQHHSGSGGGGGGGEGRDFRAQGMMQGR MYCTH_2301134 MMDRNMHSGFQMPIQPPPLMNPPPQIFGGGAGYGELGMPMPQFS PDLITAQMFGDHGLLEDTTEAKRRRIARACDMCRKKKIKCDGKLPACTHCTNYKTECV FTQVEKKRSPPKG MYCTH_2314597 MTNQGDPSGPSLFQARGTRHDHDHHHHHHHNHNHNHNHQHLHLH QHRRLQSAEVDPTSTYIRSPPSEKLHGRHVVVVQTVSVVQFIDATGAVTSVETLTPDP VESSNPVFPAAVTSFSSEVGDALPSVSLTDLLPDLTDGAPSKTSSSLLASSETLTSTP LTTSSAFPTLSVTSNSSSTHVSSLFGNHTTNLYSNSSRTSSALRSVFASTTTRSSSSL WTSTTSVTAATIEVGEAGADSNTGGESSVAAPAPTDPTSESHSSGLAPETRNAVVGGV VGSVAGIALIVIALLFLLKWRKRRGQGIMLLGDGDNNARGRGFSSPEPASPSGGKSMV QRAGAFSIPSALARLSGKRAIEEPSAGPSPQEKGFYRVSGRKLISVLESGGDGYSDPH DSNGSGSSHYRDSQGGLLDSSNPFQLGSPMRPVSGVPIFRDGAQRTPVHEQGPLRPGH RPSVFPRTSSTSDSPGRGFAFRDGSRGSGFRFTEDA MYCTH_2301141 MRRAPTPARESSSLDIPHPPYLFPTSLSSLRLSNQPEGGVSNLY GSSQWDRRDPRTSSAQSLVPSAEETEGHIRKRKLLVVYIHGFMGNDSSFRSFPAHVHN FLKTLLGETHVIHTKIYPRYKTYKSIDVACENFSKWLAPHESPTTDVVLVGHSMGGLL AADVVLLPSQSPWDASTPFRHRILGTVSLDAPLLGLHPGVVVSGIASLFRPAQSPPLI CEDSQSEHAEASQSQTPSTRPSSCSEMLPSDEASSPHFGSSPAPQDPYFNPPFPNDVA FVDRGWFKNIIHFAAKHKEENLAYAAANHIMSHLEFAACLADFAGLKSRYNRLRALED VDNWNQPGAVRVRFANYYTVSTGMIKKSRTPSPCGSPRRPDGCEVRRPSLRDAGSLAS DQQILSEDVDVKPPRISIEEYSDGSGSDSLRVLDPMPEPESGPVHEPGSKSLASSEIS RPPSRHEKETQEGGKASDAKDDNLLSVEDEPIGGDTTFSPIPAVPSPPEVPSLDALPD KESRKRAEKAYKAALKAYAQAVKKRDRALEVRQKALDKRQRKALKEAKKMEKEQAKLL CKSPSTKDEEQGEGTKVEERTGKEKPPRRRKFCMLPPKSSDGTVDPTWVEVYMEGVDE VGAHCGLFLPGRHYEPLVGDVGERIAAWVREEESRRVVVGQAGSEV MYCTH_2301145 MYGLTGLAAEVARVLPNGEKNALRKTYKGHIKRLGVQGHFDEVK QDPNREYSLTYLMKLPQDVWDYQHVRGQDIKDGFSSEVQQKLLRAMTMGKGVVPKSQW DSSVLGDLAPGKADRQSLSARPTAPNTPVPSAGLQGVPRPKVGTPLAQPQDAGRSMRN IKKRSYGDSSFEGYGEGYGDDETAAETGYSTGEGDMASGQKRRKKVLINDYDPV MYCTH_2059227 MAGRGMKSLSEAMRGLSLAAQPCRRLPVRQASVPCGRTMATAVE SQPANITRSVSEAWNSSTTVPLTIYSFPSLEPRSLESWSAKHLHLPLRRDILHLAVVY EGDKTRQGTASSKTRYEVHGSHRKMRPQKGSGRARLGTKQSPLMKGGGKTFGPKPRDF STKLNRKVYDLAWRTALSYRYRRGELIVTEDGLELPLPEDFLQLADAGVMGRELEDGF VRRYVGELMASLQWDKAHGRTTFITGDRRPNLFTGMEIAGENGRALELEDVDVKDLLE TGRIVIERTALREMIKEHSSDLVSRVVVKGLNTKPTLGQVLVP MYCTH_2090388 MSRPQQVVRPIVRSLQQATGRPATCSSRPIIALRQFSSTPSRKD EVTTTTTTTTSTSAESVQDAQKLAADAALLGQKKPSRIPSTIKQGTEEELAQLMSPQL GSRRRRAALATTSNIPFEQLPYQCFQEARKILAQDREEKVAKIIAETAKIKRLEATDA SVFRGGEAYKQRRLESLRKYVEELKILADINDPMVKRRFEDGQGDMNKPIYRYLAHRK WCSMARKIIIQRIEQFHIVPDLLPKFEPVMDVRMTFRGYKAPPGAIMDSRITENPPTL HMQVFDKGERLFSVVVMDADVPDPETDSFKKRLHYMATNITWSPTKETLPLGQIGSEA SSGSAPGTGALAVPWLPPTAQKGAPYHRLAVFVLQHKDNAPLDTAKLRELYDGQGRDG FSLKSFRDKFNLNPVGFTMFRTVWDDNMADVMARHGIPGADIEFKHKRVYSLKPPRKA RGWEAKRQGPKYRHLWKYTKRIKGIKF MYCTH_2019888 KSDQRTKTKRSVGDLALQFMKGAKRDSLKNEDLQSLVRLCGSSK LYLPSEYSPASLLLPTCFRATVQYLVEHGAKTRGVFRIPGSARTVKALYDYYCAEGDA GEISSTTCWPNLPSHIKAGVHDVASTFKRLLSGLPGGILGSLSLLDALVAIQGQLKAE PDLGDTRQRKIRAKLIALAIGAVEPRLRRDLICAVFGLLCLIGSAAEKAPREDDDERP LSSSDLMGCEALGIVFGPLLVGDLLDSYSLVATGSTSDPKLLSVTVYEGKKECRRLEA SEGVHQTPPPVDLIYMANNVTKMLITDWREVVRHMRSLWVSRS MYCTH_2301155 MDDPRAPAEEAHPGSRSARAPTPAGGENGSGQQLPLRQGHELPF VAPSSYLRPKPPSRTMSEKTPSALDRDQMQGLRGIREFLKVRTSYDVLPLSFRLIVLD TELLIRKSLNILIQNGIVSAPLWDSTNSTFAGLLTSTDYINVIQYYCQFPDEIDQVDK FRLSSLRDIERAIGVLPLETVSVHPMRPLYEACRRMLKTRARRIPLVDVDDETGREMV VSVITQYRILKFIAVNNEKHTILLRKPVREIGLGTYTNLATADMNNSVLDVIHLMVKH NISAVPIIDSENKVLNVFEAVDVIPCIKGGAYDELTSSVGEALSKRADDFPGIYTCSE DDRLDAIFETIRKSRVHRLIVVDDDNRLKGIISLSDILKHVLLFGAEDDNIRAG MYCTH_2301159 MGICSSTCCGGKSRDALYENVLAENEREAVADLLQYLENRAETD FFTGEPLRALSTLVYSDNIDLQRSASLTFAEITERDVRAVDRDTLGPILFLLENPDIE VQRAASAALGNLAVNTENKVLIVQLGGLQPLIKQMMSPNVEVQCNAVGCITNLATHED NKAKIARSGALGPLTRLAKSKDMRVQRNATGALLNMTHSDENRQQLVNAGAIPVLVQL LSSSDVDVQYYCTTALSNIAVDAVNRRKLAETEPRLVQYLVNLTESSSPKVQCQAALA LRNLASDEKYQLEIVHAHGLKPLLRLLRSSYLPLILSAVACIRNISIHPQNESPIIEA GFLKPLVDLLGSTDNEEIQCHAISTLRNLAASSDRNKSLVLEAGAVQKCKQLVLEVPV TVQSEMTAAIAVLALSDELKTHLLGLGVFEVLIPLTKSPSVEVQGNSAAALGNLSSKV GDYSIFVQNWTEPSDGIHGYLSRFLASGDATFQHIAIWTLLQLLESEDKKLIGLIGKS DDIVDMIKQIANRQMESDNEAEDDDEGEVVNLAQRCLELLGQGASKSHIEG MYCTH_2301160 MPFLNPRFRRLPRPTALRINPKSTISSPRPSSTPLSSSSLPSPA PSSSSTNATSNPNPHSHGGSSKGKPGGQPSWLGHPFRVILATLKFVAFAHLLWEYVIS MAPASGPSMLPTFEVLGEWLLVSKLHRFGRGVAVGDVVAYNIPINDEVGVKRVLGLPG DYVLMDTPDGGGVAGGGGGGPSMIQVPKGHCWIVGDNLVASRDSRYFGPVPLALIRGK VIATVRPFSEFKWITNPLRKASSPSDD MYCTH_2301162 MKTSQCLFNSATAIRKVFISNAASLEARGQLQRLLLPSMAVPIQ RTSFSSSSQRPFSSNQIARARYNKNRPGNEGAPANNDRTMRDYDIVFPWIQIRQENGS LTEPQRTSAILKRLDMGRQSLVLLATPRTDASSKGPEYPICRIVDRQAELAAQAERDA LKKKMPKVVSKELEINWATAPHDLRTKMTQLKKFLSKGYQVRVTMMHPKKRDKRRASL DEAGALLKTVESTIGEVPGAKETKPREGSVGETLVLLVQAPTGGGSGAPTETGSPEAV STDASSTKPWPEPVLLAKKPESTKA MYCTH_2301163 MPAENNGPPSNGAPSGSAAPAARDGTENAPASAKSTAPPADTEK LTPAQLKAKAKAEKAARRAQVKEARAAAQSASPAGDRGAAADAKGGKSKGKQDGQQPQ GKGGHLQVHRPSVSGRRPSSPMTEKDVRSAIPDCFSHVPMAKRISTSQAHKDVHPAVL AVGQQMATFALNDSISRLKATLLAFRKVIESYETPKGNSLSRHFVPHVLNPQIEYLTE CRPMCFAMGNAIRLLKGKVNKFDIDTAEDEAKEGLLEWIDFLIKERITLAEYAIARNA AQSINEGDTILTYGRHRLVEKTLLEAKRDGKSFDVTVIDDPFQRGGLELAKTLRQAGI PVLYSPNLGGLRPKVAAASNVFLGGEAIFANGSLHAPSGTADVAMAATNAGVKVIVLC ETINFDRDRVSVDSLTYNEIDPERNTADSFRLLFDNTHDKYITGVITEFESGGGNSPA QAILALLRKQEDPLID MYCTH_2314601 MVSRVLFWAGFGIVVRFWQLGIEMRPFFNKGSLWAYPVFGGVGA SFGYWLQGVDERQTKMLEERKQAILEKRARRAERAAKAAAAAPSEAAA MYCTH_100744 MPAALEPSATAASGEQQIVAPPAPRKFKASDLPLPSATRTAIEG LAHAFKKKGGYDAIRKQVWEKFEASDYEAQVTQAILEVAEQEVERNPTQLLTLERGKA AALIDGALDRGGVYQKAEELISALIDSRAIEEHIRQLRRAEIGDEAAEQERIRGAKTD EEYAAETAARRAERERVRAELKAVEEKKRQLEREIKAREDAKRREAERIAREERRKKE REEDERREKERRERREKERELEREREKEREREREREHESRRERERSRDWDRDRDRDRD RDRDRDHDRDHRSRDRDRGRERDRERDRERDQTRDRRDSRESRAKPSIDGRTDEVEKK LSKEDHERLEQEALADLLRESKRVATKQPELEVDETLVPPPKKAKPASAITPIQRPAT KLSEPKAVEAKTSALDSKKPTDSKDTKESSNTKLSTASKDSEAKPERGRTRSPSRDSR AHRGRDRSRSKSVDRRRRDARSRSRSRTPRPRDRSRSRRRSRSRFRDRRAERKDDYRD DRRDEYRHSRRDDSRSTRRDDRKEDRRYDYRDRSRSRDRADRRERSRSRLRSARIDDR RDRSRSRRRDPRDRSRSPRPRTERRDRSRSRLRFGRRDRSRSRSRDRDYRDRRERSRS RARSDRDRSRDRNREKDRIKEEDRERRRRSRSRSARPPSRMSHTDMEAWKQAEVKKRE QEAKAYLAAQKEAREKGLPVPGIDDCRPGDRSPDLKRRRDPEEHDRYLPGDRDRHRDR DQERDRMDYRGGDRYDGDRDRPRDRNRDRDRDRDRDRRDRSRDRDGDRARDDRRGTRR HSRSRSRERDRDRSRDRSRDRDRDRDRDRDSHYRRSRRERSVSSRRDRDWDRDRDRDR DRDRDRDRLSHSPNLPQAEKAARLTTPMRN MYCTH_2090403 MAEDDVERQVEKPTPAERSVHVVFYIGSWIFFSNLTILFNKWII DSRGFKYPVILTCWHLIFASVATQVLARTTTLLDGRKKVKMTGRTYLRAIVPIGLLYS ASLVCSNMVYLYLSVAFIQMLKAAAPVAVLLTSWAWGVEEPSLKRFLNVLLILGGIVF EAMRLVMIQVLLSGDTQKMDPLVSLYYYAPVCAVMNIIIAIGSEANTFDPADLARAGC GLLLLNAMVAFMLNIGKTSGLVMTLTGILKNILLVILSVMIWRTTISWLQFFGYTIAL AGLLYYSLGGEQIASLCQAAWAFAKGGADDQQGGGLPPAVRRALILAFGALVVMFLVG AFFDGGDVMRRLGGLGTS MYCTH_2301169 MNTDTRRMLSKFDSRGHPDFYQHYSDGQLRIRLTNPSVVVTAAL LVVSIVYQAVRQQRRLPSLPQLLWDAAVTVIPARILFAVDRFIIPPLVPTHMLKTQPP TRAAKSEALRRILGIDNGGGIIGSVSQAGRRSLSSLSGAALGPKTASDRPPGLGNYDN SCFQNSILQGLAALKPLPAYLSAVSLGRRIESSPTSTVDTLRELIAELTDPSSNGKTL WTPRVLKNMNTWQQQDAQEYYSKLLDQIDNEIARATRALKHHPGLETGRTSYDASASQ HSDDSGYDSLHGHSKPGPEPRITRNPLEGLMAQRVACVNCGYCEGLNLIPFNCLTLTL GNLPEHDLYERLDHYTKVESIEGVECLKCSLLMCRDLIKALAERAGAVPEFQRRLESV EEALEEEAFDEETLTKKCGLTPKMRASSTKTKQIVLARPPQSLVFHINRSGFDEQTGY MFKNSAAVRFPMVLDLGPWCLGSTDARVHLEEGTVAARDVEQWTLDPKASMVAGDREP SRITGPIYELRAVVTHYGRHENGHYVCYRKHPVFSHAVTNKQETAETFDDGVWQEKEE VDEGMILDEATRQGDDGNGASEADESASARDSPPSQWWRLSDEDVYPVDEQTVLSQGG VFMLFYDCVDPNPVLVSDIDKPTTEEEQTADDCNITDAAAASRVATQSPSLVQEDGTL LEDPDSSVVLGDPHTPCETVGSTNTASEDPGLVETAGQPQPALPTSDGSAATPTPGVP TLDEVGGQNVGPPSADLVTQPTDDVAIPQPLSRVTEV MYCTH_2301170 MAKGTISKGKRGPSIHSRAARRAISPSIDTDKSLKNVRPPQESV DRRPAVLAARQAGGAIKKAKSGRKAVLSSKARRRREQSMSRAEAIMDRTAVKVQKSKG HAKVIHSRKKTWDEINKEAFELTEEQRKLLSKKARAKMEEDAMVEAFYADDGDAEMEG ADDLGQTPGTSEASAAPAVSAPVATAEGGEEEEEEIL MYCTH_2301171 MEPPPSHFFDYLDLDDPNSHQSPGQEDNNSAICLNPFNPYGKDV HGALTPPDSRDMIPRTDIWDCFPDQAESLTTEDQKQLFVDPELYSPGSSNDDMKPQIQ VDTTGLPKPPTRQGLSAKSPSNQAFVVGNTPAPVTPPEHEPPKKRKVRKTRKESNAAE ETQKRNKFLERNRVAASKCREKKKQYVSELEATKMDLELRNAHLKVEVDGLIGEIGAL KHRLMAHAKCNDPNIDRWLNNEARKFVQTESGPFGQPFMPFGQPPQPELPMGSPRSRN ASIASITSMASSYPSLQGLQFDGLASGERHGSIAYSQGESWPGAPPPGTEPKALMPGS GSLYPSPTEETFPGFSPALKTEPDVNYTPMPDPMFSPGQSNFGGGP MYCTH_2125321 MPYKEESRLLRQRPASFVSPRTLAYIDTGAAFEANGWVPVRYHP KPTALFELLQSASCLLPLPALAVGWKAVGSPVGSNLGKAHRSRLRINRPAQRNSHTGL DPPRQGTSYWSHGGVYCVKERGQEQPPLAELGKRRFTGAYERRLMDLQPVRPGGDWAR GPSTLDKLRYVTEVLRAMGDQRQTMACKPIPAW MYCTH_2301172 MEAVATPRITCAYLNSYVGRNVIIVGKVIQLRGEEAIIDADGNI TAHLNRDAHLLAGNGAQIIGKVNPDLSIKVLSSLDLGTGVDYNLANTVVEISHQHRDI FAYD MYCTH_2301174 MAALGSLIRGLLSVKVHYSFDRENQVHCLARWHQILQIQTIPLD ENTTIGVVDLRTCLQAVSQSSPEILNQQDHDYSVYAYDYSEPDVPLVGQGLLSWNMDP QRGSQQQLVTGRVTRNLLALLNNGSRDTLEVKLKFATVAKSTQRTEYPSEQAGKRAKS TSISAETVSEWNSFIQSNTMLGHASNNGAVSSPALAPAQLSQYNPHVNESRPMDTQGD SQPPQPIRPATMTSAQTLQPVAIQPASRPSSAAAPIPPRPQGSLDSAPSPAASQPTEP ALKPRPSRSRSKQPTGRPRGRPRKRPLETGNTSAVEEGTDGDDGPQKRRVKVTQAEYS VIAPFGVAPDSLRVAASTSGSLRAMRPVGAGGETSAPSHLQDVPRVPTPIPDGQMLQQ QQRRRALENQAKTEHTSQFDQPGPGRSIAQSGIQDARSPADSNAQSPDHGYMPEDSAG DLNSSPPVPRTTPYIQSSPPASSPILPTMPMPPIDSGFMSGGLEDIFDEDILQRLPQE QTQDQMLPLPSLPDATKAVVPRKKGRSQRQQQEQKQQLSDFPFQEVNPGPPELLPTKS IFNPAGKAKTLNRQASSGTQPAPKPSTNRPFKRSNTAPTPAVSGQDTPHEQVTYQQNG NTLPQEPARQDSHEEQLSLADGDGMGDAIQPATNDAEKGGSANSPLEPAPAASVSMPV PDRPEPERISPAQPPSRPTSRSASASRDAPALKTQGPATSASETAPEPTLTLPRPPAS EPPCPPSDFDPPRYSKNLVKKQSIKEKLESAIDKGETPPFCSNCGAIETPTWRKIWTQ DHEGVPEFHEFSDKPGCVTMIEVLERDENEQPSRYRMVKKNLGPRDEKRNWVETLLCN PCGIWLGKFKVHRPPDRWDKDAARLNQPRRKREPKGKSKKSRTKSDNAPANPTSEAYF ATDPIGPLDHDFLTDRYENGAQSQQPSAPTADEQHLNLRSSPRQRFLGSTHSRGSGTA DSPIAVEDQLGSTRRLLFPSPRRDGEPKTLGELPLNTTQTTSTHAPEAKSAPAGKENK ANQERPGTPVVAEDELEQELFGTPPRRPSTPPPKATAGPFKTPTRPTPNHRPITRSIS RSIRSSRGGILKSPAQLFALQHLEQTPTRTPRSASASKRRTPRSSSKIKNDNNSSHNA DFALDASEHNQLSMGMAMQTPMQFDSPFTATLHQLLSEANEFIGGNNGSGGDGDGSPS RRGTASRGEPGHLDLHDDEAGMDFGSFLGTDLVMPSSPPLVRRARTGGGHGNGEGEGD GSGGDQFGGVLQEGVGDDVWGQK MYCTH_2301177 MVRTVVILGASYAGIPIAHYLLKHTATKTKDLKVIIVAPNTHLY WVFASIRGIVPNRIGENKIFLPIAPTFAKYPSDQYELVHGVAKEVVPDANVVEVRGND GSARTIQYDELVIATGSSFKNGMPFKNLSSTEETKTALREWAKRIESAKSIVVAGAGA TGVEIAGELGQEYAVPGKKQITLVCDDDLPLSAHLRRDVRETTKDELERLKVKVITNA RLATAPSAAPKTSTLTLTLTKTSASSADEAPTTLQADLLIPTYGITPNTAFLPPSLLD SRGHVRQTARLRAEGYDNIFVAGDAGNLESHQAVHADSQAVHIARLLEARILGGVPGS PSLKPDEEEEDYKPIDKVMFAVTLGRNRGTGQVGSWKLWSLLVWFMKGRYLGTNYAPE YARGERTLRLKSW MYCTH_2301178 MASDRAQQQQQQPASPIGRAADQARRHTVTASRPRIGTLSRLNG TSYAVMMVDLDVPTDTPPATDTLLHWMQAGLVPSATPTRMNTTAGTLQVFLLGDPSAS SNTGTSAGRGRVANATAGIAVPYVGPNPPARTPLSHRYVQLLVDTSGVTGQGAEALRR AAASATKTTRRGFDPSAALAAAGLEGRVVAGNSFNVTNAGPPANSTGASGPKSGSESG SGSGSGSGSGSASESDASGSDGSSSRAGAGDGARQTVLPGEGVGSRPGSVLVGGLVAV GVVALGL MYCTH_2116990 MSFGDSVRALLDTYANCILLLKSFGRKQKDDGAVEVRQQPSRLR EALKTDRLFVEQAYSSKLSESGSRFKEGDDPPQRKWRSVTQNTKIDPNGYEYNVHPVF PLRPYTVEVKKRRLFGLFGRKREA MYCTH_2301180 MRLLVRSLAALPIALSLVFPLPTAGAGLQPSPYQRLDRSESTSH VFPFLKWLRDSAVEAVFGRPPTKTKDQRPGPQLQSRYRNDVVVRFNVTNPEEEGAIAQ AVSQMFLDVWAFAPDFVDVRLAKDDLSALLTLLPGSLEPSILIPDVAAAVWATYPSSS AGASRLQWSTADAAKMRTSLDGVGNIFFQDYQPLAVITNWMRLLEAMFPSVASMSSIG KSYEGRDLYALRVGAPSDDSEASGPRKTILITGGLHGREWISTSSVNYLLWSAVTSYG TDSMMTKLLKHFDIVFIPVLNPDGYEYTWKVDRLWRKSRQPTKMRFCRGLDLDHAFGH SWDAGRHTSDPCSESYGGEQPFQAVEAAALAQWALNETENGLNFVAFLDLHSYSQQVL FPYAYTCSLEPPNLENLEELAVGLAKAIRISSGEPYTVSSACEGAVAGAYSASDSLRR IEARGGAAIDWFYHELHARYSYQIKLRDTGSYGFLVPSDNIVPTGEEMLNALKYLGDY LLGNNGIEKLLAQQPLDHWKDLKRRRR MYCTH_2301182 MASESDMRRHSLFDALAAPAPKQPPFSSGSTTELPALASVAENS SPSGISPPLGDLPRDSISVDSTHGNPSIVEPSDGPASLTGSDYSQKHRRFSMLRFRNA SDSQLAAKAKLHAATEKPPPVPRPPTIITTAPTFSNPAPPRKKSSRMNFGRLGRSAEI PRTEEGNEPSNSNPGRGRVHMVPEHGVSFDESNRPSSSSHAPGSPPYGDDHPAASAPG PNRLSESSRSDASLGDRAHGSTAATTHTAHATTTFFRLPRRKPKQPTPLFPIAHLQKT KPPPAENSNVSNSSSAASIPASADRPSSDASRDSQSTPIPSRTPSRNGVTTPPPEKQG TTTLGRPASPATALFRPSSRQSGQSSPSRSHINRRGRSSTMSSMGRNSPRDSVDDHLA PPTTRTSISTGRKSFTDLLGLSRLRHNTDMMPSRQGTITPVTPGSSTSKNNSLQIPRG SVSLPERRDDDTPAKYLERLVGVVNRSVVAAALSKSADPFFQSVLRSYMRSFRFFGDP MDMALRKLLMEAELPKETQQIDRFLQAFANRYHECNPGIYSSPDQAYFIAFSLLILHT DVFNKNNKHKMQKSDYLKNTRGEGIFEEILEVFYDNITYTPFIHVEDDPDVNGDRNVA QKVRKKSKFPHGAPDPAKRVAKEPVDPYTLIIDNKLDLLRPNLKDVMQLDDPYGYLGT AKSLNMKELQRTFFKTGVLQIVSARSRPDAFMTEKTATNPDAAHPGIVDIKITKVGLL WRKDAKKKKTRSPWQEWGAILTGAQLYFFRNTNWVKQLMHQQKDHLKKGHDGDPCIFK PPLEQFKPDALMSTDGAVALQDSSYNKHKHAFVYVRHGGFEEVFLAEDEDEMNDWLAK LNYAAAFRTSGVRMRGVIGGNYDGQSRRAIRGSSSGNVQVIQTPSGVVTVTRSRIDHQ LAQDILAARRDFMRRKIQDANEKLKEAEATLESQLRNARHLQILAPISPKTRENMLLS AARMAAQLKWTRMEIWKLKCHRDVLQLDMEEERQLLGLPPDTGTDTVARPLTREECKT CQMSPCQCQRRPRSPERASLTRSSTVATTSDAESSQEAELFQTPPTSATVSQEDSWSS SGAADHHGPRKASVSTAVGPETSVSGTPTTGDDAGPSAASPEKREYEHPDDVDADERD LLEQAGLLEPEISRTSDHKPSNGGADGEETPERRERSSSGTPADRGERSKIRRSLQRT LRESAGHISHHRHRRAKDSTSNATLSDDANRESSDVLPRGTGPFVVHGKKASVITFGN ELQMQSLAADERIRQRLHRDDSSSSRTGPVESTDFQSILSSRSNQERDHRRSAASASA ATAKSFRDLHRRFSSAQAASRGTTGGNLALPSDEDSDAAISFSDGSRTPLPPIDGEEE QERGRQLRDGELDGVEDPEPSSPPSREGRNTVFFTPEPPSSPVTETSKEAEEEEKEIA EGGDRLPSPPLQAVSA MYCTH_2301184 MGDTAVAALNALLRGASIDDHAEALDLASAALRSAKGRGAQLVA AQHTRVVALLNLDRFDDALRAIAEGGDALAKTCVFEKAYALYKTGDLEAAEAVLRDAG VSSGRALRGLKHIAAQIAYRAEKFDQAAATYRELAADRDGGNYGEENDLRINLSAVNA QLEWQGKGWAVPEQEKQPAREDLEAFETAYNAACGCISRGDYTKAAVFLKRSRDLCEA TDELSDEEKKAELVPIIVQQAYVFTKLGKLEEAVSLQNSLNLDDTADPSAKLVARTNS LVLQAETNPYLAQRFGESLSEGKGNDRLFEYQSSVLRRNRYVLSLQVQKFPGVERSTS RILSKDTAPSISSSKCDLGVLEAAAACQLRTGQEALRRILPLLETRPDDVGLLLTAIQ LYIRLQNPSPAITLLEAFFKRLEVAATPDHADVRFAPGLVALAVSLYRTQGRQAAIRK ELAKAAAHWQGKTGIAASGPGTSLLREAGIELLRSSHPADLAAAGEAFSHLVAVQPED RIAKAGLVASFATTELSKAQPYLDALPSVEDLTRGVDVAALLEAGVATAAPASHVQQQ ARGKKRSREDGAEEEGAGKGKQQAQPAKKRRKRKLPKSYDPDKKPDPERWLPLRDRSS YRPKGKKGKKRAAEATQGGIVREEETLELAGGAGSVKVEKAPAPGGGGGKKKKKGKK MYCTH_2301186 MVGLLAPASALRQVCSGCARRVRLPGPALARTPGRMLAASYSQV STVPLAYELHEPAKPVADKQTSPIIFMHGLFGSKKNNRTMSKVLARDLGRHVYTLDLR NHGDSPHDPKHDYTVMAADVAEFIRKHGLKEPTLIGHSMGAKTAMVLALNQPDLVANL VAVDNAPVDARLSSEFPRYIQGMRKIDEAKTTRQAEADEILKPYAPSPTIRQFLLGNL HRPSPGDRTQRFRLPLSTLARALDHLGDFPYKDPAEARFVKPALFVRGTQSKYVPDDV IPLIGQFFPLFELVDVDAGHWVISEKPEAFREAVLRFLEPKE MYCTH_2314609 MAVGKNKRLSKGKKGLKKKTQDPFSRKDWYGIKAPAPFAIRDVG KTLVNRTTGLKNANDALKGRIFEVSLADLQKDEDHSFRKIKLRVDEVQGKNCLTNFHG LDFTSDKLRSLVRKWQTLIEANVTVTTTDHYLLRLFAIAFTKRRPNQIKKTTYAASSQ IRAIRRKMVEIIQREASSCTLTQLTSKLIPEVIGREIEKATQGIYPLQNVHIRKVKLL KQPKFDLGALMALHGESSTDEQGQKVEREFREQVLESV MYCTH_2314610 MIASVASDSRRMQRRDSSVRSSSTRRPRLLRATATEPSITTSNA SPNALATQPGRRATELLSRVAFVSGSPPDSILRSPSLLSTRSSVYDGGDRHSELSSSP DSNADAFDDLHRHPFSGRYFSFPSFDLYEASQQDDEKSESKSP MYCTH_2301194 MASGLEDVKEALKVISLGQEKLLSAVESVSQRVAELEKAQEEDR RRPDTAGTDGLKRTLTPLAGGFTPSPSTVLPAEAGTQSSPMSSTSPELKSSFSSRVVL TTYPKQIGIKPLPLEWGAADPLQRGPVTVSRSPSTIGRRNAIGAHGGSYSIYYALALA SRELKADHKPDYTNTEPAVNIGPFPQWGDKKKIVAMDPWGHLVPWVFRDIIEKENVDL RPTIAITKAHMKLPELEESVKSGRLVPDGKVCLNDQGELNVTKFAVEPVWYLPGVAER FGIDEGTLRRSLFEHTGGMYPELITRSDIKVFLPPIGGLTVYCFGDPARMSDPSKRLA LRIHDECNGSDVFGSDICTCRPYLIFGIEEAVKEAQNGGSGVVIYFRKEGRALGEVTK HLVYNARKRGEDRASDYFKRTENIAGVKDMRFQALMPDILHWLGITKIDRMLSMSNMK HDAIVGQGIPIYERVELPESWIPADSRVEIDAKINAGYFTTGHRMTEEELKSVQGRIW EDIDH MYCTH_2301201 MGKRKSSRKPQAPKKREPLPTTFTCLFCNHEKSIQVKLDKKAGC GWLDCKVCGQKFQCAINYLSSPIDVYSEWVDAADAVAAEAREAEKLRSASNISSRRAG GASQRIDEDEDDRGGYEGEGIVADDEDYD MYCTH_2301203 MPDVKPAPTQCVGPVYRSESQKPTAAVSTGVEFDTVTILPQTPQ LIALLSIIRDRNTQRGDFIFYANRIIRLLVEEGLNHLPTVEHTVTTPVGRTYEGLAFQ GKICGVSIMRAGEAMEQGLRECCRSVRIGKILIQRDEESAQPRLFYDKLPDDIADRWV LLLDPMLATGGSAIMAVDVLKSRGVPEERILFLNVLASPQGIQNFATKFPKLQVVTAF VDQGLDEKNYITPGLGDFGDRYYTV MYCTH_2301205 MANNTESVYDFGFAPKKKVAATYGRAARRRTETAIRPATLRHAE SAPVVPSSFAHSQAGSPEPPRLSTRAISSVKARRQDSHKLDTGSRQPQPGEAQSQPLP DPYDIDSLLSETTGTKRRRAGQGSSAKGRASGPYSTPDSSPPVARSPLPSSEEEIPRS APDASPSITSQRSTPERDAGMKDDVPTSMPFSAKTSRRLKNLSVSSKSPGFKKQQIPI RLSAPPPKPAPKSPTRLATTATAVEEQAPIGQPTRKRRRLIDALAEQVQEETSSSEDE ASSRDSEFANARSPLAVQASPTPVSTVLSHPTTTARPVLATKKTGPKFTYSQQRSMLA DDHDPLLGAGGLGDLGDGPAGGALFNLGRLTKSSTVNTISYLDEDDETGNTGAVRSIH ELRQAGANSRFADEMDDILDRAGLPSSAKPSSLRRGALLEVAQKLKDKDFRQQFRNHS DGGNLFRSLADENDLISGYAILAIVTTLLAATTSAHLIQQLRSQGLAALVSKLLDLPT DIALLAKDRKHNVSKNGQLSIATIKSSLLELPVWKPFSPTSLSPRTLALKCLDLLMRQ PSHASAEDEVLSQAVTDRLFSILAEGVSDAACWDLPNQNESCDFYLALHVLEGHSVSA MQSRLSSLWTGHYAPIVAEVLEAALQRPTDQLGDLESLTLRISLNITNHNGEASRMFV EKGLLRRLAESASSAFEMVLSSMRVDSFMSKVLESLIMMLGAMINFCVYYPPASRNLE EQGDGTGSPLNRLIRHFADNHTKTGDADSMEKTQLNVALGYLSVLLGYLSLSDSIRDR FILVHPKKSIQPLLDSINEFIAFHRQVAEAQGSDGDKQESGSLARLQDLADQLAVLR MYCTH_2077803 MPTTLAKQRKIAIVGSRSVGKSSLAVRYVDGHFVESYYPTIENT FSKEIRYKGQDFATEIVDTAGQDEYSILNSKHFIGIHGYMLVYSVSSLQSFEMVQVIR DKILNHLGTATVPICIVGNKCDLRPEQRQVTPEEGRALAEKYKCAWTEASARYNENVA KAFELLIGEVEKSQNPSEPSDGGKCVLM MYCTH_2301210 MLDVNDFIVERGGNPDKIRESQRKRYAPVEVVDEVIAMWDDHRR TAYAATQLNARINEVQKQIGPKKKAKEDVTDLLKQKADFEKEKKDLLALAAEKEKALK AKVKTIGNIVHESVPVSDNEDNNTVERTWAPEGVTFEKRNVLSHHEVLEKLDGYDPER GVKVVGHRGYFLRRWGVFLNQALINYGLEFLNERGYIPLQTPQLMLKDQMAKTAQLSQ FDEELYKVTGDQADKYLIATSEQPISAFHSDEWLQPKQLPLKYAGYSNCFRKEAGAHG KDVWGIFRVHEFTKVEQFCITDPEKSWEMFESMISTSEEFYKSLGIPYRVVAIVSGAL NNAAAKKLDLEAWFPHQGEFKELVSCSNCTDYQSRDLEIRFGVKKQTDIKKTYVHCLN STLTATTRTICAILENFQTEDGVRIPEPLRKYLPGAPDFIPFAKRDAEKKEGEKKEVP VR MYCTH_2301214 MQQHTARGVPGSKVCDGYTGSVPASLPNLYRYGSPDLSAAQTPA AVLPCGAGPDYEFLQLHEAWGFDTPAIGSSEVQSNVWAEAGRQAAGRQEAGVSTYPVA CVNSLPAEIAYSRRTGPDSNCGPAPFPGEQAQRGLDDRWWFHGEEASTQD MYCTH_2301215 MAVQVVPGFSLTNRWLLYTSYFLAPAQFVSGLNSNCPSDIGFLA YNWYTQIQWYKLMSGKDEIHAISLLLPHFNLLYCHATYGLAARYVDRAYHIAKPSRVG DRYDSCMALCCPGGDNVDA MYCTH_2301216 MAEDKAMACPFCGWTNPGGEYEMLLHLETYHAEGEDASFRQEQG SVSENPGSGENISYVECPIDGCEEILPLQELDYHLELHSEESGGCTTKELDPVTPAEP DPHSGPSRAHRAAQRHRQSDPGSEVNERQGKQAKEARLGKAITIWKRLLKIPVFTSEG VHMRAPLQNEQLPNKHSPHGKRLGKAHLGKYAHESRMPEWLVSLLKREGQVTSQGVVS VLAVLLEQSPSTKHAYLCHPRVQHVSKLKREGGFCGYRNIQMLASYIIEVKSKGHEHF CGTIPSIFQIQEWIETAWDLGINSQARLETGGIRGTRKYIGTPEAVAVFRLLNIPCEP HGIKFSEPGKSEAHLMEYVENYFELGVQDPTQRVHRTNLPPIYFQHLGHSLTIIGFEK LKNGTKQLLVFDPSFHDSSYIVRLIGQTSFKHPAPDLALRAYRRGNDYLKRYRSFELV KLVPP MYCTH_2301223 MTTPTAAKHIVNFITGNANKLREVKAILEPAIQVESQTLDLVEI QGTLEEVTLDKCRRAAELVSRVTSWPPEPANVRR MYCTH_2057861 MSRTLPNIIITGTPGTGKTSHSELLAERTGLKHISINDVVKDRE CHEGWDDEYQSWIVDEDKLLDAIEEEVKQGGCIIDWHACDLFPKSWIDLVVVLRVDTA TLYDRLTARKYPEVKLQENIDSEIMEVLLQEAKESYDEEIVVELQSITADEMESNVER IEQWLEQWKKDNAS MYCTH_2301226 MSESYDVGTRAWQPDPTEGWVASEVIKKTVDGSKVTLEFQLENG EIRTVEVSLEALQSGNHPSLPPLMNPTMLEASDDLTNLSHLNEPAVLQAIRLRYLQKE IYTYSGIVLIATNPFARVDSLYVPGMVQVYAGKQRATQAPHLFAIAEEAFMDMIRDGK NQTVVVSGESGAGKTVSAKYIMRYFATRESPDSPGSRAKKGPEAMSKTEEAILATNPI MEAFGNAKTTRNDNSSRFGKYIEIMFDKETNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLVAGVSDKERQELGLLPVEQFDYLNQGDTPTIDGVDDKAEFLATKQSLKMIGVS DADQAEIFKLLAGLLHLGNIKIGATRNDSVLSPSEPSLVKACDILGIDAAEFAKWIVK KQLITRGEKITSNLTQAQAIVVRDSVAKFIYSSLFDWLVEIINRSLAPEDVLNRANSF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQDEYLKEQIDWTFIDFA DNQPCIDLIEGKLGILSLLDEESRLPMGSDEQFVTKLHHNFGSDKHKFYKKPRFGKSS FTVRHYAVDVTYESEGFIEKNRDTVPDEHMAVLRASTNKFLCSVLDAALAVREKDAAS SSSNAVKPAAGRRIGVAVNRKPTLGGIFKSSLIELMNTISSTDVHYIRCIKPNEAKEA WKFEGPMVLSQLRACGVLETVRISCAGYPTRWTYEEFALRYYMLVPSSEWTSEIREMA NAILTRAFGANKGKGMDKYQLGLTKIFFRAGMLAYLENLRTNRLNDCAIMIQKNLKAK YYRKKYLEARASIIAFQSTTRAYKARQVAQEMRTIKAATTIQRVWRGQKQRKQFLKIR NDVILAQAAFRGYLRRKEIMETRMGNAARIIQRNWRSRRQLRSWRDYRRKVIIVQSLW RGRSARKAYKVIRAEARDLKQISYKLENKVVELTQSLGTMKAQNKELKTQVENYEGQV AIWRNRHNALEARAKELQTEANQAGIAAARLEAMEAEMKKLQASFEESVANVKRMQEE ERQLRESLRATSSELEAARQESQRQEAEKNSLRQQLAELQEALELARRGAPVNGDLAN GHGPAATAPSGLINLVSAKKPKRRSAGAEVRELDRYSMAYSPRPVSMAVPGMHRQTTL SGSTYIPGVDSIELELESLLADEEGLNEEVTLGLIRNLKLPSPSSNPPPSDKEVLFPS YLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGDDAINHGAFWLSNVHEMLS FVFLAEDWYEAQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKRKLNKMIIPAII ESQSLPGFVTNENNRFLGKLLQGSNQPAYSMDNLLSLLNSVYRAMKGYYLEQSIIMQT ITELLKLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHEMPEGTLQLEHLM QATKLLQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAVASR VTEKSDVLLLPAVDMDDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSQQALA QQEKLEYGSQPGVEDDEVYDDEGLQSGGDVPEVEHQGSRPTSLVAGAA MYCTH_2301228 MASLQHLVHSKNVRGQEMEGVRTVRYPRNGGAKVSGRLLLEFRG LRKRCMGAP MYCTH_2314616 MAAPREISRPALSEAVSHSLSASPAAPLHSPPASQGSFLAGSFA HRPATATAKQLKPFNTGDIKILLLENVNQTGRDILTGQGYQVEFLKTSLPEDELIEKI KDVHVIGIRSKTKLTAKVLQEAKNLLVIGCFCIGTNQVDLEYAARHGIAVFNSPFANS RSVAELVIAEIISLARQLGDRSLEMHRGTWNKVSAKCWEVRGKTLGIVGYGHIGSQLS VLAEAMGMSVVYYDVLTLMAIGSARQVPTLDKLLEEADFVTLHVPETPETKNLISTEQ FARMKNGSYLINASRGTVVDIPALIKAMRSGKIAGAALDVFPNEPAANGDYFVNSLNS WAEDLRGLNNIILTPHIGGSTEEAQRAIGVEVAEALVRYINQGITLGSVNLPEVTMRS LTLEEPNHARVIYIHRNVPGVLRKVNEILAEHNVDKQISDSKGDIAYLMADISDVKTE DIKEIRDSLDALSSRIMTRVLY MYCTH_111107 MAEPIKNKRPDPAAPTPQNTPANAAPISSHAQQPGISSIKEEDL TAASIFAKDPRFVSLIQGRLGSLVGRSSGYIESLPAEVKRRVAGLKGIQKEHSKLEAE FQEEVLQLEKKYFAKFTPLYEQRAAIVNGKTEPTEEQVKAGEENAEEEEGAAVKEEKP AENAAEKVSGIPEFWLSAMKNQISLAEMITDRDEGALKSLVDIRMEYLDKPGFRLIFE FAENEYFTNKTITKTYYYQNESGYGGDFIYDHAEGDKIDWKPGMDLTVRIEQKKQRNK TTKQTRIVKKTVPTESFFNFFSPPKAPSDEDDDAASDIEERLELDYQLGEDIKEKLIP RAIDWFTGEALAFEEIDEDDMEEFDDDKDEDEDDDASEDHDDEDESEEEEEDGTKPKQ EPTECKQS MYCTH_2301237 MEAHDGPARDAGQRLPTETDSGSGFYRLGTNQSSASIFEDVEMA QDELFAGPVAESLPTSVSAFSHRRARADSTTSFSFYQDEGELGELGELEQAVPLADGR LSVGDLDELPFDDEFEEQDDSTDMERSSVYDDHDFLRRTSTQSRGSVYSRLLRRDSGV SAGSGYGATRHSQKVYMVNEDLYIVIAGFRTSVAGLALYVFLCLFTCGLGWLVFRWLP RWHVKLVGKSSPLRDCDWVVVENQWNEIAILDVESKPYGRPLSTVFGAPGKMTSYLFT DEDHDPILHDLRMISYRYVRFFFHPTRDKFLLGAGWKDPLWSNVREIRAGITSEEKTH RDVVFGDNLIDIEQKSVFRLLVDEVFHPFYVFQLASLILWSLDEYYYYAAAIFVISVG SITTTLIETRNTMRRLREISRFVCDVRVLRSGFWRNIPSSDLVPGDVYEVSDPSLGQF PADSLLLSGDCIVNESMLTGESVPVSKTPATDETLRKLDLAASTMLPDVAKHFLFCGT KIVRARRPQENQDEEAVALAMVVRTGFNTTKGALVRSMLFPKPSGFKFYRDSFRYIAV MACVALFGFTASFINFIRLGLEWHLIVVRALDLITIVVPPALPATLTIGTSFALGRLK KKQIFCISPQRVNVGGKLDLMCFDKTGTLTEEGLDILGVRVVSRADNRFSELLASPRD LAPDQSAGAEDKDERDTRTATLYTMATCHSLRTVDGHPVGDPLDLKMFEFTGWTFEEG NMGGANADDEEQVNLRPSVARPPTDFRQQADGIGQGSVTNEPLELGVIKSFEFVSQLR RASVIVRTFGRPSGDIYVKGAPECMRDICRPETFPADYEELLSQYTHKGYRVIGCATK HIKKLSWVKAQKMKRSEVESDLEFVGFIIFENKLKPTTVAVLKELLDSNIGTVMVTGD NILTAVSVARECGMISKTAHCFVPRFLTGDATDPNASLQWESIDNQAYQLDAKTLLPL PAPPEHDASLPYDISNLRNYSIAVSGDVFRWVVDFAPPEVLRRMLVTGKVFARMSPDE KHELVEKLQSIDYSCGFCGDGANDCGALKAADVGISLSEAEASVAAPFTSRVFDIRCV PEVIREGRAALVTSFSCFKYMSLYSAIQFTSVSFLYASASNLGDFQFLFIDLALILPI AVFMSWAGPFPELCRKKPTADLVSRKILTPLLGQIVICIVIQAAAYVAVRKQPWFIPP VIDPDKSNVVNSENTALFLTSCFEYILSGVVLNAGRPFRHSALHNWPFVATVAITLGI SLVMVISPPAWLSSFMQLTYLSWDFKLVIIGLGVLYFVLGWAGEHLVFQGLARLIGKL KVSVFKRTKVRKQYKVIQEQMLF MYCTH_48250 THAMAAGGPPVRPIYRYLATGLGASMWFWIFYRAKKDGPVLLGW KHPWEH MYCTH_2301244 MSILESREPGSAPSPRSFAIAHQRPRNSFVGCSRITDYEVLNKL GEGTFGEVHRARSKKTGALVALKKIIMHNEKDGFPITALREIKLLKLLSHKNVLSLED MAVEHPARSSDKRKKPIMYMVTPYMDHDLSGLLDNPSVHFTEPQIKCYMLQLLEGLKY LHENHILHRDMKAANLLINNKGILQIADFGLARHYDGEVPKPGRGGGEGRRDYTSLVV TRWYRPPELLMHLKRYTTAIDMWGVGCVFGEMLVGKPILAGESDGHQLEIIFDLCGTP TDENMPGWRSLPGAEALQSRPRQGNLSQRFREYGPGAVSLLKELLKLDWRSRINAIDA LKHPYFATPPLPAKPHELPSFEESHELDRRKFHDRRAALPPAPKGGTVGRGPHDGPNA TFNGDGFGGRNGVNGGRYPRNSRGPDDRVPAWHRDRGLPPRPPPPADGDHPDGYRDVR GGRPRGGGGGGRQDVDTYIPSYDRDGPRRDDRRPPPPPRRDDRDVRRHDWGDRRRDYD DRSRNSRTRSRSRSPIRDWDRDRDRERDRDRDRDRDRDRDRDLYRR MYCTH_2301246 MSVCPALDLTSVAYSTGSPLASPGLDDTGTCPAIPTGEDCSGPI SDLLGDIGVILGPMYRLGRLCLMDLELEDIARYVGKALGVIAFVLAVAAAPILWVIQT SFGALRSVFSTVFSAASSAGNGMLRIAITPFLIPWHIAALAWEVVLNLYDELEPVLIY FSFALVIGACTGTIIALLTRSALQVLRAWFPFLRPRRQHMRANRRQEDRPRRGDFGSR FGYEHGSSSKDREGGKGKGEDKHADSAVYWSSSDEASDSHKGSFRSSALGGGGWHSIA TPVMSTSSPSMGKSSRQRPPPVGVRVEDTIHEESSGENELV MYCTH_2301250 MEIDTPNDTAAGEGEGREKATTTTAKRKAHPTPNPDPRARAPNR TRTLATLTIKNPPWSYAHLTLANPSRIVEATSTSTTTSTSTTEAAKTTAAIPEATPAL DMLEIRAYLTAALRQFLGDTGAAIPVDILAVGGGDSSGDGDGDGDGVWVRVPRQDLNL FVGAVTAFAGQPTSSTVDSGQGGKKERMVLRVKAAGNWLGSLLGRGQEGKLWAGSDGH MYCTH_92919 MTTPEERAIELKNQGNKAFAAHDWPTAIEFYTRAIELNDKEPTF WSNRSQRCHKGDRAQPELRQGARPPKTRQPPTPPREADGFFSHQAYYRRATAYAAILK PKDAVRDFKTCVKIDPGNKDAKLKLVECEKIVRQLAFFAAIEVGDEPSAAEGLDVDAI VVEPSYDGVRLEGEMTQEFIDDMTERFKNGKTIHKKYVYQIILAVKKIVYDEPTMVEV DIPEGVELTVCGDTHGQYFDLMELFRLNGTPSEKHWYLFNGDFVDRGSWSTEIALLLY ANKWLRPNCFFINRGNHETDDMNKVYGFEGECKAKYNERIFKLFSESFSALPLATLIG KKYFVLHGGLFSDDNVTLDDIRRFDRHKQRQPGQSGLMMEMLWTDPQSEPGRGPSKRG VGMQFGPDVTKRFCEKNGLEAIIRSHEVRMAGYEKEHDGKCITVFSAPKYCDMTENRG AYINIGPDYKLRFSQFDAVPHPNIRPMAYAQNSIMSALM MYCTH_2301259 MGSTFAAIKTLIVPAIISLILFLVTTFVLVPLWQRYRNRYSQYL PLETISNQTLSLRARLHGVVVRFLAPSAWRARASNRVTVADRSSFDSDDGEELDDVDE SVARRTLNQQSGNGIDSTTRLSRDLEVGFMDDSDDETERNRGR MYCTH_2301261 MSSYDKSRATSGGKASSFFHRSKNKADKRSAGEDGRHLSADTDS GSVNSRHSRHRRDSSVVSLDRPSSAGSGINMTAGVMTTIPYDTVSVDGRSPLPVDYPP QPDQMPGRREPLPHQLNKATSDFHQYPSFDPSTVRPTSPYPSGPRPPPPSAASNITMA TTGRQAQYQQWGPPREGSLAGSYNSRYNSYTTSGGRSSGDTSSITSGNGASYHDQVAG HRSSRVALPSVSSQSSYLSPHSPRENRLAKFPSGHQTSEGFYFPKPDDDNVIEQMFLA LMQKRGWHNLPDQAKRQMTAYPPEKKWTLVYQDRLTEWQGEQKRRQTARIGQYSNVDL AQAPDEEGSPEWYVRKVMENSLDSKGFGSLEVNLRTQQIGWVRRFIECQGQVALTNVL MKINRKTGMGPGLDSSKGGDKNLDREYDIVKCLKALMNNKFGADDALAHQPVLVALAT SLISPRITTRKLVSEVLTFLCHWDEGKGHLKVIEAMDVAKNQQGENGRFDAWMRLVEV TIDGRGKMGSLVGASEEMRSGGIGMENLLMEYVVATLMLVNMMIDAAEKDLQMRVHIR AQFTACGIKRMLNKMEAFQYELIDKQIERFRTNEAIDYEDMLERENSSIKDSVEGEVR DLNDPVQIVDAIQQRLKGTKTQDYFISALQHLLLIRDNDGEERLRMFQLVDSMLSYVA MDRRLPDMDFKQSLNFTVQSLLDKLHTDSEARQAFEEATETRRIAEAAMAERDELREK LAMGADGLVAKLQKQIDEQARFIEAQRRQAEGLKAELENLQTLRAKEAQRYELETREL YLMLRDAQDVAASNAAIGTKLGNGDPARMQGILDREKLMERLQMQIERQKTIYKLEGK VWAATVSPSDKLRALREEMDGYGSSEPDVGSPPRDFANSMLGSVKRSTRIPRKPVGTR REQIGGVVEEVDDVAEKEDGEEEDVIYEKPRLVEFKRPVVDPKKAAAGMFNELQGRVK KVDGSDSEDGDGVTTGPSHPSLESQSPLTPSDIEPPKIEVTDTTPPAAAPVSGGSFPP PPPPLPGQIPGAPPPAPGIGGGPPPPPPPPLPGKIPGAPPPMAGAPPPPPPPPPPPMP GKVPGAPPPVGGGPPPPPPPPPLPGAKGMPPPPPPPMPVPGAMSGHFLSRQDNLTPTP SLGLSIVRPKKKLKAFHWEKVDSPLTTHWAAHTPSAEEREEKYLELSRKGILDEVEKL FMAKETKQIGHGAGKKDDKKQIISNDLRKAYEIAFAKFSQCSVEKIVQMIIHCDSEVL DNPVVMDFLQKDDLCNIPDNISKQLAPYSKDWTGPEANKENREQDPAELTRQDQIYLQ TAFELHHYWKSRMRALSLTRSFEPEYDEITEKMRQVVAVSESLRDSVSLMNVLGLILD IGNYMNDPNKQARGFKLSSLARLGMVKDDKNQSTLADLVERIVRNQYPEWENFTEDIA GVLTAQKINIDQLQADAKKYIDNINNVQRALDSGSLSDPKKFHPQDRVLQIVGRCMKD ARRKAEQMQVYLEEMVRTYNDIMIFYGEDPTDENARRDFFAKLAHFITEWKKSYVKNS QLEEQRRKNEASMKRKNALKAAQAASENNPASPTSTGAMDSLLEKLRAAAPQARDQRD RRRRARLKDRHQVRIASGQKMPDIAQLAGLDANPAATTQNAGSEASGQAAGDNDDPNK AAESDAVNSATGGQPTDGDGTPTAERGGAATATTTATATATASGGEGGGGGRVGDEDD VAQRAALLLQGMRDGADDAGSAEKRETLRRSRRQTADEERRMRRRRREMAQSSASNGD GGGGGGGTSLSREGSVVDGYGGKTDEEVPPTPGPQEMAAAVAAAGIEMPGKPEAPEQA VDGS MYCTH_47699 MSSSSQQQPPSQGLVLGYSSQHPFSSVRLSDRASVQALLATLLD PLEPFFSPGKARVRCPGATAVRFDQTASEVEGFARPLWGLACLLAGGGTYRGTQWWID GLRAGTDPDRPGEYWGYPADNDQRMVEMCPIGFALAVAPQIWQGLSEREKANVEAWLG NSINEKNMPNTNWLWFRVFANLGLKKNGGKFSQERLEADIKHLDTFYRGDGWSNDGPE GIHQMDYYSSSFAIHFLQLLYAKLAGEEDPQNAAEFRRRAQIAALDLAHYYDEQGRAI PFGRSVGYRFAMVSFWGALAYADVELPAPLTWGMVKGIVMRHLRWWQTQHNIWTSSGT LTIGYSYPNMYMAENYNSPGSPYWACLAFICLAVPETHPFWTSKEENHWDVIPRIKAL KHPGHIMSNLGGHCMLLSSGQACSYPMKGTHAKYGAFAYSSAFGYSVPPGLFTLEQYA LASQLGLSDDGGEYWKTRRVSDSSLQTRAGEQQQPEPVLVSLWSPFADVHVRTYLIPP RAETPNWHLRAHHIRAEGRDVLTADGGFAICSARAADGRLLGPWDPAAGEGTRPRLMG NYDLLTPEAWADGAEGAFAVSKGAVGVRALEGPAGRRTATLVNADPNSNLVESRTTIP TLQGTVRKGESVWYVTAVYAKPAGEGVKKEEYLSGWDKVPEVPAWLKEEIGL MYCTH_2301266 MPTRAEITYFGAGPAGLPTDVLETAAQALINYNDTGLGIAEHSH RSELAANIINEAKADLANYLDIPAADYEVLFLQAGGTGEFSASVYNLVGAWVARQHAA VLSELGAAADEAAVVAALRERVERDLKLDYIVTGGWSLKAYQEAVRLLGPEYVNLAAD ARAINDGKFGKIPDQSTWKLSKDAALVYYCDNETVDGVEFPEFPAALAPKADGTGPVV VADMSSNILSRRVPVSNYSLLFFGAQKNLGTTGVTVAVLRKSLLPPAVTQPSPALMRK LGLPIPPIVLQYETVAKNNSLYNTLSIFDVYIAGQVLKKLLKTYPDKVAGQQAVSEKK ASIIYAALEAHPEVYRIVPDKSVRSRMNICFRVTKNGNIDEAEKAFLKEATSQGLTGL KGHRSVGGIRASNYNSISLEGAEKLAKFIDAFAKA MYCTH_2301267 MADKKTTEAGPSRHTHPTPAASFPTHNSPRTWFLTSSLSPLSIR LIRLLLAHGDYVVACLPPLEIEDEERSAEFRELINECKSNRKDREGWKDRIRGIRCDA RAMGQCGAAIAEAVHVFGRIDILLCCRFEAVIGTVEELSATPATRNLVRDQFDAIFFS QVNFVRAALPQFRAQHTGHIIILTSIGGHIATPGMPIYSAATWALEGYCDSLAYEIAP FNIKVTIVQPNKEIQSLTNKIIFAPQLPYYDADVNPAPSMREMLFNVLNANPDTAIEQ SEDEIQYRYPRLPAAAYDKLVMETVHALTAIGGHENPPARHIVGFEGAVAVKEKLKTV TEELEDFVEASLAVDIFESELKAEAQQGRSKVDATGSGSGSGM MYCTH_2301271 MAVDPFLPVAPARLKALVLPIGHITHERFTAFVGRLNAESVVFL RDVTPDARPHRNMFSPLAFPDGAMFYDLIMHHPPASHLSLSPFELFREPLAIIAIADG VELPRTVFSKRNSGGRTVQEANIRTLYQDLEGLRDKYPRALAHQVLIFDYLTTKENPL PIPEGIVTIPPAELLKRTTIKTVMCDIAALILAEMTTLAKSYEGMSFIDSPGQPSTRP TGVEDEARTLPRRNSQFSLPTNRSSSTGGLPDRGHVRMSMPPVSSKGQPLDSTGSSPA RPSTPVSSNKPLPSPPSTFENIIGPMEPNSPPEQAGLSRADAGESFRSQNQDRVPVHG FGPGGLNDRWRNKGKSRIQIVIGSLYLQAGLWNHALKELTEGATIAKSLNDHVWHGKA LELTLISLLLLGWAGIEFRVPSILLPPHDKGTSAAMALEEAEIADPHQPPWLRHLQMH MPELLDRIIGLYSRISAEHLPPLPLSEAIIRFCKMSAAIHTTNGRLCRESLDMIVSGV PPRVPLTTSPRFTIQPTRTQIVATLFRAFPASSAELLTTVDRLVILSGIASVLGHLGF HRKKAMVLRELVSVSVGGLVEARTRGAADVGIHPAAGLIGLNGASARDGAGGLLELAE GDVEHGIDPFLELLMKTYGVVGGGNTPGDDGDRSDEAVVARIRKQSAARFFGMQSVKL NVLRTCINFSEALPDFAGVLKYSSDLLRTAGSGIAPGPRRENAYPSITKEEQVRLVTN ILKTSNLSKRLGIGPLEAEYWDEFLVRGVSLEALPPTRMPFPHAKTVLPGITALARSS QDVDPFIYNPFLKRPDTATVDRTLVAGEPATFRLTLQNPYEVEVELESIRLDAEGAEF ESAVETTTIGPYRTQIMRISGTPKAVGTVKVTGAVIKVRGCRERRFPVFADPWAPEDA VKIKAIGLGALGVNAAAVSPAIQRLKPAHVELNVITPQPIVVVKSSTLPQSSVMILEG ERQSFSVTLQNLSATTPVDFLLFSFKDSTQEPLQLALNNRDATATELYEYELVLAKKQ PLRLRNRDDSKRFIAPGQTATFDFELLGRPGLTHGLIQVDYAYLGVPHDEVAEKFYTR RVSMELTITVNASIEITRADILPLTSSIPAPLWSRATTTTNNTTNNTTSVDDNNKNKT PLPPPHLSADTHCLLLLDLRNAWPGQMTISLSSSSREEGQGGPKEYTIQVEESILPGH TARVILPIQRVYIEDPHAFIPALNPARQRQFVVSTKIAPEVERASREAFWYRERVLDS LRARWRTPSGGGGYGGGGSAAARWREGEIELRAVRFSARMVEAVRVDEVDVEMAVEGV PAATAGEEEGGGEDEEGGRRREESGRFVVNVDEFLQLRVRVTNRSARPVYPLLRLTPV LCHRPFNVSLDFTRKMAKFAWNGTLQQALPLLEGNGGTAEVRMGVTALCRGEFEILGS VEEARLWVDPEEETRRKDEESGQQGERKGVVGKTEAEAQAMILGAALGKKERRMWHAR RGCRLVVRDPPQR MYCTH_2301272 MGRPRILFLDAYDSFSNNITSLLTTLLGADVFVLPIDDPLLGTH PGGHHYDGDDGGADDNKDASSLSHGERRARFVAELKRYDAVVCGPGPGNPDTEADVGL VRHVWQLADDELLPVFGVCLGFQSLVSAHGGQVRRLRRGLHGMVREIHHREGGGGVEG DVFAGVRRFNATLYHSLCGDIGQDEVGEGEWEAARWRPSARCPDLVPLAWVEEEREQG EEKERILMAVKHRTKPFWGVQYHPESVCTDEEGNKVILNWFREAQKWNREKGRVALSE GHELARAATKPSLLSQLKLRPTEQDAPRWWELLETNPTLHSLMVPLPAGVQVPDIVEA VDSGCAERIVLDSANAAPASSRADVRGRYSIIAAKLDESLHVEYHVGDPYATIKIRSL GGSSVNLSEKIPLEPSGGVWGLLAAFHEKRRVPAAEPAHTPFVGGFMGYITYEQGLSD IGICLGQDRSHHRPDVSLAWVTKSIIVDHLQQVIHVQQLRSGHLETTDTWIDKTAAKL RALQDSPRRPSFNLSAVPPSLSTVGTRRPSMSASIKPPQTKEYEAKVKTCQEYIAAGE SYELCLTDQTTITRPISDVWTQLAPANPLPSSPRKLQQKQRFQSQHNGAFLNSPISSS SSSSSSSSSSSSSWSLFKRLRKHQPAPFASYLRLGPATLVSASPERFLTYDRAGRCSM RPMKGTVRKSDEVATLAQAERILHVPKEEAENLMIVDLVRHDLHGVCGAGRVTVPDLL KVEEYQSVFQMITVVEGQLPPRPDGHGGQEETGPYTGLDVLAASLPPGSMTGAPKKRS CEILREIEGHNERGLYSGVVGYMCATGRGDWSVTIRSLFRWDDEQVVVNTEDGPETHE VWRIGAGGAVTILSTPEGEREEMFTKLAGPLRIFGEDPCRW MYCTH_2058549 MPTAGLKTIIALSFVLAVGFLLVILSCALWHSYYPLLVVATYVL APVPNWICGHCANPDDFVESSGAAVLDLGRFCTGFLVVMGIALPVLLAHSNLISTPAM VMSIVGGLLIYGTIISFAMFFQEEQEF MYCTH_2301275 MFRNNYDNDSVTFSPQGRIFQVEYAAEAVKQGSVVVGIASKTHA VLVAIKRNAEELSSYQKKLFPIDEHVGIAIAGLTSDARVLSNFMKQQCLGHRLTYGRS MPVRTLVDMIGSKAQINTQHYGKRPYGVGLLVAGVDDAGPHLFEFQPSGMTEEMVAFA IGARSQMARTYLERNVDKFADCDREGLIRHGLRALKESLVQDRELTIDNTSVGVTGIV RAEDGSTKVEPFQVYDQQDVAAWIESVGDDKEGGAGVGEAEGEGMEVDS MYCTH_2077843 MATNGTSTPSSTTPKQTKICVYCGSSPGNKPEHVEAARELARLM AANNIALVYGGGTVGLMGEVAKTLVSLSGPDSVHGIIPEALVRYERDPTYTSKQLHSE TGTHMAVPEESVFGRTTIVKDMHTRKRLMAQEVIDGGPGSGFIALSGGYGTLEELFET ATWNQLGIHDKGICVLNINGFYDGILSWINKSVQEGFIHGDNKRIVAEAKTPEEAIIA LREYKVSEAVFKLSWNNQ MYCTH_2301280 MPEPTAGAGNQPARQQEGQMSIFRTIMQGVAMYMAMQFVMKQFV GGPKATTIRDANGNPVQVATGSQVPPYSERPKQLNDGAVYNRIPQLVAPIWPDNSAVD IVVTVSPSFGLTPLKDYSRDEIVLEEKQFRIGNWTDSRTAEGTIHVPTAVQHNGTLWG HFYVGLSNAQLDPTQRGYDPASAYHFVYPLTQYIPKKKEVKTRNLLEDAPEAAEEPAD EEVEQSGPIIANYYHPNVSLSFIPNTGTFSFPQSHPAVRQFLHLEATGARDGTGQNSW YYPILFVNTFWQLRSQMTLLNETVKTLPIRIDLNNLAEWKFKTMATIDMGAKESARQA AFGHSLPGGGDGTEIEMIKEIFIDTNPILLAVTVAVSIAHMILETLAFGSDIAHYRKK KDNVGISVRSILANVFMQTVIFLYLVDQSQNTSWMILGSQAVGIVIELWKITTVVNVR LRPAPGSIIPYRISFEDKHKLSETEEKTKEYDEIAFKYMYMAAVPLLIAYAAYSLIYE THKSWYSYVITTLVGSVYAYGFLMMVPSLYINYRLKSVAHMPAKAMMYKFLNTFIDDL FAFTIKMPFLHRLATFRDDVIFFIYLYQRWAYKVDYTRVNEFGQGGEEEPVVEEKQRD KENKSLPPASAQGTAKSTGFDAPKATKRK MYCTH_2301281 MSGGGARANRKGSLGSVDGSSGIGDDELRTVGEYLAAIATCMTE SLRILMFADKRQWGPDEFEQTRALEEALDEAKEDFQEMAPLVNGQFYYENDRTPESLQ TLRNLLDRFQSLTQNLRDWVRHGGPINPVWARETAQLRRALHRAQRRAAGRIFAAVQQ DPDDGGARCLGAARVYRQQKRIEAERARRPAWQQQQQHQHQQQQYQHGGQHRGRTGGR SHEGLEATRRPGDLVGGGGFAGSGYDDGGAADVVGEVGSGGGGGVGLGRRGSLEELVP SCNAVGRFRLFGEDSHDAAFVCDYCDGFIVWPDLQSIPSERTPLPPTAVSGYPHWQAK GISAQSGEEKQVVFPPVAIANHMPPEPGDFRAGLICPYCEEATYLDEGEDSSDVKYVQ DEKGMPDLEAFREHLEWYHTALPVPPISSLTSALPSASNCRVM MYCTH_2314627 MIRSTQIARLDGLMLCASVDDSETEQALSEVKSQVKLILRRLGR NSEPQATIESGAYALHYLISADIVYVAITDRSYPRKLAFTYLSDLAGEFSTTYPPQQL LSPVLRPYAFMEFDTFISRTKATYSDARATQNLDKLNDELRDVTKVMTKNIEDLLYRG DSLERMGELSSRLRDDTKKYRKAAVKINWDLMMKQYGPFGVLGLIMLIFIWWRFF MYCTH_2301285 MEGAAGSSPLSSPPASVVQVALGSPIGKEDQSPVPSDHQEATAG SLDVSPKESSATGHKEKAQGKASAKAKEKKRATQDSDEGTQSSTQKRKASVSRKTAPV KKARHTASEARKTSAQDKKWEAPFVFTDPRSPLAYADLRVRAPSLSLKDLNVLTSPSK AILLHPDAWDVLTPEEKKEVLAKCPDGTPILDAGTEAARPDLASLANDDNFRYDCVRY CENIELGRHDEEWLRQAWVAHEKHKRGDYDDFLREQFEEDWGIQMPVESKAQESEPPD AYKDVTTDAACPTPPDAPEASEEKGSSPRPSKPPDRSPSTQQSSDTRNDHANSRAVPQ GQEVAASTLAAQSD MYCTH_2301287 MAPSAQAHKRAHSLLLFEKLLNLRDSASPLTLILDSLEQSAEPL TNEFMRRAKLERAKIVFVSFATIKKPALADIFIRARGKPLKAFAAEIASHVTPPRTAA AKEGAAPPPVQKALIVIDTLNLPSHRLPPALLPAFFSAVIAAPTVSLVAVYHADVPAL PIIGHGHGHGHGREQYLPDPLTLLSHLATTVLRVSGLGHAVAARRARERSLPEPELGL REGREGALVGLLPRELGGEMGGGGKIGGAAEAAAVVVEMEMRRRSGRAVADRFVLTPS PSPSPSRADGGSKVAVMLMADHPAFSRAPPGAGGEGEGDEEEGESEREGRMEATFNLG LTEKQRRDREGVVLPYFDAQVDIGGGEGGRILYDMGREDDFDEEEDEI MYCTH_106950 MDRARGDRRREDDRAEPPRTRGDRSIRGEFDRPDRDRQQRDRDG RSITESPRRSVSPRRPLDKDGNGNGNGDGDGHPQLPTRSKPINGSTGGSGAAPAAPVS FKVKGRDGSHGPEARNQSTSTSTRENSQEQQQQQQQQQQHEREENERQQSRGRFDAEP MDEDEEEDVVVEDDGLDDMAAMMGFGGFGSTKGKKVLGNNVGAARKEKPTKYRQYMNR IGGFNRPLSPTR MYCTH_79171 MAQTPQQRRANLKFAKETEARMGKSESQIKKRAKDTPKSPISPF WLALLAFVVFGGLIFEIISRIFLR MYCTH_115110 MRPPLRTALVKASLTRPTTPLNLTPFRRYSSQTPSPTGAFYKTF TRPVAKSALLAVFVYQLVYFGWSKLEVEEIKEERQGE MYCTH_2301294 MHLSPLQSRLVASLAASCLLILLYLILFPPSFALAAELNDAFPA VSDDLDFSIDPAVLNNRDPMYEPEFSAFDRSITGRVPEGVTPLTDNEPLPMNIQPGST QLFVFVLPSGSPGEEDGRRHELRGEHNASREATAGAGATEQAKGTFEDGQGIGKRQAK QTVYISANTCDRPLPVDPSKTTQDAPQLTLFVSTSAENQAPGPLADQGSQDVVVFNEG AVMYSFNTDGEVYLGVYAPNVSDSFSTKPYNVQVVVSTDGYYFSYDDHDDADLIWVDS DSQGALLITHNLTDSTDPKLEAEIMKTQPYVLFAHNKKDRAINGLKYSYCGLYRHAQI AATKDERATPLVRTGMTKRGPGNLPKQQFFFSGLNSSAEYFGILARDGLDDGDHLATR QAAFKRGTRVFKATSFRTKSNHGNCALVIDLSFCDQIAYSVPSNPNFGNSTKLADFYD SYARSAYANFEKSLAQVPCDAPSSQRYSLVRNCTDCAAAYKDWLCSVAIPRCEDFSNT APYLQPRAVTQPFPNGERLDAETLASMPNTTAFTASRNPRIDEVIKPGPHKELLPCDH LCYKLVQSCPASLEFGCPLPRGIGFAGNYAKHDPSVGLTCNFPGSAHFPSAGERAVLD WGLMILVLVAGSLTV MYCTH_2314632 MSSPQDRFQHCISQLDKELSKYPALNNLEKQTSVPKAYAVLGVG ALYFFLIIFNLGGQLLTNIAGFIIPGYYSLGALFSADKADDTQWLTYWVVFALFTVVE SLVSVVYWFPFYYTFKFVFLLWLSLPAFKGADIVFRSFLAPTLGRYFHTSSSTASGLR AKADSLHTE MYCTH_2301302 MDSTHGKEAASHSVSPRAPLVPCTANATRLGSGTSKNPNTHNHI DALALAKPRKRPRSRGSTASIHSATTQANVEQSFAESADVYSSQWLPNGSSHPRELPN GSAQMTPEDLLLASQLQASRDFVQDAPMNAPMQNVFFHHHSHSMSRQSLSAESFAGNT SFADDSQMLERDVNDDGDSFQGHPAPAKSSSRSNANNELEMRQLFNSNKHRTLEDVAK ELLGNDRGPNSERARQVFAMLWINMVCSTGKGSVPRGRVYAHYASRCATERITVLNPA SFGKLVRVLFPGLKTRRLGVRGESKYHYVNFQLKEEQPDIRDSPIPHPVPWPENPSLS QNFNTLPAANASSTQSDRAALPAPAGIQQDGPKATRSRDFQHSLYNQPQVASFDQLQS TLGKTPQLLRFSTETSETFKQTDAIVLPRIEPYLPNGTDPDAAKSLVALYRSYCTSLV ECIRYCKEKAFFHLFTSFQGTLTMPVQKLFANPAIAPWIEECDFVLYQRMMRIVSGLT LQVVPKPVLDTLRNISERLVPHIRESFQGQPLHVVKAKEAPATIFAGLLDRALRVNLT AHAAANMLSNPANRDLMYMEWITMINVRKLAESIPSRGMDDVVNLLLNEMRDLLNPVN VPWEMECLTVQGEIAMRNGKAPPEGDNEDAESSNVLDRWVNLLRSLPSRFPYASDTDL VWCVQRLSTALMRDLTIGQGKSFGSWWVTKCWIDEMIQFMAEQGGFMQQKSTQSFNAA PKRQTANRETSHKGSRYSSASDDFGMPRVPESQPDRASLPSGPASNTQQTTHDDSGIG IRTPDEELPGDKFSLTPATTQDLFAGTELQDELGDI MYCTH_2301304 MAIEKEGTFEVDGHSLYTKSWLPDGPTKAKLIFFHGFSDHVNRY QAFFSALAARGIAVYGVDQRGWGRSVKKPSERGLTGPTSRVLADMAAFIRPHLPSSPA DVPAFVMGHSMGGGQVLTLACHPDYQESVVRPVRGWLLESPFISFSPEEEPSAVKVFA GRLASRLLPHFQLKHEIVPEHLSRDPEVRERLVQDPLMHNTGTLEGLAGLLDRTGALA RGEVRPQPGGALRSLWIGHGTEDKTTWFPASRKYFDECTKEVKDREFKAYEGWYHMLH ADGPESEQFFKDVGDWILARCDGEKPEAKL MYCTH_2301305 MDGPDLSKSISLHPHPFKTQSDSASRLAKPQSPRPPMSRGGAST AVETTEGGDDAAVARHPSVAAGDVEVESQRAGRFPLVGAGNDPYGLSQRYKTASQLAE IKANTSRKRDAAHQGPGVSAKASRASRLSKYVKPFGRKATTARRLEGFYLAQNETIER LLKSVEEHRADARQEQGEDHLKFKIAVWGSLAANIILTALQLYAAVSSGSLSLFTTMA DAIFDPLSNITLILTNRAVRRVDPARFPSGKARLETVGNIVFCFLMTAVSLIIIAFAA RDLSEQHGDLKRFHIPSIISVCAAFGTKLSLFLYTWSIKGKYSQVRILWQDHRNDLLV NGFGILTSVGGSKLVWWLDPAGAIFLSVVISSLWLRTAFTEFLLLVGVVAPVEIQQLI TYVCVTHSPAIRQIDTVRVYHSGPRLIAEVDVVMHPDATLQETHDVAEELQVKLERLP DVERAYVHVDYETTHKPEHAFKKDL MYCTH_2301308 MNRRDLHSAFTKINLWRQTQFRKIVYVDADIVAFRAPDELFDLP HTFSAAPDIGWPDLFNTGLMVLTPNLGDYHALLAMAQKGVSFDGADQGLLNMYFKNDY NRLSFSYNVTPSAHYQYLPAYRHFQSTISMVHFIGREKPWLQGRDRAFGDSPFDQMLG RWWAVYDRHYRREASRGEPSQPEQRVPEIVQYFVKGEFQPTVRYVVPVGEPPSEQFGN FQDQQYQAHDMQHLQQQQQRQHTHQAEAFSGELPHQHHDQPHGAHEQAQQHHPDLQMP RSPKPLSHQQHQVSDHQRGPQPPSQPGPQPQVESTPSWDAQRQPPPLDSKPEAMNFPQ THYAMSSDTAPFVPPERYPTPPKNMWYEMPKARPAKPEEKPKPIFPWETHRPKPTRVF ASVTQLEAPATGPAAKHIGEASEPPQGSHITQAQPAVSGSSSQSATQQQPGEPHTPPL RQPSPLQSLPPQPSPPKPSPPGPSPRPARPAPAATATDIWSSFPRTNAWDNVPEINRY VDRALQRHRRSRSRNLALAGGSGDGKEAAAAVTEEFGYAFAPARRGSKVTDFPSEDDR PSLPVTPAPIRRPRRWGSGTGGDFGGGGGTGEGREQLSAAEGVPGQADWVCVHGIRWT PADCLCELANILRSYKDPAAQLQKLAKEQSELLLRRLGGGGRGDNEGKEEVGAGKGSQ GEKAQRGEIPLRPLPFGSEGIRSPTYVAPTQPAVVSPTPIKPHTGTSPVNRFLAAAPD IAPSQESSAATGAPQGPVPAIPSPSYRGPGAAFEKGEDVPTFETPALPTEEERDILET MYCTH_2301313 MTKRSPAKSTKQKRRGRAAPRSATREQTPDDALYEIRDILDEKL VKGRLLYKVDWADNPATGERYDPTWEPAENVTRAAVADWEREKRRRQGIAPESSSSTA ETDSQPVLHPNWRAKRNRELWDAEDEERASKRHRRSVDSGYTSTDGDQSGSWAHVESV PQRKGELVLEISRPPGFDPSEYLRVFSSQSALSSQPADAAASQEEDLQRVAGPVSQRT IPDSQDPFDSLRTQSTARSASVPIECERGSAQDPDAELRISRSDLDIPSRQPGASQRA SGRSEGLVETHIESAAQSLAPPSPRLQSQLAPPLVDSPWKEGFLTQPNFELSIFGAET QSFRAVGPQFTSQEPVPYQEQDESSQQHGSAFGADPSLSGSENQAAQRISFHSHNPGL LTQNSTTIAQSSVDVVPDTVPRAPKRSSRSPSQQPFPRQRSPGNERNSPAPFTPRIQR MEGSGEEAPRLSALETVRRIQAEVFGPLSGDPPAGSNQEEPQLASPSAINPPSENFAE HLEASAVPPDSSSWQPPQGDAALMGGHAEYEQPQETVAPADLTTSNQLPDQDVEDTTA AFPDQPDEYPLNSGENEQDEDDDSHEEGRHITVTLPMAANTRAKYLDTVSENKSTLIQ FGEVFSNSFSDVPDASLVSKVDAIFEQLLNLCDLPAYDEDLPELGKVDMMKHATNTNS KFSFVYELLQELRDINLRFLIFSQPGRVFEYLEAVISTTGCPYTVLGQEGPTGQLVPA EGASVVLATVGQDLTKVQGVDVVIAFDHAARSAELPQTLGFESTAPIIMSLVAIYSLD HIDQQLDLVEQDLDSLERRNALNVATATAMDYLRNPDRQNSEPHEAAKKFAAFLRNPE VGLDWEPHPLPADIFEIWLSSQERTQNESRTQSHAQMGAGDRKRALSNLDEGIAKRPR LLESQQPSRNATPARMSDLLKQTLAHHTVAGPAVQMVEVPVEQLEKLSAKVNPKSPVA RTKVPVAYPTALQIAELESQLARESAIEAKTREHCLGLESQLRSYERTVQSLQPKYME ALRDRSAFEKQCQKAIEREKAATARLEAQTAEIEALREKNKLLESKLAEANNALATSA VPEIARLAQAEKDRAEALAAVERLEKKVRMIQSEAEYSRKAYQDASNAHTELNREYRE LESEIDEYKRRASENLRKIHQMHAQGEMAEIARQVDELQALLENRERELERAKEELKV LKNGRRETRQASVPRSPRLGVMSPRPARGMGAGSRGTSPAPPISSDGPGMGGGTDPVP GMTYFPPATNAGRWGHLRE MYCTH_2301316 MSASLLRVAARGPSSVLRANIVAARPQPVAARAGLAASTNSFST SARLRSAHQEETFEEFTARYEKEFDGVQDVFELQRNLNNAFAYDLVPAPSVIAAALRA ARRVNDFPTAVRIFEGIKAKVENKSQYEQYLEELKPLREELSIPLKEDLYPEEAN MYCTH_79157 MATETPLALLEKLPVPTLDRPFGIHLWPIFSKAFEYVVGYPADD FRFQPGVTPMSTLKETSIFIVIYYTVIFGGRELMRNREPFKLRTLFLIHNFYLTAISA ILLALFMEQIIPTVARHGIFHAICAIEGGWTQPLVVLYYLNYLTKYLELLDTCFLFLK KKPLTFLHCYHHGATALLCYTQLIGSTSVSWVVISLNLMVHVVMYWYYFQSARGVKIW WKEWITRLQIIQFIIDLGFVYFASWTYFASTYFPWVPNKGKCAGEEFAAFSGIAILSS YLLLFISFYLATYKKDGKRPSGRKAVRRMSQAPLPDPIHGTAANANGYANADAKSTGV KTNGLATRSRRA MYCTH_111088 MKPFSLVALATAVSGHAIFQRVSVNGQDQGQLKGVRAPSSNSPI QNVNDANMACNANIVYHDNTIIKVPAGARVGAWWQHVIGGPQGANDPDNPIAASHKGP IQVYLAKVDNAATASPSGLKWFKVAERGLNNGVWAVDELIANNGWHYFDLPSCVAPGQ YLMRVELLALHSASSPGGAQFYMGCAQIEVTGSGTNSGSDFVSFPGAYSANDPGILLS IYDSSGKPNNGGRSYPIPGPRPISCSGSGGGGNNGGDGGDDNNGGGNNNGGGSVPLYG QCGGIGYTGPTTCAQGTCKVSNEYYSQCLP MYCTH_2058513 MSRVDQQQQQRPFASVKAARPTNVTDSQALDGNREFRLSSKAAT FDEPIFDRFEDMDNQDTLIPSPNPGLANDDEVPQLPPKSALRRSKVLGGLGLSLGGAG GAAAGLGQATTPHDVYLSSEEDASSDADDFSDHDYDYDYDSSVEDPTSPTRGLAHEGI TARVVSVVFSGKPSIVDLPVSRRRPLSGSSLATTRTRSSSDSSAAVRRSTAASTTTTT TTSTTITTTTSHTAEDRPISPVSTVSSRHSRLSKDGASHNRRGSLLSEVLVKKKPPFL SIDPYANGSGPLEIPKALDSLEGETRSAKAPRTPTTLLKGVTRSLSLSRRRSRPSLSS PQTAVPKLDTSLTISSPNPNRHSSYSSYLSPTTEEEEAPACEQQEQQWQQQQSQHLQQ HLQPQQHHHQQQEPKTPMTPVAYTDILRAVKRSATVVGAPSPPSDIMSPTEHSPATAR RGILSGLSVRRRSVKVMGKPN MYCTH_2301332 MRSEPVSPLAGVAPHRADTIGGIPGGQAVAQCGLSASAKFIKAN LAPAAVLPGATSFNLFALRSTSDESALFDLCHRPTTGAGHVAAPQQCACLSSPDGRCE QQEPVDVVQGSTPSHFVRFYHIV MYCTH_2301333 MPYMLRESCQADLARTIAVTPNLRYVDLPEGLFTDDPAFLTLRL EVQARCLELRKMTYMEGSENSLQALATGKVWTNLEVLELIRIEMEPGMLRHVLGYLGN LRALKVSQTKAFTDETLEWNDMLPPFPPVEEFILTDVPNVTCEGLKSWLMLPEARQAL RVLTLNGTGARVWALQELLAYAPNLKHLSLTDRVSATLPAAAGSHNIPPLSSASLESL HFEITAAPSTPKYSNVSASYYNYLAGSLLSGGLPNLHAVYVRDPNFPDLLLGLPPPAP AYAEGRAARPGSSGSTSPFSSPFASPSTSPNNNAIRGPRGSLPPLQPPSAPFAGGGRG HRPQGSLSSLSGPFGSSSTSLNNNNNPRFSSNNPFAALASSSSSSSAAAAMAGGFMNL PAKLEVFTKGEDDDQLGWSFVQVGSGAEASSSLLGGEGGSGGGGGGGGVGGRRRGRAD GGERPLSSYGLGADVLGGSTAGWSSGAGARRSVLVSGVGGAGTGFLAVPLPGEGSGGG GGGMGGQRRRRENNLSVSSLGEDEWPRPKSSAGEKKRERMDLWR MYCTH_65726 MTKVTPFFANYRYKADLRQGLEVTVPRAVVKAEQMYALYKKLKK ELKFVKTRMKNYYDKYRLEGPCLERGDKVYLII MYCTH_2301334 MEPTIPELAETIQSMRDRGIGILYGRWLIEGAPRVLLFDTKTAY GYMNEWKADLWNVASIPSPDNDEETNEAVVFGYLVAWFLGEFVCHEKKKAVIAHFHEW LAGVALPLTRRRQIDVTTIFTTHATLLGRYLCAGSVDFYNNLQYFDVDAEAGKRGIYH RYCIERAAAHSCDVFTTVSHITAYESEHLLKRKPDGVLPNGLNVTKFSAVHEFQNLHQ QSKEKIHDFVRGHFYGHYDFDPENTLYFFTAGRYEFRNKGVDMFIEALARLNHRLKAS GSKMTVVAFIIMPAQTSSLTVEALKGQAVIKSLRDTVDTIERNIGRRIFERSLKWHDG DPMPDEKELISSQDRVLLRRRLFAMKRHSLPPIVTHNMVNDHDDPILNQIRRVQLFNH PSDRVKIVFHPEFLNSANPVLPLDYEDFVRGTHLGVFASYYEPWGYTPAECTVMGVPS ITTNLSGFGCYMEELIENSSDYGIYIVDRRTKGVDDSVNQLTSYMFDFTQKSRRQRIN QRNRTERLSDLLDWKRMGMEYVKARQLALRRAYPMSFNGEEEEDYIPGVDQKISRPFS VPGSPRDRTGMMTPGDFASLQEGREGLSTEDYVAWKLPEEEDPDEYPFPLTLRTKTAA VTSPPGGLPVNGN MYCTH_2301338 MSSSQPAAVPFTIENVLPGPLAFPSSPDVYRIRLQREHAAGRPT IKYLAAPNTVRIFTGPDAHHRRLTNLAFDRVPAGDWVVGRLSAAEGGGGGTKLELIST AGADALLGLGLASAGPVWCGTTVDEADCAEAAPASARWTTVVDAAGAEVRVPKPADLQ CMDYVSASVIPALQAVVARYYPGQEGEARVVLVSDWFPGHWAGIDNETRAYRIIQERD PGLAPRFLAHVTENGSRVVGFLLEHVPDAREAGPADLDRCRAVLGRLHALGIAKRGLS RHSFLVKGDGEVLVRGPFNGPPEEASEEMLRAEMESLERVLAKSPSEFEDQAARMLRL ADPQRVKLLGEFQKAHGFVLPFVYWLEERAGRITLTLEEYSILAKEYEDNDFAWSKEL QERAEKRFGLSAQGI MYCTH_2301341 MAQKKQLIINAFVESCSGHQSPGLWAHPDDQSSNFNDVKHWVKL AQLLEAANFHGIFIADVLGAYDVYKGPRNPDPAIVSGAQWPVNEPLAVVSAMAAATES IGFGVTVATTYEQPYHLARRLSTVDHLSGGRVGWNVVTGYLDSAARNLGYTEQPAHDE RYAIAEEYMEVMYKLWQSSWRDDAVKLDREKRIYTDPSLVRTIDHVGKYYTVPGPHIC QPSPQRTPLILQAGTSKAGKAFAAKHAEAIFVAGHSPSVVAKNIADIRAAARDEFGRD PSSIKFLALFCPIIGATEEEARAKYEEYLSYGSEDGALALFGGWTGIDLAQYGDDEEL RHVESNAIRSAVEAWSRAVPGIPKWTKQTVANHIKVGGLGATAVGTAEQVADEMERWV READVDGFNLAYALMPRTFEEVIQYLLPVLKQRGLFWDGYTVKGGTYRENIYGKPGLT RPPADHPAAKYHWKAE MYCTH_2117048 MALRNRRCFGGTVKTQILAEASSAIEPALPQAVGYVVVVVIGFL IAFVMVLATYVLKKTAGEDNRKTEMFMTANRSVRTGLTTSAVVSSWLWSTAMLGSTLV GYNFGVAGPFWFAAGCSPMIVFFALLGIACKLRVPEAHTLLEIVRIRYGTLGHIVWIV LCLINNIIAIANMLLGASSAIAALTGMHIIAATFLLPAGVVLYTFVGGIKATFLTDYF HTFVITVVICFFTIKTFTTPEITSIGHMWELITTAGAQHPVQGNQGGSYLTMTSKGAI LFGIIHILANFGLVIMDTGFFVKAFSAAPQAVVPAYITGGIAYFAIPWCLGTLMSFSA LSLEGTARFPTFPRRMTSTEVSNGLVLPYAAIAIAGKGGAVAVLLIVFMAVTSTISAQ VISVSSIISFDIYRQYINKNATDGDVIRWSHIGVVFFGLFSACFSTALHYGNVDLGWT LYMLGVLTCPGIFPTVFTILWKKQSKTAAIVSPLLGLATGIAVWLGSAHALTGAISVA STGETLPCVYGTVASALSPGLYSVVISYARPDNYDWAEFRKEKLALDSDTDSALSTTD PVVAGTSGSLDEEEAGRRASYEANKAKFRYWGKIAAAWSLATFFGHWVLWPLPIYASK YVFSKNFFSAWVVVSIIWVWGTMFVVGFYPILDGRKQILQVWRGLDNFVRHMLDKERS KHRCPL MYCTH_2301343 MAAHTVKLLRPSALQLDANTPCVLEIHMPMTLPHRLDPSLPAPP YHAGTITAEDFRDDHAMPLLRGLMAQIAAHMLGPEYAAATLAAQTTAKDDNIVLRLLD TWDGNAVTDRAGFDFAAGYWRDMHGKEGHGTIYAYEDMGDRIEVIHGFKFHLVCGIRE AERLRKMNPRVVRFDVEEDGGSGGVWILGMRWCLKSGSIPRISEHAL MYCTH_2056455 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_79143 MLVEKRKSYSVCYRASLAQNIAKNGFVVMPCSWCVSQGLIYKII AYIKCYKAYHELSKKLTRLRRLCQQKKFLVEKGADIVARGLSTLDELEAVKW MYCTH_2108940 MPHQGRRRNHRGDGNQYNGDRDGNINNGGNWTRGGSSSSSDSRQ WNGDGNDWNHGRNNRNNRRGNEHGNGSGNHKHRGNGNRYNNFSNRPPWADYILTLLWE ETFNPATLRDLVSATTYMLELAWIKRRKTGMTEQAIVREFQIPLPDCVRLQEMSRRMI DISLMPDIEGDTPMSGADGYPFLSGQNALGPAALGHETVGRIIGLGV MYCTH_2301373 MAARGGYRGGFNPQAGYPKGQYDARSFGHSSGHVTPVSSFHGSP AAQSPYGGSGRGWSNPPPFSPQGGQFSPQYPHSNYGPPPGPQGHYHSGQAHSPPYPPT GPAAHYPSGQYRGGHRGGSFRGSQFPARGALRGGFKSAQWHPQGDNGRTQPGSAEGSD PGHVTSHQSPAPSSHAEAQSEKGDVSMADSDNPFRPSKDLQVEDTSKVEKPKEETMPP PSRPPPTGPQSTTSNKFSFSMKNAVKPAVAAPRPEISLKFNAAPVSRESPTKPAAQKP PPPRPERDRGGFPRNAPTEPASERPRPVAPTGPSDRKVPEPARQPDTTPRTRKVKKIV RRLKEKPTLPPDLAASKSVFFRKPGNESVVGSGTYGKVFKGLNVYTKKLVALKKIRME GERDGFPVTAVREIKLLRSLSHKNIVQLQEVMVEANDCFMVFEYLSHDLTGLLNHPTY VLQPAHKKNLAQQLFEGLDYLHTRGVLHRDIKAANILVSNEGVLKLADFGLARFYAKH HQLDYTNRVITIWYRSPELLLGETQYGPAVDIWSAACVLVEIFTKRAIFPGDGSEINQ LEKIHAVLGTPSRKDWPNLVEMPWFPLLRPAYRRPNIFEEKYKDQLTPAAFDLLTSMF RYDPDTRPTAAEILKHPYFTEEEPAPRQAVELKDIGGEWHELESKALRREKEKKEKER ARAAQQQSGSKEEGPPGSSSARDRDRERKRPNEGVDAQAREAKRPHLDANGNVPKPG MYCTH_2301374 MVRPSPLLHVYAASASFVCPVMDKSNNNPRAPRSVASEPADRDT AEVASSESALTCRHGEPDACFPAHEPTLHVHGPSPSSSSNPPSSEAGAVPVDLSKPTT RVSLPVSLPVSLPSLFLQRSRIPSASIAPSTAEGKPIPPTDPADAHRTSALQKLNSKY PSASRSRGYTPEVSGAQSSTYSQPVLVRTYSGPPPSQTSRSARSRHYRPPSSSRAVSR RVPLPSSSAPGSSGPGQPIQPTVSDVGVGTTSSVGAGIDGYRTESDGGNVHGGVTMLP STKAKKAATTNSNSSSSGSKLALPWLWPLSSRQEPEKPKLPPLEAFSFKSFLADLEAR GTDNDVGADLDRIAEICARSRYSLSNQYEVHVAPHGSGASFITGPLPSSSVLRTTRGQ SRSRSRSRGHVQGPTLQVITSDDENPALLPHPKRRSAGGKRRSTAYGTLETIMSSSRS SEEGKEATKKSAAELVSEVRGRAARKAWGNNPSASVSGSSSAGNAATSASTLSGQHPS QQQDLNPTATKTSNNSNNDSKDNLARRKSASFATAIMDTGGGGRSGAGQTTTTTTESS STPSTSSSRRQQTKTRKRGESTSALLSDPAWPQTSGGHLGVEPTTSRPAGPASSPGED GRESNGPESEPGSGAGFGLAVADAHSAAARTGRREMGAGGDGSLVNSSWGSWIPWRTT GQAMQHQHQKQGESSAEGRLRQLLKNGGDGGTR MYCTH_2125404 MDVGTGILVAILCIFFPPLAVLIMAGCGADLFINIILTIWLWFP GVIHAFYLLFVYYDRREKYKKGTPVVQRAPFVYSDKIQSGGSTTYGRM MYCTH_2133332 MATQQNPPFKVDRYVVIHVATTCDEHGVYVTKDSAEVIELGWIL LDANTLDELHRESVLVKPVNTPITPLCTSLTTLTWEHVRNAGTFRDAINRFDAFASEH LTSQNLDFVFVTLEAWDLRVQLPREARDKSVVLPPYLQHSRAFELRTEYQRWQQHHPE SLPFGPSSLANICAALEVEAVQSSAPIKHNLPFHLQALAPASPRRAMEEAVTLARVLR GLIRKSQPPHEHPDVLTRPMDARADVRAFLSERSKVLHLSGLPHDTTQSELESWFTQY GGRPIAFWTLRTPEQHKPTGTGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVL DRAAEILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSFPAVTAGPAGEIGYGY GYAAPAMMPPPPHMGHHGHGGGHGRMGGSGVVPFRAGDWKCGNEVCGYHNFAKNVCCL RCGASRAGAAVVADSGYPSPMDAPSSYGMGQGSMGGNPGAGPGPFGTAAGGFGSGAGY GQHFGGPPSTYALPSGLGGGAAPYPPLNTHFGPAPGTTHSAGPFDSRAAEAAFQSAGN GPASAGPGNNFYSQNENDPFAFLSSGIGSLSVSNQDARQNGSGATQNKSPA MYCTH_47841 MSAPHGNGASGGDLEAARRRLARAQAARANATREEIEARGIRPN VQEIVSHMNRTPSHSFKRTRLDHSAAYKIYETEDDEDEGEEEEKEEEEDEEGEEEERR GGEKNGGRHLHEEEEDEDEFESQWQKMLADQGVRDANMFALAEFRQIARQRLRAMKRA TAEWRSLCSRPEAPPAPAPPKKGRFNLLGSLCSVTELIVEVCKHVRPVDIVNLYSVSK DFHRAVNQHMRSSVLAWACHMAPTAARVYSSPVYYRWFVPDPAHRLTTAADRELGRTG PGQARIEGQPPLNDKEGEVRLVPGLLWLQMVVNREIRVRDIIACLARRGHRLPEGAHL TLKKIWLVMDAATSQARMMLLNNPDFFGDEDLYIAQLFMVKLLLAFNDPVFGPQSSML MRLMLGQRGLSPLWALLRGHKYRTPAEIRRLKLRYDVGPEQIQDENLPALHGVEIDQL GVVHFEGWGTGPDHLLRPDELIPLECARRQLDLDGCVEEMMIYGHVDFETGNSLVPAL DEMYMSDDDLPPACQGWKPLKHELIHSGCGNDGDRYWRRIPSSHGPGEGGGGGGEGDD EYGRHQGAGHQGAGHQGAGHQGAGHQGADAGGTGGALSVGWDDFLLRNSGDAGYYHHE DGDDDDTEMGDDGDNNNDDDDADVETEGEDINILMGGLHVWQGQEDYQHLVAQQMAEA QDSDEIDMTRYTADEDIGEDERTRRLRDWFRPW MYCTH_79137 MAPGDVVDDVDVGIDDQEDAHMEQRLINEEYKTWKKNSPFLYDM ILSTALEWPTLTTQWFPDVKDVPDKNCTVHRLLIGTHTAEGKPNYLQIAELELPKIGH PNPRDYDDERGEIGGYGGKASSGEPAVIKFNITQKMDHPGEVNKARYQPQNPDIIATL AVDGKVLIYDRTKHSLTPTGTPNPQIELVGHREEGFGLSWNPHEAGCLASGSEDKTVL LWDLKTIQGPGKTLKPSRRYTHHSHIVNDVQYHPMVKHWIGTVSDDLTLQIIDVRRPD TTKAAVVARDGHSDAINALSFNPRTEYLIATASADKTIGIWDMRNLKQKIHTLEGHVD AVTSVAWHPTEISILGSGGYDRRVLFWDLSRAGEEQTPEDEEDGPPELLFMHGGHTNH LADFSWNLNDRWLVCSAAEDNLLQVWKVADSIVNSDDIEMPMNEMDASN MYCTH_92876 MNGIIHNCTHKDSDDVHFRLTEEEMFIAIFNYIEHLFSKIKPKK LFFMAVDGVAPRAKMNQQRARRFRTALDAEKAREKAIREGKELPKEEPFDSNCITPGT EFMAKLSQQLKYFINKKVSEDRAWQQPEIVLSGHEVPGEGEHKIMEYIRNARAQPDYS PNVRHCLYGLDADLIMLGLLSHDPHFCLLREEVTFGRQAKHKSKELEHQNFYLLHLCI VREYLELDWLRGKRMLENDEAERQRAKAKGKLIVSSEQKALWKKTIRKFLTNQGGSRT LDLGAGLNAADRKFVQELAEAAHLEWATKPDDEGHRHLILSYPARDEEDEEDEEAQSA VLRIVKKYDNAQVIDLTSADAKAALEANLATLPYSASLGFHGVNVFQQDSRNESMVVS LLETDLRTNVESAKVKLGQRCFVGYPFLQEAKIVKVSDELFDYTLADDGSGRIVTRNH APREIEEWTKKADRIENFYSKRLGILIGPVESLVSVHMLKGLIKTDEGATVKEYGEIP GMETEYASQIIVDEVVNEDERFIEKAALPVEEEFPVQSTGFFLGEYNYGQPLEVAGHS RGKLSIWLAVLERREPEFAKKIIHDAQRGMHYLPSYMVAKQLDLHPLTLSKITSSYYI RTVGDLRVNLGLNLKFDARKQKVLGYSRKSETGWEFSPAAVHLIVEYMTRFPDFFAGV KRNPSGAELKETDLWGDPTVASARVKEIGAWLKTLKTSSMERVPLDAEQLDSDVVMRL ATEADRLQLSTIFSRPKKMNGVPRNALMRPEDAEHRLGNQRFSLGDRVVYVARTGKVP IGFRGTVVGISHTPTAKLLDVVFDVTFMSGNTLGGRCPPFRGQTVPSTTLLNLSNHQV VAGSKAQVARQPVSASVTTLTAHGGYHLNGKRYQDAAAPPPLQASFRSVVNGAARGGR GGGGGPGGGRGAIQGNLPYRAHQPQRQQFDGSHVPANNGPGQAVRGRGGASQFRGRGA GGIGSSNRGRGGYPGPVGVNPNGIVHPASAPPMYAAVPPPSSLDAPRGGRGRGRGGAR GRGDGPVFRGRGRGARQQQTAALQS MYCTH_2301391 MYSSIVRLQNTFGFFTTVAFVVAAFIAASDLLSPRAPSVGVLKP TNVQVVRGRPHYYSQKKEEYAIIRFSLEADLSSLFTWNTKQLFVYVTAEWPSAGGSGS GQNATNQAVIWDSIITSPSADHLANLGPIAMRKLKRSAQGKSIDPSRGKLSIKNQRPK YQITHPTGKVAEQDDVVLRLHYNVQPWVGILTWNQDADFWKWKTLQNNLSKKFSLPAV KKKE MYCTH_65705 MGASDSKLVFKKGIFRLSEERHIPPNEPYWASFWELPESSEDIF SLFAPADVRRTRDNALENLESLIRAVTDRLTVLRHHPSFPDPDLAPDREALNCIRVLT RVLPFIYEKESLQQWQDELLWSPRRKPTRKATIANEVLFDGGTDAPPKAAPDDFEDAK PLAEELIDTLLDLLFFSDLTVPKAPQGKPKVTYAIWQSGVGCNASIPTTREHESNRSE ILRLLLTLASHSMYLTPSVLPQQGTKALTYICTNPDKQVVLSVLCSLWRVPYNALVLK DPKEILVTYTLQLLLATLLYPIPEQAGVPTPKNYYRHFLGRLHRPQDFQFVVDGMTRI LNQPLQTNSSYIPGAQPPVRFAPEIIMLFWEMTQCNKRFRSFIVDTERAHDFIILILF YALEYKNDASKQGLVRMCAFLLQTLSVEKNFGVNLNKSFEAQDTLPPAIRIAGFRGTY ADFLIQSIYALITTSQGRLTAIYPALLAVINNIAAYLEGLSAPTCAKIMQLFNSMSSP SFLLANETNHRLLRSLLEAINAIIEHQYNKNPTFIFAILRNRKRFEALRSLTLESGQE EIERRNRKHKDSGTSHDPLGPNSTRSSIESARGPAAAPSRQPTTLGDVPEDNTFAIGD DEDDSDDEARPTPAASSPSENPSAPSSVASAAEDEVPRQLQGMSEKARGKMPAGAGAF SRQNSIAGLGSASAGQTMMSGRFEPSAQWMESWLPELPLHTTLTVLQQLSVLIPRQVL ASDAPTHATLAKIRDVQLVGVEPSPVRVHSFEWSPLALGWYESLLWGLVFASELQVSK GTVGVWNTTQIKLFRVQETAAQGPSLTSPRGAVDAVGNNIVSRIGAINLRGVGNPSAP VTGANGQSPARGA MYCTH_2301397 MLRFWAACDKHWEEDDDDEDGFAKGWKPNVAITVFTGSSKSRKE HEPHTEATMPDGQETGLWPTSEEVASREVCYCPPPWALSPI MYCTH_2301399 MSSSALAQVQYTPVKQPTTPAATESPGNWKHPRLAEITRRQSRN VFTEKNVRQVVYNVVALVALGVLRQGVLPFVPAWAISPVLKQYSSWITATLVIIPLIN IALALLPLYRPRDDLSDIPLTPAQRRLLGLPPSSKPPTPNSVYSTPPRYSRTPSLAGS PASVKSYTSSPVSNIASPGSGQQYTSPRFSTSPSKLNVPQYSPSSASPLLHKAVGGGR RSSFGSTSPLNASTASSVFSDGPATPTPATGKRSTVGLNNKWLYEKGRRSSGNSWLHS MYCTH_2301401 MLDTLLIRLDESITELFGQWNLWTSAIFTVLVGVLTYQIATRQD PDTHPFILARQAHGSAVRQPGESSVYRSPAAPHGMPLNAGLNVKDPGASKWSRGRDGD LRDVWRQAIAGVQEDGPNKGATGRILTVLGTDKTIEHPLADVTRQINLIGQHIADQGG NRVAIYLPNSVELLATLFACAFYNLTAVILPFDEPADAVLSMLRRSAADTVVTAPGSF PFDAVAKSYPSLRQLIWVVDEGSKHLDWNEIPQGTGGSVSVSTWQEIVNDGSVDAGKE LPPLEGQKEPRDITVFWKPRPGQQEEMVRFTSANIVSGIAAQIFAIPTAQRMGPSDLF LPADSLANTHTLVLTLAALFSNASVAFNSVAAQADDLAVSTRGTVSPTILVATPAALL KTHQESLARINSSLVARIRHRLQVRKLTQHGVLPTGSSNNNSKLRLILTAERVGAGTP LLSTAVLTDLRAITGARIVYALTAAKVAGAATQTLFFDYRVLEGQQTHFGPPLTSTEI LFRDMGEWKTTDEEGSRGEIIVRGPSVSGGEAALGVAGKMRDDNTLAYV MYCTH_2301403 MDRQSVFTSRLYGTPAETEESNTQIRSLLESFILDFRLDNVFVY RDQLRENALLKKYYCDVNIGDLIKFNEEIAHRLVTEPAEIIPLFEAALKRCTHRIVYP HEPNVKLPDHQLLLHSNAEDVSIRNLDSLTISRLVRVPGIVIGASVMSSKATELHIQC RTCDHTQDIPVLGGFSSVSLPRQCGRYRAPGDPTEKCPLDPYFVVHEKSKFVDQQIIK LQEAPDQVPVGELPRHVLVSADRYLTNRVVPGSRCVIMGIFSIYQSKGSKNSGGAVAI RTPYLRATGIQTDIDQTAKGQAVFSEEEEQEFLELSRRPDLYNIMTDCIAPSIYGNRD IKKAILCLLLGGSKKILPDGMKLRGDINVLLLGDPGTAKSQLLKFVEKVAPIAIYTSG KGSSAAGLTASVQRDQSTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAMEQ QTISIAKAGITTILNARTSVLAAANPIFGRYDDMKTPGENIDFQTTILSRFDMIFIVK DEHERGKDERIAKHVMGIHMGGRGVEERVEAEIPVEKMRRYISYCRSRCAPRLSDAAA EKLSSHFVAIRKQVHAAELEANTRSSIPITVRQLEAIVRITESLAKLTLSPVATEEHV DEAIRLFLCSTMDAVNQGSNQGSRELNEEVSRVEAELKRRLPIGWSTSLATLRREMVE GRGFSEAALNRALMILQRRDTIMFRNQGAQVYRNGA MYCTH_2301406 MAPLGSQRPGQRRGSTASSVHSIGGSLDAGASSSDAVFESGQNA ISTLLQPPIVRTGLLPHTAPPASSAHRPPTSRDIPPVALTNIPHVEPSEFAPYLSQVG ALYEQLRRIQLDEDQGTNNTHRRGTKPDEYPEALDEAHLRPGRRPGLSSRRMSTASLS SLSSIDSAPAPRRSSSRPRRGQTHGPPPLSTIPAVYFDQDFHLENPRTFDVVSERSEV VRPAPGSNEKGASSNGQAAAPRKALATNAILQEKLSWYMDTVEMHLIQSISTASTTFF TALGSLRELHSEAADSVERIRALRKELEALDQEVATGGLNLVQQRRRRENVKRLHDAV LQLKEIVDRVAVCETLVDSGQVDQALDGIDMIENLMAGEAPNNSEMGIRLRDLRDATA LQSVSGDLAALHLRIGKSYEAKFLDTLLTDLRTHVEKVSPQEVLMRWSNASARTRGAH SREPSAQPAYMTGTKAMRAELLSSLAGLQRAKYLTAAATAYREAALREIRAIIRKHMP SSNEDDNESLMSTSTRTGGKQRSQQERSIMLARNLRALEPQDAEQLLINIYIGVSEAL RRLGTQAKVLLDVASSIGEPGGAPPGARSPLQSPPFSPTPRQASGAAQEAQEEIHLAV DIANLLGQAVDVAQEKIVKVLRVRSEQSKRLDLLWFLRYFTLNLHFANECEAISGRSG TTLKTVVNGHIKDFIQYHGDAENQKLAQGMESDQWNSADFGDKDTEVLNQLLESSTKD AAAWVEGTQVWLPHPDSQKPGEEADPLTTQTNGSSKTKTRSAVIDSEHFLLPNSAISC MHGMARFLQLMTAIPSMTSEVSTSLISYLQLFNSRCTQLILGAGATRSAGLKNITTKH LALASQALAFIATIIPHVREFVRRHCGSVTAAPGVMGEFDKVRRAFQEHQNNIYDKLV EIMSGRALAGTKKLKAVNWEQPSNSVANEYMETLVKETTTLHRNLTKHLPEDTVRMIM MPVFRNYKDTFGAAYRGLELKSEQARARMLQDIEYFKSRLGKIDGFEDAGDHLVSVIK KMTAPPPPPTPEPASQSPPATETPNGGEEGKKPAGEGQPPNPQPQASTAAAQAEKKGE GNDASTAA MYCTH_2301410 MGLSSKDSLQLREALQTAVVKCSERCLYQSAKWAAELLDALPEP DLDTMSDVPQSHIHTVFSPNPDPVEAEREAQELGRYLLAKSYFDCKEFDRCAAVFLPE PLLASLLGTNPNEVANPKGKGKAKATAVALSSEDTLPEISQKSMFLALYAKVIAGEKQ KDEETEMIMGPQDSGSVVNKQLVVVSRFLSRWFAQRKADGGDYPPSQGFLEYLYGMVL AKEKNDNLALDYLMQSVHLFPWNWGAWLEITNLVSRVEQLNKIAPHLPQNIMSFIFHA NAAVNLYQQGPDLASSLNDLLDIFPTSSFLLTCKALLCYHSKDLFAAEQEFNKVLALH PHRLDSLDHYSNILYVLNRRPKLAFLAHLCSNIDKFRPESCVVIGNYYSLLSLHEKAV QYFRRALTLDRSCLSAWTLMGHEFVELKNTHAAIESYRRAVDVNRRDYRAWYGLGQTY EVLEMHAYALWYYKKAAGLRPWDSKMWQAVGSCLQKMGRDRDGIKALKRALLADSYYD TTAASSFGSAGTVDRTSQMDPEVLLQIANMYDRLGEEEEAKAYMELCLAQEEGAAGGV EGSAGPSLGDSIAIHNDSPGSDAEAGDGLEGRAGPDGGTGVTFATSKARMWLAKYAMR AEDYETANRLAMELCQDGVEVEEAKALMREARSRMELAEMVER MYCTH_115096 MAKQTNLKEFEAVFPKLEKVLLEHAEQYKLPKQVVDWYKKSLEV NTLGGKCNRGMSVPDSASLLLGRPLTEDEYFRAATLGWMTELLQAFFLVSDDIMDGSI TRRGKPCWYRHEGVGMIAINDAFMLESAIYTLLKKFFRSHPRYVDLLELFHEVTFQTE IGQLCDLLTAPEDVVNLDNFSMEKYRFIVIYKTAYYSFYLPVALALYLLDIATPGNLK QAEDILIPLGEYFQVQDDYLDNFGLPEHIGKIGTDIQDNKCSWLVNQALAIVTPEQRR VLEENYGRKDKTKEAAVKKLYDELKLEQRYKEYEEKAVGDIRGLIDKIDESQGLRKGV FEAFLAKIYKRSK MYCTH_2301415 MQPPEVLAHSAGPASPPRQPRSPVREAFTFLTFGRKKSSSSGGS SRSTLSKRSRAPPPASSPSTSAHTETESRQSHHAPGVEPSLEMARGLAEDKYPPNPTV KPVVVRFGDVAVTAQITKETSTAELLADCGKSLASLGRLIDPDTSVVIEPCARPGLER RLRQYERIWDVTSAWDHHSSNGLVILPDRSDPDGELSLSSVPKTQSEPEGFVLPLYFL QRPGKWSQRYITLKENGQIFASKKKEWKTTDKDVAKLCQLSDFDLYLPTAAEMKKQLR PPRKYCYAIRSQEKASLFLDSTHYVHFFCTDDANVSRQFRSCVHRWRSWYLVNKKLRL HEEQVSSPTIMSQSDHDSRGRVSIDQSSRARPSMDRRAPLVRSASSSTRESTPSNTAS GGVVPPVPAMPPSLREKQAAVFEATGLLGNGYDERKQQALRQNLAARQRPGAVTSSDD GPFIDGPNLLNSHAALAPAAGGRAQSSDSDGSYDKWERPGGWFPPATQHSADQRTPRP SATAASAASSLTRRATAATRERGGEEARERHPPLHQQPAQQQQTQRRPTSSSNNSSTG PPQPLVDLTPSFVEPPQWSREKKGRGVRAPQGKPLVDLATGPVLPGSSTTRFRDASAP PKSLIRRPEPLLSTAGASGGAGSGGGGVPGGSGTTLMEQYDLRKAAGRRRGQTLALVE GEGGAASAPLSHNGLARSHTVKSIASGSAGGVHPPVPAPAVSSSAAAAAYMQGRAKMM MGQGEEEKERVRQGSRNGDMRGQGGMGWQ MYCTH_2314646 MSGKVALITGATGFLGRQVVKAFAFHDWTAKGTGFSRADGVNIL KVDLANSAEIENAIEEVKPQVVIHCAANRYPDKVDKDPSGTRVLNIEASRTLAQHCAR RDILLIYISTDYVFPGRPGEAPYEADAATGPTNLYGQTKLDGEGAVLGAFRDAGKDGL GVVLRVPVLYGEVEGGRNEESAVNCLMDVVLGKGGKTGQVKMDHWAIRYPTNTEDVAR VCYDVAVRYLGEADKASLPRVLQFSSEDKYTKYEICQLFGEIMGVPIDRIVPNTEGND PNSSVQRPYDCHLSTKALKELGIDVSTQDFVGWWRRECRAFRH MYCTH_2301421 MDTLLTAKIAASAPRYRRKSSTFIDGIHDVPDDQGNLAPAQLYS TMSGRLFHSGRIAIVMVGLPARGKTHICVSMARYLSWLGVKTRIFHLGDYRRATVEGG LVPEDYFFPNASPASLMLRQKILKKCREDIYAWLNHENGQVAIYDAVNPTASGRRALA KEFAKHDVQTLFIESYVDDQEILQENARNVKISSPDFHGMDPDEAARHYLRRIETKIP VFETMNEDELNYVKMINAGQAFFYNNVSFNYLSHRIVFYLTNLHIKFRTTFFVRAGPA TDEDSYKADAPLSEEGIIYAEKMTETLLRHREQERQAAIDRGEPDVPLRPLTVWTSTR HRTMQTAEPLRQRGYKVRPRSQMSQINPGVCEKLSEREIRLKYPEEVEKHELDPYHHR YPRAESYHDLAVRLEPIILELEREQNDLLIIAHESVLRVLYAYLMHCSTMDIPKLKFP RDEIIEIIPAAYQNEAKRIHIPGLDPKTIPGSPEDIRIPVPNDTSTQLPPIPGMSNSE SAEAAAARPPEKIVNNAKEMVSDKVADED MYCTH_79116 MASTSDAPLAFLRKNPVFSGLSDVYNAFQEKREKLGLPNPGLVE NVAKEVQRDVLTTNLMFSGLRADLTKAFSFNPLFQVSHQFAMGERLSPYTFAALYGTS KVFAQGNIDDQGSLSATFNWRWNNSFVTKSRFQIAPGATGQDMAQFENEYLGRDFTAT LKALNPSFLEGGLTGIFIGQYLQSVTPKLALGLEAVWQRAGLTQGPDTAVSYVARYKA ENFIASAQLQAQGALNASYWQRLSDKVQAGVDMTLSVAPSGAMMGGLTKEGITTFGAK YDFRMSTFRAQIDSKGKLSCLLEKRVAAPVMMTFAADVDHFTQQAKIGVGISIEAGGE ELQEHQDATGAQPPPNIPF MYCTH_2301428 MLRSGKLIHTDMTEHIQLALAECGWKDAALLEQQDTSEAFAFIA DALQLPLLALQVDLFHQGKGDADDHKVVHERCLNLPVPPDTEGKGIKLEDCMEAYFNN KVDVLRDSLEENKAADKPTLSPENTIRMVPADGDETPRAEKENTQLQRRWTTHGEIVQ SPVSASMSDAVASSRTRSTSIIQRFVVDGKDSNGPATDPETSSLLQRARRTGSNVVKA VTIPAWQFYRLIPWNASSSSAPSSDIELARHFNQRPVVAICLKRYTMTENGVPIRLNT FIDIPDSLRLPHFMVVDDAKEGQDPNGLSQGYKLVLQSAICHRGESIHSGHYIAYARV APKLLTDNRRHDHDPPPDYEEPQWVRFDDLAVERRVEPVDDIQECLRRQEEMPYVLIY QIVPMVDVTSASTDGSVTEPPCYVESTTTGPGTPTAEAVSDAGQISRSASGYFDPAAT LAHTAPRIRLSSEIERPQRLSFEDDLRSLANQINSSRAGSVTFSEPPLHVNSAVSSEA VSPVATPQEESTGARLSRAAAMFKTGASKSRPTSQASEGRISLTMSRFGFGRQSRESG SNGTAANSEASAIEQGGLAADGEEAAGGKDKDKEQHPFDHNKKEERARNKSKTRDREE KKEKGKGKAKNAGKEKEGVPDRECLV MYCTH_2301430 MSAKIPIAVRDRVSERAKKTLDIVAKFVEEDCIPADPVFEAQIG VGDARWHAHPPILEDLKKKARSLGLWNMFLPKGHYKESPGWTNLEYGLMAEWLGKSRV ASEAVNCAAPDTGNMEVLAKYGNDAQKAKWLKPLMEGEIRSAFLMTEPQVASSDARNI ELRMERDGDHYVLNGQKWWSSGAGDPRCKVYIVMGKSNPNNKDPYKQQSVIIVPADTP GITIHRMLSVYGYDDAPHGHGHISFHNVRVPASNLVLGEGRGFEIIQGRLGPGRIHHA MRTIGAAERALEWMLMRINDPRKTTFGKQLREHGVILEWVAKSRIEIDAARLVVLNAA IKMDEQGPKAAITEIAEAKVLVPQTALTVIDRAVQAFGGAGVSQDTPLASMWAGIRTL RLADGPDEVHLQQMGRNENRRGKEVAAKIAAQKAKSEQLMKQYGATRTEIGSNIKRSA MYCTH_2301433 MSSSTVLAARDVNTSMPAQGNAAFEIDANTKPNIMSMEHHRQVF QSKMEQGGEYVTTTSSPQTIT MYCTH_2301435 MVNITEKIKEIEEEMRRTQKNKATEYHLGLLKGKLARLRAQLLE PGPGSGGGGGTGFDVSKSGDARIALVGFPSVGKSTFLSKVTKTKSEVASYAFTTLTAI PGVLEYGGAEIQLLDLPGIIEGAAEGKGRGRQVISAAKTSDLILMVLDATKRAEQRAL LEAELEAVGIRLNREPPNIYLKPKKAGGMKITFQSPPKGLDEKMIMNILRDYKLLNCE VLVRDENCTVDDLIDVIMKDHRKYIKCLYVYNKIDSVSIEFLDKLAREPNTVVMSCEL DLGIQDVVDRCWKELNLIRIYTKRKGVDPDFNEALIVRNNSTIEDVCDRIHRSLKDTF KYALVWGASARHVPQRVGLGHVVADEDVVYIVSGWKA MYCTH_2301437 MGGLVIKKAYILARQDVLYRSIAKRFHTIYFLATPHRGSDSAQL LNNILGVAGPSHAYIADLERGSLNNQSINDEFRQYSGDVQLWSFYEMRKTSVGMFSAL IVDRESATLGYREERQTPMDADHRSICKFASPTDPNYRILCNSFATTIRDIENVRLQS REKQQRDQLKGLEQYLMVPDSPENDLIALQDIRVPGTCDWFMSRQQFTRWQDFSSHGP TIFWLRGQPGGGKSVLAGHVIGHLQRASQQCSYFFFKRGDKSKTRLSNCLRSLAFQMA LADPRVLEKLLRMRDEDVRIDKDDVRSLWRKLFEAGALAVAPSTPGHYWVIDGLDECI SDGSALSFLLSKLEGVTSLRVFITSRELAELESHMQLLHVNDGRTYCERLSSSDTLAD MTTLIQTMMQSWAIRDERDRTALGAKILVKSKGCFVWSALVLSRMTSAHGKEQINKVL DEIPHEMEPLYLEILESMSRMSENKALAKAILTWAACAVRPLTIQELNGALVLEMKDE FPNLKESILALCGQLVQVDKAGVVHMVHETAREFLLSGKLQSEFAINNMAAHTHLATT CFAALASKEMRPPRTRRRGPGPTTSSPKPPFVGYACEAFSDHLALSDPNNVDLCLSAH KFFGANVLSWIEVIARKQNLSPLIRTAKNLEKYLAAAAAIRPPLGKETRTMRSWSTNL VRIAARFGDAILASPSAIHWVVLPFCPTESAVYKAASPGQKLTIAGLHNTQWDDRLVC LNFHRGQTTAVCHGDDLFAVALNTGAITLYHTISCQEYMTLEHPEAVRLLEFDAQSEL LASAGRRKICVWSVRSGELIHSFALAKPLMSLSFEGDVLLAPDTACHISTWSMADGSV LPSRRWYDSVDEDDAEPAYPPCAVSISRGHRMMAVAYRGRPITLWDLEEDSFYRFCGK GSTDGVTGPYFANDLVFNPNEDIPRLVVAYQDGDIMLLDPLRDEVIACFRANTHTLAA SPDGRLVVGADGFGTIQVYDFETLRVIYRIKSVSCSIKHLAFSRDGLRFLDIRASECN IWEPTVLLKDSGADTSSQATPTGLGIEETAAVGYSVVISTMVIHPRGEFTICGKEDGS ITLYDMKTGAEVRDLYRPKSQCLTRMLGWWDEGQVLICIDASNTVTAWKLGKHPSNGW NIVEMLFQTRVGCVKAIIQLHVNQASGKFVLCTRDTDHLWSIDGQQEEGRISSTRHRL RMWIDHPQSPDHLICIDGPIVRVFAWEDWSETTSVSLQAAQGFSRAELQVKRIFPCFK GRKLLVDFSEQEGHQHTRDITVVDTSLFRLPNASSPSPKHPNPSTSPIDADLVDKIIH IIGVSDDKFIFVDIHSWVCSAEIEKPGATYLRHFFVPYDWFSKMRGIICGIIERAGEK NVVFARHNSVVIVRNALEFSERVGSVGEEGDFAVARRAGNDYNYYLNRTRITR MYCTH_2301440 MFGKKFLRRRATASPATSSVDATAVVLTQPSRTSTSGTSLTTTT SALASNAADDTVGPLGLNLLYSPVEEPAADFIFVHGLGGGSKKTWSKTHSPNHFWPQH WLPLEPAFQRVRIHTYGYSCDWTKRKLDICNVHDFGKGLLSELSTSPLLAEAEVSRPW KAFLVWA MYCTH_2117072 MATAHPAEKSPSKPTNFRVGALVLDVDTNTILATGYTLELEGNI HAEQCCFMKLAKEHNVAEDQLGPLLPKNSVLYTTMEPCNKRLSGNLPCTERILNLKGV IKTVYVSVLEPEKFVRQNEGRKKLEDVGIKVELVGGLEDRILAVATAGHEQKGEV MYCTH_2057810 EFTARLAAFHETGSSPNGKFGFHITTCVGNLPQYCGWEDSWEVF FSKSLKQALEHEIAAQGPDPEIDRLAPIIFETVIPRLLRPLETEGRSVKPSLVHGDLW YANTATDADTDAPLVFDACCFYAHNEYELGQWRPVCNRLGPEYVKTYHSYMRISPPEE DYEGRLDLYKLRFNTHVSALFPHDRNYRTQYDSH MYCTH_2035386 SLAQDPDLETCSHRHDILAIAAEADEALPVPMTLYHWCPPTVHA TTTVVLSPRLLSMVKGFTFLGTFFLGDELDMSEMLTRLLTERGGNPEPTSHVLTGLIA ELAVPGQGTFMHFFSFPVFSNNPSHVFGDGLFPVWKWVKPESIYRKMGFWEADLSKVL DHGEWNAGKDLVLLSGGVAEETL MYCTH_46410 MADVDTTHDAATTTAGDAQNPSQELAVTTTNNEVAPPTEKKVKK IIRRKKRPARPQIDPALVKSEPPPQTGTVFNIWYNKWSGGDREDKYLSQTHAKGRCNI AQDSGYTRADSRPGSYFCLFFARGICPKGQDCDYLHRLPGIHDIFNPNVDCFGRDKFS DYRDDMGGVGSFTRQNRTIYIGRIHVTDDIEEIVARHFAEWGQIERIRVLNNRGVAFV TYTNEANAQFAKEAMAHQSLDHNEILNVRWATADPNPMAQKREARRIEEQAAEAIRKA LPAEFVAEIEGKDPEARKRRKLESSYGLEGYEAPDEVHFARGPNAVNPRGREGYELEH EQRLMIEAPESQTAEAEEHQESEEPQAGGILSGSTLAALRAAQVSVTSKPKEAAPSGP LVAYDDSDDSD MYCTH_46086 LNTPTHFLLLLSDSALPLGSFAFSSGLESYLAHQKITFRRPSSF ASFLPLSVSSYASTNLPFALAAHRDPSFSNLAALDDALDAAIVCTVGKRASVAQGRAL LSVWERAFAGALAEAGIGTGTGTGTGTAPADSGYTPEEEEEDPARPPPVAAHLAPLFG AICALVGLSLEQTAYVFLLGHVKALVSAAVRAGMFGPYQAQKTLASGEVQGLIAAMVE REWATPVEEAGQSVPVMDLWVGRHEVLYSRIFNS MYCTH_2301444 MISWALKRNSDNARDATASDDTTQIEAPDTPAPVFAVRALKTAL FGTPAPRDRRPASKTGKDNTAPQNGDPTAAIASTDKSPARPPGILLTPGTGTTRRKRV SFDHDVKQGSGGTARASTTGLPDNCPGKFPSPWVDRNGDEAQSRPKTKLQQAMEKSRK GSVKQNASDVKDFAYPSKEPEDAWEEVEDDDESDIEADVTTDLNEPHSRSGKYWKSYF ETYHSDAKTEMEKLVKYKHLAKSYAKMKDAEALELSRKLKEEQEKVKAMEEKVAEMSR QVASASTRKGGESDQALLDEVKKQTALAAEYKLQVEELENLLRNKIDEGGGDGRQRQR RTASPRTQQTLMETQRELRRARSQLRELERLQEERDRLRSDLKFAEQRASKLAEENRK LSSELSLGASRIKDLEKQLEDSKGSYEKLKDDAKSRYLEAQQVLQKKNAKISELQEEI ESLKQGGADHRRATRSTRAKSLDEKAIARRPLEPMEKEGARMLRELDGPRRQKDGEKG ATGSAASAATRQRAKRSREDLKRVPHDDETLAQARALREKLEAEFGTKGLPSASVFDD RGNLQDSRSSASSGRSAHSREDQPNASRPDRPSRSTWAATSTEKAALDDLIAGCRENR ESKTPLEQPRRVPIRPVSRESDASPDTGAANAQNSAVWSTMKTSRTSIPEHRKAAALA RIQRRIAERKMLQQQLGRDKENARSNA MYCTH_100654 MAPKKKLGKQPKQDENDSFPGLKGPGEPASKTRYQELQEDEVMA LQAIYGEDFIQHSAAHTAWHKAEPCFDIRIKASSDHEVAVTLGVVLVATYPKSPPLLN IKDEGDLRESTKFKIQKFVETQPRVWANEEQEMIDRIVEGIRDILEDAAIKKAQGQEL PSLEEERAAHEAELAKQARDEKELEERKRLEESKEEERVLGDMVQEELRRQRSRAKES RKKNRTQRLSPDRLAEDPAETDVALVLDQPCKLTDASGNALYFQTVTGRTVYREGPVT TVYKVKPVLPVRNARPSLALKQVELKYHGKDSVQLKKQLQSLELQLESLKKLRHQNLL ELFDFKIDRSVSETDSSSPALWTISVLTALSDKGPLDELLDLAGHIDISKAKIWTTDL LDALAFLHNNGIVHQDVHPGNILLCREPAGDVVPKLADAGYQRELHNMNTKVVTLTTT RAAKSAYWFPPEIAGVSKPQYTQKTDVWDFGIVVLQMLFGLNVPEKYQSPSALMESLS LSGPLEELVAKFFKSDPKKRPRAFELCSSEFLATNAPILAEDDSAVIGGPMMPLPLGL PRRQRHDSMNRTAVASRYLQDYVEEARLGKGGFGEVVRARKMIDGRLYAIKKITQRSQ ETLSEILKEVRLLSQMNHPAVVRYYNTWLEEVPDYSDTDGDTSTEGPEASKGTVSRSI NIEFTESKSRGLDFMSSSGHPYIEFGYGSAIDDDDDDDDDDDDEDEDEDEEDVSSSDE DTSTLNSKAPKDQLVVPGSRVRRGSGRPYRTIMYISMEYCEKRESQEVWRLFRQILEG LVHIHSLNIVHRDLKPENIFISAGPDGVENVKIGDFGLATSGQIPMDKTASNADASDM TRSIGTAVYVAPEVRTGGSGSYTAKVDMYSLGVIFFEMSYPPMLGMQRALVLEQVRRN PPALPSDFKPANKNHTEVLLSLLTHNPKDRPSSVELLKSGKMPVQMESEAIRRAIAGV ADPNSPYFQKMLETLFSRQVEQVKDYAWDMSSSIPSPADLMRRFIVKDVLISIFRRHG AVEAPTASLYPRSPHYGPNAVHLLDKNGTVLQLPFDLVMGHARSLARVTNCTVPQRSY SFGNIFRDRHDGGQPDVYGEVDFDIVTTDALDLALKEAEVIKVLDEIVAAFPTTSSTP VCFHLGHSDLLHLIFEFCGVEVGARRAAAEVLSKLNIRNFTWQKVRSELRSPLVGMSA TSVDELQRFDFRDTPSKAISKLRLLFDGTEYSEKVSSTLAHLREVYEYTKKFGVTSKV YIAPLSSINEAFFRGGILFACLYDKKVKDVFAAGGRYDGLIKEHRPKIGSRFEERHAV GFSLNWEKQLAKPVPKTTGKAFLKKTVDEENHGIFSAKRDNQLKIKNMARKDAPDADI AAKELLNWLKSEIRDRESRSGMRLRSTAGMPHSDWTGALPTSATTEHNNQQEVHVLVA QTKSKKFNRRAVVEQAHASAARLVQTFLEGPIAAIETSDAVVDLIRGTSLSDPESWRR VEHGVGTAEKKYVREIHDMLKQWRWEWETRKEGSAPNAFVYNFRTGKCVYYDLGA MYCTH_2090548 MSRAAAPSGAKTRLMSEMKALRKEKWINFEDEEQENILKWRFAL MVINSDSPFNGGYFRAEMVFTDEYPYQPPKFRFLIPIFHPNVYPDGQLCISILHKPGE DIMSGEDASERWSPLQGAESVLRSVLLLLDDPEINSPANVDASVMYRDRRGEYYEKAR ETVERSKNDIPEGFETPTSFEAAPPPKQEDDDDFWAGSSDEEFDFDGSDTGDDEEMDF EEEEEEEEEEEEEEEEDK MYCTH_2117078 MAFNEVAVSPDMIETVMAVTGFGDHALISNALKGNQGNVDTVVN EYLDGPEKFRRKYGWDESAFSSGRDGENTPNTNANTPSFVIHAPVIYGTEQNNFYGAP SRPPSRANNKSPISRLVDISSAEYTTDRPSNRQEEEDQLQRAINESLNTSGIQSLHAF PPPPPPLPQQSGVTTHDGASSVQFGPATRQNYDADEWAMVRLGHTERDPDPSLRARKD GAPVFLRCRKWNDWEKHRIGALLMIYQQIPAVRNALLQTGETPRYGYGNKTDWWQGEP ILPPQQPVTDGWYDDAIVSWSDEIHRLMAFLELSDRAYGTADVVARARHPDTRDTGDA ERDFFENFAESETGEAARENRETLVSSVEIVAFDDASPQGGDCFGLLDLQIPETFYLD RYLKSNGKKLQELQMETVALLKAYDANLHKEERLTRWVNPQTNKVYDRRVITKAAVRR CHEAIRRIRYRAYWRKHEQASADGEGEYYLPEHPGEPDLLPEEAAVVAHYEAKIAELE NNLAEIERVMDELILRERRVIHQVNRKISSLLTVPSADEEWNPTHKYTLRGVVNDMNT VYYRARGPTGQSNASPADGAPQSEEEKWWKVSFKPEDNSVEHKPVTYETVMREACGTG CRPIVVYATDKALEQDNLPLSDALKTFVRLDNRLFKQELLQSNRLGHKRSAGVGDGSQ SKRLQRSASMDSIDTNHASAGDYDDDMRDAPFDSDSMFWAGAGAGVAASQDQSIPDLV DIPPPTARMTAPPSYENFVEMETGVSPALAQVSLQDIKNSDSGGGGDDGGDSPPKMQE MQELPSAQLFARRNNNNSGAATIIHGGVPNGTAQVAGEEEPLIDLSDPKDGGQRKSI MYCTH_2301452 MLGRQRIAVSVVLASAAGAFAPFLLFPKMLRLDSQPDSRPDKPV ASESPGSSKKLVFISPSPALEGHETGSDLDFYETLDQDYSEAIAHLPVEEAILTTAPH VPPPITRDYPVLLRVSLTAYTKLIQLTNRYQYEQWTFNGTVPAPFIRARVGDVVDLTL TNRDATGNPHNIDCHAFTGPGGGSALTTAEEGETKAARFRLLRPGIYLYHCAAAPVPV HIANGMYGLMYVQPAVPGGGEGLPHADREYYVMQSEFYHEPPEVGDDGRPSRTVEFSY PNGLAEEPAVVVFNGRESAATRDAALRARTGENVRLFFGNAGPNLTSSLHVIGSCYRR AYRDGDVLGPPARFVSTVSVPPGGATILDMKMVVPGTYTLVDHAIFRLDKGAVGFLNV SGKPRPDIYGSQEPPAPCVGCKLHS MYCTH_2301453 MSSKIEKIIARLQKRIAEGAFEEQYEAAQETRLVAARYTKQSNW SAAVDILSNVSQTLLRAGQGGSGGDLAILLVDVYRQAGQKPDGSSRGKLLTCLRLFER GEPMRKKFIKEMMDWSKKLGDFPAGDPELHHVVGAMYAEEHEAEEAERHLILGTKESA EVLSRMEYEWYKEDESHTAPLYCARAVLPYLLVANVRAANASYRAFVSALVADNKGLA VQDVSSQGSDVRIFPSLPLLNFLGLLLLAVQKGHPDLFRQLKTKYAANINELGGAWDT ALEMIAEMYFGIQRPRQSNPLFDMMGSLFGGGAPAGGSSRPAGRRVEAPAAEGLD MYCTH_45915 MTDRHASSSSRTHASGVSKTRSGVPSSSSVRRNLFPSQLTRRPT ALSPAPEDLHSDEDGFKDEIVARDHNGEVELGDPPTPLIDDPGDTEAIEARHVKEIER QRLAEAVKQHRIGQHNMPAQQEGKTFHLVEFA MYCTH_2301456 MPSATLPQKRVFGEASSARRNIVATPVSSKKRRVDDLPSSSPSA QLASSQSDGKGRLASSQQKSTFETEVLERMSQDISGLKENNAEKDQIWDRPPVPSGYD PEKDSLCFQAIEAEEGTIAGGQATIKLFGVTENGNSVLLHVRDFKHYLYVAAPVSFTA EDCPKFQAYLESQLALSQRAIHSVTLTMRENIYGFQGNVQSPYLKITVTDPKLIGKVR STIERGDANYKKMWKSSDGTIMTFDNIQYLLRFMVDCSIAGMAWVEAPAGKYSLVHDK QSNCQIEAQISYRDLISHKPVGEWSKMAPLRVLSFDIECAGRKGIFPEANHDAVIQIA NIVTKYGEKKPFVRNVFCLDTTSPIVATQILSFDKEEDMLSAWRDFLVQVDPDIITGY NIANFDFPYLLDRAKHLKVQGFEYWSRLRGVKSFSKDTSFSSKQMGNRDTKATNIHGR LQLDLLQLIQRDHQLRSYTLNSVSFHFLGEQKEDVHHSMITELFEGTPESRRRLALYC LKDAYLPQRLMEKLSCLENYTEMARVTGVPFNFLLARGQQVKFLSQLYRKALEQKLVI PNLRSESSEEQYEGATVIEPIRNYYDVPIATLDFASLYPSIMQAHNLCYTTLIKKKDV EKWNLKKDEDYIVTPSGDMFVTTKQRKGLLAQILEELLAARKQAKRELAAETDPFKKA VLNGRQLALKISANSVYGLTGATNGKLPCLEIASSTTGFGRQMIEKTKQEVEKRYTIA NGYSHDAQVIYGDTDSVMVKFGTTDLAEAMKLGEDASQYVSSKFIKPIKLEFEKVYFP YLLINKKRYAGLYWTRPDRYDKMDTKGIETVRRDNCLLAQTVIEKVLRMILIDRDVSG AQEYVKDTISDLLQNKIDMSKLVITKALTKEDYAAKQAHVELAQRMKKRDPGSAPGLG DRVAYVMVKGAAGSKNFERSEDPMYVLEHNVPIDTKYYLDNQLAKPLTRIFEPILGSE SKTKSLLSGDHTRTISVAAPTVGGLMKFAKKTQTCMGCKKPLTGKEESQGAVCADDAP RIGELYKKTLDKVSDLEVRFSRLWTQCQRCQGSMHCEVICSKHVWRGQTGG MYCTH_2301462 MDKPSSHNQTGSLQVNGPLSPPLTPAVNGTGNATGTLDGTNKSF PGGRPASPDPSISSRSGSSSKNVSSTTSSPRPQPASIFTAPSSTYSQPSSTPDLRLLE DSTPQLPKRSLLEAEHKGHATPQAPQAAAAAAVTAATASSQQKQQQAPGWRKRRVYTD GRQDAPFPRLSKPVELLRGAYDVVVIGSGYGGGVAASRMARTGQSVCVLERGREKWPG EYPRGTADAVRELHCSGAFAPGWLKGLPIDAGDPTGLFHLIMGRGQSAVVGNGLGGTS LINANVFMEADKETLAMKVWPPEIRENVDELDKYYAKVEAVLEPQEYPDEWPKLAKAE LFKKQAELLGLGHKFKKVRQTTRFTNGPNSCGVEMSPSTLTGQDTTGINDGSKNSTLV TYIADAWNWGAEIFCECEVRYIEKVEHDEGYRVYFAWHGRNRGLFKANLHGDLMWVHA KKAVFLGAGAIGSTEILLRSNAMGLGMSDLVGQNMSGNGDMLAFGYNTDEKTNILGSE KPSPYDPIGPTITSVIDCREGNENPLNGFVIEEGAIPHALAPFFQAMLDLMPGKKESE NETLFEKTQAALARYGSRILGPYFKKGAVEKTQVYLVMSHDSSQAVLSLVDDKPMLEF TGFCRSHHVKRLNQLLEKATEAVGGTLVHSPFQAVLDQQITVHPIGGACMARDNTGKT GVTNHAGQVFTGRGSETYDGLIVTDGSVIPAALGANPFATIAALAERSVEMYAKSKGL TISEEKNGILDLLGEPQHAPRRDRPSHKREQIRMMEEQESLDMADKVIRSARELSAGG IGFTEVMSGFIHHDENLTGDDRETYELAHRVAKSRCESARFFLSVQAFNTRELVRHSQ HRGMLTGTFVCPAIPGSPFMVQRGEFNLFILNNKAPGTRNLTYDFDMTGVDGRRLHFH GYKVVDSSVALAPFEFWRATSTLYVTVSKHVEGMCADLDDEDAWRRGPVVAKGVMHIR PADFLSQIETMTPTGSNVVRRALSAASFLTYFTRKSLSLLLTPLTPLEYPTKTCSGYI NHTPPDRSVDIVAPDGVCSRMHVWEPTHVPEDSGVRNLFMIPGASVDHQIFALPTIPF NAVNYFTRAGYRVFVSVHRISQLMMAGSHWTTYDARLDLRACLEHIRTAYGPEPVYTV AHCMGSVAFASGLLDGTIPASWILGITCSQVFMHPIWSPTNMAKVLAGPVPMDRLYSL VAGSWFSCGASPPGDAAWPQRALDQLLRLYPQPRREMCSSASCHRTSLVFGRCWNHAN LNEATHRQIDRFFGGVNMTQLRLLMRMGRDGHVMANPPLFERLTGPDNVARLRGLPVF LFVGRDNAVLSPESTVRSYEVLCDAFDPADYRRTVVPGYGHLDCWMGRNAWKDVYPLV REEVDRVTRCEHYEFVEPDDRFKAMVHAGELLY MYCTH_2090554 MSHLAPEPEFEQAYKELVLTLENSTLFQKHPEYRTALKVVSIPE RVIQFRVVWEDDAGNVQVNRGYRVQFNSALGPYKGGLRLHPSVNLSILKFLGFEQIFK NALTGLMMGGGKGGADFDPKGKSDAEIRRFCVAFMRELSRHIGADTDVPAGDIGVSGR EIGYMFGAYRRERNKFEGVLTGKGLDWGGSLIRPEATGYGLVYYVGHMIELAGAGNWE GKRVAISGSGNVAQYAALKCIELGATVVSLSDSKGSLVAVDDGYVTVEDIEAIMDLKV KRKALSEYTPKGKLRYIEGARPWLHVGHVDVALPCATQNEVNKEEALALVAGGTRFIA EGSNMGCTLEAIEVFENERRAKKGEAIWYAPGKAANCGGVAVSGLEMSQNSQRLSWTK EEVDQKLKDIMKNAFELGVATAKKYVESSEGELPSLVAGSNIAGFVKVAQAMFEHGDW W MYCTH_111073 MPSFRKTLGIAMAGLAAVSSALPALPKLSKDQMRIYEHAKRQNE AAAALGLNDIDILQFALTLEWLEATFYQQGFAKFPATDFQALGLDQQQIDALLKVGKT EQEHVVLLQSAIAQAGVQPVQPCTYKFGFTDAAGMVATAAVLENVGVSAYLGAAALLS SGSILTTAGSILTVEARHQTFIRAASGSIPVPQAFDTPLSPRQVFSLAAPFIESCPEG SNLILTAFPALAMPEGASPKAVAAGATVQLRSDAAASATHCAFTTGGIPGGTTFTPFD QAAGCVVPEGLAGITYVNLASAAPLNGVLTDDIIVAGPMVMQVS MYCTH_2301470 MDQQQQQHQQQPQPGGVPGPAGRRLHIAHRRSPSELTPLMMEQL AIQQQIELLQQQQHQLQATYQQMSMLPGQAISAGGYNPLQAMSNMSPQAGYQFPTQLP QQNVALAPPTQPMSHRRNQSALPNMGMGPPPAPSSGASGSAFGNFENSQGQGRENAGT RGGRGGGSGGGHQRRHSLALADAKKAAEAAQRKRTTSAFQFPAPGASTSTETQEDKDK DKEASPESTPAPAPSFRGGRGGHGRSQSMAVGGDFPRRGAGGGHVRTSSRNFDSNWRT QGQNQAQDQTGAAQNQGFQPGHRSRSSVNHQSISNMGGFQYPGQPQLMQLPGQMMIPN IYGAQQLNPMQLNQLQALQVASMNGQHLAGLQASQHAPQLGAQPSQQQQQQQQRKTLF TPYLPQASLPALLADGKLVSGILRVNKKNRSDAYVSTQDGLLDADIFICGSKDRNRAL EGDLVAVELLDVDEVWAQKREKEEKKKRKDITDTRSGSTAGTGQAGGNGDDNGAGDGG IRRRGSLRQRPTQKKNDDVEVEGQSLLLVEEEEISDEQKPLYAGHIVAVIERVAGQMF SGTLGLLRPSSQATKEKQEAERAARDGGVSRQPESRTQEKPKIVWFKPTDKRVPLIAI PTEQAPRDFVEKHQEYADQIFVACIKRWPITSLHPFGTLVEKLGKMGDIKVETDALLR DNNFSSDEFAEAVLRSVGLQDWTIAKEDEAAISSRRDFRDEKVFTLDLNGSAELGNGV HVKTLPDGKIEIGIHVPDVTHFVKPNSLLDREAKKRGTAVHLVNRFCALLPPKLSGEV CSLIPNQDRLTVSVVFHVNPLTGAVAEGDVWIGKSIVKSAGKLSLSQMDEAISGTAGF NHEVVEAKDIELLNGLTRKFRETRLGAGGEAIAPLRLLQQLDDENIPVKHNIFDTTPA TELVEELMHKANEFVAQRLARALPEKALLRRHAAPIPRRLQTFVERMTALGYEIDSSS SGTLQNSLFRVEDADIRKGMETLLLKSMQRAKYFIAGKTAKHLWAHYSLNLPLYTHFT SPTRRYADILVHRQLEAVLSDGKVEYNEDLDSLVKTVEACNTKKDSAQNAQEQSIHIE ACRAMDKKRQELNGDLISEGIVLCVYESAFDVLIPEWGFEKRVHCDQLPLKKAEFRKE KRILELYWEKGVPSSAYVPEDERPKAALSQRYSSALAAARQAEEAERAKKEREEATRK QTETGTISTDDVDALFDDDEDNVSDNASDITEAMAGASLAERPTQSVPGSPTRSPADA AGDLHRTRSDSKVPTADVPETRLTNKEKYLKLFKLREEGGDYIQDVTEMTRVPVILKT DLTKSPPCLTIRSLNPYAL MYCTH_2301474 MAGSSPSRGQSHHWGTASPQRTVLEEILGDDRNSEARHRILLEA AKREHERVRAEAERVYREQLQKEERQRLLEARRKEEERIRLEEELAADRARLNALKAK KIAIPPPLPEPEPPAAPTVNGKASSPPPSDNNAPTQSTGATAQNVPVTKPSAAPIQAS TPSQSPATVPNKAPEPQKPVNSVPDVNGLSKSTSPAAGAPSTAETTRPTAPPPTQARP DRYAEIHQNLKKLRKFMADQAKTNPALKGRMGDMRREIRKSVGQLTVTQGVAGVNKVQ QQTILKLLREAMSNQVQSQLMDPSNFVLEPRDPVEGAAHNEPALPSIFLYLINVFAKA AISQFINESGARPETADPVGICVAATLSEPEFLWRGASLIDILLAKLRIVCPVLFGYR GSEKTEQGRQRLGWWKDNGRWVPEQQHMDRMTGLGAGFAAISLRNFAMSKKTNPYPPR EYWAAMARIVNTPSAEISNTQCVVLKAMVEGYEQKFIEFYGNAAVEALRTALIEFPAR APQKSAAVNSLEVLARSLKRDTGLVLG MYCTH_2301476 MMSSTNEEDPFLQVQQDVLTQLQTARSLFTSYLRIRSLATSPTS PELASARSDLESSIASLAEDLADLVESVRVAESDPAQYGLSAAEVARRKRLVQEVGGE VDDMREELAKVSSSGTAAATRRGQKGTVGGGGGGGGSGADDLPDPSSFAIPDGETDGT GGINDYAAEFEREQQAVLMREQDEHLDGVLQTVGNLRRQAGDMGRELDEQVEMLEVVD HLANRVEGRLQTGMEKLKYVIRRNEDRLSSCCIGVLIVVLIILLILLLVL MYCTH_2301478 MFSVKHLFLAASVMVGAGVMFAQPVAAAKGPKITHKVYFDIEHG DEPLGRIVLGLYGKTVPKTVENFRALATGEKGFGYEGSTFHRVIKNFMIQGGDFTNGD GTGGKSIYGNKFEDENFKLKHSKKGILSMANAGKDTNGSQFFITTVVTSWLDGRHVVF GEVLEGYDIVEKIENVETKPGDKPVKTVKIVKSGELEVPPEGIHVEL MYCTH_2301481 MKLTTTAALLACTVPHVQAFSDSSPFILFSTAKLRTPSSTPQLQ TASQVFTTAKSLLSSCPSSRYVLVSQPNLHAADIRDGSSGGSRSCRLPNLCSAVESDE NNSNWDVAEVIGQLSGKALEEYINDACEGRKQDVSVQRFELRHLPAASPRDGEDKGRD DILGDNDYELGKLLENLGDDYTVMVYSDPNEFNAYEPEFSEPVHIDLKRWSGEALEVL VRESSKSTNNLPLFEKYQFFTPGIFMSFIVLAVLLSILSVGLKALASLQVSYGAFDKE MGPAAQKKQM MYCTH_2090568 MDRIKEKMNQLRLEAEESASKVEELQNKVKTLEQENLQKEQEII SLTHKNNVLEAEVDKLEAQVKELKAAASEGQQHGTQNETLTRRLQLLEEEAEEADRTL REANEKLRQTDVKAGHFERKVQALEQERDQWEAKYEEMARKYAAVQKELEDFQNEINT I MYCTH_2301485 MLAAHHDQENIYTQQATASKQQLQAKTPGVRYPKTPLKVPLNDE NKNHGFQGKGVLQGKGHNENTLTVGKGADTLGKSGKIGMVTPAAPRTGRAPLGNKTTN AKARATHQTPGTKAVARDLEKNASKPIAIVKPNPSAPQAESSKLAVHTDKDPLKEEDI EYAPPKPKDLPYESDVFPDGVLTFEGLKPENLFKGYYQYYFNRVDDEGKTAVERDMEE RQKRSFERGEEQIRRDMDEFIWNVGDIPEGKNLLKQNVGVPSGATATFGNKVTRLAPK QPSTLASRKAAAALAMPATSSAVSQARSTRTAAPSAAKAPTKGFLLPKRKPLQPVSQP TTIPVRERAPAVAASRSTLGYNKGRSVSSAVNGTSSAATARQLQGQAGGKPRTLVRSA STASSSSDTTITPARFAQSSETKKPDFLSIFDGEDEEDTLGGGMPPMDDDNDDFQLTV KFE MYCTH_79077 MTRPAGASFAQFFPDAPRERERARMKAQESPSAHPGEANQQRTP LNPSASSHSDEGPRTGFASRSHLNGTGPHTAHPPTEDIESLTGDTINTGGSASSHTSA SSSVFSAAARPSAPGAVKLPHTQPTPPTAITSPSSYLSTTVPAKAQPTTPCRADGVEG PVPMPSGSVPDAPAIERVPARDPSRSIKCVKRTYDPLLDTSLSSSEKRKAKPIYKEFG LDDEDDAPPSDPRLAKGGRLNYINVDFHLPKARLRHAPYNLKPYKYDPKTSCGPGPPT QIVVTGFNPLIAFSKVTAIFASFGEIAESSNKMHPDTGSYLGFATFRYRDSKPHRSRP FPISAADAAQRAIRAMHGKRIEANTVRVEYDPEGKKSSRMLEEALKKENEASHNAAEP KIPTGPKPKDIIPGPPPTAPKGPAAHRGSLINVQGVWVPKPRLDTIIETEPIANQLKH DPYIFVAHEHVPVMPTTIAHMKRRLKTFMFEDIRADRTGYYIIFRDSGFGRSEAERCF RSANHTTFFTYTMVMDLHVHGTLGKASRPVDTRRRSRTPDRRHGEDTRSREEQERRRR EEEADLEEEKRQRAKNFDPVLEATDVVLREMKEQLIKHIRTKIAAPALFNFLDPVNHI AKRRQLNLEDPQNAGLPPILLDDFEDRSPVGTPNSRADPIERRTARLDVSTLPRIRKV KNAGLNARKHGFNDPFARNRPAARRTAFRSLHYRLRSDSEGESDDEAENTTLFGRDTE EPESRPRSRMSSDFEAEKDEFATWGPGEDDSMTEASFALGDGSGLPKKRKLDLQVETA IKRQKKTDEELFGITIDRIETEFPSREASEDTLVRDAEAAEEKDTDGSRLPTPLPQGA KARKKAPAKPKKKSKKQLFEEREALKRQQQEIYEREAEARRSEDVDEAKPTPESEAEL KKPGAEEEKADLDENMYPSEKVSALELPQDFRFDVATLEELALGEADQPDLAKLQKKF GRGKLEDPELWLWRRNRIRELNSADGTSKNPVRIEGYYVPNPTGCARTEGVKKILNSE KSKYLPHHLKVKKAREERQAQNGKSAKDSVLAAAEAARLAAESLVAKGNSRANRANNR RFVADLNDQRKTLGQDSDVLRFNQLKKRKKPVKFARSAIHNWGLYAMENIPKDDMIIE YVGEEVRQQIAELREHRYLKSGIGSSYLFRIDDNTVIDATKKGGIARFINHSCMPNCT AKIIKVEGSKRIVIYALRDIAQNEELTYDYKFERELGSTDRIPCLCGTAACKGFLN MYCTH_2090577 MAPSKTDGDKDGFQTGKVYSVSGPVVIAEDMAGVAMYELVKVGH HQLVGEVIRINGDQATIQVYEETAGVTVGDPVLRTGKPLSVELGPGLLNNIYDGIQRP LEKISTMAKSIYIPRGIVAPALDREKKWDFTPTMKVGDHISGGDVWGTVYENSFISVH KIMLPPRARGTITRIAEKGSYTVVDKLLEVEFDGKRTEYPMMHSWPVRVPRPTTEKLS ADQPFIVGQRVLDALFPSVQGGTVAIPGAFGCGKTVISQSVSKFSNSDVIVYVGCGER GNEMAEVLKDFPELTIDIDGRKEPIMKRTTLIANTSNMPVAAREASIYTGITVAEYFR DQGMNVAMMADSSSRWAEALRELSGRLGEMPADQGFPAYLGAKLASFYERAGKVQALG SPAREGSVSIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSINTSISY SKYNMMLDKWYEKNHPEFPRLRDRIRQLLSDSEELDQVVQLVGKSALSDPDKITLDMA TLIKDDFLQQNGYSDYDQFCPLWKTEWMMKLMVGFHDEAQKAIAQGLTWAKVREATQE LQSQLRSLKFELPSEGEEVICKKYEAIQQAMMDKFASVVDE MYCTH_2301494 MTSSVLLRICFVSACANTLFNAGDAHSPNSHKAAVVARRTTRHH AALLTHCLPRRSLATLARPGRRRRILPKTSHSFDTINTATKRAKTARRDPREFKDMDE MVLLQRSTDQTMRDGASTPEERHHPNRGGSRDDSKPRRFPDDPLSSPASQMNDLIETR SVRSASTASRNTNRLSLTLPIVPATAYPSRTAPVSATSSAFPPTPLDTPAVMSPVDPN DFITAIAAQERRVLELKEELNRAESDLARLKRQWATHEAYKKRANRRNVEPLAGLGPA AELQDETAIRRSIELDRRKALLTQQNQQPAPEKSRRRVFTGSHTRTLSLLSPTKPTGT FSSNDDEPGSSKLGSDNRYAPAAPTHLAKRATWAAARSTQTTGVKQLAEDLKTGLWTF MEDLRQATVGDEPITGQGTYMRSRDSNMRSAATGSSDQDTIRASANSRPRVQSAFDEA TKASETTEQLENDERGSSRSSAVRRSKTDATTRPTKRFSWTPLTIDSYDDNDWSNWDS PSTSSPRWSGTTVNGDIIPSVPEKRADADETENATLKKSFSKPRAARSPSPPAAAGPS KLEDLLPQALNKLSPSNIKRSAVDFMKEWERSLSSPAEIAAAAAREKTA MYCTH_46431 MPPKTSPQTEESVLDGANESQDTLLVAHRLMMDFGVGLRSTSPA PGEAISLPSPSRSCPPSDAAGKSSKSDFELHQTRGTRFEITEQRRRSSVPESQDLGLP NGVLRAHVRPDWDAQNESDAAGHLNLPDTFPVSAAIDGVSRRRIMDSTQASTQSNAGR SYDKYYQPLLQGHVAPKEPEPPKRNSPEPRDVNGEDTGLTFDFANTVVTAKAVSPAQD DSGLVQFGNLAHSQHATSQKTESPTQDLPETPAPPQNPFRHNRSELLPTSQLFRGTQS SSPVKHASPTSSRPSPVDFPGDTISPKPVVSSPLKARGLRSSPTNDILSSPDNPVVPE SSHDGLASKWSGHQPMTSYEPMHKSQERRSTSVTGTDLVNSEDEDSHDSILRRRRAKH KKEAALRQLTAITFPRPTKPEDVEVPATSQRRRTSQAEAYVRSYDHEPITQCSGTTEA QTMSRQNRPQPVKNQPQVDEDSTQSDVEDEAVSASNPIASMLLERTQTSTMSGPLAAR GADNEGTSNGDAIPETSPTGKILNTLPAIAPSSEPAPSAVGSTGDFRSSPPAFSTRSR KGNGGRRYQRVPSSSSTLSDLTSTPQLPSSNYLGSASTAPPDSPTGSTIAASSSPAAA QTKRRDARGRLPVIKTGSTESLRQAARVTRRGSNSTDELARSISGTPTFEQSLRVSRM STSRSASRSGGGVMKPPTMRDQKLFDNMAFAISFQSRKPGESNDQYKSRVDFSIAIQK RIKQAGGRILEHGFDELFEPLSLETPSSSPASTPDAKTEISLTPEGRRTGFTALIADG HSRKVKYMQALALGLPCIAARWVTTCLERNELVDWTPYLLCAGQSAFLGDAIRSRSLS PYDASTASLADIIGRREKLLEGSRILAVVKKSLEERKMAYVFLARVLGASLTRVHSVE QARAEIKAADEGGQPFDWVYVDGKPDEEALFARGSVGGKKRKRAGSVASSAVGPPMKR IRTLSDELVIQSLILGRLIEEGEMNS MYCTH_2077959 MKQEQAIAPTPAEASDLSSAPAPAPLPADGPDGHDDTLGGLESE SHQAVPEHNMHEHNGYHDQPQVQSQPADPSSINSSAPTQYPADNVDGELTARYATPPV SAPAISRPPSGLSGQGTAYGADQASRAGSNGPQTEAQNPGRNHVVIKVGMVGDAQIGK TSLMVKYVEGSWDEDYIQTLGVNFMEKTISIRNTEITFSIWDLGGQREFVNMLPLVCN DAVAILFMFDLTRKSTLNSIKEWYRQGRGFNKTAIPVLVGTKYDHFVNLSREEQEEIS NQARRFAKAMRASLIFSSTSHSINVQKIFKIVLSKAFDLKCTIPEITNVGEPLLIYQS C MYCTH_2301499 MASIARCLRVARPTAFSAVVRSSVSRGVAARSFSVTASNALRRY TKEHEWIDISADKKTGVVGISEYAAKQLGDIVYVELPEEGKEVGAGDAIGAVESVKSA ADINAPVSCKITQVNLVLEEKPGTINQVAEDDSHGGGWIAKVQLTEQGLKEFEELLDD AEYEKFTAEEGHH MYCTH_2301500 MATPSAPRTTIKVGTRRSALALRQTELVIETLQRAHPHLTFEVH AMATMGDRDKTTPLPALGKGLWTSELEAKLASRELDVVVHSLKDMPTSLPAGCVLGCV TAREDPRDVVVFSKAHQEAGKFKTLKDLPQGAVVGTSSVRRAAQLRSKYPGLVFRDVR GNIETRLRKCDEEGFDAIILAAAGLLRLNFGERIAQYLDSNTEGGGLLHAVGQGALGL EVREDDQEMKELLKAVEDTPTMIACFAERSVMRTLEGGCSVPIGVETAWLEEEEAGQK KRKLRLRAVVVSLDGSQSVDGERIEEIDSLAQAEAMGKELARKLAGMGAQQILDDINK GRASGAALKVGDA MYCTH_2301503 MATTLPANPTPQTVSQLLTKITDVDPDFRFMALNDLLTVFNTAK NDFLNHDFNTAARTVDHVVRALDDQNGEVQNQAIKCLGPLVKKVTPQLIAPMMEKLCS LKLKNSVDNSIPSMAIRAVVEALPRPVPGVAPSKEVNEAYSSLSRVLIPRFLGRAGPP GKSSPGLLDPEDPNSDSVDVLIEVVRCFGPMLQSFEIEALHNAVVTILEKDRGNSVVK KRAVVAISMLAHYLSDELLSAFIKRVSGVLGQLQLKDSTRRLYITVLGSMARSIPYRF GFHLAELAPLVLRVLSEEELQAQLEEINEGGGATLEFNEVREAALVALEAFLSSCPTQ MRPFTNEAIDACLRYLKYDPNYAFDEDEEMEDEEEDEDEFEEDDEFEAAGGFDDDDDA SWKVRRCAAKGLYTIISTRSSGDLLDSGVLYGTVAPALVKRFNEREENVRLEVLSGMS LLVRKTGEGVLPQFSADGVQSEYLNQPPASRKRRRQSSAGGPSPFGLSLDPTTLSGTG LTSPTKEKIPATGPRAELARLTPAIVKSLTKLLKGKLIPTKQACIKLLDDIVCVQWGG LELYFDLIVDPVIDAIKPSSAASTSASMSSTGGGASATATTLRIEALRLTSDISKKHS SAVLQPHLSKIVAGVVSVAHDRFYKISGEAVQTAEELIKAITPPRSRSTAQNFKNELQ KLYDIVIDGATANDADAEVRQKAIHALGTLLAQTTGTEGSALLPDEKRKASLTCLLER LKNETTRLAAVRAIDTAAAMSADAVQFEPHWTRQVVVELASQLRKSNRALRGSSVMAL KHLTLSPATKGALDEATVRTVVDALTPVINNNDAQLLGPGLLALARLTQDMPSIVLNP QLIDALCRLLQTTVVGTVLDSLLVLVTQAGQTGHGKPLMGAFLKDVGVGGDPSIVGKV IGTLLVASGDSAGVTLDSFVQEIENNSSDLTRRSLALAVIGEAGLRLGNRFPIPPTLF LQQFSNEYDKVTLAAAAALGRAGAGNVSVYLPVILQSMQQKGGRQYMLLQSIKEILQQ VAVSSTNIGEFSMSIWEQILAASETEDNKAVCAECVGRMVIIDPKTYMPKLESLFKNT SVALRAIAVQALRYTLPDDNDAFDAYLRNSLVDLLKIALGDNEMEIRRHAMSTLTSAA HNKPELVLAHLNHLMPFVMNETVIKPELIREVQMGPFKHIIDDGLEVRKAAYETLYAL METAFSRVSIIDLYDRIVAGLSDDNDIRALCNLMVSKLVYLDPDETVRRLDSIAEGFR KTLSHKLKGNAVKQEIEKQEEANKSVLRLTLLLGDKLNKSALNTTGAQAAAGAAAGSN QVWTSYWEWVNRDFATQLRSIRDESKAVDPADDASAP MYCTH_2301506 MTAWALIGLMKANYPDKKPIMKGIKLLMERQQPNGEWLQEAIEG VFNKSCMISYPNYKFTFPMKALGMFAHKYPDETVV MYCTH_2301508 MARRKANGATADTEPLLEQGSTRKRSSNAGDPDHAVKKQRPALE EKTDYSRWRLRDDHGRHTWRYLEDDEAAKAWPQTYADKYFLGLPLDLPDLPKPKKPLD AVRNGLEFFEKLQLPSGHWGCEYGGPMFLLPCIVISWYVTKTPIPWYYATEIKNYLFA RAHPEDGGWGLHIEGETSVFGTALNYTVLRLVGVDADHPKMVKARATLHKLGGATHAP HWAKWWLAVLGVAHWDIVNPVPPELWLLPDWVPFAPWRWWIHIRMVFLPMSYLWSRRW TAEETDVIRSLRKELFVEDWDKINWAAHRNTIHPRDNYHPKSWLLNMINWFLANIWRP YFRVKPLVDRAEDWVMKLIEMENKNTDHLDLATVSGPMNLVCLYARDGPDSYAVRRHQ ERSDEFLWVKDEGLLANGTNGVQCWDTAFAIQAIMDAGLTEDPRWRPMLLKALEFLDD QQIRDNVEDQDKCYRQQRKGAWAFSNKDQGYAVSDCVSEALKSVIILQKTPGFPTLID DQRIFDAIDTLLTYQNPNGSCSSYEPPRAGPWMEMLNAAEVFGRIMVEYEYPECTTAV VTALSLFHKHWPEYRAREIERFIERAVAWIKTNQRPHGGWYGSWGICFTYATMFALES LASIGETYENSSHAKRGCDFLISKQREDGGWSEHYRVRTISLSIIHPL MYCTH_45107 MLSASRAQQKPANNTHIPHPHSRQPSSVSFAAESDLVQIPFGSR TSALSRIEELLESIVDAITHDNELTIPYETVRSSQNGAEFEPQFGSDRVANVVRFPGR TIQEVKKFEALFRIIEMSHEALLSGKLITKRNIYYQNPELFKSQSAVDDMVDNLAFTL GVGREDLNIVATAKGLISGPIDLILRDGSIHSCDSASDTGILLPSVNLITKINFGLIR WVLVIEKEATFRTLAAAKYSRTSRAGQGILLTAKGYPDLATRRFLFLLHSVRPELSLF ALVDFDPHGIAIMRTYKHGSQRLEHEQNAAVPCLQWLGLHSGDVIMNLPAKYPSACDS IQNQDSQQPASQESAEYLISDSRREKPMKRARHSKEQESSESIIPLTERDRKKAVQVM REIWNPGNMTEEGADQVRELQRMLMLNVKAEIQAVDSYGDIANWLDGKLSV MYCTH_2056896 MAPQLSEDEIDDLLYAARTGDKDELTGLLSSLAEREKVTPAKLL ISAKDEGKSTCLHMAAGNGHAGKPAAAAAEDEEKRKQKQAFLDAPNEYGNTGLHWAAL GGHLAVVKLLVEHGASVALANDKNYVPLDLASFGDKFDVVDYFLSQSGGIEGENAAVG GLEGDMEGVSVEGGEGEEQVGVEGEEKGKGKGKGKETDKEVEEGGKSG MYCTH_2301513 MADNETPRNGVDALISRDEGRRYWEGVDATVNGMLGGFPHVSRV DIRGSRNFLAKLGIGSKPGQRIAATALEGGAGIGRVTKGLLLDGVAQQVDVIEPIAKF TSQLQGKPGVRSIFNMGLEDWQPTEGVQYDLIWVQWCVGHLTDEQLAQFLVRCTSALN PDGGVIVVKENNSSSGRDEFDNVDSSVTRDDGTFRRIFTEAGLQLIQTELQKGLNVAG ASLLPVRMYALKPAQSK MYCTH_2301516 MKPTRASRFRQAAGGPGNGSDNSARHAGITTKRTPSCSVSPAAR GKQQRRQAELDQDTYKGESEVSASVPRYMKPTAASHLKRCGPQPPPRETPQNRKQQQQ RQKQSLFRGRTSPSALDHPLWQKLQKRTKQPVVARGGQDSAAASASPSLLASSIGSRD TVLAGSTVAENSPASSTT MYCTH_2301517 MSLARWAAVAASLLLLSPSTLAVPTHGNGKTTRDAVSPRADDDW HWVATWTSMPQLVEPNNMPPAPFTGGGAVFRDATLRQTVHLSIGAERLRLQISNTFGG SDLPITAATIALPQGGKAGVAAIDASTVKPLTFNNGSSSITIPRGEVAYTDPIDFTVS PQANIAVSIYSQAGQSGSSITGHPGSRTTSWMQSGNHVDAASLSGSTTKHWYFLSAVE VWSPPTTGAFVILGDSITDGRGSTDDGNDRWPDLLLARMQAAEGGGNLSQVAVDNQAA GGNAVLSGGLGPTLLSRYRRDALQVAGVRYVMIFEGVNDIGSGPADAGSQAGIGSRLQ DAFAQITADCKAAGIKTIGATITPFGGNGQPYSNPTREQTRAQVNEWILNSGTFDATV DFASLVANPAIASQLAPQYDGGDHLHPNVAGYKAMADGFPLDVFE MYCTH_2109000 MITKLAQLGTFDLAISTTVLGLAAIVFFALGRMLWPSKKGTPPL PPSPPSEPLLGHYRIVPDDAAFKRYAKWAKEYKTDVLYFHAFGTKWIVLNSLEAATEL LEKRGASYADRPRFVMFEEMGWSPTLTWLRWGPKYQLHRRVLQPPFTKSKVGNYMAMQ RREAHSCCKGMVDDPENWLRAVRRFSAAIVLKIAYGLEVEGPQSPWIQMAEDTAAAVG KSGAPASSIMDRLPATARYLPDWLPFMERLRYARTWRPAIEKLTRIPFEASLETMRSG ANNNSFVHTQMQIRNDNAINQQPNEFDVEDIKGAAATIVIAGNDTTTATLMLFVLYLM QNPDAQRKAQEEVDRVVGHDRLPDWDDMPKLRYTNLILQETYRMNPLSPLGIPHASVA GDVYNGMFIPKGTIVYPNVWAMNHDESVY MYCTH_2301521 MPTKGHHRKRSSVDRVIDLLADLEESQIALLLDDLNHTTSSNVP VSEAIQLFEQHASKSRRKSCRSPSPMRTLEAELERRHSKRVSSRISAVPEQRARSKTP AFQPPPVPQERAASSSPPPPPLSPPTPPAVSPVIDRPPSPHHPLPTIPPPGSAESPKT SSGPPPAPRPRSYKRISRPMILSPTATAELHQLLLAFFNETPVSPASPSTTATPSPTT PLFGFSHSPFAEIESEPSTPGLDLLEPSPIRTPSFSGGRSLKPMPSIGSIFEAFKSQ MYCTH_2301522 MPATGPTTPGSPPVSSTGMLAPFVGELDRIAPSFRINGAQIQVL QSPAEFYETLKAKIRSAERRIFLSTLYIGKSEKELIATLREALRAKPELRLSILTDAL RGTREAPSPSCASLLAPLVAEFGPDRVEIRMYHTPNLTGLRKKYVPKRINEGWGLQHM KLYGFDDEIILSGANLSGDYFTNRQDRYHLFSSKDVTEYFASLQDAVSSLSFLVQPSQ SQAGFELVWPEDNVAPSPLQDPKQFVKESTSLLAGLISPKMAPLTSHDATPLEKRDTS VYLLSQFSQLLLPDTSTELPAVTHVLKTLALPQYADSSWTFTAGYFNPEPSLTQLLLS TQSHNNTVITASPYANGFYKSPGVSGLLPDAYTLLARRFVHAVHQHQREDSTVLREWR KGTVGEPGGWTYHAKGLWVTLPGNKDPSISLIGSSNYTKRSYSLDLEANAMIVTENEG LKKRLGEEQRWLQEHTSIVTRDDFAKADRRVGIKVRIAMTIVQLLGGAL MYCTH_2314662 MSTITRTLGNLRKIGLKEYWHQLNYIGDTKAGTLIGTDKFGNKF YENKDELPLRTRWVDYAKHDYDAGHIEPLWHAWISYAIDTPPNQDPIKATGDRPWNPK EHVPNWTLSRGAFKTYNTTKPKIQSWEPVAAPR MYCTH_2125469 MVALPKRSRVATMSQSLLWPLAASNTRGLSRVSRRVPLQTAARF VPGPLPLAAVVWCQRARHATRRKEQPKNAGLALTVPKVQALQVVRHASVSSTIPARPP QRGLAAYSTETTIVTTPYAKLSVGVPRETYPNERRVAVTPQNVALLLKKGFAKVLVER GAGAQADFPDDAYAAAGATLVDEASAVWREADIVLKVRGPSTAEAEAAKEGQTIISFL QPLQNKALVEKLAARKATVFAMDMIPRISRAQVFDALSSMANIAGYKAVLEASNNFGR FLTGQVTAAGKIPPGKVLVIGAGVAGLSAIATARRLGAIVRGFDTRSAAREQVQSLGA EFIEVDIQEEGSGAGGYAKEMSKEFIEAEMKLFTEQAKDVDIIITTALIPGRPAPKLI TKAMLENMRPGSVVVDLAAEAGGNCELTQPGRLITYKDVKIIGYTDLPSRLPTQSSTL YSNNITKFLLSMAPKEKEFGIDLADEVVRGAIVTRNGEILPPAPRPTPPPAPAAAPAA AKEAEVVAPTPFQKAAREVSVVTGGMGAALALGKFTGPLFMSNAFTFALASLIGYRVV WGVVPALHSPLMSVTNAISGMVGVGGLFILGGGYLPETVPQLFGAASVLLAFVNVAGG FVITKRMLDMFRRPTDPPEYPWLYAIPGVLFGGGFLAAASTGAAGLVQAGYLVSSVLC IASISGLASQATARMGNMLGMLGVGSGVLASLLAVGFSPEVLTQFAGLAAIGSILGLL IGKRITPTDLPQTVAALHSVVGLAAVLTSIGSVMADLAHASTLHLVTAYLGVLIGGIT FTGSIVAFLKLAGKMSSKPLVLPGRHAINSGLLATNVATMGAFLTAAPGSPLIAAGAL AANTALSFLKGYTTTAAIGGADMPVVITVLNAYSGFALVAEGFMLDNPLLTTVGALIG VSGSILSYIMCVAMNRSLVNVLFGGISAPAASDYKVEGAITQTNVEDTAEALINAESV IIVVGYGMAVAKAQYAISDITRMLRSRGVNVRFAIHPVAGRMPGQCNVLLAEASVPYD IVLEMDEINDDFGDTDVTLVIGANDTVNPIALEPGSPIAGMPVLHAWKSKQVVVMKRG MASGYADVPNPMFYMPGTRMLFGDARVTTEAIKAAIEARLK MYCTH_47093 SYLAHCTNDDCKSFKGDSGNVWVKIEQLAYNPSANPPWASDLLR EHGAKWKVTIPPSLVPGEYLLRHEILGLHVAGTVMGAQFYPGCTQIRVTEGGSTQLPS GIALPGAYGPQDEGILVDLWRVNQGQVNYTAPGGPVWSESAPDANRPGP MYCTH_2301526 MALRLSLLQVFAAAILASFSLLLAHGESAATVRSCTTHDHHNTL AELFPNSATGVLNATLAIIPISLETARRLIPPQYGILERAYRALVPSFPEGMYPLMVQ AAHDHDVQLRAYGITIDDFSRVGFEFPLLDLAGDGYSSFRWAPAQLISATNPIALEGS RAYGTLVTPAKYEPLCDAYHRLANGHTYFRGVGLDSSDLFELEMARLSHAALNPYPLE LFKNITNQPTFANATSCDNMIRLFNTSMTVGANAPVHVHGRVRARAFPFQEAEKEWTD IYGVQVATPFIENNYLECRSMRGYSGTGGPGDSYVHEANVNDEL MYCTH_2090608 MTKLSAIREANAALKSEQRRGVVAVFVGATSGIGLHTLRTTVTL FADPTVYVVGRSEARFADHRAELERLNPGARVVFLQADVSLLADVDAACDRIAAAAAA AAETKVDYLYMSPGLIPINGPQHTREGLDTCFALSYYARVRFVEKLAPLLRGAPAPRV LSVLAAGREGPLVEDDLGLEDEKNWSTGAVMRHTTTLTSLALEHLARQHGDIVFLHAF PGLVRTDIFARLEAPPGSSWLWKLAVLLVSRGVTALMWLRGITPEESGERQAWHLTSP EFTREGGRLHQVNEHSDEIAPSAMKVFEDYKQEGWPERVWEYTARVIERALIATQSA MYCTH_2090611 MNCLSGKGVARPVDVPVDKKAVSDIVGDDEIIGVRPGPAKIGVA GAVFLILNKMIGTGIFSTPSSIFAATGSVGISLLLWAVAGLLTLSGLGVYLEFGLAIP RSGGEKNYLERIFRRPRHLATDVFAVQIVLLGFSSGNALAFGRYVLLAVGHPMPDGWV PRLIAVACITLVVLLHALLPRWGLRLTNALGIFKVLVLLLIVFSGFAALAGYRLVPDP HNFDDFWAIEKGDGYGGGGAYAYATALLQVVYSYKGWENANYVMGELRNPQRTLKIAA PLAVVGITLLYVLANVAYFAAIPKAELAKSEVIVAGLFFRNMFGESAAARSLPAFVAL SNIGNVLAVSFAHSRVNQELAREGVLPWSKFWASTRPFNTPAASLFLHWIITVIVLVA PPPGPAYNFIVNLYTYPGAWINAFVAGGLIYLRLTKSENWSSPWQTYLPVPVIFLCLN IFLVVTPFMPPNSDWNADGYPYYAFPLVGTGVLLLGALYWLVWTRLWPEWTSFAVTDA LVLKEDGEDAAVPGKSSGPQSPSSVAAEEQPLLGPKGDRGQGYDSID MYCTH_2301533 MLSRLAHGAADDGNRSAEEIQDRQYIILSREQERFWASEQDREM LTRILRRLVRLEYVEVTDGEFLDPVAAKRYCLHESTAFGADCVERTSGRTYDSAASPF ERWPEGSCHCNGLGHNFAILLKALAATQRPIKLLRVWHWNKKHELDYAPVRLMPWIAG PSSALRGGLAVSLADLTVLSLMLQYSSPAMGSHYSFLPALVNLAPRLQQLTLMFDDAL DRHKSRASQEVFLRAFSEFAQTARLPSLRVLDLRRATIKAADLVRLWKQVRGTATEIR LNVIGLVGETWKTLFRQLQEMYGRASLTTRIGRDQDGSDLDLDSPRQVRVDFSWLVEQ RAPTQDDDGSVDSDETDDDGHIIDETSYLPKGLVIFDYDGLATCQEGCLRFIKEDCHQ RRCRHVSIRTILDLYEVECGFSAGMSALRSSIVISREELKEIVNTPPPS MYCTH_2301536 MAQRLRDFKKASVSSAVHPFEMDKQPSFSDSALFSGCAFGDANR PTPPSLADSSHRATPSSCSTDQSSPSQSSSNSPPLLGHDTYLYGRPIAPTSNAVLELQ DLGHAQSLASVGNNVNFNSLRPGLDVDRNTRAACHSVSSGPATTDAHSEFGATVLEGY PASLPIPVHNSSSGTHSCVAVRGSIRGYDWSEESEDGSSGYGRENDDDRAGQSGSGGG DGGDGDSGDNDGEEQNDRIARKTSHRNSRSVSLGPLNCPYHKRNKVRFNVREHWKCTK PFANLSNVKSVSADSAQPWPLFVCADYLFP MYCTH_2301537 MAAHDGPKLLWNPDNVRDVADSIGISLADEPLRVLAQDVEYRIG QVIVESLRFMRAANRTTLTVQDVSLALKVLDVEPLYGYESTRPLRYGEASLGPGQPLF YIDDEEVDFEKVINAPLPKVPRDMSFTAHWLAIEGVQPSIPQNPTTAETSSKELLPKG PGANPALAALAGNDNVSFRPSVKHVISKELILYFDKIQAAILDDDPDEEKTRLREAAL ESVRSDSGLHQLLPYFVNFITNQVTHHLDDLFILRQMMELAEAVIQNPNLFLDPYASA LSAPILTCLMSRRLGGAASADEGSDTLREQYSLRELAASLLEMVARKYGATNALLRPK LTRTCLKHFLDPTRPPAVLFGAIRGVAASGGPEAVRVLVLPSLKSFDGAVLQPLSEKG EAHAMELEMLVGGIMKAIDSLVGGSAISLANGVNGVDLSKEAEQVTEFLGPVIGQRVA RLGNHALNRAILEVRHLD MYCTH_79031 MIAQRAGTTALRRVAGNPNAFFTANVARLGLAQPLSTTQSRPVA TQKITAADEYAILAKQRLNRPVSPHLSIYDKQQTWFGGSIWMRFTGSAFSGTLYLFSA AYLAAPLLGWHLESASLAASFGALPLAVKGGLKFLAAWPFTFHLINGVRHLLFDMAIG FKRQTIIKTGWYIWGASFVGGLYLAFFY MYCTH_79030 MESTHEPADPIAKGVLATAKQSWHDLFIFKQRVVVTNELGETST EWARPVPLRNPISLLAQLSARNWLFFIVGFLAWVADAYDFHALSIQQVKLAEFYNTTK TNISTAITLTLLLRSVGAAFFGLAGDKWGRKWPMVANMIVLGVLQIGTIYSVTFSDFL AVRALFGLFMGGVYGNAIAMALENSPPDARGLMSGILQQGYSLGYVIAACANLGVGGG DNSWKTVFWIGAGLSIGVGLVRCFFPESQQFLEARAAGKGQASASAFWKETKMMLAQE WKMCVYCIILMTWFNYYSHTSQDSYTTFMLTQKELDNDGASRASILMKVGACVGGTII GYISQWFGRRRTIIVAALISGLIIPAWILPEGERSLSVTGFFMQFFVQGAWGVIPIHL NELSPPAFRSSFPGLTYQLGNMISSPSAQIVNAIAESHSVTSKSGKSVNAYGPTMGIA TAIIATGIAVTTALGPEKRGREFEKTLPAGMNIIQGGKAVDDLEKGDSRDEKPVVGEV EGGNDGSGEKK MYCTH_79026 MLRNIFLTAALAAFGQCGSTVFESVPAKPRGWTRLGDASADQPL RLRIALQQPNEDLFERTLYEVSDPSHARYGQHLSRDELSALLAPRAESTAAVLNWLRD AGIPSDKIEEDGEWINLRVTVREASELLDADFGVWAYEGTNVKRVRALQYSVPEEIAP HIRMVAPVVRFGQIRPERSQVFEVVETAPSQVKVAAAIPPQDLDVKACNTSITPECLR ALYKVGSYQAEPSKKSLFGVAGYLEQWAKYDQLELFASTYAPYAADANFTSVGVNGGE NNQGPSDQGDIEANLDIQYAVALSYKTPITYYITGGRGPLVPDLDQPDPNDVSNEPYL EFFSYLLKLPDSELPQTLTTSYGEDEQSVPRPYAEKVCQMIGQLGARGVSVIFSSGDT GVGSACQTNDGKNTTRFLPIFPGACPYVTSIGATRYVEPEQAAAFSSGGFSDIFKRPA YQEAAVSTYLHKHLGSRWKGLYNPQGRGFPDVSAQGVAYHVFSQDKDIKVSGTSASAP LFAALVSLLNNARLAQGRPPLGFLNPWLYSEKVQKAGALTDIVHGGSSGCTGKDMYSG LPTPYVPYASWNATPGWDPVTGLGTPVFDKLLELSSPGKKLPHIGGGHGHGAGGH MYCTH_92812 MVQNGGDFDLDIDMATNITAEQLLAQLGQLQQRIQELDQRDKAA QARIKELENREKYSQKLNVTEVAATSYEDKDSDTDSLGHDGNGEDEQAPYSELVTVDP ETGLAEWDMAGEYAPPISILPILRQWGFTVTQRRDGSWTTDTQGIERPGPNALFLQER IEWYRNEVFRLNTELRERDGRLTRLAQQSDEMKDEMRELRPTPKHFDDQQLSNDVRNP EYQFMRANRGKDERTWESYWKKHSYVSTGVPTVHVQWEGFGKEFQYLPGDATRLHPRH EAHAQVPWFQCVAHECRYHFRDKFENNHWPTRQENGDGGLCPVEWVYDAGNREAELLW KIEARDLEGITVVPRRAWPRHCGTGRDTWDSCRSNDCLYHADEKKLRIRELQMKLWHA RRKAERTQWWEAASTQWLTEMSTIDEAAISRTTEEVSTDLGNGSGPFEGPGNH MYCTH_46612 IVYYLDDIFIFSKIIDEYRKYIKAVLDALYVYKPLVNKKKSEFH VRKTVFLGYKISLGQIRIEPLKVKAIKN MYCTH_2314668 MADGVGIDRKADEKIQFSTSKEVTVHPTFESMSLKESLLRGIYA YGYESPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFAISMLQVIDTAVRETQALVLS PTRELATQIQSVVMALGDYMNVQCHACIGGTNVGEDIRKLDYGQHIVSGTPGRVADMI RRRHLRTRHIKMLVLDEADELLNQGFREQIYDVYRYLPPATQVVVVSATLPYDVLDMT TKFMTDPVRILVKRDELTLEGLKQYFIAVEKEDWKFDTLCDLYDTLTITQAVIFCNTR RKVDWLTDKMREANFTVSSMHGDMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQ VSLVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTSEDVRILRDIELYYSTQIDEM PMNVADLIS MYCTH_2301550 MFLLEDQRFIHEDLERLEQGIADRMRDEPKHIRDRLNRDHEVAQ LLDQIQKQSAELLPLYEDKSALRSKEILQISTGDPFEEFYRQVSKIKEHHARYPNEQA ENPEQWYRPRKGGDDQPYIVESMFSGEEAYGRFFDLHNCHDSYLNLPNVKRLSYLQYL EVFDNFQPGQGGVKRADKLTDPYFKYLGELMGYLESFMRRTRPLENIDQVLEGWQREF ETAWEKGEVPGCQTDKSGKAATERTLSTPDAVWCEACEKEFKNANVYKGHLNGRKHIK AAEVLSQAENGRDGTGSGTQVTTAQRLKERAVAEREFRIKKFTGAMKIEKDDTRVNVE RRLGMTERERAQELENLYNMTSGAQNKDEEEDKDGGEDKLYNPLKLPLAWDGKPIPFW LYRLHGLGQEFPCEICGNFVYRGRRAFDKHFNETNHITNLKRLGITDTYLFRDITSIE EAVRLWEKIQRDKAKNQIDDGAVVQMEDAEGNVMPEKVYLDLQKQGLL MYCTH_2301552 MTAKHKPIPALYTVYVLRSTVRHASFYIGSTPNPPRRLSQHNGL VPGGAARTSRKDLRPWEMVALVSGFPSMTAALKFEWALNNPHISVHIPTSSRLTVSSR TKTNGQPMRPPKSMASIVSNLHLLLRVPSFARWPLKVHFFNRRVFAAWEKWCGTASEG LRSSLGVVTDFGGEEEAAPANGNGEPPAVPRGIYNLPLDYEPIKEQVAKGHEIFEFER QGLCIICQGEMQPQKGLYAVCTNGACDGVGHLSCWGRHLLERDEPDSILPVQGQCPKC MGEVHWGDMMKELTLRVRGQKEVEKLLKHRSRRRRAAATATKQ MYCTH_2301553 MRASPSRAAPATSGSSGKQKHSQSNSNGTQSTKTPTPLSSLKSP PLDLSSVERRGQPTACPEPVKKKNRPHGLQEAPTYRPTEEEWKEPFQYIRKIAPEARQ YGICKIIPPESWNPDFAIDTERFHFRTRKQELNSVEGSTRANLSYLDALAKFHKQQGT NMTRWPYVDKKPLDLYRLKKAVEARGGFEKVCKLKKWAEIGRDLGYSGKIMSSLSTSL KNSYQKWLCPYEEYLRIAKPGVHQQLELEYGGPLTPSPAPSPMKRSNVPTPATAGSDS PAKHATNTLRASIDGQTNNADADTSMSDAPALGTPKSTAGFTPVNLGGFTSVNSGFTS VNRPIAAPSDGKGSATPRPNGTPASSTKNTPEFRSSAPGSSNLKRQMSDDSSDLAKKD PADGEDGESGSRRSKRLKKNTVPTVAGSHMSLFRSAPPRIPRDESGIPGERCEQCGKG NEEGSFLLTCESCDHRYHGTCLDPPLKVKPETEWNCPRCLVGDGQFGFEEGGLYSLKQ FQEKAAEFKQQYFANKMPFDPVLNCHRPVTEDDVEHEFWRLVADIEETVTVEYGADIH CTTHGSGFPTIEKFPDNPYSTDPWNLNLLPLHPESLFRHIKSDISGMTVPWVYVGMTF STFCWHNEDHYSYSANYQHFGATKTWYGIPGDDAEKFENAMREAVPELFETQPDLLFQ LVTLLTPEQLRKAGVRVYALDQRAGQFVITFPQAYHAGFNHGFNFNEAVNFAPCDWEP YGLAGVERLQQFRRQPCFSHDELLWTAAEGHTSGGLTIQTAKWLAPALEKVEKRELAQ RREFIAKHEFIAKHLDAKHPAQQHRCVFGGEGEDECPMTFKIDDTDVPEEEYGCFYCK AFTYLSRFICLKTGKVLCLLHAGSHPCCDLQESDRYLGNEHALYYRKSDEEITATRQK VADKARVPEAWEEKYERLLEEEATPSLKTLRNLLSEGEKIPYELPSLPVLRAFVDRCN HWVEEATYYTVRKQQNRRKNEKVWQTGARKSIGNGQQDQKEREMRSVSNIYRLLDEAK QIGFDCPEIPQLQERADAIKTFQEDARKMLEHRQTRSIGTVEKLLEEGQSFNVDIPEL EQLTRLLDQLQWNEKASSSRHTYMTLDDVRELIDEGHRLNVPVYNDHLAFYTDQLTSG HMWDKKVRELISADSIHYPQLQALADQVVANGWPVCPETMAAVDQILHKQREAHRQIM DLNERSQNPDYRKRPKYAEVAEVMKKIEELQAKPTGTHELEKEQKRHEDWMRKGKKLF GKTNAPLHILKSHMEYVLDRNLDCFDIVHDKPRVPAEPASREPTPDKDKGNRWEDPKF REVFCICRRTEAGMMIECELCHEWYHGKCLKIARGKVKEDDKYTCPICDWRVKIPRDA ARPKLEDLVAWQEEIPSLPFQPEEEEVLKKIIDNATEFRNHISVYCNPIMATASEAET QRFYLRKIEGAEILLAYETNFFRQELHKWSPVAPEPPPVLEVSKSTRKPRPTKLQKLL AQYGVDDPDDLPEHVKGKANSLKRKALNAEAAAAAASAPSGSIMMVSSPEYPLSHHSL FPRNSSAQPPAPGLPSSSHSGGADSSSSRNQQSQGGLRADSMNIDSSSAHPTLFLRDG TGPGPQLLISAESTHDLEERLLRGTFDDDELNAHLSTEQGKARVLEILSRTDTGRRRA EEIFGPDVWGDRNRDGPSGARDNDPIGIGVGNEGDGDDSMFVELVNQDDDEDGDQHHQ RKKGSGVSDQQQHPSNAAEVLEERDQAASWMDARKES MYCTH_2301561 MGLFSRRDRVPKAAAEPQPELPSSQSKTSLGSGSSSIITPINTT SRVMNRTSAGTTSTGGPGTPLTPFSPGPTPGAGVGMLRSPDPQLDPAGYLRSLGAVRE RCKIVTAKACKNELLHFNVDMQKFPDVVSFVANIIKRDYDAPFTNIPGHGRYQHFGVG GRDRIAHLLATWAEEVDNTEKCKRLIDLFLVSVLLDAGAGTQWSYKSSENGRVYRRSE GIAIATLEMFKTGLFSGNSSNKYQVDKEGLRQLTVERLAQGLQSKPGNEMAGLEGRAQ LLIRLGVALENKEFFGEDGRPGNMLDYILSHPATQASSVITVPMPVLWNVLMNGLDPV WPPSRTAINGVPLGDAWPCNSMPQPAQSPSSPTFSPFPNTTGQSNGVAPWECILPFHK LTQWLTYSLMQPMQSIMKIQFAGQELLTGLPEYRNGGLFVDLGVLTLKPEDQERGLQH YAEYCQRTGTKEVEVAPMFEPGDDVIVEWRGVTVGFLDMLTAEVNKALKNELAGNELT LAQVLEAGSWKGGREIAEVSRPNTKEPPILIDSDGTVF MYCTH_100608 MARTNQRLWLAWGACLIQLAGSITASPVQGNVVARRDVTETKTT TVTVAPTTVTVNPLDPTITREAGWTSTVAPPPFISTITSGCISYNYSFPPGSETTTTV VTRTVTEQSTVTVTDTDLPPQTHFYFHLPTVTVTTPTATYVSYHCTNTMVVQYADWEY LTWTWTHWQNVATTTGLCLTTSTRSTTLPGLDTLLPTPPVPPLEDWEYFSGEPGVTLV TKNTVAIATDTTVDAAPDQTTTVTICDDRNPRPTTTTTITVTRQQSTTTKTVTGGPGC APTTTTRAPGARSGRRRRRGDGDDDDDDEYDVVEARDAGTPVEVRTVVYTTVTVITNS VYTLTGTAIVDINTQEFPVTRIAYATTTGTGVVTVTQTACN MYCTH_92805 METVSETVSDPVYFELSWSILSTIGVANVLFGAFVVSITNFSPI AAVPIVTSIAGAVANGLCYYAFYRTSQPLTNQAVASAFADILWMVQEAGLSFYSYVLL SRILSGRPWYIFVVSFWTAMLGILTVRVLIAVTRVRYIAGGGRDNGLQNTVNHLHMAY FPLLALLECLSAYYLLTTFAEARSSSLRRASGTDLFHYLMRSTEIRMALLAVIGIMRA VTYSYQSTAQSATNVAGQIDRFAYTMECIFPIMMYIDMLSTKVVHGRSHAYDISLHSQ NDPTQNRTRTRARARPRIWNRAKQGKETRIPRTRRFVTSTKHNTTTTTNNADDDAGRG GSGGSGADQIFVGAQPDHEQAIEITGGRSSSSPSPSASPSPWGRRSATGSRERIVDRH RRGGSGDDGGGGGRNGSRASLDGQGGAGGGGGLAPPSWGVGLEPAIITPRSNPDNPSP DSNRPEGGGIQRTVEFGIRFSHPRLGDESAIGGGG MYCTH_2301567 MLAKLSVLALAAAAPLAAAVGKARVVNKCDNDVHLWAVGANVDG PHKLSKGGVYEEAFTKDPVTGGRALKITIPEDGLYSGAPQTIYAYNLDGPRVWYDLSD VFGDAFAGKKLVVASDEPSCPSIIWPTGVPPAGSQVKTCTSEKSVTLTLCAP MYCTH_2058464 SYEAISYHWGDQNDTRVVYCEGKPLRVTVSLYTGLKRLRRQDIV RVFWADAVCINQKDTLEKNAQLPLVSRIYSQPSRVLVLLGENTSAPADRTDGGQRLRA EGKPNVLDYNWRRMNSLLCRPWFDRRWIIQEVTLADDTVPRLAICGHVEFSWNDLASV ACRIAAYGIPPLLAGLSTST MYCTH_2301572 MDQQASESVAQAQSESPGPSPTQNPAPATASPSETAPTPASTHT TTSSKPVHSLVIDANAIIRNDPTVSTLLAQAEQLYTIPAVVSEIRDEATRSRFQTTLS PFLKLRSPRPESVQFITSFARKTGDLQVLSKPDIQLLALTYELEVERNGGDWRLRRDP NQQGVNGKPPAKSGDEVDKDSKTEAEEKGSADSPATACEVAKEPVETLPSAVEGDAQV NPGINESVAEQLQNLSLNKPATEENVVEEEDLTEEEDDGEGEWITPSNIKKYQARENA QIEPQPLQSVLQAALITGDMAMRNVALRINLNLLDSGFSRITYLKTWVLRCHGCFKVC KDTSKQFCPSCGQPTLTRVSCSTDANGNFTLYLKKNFQYNNRGNVYSIPKPTHGSASG KGTHIKGGGKNGWGRELILAEDQKEYKKKLEEERRMKTRDLMDQDYLPSILSGNRTSG GGRIRVGAGRNVNAKKRR MYCTH_115052 MHLMYLPEANGSGRRYTLKKVMDGKVTKSAHPARFSPDDKWSRH RIAICKRFAVLLAQKTFRTRSVDKLAQL MYCTH_2301575 MSRTHDRWRRSARGRDHADDSDSESISASSSLSSDDHSGRDAPG RARGFLLEDGEQGKAVYEAWDLIYEPGMQKLSGGFKAALGIKIKKYEEVESRVYWRSR LASQSELAGMHSQAIEAFLAKHKRSWAARPFHGAEKTYEQDLDERCRKMPGDVKTLLT AILSDRDKATSTRYRTRTWTVVALREQLMDRFVKTECPDVRRHKFRRWKNPKLQEPLM YTVVIRGAETKVVPAGEKGQYRSFPSCNPWERFDRIEARRREREKRERQRVLHQKRRS LSRASFTESPSSRSRSSSSYGTRARSASPPSYRSSQSRYGSPPPPSVLRSRSDSPPPY RRSVRSGSSADSRSPRVGFRVAPRHYPPTNFDNDAIFPPAPPESFTPPPPDTSAYYRP SCPGMGLPDPAPPPFHPRPPFSVPGMQPPGGGGASSIGGPFAPHIADPHFPPTPTACP ACSATRALPCAHYPCHSPCSRPMYWHAGMLYHPPCTRRLEEEEEEEHSLQPPPSVPAR DDSPIGQFAPYHHHPHHPHSQHQQDQFGGFGPAPPQPASWSSSASSVGPIPPAPNPFS PLSTPPLTSIGGSSVGGSSPVMERVSTSAGGGEAGAEAGCS MYCTH_2301577 MRYAELRATVAHLERSQCRCGRSTWSRLTKSIFGSFSKPASTLR SRKRSNHSAGMPSTGHGERVAELPHPAAGHAARIAELDVRRPLAELPDHSSLAELYGS NPTDRGDLGEPRDQIARFHEHNTPIWPGFAESEAQYPSQCLAALGHNTPSPFTPLPFS QSAQSSISSIHSSSITDSPSVVVPEKPMFTAHVTASPTEQSGGIASWHTNPSMPHQVL QGPSGIAAELSGSPVGLLVPDMAMIRLPEQTIGSLPELEGDTPRLRPLTPAVPRRTDP MASDVIPQQFWELPTVAETETDFTINGGNPPQTTGMERGATMAQPDGLPPLPLLGPPQ PLGPQGRQYSFDSTAETIINNRADDSPTSSTFEFASYQGEGSFGVPQEQSPASTAVST PVSSAPAASPTVARKRRGDDGQARDNNPLYCESCDYFPRGAEPRRMMGRHIKTEGHRM KTGQGPSERHRCPLCQATRTRRDNLREHIKDKHGEEALLQLLQGANWHRRPQPPPQQQ QQQRGRNLRRKVQGAVSRRMGQARLALDPLRAQVAHWPFGPGSHTES MYCTH_2301578 MYFQPYSLLRFAPYLLIIPIVVFYALSLAGCVSTSPAIPNIYAV ALCANSNNSTNNATDAPLQVRIGYFGICGIDDEGTRCQTASGRSLETLAADLFPELVR GNNNNSSDTETPGKSSETPKRKLNNSKINQDVADLVTTGLDLQSRTFTPILAGAAALF VLGLAALVLFQRDVRRGGGTAGGWEAAAAATAHPRRSAAIRRATYGALCGSAALAFAA AVATGQAAGALESASEGMAHASVLIKSGTTLQVLQWIAFAFQSLFILAVPFLVRGGAH AAEGGFKGEA MYCTH_2109027 MPGWKYAFSLPSDAVKAATPPSTILLTEHDAAHAHRDGPEVVGV VGVVRFPTPTADPADPLNWPRWQKAACMVTLSLYAFVSNYISASIAPALPRWNYEFPH DRRPNQDLMQFVAVNVLVLGLGSIFWVPLSNIFGRRLVLVLSTLLLFSVTCCGIPFTG FTPTLIIRIFQGLGSSASETVVPAVVGDLFFVHERGSWMAFYTASLASGSVVGGITGG YVAAELGWVGQFWIGTGLSGLAFLATVLLVPESMFDRDRDRDRQTVPIQRTLPPISRP GRPRIRSTAPKLSLMTLPSMRFTLPSRFRWRPPPDDDDEAALTWYDSSSSVDLASPIM TDNCARLDSSIYGNLIDLGRLQANNNNNHNDDHGCGGNTSGDAPSEHRPWTYLRSLAM GRYRGRAARRFAQPWSTLRLPATWIIMLQYGGLVGGVAVISTVGPQVLVADPYRWGER RAGLLFVGALVGILLGGACTGLAADRRAAASAAAAAAAAAAAANGAPARAPARARARA CAGLVEPEARVPLLLPALLIGTCGLAIFGVCAQHPSPAGWVGLEFAFGMVAFALAQVP SIWFGYLIDAYDQLASDCFVMICILRGAIPFAWTFFVAQWIQRDGFLIPFGGFTAIMG AFSLLVIPILCGGKRMRIATARYVSENQS MYCTH_2059428 MPSPTTAGPPRSAEITDADHGRPGTSEAPASGVPHAHNLAAGHE GYFSSDDTTRAPSTAGDETEKAWEAATATGENEKKDVRATGDSVRDEPVGQEDGGEEE MEYPTGLPFVFIIIALVLSIFLVSLDMTIVATAIPKITDEFHGLEDVAWYSSAFFMTV GGFQSTWGKVFKYFPLKISFFISIFVFELGSLICGVAPNSTALIVGRAIAGVGAAGIG SGAYTIIAFVAPPKKRPVYTGIVGTSYGIAAVVGPLIGGAFSDHVTWRWCFYINLPIG GVSLALIFFFFTTPKGAKPVEASLKEKILQTDPLGTALVMGGVISYILALQYGGQTKA WDSSTVIGLIVGCVVIFIVFGFWEFYNGERSMIVPRLFFHRQIWVSSVFAFFFAGSYF IIIYYLPIYFQSVDNASPTESGVRNLPLIIAVTIATVLSGVAITATGIAAPVAVGGSA VGTVAAGLLYTLDIGTGAGKWIGYQILAGLAWGAAFQVPIITGQASAAPHDMSSVTAI ILFFQIVGGAFLVSAAQSAFVNKMVHELATSAPSLDPLIVLGTGATQIRDVFPADAVP GIVVAYMQGIKTALALVIGTTGVAFLVSLFSNFKRLNTEALKTGGAAA MYCTH_2301585 MPTITVDKYALFEELGEQFTEEGFEQLCFDFGIELDKDTENDPS RPKDQPPELAIEVPANRYDMLCFEGIAIHLNIFRGKQPTPNWRLVDIPEDKMQTLTIS ESTAQVRPYAAAAILRNITFTQASYDSFISLQDKLHQNLARQRTLVAIGTHDLDTIKG PFTYEALPPKDINFVPLNQTKKINGEELMSFYETDRHLGRYLHIIRDKPVYPVILDAD RNVCSLPPIINSERSKITLNTKNVFIDMTATDQTKLDIVCNIMVTMFSKYCAEPFTIE PVKVISDHNGTTRITPSLTTRTMDVEADYLNQLCGLEESPESICKLLSKMAYTAKPSP DPKLISVAVPPTRADVLHPCDVMEDLAIAYGFNDLPRTSPNRSVTIGKPLKINKLSDI VRHESAMAGWAEVMPLVLCSHEENFEWLNRKDDGKTAVKLANPKTAEYQIARTSLLPG LLKTLGENKAMRLPLQIFEVSDVVFKDETSERRARNERHWAAAYYGKTSGFEIVHGLL DRVMTMLRVAFVTHEEGLEGKTIDFKVKENPSERDGYFIKEIDEPTFFNGRAAAIYVR LGGKLQRIGELGVLHPTVLEKFDLKYPVSTLELNLEVFL MYCTH_2301588 MNGPARRTDDLPRHPSVLHMPSPSSARSKPIVDQPSAQLTTPAP GDSSESLETAGFPDSLPDDKRSNMKFLRPLLTDVSLYTNPNLGPENEEGTTLEELAHL VRLSKYQERKRANTRIRLQRSLVSTALSARLMRCGEMAHRNLVDGFRGDDKKTFGALY NAVIDVRRSCDETRRYALLEPEMELLQPPGAASSEGLDTPTGSVAGSSAPFNSVTPFL NEISASARETFLHFLSQIRTNPDYLATRIASLKNSELAALTSFHQTLEPIESVLPYHG RSSSRNPSGSTSGGAGGGAGKERSAIERLLSFQRHDPISALIFTCFANSAGPDSDEDK RRTVTWANTCARLISAQTTGSEQTVISVLNVWVSMRDWAGRSNMEWYLMKILEDGAFL LDRAEDQHGTRFNLSDWSSKDQIAADEFYTRAVDELFEVIDDEDATGIPEGLLELGNE ILKRLERRYVESTRKWLIAKYMFTVWLLGVVIHPEAYGMMAEYHITEYGRQKILKTVA MHAQKYVVDMLQSVTPVSTPPKIKGHIESIYSRFKDPSQVRKKPRLLPARSITSLRQT AEVRPFLVISPADLATMVNALFPERRPRSAHSSGLRSGAPSVSGFSAISQPMSIRTAP STFDSMSVLSTSAESSFSDATTSREPLLEEECSPRRHSPAAQVAAAEKPNNYEEDGYR LRLAMDEMTQVLGQEVVNGSCHPCAERWSVLFISSDGNKLSTHMMFDPDDDLEDEENS SSTSDTEGEETDEGRPELNKEYHQLRDSILKLVQEYEIPQELESGATKQQTFSNRAST LKKYRSKNNRVITTMGSRNPYRQRAASIASSLAGSPPKSAKGKENEEDGENSSPLITM LTAACSQSRAQSDFVSAHLYWRTLQQLNSLTSESLRRNGFAALLNIFSRGPRDSIRRS AAAIEEYDAWLVWLKQSQERAEGLIESMMRRLRALRDKMWYVTDVRNSAPYEDSRNVA VALKTMGQPRRWSSFQRIKNHMQRGPASNYIFRTESQVLDLLAAPEEQGGPNKLRDEQ AEKTARWLQQYGVENFCQGEERIHRFCCEVDNCVSKLVGDSIIDGPVLWSSELYARDR RAFETSSKAQRDRDRDNQSVSWDDSISVVSDPDRRFVSVSRPGSINSRDLRTISGGNM SQMSFESSRFSFSRASTAMSEAADGSEYFGASSPVHQIDPNATFWSPFQHRATSPTAT SRAHSPTTSMTNLSGSFYPPHHQTLPSQAQSSIRSGASVSSNETVYQQRQSEEKARFL AELRQTLTSLLLSDLGNLVFARGSETDAWFEGLGQECIDRREAIQRRARKAQAKEKRK SAKSSLKQRFLEQNRSFGDLRGTGGNDAMSDKALSDTQSLGPEGAGGSQRGSQRGSHR GSIHDNESTATSDTISARKHNNHQESKKEAMPDFPFTKAYQRLLKMFCVHPNPYVKLN ALCELEHLIVASLSSGSRRARLAWARSELGSASSATEEPGVGAAGGRSQPLEETIDNV KERRSHTLMQAPPFGSSGHAQTGRVSGGAGNNETRSIASAYPANTDAVANILQALFRD PSLRPKTLFRDLQFISAFCSPSVLDKTERGKAFWDAAIAALSLKQEVCRTMVEVADEI VKNYTQSRNSSTAASNSNINNNTNNSNNHHRAGSTASDSAAATPTNEFPPHSPSPPPS PPSPLPLTTHSLADAARMWTITAKEGDPTAQRELAIFYLSNPELVQRTTLPLSKPREV FKQAVMEKYGGSSGGRYGHGHGHGHHQGGGVGGSSGSGQGGSGDGVGGGMDEGGGAGA GRLGDVRSDPALMCVAIHWMEAAERGGDELARTFMAQNEMRG MYCTH_2301590 MAAIVANTPGSAMNSGKADATSLRTLSVTTAASHDLPSSVSSPA SVSTPTSSTSSVPPIAIRPNTSSNPPPVRPSTAMHNRTGPGIMTPGSAPQPKTVSMTS KEWVIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVGELEEQLEQDRVKHE QTVRELQARISHLEIETQTLQSRCQWLESMLERERQGRDNCAGDWEARQGGSRNNSSM SSQIQGQLGQSQSMQPLQPAPSKTGTARPAPIIEPRPLAQPFSISQIVSPPEESTQVN NVGCGSCGASGSCACAEEVLRSADIPIGCGKCSLGTRCECLEETIRVSITGADLKRPP SAPPSTSPEDKRQRSDTGTALETDFTAIFASQNSKEEAQALLPAPARSQALASIEPRD PCGFCKDGTYCVCADSMMTPTSLAAPVNQATEQTQTHTPPPSDNDVVPMPLEVTATGA IKLPGLKSLQERKPAKLPARAGGCGPNGPGTCAQCVADPKSGLFCRSLAANFEKNNAG ASTAAEGGCCGNGGPGGCCKSGSSQSQQAAETTSLPSMASSAGSNGSNASGFGLSLSC ADAYKTLASHRHFDEAADDIGSWLPKLKALPVPRHPGPVGGGGRGHRDARAPIEVEAA SIMSVLKDFDVRFGRG MYCTH_2078031 MASLNHCLASLARLSLTSPARPTLTSTIPKFLLPTATASPLVRH ASGGGGMRKRPKKKRQHKTFRTYDLSQMQQFSLCDAMRYIRAFEVGHPPASVKYELAV KLKATKGGPVIRNRIRLPFPVKTDTRIGVICPEDSPMFTEAQQLGAVAVGEESLFESI REGNIPFNKLICHVDSQEALKKANLGRILGPKGLMPNQKTKTITSDIKTTVKELIGAD DYRERDGVVRLAVGQLGFTPQMLSDNIKALMSQLKEDIANIDENHIKQLDEVVLTSTN GPGFSLDGTFFPRDENLKPEDLQSVM MYCTH_2301594 MKLDELKPLEALLTGDFRQIEPHLQALDKHLILRTYLDGYTLGE IDTKIWQALRGNRAAVPFIRRGSLVNLTRWFQFIEDNHPEIQADIKAKDAAARAKIAA ASKAGGNYALALQNADKGVVTRFLPEPSGYLHIGHAKAALLSDYFAHQAYNGKLRLRL DDTNPSKEKQEYQDAIIEDLALMGIKPDYVSYTSDYFDYLYEMCVRLIKEGHAYADDT DQETMREERFKGIASKRRDRSVEENLRIFEEMKKGSEEGVRHCMRAKMSVDNPNKALR DPVIYRCNVETPHHRTGTKWKMYPTYDFACPIVDSYEGVTHALRSTEYTDRNPQYQWF LDTLKLRQVYMWDFARMNFIRTFLSKRKLAKLVETGRVWGWDDPRMPTIRGVRRRGMT IPALRDFIIKQGPSRNVVTMDWTTFWASNKKEIDPVAPRHTAVLQKDAVKVTVTGAEA PAEPFSADRPKHPKNKDVGTKKVFFSSELLLDQADAKSFKEGEEITLMSWGNAFVRQI AGGDPIPSLTCELNLQGDVKKTEKKVTWLAAQGQKLVPAELWDFDYLITKDILQEEDN MEDFLTPVTETMEDAWCDEAAAQLKKDDIIQLERRGYYRVDKGLNDWKDGEEGPKGKR LVLFCIPTGKTGPK MYCTH_2301595 MANYGGEAASYYNQQAPPPPNTYHMQPQQPQGYYNGSNHHQGQA GGSYAPPPYPPTQSVPKGPPPSYDEVFAIPKPKWNDVWAGLLFLATCAGFVVVSGISI QGYAATRRENSGGLNGQLNTFGLTTHTIYLFLWVLITAIVLSYGYMWLARKFTKQFVW LTGILNIVFGFVTAIYMLSRRYYSGGIVFLLFSIFMVIAFLSWRKRIPFSVLMLQTAM DVAKRHGHVYLVSAVGGLLGAAYAAWYAVTLVAVYVKYEPSPNNPACRQGAGGCGSGK VVGLIVFITFAAYWISEWLKNTIHTTIAGAYGSWYFNSRNYPSKVTRGALRRSLTYSF GSISLGSLAVAIINFLRQLASVAQSQAASQGDILATILWCVLGCLITILDWAVQFLNR YAFAHIALYGKAYIPAAKDTWRMIKDRGIDALINECLIGPVLGMGATFVGYACALLAY VYIVFTHPAYNAGGGFTPVVVAFAFLIGLQICNVFTTPISSGIDTIFVAAAWDPEVMI RDHPDLYYRMVQVYPHVQQAIHA MYCTH_2301597 MVSPVSFGDAVAMAKIALRIANAFTQGQKSAPAEFREVESQLYA LAASLSAFRDVCGTDLAGLTIDPSKLPAGFRPPPKSWT MYCTH_2301598 MHASRFAWHNDDPDYDALPTLRNLNLSYVRSSGYANLRCIWILG CPVEIAPHADAAPAGPGGGDSDGGRKLTTKEIFKQAFEELMPGVQVPEKVGVSCCSQF AVSREAVRARPREDYVRWRDWLLQTPLADDLSGRVFEYMWHIIFGKDAVFCPSAAECY CNLYGLCNLKCQESTCEGRYVLPEFATLPDGWPRVGWSGEERNFTGSD MYCTH_2301600 MPPSSSLQYANTSLSLLFGRDQCSDVFGSDASNEICSPSRTLCC VRRGQEYPACHQSLGKGWCCIGNNPTDDCYVDQPSVCEEKNSVPCTYLAEGTTEACCP RLTSCVIDTPASKEVVRCNIQYGDLQKAAAGLDDQSSSSSSASPSSSISSIAAIKSST IRNSANPSTAAATSSIAQAASTSHTAGHAAGTALPTPPPSGTNSQSQGTSGLAPTAGP GLIAGAAVGGTLGFVALLVLGYLFLRRLLKLRQQGGGGGGGGGHPHAPQDAPQLPPYG YHDYYSQAAAVTTTTMVGPPRTYVYPELSAETVVDVLAKHHQQPVELPAAK MYCTH_2125509 MSSRRDNGHQAAAKGKQPVRTPQHRPVQQSQTTTQAKTSSTVVT GFDGEPAQVIETEEHVTKKTVWTRILTGATNAAKPALKQTGKALKSAVPQPRMTGKDD LGSNLSYYRKTFFLLDQQTRFDLYMAGLAPRMTKSEARRFDSQIPTRRVCRRFKKVPV MYATQEPPNEQAASPRNLAKGAKDAKAHAAVASSSSSNTKNSNKNHKTRVDRKGKGKT VGASSDDPDALPAHPTPAAFNRISYRVQIRSRPASSSAGMQQQQQHQQQQRPEQHHRQ QQHRPQPRPQQQPYLLYPQARVFQATGHCGSVQPHDQGQGPPATVRAQAPERRSFGRR MAGQTVASISRG MYCTH_2301604 MDLIALKYGLGRQYAALDQETRINNLKTGFATNVIYTLSLLFVK VSILCLYIRVLTYDSVRRAAQVLLVVVSVTHAWIIASLFTGCIPLAALWDKTKYENRY CHPGSVYYSHSGINISTDFLIFMLPLAVLHRIHAPPRQKIALYCVFLLAFCVCIISIV RLIELFNIRFINTLADMTRNAVIVAILNMLEVNTAVVCACITTIKPMLARFCPRVFAS DPRGSATTTGESEAWSGELERARGRRPPGLDDRLAYRLDGAMATVGEKTEEPSRGEAT AAGR MYCTH_2301605 MAKFQDQYTPPGVSIYGGYQNYAEWKFTLFLHLDWYDLRSFVVD TVAAPAPDATDKERLAYKRKKIMSYAILRDSVREWLEQMCTNYEFHGPWDGPKPGCHC MALRRVLEEPTTTVYARVECTIHSHFGPWFRELIGFIYLPVPRWTTSTRFGLYIHLLK NTVASWALRRDFFPLMQEERADSLTLHLAWFAAS MYCTH_65542 MPCSYCFSYGLYCRIIESSSCYGEYVCRGRSYDSSRVPVSSLSC IIDESKRLDQLEQDAKEALYADRDSLAKA MYCTH_2078041 MAGTSVKKRSFSDGPKGRAQRPTKKQKKVASYHSSSEDSASDDE GGPVPANLLDSDDEDLENVEVDDGASTADSESDSGSDSLSEPEAAPKRKTQPGKQERF VAKDAPSSEEDVSSDEIDKEREDDDDDNDDDSDDSDAASHSDASSARQQQRGGGKNAT KSKRNDPTAFATSISKMLSSKLPASRRADPIVARSAAAAEQARQAADSALEAKARRRL REQKRLAMEKGRVRDVLVASKTRTLDVATGEIVEVPDETRPGEPRTTEEILAAERRLR KTAQRGVVKLFNAVRAAQVKAAEAERAARREGVIGVKRKEEKVAEMSRKGFLDLIASG GGGLKKGGLEEA MYCTH_78977 MSAPKLFAQALPTLRRAPRLTTGAIGRRVRCYTQASAAARPTNS SRVVQAVARRSPALMRAQRRTFSASPAVSHGHIKPPKPGEELYVTFVDKEGVEHKFAV SKGDNLLDIAQANDLEMEGACGGSCACSTCHVIVLDQEYYDKMPEPDDDENDMLDLAF GLQETSRLGCQVVMTPELDGLRVKLPSMTRNLQSSDFK MYCTH_2301622 MANHNDGVNPLRPYYIPPSIGEPPEALPTPGPRAFSQASSTGRY ASKARDIFSDIDYKDYIAEPSPSVVQTVKEVLDELLWKYTSVLMAQPFEVAKTIMQVR VQDDLGGLEAAAAAAAAAAAADDARQRQASHRINMYDEATLGDSDSDIDESAFFSSHQ PRTPSPTLPRSKRAGHPASPLPSSPKTVPAHQLAIRTPDSVLEVIAQLWQKEGAWGVW KGSNATFLYSVLQSLLENWSRSLLSALFNVPDLGVRQDMDRLVDIASPYPWASLFVAA TAAVVTGLILSPLDLVRTRLVITSTASKQTRRTLSTLRSLPSYLCPSPLVLPTVLHSL VHPLLTLSTPLVLRTRFMIDRELAPATFSVAKFCSSTLALLLKLPLETVLRRGQVAVL RSEAYVRAPEGRRGAAGGAAGPGRRLDEKPPAAELETIVPVGGFNGLFGTMYSIVNEE GSHAVAVSGAGKPGARKGRAGSASNGSANVAETVYRRGQGLDGLWRGWKVSWWGLVGL WAAGVLGGGGDGEF MYCTH_46583 MSKASALLAGLTGAALVAAHGHVSHIVVNGVYYRNYDPTTDWYQ PNPPTVIGWTAADQDNGFVEPNSFGTPDIICHKSATPGGGHATVAAGDKINIVWTPEW PESHIGPVIDYLAACNGDCETVDKSSLRWFKIDGAGYDKAAGRWAADALRANGNSWLV QIPSDLKAGNYVLRHEIIALHGAQSPNGAQAYPQCINLRVTGGGSNLPSGVAGTSLYK ATDPGILFNPYVSSPDYTVPGPALIAGAASSIAQSTSVATATGTATVPGGGGANPTAT TTAATSAAPSTTLRTTTTSAAQTTAPPSGDVQTKYGQCGGNGWTGPTVCAPGSSCSVL NEWYSQCL MYCTH_2301624 MPVQGGPLAGMSFAQLKAGGTGVVELVRPGPPARGPTPWTTQQQ PLTPTRDEPLHRTLSLRLATGRVTPEDQHHHHHHHQAAAQEPAARTPHTILYDDLLAR HPEFAIVLPRRRGRRGRRGMGKGGRGRGRGHRRRH MYCTH_2301625 MAGQNKYGFETTGSQLVQELAPHIRGKVILTTGVSPNSLGAEFV RAIAVAGPALLILAGRDLAKVRQTADAVAAARPDVRTRLLRLDLASLAAVRAAAAELN AWADVPAIDVLVNNAGIMALHRYETTADGFERQFGTNHLGHFLFTNLIIDKLLAAPAP RVVSVSSAGHRLSSIRWGDLDFHGGENYNKWHAYGQSKTANMLLAISLAEKLGHKGLR AFSVHPGAIGGTNLGPHLDWDGGEFASFQALDRALGNEEGWHTGFQFKNIDQGIATYV SAAFHPSLEDHNGDYLLDSHIADPWVDPVKPWATSPVEAERLWKLSEKLVGQEFQY MYCTH_100589 MQHIVRVGVSLGFLAATAATAAAATIGGKCTNTIVRDVAVIGGG ASGAHAAVWLRDNGYSVVVVEKADQLGGHTNFYRDPVTGKEINVGVQAWMEYKDSFEF PKRMNVSTSGSMSFTPNTAQYIDFTTGLPVAGYKAPATEEMYAALQRYLDVLEKYEDM VLPGFFNFPEPGAIPEDLLMPFGEFVAKYNLEAAVPQIWDSTAQGLGDTMNVPTLWVI QASGVPMVRALLGQAAAAVPASGRLYDLFESIADFLGDDVLYSSTVVSSTRYDATRNP KKGVSLTVRGPGGKLTCVEAKRLLVSIEPTLANMAPFDLDRSELTILSKFQYTTVYAG ILRHPSLQTLNAYTGRTTGPASLNYTAFPVAPQVGRIDYVGDTQDLFQFTAVGTAADT SKIMQALLSGSIDAMIAAGTLPAAPGGSSVDYAIFADHGPMHARVSAAELRAGFIQQL YSLQGRRNTFYTGAAFSAGFSTVLWEFNKVLLPKVVEGL MYCTH_2301628 MSWICLVLQIPHGLGRHGLVVPVEERIKFEKITFWKTVFSDGVA MGLLRISMAISLLRLKRDLKWYRYSLFAVIAFVVLYSIQAIAWLFIYCTPYSGWWEFQ WMNPFDPRCKSFTVFVNLVYWNISCNIFTDVVLGALPVPIIWKLKMKLRVRLYVIGIL NLGYLAVLMGILKAVYMLTTGGDPDAIFDYWVHFWENLQLNIGIIAACASFLKPLVGR MLKINSSAAYSYPSTPYGRSNGRGGRTPIGVDTIGSKYANRRRAADESHPDDDFELRT KHNGSPTGDPQVVTSVQAAARTRRAGSPHLSAAETVDAVPSDANSEEIILQTKDASHG IVMTRDVSVRYSNPK MYCTH_2301632 MLLLTLATLVTLLARHVSAHARLFRVSVDGKDQGDGLNKYIRSP ATNDPVRDLSSAAIVCNTQGSKAAPDFVRAAAGDKLTFLWAHDNPDDPADYVLDPSHK GAILTYVAAYPSGDPTGPIWSKLAEEGFTGGQWATIKMIDNGGKVDVTLPEALAPGKY LIRQELLALHRADFACDDPAHPNRGAESYPNCVQVEVSGSGDKKPDQNFDFNKGYTCD NKGLHFKIYIGQDSQYVAPGPRPWNGS MYCTH_2301633 MRIPLRLSFVLWAIALLVFLGHAISRLLFFRSLFFEHSGIRLTQ PEVATAAVDSAGPSGARRQYIPKIIHNVFHNWREPGNDTLPADMAAMRQTCIDTNPDF EFKLWTEKESREFIEREYPWFLKTYDGYRYPVQRVDTVRYFLMQHYGGIYMDLDNGCK TDLTPLLYYPLWVTDGGRGALSNNILASRPGHPFWHRLTLSLIPYDWKWPLPYVTIMY ASGQWFLTAIWEEYHALLPKPGSSSPAGADGHGYEHEHRLYRVMMDMAPGADPWVFFS HQEGGGGTWNNWDNELFAAIGDHLLLFFALLFAGIWLVAWTALRCLRRYRVGYTRLSK HPGSSVV MYCTH_2138347 MSFQTVRSPFAVSDYTPLSEHQEQTPDSFYDGKPVLYYHATGAK AWIPKAQRGKLPFFPADHSTEPTPPESSALNGQVEATVEQKVDLFVNSRNLSIFCPSA ECGACIPYQQISIHAIKTLRAGDQTAYPSVYLQLELAEGGEGDDDFDTLELTLIPQPR SPPSDGAPAAGDGTAAAGATKPEATLLFEAISECSNLNPDPVQDGDGEDADEDGAQII FEGDHEPIEGFSGVFAGSRDGGLPPAMPGSGGWITAENVHEYFDEDGNWIGGEGPEEE GVSGELGEGAGTVHAREEGEEEVVNGDGKAAAEARVALLVFFFLWLVLPYDNVVRLAF RWNAKRLKAALVSRPSERWVYSRPKHPVDLGQDVVVILKTGYGTRERVPAWLDALSAG NEFKDIFVIADSEGDITFTDKDNTRGLHVHDAVAHSLRFHLGAYGEHPRVTRYSQLAE AIYKGDEATALHLCRGFGWELDAMKFISGLEMVYQVYPHKKWYLLVDDDTYIIQPSLK PLLGHLNPERPHYLGNAVGDFKARFAHGGSAVILSQAAIRTLIMNQRALKPIYVDSLD ETWGDRLLAKALLKHGIYLDETYSHLFNGEPPLLTKIRADRLCSPLVSFHKLPSPAAM REVGDYFRNVSKPVLWGDLWEIYGKTPPWEQAGAAASHNWDHVGDPDESTLEISNVKT AEDCEKHFKRRSRSSLAWSWDAQTKLCLVSHWMIVGKEETGQVSGINLRRAKQLGAKC IHH MYCTH_2314688 MHDNRTHPLLQQVPLTVSPFVKLPTATTLPYRYKPMPSTLPPSV TGIPPTTTTTDDSSNGSGNNPDGAHPSSSSAGAAPPKPRYVVSPSGHAAHPDEILESC RALLAHVTQMCAKAEQDLAALDERIRARDLAEKRRVAPGWLDSEARLLEPEKKAPVST NTTTSSSSSVAGAAGSAVGGQGSEATGGGKAPGEMLLQQPVKGFAGEYGSGGGNGVGN GGEQESAGPCEMAVPDEGEQLDRAFGTLKLRGS MYCTH_2090680 MADEIVAELNERFGSGNKDLEADQVAELKSIMRLHQLSVQDLFF KWESYGMKMGMDDFQPSIDNLRAFKQNLQDELARSSRSQQAHIKTERRAGATPRVGKG GDVFGMLDGLATPAQGRPNGAVKKTPATSRVKAEPTSSPLKVEDQANATGEVPPSSSF SDRPNAGEVLEILNEQLEAAEPPISPFPESRIKLAATSDQKKLGYKGLAMKLSEASEI LDVRIEEFAAEVMRHHKLEELAFGSPASQSTAEIIAVGRIASDSLEGKLNAASLVLET SRRMGNGLRVPLNLGKLKAYQFFPGQIVALRGINSSGREFTVHEVLEIPLLPSAASPP DALASHLARLRGGPDAMDSDETPTLPLTVLFASGPYTADDNLDFEPLHTLCSEAADTF VDAVVLTGPFIDVEHPLIATGDFDLPAEASYDPDAATMTTVFKYLISPAINRLVAANP SVTVILVPSVRDVLDKHVSWPQDAFPRRELGLHKSVKIVGNPMTLRMNEMVLGVSSQD ALWELRSEELVGGARAADALSRVSRYLVEQRHFFPLFPPADRRRLPKTGAADAAGGGL PPGAMLDVSYLKLGEMIDVRPDVLVVPSALPPFAKVVESVLVINPGYLSKRKAAGTYA KMTLYPPSPEDMAGNGGLTAHKIYERARVEITRI MYCTH_2301639 MATATASPGADPVHEKFAGPLKVPLPFPTPESRPKERPVLTTEQ QQKYDWLLQQVKGWTEVPSTKGKAGPLTDGEKFWLTKECLLRYLRATKWHEKEADKRL LETLAWRREYGVEELTAEHISPENETGKQVILGYDKEARVCHYLNPGRQNTEPSPRQV QHLVYMVERVIDIMPPGQETLALLINFKQGKSRSNTAPSLSLAREVLHILQHHYPERL GRALIINMPWIVTGFFKLITPFIDPNTREKLKFNEDMSQYVPTEQMWSEFSTGELEFD YDHSVYWPALHKLCKERREARWQRWVAGGRQLGESEDYLAGGTETGVAQQATGATENT AEDTEKTEAVPDAEQVPSASTEEKAAEKVTENVVEPTTAQNAVASA MYCTH_2301640 MAGTGQRNATEASRDRPGNVELQQQNSSAPSDAGVARGNPQDGE PSPLTRSRPVPSLHLPRAGLRSYGYDETRSPRSRQPQSPLQRRTPELYTIQSHTLAPP AKEDGQDRRDGPSTASPRPRLGQPRISLTRPRQTFDEMRDSIKLPVDAEKSSATLSQL GWVGRQKRRKRGLGRIPWAKIQNAADRAYHRYIIEGLLRQKPIPPSQDGRHIPLKPGL VRRKPLKDERTGRPYVSNFIRSSRYTLWNFLPKQLFFQFSKLANFYFLVIGILQMIPN LSTTGTYTTIGPLLAFVALSMAKEGYDDYRRYRLDKLENRSLVCVLDPDGAAKSEPRS DGRASPGFFRRGKPVRDAGTQVTELESVGSSEDAESGQWTKIEWQDLRVGDIIRLHRD EDVPADIILLHATGPNGVAFIETMALDGETNLKTKQACPLLAKHCSSVAGMKDCEAEI VSEDPNIDLYNYEGRVTVNGETMPLTLSEVVYRGSTLRNTAYAIGLVINSGEECKIRM NANRNVRAKAPELQHAVNRIVIMLVFFVIILSVGCTVGYILWRRQYVGASDQPRAWYL QGGNVPLQQIFIAFVIAYNTLIPLSLYVSLEIVKLGQLFLLSDIEMYDPVTDTPMVAN TTTILENLGQVSYVFSDKTGTLTENMMKFRKMSVAGTAWLHDMDLRTEADKKGKAPVV EKEGKGRSLNARTSTSQVESEMEEPLVDGRPPRTSTASRWKSSANPTQSQPELGNTEE LLRYLANKPHSQFSRKARQFILCLALCHTCLPETGDDGAISFQAASPDELALVEAAKD LGYLLVDRAAQSMTLQVRTPNGPTITEKYEILDVIEFNSRRKRMSIVIRLPDGRRCIF TKGADSVILPRLKQKQLAFQTASAVERRASMRRSVEQEKALRRQSMQSYKRDSMTLRR TLDRKDSWRRSMALTDEVDQWLSRRETDGVAEPSAEGEPYQSPRRSMMGARSFELARP VIDPADRIVDESLVLNEAAVFERCFQHVEDFATEGLRTLIFGYRYLDEDEYRRWKEIY LQATTSLVQRQERIEEAAELIEQDFELAGATAIEDKLQQGVPETIDKLRRANIKIWML TGDKRETAINIAHSARICKPFSEVYILDVTEGDLQERLAMTLTDVCRGMIPHSVAVID GHTLSVVEDNATLRMLFFDLVARVDSVICCRASPSQKANLVRCIRQQSPSAVTLAIGD GANDIAMIQASHVGIGISGREGLQAARISDYSIAQFRFLQRLLFVHGRWLYVRSGKYI LGTFWKEVVFYLLQAHYQRYNGYTGTSLFESTSLTVFNTIFTSLPVIVPGIFERDLSA ETLLAVPELYSFGQRCRGFNFKQYLGWMFMAVAESVIIFFGVYYIYAVKSHAPVPTDL YPVGTLAFSLAVVFINLKLMFLEVHDRTVVILGAMCISILGWWLWNILLSALFPGKVG PYVIRDAFLENFGRQGLWWIVHFILLSALVVLELGVTAVRKSLWPTDRDLMQEMEHIK GVKEVFREHADGGEAGVSASPGPEEADEGGERTADAASLKTVTSASASAAPRQAPGEV ATGWQRWEGHASSHYAPASAVSPGAGRLTGEFVRPRFTPPAEERENPLEIAGAKLKEG AQQE MYCTH_2301643 MATDVLNQEPKQEVKDEPKGEIKQEEGVAASTTSMSATPAPCKS PVSAAKSSTTPSKPAKSPANGSNKTQGTGSRRPARKSTLTQQQKNQKRQRATQDQLTT LEMEFNKNPTPTANVRERIAEEINMTERSVQIWFQNRRAKIKMLAKKSLENGEDMDSI PESMRQYLAMQAMESGKSIPGFFGRNGLMPYGQHGMAGGDQSGPGKVLIHHLNCRSLT IGRWMRVGQNAMDLIIFYSPDKCTMTYYINNDQAGYKIEYPFSYIKAIYLNNVEGGND QMGGITIELLHPPNFYMDSSTTATFVQVRDFTEDLQASRVLTHHLGGNPKVLSGQLAK LVSLEAFIHRHSAPPPPPPPPAPVFDQLHPLSMSAPVSPARPSSQPNFAQPHVGMFQE SQWGIAPHHQTMMMRGPGHKRQRSRSVPGPIDFQTMQLLQQPPSFHITQPENQAPNNN PQIFSPVPQQPNMLGPVGPNLRIDTQAGFGSVDMRTYPLSATTAPSPSEYSSPNFFAT QAPDNNGIPASSFTPYSGTFSPLVDPANLGLPPPSISPLSFNHPDPAIVGESPPMSMP PMCEGSAVSDDGTGLNDMYPTGKQTMTLPLHPHSPFVEPNQADMELNQFMDLRRFDAE QASMSPESVQAQ MYCTH_2301645 MSPEKAKTEIQNPRRILAVSLADSAQHLSDVIRDLTGTRPSPAP QAPEDDGGGGGDGGDNNGSSSSSSSSSQDPKAETNEDTIAGTTHPLPLSTPYYTASVP IWLDLVASPAEWSAAFLSPEAKEVLDVLGGVLVVFALPPARAAPLPSSSSSSSSSPPP PPPSTTTSSSPFSSQETARERARELITHVGKVVREGLGGWEWDGVGLAVGVGPSARGG GGALGQEEDDDGGGGELDEWEDLCAESGLEFVHVARAGAQPGNGKGAGEEDKRNEFGE RVGMARVLEALKANDWSGAGTGNGGDLGEQDDETRTKGKGDQEDEDFDEFDPEKLDFG FDKEDFVGLREAILSGGRGGEGAGPGGDAGDNEDIGEEDVQQLERLMLKLQAVRDASA GLPEEHRKRMAARAVGEVMREL MYCTH_2058263 MAATAPTSTPPPSQPGPVPSRKQRVLACELCQKRKIGCDRKFPC ANCIKVRAMRKIIDDDESEENTPESMTPDGNAELVLGPDRPGVDLADLWPEITHIQPL WQTYLDRVNPLTKIIHVPSMQPYFVAATSGGRDTIPRNVEALMFSIFLMATVSMSPTE CTGLLGSSRQEAIHRFSEGVRLSLIRTSFLKSHDMASLQALLLYFSLQGRYDRHAAWI LTGVLVRIAQKMGLHRDGEALGLPPFECEMRRRVWWQIFLVDAKYATASGLGPSNLPI NCDTKTPANLDDADMDPSATQPFKAKDGPTEMIFCLLMYRFAKFVKEMPGFEGIIMIP DDESGPGPRTAPTEEQLAAYRRGLARLHGELLEIFEKYLDVNAGPVHAMANAMKDHIL QKLEELKTPVKLQKDWGGEVKSTVDNTFRHAVQMLEHNEFNYRVTEGLGFAWFSLLHF QLDILMFVVGQLRRRTEGRLVDSAWRQVEVVYSHHPGLFDMTNKNYTALGVHVLQAWK QREQVIFGRTGRAPEVPLCVTKLRTCLPDSVCKQEPGEQRTPPDPFVPEIAAAYPLDT SPPTLEDLMAFPDPQSFDWDMFTGLLANQEEATLGIGPFGIAPPPTW MYCTH_2301648 MITPMRRSLALAARRGCRVALAAASRSPRTTRSTLQAARVVSYT TTSRLDAFHTQLENAASSPFPSSSKTAIQNPQTLTEKIVQRYAVGLAPGKKVKAGDYV TLQPGHLMTHDNSWPVAMKFMSVGATKIHDNRQVVMTLDHDVQNKSEANLKKYRQIEE FAKKQGVDFYPAGRGIGHQIMVEEGYAWPGTLAVASDSHSNMYGGVGCLGTPVVRTDA MSIWVTGRTWWQIPPIAKVTFTGILPPGVTGKDVIVALCGLFNNDDVLNHAIEFTGSE ETMRSIPIDDRLAIANMTTEWGALSGLFPIDSVLQSWLRAKATTSAMLNPELGARAKF THAKIDELISNPLTADPGATYAKSLYLNLSTLSPFVSGPNSVKVATPLKDLEAQDIKI NKAYLVSCTNSRASDIAAAAKVFKDAAKDGVIPKVADGVKFYLAAASQLEQAAAEESG DWQVLLQAGAEPLPSGCGPCIGLGKGLLEPGEVGISASNRNFKGRMGSTEAKAYLASP EVVAASALQGKIAGPGWYQKPEGVEKVIIGEGNGDHIQDKALSIGDALDKIIAQADSM IATAEKEMFGATGADTAAAEEETLTEVLPGFPEKIEGEIVFCDADNINTDGIYPGKYT YQDNVSVDKMAEVCMENYDKAFNSIARPGDILVSGFNFGCGSSREQAATAILAKKIPL VVAGSFGNIFSRNSINNALMGVEVPRLVQRLRETFSAKDGEKVLTRRTGWKLVWDVRR SKVVVTEGEGGETWSQKVGELPPNVQEIIAKGGLEKWVKSQLSEA MYCTH_2301650 MGLFSRFAPRLGLTPSLSRATVRRMRPIIVIYGSTGTGKSDLAV ELATRYNGEIINADAMQMYKGLPIITNKLTAQEQRGIPHHLLGSIDLGEEPWVVTQFK REAARIISEIRSRGKLPILVGGTSYYLDGLLFEGRVVDDQPAPEGGALNRDELAAKYP ILTESPEAMLAKLREVDPVMADKWHPNDARKIRTSLEIYFGTGRRASDIYAEQRSKKE SKWASQKHTHSHGLGEVLLFWLYARREALNERLDKRVDRMMQNGLLGETAEVYDYLQQ RLAAGETVDRSKGIWQSIGFRQFEPYLAAAKNGVAGPELDKLRQAGTEDTKTATRQYA KYQVRWMTMKTISSLQEEKLLDRLYLLDSTAIERWNTEVLEKGVELTRKFLANEPLPP PAEVSETAREVLAETIERSNRQETPCRKTCDVCQKTLLTEGLWQAHITSRKHQKAVRG ARKRQLIPAHAVPSRDLIAVTPDMPREEVTTAIDSP MYCTH_2314691 MSSLRFLDLVKPFVPFLPEVQQPETKIPFNQKLMWTGLTLLIFL VMSQMPLYGIVSSDTSDPLYWLRMMIASNRGTLMELGITPIISSGMVFQLLAGTHMID VNLDLKSDRELYQTAQKLFALILSVGTATVYVFTGLYGPPSELGAGIVFLLILQLVIA GMIVILLDELLQKGYGLGSGISLFIATNICESIMWKAFSPTSINTGRGPEYEGAVIAL FHLLMTWPNKQRALQEAFYRQNLPNIMNLLATLVVFAAVIYLQGFRVEIPVKSSRQRG ARGSYPVRLFYTSNMPIMLQSALSSNIFLISQMLYSRFSENLLVRLFGVWEAKDGSSQ LSAVSGLVYYMSPPLNFKDALLDPIHTAVYIAYMLTACAVFSKTWIEVSGSSPRDVAK QLKDQGLVMAGHREQSMYKELKRIIPTAAAFGGACIGALSVASDLMGALGSGTGTLLA VTIIYGYFEIAAKEGDLSGMKGMIMG MYCTH_2301654 MRLDDAGEPGFASCPWPIWRTDDFTSCFQQDYLRVLLPLVVVCL SFLQLSIPAIVRAVDRRRSRGYEGIWDGPTTLSPPSHTQLPREEEPVSESDDEEGLTI SGGRLALAKTTTKGSIVQADIPPGQTLSVLVEELAVAGLVAVNAIALATGTYGRERGG IAAIAGLAVWIYVLILVSLRLFLGNTQWRLPRIWNHTAAIYSAQWLFAVVFFRSALVH PTSRFAQTLVIVEFVLASLLFGMAISTRKGNKTILLEWEDGIEPGRENLASLFSHYTF SWVDSIVYEGWKEPLTIQRVWNLLPKDKAAAVLANYRLLKKTTSLAFHLLKYFKGVLL VQAAWAVMGGALTFAPTLLLRAILQYVEDPSVAPRNVLWLYVILLPLTDILRSVCDGQ ALWIGRKICINLRAILVGEIYAKALRRKAAAGKDTTLGAGKKDNAASKNKKGIFNRAK RLLGLGKDPKDNQTAENDAATGNATKDKTNNPDEQANLGTIINLMSVDSFKVSEVTAY LHFLVAAAPTQLIVSIVLLYQVMGLSAIPGFVVMALLLPVNIAFGRGFNSSQKRIMAA TDKRIHTTNEILQNIRIIKYFAWEHRFAHIVDEKRRAELKALRRRFMIWAAAVAVWNT VPILITFFSFLIYTVVEKKPLYPSVAFTAISLFMLLRYPLDQLGDMIAHVQESKVSID RIEEFLSEEETEKFAQLGVDNVDETGKRVIGFRNATFIWGSKDAVADDGSMAFRLLDL DVDFKIGKLNVITGPTGSGKTSMLMALLGEMTIMKGRVYLPGGRSREDVRPDPETGLA ETCAYVAQQAWLVNASIKDNILFSAPFDEQRYRDVIVACGLERDLEILDNGDETLVGE KGITLSGGQKQRISLARAVYSNSKHLLLDDCLSAVDSHTAQWIFNNCIMGPLMRHRTC ILVSHNIPLCVPHANYVVVMSNGRVVGQGTPQDLIAAGQLPEDAAAQKSAPGSAHISR LPSRVPSSVGEESGATLVNEADGDQQKTRSEAAKKKETKKRDAMEEAKAVGAVKWPVM KLYIQSMGGWWFWVVAGLVFGTQQLSGVASNLWIKEWSNQYAAEETAKIQFSMNSLSY SAQTLSPTYFASIANYVKGNSTTVSASDASEVNVTYYLTVLAAIGIAGALTALFRDLW IFLGSLTASWKLHDGLMKAVTGAKFKFFDVTPLGQMMNRFSKDLEAVDQEVAPIAIGV MTCALGIMVTIMLIAYVTPMFLIAGVFIAIAYVFLGQFYLHASRDLKRLESVERSPLF QQFGETLSGVTTIRAYGDERRFIRDNLARINTQLRPFIYLWAANRWLAFRTDLLGDVV AFFAGVFVIIGLGKVDPGSAGISLSYAIGFADNILWLVRLYALNEQNMNSVERIKEYL DVEQEAAAIVEKNRPPENWPSNGTVEFINYSTRYRPELEPVLRNLSFKIEAREKVGIV GRTGAGKSSLTLAIFRALETDEGKILIDGVDIGQIGLRDLREAITIVPQEPTLFMGTI RSNLDPFNLYTDEQIFTALRRVHLIQADEIAGSGAVTLPQATTASAAIAGSSSSSSGN QDQAQAQGETDTQAQPEPSRPASVTNKNIFLDLSSPVTESGNNLSQGQRQLLCLARAL LKNPTVLVMDEATASIDYATDAAIQETIRELTSTIITIAHRLQTIVDYDKVLVLDKGE IVEYGHPWELLRKGSGGVFRGMCEMSGDYPTLAAAAKKAWKAKKLVDVDDDEDDKGNE SGDGGSAAAAISTAAPVESKRGEP MYCTH_2301655 MLLLLLLLLLLLLLLLLLPSLTLLLVLDGAGEQRMMEEKEEEEE KEEEEAPVPIIVVVVVIRRVTSVVGVG MYCTH_2301656 MAPLITRVPGMDDLPVMRQQEVILVVIVSCCIVMFCLVLAADRR TSRQPAPMSQSPSQEGGGSGSGSGNGPGAKSEGRRAAGPSETTPLLSPESTLLGSGSS SSLSAKRSDSTDSWSSTATAAATDDSQTETSSPLADDQGFSLV MYCTH_2301658 MPEIPSLLCSFMPHPLALFSLKRLNQRASQAVAHPCNAHLVSIS KDKVPVLDVGHVRSATGDDATLATLAAATFLLTAAASPEPNAPSRSTERPTSHCSTTS LTARPIWFLFVTILWMLDVGGIRGLGFPAYEDVRRTVLSIRRQYPRALTGPCAAERTP ESVKSCWKLSDAAGLCTISTGRSGGERFSATWRISRGLAFPRKLGAT MYCTH_2301659 MRVSSYTSQLSGATTLSHSPDEFTDAQKRRDEKIWQLQLEHRAS LPYNQFDVQSSEELQRLFQADRNRTYHPRPGIESIYKHADAIVRERWIDQGIWKDEWN GGVRTRQALEARETSRVRDRDRVGYRARPRTLRQ MYCTH_2133403 MDHTADVHAIPMPSIPLHVYVVSALLVCSLLRSQLLGMWLTGFK KPLCAINAHPFVLWYVEPWVLIRSSICFQSLAVFGSLESSTSSRRHIHWVELGSV MYCTH_2301661 MAPGSAQDPADKPGMAIETNALEHKPSQDTLSQPFPAFDEKEAR YPRRSDISTPATVRANPFDTDIEALPVATNDSKRKSVECTKGGTDCQVWPGKDHWKRK AKAAKKQRTSCNCLASLSKRNRIAVKILIIVLIVGIAVAVGFGVSKPLGAGIWRSETQ NN MYCTH_36737 HPPQNKDACFTYVHMHQSQHADLFEEFCKDKLPKDDVFVPPDLQ PINPEEEDDVVPDQHAAYGVQKATQKAREPAWKDLGLSELMARGPAQGVLPKRRPG MYCTH_2301662 MSHLVGSGKTASSKEQAGLRYPSNGKTIYHRPLNRTKNAELSQA SFAYLFSEMVNYAQRRVTGIQELEKRLNLQGHPIGLKLLDLLLTREPPRSQTRPLTII ALLHFIKINLWTHLFGRQADRLEKSSDPAAPDEYMIVDNEPLVNAYISVPREMSQLNC AAFVAGIVEGVCDGAMFPARVTAHSVGGKEEGEMWPGKTVFLVKFQPEVLEREALIGK G MYCTH_2301663 MSATTFGHKGMEAVQNRDFAGAIPLLDKALESSSSPAWLLARAQ AHQQLKNYDAALDDAALVYHVAAERGSGNSRKQMIEAQYRRSVLYFKLGRYADADCCA RWAMLLAEGRPAREDDGVEKNVDENGNYTVTYEAAVADKKGQPGQDNKATWIAGIAGG SEGGSAGTPKTGFENDWKRAYTWRSQVLGAMEKLPNDHAGRKVSVKKIPDRPQKKAEK KTEQKPEPEVELADDAMDVDKTEPAGPAPGSVPDEKLKLRVDFYQSNNNVTVSLFVKD ANKDELDVKFSDNYIQISPLPRAAAPYVKPGDRKATSTIILGGRIDPSKSRYSVTSRK IELVLQKVTPGVKWGSWGREEIGPASEELTTSAGPGSTTASANQPESASRASVSSPPA AQPPTTATTSAAPAYPTSSRSGPKNWDKLGEAEAEDDVDKQDVNYFFKQLYKGATPEQ QRAMMKSFIESNGTALSTDWNDVKDRKVETVPPEGVEAKKWE MYCTH_2057978 MTAGNDPMSIAVQGAALVSLIWSCAVFLVQTIGITQLYRNHSSP RPKPVSPSLGDGETPHVTIIRPVKGLEPCLYECLASTFLLAYPRSKLTIYLCVASTKD PAYPLLRKVVSDFPEFDAKVLVEEDDPVLHGTDGHVNNLGPNPKIRNMSRAYREAKGD LIWIVDCNVWLGTGSAGRMVDKLCGFGPNGTRTTPYKFVHQLPLVVDIETPRTAEQQS LLPKDASLPRAPRSLLDYGGRLEEMFMSTSHAKFYSAINTVCVAPCIVGKSNMFRKSH LDFMTDPSRNPVLSASDAGRGRGLDFFSSYICEDHLIGDLIWRSPTPDAAAVTYKNHG LVFGEVAIQPTSGMSVAAYVARRVRWLRVRKWTVLLATLVEPGIEPFLCSLHLSFALT TLPWVHERLGVPRTWGAMAVIWASTVTAWMALDRWFSSMLHRLQSVEVDANTPSFALG SARRGGIPRRPFREWLAAWLGRETLALPIWTWAVLLGTTVNWRGKQFRVRMDMSVVEI EGQRAPSSAPSGDASRPDSRSKDRID MYCTH_2301666 MAAANGTVAAKISEGAPTARNQSSGVATGERASPTRPALHQIYA LPAPIRTFPLPTFYPSNPISLLYLVYTWLSHVLRPPPREPSVIHTGVWDAETRSVHVR DDSSIRALWEQGFYGKGSLSRSEPNWLKRELARRGSPEGKTVSEARTEMRREERRLAK WERAKAELEAIERQRLAEAASQASDSGSSKLAGGLSKPAEVADTSANPAHSTIVEPAG TVEGTSAEAAPAEAARPPHAGAQPASHARNWDFKPPVGPAELLALPNSLAKPKCPEDG SISDRLRKHPLDGKPPVGPAELVMLPNSNAELMGPVSRRIGGLAVSESETSAPDQRVL QTALDPNGDAKAFDSQPSTNGMVDGVVPKASASVASQDVDEMFINGAAENESVEERSS PPSTLSDGPTSDSSAPGLEGDVSQPQERRKSVRFSPTVESAAFLRSDPPSPGRSADLS SKSVSSSALPNGGVATLEPEVPSPIPPAAAPGTSSTADVSRDTSTSVVNREHFQLAPE EAFFLAFSLGALKVVDPVTGSPISTEHLLQLFRAHSYFPPRLASSDLRPDDPFLVNYA VYHHFRSLGWVPRHGIKFGVDWILYQRGPVFDHSEFGVMVMPAYSDPAWEGYGHEEPK RSWSWLMGVNRVLSHVLKSLVLVYVDLPSPAVFEKEMQRGGIAAALKKYTIREVMVRR FSINRNR MYCTH_2059686 MSFGEQLALIIRFYTDLETSIGAVSRLKAFSENVKPESWEGETL VPPAEWPLHGAIEINGVSAAYGDSEDKDTTKNLALDNLHLTVAPGEKVAICGRSGSGK SSLLLLLLRLVDPLASTTTPPTPDEEEGKTIQPKLAPMAIDGLPLHAMSRPHLRRRII ALPQEPVFLPSGTPVQTNLDPHHSATAADCRAALEAVSLWPFIASRGGLDAPLAPDTL SQGQKQLFSLARAIVRRRVRARERAAEFGSDYCGDLRDGGVLLLDEVSSSVDQETDEE MQRVIRAEFAAYTIVMVSHRLGMVMGFDRVVIMDAGRIVESGRPGELVEREGSRFREL WMVGNGGKG MYCTH_2301669 MDFSGCPDDASFGPAVRGCRGDFDFTIKFEKIFFSIIPPPVFVA FSLARIVYLARKPATVGGALLRTAKLAAICVFGVLQLALLVWSSTQARRFGAFFIPAD ALTLLSVVCTLPLSYLEHSRSPRPSILLSAYLFVTLLLDIAQARTLWLASTNSHEFAY SRVFTSYVAFKALIIILESHSKSRWIRWDVKEHSPEETTGLYGLGAYVWLNKLFLAGY RKVLRIDDLFPLDKAMTSETLYTKLSHHIDISRFRGKKHGLARATARALAVPLLLPVG PRIALGAFQFCQPFLINTLLEYLKQPAGQSPTNIGYGLIGATAIIYVGIAASNALYWY FQGRAMYMARGLLATTIYRKTTESRSTESDDSAALTLMSADIERIIIGCLNLHEFWAN TIEVALACWLLSRQIGPASVAPLIVVSGCVICSAILARFTGARQKRWMEKIQKRVGLT STVIGQMKHLKISGLAETVQDAIQSMRIDELEAGSSFRRVIVFAATVGYTPLFLSPVI AFAFASRTLEVTTIFTSMSFIMLLAAPLGVLFQMIPNLLAAFACLNRIQTFLEQEPRF DFRSFSGVAREKPLAEEKSCQTVPEQPTAKVTITGGSFGWEVGKKGLRNINLEVPASR LTIVVGPVASGKSTLCKTLLGEVPVFQGRVTTASSYRKIGYCDQNPYLFNATIRENIL GFSPFNQERYDEVIEATMLRPDLDLLPQGDNTNIGSNGITLSGGQKQRVSMARALYLD TDFYIFDDILSGLDADTEEEVFLRVFSPAGLLRRRGATALLCTHSVRHLPLADHIVAL GADGTIVEQGSFHELMANEKYVSALGVEQIDRSRLPGSSSLVERSSPKQDPPKPVSEK LPAVSEDAERARMTGDWAVYRHYYARINVLHRIGLLIFSIGWGSLQNAGTVWLTFWSE GVAATNPPHSNSFYNGIYAVFQVGTLASLFAIAYLCFTSMITVSGAKMHQEALKTVIS APLRFFATTDTGVIVNLFSQDMTLVDGQLPQALLNLLLGIFETLGLAAVIATSSPYVA ITYPFLAMILYVLQKFYLRTSRQIRLLDLEAKSPL MYCTH_2125560 MRLSMPESPLDSGKKEGSVELGKDIKQFIDAYHNDMDDFDWNIP LALWLSPSRVSSHIYESVAETSKLWIKGRIFSISDLVVDTHISLRFDNASVASLRLSP QDSYRNHHPVTGRFVIIETEAFEEVLFMVIGATDVGSEEIHGCLCNSGAEVKRGEELG IFQVGDSSIIVAFENRRVEFDRDVVETSRQKIQVAVELGMGLR MYCTH_2301670 MPSFSATADSLPPATVLSPTPVTEARPVLSRAPHDAAILLGPDT SSQPSPSLPNPDPRKMHQHSSWQAQLPDVGACNIIRPKPGYYPQQQQRIPEQSASMPY HNSEFQSDDMSRENFAVWLFNPHAPYGEFNVSHVPFIDGGLESTLNNNIHYDYESLTS GRSQLETPTRLAETDELLSEFRRQEILRWFQVFRQKQPKAEPLVANLVHDSNGDIPAL GLEMMRDCLQEYWDRVSPRLPIVHKPTFSCNRCSIFLLMVMVSLGAVSLRTRDSTGNL ADYGGFADMIILGVRSEILTAEEAQPPVSLWVSQALLLLEFYEKMYSSRRLHERAHIY HTVALTLLRRGSPLIGRSGSESPPEPPSADQPQGGALDSHTWWCRWAETEAMHRVVFA AFMMDIIHAAMFGHTADMAPHEIRLPLPCDDNMWTASNPDTVRQLDQNLRMYGIKPIS FLDGLKRALHGKEVKTHSFGRMIIMSGLLGVGWHLSHRETHLKWLDLSAPSAETHETW KKILLKAFDDWKHSFDAAQGATGNPNLTDAQGANGPVHSAAVLYHLAHISLHVDIVDC QVYAGAKRLLGRKVSVRDYTNVVARMRVWTSLPSTSHAVLHAFRLLHRVLVDPRRGAS AGDRDRSDIGPGAVILPSPEVHIYSCRNDPDPHRPWVMYYAALSIWSFVRAVSRQDSL HEPSGQSGTPFRPGKPLPVDHQRLAAYLSEIASLSELTENAVASLGNGLPDLLDALRL VFAEAHSELLQEAQVRLRMCKEMLINSAG MYCTH_100566 MTMPIYSRGSRSRNGGIPTSPPAGFSFDRAEVIYQHADRVVWKV GRRYILKRADFVDDSEAATHRFIWKYTPIPLPRLYGEGPGGPSRQRRSHHHHYYLLEE RIPGQTLRDCWGRLSRADAEAIAEQVAGYMEELARFRGRRMETVTGARLPNNCFNPRP EEPHERLAGRWATDDDVFDGTFAPALRRRGLGEVVVRACRRTMPRCEGQLVLTHGDLY VGNVVVDPDRAQVTGLIDWEMAGFWPEWFQYARITHGCSRDDGEWKRVLSRASRPRIR QADHGRVWLDAVMLLLYQPDSLRARAWLRLLFRYQRGEVGREALRNYQNIDGSDIRNH LAVYEAILNNRGGLGDQGYYSSALRW MYCTH_2301671 MAIHMQGRCVCRRLRYSLDLGSAEDARTTLCHCHSCRRAFGANY GLTAKVPLAAFRYEAGEPRRYKQENGVTREFCDQCGAFICEYGEEAADKFRYVVWGSL DEPEKVPPKGEFFCKDRAEWMPEIQGLFHKREIKD MYCTH_2125565 MAFRNVQWTAYVAGGYSFIEKIDTGSPSILQLHGPTAFSRSWRI TSIKSAAGNSSTMTTCFLLGLDWTAQLGDEDMASVAANRATASDVSEIGVQFLDLGHE CLQKVNGIRGGIFLLRVPPTGYFTTPGLPEEKSPGPKGIGDAAPGSSSGSARNLEDGS IPARSQASRLLSTAHHALRSITGSHSCRNRKRTEADGADNSEDTLDQQRAEPGRATVK ASWEIGAAILH MYCTH_2057697 MRGGVFGLLCGALLLPGSKSTKWVLRRAGDSDYACKCYPGDNCW PNKGQWQKLNNTVGGNLRVNIPPGAPCYNTFQGLLGNVLQTYNAAGCQKVRQNWGDEQ FQIEMPAAGLWTYFTNDTCRPTTNPTDTCTPGYYPVLVIMAKTTAHIQAGINFARENN LRLIIRNTGHDFLGRSVGWGALVINTHSFQDIKFTDSWEGAGNYTGPAVTVGAGVQAF QVLKKANALNPPKIMVTGECATVGVAGGLVQGGGHGPLTGFYGFVADNALEFKVITAD GKLNTANAETNADLFWALRGGGPAAFAVIVEASYRIFDDKPTAGVLLDIDQTHMTNAT LFWEAVRVFHSYSTHFVDNDLYVYYELGTAGQNLHVHPIVGVGKTPDELQAVLQPMFD DLDALGISYYTTGAADFPTFYDLYQAMFETEVAGNSALTGGWTIARQDAEERHEAIIS AFQTVVRAGSFMIGHMWSAGHGLPEERWAESSVNPRFRSVVDKLITVVPVAGNAPLAD KAAAQDTLTNVVDAALREASPNGCAYVNEADPYEPNWQQAFWGDNYPRLLEIRKKYDP DGVFYAISTPGTENWEQIETGTRLCRKL MYCTH_2301673 MYTQGAVPDSPPESPNPSPPPSGADCQFREPRGLRSPPGQPRMA RNSNTNTNTNSNGGGGGDSSNTPAPRSSPGESGGAQSHDPPTRARSDSPVTAPVHPAP SSDGTPPPPPSPRPSSPPRQRGSAASSVVVAVPEQQQQQQAAAVGAGRVLRYRDGLVD GSISGYVKSARGSSSPRHWHDVAAVVAGGLDANVISLRRARELKLEVEPPRAGEEGAA AASFDFGTGAPPERSIGTAVFVFKTLSHFNPRHPVITVTCDVCENSPVGLILGKPFVE ERERRWSKHPSEG MYCTH_2301675 MADPLTVIGGIAAVVQLAQAGRDFFKVLRQFARDAGGAAPAVKR FAGQVRAFSGAIEVAERTLACYCMENPESPLVAYIRRHKVLQDVDSEAKSVQAHLFIL RDKVSNMHTMPLILASIQWMFKKAEILQLIPEMETVKTTLDLLITTSLLESMNRKLDS ALDTNQELRKQM MYCTH_2301676 MPMRQETVAAVIPVGSACLGEDTWVHRLPLIGFRGDYRRMIHVY TFTASFPYPRSVR MYCTH_2301677 MAADEGGRVAYAELEGRLNSQLLQALSNHGFHYMTPVQEKVLRR PVFTQDALVQAKTGTGKTLAFLLPALQTLLEAKDLDVSCVGLLVLAPTRELAQQIKGE CDKLTGECSRPLECHIAVGGSSRHSHLTRFLRGKPTILVATPGRLLDYLGEEAARQKL AKIRCVVLDEADRMLDAGFAPALKQILEKIPPKAQLGWQGMCFSATVPPEIKKMLPMV LSKDHVRISTMDENEAPTIDTVPQTVHPVGSVADVLPTLHSVLSCARADNPRLKAVIF CPTARHAGLLYHVFGHTGGAAPPKLPVFQMQSRMSQPQRTRTVQEFKETDRGLLFASD VVGRGMDFPDVDLVVQVGVPSETDQYVHRVGRTGRAGKSGQAVMILAPEEMWFVRENP QFPIKDGGKFTHPKAQLYPSAEIIQSALAKVPQQTKIQAYVANLGFINSLMRRYGLDQ AGVVALANRFAAAFGCGDAPPALSPATVGKMGLRGVPGLVVEGKGLVVAGNANPAGNA GKGGGRGARGGRGGGSSSLLSTSSRGGGGRRGGGGGKGGQRRRQPQWASGGSGANGDG RF MYCTH_115028 MAGSSPHPELDDEARVVEIIAILSVASILSTLVVALRCYSRAVI LRSFGLDDAIMLPAQILTLATAVAIGLETKYGLGRHRWVISDEDFISYMKSFYTSIIV YNVAVCLTKISILLQYKRIFNNTMLRKIITAGLVFLTCWGVMLCFLLPMVCMPVAKFW RPEIDGFCLDSGTIWYVMAGVNMVTDFSLFTMPIPVISSLHLPRKQKGILLIVFTLGI FPCAVSIYRITTLHAAAKSTDVTWDNVNAATFSFLELTVGVITICLPTLRPVLVHAMP HIFGSLLRSAGHTDPTGPTGGRASRTPFGGGGSVLATIRGTAGGGGGAAAAAAASSSS SGGPNSLLKSNTLRGSESTEGLRLSDEETVLPRSRLDNDIEFGVLDNKKLHSPGSKRY SVSVVGGGWGPPASNGQQPGASDVELSGIKTTTVVTQQVTLAAPDEEEGKRHRVTETV MYCTH_2109081 MNKKRKTQPVLQFTTGLGPKPVQPDDLSGRTAVVVGGAFGIGFD VLRALTGAGCRVLMDARATIEAESPGGAQVEWHECDMGDLAQVREVFARLREERLRGG TTTGPARLITWLLSAGINTNEFGLDRDGIDRHFGVNFARPVLRRQPAVAAAAQDGQAV RRRSGRTWDSALWALTSDKVQNNGWYYSDQDQPGKESSQASDPNMGAALWDLSHRITR EKLGEDALVDWKACAY MYCTH_2059277 MQLRLINDDDGNLYQRDKKLGSGGYGTVYRKLACKVQKVETDHQ AGMRGRELVVWLDASRGTQHVAHLRDASFNRERKLLFVYMDLFRGGDLYDFTYDILDG KHGDILEEKHWDIVHPFLVFHLACEVAFGLSEIHAKGIMHRDLKLDNVLLTHKITPRM NSALCRLTSGEILDTKDEKNIQELFDIVFRKSDRDDPLALLTDFGLSRNLNDENLRSN YTVVGMKPKWTKGISAPELVHHNHQSLMADIYSFGVLVYYYGRDLQDLVDRCLNHDAS QRPDSEELIQTLWPLRVAAANRAKYHLEKLSNYKQHVQQIIE MYCTH_2301682 MTRREPIKGGPAATAQGLHDRQQNFLQVAGGVARKPSFEVTKED AKKIQHFESIHVMNNREKE MYCTH_65475 MADSRNRERGLRAALNNPRVSEEAKQHDRELLESEFGEHIEPSS TGPPSHPHAAPAHHEGGPPSSTGTDKGHPASGRKPRRASIGEPGGGDTGGDTSGLQQM VDDKERGNVIRGLKAALKNPHVSEKAKEADREKLRALGETVE MYCTH_2125573 MTLLSVFLAVVLATNAVYSASDWPGPRQVSTDVDGNQYIEAAAA EGGDNIRCYVAEFSEMTPRVVYNCKWMPTLCQNAVAYLGAGTNGPVEFHYDRNGDRHD ERRHHACPDGWANVHCLNLVGLPNWYTSNDNGARDYPVMQKCAKEPKRYYYEKLNEFD KDGKPKVAPAGVIASCDEFPAASWIEGGSGAKKISGVKAWMTEQNWQGNMFRNLPASL SASFTCPQQHYRQGGNDADRMYPIFKFNFQMVHQPSNADIIWITANGVKCYCTGIRPT SCSASLRPGERTR MYCTH_2301687 MKPIFNTPEIIILVFQSCDKVEDGLSLASTCKFLASIWRTHTAA ILYPHLKARTAGFNQALLAVRATNLVLDAFHANELPPPPARLHELGVQVHPDVGELKQ VRDLQHLARCVEHMYTRGNHVDQMHSPDEPDWLSHEERIRIGHRFHCAMYRVLVAGAV LTRAYLEPLFLAPVQGPPGFLHRLTGLSWDDEQELGGFKQEDIEYLVRFPVYDLEAGQ EKWEPAFGDLATWLLDDIETTFTKVKPPKFPRMPMGAEELGRVQEVTFFLAAYEHILD KLFNKYLPHPGIDEDARLDMTPPPFPGRVRKVSVAMFGIFRPEEVSMPERVEDSSSCF LINEPLALREQPTPTSQDRQVPDCVSPWTADVRMVLDILHDQSGRPNLRNGYPSPPPP LRFIEFLLAKFFKARFKDNLFDEDSVYHYFQDYQLGFLSNPDLFGDRSILFTTWLFAD NKAPSLGYRRLY MYCTH_2301688 MTVYNHIISKDGSCGLNVTEVPITCVGSAFGECCGSDGQCGSTE AYCGIGNCQFGDCDEAGYTFDGRCGAQFYGLECGGAWGNCCSNKGV MYCTH_2057017 MAPPIERDPAVPLLRAHLTPLPPLSESTAALTDFVSHLLRTSGR EEPRIVLIGDASHGTSEFYEARAVLTQHLIERHGFAVVAVEADWPDAEAVDRYVRYRP EPGPGSGPALGASEGKGAETEGETRKAGREPAFLRFPTWMWRNQEVQRFTEWLRRHNL GRDPRAPDAVGFYGLDLYSLRASMRAVVEYLDRVDPAMADAARERYARMSLWAEDPHE YGLEALAAGFQGCEKDVMRVLRDLLARRLEYAAEGPDGDEFHSGEQNARVVKDAEQYY KAMYYGRDESWNLRDRHMFETLVRVLKHRSRDGRPAKAVVWAHNSHLGDARATSMGWS RDELNVGQLCREAGGGLVLSIGCSGNTGTVAAARRWDGDMEVMQVRPGLPGSYEELLH ATGIERFALDLRRGHCDDELREALMRKRLERFIGVIYSPRTERQSHYSSAVLPEQFDA LLWFDKTRHVGALEVHQPHVPLEYDETWPFGL MYCTH_2125577 MNGTRKEYSSTTSSPPAACTGPTWPSKERARLGNIVDPRKLRDE PSIALPTRTRAREWAAENGLAPTLCFYFVCAL MYCTH_2057132 MAPPPAYRTIQPAPVKGPRTGALSSGPTGPQWLGSKGLTKRLKA VTQACHTCRRNKAKVCRESHCDGVRPKCGSCSARNSPCGYDGEAGQSRRAALRARLEE LEKLFGDLVTPDYTEAKRLLDRIRASAHDLTPLLTTWRESEDRSARQAAATTTMTSAP PSDASESSFPGRSPNSSSDGSTSPAASGSSSSSTNSGNSSGTQGTDDDSASLLLHLDV PLPGAKTTRAGVQTFFGSTGKLFHVYTQRQMEAYHTAVFGADDGKPPDTSRGLELCCL YAVAAVGVLYNAGTFQPGLENVFHDVSRRFFSDLMEQRPLDTIKVCTLYAMYNVLDKA TVALAYVEVGLGMSKRQSQNTGVCHPSKVSSEEWIDFRRTWRALVFLSKQVPPPPSLF LFSLRRNLLLPVLTICAPSWLSSTLGYISGADDGEFTRLLPVAGEDEDSYSAELGELV QAEMTKIVLLKAGILRNHLAVPELTALGMDGIIRELQEWHEQLPEPMKLRSLYYYRAD WPPPVRWSIYHLHLLYHGAFMLVYRRIAAHCVRLRRTGRDLASSAAREPNLVSLVEQG VTSARDTARIVSLLLGEQGVFRRCWIVIFQAHTACVVILYSVAQRKLHGFPFPPSPWA EDMERARQCIDVLGYCGDIDPVALRFRVRLSGIYDSLLSAANAANAAGAPQPTDVDDG QQQQKQQQRPEPHPIGYLFTAPPTTPPSDLSDLSLTLLFALCRPWSDPGGLNTVGPNG PMDVVAAAAGGGGDQRTKQQMTLDKLEWDFEKITPFRWDTGSMGPMLCGADEVVGTSC FLDSEAPSGWKRAEDIEADG MYCTH_2301690 MEMEHEIKQATQLLQAQRAVDRDEQPLAWIRNRLSSQAGTVYTP REVNKVLAEVVEADGSVGVVKALLALGADVNFVRRRHSSTWNKLTHRNQPSERNNILI RAVTRCRPETVHAIAAHADQANLDSALHHAIARGNLAVVAALMEHGASPVHLHDDFQE IVFHDQLPLLKVLLSGHHLPCLACRSTGLRIAVENRSVEAVRLLLEHWADVNYGDAVA LTRAVELSRPDLVSLLLSGPIQPSSRSLDAAVGKLRPLLGDHETGPNREMLELCLAAG ATGPETIRLVTEGLIDLVRRRQTHLIGIILRYKRAPGQFEAAALIEAIRAEHMDVVAK LLEFKPSSTSLAIAISQTVGVGGPQFRYEATHLLIQSGARGPCAGEALAKTVHCLVAN VKRGDKASIERDTRLFHLLLHEGKADVDWGKGEALQVAVRSASVGLVEEILAKEPSPE SLGTALKWAMDIRDGNKKQVLVEMLVRRRIDEDAAGKALVTIFKTEPGNAPVVELLLT RASVNYNDGEVFIHAIRNFRPETFHLLLGQGIGYKALFTAVTEGLKAPRPDRKLLFGD LVARMQLDQLNIALKHVVLEHDSDLDMARMLLDSGAEPAYEDGLCIKHAASMLNHDLL SLLSAYLGQHSSIYTQALAAIISRGKQWIAFEHVEVINILLQHGASGHIAGKAMIDVV DQLVCQPSRTDLADTFLRKMFAANVDVNHENGKAISIAASRGDPFLLRLLLANGATPS SATLALTAAIMAHHDEPLLLQIVGVLSEQKTAVPDFNRSIPGLPPPIFQCLKAYGHSV VVLDSLVRAGCRLETTVPMQVFSHNARDRQDRSVSSEMEPVSVLMWALLQEEGLISLP VIAALIRHGADHSYTTPLSRTTPLLVAAKSGRIDIVRLLLESGARISVKDALGHSALF YASRAGNAKLVELLLGSKPPLNDGSLHEACRGFHVRVMQLLLKAGHDANFRSIKHGGR TALGEIALRAAPPADIAIAEEALDLLAAAEASPLLKVDGKTVIFLALDNEHNEAITKL LLERMLYRTLNSLENTYQHGTLHYSPTMYIAKGILLGPPSEILLQLLKAHGCEDRYYA TIEETQPHDAVGLPEEIRDYERERRARERQRRLVEEEHASAIRREHEKAAAVAQLEAD KHHRTVQQREELSQQQRRHRGLDHHQAIQMKAEKHHAEAQIKLSAAGVHSSIRWQKHA DDLAMQAQKRDADLAHRQASHEQRVERRRDEAALEAGVDELRRARELAHAREEQRQRL TYENQKMVQQYEMLCRRRQLEREALDARVAVAREKHEMKMTELRSHRGNIIGQVNLEE LRRWQESERRMKLGAAPIAVEGKKLLA MYCTH_2301693 MPVNQRTHSGSNSRSNSPPKTTTHTKPGTGGGARPPPPPPPPAP APRPARNISRTVEPPDVKDAGPAGYLLLSLAIFNGYPFKDHWGFFIHTSAASKKGIFL HAAGDVRHGFTFEIKRNLDFTKTSHEPTLIKLQWVEGKYFSTDMWNRGEYVVETPGSP KCGFETIAKGVAPPGKTLNAVDDLAPSATNPPQRITQENCQTWVVKASAKLCEKEVLS RDVVNYLQQIKQ MYCTH_2301694 MTVIRTPTSAASARVELFRETQFTKTHPKQPLPSPASIRERNRK TGHPDATDPNGPPPVKIPELGLLVKYGRFVTRAELEAQRYVFKHLQGRVPVPEVFGWA QDDGQGFIYMALVDAPTLAARWSSLNEGEKRALCKELKGMVKAWRGLKQDPRDIYIGA VGQLPLNDITVKDRKKLHGPWPGPNPVHAFQTACDIDISGQISIVFTHGDLVPCNILV TKGSNPRVAAVIDWAQAGWYPSYWEWCKAKWVDMASNLGMSSTDQQQWRQHYLPDIIE PLSDEAVYFPWLRFQLANL MYCTH_2117187 MFMSDVIVSRVREDQPLLRAPEEDCWKPPRGFIWIQVAIMSNVF LYGFDGTITAATYAVISSEFDAANTASWLTTSYLVTSTAFQPLYGRVSDIFGRRLCFF ISTTTFALGCLGCGLAGNIVLLNWMRALTGFGGGGLMTMATIVNSDMIPFRKRGMYQA LQNGMFGFGAICGASLGGSIADGVGWRWCFNLQVPISIFALFVGALVIDNPEGGFHLG HGFKDTWARVDFTGALLLVMAISLQLVGLSLGGNELPWASPWVIGSLVASFVLLGLFL VVEAKTRAIPIIPLRLLNGKLPALTQFSNICVGMSAYAYLFNLPLFFQVVLLDSATTA GARLAIPSMATPIGGLIAGIVMSRWGKLLTLVRAGAALMVFGNALVTSLGFQDSAWKY YVYIFPANLGQGIVYPGILFTSLATFDHADHAVSASTVYLIRSLGTVYGVAITSAIVQ TTLSVRLPDALGEISDKWRVIDEIRHSVSAIRTLPPDVQLKARLVYYDGLRYSFATST AVGAAAVCTALLAKARGLRSTK MYCTH_111036 MMRTAARLAVASLLLGPACADWQFRSRPDLAPPRLNITIPATKD VEKGYLFVAPFAGFPDTPTEQHGPRQAGPYIFRDNGDLVWSGYGIYSIWSTNFQAARW KGKDVLFSFEGDHNAGYGHGHGHITIMDQHYETIRELRAGNHKLVDKHEFHVINEETG LIQIYQPVPRDLTAWGAGPRQQWIVDAIFQELDIATGRLLFEWHSLDHVPPSESVLPI NPGQAGGGYNSSDAWDYFHINSVDKDREGNYLISARDACAVHKINGTTGEIIWRLNGK RSDFTMGEGTTFCFQHHARWLQQAGEGDDGVEVISLYDNSAHGTEHGGGHEVHTADRS SAKILRLDTRAWTAELVHGYYPPSGYDLLSKSQGSAQVLPNGNVLVNWGSEGAVTEYT AEGEPIFHAAMDSGELGVGVENYRAFRFNWTGLPSEEPAVVALEGDEGTSVYVSWNGD TETAVWRFFEVVDELGSRSFLGEAERTSFETRLDLPRAKKVAGRVAAEAVDKQGRVLR ATREVAVEPEVLPASSDRPGSGAGSYEHPDMSDEQNALAASPFRSRWEEYAILKVFRF RFPKDLF MYCTH_111035 MKLNLLVSSALLALASAAAVQSPQQRKSYDGYKVVRLTVGKDAA KVNSIIDRLGLSTWKGKVRAGAVADIVIPPSQVDAFAAETAGMEAITMHEDLGASIAA ESTFQAYAAGSANATWFQSYHSFNDHLQFLNDLQQQFSTQAEIVSSGKSLNGRDITGI HFWGKSGKGKPAVVFHGTVHAREWISTMVVEYLAYYLLNNQDSAEVAGFLDKYDFFFF PFVNPDGFVYTQTNDRLWRKNRQSTSGSSCIGHDINRNWPYKWDVSGGASTNPCAEDF KGTKEADAPETQALSAWLKQTKESQGIKLFIDWHSYSQLFMTPYGYSCTAVAPNNSEL QSLAQGAAAAIKAVHGTSFKTGPICSTIYKATGSSVDYANDVVGADYVFTAELRDTGN YGFVLPASQILPSGEETYAGVRYLLQNMK MYCTH_2125585 MPQIPDCNTGDRGNQSPGGYRIRPVVARGPSVLGVTGGRRLGRV RPGLPISRRRERATIGSGRGRGLTWASAGVFLTGTVTAPAVSGQWHRSSLRVNIRARV GELDIRPFDREAAGTNIGYKQPRPAGKGHLLLLTTATATAGRAVYQKAGIVFDNNICT PYLREQNPQGPGIAPRVRFHEGSINSGLQVKHSLPRFEDNRLGIRSGLGESLEAFHND QSAYAPPGSYAARSSIPGEEIPISCTTTTALTGRDDQSYQFDVDVGLKLSGNRPHEPT GPPLKVPLKTPEAGVVPQPDEEVMMPNGTHYKVDDPSSISAE MYCTH_2117190 MGASPAVAGVDPMRPSPAQAQLKKVKKQKVLLMGKSGSGKSSMR SIIFSNYLARDTRRLGATIDIDLSHVKFLGNLTLNLWDCGGQEAFMENYLSQQRAHVF SNVGVLIYVFDIESRDIERDLATYVNIISALIQYSREAKVFVLIHKMDLIQPMTREDV FEHRAALVRRKTSEALAIMHKQRPELGAGGQQQQQQQQQEQQQQQQQQQTSPSPSAST QLEPPISLQLFATSIWDQSLYKAWASIIHDLVPNLAVIETQLASLGLAIDADEILLFE RTSFLVVSRWTSAEGERNPYGDRFERMSNILKSWKHTCSKYTGTPRNAEQFSDFEYKM GATFSMFATKFTTNTYILVCMPPGEARFNSAKLNVAAARPWFRFLDGPVVAPQQVATT TTGAPAPVGGVPSSYGAEAAVGAQES MYCTH_2301702 MADHDTLISNFCELTGASTEQATEYLSGSRWDIDSAVTAYYTDL EEGEQARTSTAAAPAAAPEPAYTGPRTLDGRPAPEYATASSSSTSKKPQKRTGVATLS SIGGGNSHDDSEEDDDYDDEDDERRGPRDLFAGGEKSGLAVQDPAQRSSDPRKLISDI VAKARSNARQSSEEPAGPSRSRFRGVGHTLGGDGVESRVIPDPQGSPIPTATSEGPVQ ERVLHIWNDGFSIDDGELRRFDDPQNRADLQMIREGRAPIHLMNVRLDQRVDVKLQQH NENYRPLPKVYRPFSGTGRRLGSPVPGEAAPAPQPVSTTAATASTSQAPSTGADESQP TVTLRIQLPDGTRLPARFNTTQTVGDVYDFIQRSSPSLGGRAWVLSTTFPNKEHDDKS LVLGEMAEFKRGGAAVVKWK MYCTH_2117192 MASDEPLNPMAESGVTIRSDSEQYSRHEEQSVSPPSSSSPAVIL YQPPTIWSIIRGAAINLLLPFINGMMLGFGELFAHEAAFRLGWSNTRPAKCQPGRDPL KTFQRARQDAFQQGPSPFELGAGPCEGPLRNNGTTLHAPTTARRIGGPLPLAAASQHL IYTHRQARNASTQSTTAAPTTTPDASSLSDLTGTPVNLTGSDLLDIPEQIGFLKTLGL EYGWGPTSIMQTILESVYVYTGLPWWASIAVVAVGIRLALLKPALDASENSIKYQELL KDPRYQAATEEMKRVLVTGNHLAGAEARAKIALMNKAAGYSLWKNFVPMIQLPIGIGM FRLIKGMSALPVPSFETGGILWFTDLTASDPLFILPIATGIIVAMGMRIPLPYMAAQQ QKMMKIMSLVVMPISTVVALFLPSGLTWYFFLSSLLHTIQAYFMHQPWFRRLVGLRPL TAPGPPSRPTAAWQAPRVLDARAPRIAAAQHATPPPAPETMFASLKSTLADAKEKLNE RANKDSAERSLRAAREYEERRALEEKEKIVARILQKRSGKGERH MYCTH_111030 MSAIQQNVEAASASYSASFDKGHLALPPAKKYLVLTCMDARIDP ARAFGIELGDAHVIRNAGASAVEGLRSIVISEQLLGTNEIVLVKHTGCGMLTFKNEDA YGIVEKNLGQAAVEELRERKIDFLPFPELEQAVKNDVEFLKASKLVPESVTISGWIYE VETGKTRRVV MYCTH_2301710 MRLAAAVQKNNPGVSVAKGTPPGIVPKLILVGAVATGIAAFVRT QLRKESDAINRSFSQQNTPETMARRNRNFHVDTEGDPKKTLFNILNW MYCTH_92725 MVTTLAALARTCRVHHWVFNDKLYDADAVHPKGSLAVLWGAAVG RIRSMELALAHGANINWRGYVGNLPDQRQVPDAYPVLITARDVRGSTRDRPRPQAGAL HLAIKMGNDEAVEWLLAHGASVQLWSKFICDCYGDRYRALRFETWPVGYCCNPKWYAL HLAICFGRLSAVRLLVEAGASLEILVHGGKSAYHGPAPTTYSTAKRRIRRELADL MYCTH_2125592 MYAAVSVVPLDIVPANAAVEVVRLMCMFGADLHRVNSEGMNTLH FLIKRCISGAQGDPASDGWSPTRAGLNPESMRSPSAGGTTGSDDSEPASDDLETSDLD PEQSVGSQEL MYCTH_2301712 MVNHEIPDNSTFSPAPGSLQLTINHDVAADLDSSNAFEGPEKLL EVWFAPGPDALPPSAKENGLKAVTPSTWEGMLDMVNCKVLSIVKSDHVDAYLLSESSM FVFPHKLILKTCGTTTLLLGLRRLLRIAAVDAGFPFHNAASIDDIHAAATPLRVFYSR KNFLFPDRQQGPHTSWKEEVRFLDDTFENGSAYLVGRMNADHWYLYMTGPPSATLTPP LTPRSSNNGSPTRSSKIPTGIVSPFNGISDAQTDETLEILMMDLDPELAKQFYLEQAS AVASERVPAQAQEACRAAHESLGDIDSTVDVFANCGESDISGQQADDSDVTAAEAMTT EGHALGTVVSETCGLAQIYPVSDYPDARIDAYLFTPCGYSANGVVPAPTASADEQGNK AAHYWTVHVTPEPNCSYASFETNVPSRQNGRRASDTIHQVVNIFKPGRFTVTFFETKN KVAEEGAGANGVGVDKRMDDVKGYRRMDRIVHEFDDYYLVFRYFEREGWAGNKSARVG EDY MYCTH_2301713 MSLSKVKHIVLVLSGKGGVGKSSVTTQLALSLSLAGHSVGVLDV DLTGPSIPRMFGIEDAKVTQAPGGWLPITVHEADRSAGVASLRVMSLGFLLPRRGDAV VWRGPKKTAMVRQFLSDVFWDELDYLLVDTPPGTSDEHISLAETLLQKARPGQLAGAV VVTTPQAVATADVRKELNFCAKTGIRVLGVIENMSGFVCPNCSQCTNIFMSGGGEVMA KDFNVRFLGRVPIDPQFLVLIETGKSPRYPAGTSINGQDISSPTDAPAEGDETRDSAL LVHKYRSCSLAPIFSTITADVVANVA MYCTH_2301714 MDDPWGSPWATAEGDRELKPGSPAKSDIVPPPRAFLPASSSPRV PAIVEQSPWGGDDVGFGEWTTASDAATHSVWAAPWGGSSPNLPATPRDDLLGKSSPIT LPGSIATPGPANGSRLRQPSPDPWGSGFSSHRSSHDAVTTPRLVVESASPADSPIDTL KENPFGIREEAVWDKFETKTEEFTAAQTTTIPEPTAATRDPTEGEGVEDYETTPASVS GDDARLSVESNRQTRGDRSSTPSNENTDHEGERPDSPITSVDEEQRSSRFSTRKLSGK VQELVVKFDGLARAASEEHPPIARTRSISPSVSSKKDGREDDPRDAVDDADFGESEDA DAVADGDTDERAMEPPSQPEQPAPNQHRREHSGANDASTALLPPLPDSPSPIAKFGPL DFHVDLELVTKLFPPTAAELSHEAKVDREVLDHVINDSFTEISQRKTWYRISRLGSTR RHDAGDDESYRRVAWPSSTVHDETIKIVRRWMEEDSIAGRIALGGRVSKTQKNMFGWD SSAEPVALDAVFKKKSSHTRAALSQPPPASTLSLELADASATHSPQRPTHRASGSVGP AVPSFGWNTGSLAPSSQTSTTGMIPEPPNATASAPAPTTSQTADEDDDDEWGEMVSSP VTSQPTTAGPVVQELGAVGIFSQTGGPAPTPSDAAAPSVTELPPAKPAPQGQASTINS KFVEHPGGSLGSEGSAAQSLESTTTHDLSQRPPPPEAGQPPNADLQIAHERMAEQILA SLPDLSYMLR MYCTH_2301715 MAIVNFILSPWAPVALLAAAVVYYVYPYLVTYRHLRHIPAPFPA QFTNWWLLLVCRRGNRYETVDKLHKKLGPVVRIQPNHVSLCDDAAIQVVYGHGNGFLK SDFYDAFVSIQRGLFNTRDRAEHTRKRKIVSHTFSVKSVAQFEPYIHSNLELFVRQLD NLIARSTNPDGAAHLDCLHWFNYLAFDVIGDLAFGAPFGMLSSGADMAEVRASPESPP IYAPAIEILNRRGEVSATLGILPALKPYAKYFPDPFFSRGLQAVENLAGIAIARVKAR LENPPPSHRKDLLQRLIEGRDEKGEPLGRQELTAEALTQLIAGSDTTSNSSCALLYHA VRTPGVMQKLQAELDAAIPADMDVPTFDMVRDLPYLSAVVNETLRFHSTSGIGLPRQV PPDGQGVHFGGHYFPPGTVLSVPTYSIHHSKEIWGPDADEFRPERWERLTPRQKNAFI PFSHGPRACVGRNVAEMEMKLIVATWARRYDVSLRQAHMDTREGFLRKPLGLEIALKR RKRD MYCTH_2301718 MSPRLVRCAICGWYIVGEAGSASWMGQFRGLCHNIAGEEIFLTG VGYYSDPHFGGFIAPPDPSARWDDPGYDNPLEHEFGVMAETEINGKHGFVIHDACWSL LQDACYPDLVPCTRFFDVLNSVPRVFARNIIDWGHDYGGLALLFPEDDYFPWEYLRFA DRDFRDSGLDAVYDTNPLASSEIQEILADTPQSPPTWDHPSESSATPRSSSGLDPFGL LPKELCSAIAAYLPTRDVLNARLASRSFWFTFHSQQFWASRFRGENAERSWLFEAARD LNTTGGIGRRDWRWLYHRTSGARLGRTAQNRKRVWALIRHVMDILELSWNELNPDMES LRRSAPLRPLSDEECSGPPWVSVEGSIRGVSDNLSGLQTACVLSKTQRVAIRVDAISQ VAASTVRLGSSVYIAGLSLAATNGEVVRLGYTAAGSQSCCVHLCGASLTGFNVAVGLG GIHALQFVSGRGTARRLSAWLGHPDDAPRTERLSDIVTPDEETMALEFGFDAFRMVSV GAVRRVESPMSSSHRADDSSLRHSAVWYPDVPSPTLNLNEDFLLAPRTYVSGFKPLFW ARFGGPGGVHLKHLVKLTVVDLDIGRIDFSFNNPSVPAECWRFSRIEDPKRKKDEDED EDEDEDDSEIVEFPIDGPGGEMINKVEVGQRLLRGNVENWLYKEGHLTWLKIHTNRER ALEVGSKYTSRRNIIVEKEFRAAPGTAITGLYGSRCLNQGCILMSLGVLTEPLSKA MYCTH_100541 MQFSTVVVAALAAVASASPAVKRQAACPEVDQIPKCGYDCIVNA AADLGCQADNYKCMCDQFDALRSGAATCVLSNCGLDGALEVISAAEAVCAACA MYCTH_2301720 MINSSPLLGLALVLATSPLASADSTTIINAGSNWGTWEGWGTSL AWWAKQFGNRDDLADAFFTLKSTTVNSETLPGLGFNIVRYNAGASSWNAVGDERMVES PNIRRSRQMEGFWLDWHDTDPTSSSWDWTVDANQRSALQKAIARGANRTELFSNSPMW WMCKNHNPSGSSDGSENIQSWNLEQHAVYMANVAMMYRDRWGIRFESVDPFNEPSANW WKADGTQEGCHIDVSTQATIVGHLANHLSQRGLSTVITASDESYYDQALHTLQTIGSS ALSRISRIHVHGYQYGSGNRAGLREAAAAAGKRVWNSEYGDGVASGENMARYLLQDLR QLRPTAWVYWQVLDGGGWGLLDADNDAGRLGGPTQKYFVLAQFARHIRPGMRILDGGA DNVVAAYDAAAAKLIIVAVNWDAPQYINFDLSRFAGRPADGTAVPRWSTQIGSGDRYV HHEGDTTISGTKFWSYFERNMVQTFEVAGVRL MYCTH_2301721 MPDSLQIQLVNQTTSNDVYAFITGLAIRNNMARVFLGADGRGLY YPEPPPPGRILQPLTQDCAIPLGPPGNTVTVTIPQMAGGRIWFSAEKQLTFLRNPGGP GGGAALVEPSVLNPSDPNAGVDFAFCEFTLNDDQLFANITYVDFVPRLPVSLTLRTRG GAVQHVSGMAHDGLERVCAGLREQAARDGWPWDKLIVQPEGHESPLRALNPTHGNAVG ASFDGYFEPLVEDAWRKYSEFLQPQPQPQQQQQQQAEPSEEKRRHRFQLSFLSRGTDR LRPAPFSSSGLPPPIPPRPPTTLRINTQAAPGVLEGRVPAGSAELVIGGERFARPTTA DILGCNSGPFAPGGASPARLAIIPRLAAAFQRGCVCAVRDHPSDPATFYPRDGRPANH YARVVHECNLDGKGYAFAYDDVQPDGGADQSGKVNAGDPDLLIVAVGGRGAYVGDRMP MYCTH_2301722 MKASVLVTALLPLASAWKLQLWTTDKRHVTAHGTTNSGCKNLAF SPVLNVNKLKFEESAFADTVELYVNKNCDGLSYRNDGGTYNIKARKIRSYKVY MYCTH_2314711 MAANQSSAVNPQLESRLAQVPLEILLRITSHITTPDLGNVRLSC RALERSLFNSFAHEFFRKKQFMATTDSLQALIDISKHPTLSPVLKHVILSVDRPFIPS YPLWNLSNSDRNSEARARLELAKADQMHLLVTGGLRDMLAEAFANLANLEIVDIRDFN SPSRSRDGPGTQWTSWGAKTLSRLANTTVQPRLEGDDDPYTSQIFAAAVAGLAVAQAR PKSLEVPIRGRGYAALALHDTAFYIPPRIEGPVSSLLANLKTLHLTLDLTHPKRMRFF MLQRFLSRTINLTWLRLNFTLKSQPQDQEELLLWLALKDSKTPVAPFDAGPIQLAHLE RLDLGTVVLEPRVILGLASKFAPTLRSLYLRQVSLQEYENARRRPEDLVNPWKRCLTE LSRIRGLSLRVLDLSSILVGWDRQRCGVSFKVSDDSTAQNWTCSTDKISLEKAVAKAI EAMVVEWPRQSELQPMDEDDSEGEEDEDDQETSDEEEEGEDEEDN MYCTH_2078128 MADPCRIVVMASGNGSNFQALIDAVSAGRIPNSKIIHLYVNRAK AYATTRADQAGIPWEYFNLISHGFQAKGEKDPLKIQESRDRYDAALAEKLLQSDIKPD LVVLAGWMYVFGKHFLDPLDAAGIKIINLHPALPGKYDGAGAIERAFNDFKAGKLENN RTGIMVHYVIDKVDRGEPILVREIECREGEDLHQLEERIHSHEHELIVEATAQVARQL LARKSETK MYCTH_2301726 MRSHFWILAASGRLVCADLGFGQKLEYHAGDVASLLPRETRASG PDGVNGWTPRPTEAPPTELVRRRQEWANLKRQDSSNTWINDKTCGWLANAESEPFVCA SDYTCATNSDNVVDCTSSGDENPFSTFFTVCFDYSAYKNGLCDKFVPKTGCCMTESIG ECITYVWPGSTERSMYRCYSERKIVTMLETPSGLETSTSTTSTSSTSSETSQTEEAST TATDDEANETETSTPSSRPKEGSNNTGAIVGGVVGGVAGIALIAGAIAFFIIRSRKNA ANGNIGGGTADYSAVAPNDTAYHPGSPMPPSVAGYPQMSQAGYYNPNAMGNNNNNNNL NLPDTPYLSSTTPPPVSTGYNTNSYYDPPKDAADQQHHQQPGYAPYSGAPTPPQGQGL YPGAGGGYPQHGQYPQQVSELDTSNAPMGQQSNPAEMAGDTPARH MYCTH_2301729 MAEPNNTPMPASTPVPASTPRSSSPAPPVPGDFLNANPSRTSTL AGAAAPRRPIVLHIGDPIKYNPKTYAEFSDAFEVIRPTAAERERGEFIRALKERRWGD FSAIFRPFWGTGGEMGRWDAELIDLLPDTVKVFASAGAGFDWADTKLLGEKGIIYCNS GLAAAEAVADFAVAMVISTFRHLPWCMTAATFPPLTSSSADLSDADADARAREAFQTC HARATAASHNPRGHVLGIIGFGNIGQQIAAKLGNPAFGMRIAYHDLVRKSPALEASLN ATFHPTLDSLLQSSDCIVLATPASPDGKPLITASALARFRRGARFVNVARGSLVDEDA LADALESGLVSAAALDVHAREPRVNPRLVEMATRLPRPAGDGIDDRLPGPGRVMLTCH NAGGTVETHAGFEELSMRNILAVLRGGEPLTPVNLEYLKR MYCTH_2301730 MSAPTNTISSCCLRASLWEGTPTGTETKLAGVPNPTYVARPPTP SRAALLYVHDMLGWTFPNARLLADAYAREAGVTVYVPDFFGGEVVPAEPVLAGRFDEL DVAGFAARNAREVREPEVVAFARALRASGEYDFVAAVGFCYGGWAVLRLGSAEFATTD PAGAGAGAGAGEDGQERRPLVDVVSAAHPSWLVESDVEGVTVPVQILATEHDPVYTPE LKAFTFSTLQKKGLPFDYQHFPGIEHGALVRGSDKIKGEREAMVRAKNALVAWLRQWI QDL MYCTH_2301732 MSAGPVDEYTGVEGVADPGGNSLTDNLNLYYSDGDFAWIMTCSA LVLLMVPGVGFFYSGLARRKSALALIWLALISIAVAGFQWFFWGYSLTFSHTGSAYIG DLSNFGLMKVLGQPSVGSSKIPDILFCLYQGMFAAITPALAIGAAADRGRMLPCIVFI FIWATIVYDPIAYWTWNANGWSFKMGGLDFAGGTPVHISSGAAALAYSLMLGKRAGYN KVNGLPYRPHNVTHVVLGTVFLWVGWFGFNGGSALASNMRAVMACVVTHIAACVGGLT WCLLDYRLERKWSTVGFCSGAIAGLVAITPGSGFVPPWSAFIFGVVGGVVCNFATKLK FLIGIDDALDIFAEHAVGGIVGNILTAFFAADYIAHLDGSTEIPGGWVNHHWVQLGYQ IADSVAGFAYSFVLTCVILFLMNLIPGLSLRVSAEEEDIGLDDGQLGEFAYDYVEITR HIDGAGTGTSSSPLHSIKGAIPEKTV MYCTH_2301747 MGNQHQSDLMSSPSSVDYDLDELFSLPTGNSSNVHIPPMISPME PSLFPSEATNSTRKRWTQDGSDRKVAGLLQEKLTRQDGPSPASKQSAQDRRVDVDLLA EYRKRLRTRSCAACKTPIETDAADLIRRTGKMLKESRYLHPFSFCSRCKGWFCAECLR YYPGSATPTLKHVVSSKHFQTAWCCDQGRVFLIFSLLCGLEATTPSSPSSKRAVKICY AKAESSSSLGPFAAKSRSRNSQSQLSKGTGYGDSFPKREKKVGPGLQDADRSTRELQL YFEALSSVLPSGKRETTAFDRLPQPAVSEMLWRSPILEHASELLRYASIEEMTKQYDP IAAVLDFMETVLSHNSTRPFLVRERILFHPGERLPHFILGRTSKGRATRVSTAYETAQ SLSTITQHLAVPCRKFIEVSRRIANIDGEEEGGRLLEMVQRICTISDRLSVLRSRLAI EEVDPARGPVSSSLTLPTTNVATRRMRADADKVARDVAHQEGLTRAAEFHRENCVKEV SDDLIVSSSCYEKEAREAEKSEPAPGRMRKLLAQVSSLSADLPQGIYVRHGESRLDVL KVLIVGPADTPYEHGLFEFDMFCGSDFPQRPPKMFFRTTGGGRVRFNPNLYNTGKICF SLLGTWDGQPWEPDCSSILQLLVSIQAMIFNDQPYYNEPGFEYHNDPARVQAYNRNIE HLTVRYALNSWLAERLAGPTQPSVATHPSTPKETAPLQQSQETTEQPLTPKHPPQVTA HDSQPGPREATDHLTGALHQQSMPANSHPSAQLPVQGKTGNSTTYAPPSTSMATPTST TGSHSQLDGWTANQPKSSQIPAVLSHLQSTLTNHIDSLMPIELLSSPMISPFIPEELS FYTTPEPIPPPSCPSPPEEDDPIFGDIVREHFRLRAGMIMETVRNWEKQTGKGPGIGE AVATLESQLKRHGFIN MYCTH_2301751 MATEDERYRQSSQYQLWSFSPAQLAEMREKTNAAARARIAERLS SLPAHSNSTSTPASSVNTPDPDGTPAPALPEFLTAAEEAQLVTFFTSELLRAGDHAEM SDEIKATAAAFFRRFYVTNSIMTYPPQEMLIVALFFGCKAEGSFVSISDFVKTFGKDN PEEVLAGEFLLCQGLRFAIDVKHPFRALRGALMELAALPDIDRARLGAAETRAREILR FSPLITDAYFHYTPSQIMLAALSLADRGLAERLITKTFHHVSPPPDSGADTPSASGTD SGGAAAPSKTPAVRPGEDKAQIIGSHLRDKVLGTIEACREMLAKELPERREHWTNKAV IKAQITPLRKKLNKCRDPERWNLIELQRTRRQQASKKADSEDDDPDDRKDGGNTLQSD AAVFGEPLAASRDNKRRKVQGNGTGLDDPFGGPL MYCTH_2301753 MHITKKFDRAFQWAGEKMGGEAKTTMSEEFKMLETEMALRFDGM ERLQRSMNQYVKWMGRHLDASEDKEKALPVGYLGRTMANHGEEFQADSEFGNCLITMG RANERLSAIQEAFVADATASWLGSLERSLAMMKEYQAARKKLENRRLNYDASIGKLQK AKRDDFRLEEELRAAKVKYEESTEDVLRRMQDIQEAEVDNTRDLTRFLDAELEYHERC AEELRRVRQNWPATSSPSYSPVEPRAAFRNRSGTTSSSTERLSRAATFDESSAPPVRM PIRPAHSRVQSSPQKVDGPVRPTIGSKPNTSGASFQGDSIISRDRGASMSSIATPSPI NDVTALRGKLRPISRIFSSGNTTRDQSNNNNSNSNKNGVFADGYSDGDDTASDAGSPN WSNRSTSSAASSVGSLSRSPSEITVTGVKKAPPPPPPSRAKKPSLPVPARGGVGY MYCTH_2301760 MSWAGFKKNVNRATTQVMMKTGHVEKTNDRDYEVEERRFKTMEA ASLRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDSGAKDGVSRSYKQAVEDLDSET IKALDGPYRTTVLEPISRFCAYFPDVNECIKKRGHKLLDYDALRAKVKKLVEKPDKDV TKLPRAEKEMEMAKAAYEQLNEQLCSELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDADTREQYAQGHLDTRVEQVLQEIRELSISGTV MYCTH_2301761 MSLRRILVVSLGNPGELRNTYHSAGHMVLEALQRTLGREQPPFA PARIGKASALASIGARYSLLQSPAVMNVSGPWVARAYREHLSERGLAPSEVGFVLVHD DLEEELGVVKIRDWKRSHRGHNGIKSVNGALQADPAGKWARVSIGIGRPDARDKRSVS DFVLSKVPRHERGVLEGSGSRGLFDALAELERKWGGTNSRL MYCTH_45943 MTAKGLVLVTGANGYIAGRTVEALLKAGYSVRGAVRRLQSAERT KEILSEYADKLEFVDVPDITAPGAFDEAVKVDVVAHLASPISFDFTDPEPVLQNAVNG TVRALESAVKEPKIRSFVLMSSIAAMLQQPDEQQYTYTEADWNNWAEAAVAQMGKATP GPIIYSASKAAAEKALWGFRDEHKPSFTVTSINPCFVAGPALVPPATFGDINRTNRLI PEVYSGVPLEEAGRKGALVHYVDVRDVARLVVFAVDHPEATNGERYLLAAHHSPAQAV ADLLREAYPERAGIIHKGNPGEGYLPDYRFPPDGIVYDGSKAVRLTGQDYIPWPKTVL DTAESVKHLFP MYCTH_2301764 MDPLSIAAHAGQLRVCCDEIINLSARILASKIPDSDSVLSGLNR ELPYVASSLEEIQQVWKTHGPTLMMHPAAGFGMWPNVQKNLESANVSFQAVKSKLIPV LESVGKRGGFLGINSGAFKFGLRVRALAVYQERIRAHHTAFRIGTNMMTMYVRLVSRP NGVIMLRSL MYCTH_2301765 MPYKPLRQIAAEKARGNKPANPSQLGDPVDLKAETSDEPDDEFG SPSQEQIDGIGTGTKLSVPRSSLEADPNQKGEEKGVWVGLGDGAGPIGGVRKKGSKL MYCTH_2109123 MEITERLDRLISRIREIQMPYMNGAKPADVLIFAHGLVLRCFIM RWLGFSVDFPLQMKMDPGAIAVLRYNTTTRSAISKTTSGGAPSVGRHRLEAAVRADAG AGERERFARWSREDGVAGGGYWNDYYDIEKMDSSYAKYGAVLTDIFPSS MYCTH_2078150 MQLLASLAFLPLLGLTSTATPVPGTKRAEDTKTPAGCTDLSFGG NFAWTAKAFDFHASYIFSTPSHQNSWGYVSFDLVNPADQTTTHCEASSNQLSDFFYGN FQYKCNDTERLGKTTFDFNRPANLLRVNQTWRCDDKDPQWPITFTGRGQVAFNLTCTE EKYENPDWQMGEIYSSRTITCGKVNRTFKPTDIDAIA MYCTH_92700 MTIFTYRFALEGTRCQAHPRVSTAQYELFCSANPWDPAGYGGID GAENPIVRGGCRMTIRYFGFFCADNKVVGSGHIFCFECRGLGRIRIRDRVSPLPQRPP ASRENRKPLPQFKAVAWPDRIRQPSAVPPMIAWFAQHGIPTGTQPTHACHDPG MYCTH_2301768 MDPESGDIAERATCTTCQFSEDFSNYWTAMLFFRARNGSYHRVP QIPNVGFDGQKGGMTVYYMQDALANYEQTSKVTAFKTGFRMLIGDASYRTKEQANRFR QITYTCLQDLSTRFPETMDFPKEPCPTGIMANVRFPTCWDGKNLDSPDHMSHMSYPET GTFESGGPCPASHPVRVPQLMYETIWDTSQFNSKDLWPEDGSQPFVWSMDDT MYCTH_2301769 MAPGILVDEPQSSPAQPLKRNDEAPRHIFPDGVRTSGQHPPLYD ALKPYAAFPKHITGPTVWQASEFTSNPERWVHPLTPTEIEELSQAADAFIASGAPLTG ISKESFDLPTFSKTLSALRDDLLNAKGFALLKNFPTHLWSPEKTAVAYLGLGTHLGYP VSQNGRGHVLGHVKDVGDDPTQIHAVRIYRTAARQFFHADDSDIVGLLCLHRAQEGGE SDIVSVHRVWNVLQEEHPDVAELLTKPIWYFDRKGEVSDGQEEYIRQPVVYLENGGRG RLYCKWDPYYVRSLGRFVERGLVPPLSDEQKRAMDILEEVCQREALHMILEVGDVQFV SNAHLLHARTAYKDFAPPAPRRHLLRLWLATPEGEGGWALPMPDSHEKKRGGVQVNDT PPKAPLDAE MYCTH_2301771 MPAFGRRKTIAFVSITLCILFLYSFRRLDSYDPRLSFDDGVRDA TSGPTRAPTRAPALNTKWTKLKTKYPVKDLRPLPTGQPSALPKVQAEFGPESDSDRDL RLKRRDAVKASFQRCWKSYRKLAWMSDELKPVSGGRHDPFGGWGATLVDSLDTLWIMG LMDEFEEAVAASSTINFDKVSSQDINVFETNIRYLGGFLAAYDLSGDVRLLTKAREVG EMLYVAFDTPNRMPLTRWNAWAAGWGRAQVADDHVLLAEIGTFSLEFIRLSMLTGDPK WYDAVQRIIDLLHKQQQTTGLPGMWPIVVNARRAEFNQHHDYTLGSMADSLYEYLPKT HALVGGLLPVYREMYEAAMHTAIKHNLYRPMLPDEKDVLMSGLVTVKTEDGKTTRRLR PEGQHLVCYAGGMLALGGRLVGNKTHVEKGEKLMDGCIWTYQNMPLGIMPETFQMLPC ESADDCPWDEDKWREAVLSRGGLDRKTDPDDADEAILRKGIPKGFVAIGDKRYMLRPE AIESVFILYRITGRKDLLESAWKMYEAIEENTRTPLANSAIWDVTAAEGTPELSDSME SFWLGETLKYFYLMFSEPELISLDEYVFNTEAHPLKRLLP MYCTH_2301772 MSPLRLKNLSRRVWKVLRANWHLGVTAFGGPPVHFRIFNEKFVT KTKWIDEQVFQELFSISQALSGPASTKMLYCINLIHGGLLSAILSFMMWSLPGAIGMY ALSIGVSNIGETLPAPVYALFSGLNAATVGVIALAAIELSQKSITDKLTRVIVFLTGT AGMLYRALWYFPLLMAISGLVTLIYDFRWVHRFLRRLLATATRLRSGRQAQPSEVASA SPSVNAIAHRLDDPAARTPPQNQSSTPSPLSDLPVTETEAHATNITTTTSAPSTAETE PRIIPASHTLTLPPSLTWKTGALVIAGFLVTFIVAIALRSALPSAPLLYRLFANMYLA GTIIFGGGPVVIPLLREYVVAEGWVSPRDFLIGLALIQAFPGPNFNFAVFLGSLTALG AGYSSVVGAVIAWIAMFAPGLVLVHGTMGIWSAVRSRRWVKSVLRGVNAGAVGLMYTA VYRIFGVGYLDEQFQTGRSLGDDPWWLVITATSYVGGRYFGLSAVFAIMLGAVMGMAW YGVVAA MYCTH_2024695 TLESVLIAQSATLSTLNAWLQSQSLIFQILSNAQGVTLLAPSNN ALNQMFGTSLSSELASDPNFLTAFLAYHALDGVYLVSNLSNTKSTSIPTFLNVAAYSN VSGGQVVRTLSQNGSVTLVTGNNMQSNLQPYDYNYVGGTVHIIDSVLSIPGNLTNTLI AGGLTAAVGALRRAGVEASINAAADVTVFVPNNDAFNAIGSLVSSMTLEQLTTVLNYH VVQGKVLYSELISGGSETTVEGAGVNFRVQNGALFVNSARVVASDILVANGVVHIVDG VLNPANTTATPDPDAATQPPAFNGASSATGGVPFTSAVASTTTVSVSSTPTASFRHPS PVQGAAP MYCTH_92694 MPEPYWDPANLLQIANSYTALEVQCLGCVKHSHATRCRESLTGS DAATVMTELGQMATIPPTKVTHHDLERLAKLCLCREHRTSQYSQVSQNWKPAVAGAAK LHERLTKGQGNAATENSRWDNLLLERQKCFELLGVQNEDADLASELSAWLSSHKNAKS MVSELQGDLAAANTSICTLEDCLRDLEAELHRARTRETELIQERHDAIWRIQETRKAE HSRLTGMLNLVKAANNDRRRFESLVTALREELRNARSRLEQEKANTRSLEQTEADLRR RLVEATETSPQANSLSKEESAQTKILEEAKEELERRLSEAVQNLSSTRHLLEIEKAKA AALRDKQEDWECRLLNAYAEGDRLLAEEKSNGKALQKAKTDLERQLHEANVRSDRLVY EQQTKIKVLSSIKHELRLRLSEVRARSVAEANRFKRSYDALSKSHATALERARRLQAS LDACRSRVRLLKDDRLSLESELRQCRAETYPLRTTNEHLRDEIENLKSQVTTLEQALS KTWRNRLRIFASPHKPDHATQDPLSGTTLNR MYCTH_100519 MGSSGTPTGSTNADVVVDLSSANPYSLHKTTHARRSEYVRPHRM RIKVGTWNVAACPGTDKDLARWFIDGEGLDPSLGSSKPPDDDGPAGRRGFDGPSRGEI PIELAERGKIGLYVLGLQEVNDLAAPSQYMSWIYAADDSMMGKWKAALEAALPPDYQL VVVEHLAGLLLLAYASPEIAPTISNVSTSSVGTGALGYLGNKGAVCTRIVLGEVTQLL FVNSHLASGVEDYYLERRVSQAQQIMNQARFEPIVISGVSEDEKTKIGDEDFAFWFGD LNFRLDRLPGDDIRRLLMLHTRGEYDLSKRELRRESSLEGEPIVVHRSSDSSDEVTDR DPNREPQDRSEDRVVDAKGADDDSINLPDPDDFPQDPQEDPASLQATLSSLLPHDQLK RLIKERKIFHEGWREGPITFLPTYKYDVGTIALFDSSEKRRPPSWCDRILYRTRNDIE QYKRKAKEEEEARKKDEEMKARGIEEAVDDDVLFSYDPENDGEEQTNSAKDLGCDEYD DAEDGGCDQSPDPTEDDRIHLELYTSHQRITSSDHKPVSTIFTLYYDAVVPELKSKVH AEVARALDRAENEGRPGVTIVADHHDPRGGSRRGGSESPTHVDFGEVVFLKKYTFSLT LANTSSVSAKLSFVEKPAIDDSGNDELAEVQWLTTCFVRSEVADDDTGSVDLGKEVTL EPGETLNAVLEAQVTDIAHSRMLNEGQPTLEDVLVLRVTDGRDHFIPVRASWAPTCIG RSVDELIRVPDGGIRAFALSLSEQKGGRTGAIPYDLPVHRPAPKELFRLTEAIETTTQ RVLADAQMLDDCSIPSDPGWPFDEAACKSTDIDTRTSHIISLLDALDQDQPLPTVFAP ETPALHRLESLAQTLVLFLRSLTDGVVPTPLWNRIDQAAPSIPSLANPASPPSDEATL ENDRTTVLDILQSAPHHNICFVFLTTTLARVVSELSPLSKADLAVLKSAEASLPKGGA GAGAALGGVLGGVGRRSFGFVRKAGGAGAGAAAGTPLAVALAALEKRRVRERRVAEVL GEIVCRGSAGGTSAERKLGEARRRAVVELFLSQRGRGE MYCTH_100518 MKVLAPLILAGAASAHTIFSSLEVGGVNQGIGQGVRVPSYNGPI EDVTSNSIACNGPPNPTTPTNKVITVRAGETVTAVWRYMLSTTGSAPNDIMDSSHKGP TMAYLKKVDNATTDSGVGGGWFKIQEDGLTNGVWGTERVINGQGRHNIKIPECIAPGQ YLLRAEMLALHGASNYPGAQFYMECAQLNIVGGTGSKTPSTVSFPGAYKGTDPGVKIN IYWPPVTSYQIPGPGVFTC MYCTH_2301780 MVRLIPALLTLVSAFTVSAAPFPVEAEGRIEARACAWTCGSVCY EQSHINAALNKGYSLQQSGDDINSYPHRYNNYEGFDFPTAGPWYEFPILSSHSVYSGG SPGPDRVIFDGSGNFDALITHTGASGNNFVACEKN MYCTH_2138386 MSRSTPRKARHRHHASNSGPRQVVASDYESDAAQYMENRESLPS SNPQPARDNTELSLRVLRRYQPSIRSILSIAANAVAYNFLESTQGWEKHGAEGTMFVC EQEPFVSHTGEVVPRVCVFVLNRRSMENLEIDLLRVTDCEVVGELIVFRLEDDATGTN IQGEEGAAAKKILGLWIHADETNTREVHASLILGAWQQGRQALGSYLQATTATAPGNG AFAPDVQASMAPGGSSVAGKRNRDNMPTPTDGDDAIASAVKRQLTLVSSFQGWLARNI GADGDRNDIIARVQKRLDSYRQWLDAGHALERPSEDSEPWTMSVQVTSGSDDSEHVIV VTGPGSGDPIRIIAKDDSELKYLISDSYLGHMTKICHAFAKAQDTLRTLLRTVRELDE ETSHLSQPAEITVRTYIRSLQEYNDIKDIGQQLVGLIAENRGVPVRSLYEAGEFGLSF PQ MYCTH_65400 MAPSAEGASGAPTPEDLKLHQLSQKYTAEAAKRFRPEGLGQFIR LKEVGNERFRALAEDPWVDHAALNAKEPVKDGSRYKFIILGAGYGGLLYAVRLAEAGL ASGPDDILMVDAAGGFGGTWWWNRYPGLHCDVESYSYMPLLEETGYIPKSKYAAGPEL LEHAYRIATQWKLHDKALFRSNVKTIRWDDESRLWSLEVTEGRGPGQQSRELKLQARY VLLASGILTNPQVPKIPGLETFTGPVFHTARWNYDVTGGSPTDEALNRLEGKRVGIIG TGATAIQVVPKLAKYAKELYVFQRTPSGVWWRGQRPTDPVEWKTKIARKKGWQRERML NLDSYLTDAAEEGQENMVADGWTEMPAFSAVIGSPRHGIVEPTPEKIAEHLGRLYKLD LPHAEQVRARTDSIVKDPKTAAKLKAWYPTWCKRPTFSDEYLQTFNLPNVHLVDTDGK GVDAANPSGLVVADKEYPLDILVLSTGYVTPSIGGGSPAVRTGVDIYGRGGKSLDDKW QTHGAATLHGVCSNGFPNLFFTPLSQSSQAANNAFTLDVGTEHIVQVIKTAEDRVDGD ALVEVTSEAEEAWSFEIMKHAGWFASVTGCTPGYITSEGEALRKSEDPMEMAKRARSG NLSQGMASYMKLLQEYRADGSLKGFDISSRA MYCTH_2301788 MDPSSVPDFDDLPKVEGQPQGCAWGLFDKDGKKDVFGTLNFLTP QIVAAAAAEVKDGVSISLNWPLNGIKFPLPGRKPPVHRPLYLGETFGVPFEGWDDELE FNTQFSSQWDSLCHVTPNGTAYNGVKTTEEALSVQSTAENALPTIDHWHSRGAVVGRG VLIDWKRYHEETTGTPFHPLDGYRITTEDIEKVAKYQGVEFKHGDILIIRTGYTEILE APTPEDMAKFQAATLSGVHGTVETARWAWNRRFAAVAGDSQAFEAVPALKPDGTVGGI SDLVLHPWFLNRFGMPIGELWDLKALSEYAKKTGRYSFLLTSAPLNHPGLVASPPNAI AVF MYCTH_2048823 TLLGPLTTAWSMPDSCTVHVRNCATCNEGFRGQQCVSGQPEDHT TCWPPAVKANEPPQHPFVGWGFYSPGLVCPSGYTTACTAEYGGRPEWEIEFTLIPGET AVGCCPEGFKCTNKNGNTCIAVETGLRVATGFCSGSQLADLAQATFPAFVVFLAPMFQ LNFQSSDLVTSTARPPTSASSGQSGGGSGLSTGATAGIAVGAALGGVLLGILAIVLFI RNRRKK MYCTH_2301791 MANSKPTPSLTLYRGFPSRGRYTPSPFVNKLEARLRIGGLPYRV ETGSIFKAPRGKLPYLDFDREGGSGGGGENDNNTTGSGPEQLADSTLIARRLIEEGYL PDLNAALTPAERARDLALRALLEDKLYFYQVRERWIDNYYAMRDSVLGFMPFPVRFVV GLLAYRNVKNTLHGQGVLRFTADEAQALRLEVWESVNAMLLESRNKAREAGRYGPFWA LGGAAPTEADAAVFGFVVASLSCKQAPTTKEIIRSFPVVMEYARRIHDQYFSDYEHWT E MYCTH_2057386 MAESAVSKRRILTERRVVFICFLVALGQFQYGYDSAAVAGFQSM PGFLAVYGYADPANPIGFNIHTDVQRLIQSLMNVGGFLAAVAIYAAHTRVSRRAGLWA GCAFAFVSISLQLGLRSLAGLYAGRLLLGVSNGLLVPYCVTYMAESAPSLLRGPVVGM STFQTSLGALLGILVDNYCQPHGGAVSWMIPLAVMYAVPAFLTVLLLFLPDTPRFYVA QGRDEQAMRAIRRLRGIRDESRLRAEVEDIRSAYLMEREIHAGVHLSDMFKGPDLRRT LLSYGANVAGIATGVTFMSGFSVYLFVQARVGSPFDWVMISLAIALTGNMLAFPAMRC FGRRELLVVASLVSSAVMFGMAVVYTVSGGHSPGASKALVALSIVYTWVYGIGQGPVL WALGTEIPSQRLRSQTVGTASGLNFVAAWLVSFFTPYFVNPDRLGWGPKYGYIWGGSN LVVALWAFFFVPETKGRSLEQLDELFEKGAAARKFSSFTLERQLVDDYSGETGRKRND GIKDEEVGVVQLLENQEKR MYCTH_92683 MACSAFVFSLSSVAASLQVQLLEAAIAASLLQFRNISSSAVPNL IRWEGPVFPAEPHCTLYVKDVDDFWNKILETNSNWVAEMPDPDETMKHFGARTCGADS GLATGNSDEVTSLPTQLRALNGHWTLGAKMCHRIGCRENTGLYWCNVISPCLHSALGR TTPGAFEFLPSPSSSMAPISGTCAATEATAVSIRATPCPASKPSLTATARLHTPASWR DMITAPIPQMSTL MYCTH_2109142 MSLGCRQVGVLAVRLFCRLYGPGGLSAWIASFTLLSLLSSRFGS CAVASSSGSSPILDNGLQHEIQWDRHSIVIGGDRLFLFGGEMHPFRLPVPELWEGILQ KIKAMGLRMVSIYIHWGFHAPAPGKAVREGENVLLVVHDDTGHDQLNAAVNPRRALNA TLLGGGAVAGTAGGSAAPDRAGLDRVRTRYNEGGLAAERLGWHLRGFNDSAWETAEGP WLGSRAPACASTAGGCRWTCRGGSTCPSRSALKPAEEDVERGTLEYTVLLFPSIASED TFPVPPGVLDYSGDNLIGLAVWSLQEEGARVDVDVVVGYVADSSLDVKFDGSYLRPGW DPRRLSSCDGVPVIVS MYCTH_2314726 MRQRASLDILADDPGAPAVLDHAEPKIATGRRPKRDSRTSSSSS SPAPPDHEESDFFLAGNDSQSSLGVPNFQDMQVTDDECLPPVHRLPNEILIAIFAKLS SSSDLLHVMLTCKRWARNAVDILWHRPSCSTWEKHQIICQTLSLENPYFSYRDFVRRL NLAALADKVNDGSVQPLAECTRVERLTLTGCSNLTDSGIIALVKNNKHLYSLDVSLSA TTNTGGPVFRDHITEASIDAITENCPRLQGLNISGCQRVSNESLVRLAQRCKYLKRLK LNDCTQLQDSAVLAFAENCPNILEIDLQQCRFIGNEPITALFTKGHALRELRLANCEL IDDSAFLSLPSNRKYEHLRILDLSSSMGITDRAIEKIIEVAPRLRNLVLQKCRNLTDA AVYAISRLERNLHFLHLGHCNQITDDGVKRLVSMCTRIRYIDLGCCTNLTDDSVTRLA NLPKLKRIGLVKCANITDASVIALANANRRPRMRRDAHGNLIPGEYSSSQSCLERVHL SYCTNLTQTSIIRLLNSCPRLTHLSLTGVQAFLREDLERYSRPAPPEFTDHQRSVFCV FSGQGVVGLRKHFNRFIAAAEESRRANRAVIQADAPIFPPAPQGVLIDVSVPVDDGDP DGLDDDDVPEDGSEMVVDTQSLLGHHNIGHGHHPMAAGAIPVVPPPIPTMAQALPLGG STVPAANVEEQATTFPTPGVHPPPDTTAPGSSSPSGPGEVGGADEDDVVQEAANAAGQ GSTTIGMDAHGSGGSMRVSVIVPVDTSIQPEPNAQPGPGQEVQGAGGLYGST MYCTH_2314727 MFRPPALRRFAGTPAPIRPLQVVVPRRSIFSRRNDRRRSSRAVE NLDIQRLAKEAEEWHRQRRIFLWSGAIAGVLSTIYTAYKLKLAIEREHKLDADLPSTD PLAGANAADRKIVIHDEEGREMVPTGNSTVPMFPRTLDLPAFTTPITSPSPDATATPT ITTPSGTTEYTLVGLGLRTVTFVGLQVYVVGYYVATADIAALQNALTKRINPIATTLV PGEREQLRAALLDPAEGERTWDELLAAGVPARSAFRIVPVRDTDFHHLRDGFVRAVQA RAGPQTMADESFGEAMRQFRAVFNRGSAPKRKELLLVRDETGRLSIAYDGGANKKEGR PAGRQLIGVVEDERLSRALWLNYLAGKKVASEPARQNIVEGIMGFVERPVGTVASQVV PVLNPKV MYCTH_2301802 MPRYGFSSRWADSPFSSQDGVPDVTSEDYSYITSLEIEDHGLDI PRPYAGSSHVDDPYSHSAPSPTYASLQRPEDDILLLTHQGVTYPEHFPAYSIGDGKLL VSDLRERVRIILDLSERQAKRVKFYYKGRRLKKGDMPVCDYGVKNNSEILLVLGEPNR GSGSETSEEVVVVGQDERNRYKVPQSNSPRIGRSSGWEDHSQRVGGSQAGLEVPVDDG RRRASSRVRTRSPGPAVSTPSASDTGPVCRPGGPTEKLNALAAEFDTTWLPQCVEFIE RTPVDPKKRDEEHRKLSEMVLQKIIMKADEIEATSEEGARAVRRALLTKVLGILSKLD QAKASA MYCTH_2314728 MSSFESVVVIDGKGHLLGRLASIVAKQLLSGQKIVVVRCEALNI SGEFFRAKLKYHAYLRKATRFNPTRGGPFHFRAPSRIFYKAVRGMIPHKTARGAAALE RLKVFEGVPPPYDKKKKMVVPQALRVLRLQPGRKYCTVGRLSHEVGWKYQDVVERLEE RRKAKGAAYYERKKLAARQLSEAKKTAKVDPKTAEALQAYGY MYCTH_2117239 MSDEIHFPIGFGLKDLVAWGNTGMILLDKSTTPNTVIKTPHDSD SSSSITREQQIYERCHQRGGHKGILRYYGTFESAIRLEYAPRSNLRSYLSKHAVDTSV KVRWAVQIAEALEFAHQSGVIHGDINGYNVFLNESLDAKLGDYAGSSLDGSPLLISVT PSHGCPRSTLSVEGDLFALGSVLYELMSGSPPYAGLSDEEIFACYAKGEFPDTKSLGL LGSIITRCWQGQYKECGQVICDLKGHTLN MYCTH_2301807 MATTTVTTTIRKKDANLPPENERFLRCCADIASALIEDHEASKD PSRPRKDINLNTLRSKFAKKHKINNVPPLTAIIAAVPEHYKKYILPRLIAKPIRTSSG IAVVAVMCKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDP FEQARGRVDQLKALGHSVDKVEYIIMGGTFMSLPESYRDEFISQLHNALSGYGTLNVD EAVRAGEQSSIKCVGITIETRPDYCLQPHLSSMLRYGCTRLEIGVQSLYEDVARDTNR GHTVAAVAETFCLAKDAGFKVVSHMMPDLPNVGMERDLYQFREYFENPAFRTDGLKIY PTLVIRGTGLYELWRTGRYQNYTPNGLIDLVARILALVPPWTRIYRVQRDIPMPLVTS GVENGNLRELALARMKDFGTTCRDVRTREVGVNEVKHKIRPNQIELVRRDYTANGGWE TFLAYEDPKQDILIALLRLRKCSEKYTFREELVGQPTSLVRELHVYGMAVPVHARDPR KFQHQGFGTLLMEEAERIAREEHGSEKISVISGVGVRSYYAKLGYWLDGPYMSKWLDG RPGPGE MYCTH_2301810 MQAFSRQSRRAGPLLRQLAQRSYSSASSPYASTIKNLRINSDTK VLFQGFTGKQGTFHAQQAIEYGTKVVGGTNPKKAGQTHLGLPVFANVAEAVKETGANA TAIFVPPPLAAASIEEAIAAEVPLVVCITEGIPQHDMVRITSMLKSQSKTRLVGPNCP GIIAPGQCKIGIMPGFIHKRGRIGIVSRSGTLTYEAVNQTTNAGLGQSLVVGIGGDPF SGTNFIDCLKIFLEDPETDGIIMIGEIGGSAEEDAADFLREHNTVNGGKPVVSFIAGI SAPPGRRMGHAGAIVSGGKGGADSKIKALEAAGVIVERSPAGLGKALRDEFVRRDLL MYCTH_2301813 MATTRERRIAKELADIHNDKDNSGVYANPVDPSDLTHLKGTFPG PPDTPYAGGTYKIDIVIPDMYPFKSPIMKFDTKIWHPNVSSVTGAICLDTLGSGWSPV GTIKMALISLRMLLESPNPKDPQDAEVAKMMMERPNEFAAKAHDWAVKYAGAPRRETL THNYQTAVPPPVKDDPSRYKGYNKDLIDRFVNMGFDVDAVVEAFIYVGIDRNGGEDYE LEEAYMGDITARLLGEQ MYCTH_2301817 MGQNQSGMGGGMGGGKDGRDDKDKKKDKPKYEPPPRPTTRIGRK KKKAGGSSAAAKLPPVYPTSRCKLRLLRMQRIHDHLLLEEEYVENQERLRKAKAAKDD AAPASELESSDRMADERSRVDDMRGSPMGVGTLEEMIDDDHAIVSSTTGPEYYVSIMS FVDKDLLEPGASVLLHHKTVSIVGVLTDDTDPLVSVMKLEKAPTESYADIGGLEQQIQ EVRESVELPLLHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGS ELIQKYLGDGPRLVRQLFQVAAENAPSIVFIDEIDAIGTKRYDSTSGGEREVQRTMLE LLNQLDGFDDRGDVKVIMATNKIETLDPALIRPGRIDRKILFENPDQNTKRKIFALHT SKMSLNEDVDLEEFIAQKDDLSGADIKAICSEAGLMALRERRMRVQMADFRAARERVL RTKQEGEPEGLYL MYCTH_2109153 MPSSHPQSPSEHIDVLEMNEAFEKIDGSFEFTGTLLVYKAGGDL HHAVLKARYSSPSEVKVEHLKNDGLIPVSAYNPLFPLGFTRAPEPLPRNCHIKKPRLI SYDRIRLGSQPNQIAESVLLEAAVCEFLKLHPHPNIATYLGCQVSDGRITGLCFVGYQ RTLMQEVNPRGLMKRKFRSDCQRSKDYSGMLAGIESGIKHLHALGLVHNDINPSNIML DGDKAVIIDFGSCRRAGESLEGAGRTYEWYDEQVETALPQNDLDALEEIRIWLGDDSK PFQFLE MYCTH_78828 MAAVAYSPDAYNSLPSLRAASGQLKELDGESVVSGPIRQLFVSH GVHKRFGVVLLHKHLDIGPTERLVEYGHTSIPWEVGDTTSYVIDKYEGTIFPRSFRLM EGNFVPYEFGYFHERPHPVFLGERDSQFLKEFGSFLAEHQLDGVLGLRDLDQRDSKLN VEVTEGKANIMMPRGSVPESELIPAVWIFGLDDDDRCHCREYCYRDSKGNHTGSDHGC G MYCTH_106827 MAMATPPSNSPSALGARKLPRDIEPVFIADADYLARTVDSPASE SGPLFRLMFPRPGVEFSEQQKDEIIRWHAEGIKDAITGGRTYLRKIRHSDGTVVGLAG WVIEGCPEEQANLNRNRTAIKAKVGNKQKSNYWLPGTLDVSAWLKMSAALKKERHRTI GHLDNICRITIMSIRPEYQRQGLGSLLMQHICEDMDRHGRYGYVLASPAGVRLYSKFG FEAVGQRSRQVSPYSRRWRYGPKDGRRIGG MYCTH_2301823 MEFKLQLAESLNSVRTFWTLDEDDSLLRGGRISQNHFDAHWIPR FSSPAVLFPCVPFGIEGHSPQKCGYC MYCTH_92668 MYRTLGSIALLAGGAAAHGAVTSYNIAGKDYPGYSGFAPTGQDV IQWQWPDYNPVLSASDPKLRCNGGTGAALYAEAAPGDTITATWAQWTHSQGPILVWMY KCPGDFSSCDGSGAGWFKIDEAGFHGDGTTVFLDTETPSGWDIAKLVGGNKSWSSKIP DGLAPGNYLVRHELIALHQANNPQFYPECAQIKVTGSGTAEPAASYKAAIPGYCQQSD PNISFNINDHSLPQEYKIPGPPVFKGTASAKARAFQA MYCTH_2078193 MSAAETPLTRTTLPSPVLRTAAVLAFLPSLPLCITHGALSSELV PALGLVPLSFSAGVSLFLLLRSRRRGTGKGKRRSGERGDLEGLVGAADASASASAAAA AAEEEGESEGEEGREGAVAVAHDGDVDEGGDRGDGESVLTHRILVFVVDLVLAAALLA VLVFTWIRTGTAGDRRPELAMLAAYSTMPLLVNFSIHSFLAVREFVAGLAIPSLVEYT AWRLVPPNCPHCGSRLRPDTVPPIPWYETVSRPKVSLPRINTASISRPSLPAFRMPKF SGLKGPREWKVPKWMRGRGQDASLFVDDEQHYRDQYSDDPDAPFDAPSGRTTVVATGS ARPAPVEEVVVGKRERRDVIVGSEAWAINEDDHVRT MYCTH_2301828 MPFFANTPEARLGRSDSKDASTTCRGITSNGRPCRRPIAAEDAP SGSTRLKPGNIRTDDPTDESLYCWQHKDQASASAKSSSGPRMSHTPILEERTSLDTLA ERLGLVRTQSEKPQKGSGRPGGKGTQRPAQMARPKPRKETTFCCCFRISLEEVQPPPR PSPHPVQPTTSVSTPPRPGGRKESGQYLSPPSPPRPRPNSSGQASGRHSRRGSEASQT AQFMSLIPHDASPQTASQLLAELAKPISPQDEPGYIYIFWLTPESEPSTPPAEAARSL LAPPSTPRSRSRRPSDVLASFAREQEQEEEVEEEEDDDGDDRHGVRGSGGRRKKKTKK KKTILLKIGRANNVQRRLNEWQRQCGYNLSLIRYYPYVPSGGSPGGETSSSAAAVPRK MPHSHKVERLVHIELAGRGLRVADREKCEACGREHREWFEVEASRSAVAEVDEVVRRW SDWDEGLI MYCTH_2301829 MCGPETPSATPRVGARVDLSSPTGRGSLGNLLFLNPSSPSSTHA THVRFHQRKEEPSPLGDRGDCDDVLVSIHMTGDLTKPLAESGLMRQFTLRGHSEGAEM PLGAAVSLQVGQDGIIGRRVSVRRGHELLADGIVGFNFVPVMAPSGV MYCTH_2301830 MVNFSNLLQFLSLQSPLGSDSTNSTLNLAKMGITAYTLPALPYA YDALEPHISAQIMELHHSKHHQAYVTNLNKALEAHVTAVAQGDVAAQIALQQAIKFNG GGHINHSLFWKNLAPAGSDEASLDRASTLVKEIEKTWGSFDQFKAAFSAALLGIQGSG WGWLVREAGNGAGLRIVTTKDQDPVVGGDVPIFGVDMWEHAYYLQYLNGKAAYVENIW NVINWKTAEDRFAGRSEEKTEL MYCTH_2301831 MKLVLLCSLAATAMATDLLLPLYQYPASTGGAWDPIKAALAANP SVTATIIINPNNGPGDANQGIDDPQYVAGTKALAALPNVRLIGYVHTSTDWGATRCNV PWSSITADIRKWSTWVDRGVPIGGIFIDEAPADTSNDCVNYMRNLTTFIRTDPALRFG GSNAFVVYNPGGTGPSLPAYYDLQPNLIVALETCFTVPERAGEDYDQCPRAGGYEPYD HAGPGRSIDDVLFPAAGAANAPRTAVLVHGFHDFNGAPANLSATPAVLDQLVAAVVQR RIGATFFNTAGYHTFEDGPASIGNFMGVLAAKNAA MYCTH_2301832 MKPTLFALVLGLGASGALAANFADSCDAKSIKVSGKTLRANCKN IFGQSTCSKLNLNKCIKNVNGRLQADPSGAGPHFDDQCVKCTNSNPGNGLIIGEQPSI IYCKCNPGPGAAQADWPTAIFDLSASASMNSTIFCEE MYCTH_2301834 MDNTVTGLTTVRHDQVPFLEYPSPNQDDLTRRQYLIYLIPGNPG LVAYYEPFLKTLRQLLDEREKEAGCHYAFHIYGRNLLGFDDGDHEPRFGTTTASGART EPFTLEDQIRGVCGSVQAVNNDTLGDGRTFDQVILIGHSVGAYIALEAFHRHHQARLE TAGASDPLASVNLRSGILLFPTVSHIAQSSSGQKLNLLRTTPLLDRTAHHVAKGFVSL WPVWILDAIVRRVMGFPEHAVAATLRFLTSADGIWQALHMGKDEMRCITEEKWGEELW EIQEADADADAEADAGKGCGNGEAKPGLGRAKFFFYFAEKDHWVADECRDEFIERRKR HGKGRTRVVIDETGILHAFCIHHSESVAEKVKAWVEDIAGL MYCTH_2301836 MWRINLRSQPSRPSPPFDPIVEAWLDSLPPPAANPSSKELKQWR LTDYHGLGRSVFVICIVLRAASLAVSLPVTGVIASVIAGRRGQFSAIERLLPVVIVCP VVAVWNTAELVSARVRRGGGISPNVHVVVDGVLFLGVATATGSLLVDVICGFTDFEAT FDTAAEEISSICLLVVMMVIHSFLLFFFICNYVEETRRRSGVAHRRGMPRYTSNPSPW PTAGYTASDTGPPATPGASKCTFDTTALELREPCEKPPHETVEFAVSPEPRPPATLPP AAALETAALAQAMSGLWGNYGGRIACSGKVSA MYCTH_2301840 MPPSASKEKRLAKRAAEGKKTAASRSKANSKNASAAGSVDGDAT PPVQVDANGNPIESDEPATSADKMDEVNRLAEQMDKHGLSDRVTTGVLASTQASKDVK VTSVSLVFHGRVLITDSTLELTLGRRYGLLGENGCGKSTLLKAIAAREYPIPEHVDIY LLNEGAPPTDMGALEWVVKEAELEMERLDKLAEKLLEEEGPESPVLMDLYDHIDSMDP STFATRAALILTGLGFNKETINKKTKDMSGGWRMRVALGKALFVKPTLLLLDDPTAHL DLEACVWLEEYLKKWNRTLVLVSHSQDFLNGVCTNMIDMRQKKLTYYGGNYDSYVKTR HEQETNQMKAYHKQQEEIAHIKKFIASAGTYANLVRQAKSRQKILDKMEAEGFIQPVV PDRVFTFRFADVEKLPPPVLSFDSVTFSYSGDPKDDLYRNIDLGFDMDSRTALVGPNG VGKSTLLRLMTGKLSPTSGTVTRHTHLKLGLYSQHSAEQLDLTKSALDFVREKYKDKS QDVQYWRQQLGRYGLTGDAQTSLIGTLSAGQRSRIVFALLAIEGPNMLLLDEPTNGLD IPTIDSLADAINAFSGGVIVVSHDFRLLDKIAKQILVCENRTIREWDGTIAEYKNYLR KKMISSGAV MYCTH_2109166 MDFRRGARGRRYPRPEFYRCLGSFELLRDMSANDRPGFGHTSSQ TAVTQVSASARSGWFEARNDGRAKQGFDGNLLGCPQEQNHSRSIVQMREKRPRSFKEA LPVSGIQCSSIIALYVVLDGRVVVKRGAADARSRVDALTEGRARDVAKSREALEPGPR TPNQQNHPVRTLFRASASPPPPHPFISASPAAPPVNTWGLTPSSFDCPFR MYCTH_2301841 MDHFSTVLQRPPHFDEDGSNGAVGSGADRGPRGGLRDILNPVSS TTQAPGTPGASAPPRPQPSFSLRSPTQQPDYHRPNAYTASPSTIGNQPSGSRSILNNP FGSAPAPSLPPPLQAPPSVGSATAPSGLQHPPRSPLHAPPTYYSQDTRDRDSMREKSG TGGFYDPTTDHNREHNRERERRVSETGSWHTSAQTSPPKPRDSYNYSPPSTEYYNNGA YGSSTTAPYRSRSPVAPSRQSPAVGPASPSTRPPVITSPSLRHIQMPSATSNGAASSA LPALARTESPAPSSTKTPAASKTPSRAAGVMSFSNILSSSEPFPKPRPTSPILVDDTP VVTERPEKGEKEKKQSRKSIKTSRNSDIRSADSTPKMPRRPAPPKADLTASARVAVKR SANGLPKHKVFSAEKEKKIRELMEQFDADDVDETDFEDEFQAWKERAQRRRQEMNRRD IAQRRARRRDYARIEVEKLQALADLGKGRYYELNYDEALQEVREQELFAEKERKKDMQ RKRRREKSMATTMDQRAAALARASAAQDEAERQKYMREAERANKKVQQTKYILQKGLK GPARNLGPIEPNLEGGTMATFSAENMEPGKTKGKGRAGGRLKKSKEQKQAEKESAEAA QAALDAGEELPSKEETAKIRIKFSKSKGTKDDADKENKDVKEPKDKERAADEPKDSLE TKFQSKGFNQIYDQIWRDLARKDVNKVFRLATDSYSTKASNLKKTAILASKEAKRWQL KTNKGTKDLQARAKRVMRDMMGFWKRNEREERDLRKAAEKQELENARKEEADREAARQ KRKLNFLISQTELYSHFIGKKIKTSEVERSTDHPDVATDDKDRIPEHALDIEEPTGPV GAKVTNFDSLDFDAEDESTLRAAAMANAQNAIAEAQKKARDFNKDEANLDEDGEMNFQ NPTGMGDVEIEQPKLLNCQLKEYQLKGLNWLVNLYEQGINGILADEMGLGKTVQSISV MAYLAERYDIWGPFLVVAPASTLHNWQQEITKFVPEFKVLPYWGTAADRKVLRKFWDR KHSTYKKDAPFHVMITSYQLVVSDVAYFQKMKWQYMILDEAQAIKSSQSSRWKCLLGF HCRNRLLLTGTPIQNNMQELWALLHFIMPSLFDSHDEFSEWFSKDIESHAQSNTKLNE DQLKRLHMILKPFMLRRVKKHVQKELGDKIEIDVFCDLTYRQRAMYSSLRNQISIMDL IEKATLGDDDSASLMNLVMQFRKVCNHPDLFERADTSSPFSCGYFAETASFVREGTNV TVGYSTRSLIEYELPRLVWRDGGRLHKAGPDNTTAGFRSKYLDHLMNIWTPDNVRDSL EGTDNFSWLRFADTSLQEVSRAGHQDIFARAVDLATKKNRLAEMKIVYSEPEDQNWTP AHALFQICEREDRKPLAEITEQGVMGDLMNVARSTFRNLGLSRLEQAARVRATAPPID VSCDSRGSVIERENVLFNAPMRKALFGPTPTEAKAFVTEKVPMELYPAPALLPAPDNE KQRFTNITVPSMRRFVTDSGKLAKLDELLRQLKEGGHRVLLYFQMTRMIDLMEEYLTY RNYKYCRLDGSTKLEDRRDTVADFQTRPEIFIFLLSTRAGGLGINLTTADTVIFYDSD WNPTIDSQAMDRAHRLGQTKQVTVYRLITRGTIEERIRKRAMQKEEVQRVVITGGSSA GGGGVDFSGRRLPENRNRDIAMWLADDEQAEMIERREKELLESGEYDKIQKKRGGKRK RGGDGAAALSLDDMYHEGKSPLFGILRFALRRSRADMASCV MYCTH_2301845 MRFGFILTQAVFSAFPVLCFLAFVFSVLSAFSTVFLFGTLFCIG IALLVLIPILVLTSGAALFTWGLGAASFILGQWIYTVLRDTAANFNEELGNHQQDKVP VRPRLAPPAGPSSSSSATSWARVERQPTNQGGESEAQQKESAHPDPGSDVDALEP MYCTH_2109169 MCNKYRLVHECGHWSAKTRSVCSQAQAQHNRRRRPRDGECLNVC WLLDEHLQLKCRDCHRKWRKAQAANFESRRKQALHLAQKYPSIKQAIHDRYVEEKSYF VHKLPQWEAETESMSGPSVYNRYPAEIERAYSGFVDLFRRMKEELENKEAAESNQTGY QSDGSTCPGSYPQSSNSGSTCPGSYSAGGSSKGSDRGHSRSGRGAGSTPSGSQSRRRS ATQSGPALEQEEEEEVGGSSSSWARSSSHGTRFGMTMADPFSDNVDRTVIPTMGSAGS LEAGRHKESPIGNISALGILQLLEIIKG MYCTH_2109170 MCQSYLILHKCCHTSEEIVLCKKAQKSKKSKSSSPCTVVQDPSL CKPNMDICKDCEKSKRQCHKEWDKRWEKILKIAKEIKEVHAEMAALKKTYEKSAGIFL DTWFNDPDVGRDTYWDLYRQQLDEYYERGKKKLSDWYNVYDPNAYAAIQGGSSRGGSS SSKHKK MYCTH_2301846 MSSQKQFNVGIVGYGLSAKVFHIPFIALTPSLRLHAIVQRSPTE GNSAPADHPGILHVRTAEELLAEEAGVDVVVLCTPPDTHYRLARAALEAGKHVLVEKP FVPTAAEAAELAALARARGRVLCVYQNRRWDSDFLTVRRLLDQGTLGRVVEFETHFDR LRIAAPSGGWKAGTSIRDGGGVLYDLGTHLLDQVFVLFGMPTAVYARFVRQREGRLVR GVGGEADEPDSVTAVLTYDATGLVVYVRIGVVSVESDQLRFWVRGSKGSYRKTGLDPQ EDQLRRGGKATDADFGREDESRYGRLSLLQEDGSVLDRPCPTVEPETYLEFYKLFAKA VESGSEEDVPVPPTQAAQVLRIIEAIRESARSGREVTL MYCTH_106819 MRASTASIISASLLLGQASAALPPIVMKGSKFFYENGTQFFIKG IAYQQDTTAAGGDSTSTKAVDYKDPLADEAACKRDVPLLKALHTNTIRTYRIDPTADH DACMKLLDEAGIYVISDLSVPGESINRNDPLWDTELYKRYTDVVDSLSKYSNVIGFFA GNEVTNEPNNTQASAYVKAAVRDVKAYIKENVDRWVGVGYAANDDSNIRADIAHYFNC GDQDEAIDFWGYNIYEWCGHKTLETSGYQTQIDFFKNYSVPVFFAEYGCNLPDGAEGR IWEETTALYGKEMTPVFSGGIVYMYFEEENDYGLVKLQGDTAKPMKNYEALESVIAKV NPTGTSMDAYEPTNSPAACPDVSKNWQVKGGSLPPKPDATLCDCMYASLSCVPADDTS TKDYGDIFGYICGKFPDLCAGISGNATEGTYGAYSMCSAKQKLGYVLDQYYKEQGSAS DACGFDGKATLQDAKEDDKCSKALASASAANDAATSTGGADSESSSNAAFAAGPMRSG FVLGELAVGLYVLVAMAVGASMVLL MYCTH_2143160 MPSVKNPNAPSKNRLAARAAKLRKTRQKASKMGQLARQGGAVAK ADARRGARPGLLPTSGPNAAISKKKQRKLEKALRHALRRKAEREGGAAVVEMTDAPAE TEKQQKQQQQQQKKGEAEVEMDIE MYCTH_100489 MSGEESQWWPSRVWTFLYGFFQKSLDPNLPVPPPKLAAQRPGER TILRHLPERKHLAQTAPSCVADIPLPSFDPGVFDNELIKLRLYPIIDSQDPSIVREPE GNIREGTFASLFDVLGVEATLPRFRTLEEQRNLYKFSEYPTNADGSPAQYPPHLEHIP SDRSVSVFKIFDVAGLLETRVLLKKITPDEDGILGRTREWLLEKERAVAFGGEPEKGV SIQDVVDYNKYHRKFGTDIEGGGNIGLLQDWFSDRRFADQQFTGTNPTTITRATPAWI GEFTAAARAGKYDKWAAVLAKSDPNSFFVQDGSYFRKAVGVSDPTTVLHHKEPSSDEC WAVGAVSLFQLHEDGKLHPVAICIDYRESLDKSVTIFNKRMSPRDSTSREKEDWPWRY AKTCAQVTDWMRHELAVHLTLSHFVEEAIIVSTNRSLPMDHPVYRLLYPHWYKTLSLN AAGRSSLVPQIVVDIVGLSPDQCYRFMNYAYDTYDFVGSYVPNDLRRRGFPNTQLGLS HPRYRNYPYAKNALSLWTSIRAYVKAMLHIRFPNDGCVAADEDIQRWVNETKTAAHMP TFPEIKTLDALVDAVTMCIFIASPFHTAINYLQNFYQAFVIAKPPALCTAPPTTLEEL QEYKEHDLIAALPINRQRQWLLAAQVPWLLSFKVEPDRSLLNYAASQWYVHKYKRGED DVRIKKASERLYSDLQRMQRTFFRNSLAMDRGSIPYMVLDPGLTAVSILI MYCTH_2301854 MTDDSAGRAPSALPDEQSASPLFAKLPPELRAIIFTELFGGRRL HLEFMPHPVRADKVGNRRRWRHGVCEDQIATPFGRVIARQHYCLTAARRRALDVSMIF TCRRALLEGIPVLYHSNVFLITNSGSWRLPVDDIRSLQAKMPKHWPLIQSLEIKWEMA VFDRNQATMVPHFGGRDAYEAFWDSLAEMPALSRLRIALLMPPCPPADSTSPALDMPD LYLGPIQRLRSLQLCEVAMPKSYLSASSQDEWEALLSRTGKGQRRISWVDDDSSSVPP APASTHMFRLLSMARRST MYCTH_2301856 MRLLSLSLLGALLAYVLYTVGPNLYRAVTVMGVLRKYPDGAVIN KGEVIAIPDTTQCEDLHYHAPSGTIFTACEDNAETRFKWLPPLANFDSPELASKSRGS IHVIDPKTMNSRRLEFENFDGPFITHGIDVIADEERPEGEAVYIFAINHVPETQPSGE KGPYARSQLELFHHFIGSSSVKHLRSIWHPLITTPNDIFAQSPYSLYVTNDHLYRHHG LMRTLEDFYPGAKWTGIIHIQLESLTAAAPTAGVAAEVALSGIHNTNGVGHGRSDREI LISSCTSGVLHFGRVPAGGSGNITIVDSVEIDHVADNPSYFSDPYATPGDDRSGFLET GLSRAVDIAKTMRDPAAKEPVMVTYLRQASPGRWEKRVLLDDDGTTLRSGSAAVLVPI KPSEAGSAEGPRQAWLFATGFLSNNVIAVKVDL MYCTH_2301858 MVWGNDGPDAQEEESDRTKAREAFFLEFAKVIRKEFPGVPLMVT GGFSSRVGMEKAVAEGDCDLIGLARPAALNPSLPNNIVFNPEVNDQDATLYRKENKAP WLFNFIGMPAVGAAWTP MYCTH_2301859 MASSNITSVINEEIRQRKGEISTINRQIHANPELAYEEHKAHDV FVKTLESLNFQVTPHAYGLATAFSAEYGSGGRLVIYNAEYDALPGIGHACGHNLIASA SFAAFLGAAAALKASGRPGRVRLLGTPAEEGGGGKLKLIEAGAYKGASACLMVHPGPG HNMPAPLRGVAFAPMLANVKMRVHFAGREAHASIAPWDGVNALDAVCLSYNAISMLRQ QIRPYERIHGVFRSAGDRPNVTPADCTVEYYCRSATKCGAEVLWQRVQKCFEGAAIAT GCEMRLEPINSYADLRFSRALCEAYVGAMPEGTVSLGTPADILAGSTDMGNVCYECPG FHGVFGIGTKEGQANHTKGFTAASGTEDAFERALECGRGMAEVGWKVLSDDAFAERVE KEWKEDMKQAAEGKAASI MYCTH_2314742 MALGHGGHHTDKIPEGQAVSLDPIDTTLWVHIFVQMLAFGVLFP IGMVFGIIKSRWHVPLQVLSTALALLGYALGHLHGGRQFHPNNVHAEAANPLFFLMVA QVAFGIYLKLHLERGIHGRIRPFFRILHSINGKAFPVYAWVQMVFGGITALGFCQGDH LGQCLAHFIMGGAFIAYGVLLTILLLVGQLWLRRTGRSQEFFDSAVIAAWGCVNTFTE HRWGTAWVKNDWQHTTMGIIWWSAGLVGIWLSRDRHGQPKRNFIPGFVIFITGWAMSA HPQELMISAMTHQMFGYTLMAAGLTRIVEISFVLRDKQGISEDGTEVNSFQFIPVFLL YAAGFLFMGATEEQMALIAGSGIDHVAYVLILYSLASLLFLFTMALINIYDRANPIPK KPLTNGQAVRGDDARLRDANEFELDGLVSDEEDEERAARRKLLTDDGLGDGPDTPSTV GRNSERVA MYCTH_2301864 MAATITLRAQCLCRAQTFTATVPVSSLPLKGSSCHCTSCRHVTG ALRSSDAEWPGPTGDIVAAAAAAAAAASADASGAGDDGEGPAAVLRRYAHSPRFNVLF CGRCGSGMFFEEWQSPPPEEARSAPERATYLVLTGVLSAEEAGPDDDGGGTAGSVVPP LVRFEDHIFVGDTVDGGAACWLRGMNGEGEPVTRIWLGGRNKSEEVPPGRSWPAVENL PRYEVDLKAAAEGDKGDVVPIRCHCRGVDLVLRAGEAQGEFAEKQARGEELPFFVDPV THKFLGSLDGCDSCRIASGSEVYNWTFALLKHISFAGASSSSHAGFPEDTAQLWAAVS KRDGGGSRDPRFGTIAAYASSPDVQRYFCGRCSATSFYATDARPEMVDVAVGLLDSPD GARAESAISWSFVKKMAWRQDMMGTWREGMLRAVEKEMEEWRIERKYPKSWRRVAQEQ QEGMSN MYCTH_2078222 MESMELPPFLSSEPMQGEPPCRWADFLSPKLRRFPRDGQRVRWV KFLGHGAEGIVCRVRFGDDNQHFALKTFFYTAPLPLSASDRYGLGMWSLEGEARMVAS LEQVCSGLRQASHSPVFVPKQRITRLDALSSLYACSDEGRQSRVFGDLPEDQKVSLSD MFASTRVRRCYGWIRLGGEALMHLNRLISWDKRLERKGELIPAFFEPERHYYGIVYEY IPPATLEVDAVQRQIDFFYY MYCTH_78796 MVTLNQIRASNSIVGTSLGPGLVAVFAGATSGIGEATLKQFVKH AVKPRIYFLGRSKGSGDRVRAELQKINPEGEYNFISVDVSLLRSVDDVCREIGAKESA INLLFLSTGTIVRGNETAEGLHYFAAVAYYARMRFVVNLLPLLQRATGLRRVVTVAAG TKEGLVDAGDMQGRRASVRALRGHVASLATLALEAVAARRAPGVAFVHDYPGYVQTPL GQRDATGLTAVVLAAANAVLGLLAPLIAVPLDESGERHLFLATSARFPPRDINGDGGG SESGGGDGSGVPLPAGVAVARGTDGETGSGVYSVDQDAESAPAKVERLLDGLRKDGTA EKVWTDLETEFVRITGATSI MYCTH_2301869 MRLKSGLAGALAWGTTAAAAAAVARVGAGAAANSTYYNPILPGW HSDPSCVQVEGIFYCVTSTFISFPGLPIYASRDLINWKHVSHVWNRESQLPGYSWATE GQQEGMYAATIRHREGVFYVICEYLGVGGRDAGVLFRATDPFDDAAWSDALTFAAPKI DPDLFWDDDGTAYVATQGVQVQRMDLDTGAIGPPVPLWNGTGGVWPEGPHIYRRADHY YLMIAEGGTAEDHAITIARSDRLTGPYVSCPHNPILTNRGTDEYFQTVGHGDLFQDAA GNWWGVALATRSGPEYRVYPMGRETVLFPVTWREGDWPVLQPVRGAMSGWPLPPPTRD LPGDGPFNADPDVYDFAGGGAEAGEEAMPRNLVHWRVPREGAFATTARGLRVALGRNR LDGWPGGAEPAARAVSFVGRRQTDSLFTFSVDLAFAPDADGQEAGVTAFLTQLANLQL GLVRLDGGQLRLRFNASGHVRDTAVPEEWTEVGSCDGGDDGGDGGDGVVRVRLEIRMA AEDPSSYRFAAMLASDPDPDRTRIEVGTAPAELLSGGSGSFVGTLLGVYATCNGAGEG IDCPAGTPDAYFTRWRYTGEGQFYTETDLVPPDEGQGKGKGKGNGKGKGNGNGNGKAA KRSRFVG MYCTH_2301873 MRRTLRRSCASCARAKQSCDLGTPCCSRCAKRNLECVYANEPLN AAANPPSASLTPSCGPLTGYRFPPVDPFESYPPTRLPREHVQRLIHSFLHKIAFQYYP LDLNPTSNPFLVSWWPIALGDPALFHVSLQTASLDEELLAQKGFHTSDLLMADSVALL RRKVEDASLAVQDGTLNSVITLATIEFGKGNTRVAEMHVDGAKRLIHLRGGINSVRQT SPLTARMVSWVSMLIMGHPQFETQDDTGVGNGIPPIPEWQLGSPAICEVPLELQNLDV DDGVKQAFLRLRSVFQRAQQTPLTATVLHDLACFVIHRLLPPADDRASQTSPLTECVR YATILYMFMVQGPTYFTHAVIFNRILHRFTESLRLRRPQQSHDSVDVWFLTVGLAAAN RTPHYPWFVGRAAATANTLQLSSWDHVSARVKGVLWLDTQKVEAIFRPHWDAIFRAPD HPEPPDLPDCTSMSPCAPDRAFI MYCTH_2059186 MHPLGGSLRLGGLLGLAVASQGSRLHHRFGGGGNQTDRLTECLT EAGVPVDMAGTAEYAIDVSSFNLRLNYTPAAVAAAGTADHVRDAVACAARLGVKATAK CGGHSYASFGLGGEDGHLVIEMSRMNRVVLDDETGIATVEGGARLGHLAVELWDQGKR AISHGTCPGVGVGGHVLHGGYGMSSHTHGLALDWMVGATVVLANASVVECSETENPDL FWALRGAGSSMGVVTEFRFKTFEPPENLTYFVATAQWPTEDRALAGLAAVQEYAKTMP AELNMRLYIANRFVNLEGLYYGDDAALHHTLAPLLDQANATLALAQTGGWLDQLKHFG GSNLDQGHGHEEHETFHSTSLYTGPLDEDRLRAFVGYWFGPAKNNTRSWHVQIDLHGG ENSAVSVAPRSTAYAHRDSLLMFLLYDRADRGEFPADGAALMDNFAAAVTAGFDPDDW GMYVNYPNPSLSQDDAKARYWGPNLARLRAIKKDVDPDDLFHYPQGILPA MYCTH_2301875 MVNLILHYLLGLPDIVGSVSSDTGDVVSAARKRKSLDLATMMAS KNETAADPLLFNLVDLILACLRSQNNQTVYVTLQLVSVILKRHHRYAVITLLYTEGVL GDSHHRTFGAHQQEVEYLMSLAGSIGGQDNFDEIYDSILKDTLVRLENHPCSIKLVTP KTSTYNHKLPEVPDTLPGAPRDVRSHTLHPSDPLLNIVLDRLETFFINPVDTNLALTE AIFDLAACGFMHLEGWFMRSPSSYVYEEDDGGPPLPDPPLDHDSQEYIEYKQMQAMQE SRRRPRWVPSSLPRLLKILQKLCDQVAAYRETIPRFDDLLQQRREAFQIADCASQPLP LHPPRVRTPASHSSAATPGTERAGFSPDEGRNPSRERPSGLEGFAQRILSELGTPTRS GSPRGRKEAKRVPSDRSAPVSLPSPAAPPHLSTPQVGRPMPPGIPSSSNNMPAGGPWD SSGRPDGLASQARAFQAVDQSILARKVGIPETRPVSLDFDRQRTAGGVSSADEKQAAD EEEAKAEEGGGEDALLAPSEDGEQSDDGDNGGGGGGGGGGGVGGEGDAGTGEPGTPTA DRTVSVSHVLTNTIVLQAFLFELASVVQVRAGLFDEVRFA MYCTH_2301876 MDFWSRLLAHTPLSSANSRKDFAKDPARRLHRFEKEYSQLLVSM DCLTKNPPDRQ MYCTH_2301878 MGLFKWKKKVKKASTGGSQARDKWPPPETQYGSPPSCSSTKASV RADWRLGDPASGTSSQHAAKPPIIVNQHHYYFGTPPPSRPPAPTRVSSTPMSRLNVDS AVDLARDVCQETGQLLEHALPTWHSYGNQLVNQGNHLVEGISNRVYWETHQFLDGVLP SWHCSRGQLIQQSHAVVDEIANRFDSVLTKIDQGGYRGKEHDIYAWKPAQALAQPEPP SPPLADRNPPPPPKSKKKSQGKAHSKGQTSAAAGIVSGSFFSKVDCYANSRLPMNLPP LKLYIPTYPLLCLAAQYSERVYEPPRGRAERDTHVAADWRTGTKAMVIKSVPMDTMNT IVFAIRGTATFMDWAVNLDTTPTSPAGFLDDPDNLCHAGFLSVARKMVTPVARRLRQL LEEDPWRASYSLLITGHSAGGAVAALLYSHMLSESDAAKSELTAVAGFFKRIHCVTFG TPPISLMPLTKPDNYLRRPQLRKSIFLSFVNEGDPVARADKAYVKSLLELFAAPRPRV ESVVATADDGNYTGDKKHRHAGDKRAAASSRSYRNRPCPTKPPPPPPSSSCPCPACSS KRTKRTKSTDPDPDPNPDPDPYPAGPVWKVPPCTLSCAGRIVVLRSGDAKARRHQRGG GPEATTVEERLREGVVAQVVTDEQLRGVVWGDPVAHMMRLYAGRIEVLAVGAVTGAGG Y MYCTH_2301882 MEFDPKLPSYSTGDPTSFAWPTARERWPIIITQAIDDTYRTMGS CDDAARQEEGKKIVAELAQLKYEVQHDRALTPIRDDGYPDVAVYNKELERLGPVTWLK APWLFTECYLFRRMSTSFSLSTHWKNYDVFARQKIKTFRSSRPAVLELAARYKELVTQ LRAARSAKAAPDPEADALLFAEMCEVCLWGNATDLSLLTSLTYEDIQKLQGSEARRAA EKNILVNDLPQAYAHLLAAAKRDEQQEQPQQQQQQQQQQQQQQGRKGRRVDIVLDNAG FELFVDLVLAGYLLSAGLATTVVLHPKSIPWFVSDVLPGDFAALLNALADPRAFYETP TDEDRLQGREPEKLTDAQVAELAFLFDEWSRFHAEGQLVLRPNRVWTGPGCFWRLPAE ATELVDDLREAELVVFKGDLNYRKLVGDVAWDPTTPFPEALGPLGPGSGLNILALRTC KADVVVGLKPGEDEKLKQTEGGGGDSGARKWAWNGKWAVVSFSKGK MYCTH_2057234 MCHWSYSHHHHLPPCTQPIEMVVNYNYCQDAVADPVTGEVMPCK YTYFGDDAAMINQVDYDDPCATGGCLISPACESGVCRLAQLGGRWACCRCGGRGNEYR WCQHRLRASPDTFCYHVCCDACRPDPRGSDRDSDPTATPGLRSPAPPPPTTTTTTTTP ATTTTAYAAAGCLSSPGFSSSSSSSSASSKKPKRR MYCTH_2058752 MCHGHPHHHTCGHQSVTWHYCPSALIDLETGYETPCHNITFAAS QASASPCPLVNCDFRSAGSGGWTCCKCGGRNDSGWCVNASPEPRWEMNPVTNEWEWMD RCDHGCCRSCIKDRKSECPTLWPRGLFPAVRFLQPNSPDIFSSSD MYCTH_2314749 MSDLDTFDFIPLQIDPKSKAISAAHTPASRALEAELAALNSLHR SLHALDAPHTVPPPPVPVNPKRSANINKLRESGNAEYRKQRYAEAIKFYTLGLQMALT RPAWEPSQLVREEVHALYSNRAQAHMQLGNWPEAAVDAEASVEAKRQGNAKAWFRRGR CLVEMGRLAEAREWVARGLEFEGEEKELIELLKEVDAKLEEEKAKRDA MYCTH_2301895 MPGPSHPGEPAAGPRALWGTAAPGQALYGVPAGSPVDQVTAGLS QVAQYHRPLPPSVVAPPLANQGAINQPQVSAVGYHFASLPTPPPYSGTELPSSPALPA GNWDSANPFILAGQPIYGSSVTGHRGSYQTLTQEPGVVGTVGGVTRPVAGYAADNVAL DIHTPHLPLHPTQNHDAVTSTRAIQEPYGQIDQQLDHEAWATGKLSTLADAGEGRSAL AHAPVNSNCADASEDHLDNERPRDRKKRDRFEEELRRQTSNTRIIGACLRCRNQRIRC VPNKSEKSNPLAPCETCRRVRRDSKKTIHYIPCVRFKLTSMTIYRPGGLGYTTRFDHT KLVNIVDYNDEPIEIRISQGLCHHPMSLKVRRFKPRETDKTHWCYLENGVSVAQDTGA FCLADVEKTAKEFSAYVKRYALEGLRTVGEESDDLVKHVFHTIAAHCDPSSSFAQSGD DSGTANARKYPDQKDFLLKIVRLWFAIRHGTGSAWLDGDENLGLRPGFGPNNPHRGKI LVSRMIVAQFDSIRHECIYKKLAPEVLRTFDTFLASSNKEAWFTVFLATFLLLHQVAR TSQDRYRHAKQYSRGKQLDTRYGNLDNPLTGFVENVHHSAAMLLARWQYFKRCDLMNL DWDDIGQSTLMSLEPDQVECLKVTVSLLRERLPLIPTTPDQGCWENELLWISKMFVTD QSSEGYWKPPEIFSCARPSVGRE MYCTH_2301899 MDKALRFTEGHPMFWTSNAFATVEGSSDAPGHVYQVGKQGADEV DAALGPFRKCTFDAERVTRETFVLPTIQAQLARASLDVHEGRGFVIVRGLDPQRYTAE ENLTIFLGISNYIGEQRGVQDKMGSMLTHVTDSKVWTTPPEMRHGIHTTTGLAWHCDM GADVLALHVRSLPKSGGSTFVASSWTIYRELAESYPTVLEALCDANWPIQVSGNPPRY IVAPLLHVSDDKILISVDPGRLGLHPATAKIGTEPPVPALSQQQLEALGVLSALATKH RFCLDLKLGDIVFINNLGLLHARDPYVDPANGPGRHLVRLWLRNPKLAWTIPQAMRPP WEAAFGPNGKGFPGLEKRYPVEPSLVYKPPKYTAGSAAFVLEDGEDVNAEGYI MYCTH_2301905 MSLTKRSFGLLITTMTHWWGPTTIRISGDASVADQIRKTEDGLV EFSFPERMIMIANHQIYTDWLYLWWVGYANAPKTHGSLFIILKESLKYIPIVGPGMMF YGFIFLSRKMAVDQPRMAYRLRKLKTTHTAPDGKKYLDPMWLLLFPEGTNASENSRSK SAKWAAKIGVKDPEHVLLPRSTGIYYCLSELKGTVDYVYDCTVAYEGVPRGQFGEKIF TLGGTYIKGQTPKSVNFYWRRFRIADMPLESQEKFEAWLRERWYEKDALMEQYISTGR FPPNPADAVSRGLEPFLETEVRTRYPWEFLQIYSVLGAFGLIVNFLLKVWGRFLSVFS MYCTH_2301909 MALSSCTMAALGRSSVGALGKVGAVARGCRQFSASAARGQILDA ASLPDRQIPHYQQTKTSSLLSLHWPQPPRNILLMPKLHAPKVTAKAIEFAKHIYNNYP GLNLVFESHIAQDIHETLPFPIYTTDPSNASTLFARKIDIVTTMGGDGTILRAASLFS MHNSVPPILSFSMGTLGFLGEWRFSEYKRAWRECYMSGCSVAVEDLGDPHTRAAVAGA QAGDRSNFSPLSGWDAVRGNGQCMGLSRTSKILLRNRLRVGIYDSEGRNINEQLLPTS AAEPDLGPPSGSSTHVGEGYRSSTNSTSSTSSSSSSSSGRGDGVVQKPRYLHAINEVS IDRGSHPHLAIIDIYVNSHFLTEAVADGILISTPTGSTAYSLSAGGSIVHPLVKSLLI TPISPRSLSFRPLVLPLHTKVVLKLSKRNRGRELPVSIDGKRRVGVTIGMEVRVEGET LEKGPDGWRGGVPCVIRASSARSGGSEGIAEDDDSWVGGLNGLLKFNYPFGEGAPEEP MYCTH_2301910 MKYTSAVLALAAATATATAQDISIFPECSMPCIIDAVGKTSCEL TDFACVCKNMDSIKQGATSCVVDKCGIDVALNEVLPATEQFCADVGDGDGDGDDGEGE GDGGSESSSAPAPPATSTTTTTLVTSTTKAPEEPSPSATEAPGGGGDEDDGDDGDDDE DDGDDGEDEPTGTTSSPGSIITSEPTGSAIPSGGDDEDTPPATSSIATAGAAVVGAMG SFGMMLLGAVAAL MYCTH_2301911 MPRASNSAKRHPAASNQRDTRHENGLVGPGKRVIKRKSHGQLDD GSKPAVDSASVPPVPPLPTAANGSAAHPDDMAPEHRATELLRRGSLGTYSESSSADSL PVPPTHDGPQDSHRRIDVNDAKNTNVHRDPGPLDLAITVLRSCPLADTVAILIILMQA SPAVLATIYMLFTVLTFVPPVTTSSGLSITEIFDGSQGIPSLWTLIWMDFIMLLIWLF LWAPIQQFILDLAQVVISYTLGGGASSRQGTTPGIVLCVGIVGMSHWVQKWNGLSRLT TLFGSNRFLSTDAEPNIRMLDKKGPYGWVRSVLAIHILTQGIVRCIREWYLRRERRDL QSQGLLDPESGKSVSFPPEGFSEAALMAADSDAHLQTSVAHLTNKRRRKQSAQVRIKQ PLWAALASTKIVMVKEYELSHAASESAGSNATDIHNLGNAPFNTQPEHIWICYVGCDE VCFNTSHFPDVGQDEAPQDDSKLGIDMSKPFYVRVNNAIWQPTRIIPIENPEEENYEG TRWTGDIYGLTPLSNYECEFVSTRTGEVIFSTSVRTVPAKNKDPEAAPKAASNPRSHA RHDSPATTLRASIAAAELKLADEKARLKAVRKDNNRKLNATRKEIEKLTAAAQSAGGD DEKLRQKIAQNRIQEKRAEESTSELEAQLKELETVPEALVQEHRAKHDEWTSEKAKFD QARASFKSFKASIDKQVKSLDEEKASLQAKRNKIAARIAKVDDEHARITDANARGLNE AERRRQERANLAAELGRAEQNLRERLQTLRLNNATKQAQVHAMAAHMQEYLASFQNET AYDMAPQPNHFPAAAAGPWAAAPAGPATQFSAAQPAWPPVTMSMSAQVTPLMAPSQLS TFSTPMQTALSTPPQPHRTRGRSSSMLSDVSGFTQSTDEDEHDSGSGSGNGSLNNASA VASVPVGRYRNGGGNPGGGGSGNASPAGTATLRGPPPGFHLKGGSASGSDSSGSASGF GSGSGSGSGRSSVRSGSGSGASLREAASPV MYCTH_2301913 MPARLPSVRTGVRLSGSAFRRAPSASAYASASSQLCLHRRPYSA AASSSQAPESEVQPPPPPPRWISDLPARIGKCLAFGCNARQVSQAAGVLRTIATEWKE LLAASEGFLTGGRRGLESREIAWGEMDSFAHVNNVNYYRYAESARVNWITNFAVHVDP KHRKQWRELMSPISTGLIMRSLKADFKFPMVYPDKISVYHKLRKRPESDPAPSSFYLD CVVLSHRHRRISCRLEEDIVIYDYRKGGKTSMPQFMLDMFEETWKLQEEAKAQARLRI SRLHEEVEQLERETWNRPDAVEDMGSAVGGSK MYCTH_2117287 MTTVPNPELRRQVIAIYKRKLELLYLGRDYPQGYDFFRPRLHRA FMAKAHLTDEKEIREGIARAEFVRKEIEAL MYCTH_2301914 MEYLSGYAAAKAPYLTYEIEGSPRSRKKPTRSNSTAAPVIQKRS RTISGHTHARTNSASTSSSGGRIEHNAPPPNSAGWLQQAPAGADASGSRHESAGTAVA SSLPDCADSTPEQHRDHHHNNHYYPPTARRNSNRDHDHDHDHDHDHDRHRHRHRDHRM SSSHKYFQDKQLPATPRLNIASDASARPLGTGNNSEPATAAHSVGNPGAVVAAVTFPD RRTQQHGAMLSAYPDGSGRMPVPGGGGGTRAESISSVSGATTMSSRTMHSTEHTSESA HQHRPFVVRNGRTYLADPTLPYPLPVDLEELHRQSLKTMLLMQLFGRPICSPAFANKP PPRILEVGCGSGFWSMMCYKHYEARGQHKDVSFTGIDIVPLPPNASPSDGAADLRPDK SMNWRFVQHDLRKVPLPFPDASFDLIMVKDMALTTPMSMQQTLIEEYIRLLTPGGTIE IWETDNMIRMLRPHVPDCTTTSGPTECSCADGDSHASPMTTTTTTTTTTTTAAAAEAA HAPDGGDGTVSNDNDSSNTTTATKDKETEIGGAYIMTANTPLSTPLNNFLVEYNMWAS RALEARALCAMPCTVIGPLLIQEDEMLTGVGSRRLAVPLSEIRWEREGVGGVVTKDGK SYIETKAVRRVGDNNDGGGGGTSGPGRDGGGGCRAHRGLDPAAAALRRTALVTVVQMI QGLEPMLREASGKNQDEWDLWVGKMMNDLVRENGTSWGECLEVGAWWARKR MYCTH_2301916 MADGHRHLLHAYTNPLSTTGVSSDQIANGLFLNSLLSHLAAAQS SPQSKPTRGSASQDTRGMYGQNHQQGHNSRINGAPGRQQAMPMLYNFQQQSGHPHQTH AQHHQAIQQDHGGAAIMGHSAFSSGVLPNASPFSASNLQNGHTSSSRAGQTQQITEHW AEQLRLHKEAERAHATMTEQHQPHFYARLKAAENRGIGGTSLTAGDSGPSADGEDDRR RPWSLEKTNKRQDWYNLDMSGQGLRVLAPPLFAYEFLQELYIASNRLTYLPAEIGRLR HLRHLDASNNLISELPPEIGMCTNLKSLYLFNNQIRDLPSEFGSLYLLDMLGIEGNPL DPSLKQEIMGGDTKSLVNKLLLKAPVPIPPAERKPIIIQEDVSPNLERIKILTWNILC DKFATPTLYGYTPPAALSWDYRKERIIQELHEREADILCLQEIATDVFRDFFSPELAQ DGYKGVHWPRPKAKTMSEKDAQSVDGCAVFYKASKWILLDKQLLDYANIAINRPDMKN QHDIFNRVMPKDNIGLICFFESRQTGARLIVANTHLAWEPTLPDVKLVQTAIMMENIT KLAEKYARWPALKDKKMIQLPAEEGEERADVPEPAPSQEYRNNTDIPLLVCGDYNSTY NSSVYELLSKGRVPPNHADFGDHQYGSFTRDGVEHPFSMRSAYVHLNGTPDELTFTNY VPGFAEVIDYIWYSTNTLEVVSLLGPPDRDHLKRVPGFPNYHFPADHIQIMAELVIKA RKDKKMVQEPDFGSSSNDRKG MYCTH_2301919 MDSATPIPVAIFGKDPKVARAVCEKLLPDFDAVHVCLDLPSALV ELPALFSPSGPPPPAPASGLGTNAYTPDPAKRRAKVPQAVFFGGGFTDDEYNAVVDAV QKVTATTATTTNTSGNDDDDDDAADADPAGFRQQPVRGGTSSNSSTSISSDRSTRSNG HQQQVHFIKVQKRDVLAAGALGPNPDVICKVFRKKMAAALDRDRDRGRLEQRDQQQHQ QRQRLQRRRLS MYCTH_2301920 MTATAVKRACDACHRRKVKCDGITPCRNCSASQLACTYNAVPQK KGPKGSRAKVINELKETQRQTSLSAKLQSGINGGALGAGLAPTPRLLTKETLKACIDF YFAHMLPTIPILDRQRLEQDAMYMDQNLDAYCLLTSLCSFVCLQPGMVMPGAGVNDPF GQDSMFGANVVTSSLLMEETIRVRKGYDYVASPTVNTLCTSFFLFAVHHGLEMHDKAW FYLREATTLTHMARMNEEQAYMQYDSNDSVDASRRRRLYWLLFVTERAYALQHRRPLT LQASINLPPPQEHPADPFTHNGTTSLRLHKAWSLSVANGNGNNAESSYVDSIHELLPM VSHFPGNLGLHGLSLCEHLFNVTCAMTDFLSMLPASRTPFRAGPRDHLRKILNIVTAI RNGDHRFLPLLLSTVASYLPKLASPMLQDAPENPTACNMDLLDGFGNSTICPPVYSSG GGDYDNKFNVSRFDEMGSDSSSPNGPSSNNDVNSPFDSSSAIMSPGMDMPHGLQTDFT SMPEMVMSPLSHAPPPSSLGTPGGMNQQPQHPQHTPLSPFPNSNPRMQGLDANNINPP PNINLTTSQMHLNQGLGNGINNGLGQTVNNNTLMARAPPPQRANTFGMNLPHLRTVGD FQALQRSNSEVNSMGQLGMSPLGPDLDFNGLSR MYCTH_106798 MPADPPGFFPPANVIPPLSLPHKQTMILLHGRGFSSSTFGPDLI STSFPLPPSPSLSPDPILSPAPAPAPAKEEEEEVKKEGEEGGEEEEEEERAALGSLRT LRTLYPHAKFLLPTAPRHRATIYARSLVRQWFDGWHLYARDDDDGDEEEEEEWRCIPG LRDTVAHVHELVRAEAALVGGTRNVVLGGLSQGCAAGLAALLLWDGEEPLAGFLGMCG WLVFERALRRAMSEGEGDGGEEGEDDGFDPFEREEEEENEDGGGMGEKQEEVSVEAKV VRALRETLELDDGKGPTCRPRAFDTPVFLGHGLDDDKVPISRGRGSAKFLKAAGMHVE WKEYHGLGHWYSPAMLSDMVSFLAHQTDWDRDRKPS MYCTH_2090891 MQLSKILGIFSLAAAASATTVSYDTGYDDAGRPLTSVACSDGVN GLIWKYGWQTQGQVKGFPFIGGVEAVEGWNSANCGTCWSVTWNGKTINILAVDHAASG LNIGLTAMNELTNGHAVELGRVEADVKQVDLSACGL MYCTH_2301926 MPGLAASRHAPHPRSPRRSGIANANLTVPPAPIGDGGGGGNNGA ENTDPIIHRSSTSSASFSASSTTTTTTTTTTTTTTATAAAFPTRAARNERPRPDRPAA SAPHELARYAKIVRRLQWKLPFLAQGYRRAVDRVGADPDAVAEAELMFKLDFFEYYML IERALVHLLGVFGVTVERGYQEGGEREEAAAAVTGTTGYDLCEDDNNKKNDTKDSNKD RGKNNGGYGGYGVYGDHVKGSAKGLGASIWRHRARHRYHANVLAALDRPDNPLHRSLG TGEVRAQLGRAKDLRNRWKTAGDDDSSGGGGGGDGCNGGDGTSRAESGASPERGERRS GKVAAPLDSYRLEHMLEVIFRGFDEAFRIAEEYVRLGSGLAEGDADRSGEDGRVMDWT AIGDEEEQWEFMVDAMDWEAV MYCTH_2301927 MFDEQPNLIQEYEFSEEDDWPWEKRRRAPVPLFLIHDGGGTIFS YYCLRELDRPVYGIANPNFDSGAAWAGGIPEMARHYRAFIRSALPSGGDVIVGGWSLG GLISLEIAHQIAEEARRSPPGEPRLRVVGIVMVDSVCPVIGTASWRPIVEHNIVWSEN TREETKQKVMRCFSEALRMVREWKLPDWEGEEGEEGERGEEGDDGERAPPPLRPPPVV LLRALECVPEPGEGLSRVDVYRSDPQLGWGLYRKDLIAKVIDIPGHHFNLFHTEENLD ATTEAIKKACREIEMRANFGSHTSE MYCTH_2301928 MTYDCDVLIVGAGPVGTALALELALHRVCFRIVDREPIRNDKSR ALGIQPRTLELLNRHGAADTIVQRGRILRGAVTHIDRQRVSRLTLDDLGTTDTEFPLP LILSQVETERFLDECLSEYGMSVERPVIASNIIQHDAGVTVTLERPDGKSDTIRTKYV VGCDGAHSTVRHASKKMTFPGGAYPQDFVLCDARLRDSNIARDSLSVYLNNQGLVGTL PLDQELVRVVVSRSPVAVPGQEAPTLDQLQAYFTTMTPPGSGTLHDPLWLTRFRLHHR CVNQYRDGRLFVAGDAAHIHSPAAGQGMNAGIQDSINLGWKLARALSLQTESALPRSQ AWAAADALLDTYDLERRPVGLMLLRGTDRIFSFLTEPNPWFTPFRNFLIRFFAPRISR DRAWRKSVFHFMSQFGVHYRGRTRLVGEASGFWWRPTIRGGDRLPDGKIWSKSEARET SLQRVCVGAPHHLLLFSGNAARGGLGEEALRSAADGVVAACKTELRVHYIAGDDRPTP GPDWYTDSAGRLHDKFGFGRKAGYVLVRPDGYIAHIGPLSKLRQLLSFLDTYLVSPNV APSPSPFTYVKPLAWAVVVAYFAPQVIRWVAQRVWS MYCTH_2301930 MESSENAGFRSGLRPPQVRAPVSSPTAATSYLQEITDSQHNARS QQPTGIPQKRAHKGSISYPDQKRKTLVERAGEPIKSGIPSVAAGLPRASTNVKGASIA SLSANSSTATSRYAQTSNFAKSVGPGARPPVTGRAPTSMGFAQSTTTRPRGLTRPRPA TAMANRELEDEPLQTNQNKGWDVDGRLSEFETQFKVIKETVEGTMGDRKILEEAIELA KNRASDLEREKQRLTDQNAQLQNQLDLQRQENNSLQQEKQMMALQIETEQRRQKYELE DRQREHKLEVDQIRRDFESELDRLKREHQAAAEARERQYQAELAEERTQKSRELQDLR SKLGAEQQDLNSELLKKEREVQEMRSQVEALKSDLDREQTIKEMLQQQIAEMTATNVT LEERMRGLRAKIDFLESDSKQQADSYALMEARLQEALRVAEEARQKLIKEETERRVLF NKYQELKGNIRVMCRVRPILDPSEGEEAKIAFPDTKTSSQIDVTGPEEKSSLGVVSRK VIPFEFDRVFNPSVQNEEVFGEISQLVQSALDGYNVCIFCYGQTGSGKTYTMSSPDGM IPRATHMIYDTITKLQEKSWTYTMEGSFVEVYNEELHDLLTPSREADPRKKLEIRHDD VRKQTTVVNCKTVALDSPEKVEAMLKQAQSNRSVAATKANERSSRSHSVFILKLVGEN SATNERCEGTLNLVDLAGSERLKHSQAEGDRMKETQNINKSLACLGDVIEALGRGSAH VPYRNSKLTHLLQYSLGGNSKTLMFVMVSPLEAHLKETITSLRFATKVHNTHIGTAKS TKKLRSATPESGR MYCTH_2090897 MEKFSQFRDRGSGIAPFLPVTTESSIFATLFHAVLFLFRLPLFL AFSTAYFLVLQHIPFLPAVIRKLLLWSLLAIPGVWWVDLQLDGVKRGSLSQQPRSRVP GARSIIAANFTSPIDALYLAAVFDPVFVAAHPSSRKVRPIGLFSAILAALSPSAQLST LAQWEADGGDGLTDLRALVARYPGRAIAVFPECGTTNGRGILPLSPCLLTAPAESPIF AVSLRYTPPDVTTPILGAKGSAAFAWKLLGRPTHYIRVRIAEGVHNTTAASNGVSAGS AAAGSVRRGDWEGRAEDQPTAEEQQLLDRIGEALARLGRAKRVGLTLKEKAAFLKAWA KR MYCTH_2301934 MLSTSPLRVGAPRTLAACRALPLRNQIRLLSATPRRHADEKLNR VSSAITQPKSQGASQAMLYATGLTEEDMSKPQVGISSVWYEGNPCNMHLLGLSDLVRQ SVQKAGLVPMRFNTIGVSDGISMGTTGMRYSLQSREIIADSIETVMQGQWYDANISLP GCDKNMPGVMIAMGRVNRPSIMVYGGTIKPGCSKKGQPIDIVSAFQAYGQYLSGEIDE EERFDIIRNACPGGGACGGMYTANTMASAIETLGMTLPGSSSFPAEDPRKKAECESVG EAIKNLLRENIRPSDILTRQAFENAMVVVSVLGGSTNAVLHLIAIADSVGIKLTIDDF QAVSDRIPLLADLKPSGKYVFEDLFKIGGTPALLKFLLKEGLIDGSGITVTGKTLKQN VEDLPGFPDDQPIIRPLSNPIKPTGHIQILRGSLAPGGSVGKITGKEGLRFEGKAKVY DYEDGFIEALERGEIKKGEKTVVVIRYEGPKGGPGMPEMLKPSSAIMGAGLGQDVALI TDGRFSGGSHGFLIGHIVPEAMEGGPIALVRDGDKIVIDAEKRVLDLEVPAEELEKRR REWKAPEPRVKRGTLRKYAALVSDASHGCVTDRA MYCTH_2301936 MNTQRSAFRLLKRIGDTARCRNFSKFSASSRPIPPLGNIPMPYI TEVTSGGWRTSDIFSKLLQERIVCLNGAIDDTVSASIVAQLLWLESDNPDKPITMYIN SPGGEVSSGLAIYDTMTYIKSPVSTVCVGGAASMAAILLIGGEPGKRYALQHSSIMVH QPLGGTRGQAADILIYANQIQRIREQINKIVQTHVNRAFGYEKFDMKAINDMMERDRY LTADEAKEMGIIDEILHKREKGEDKPGVGDGKVKL MYCTH_2301940 MVVLRLHKQREKGDERSSNTPSAKALATPVRKCPITTTALPSFF LQRFALIAHPETKKPWFVPQDLETKAPVKSPAAEAQCPDAAQGGREAADAPSPEATQE ATQESPKDAETGQKADGPTPGTRNCEKRGPSAYTLSSQRLLQELQREKSPYFKLYKKL LRMSDHGNTKLGSLITTATWRSDMDAVVLELLRRRVVEGLCHFSNMTDKAGRKYVVKC ERWDDVKNLKHRGCLLYLGLPEESSSGSTSGYVPPRLAAMDMGPVKFGSKLAVHNLPE LLGEEHVSQLRRGSTLLRDGSLYLLGRRATVNLQMMLWKLQGYMAWDQGQQDTSNEGD SKE MYCTH_2301942 MNRIDVKRRNVIDHRKKQFAVPQYKETQYPHRLNFYTTPPTADI TLEQFEQWAIDRLRVLAELEACSFRNKTPAETELHMKPLLDKYLRLDANSSTASPLHA QRQKDHYSHFILRLAFASTEDLRRRFARAETMLFRLRFQTDDSRERAAFIRTLNLDWE PVSDEEKRELAPQLASTIGYGKRAPQVLDEDWLKVDWTRVPDLIENRKVYVRAGKAYV PGKEQQSMVLNAFTKRLETELELTARALPRLDEDDRLTPILDHLSKNFIAPDASYAGS GAAIEGAEITARNIDNLSQHFPMCMTHLHRSLRRDAHLKHYGRLQYTLFLKGIGLSLE ESLIFWRSAFNKITDDTFNKEYRYNVRHAYGDVGGDSNRRSGGYSPYSCQKILTEHAP GPGEAHGCPYRHFSPENLTTLLRASGVTDRAVLEGVKVDTENQKFHMACNRVFEHLHK AEIKRAKDEGIMTAAQLETIVHPNEYFKRSYLLKHMDGKQHKEKGDVNMEG MYCTH_2314761 MSSAPSRPPTVKYTGNISPASSSSSSTSTSSSSSSSSSSYSASD PDLPSSDTMNSTTVRDSVDSTSRPTVHVAVIRCLRCARAEEITSTDDPSLFGMVQIGT NIYYCNRCAKMVGYT MYCTH_114955 MGRYLVRRARNHAVHGHSVEQHVDGTIYGERYEDSLRKVSGGRS TDSDSDENSKWIHRDKLAKIESEELQAAGIILPRQRDRARSKSQNRPRRDQSQDKISG SGRSIAGSEHTVSRSRKNSTATTTDAKSIDPASIPSWDLRRPEEILEEGDGYWASGGS GKSSSRIPVAKTSPMPLPSEHLARDTRLPRKRDGSPGTEESITYPKTRSRSSSTGNAL GPAKSAGTGSGAQSNKRPDSSPKKPGTTAASRKPKPANGAAGRPKTRGGPSKDSSSSG TARPSTRSGEREFSCSNPKPMEGEPPWMVSAYRPDPRLPPDQQLLPTVAKRLQQEKWE REGKFGSIYDKEFRPLTDEGFLKPPEPTAREKEAEKEAEAEKKEEQGEGDWPLKAEAK SPTLPARTNSYSTMPKISDSPANPLSSPRTPANQNQSTQPVRVPEPVEEAQEKKGGCA CCIVM MYCTH_47092 MTESPSTARQTRRTRIVCISDTHNTTVKLPKGDVLIHAGDLTNQ GSYSELSKAVQWLEKADFEAKIVIAGNHDITLDSDFYRKHGSSFHNQHPEDLAQCQSL LTSSRSIIYLLNSSATIRLTRPDGPGTTFTIFGSPYSPQYGTWAFMYPHPTAAELWEA IPLNTDILVTHTPAYGHRDDSCGCDELRRALARVRPRLHVCGHVHQGRGAERVKWDTD SSRAGDGVTAVEASVEAWVDPSPDPASAKISLVDLTARRGNLPLDFDDPGPVGSEQER PGQGTTRCCEGRKETCIVNCAIVSTSWPHKDGKRFNKPIVVDLDLPVWR MYCTH_2301956 MTSSLPPDPWKVLGVDRNADKAEIRTAYKKLVLKCHPDKIQDPT LKTLKADEFQKVQQAWELLNDDAEKAKYEQKVRLAELQRAAKVHQDVKNSPNTSVPRT AKYTTFEVRTAEPTSKYKSSPSGGKVYTYTTATHARSYEEVPSTRIYAEYEEPDRKAR RAASYEKPAKRDDERRERDREERRRRKEEEEFARQREEKREREREKDRERERERERER EREREREREREREREREREREREREREREKERERERKVEKKRLERDREKEREKARKRD ADEKARYTKQPYVEHYESYISGLEDLVLDDEMYVMSGSKSDKKRSSSKKHDETRERDR ERDREKSSSRRAKSPHVASDRKHADLLEFAAEYLARTGSSAQKAMGASQQAMAWMSED PPSATFVAPTVPTPPPVEFVEEESIRLSAPRTAGRRASNDAARSREKLKYDLDDTPSK GRPIPTLSKSYSTPPPVPESPPRISRSKTMPHESYTAMPSLSRTHTWAPGATSTADYY DDVESEDDRDRRRRRSRRTRSPSGQAAQRYKVDSSLKTSKIETQYSYGESPSSTRRYM TSEVYDSHLGHSPSSVSYSSTGLRYKQAKAIGPEDVMYAQYDPPVSYSYSSHTAEGYG VQA MYCTH_2301957 MASTSADISDGASDPFARPRARRPPHSQLSQTSNNASEVNGGIE TPGNGHATASTSGCSTPVPFDAPPSVKSLSTARKQVRAEQQRRRLFPTIEFASRVSHF DPNSDYRDFHGFFNLFWIGLAILAITSMLRNIKDTGYPLRVEIWSLFTVKLWHLAIAD LLMVATTAVSLPLHRAFRAAPADGPLTWAKGGMTIMSIYQVVWLAFWIAVPFLLEWTW TSQVFFLLHTMVLLMKMHSYAFYNGHLSETEKRLRALDDPSTASRAPAYLYPTADNPM GTMASPKRAEAKGGKADGEGGARGDADEIAQLREVLARELTSPIGTITYPANLTWGNY VDYLCCPTLCYEIEYPRNDKINWQSLFSKIAAIFGCIFLLTVISEEFILPALVDAQRR LDPSLRTADSPLTALEVLLVLAETISWLLFPFMLTFLLVFLVIFEYVLGACAEITRFA DRHFYSDWWNSTDWMEFSREWNVPVYSFLRRHVYSASRPHIGKANATVITFLISAIAH EIVMACITKKLRGYGFVCQMLQLPIVMLQRTKWVRGRKTFNNVCFWCSMILGLSLICS LYVLV MYCTH_2301959 MTPAHRPRSPPPAAAAHYSADDSSAHLTHSPESLPHATETALHV QTDFSHPTYAYSTPPVNATRRAAVSLRTQSSAQSLRPVSRTPSLKTGIYGPLGSASAT SSAVASPVISAMGDLTPLPSPLLASDSPGPWRRRMSRETTVTPPASAPLDPAHEHTSP AKNTTRKGYTGITSCPAPADGTSQQQGGGLAQPKHHTRNRSISEYIPDPMLVPKRMST VSGIRVKPDLQNVAEGRLRREPHLSEARGLTPVEKPPTPPPSESSLSTTDLSLSAPSA TSAPREQAVAGFFEARGRYDQKRRRWRALRVLGQGTFSQVYLATSHASTSSSSDEDGS VSPGASLQGLQHQPSIAQRRSLVAVKVCEHGPRGGASEDRIEMSLKRELQIMQSVRHP SLVNLKAWSIEPTRAILVLSYCPGGDLFDVATRHRSLLTPSLIRRIFSELVGAVSYLH AQNIVHRDIKLENVLVNLAPSELSPETDWTTYPYSVITLTDLGLSRRIEPDEKLETRC GSDDYAAPEVIMGQPYDGRATDAWSLGVLLYALLESRLPFDPPPAAGPQGIDHAMQLR MRSRTSHRIARVEWRWFEYGAGEGADGEGEHEADLKKFQDKGLLGAMEAVEGLLKRAR SRWPLNKVAEMEWVARGVCVEGGIKFREEEEGEEV MYCTH_2133483 MAETFEFQAEISQLLSLIINTVYSNKEIFLRELVSNASDALDKI RYEALSDPSKLDTGKDLRIDIIPDKANKTLTIRDTGIGMTKADLVNNLGTIARSGTKQ FMEALTAGADISMIGQFGVGFYSAYLVADKVTVVSKHNDDEQYIWESSAGGTFNIIPD TDGEQLGRGTKIILHLKDEQQDYLNESRIKEVIKKHSEFISYPIYLHVQKETEKEVPD EEAEAAAAAEEGDDKKPKIEEVDDEEEKKEKKPKTKKVKETKIEEEELNKQKPIWTRN PQDITQEEYASFYKSLSNDWEDHLGVKHFSVEGQLEFRAILFVPRRAPFDLFETKKTK NNIKLYVRRVFITDDATDLIPEWLGFIKGVVDSEDLPLNLSRETLQQNKIMKVIKKNI VKKSLELFNEIAEDKEQFDKFYGAFSKNIKLGIHEDSQNRAALAKLLRFSSTKSGDEM TSLTDYVTRMPEHQKNIYYITGESIKAVAKSPFLDSLKEKGFEVLFLVDPIDEYAMTQ LKEFEGKKLVDITKDFELEETEEEKKKREAEEKEYEGLAKSLKNILGDKVEKVVVSHK LVGSPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSPIIKELKKK VEADGENDKTVKSIVQLLFETSLLVSGFTIEEPASFAERIHKLVSLGLNLDEEPEATE EAQAADAAAPAAETGDSAMEEVD MYCTH_2301963 MPVPQLDGLQIEVLKEGSGEQETARGNTIDVHYTGTLTDGKKFD SSRDRGEPLRFTVGQGQVIKGWDEGLLGMKVGEQRKLTIAPELAYGSRGVGGVIPPNA TLIFETELVKIN MYCTH_2090929 MSSPRVLVGLTTERISLVRPVQRCNHGSLAWTCSVRPTRSVVAA PSPLASGRTCGFRPFPSEPSVPIRPRAFHTTVSKSSRHEQRHHPRTFHLTDPRPPTIH PHSSSSSSSSSPDLPLSEQLRAVMRLLTHPVVVCTATHPRPERAAGRERGDAPVPRAM TMSSFTSLALSPTPLVSFNIAVPSRTHDAVAASRRFNIHVLADDAAGARVADWFAGGN AHGREVFDRLVEEGDVRVHWGGVGGADGGDGNGDDHHKREPPVLQGDGVLYVLRCKLL DDEPSRGLVRVKDHVIVIGEVLEIVEGTGAKREKHERFGLLYADRRFRQLGACIMPGK NREGSL MYCTH_2090930 MGKLPSTILRRPSLAGPVSQHTVTQSLSSPQAAAAAAAAANQVR HATFVPRPRRPYQFTQLVQLSDGSTFTMRTTSPLALYKSAKDSRNHILWQPTEKTLRN VEVDEAGKLAAFRERYGTSWDLEAPPSAAPAAAAAAAEAPAGGKQEGARAGSAADGDG KKAEGKAAEQVQASTQQAAPAEDAFDSLVDLISAYATEDKNIKGGLSAKDQARKDRSG KKK MYCTH_2301968 MEDPWADSAGASDPLGTSTQQSADDSTTAAASTASNSSATAAAS GATSSSSTSTTRPSRATPRRLVAQPTRLEAVEDDPLGPLGSASASTSPPSGDAIRPPP LGQEGGPPVPPLKENTLPLRTTMPPTPGSAGGKLKQYPDPHRIDDDDDDVDGNDNEAN GRAAGVGGRQPPPVQAALPSPVRSTTHPSVSIEQAARPTFHITVGDPHKVGDLTSSHI VYSVRTKTTSKAYKQSEFEVKRRYRDFLWLYNTLHANNPGVVVPPPPEKQAVGRFESN FVESRRAALEKMLNKIAAHPTLQLDGDLKLFLESEAFNVDVKHKERKEPALGESKSVL GSLGFGVGGGNKFVEQDDWFHDRRVYLDALENQLKALLKAMDSMVAQRKAMAEAAADF SASLHALSTVELSPTLSGPLDALSELQLTIRDVYDRQAQQDVLTFGIIIEEYIRLIGS VKQAFSQRQKAFHSWHAAESELAKRKAAQDKLLRQGKSQQDRLNQVNAEVADAERKVH QARLLFEDMGRLMRSELDRFEREKVEDFKSGVETFLESAVEAQKELIEKWETFLMQLD AEDDESVFYRPAPIQTNKPAGDTAVDRARARIHEDSD MYCTH_2301970 MAPPKPRPLPLAPTQLPHNAIVDYGSQIALQVTDYLKKKGFTKT EAVFRQETAYLGPDGRPAQRSEDPGPKRYLKAFVLLRNWIENNLEIYKFELRKLLWPV FIYSYLELVAQGYTDEAQHLLETLRPQFEAVHHETLALLATVTLPQHVNENETTRLYR ENKYRIPLNQSLSGNLFHFLEREADEGGATITYILQTHCSVETSARGPIEPYSFEAIY RRAQNLDLDEVDAQEGIPGVFTGVSNRDVLDTSAKLKLGPMPLEPELRDDVRAELEEE DQRHPPADGRPTLVEEFDKRIKREESADAPSRADLPLPPSRARDVVMEMQKVRENRDR FRIEGRTGGIGIPVSACMFTFHNTLGSVSCMDFSNDHQLVAVGTMDSYIRVWSLDGKP LPSSLENEKDLKVNNRKLIGHSGPVYGVSFSDSIANLKRNIYGENDEKKPDTSTKLLL SCSADGQVRLWSLEVWACLCIYKSHLGPVFRVLWSPHGHYFATAGWDKTVRVFSQDHA SAQRLMVGHDTSISALAWHPNGTYVFSASDEMDKTIRMWSVTTGNCVRVFTGHTHYIS ALQCAHNGTILASADAGGNIMLWDIDKGTRIKQCRGHGKGGIPSLSFSAESNVLVSGG LDGTVRVWDVELPADPNKANPLTGGTSQPAAQADGTVTGDTIAVGGSSDGRSITVGGQ AAPAASASTSGGGVGTGGGGGGGGKKKGKEVQITPDQISAFATKKTPVMKVQFTRMNL IVAGGCYDPER MYCTH_2301973 MDDSTPPPGPNGGGPIDIHQPFTPGERIQQLSETDQDIASLLVH LSAAMRALATPPGTTVSETGNNNNSSNNNSSTIATGDPDLESSEPAPATGNDPVSAFN AAQTAFFNTLDRVDKHLTRQILALEEAGIITLKNTAAGSGTAAGTAAAEGTPQGGEGA AGGPSGTQQQQPQQQSPEGGAGDAAKARVAGPRRLEPDGMGRYGNLDVGKLNMASSTV ERDMERELWRRAREELAKVVGDAGGGGGDPMET MYCTH_100435 MALAAPSRSDNLVKHAIHRRNHAEIPATSTRDAPASACTNGMPA SKQHPAGYPIDDYTLVTPDSKNWTSYAVAKDWYSDHFVSGPHAMSFSHESDPYGPFKC QYTCNAEPNCNAYFAWYENIETNNEHINCVLFDAVIPTSIFVEANGTIASGAYDRLCN HSS MYCTH_2301978 MINPFSFRPGPVTFWTTVTYLALLIPIIVINEETPPAPHGESPV KGTNLTQAWLDLATLTRAYHPYNSHYNDDLRRFLLERIRSILNENGAAWTIDGKGPEN PDAAVTVFDDLTSNCTFAMASGVVPDPKTPQIAAYFEGTNILVYIRGRSDGPGKWWDA VEPHDSRQNEKGLTLVNAHYDSVSTGYGATDDGMGVVTCLQLIQYFTRPENQPDRGIV VMLNNGEEDYLYGARALGQHPLNPYIHTFLNLEGAGAGGRAMLFRTTDREVTAAYAGS PNPFGTVIGSDAFGLGFIRSATDYSVLYDVYGQRGLDLAFFKPRARYHTNQDDARHAS RGSLWHMLSAAIHTTTRLSGDTGNTFVGPRPDGARGKVRNGSPSEGVWFDLFGKGFVL FDLKGMFAWSLTLLIATPLILILVSYLLHRADKYYLFSSKVKTGYEADDEPVSVGGWK GFFRFPFALVVAGSLTLGAAFLMRKVNPFIIYSSRYSVLAMMVSLFYFTFWVIMRGAS SVRPSALHRTYVQIWIFIIGWAALVAVTVAEDRLRIGAGYPSVFLGSAVFLSLFIALC EHFALPKKTAWAQKLRDEEEEREYHRGRSRNGLSPSQLPPPASTREPTSSRPGPSNHS TSVPGPTRDGDEDDADTEAPTERTPLVGGSAASDQPARTTFATTYRRSISALVSGAAR RYSDSGLPHPSPFENEQAWSGRLPSWAWILQFLLLGPFIIILVAQTALMLVDAVHQTG ADGSNLLLPYLIAFLSAVLLLLPLAPFIHRVTHHLPVFLMVVFVATLVYNLVAFPFSA GARYKVYFSQTLDLDHGTNRVCYDGVEEYVRSIIASLPSASGKAVDCGVSRRLGLASC CFDGSESRPRLTDFPVDGPFAKEQKEEGDEGYSGLVTVNASRTGPSAARLEVAANNTK ACFLEFEAPVSRLSVHGSSGWDARFGQLSDAGITQFRMWHRKWNEKWVVDVEWKDPAE DDGPIPTEQGADHEEALLLGDGELRKREKGLKGAVVCKWSDANVRGTIPALDEALQFV PAWVAVTKLSEGLVDGRKRFEV MYCTH_2301979 MQELGGSGAAPARIEAGPGSHGPSGGGGDARPWARGPTGGPAPW RIRNHDRDNEGGAPTGPSGGPAPWARDRDRDRDRDRDRGYRGFDGRDGGDSYYPGGHG SYGGAPTAPGTAPWNQPMGAPAAYPGYPGYGGYGAPGAPPGVGVPPPGLPPPPPPPPP GGAPPGLPGGLNALISQYANAVPPPPPPPSGDAPPPPPPPPPADLPPPPPGA MYCTH_2314770 MAWRNQGITGSNNIPLGKRRFGADPEDSSSKNGSASPNGLANGD RDAKRGRSPERSEHDGPRRRKKRNRWGEATENKAAGLMGLPTAIVADMTSEQLEAYTL HLRIEEITQKLKIDDVVPADGDRSPSPPPQYDNHGRRINTREYRYRKRLEDERHKLIE KAIKTIPNYHPPADYRRPTKTQEKVYVPVNDYPEINFSMIANPLTSSNHSKLPSPLVL CQALVSASCCDAVPRGLTLGL MYCTH_2301985 MADTTKTDIHLYTAQTPNGIKISMLLEELGLPYKVTAIDFSKNE QKEPWFLEINPNGRIPALTDVLPDGSPIRLFESGSIMQYLVERYDPEHKVSYPRGTRE YYEVNSWLFWQVAGLGPMQGQANHFSRYAPEKIQYGIDRYRNETRRLYGVLDKQLSQS TSGYIVGDRCTIADIACWGWVAAGYWAGIPVHEFPHLNAWVDKILSRPACEKGRHVPS PHKVLESRHKTEEELDAAAAQTRDWVQRGMQEDSKK MYCTH_2125728 MLPWRRVLVLAPFVANQSCDPFTSPSKPCTLGNYVVYAVEAQSA ADIIAAVKFAKQNNIRFVIRNTGHDYLGRSTGAGALSFREWKGKDYTGSAVKLGAGVQ GFQVLSAALEKKQVVVGGECPTVGIAGGYTQGGGHSALSTSFGRRQHARVRGRHGLAH AERRNLYWALSGAMVVYYSTSSFFQIAPLTAYNKTAAEVEAMLSPFAAKLTAMGVKYT LGYSQSATYYDHYDKYFGPLPVGNIEVGIAQYGGRLVPLSTFANDPAAMSAVTR MYCTH_2301992 MTRRIVRTIVQTSTATIFTLIVIFFLDRNFRVLPNAIHEYMPSH HAGTVITDVTLTKCSSLNPFSSCKLDAAAWHRIEKDLYLRRATFSSAYLHVQRKREEE LTPEDKVVVDVSVGRLNPDDVAAKSSTNNRRGRRTSAVEAAAAAAAAAPEAGEAGGEY SHGKIEGEWEQRPGGIWIKRSNRRGVSDSKDAVTGLDVLFGDDAVEARPGWEMTGTAM LLDAGSGIPEAHITIRRGAEQEPHKPVPRIMENGRFKIMQLADLHLSTGVGHCRDALP EDWNGGKCLADPRTLDFVEKILEEERPNLVVLSGDQVNGETAPDAQTAIFKYAQLLIK HKIPYASIFGNHDDEGSMSRAAQMELIEQLPYSLSKAGPADVDGVGNYYIEVLARGSS GHSAITVYLLDSHSYSPNERKFKGYDWIKQSQIDWFRNTARSLKRSHEEYTHHHMDVA FIHIPIPEYTLPNLTLVGEWKEPSTAPAYNSGFYDALVEEGISMVSCGHDHVNEYCGL SQTEDEKPALWMCYAGAAGFGGYAGYGGFHRKIRIFDFNMNEARITTWKRVEYGPDVD KRLEELILVDAGKVVAPMRQ MYCTH_2301994 MMASRRPGPQALGWSGIAGPRDPGIGAEASEEESKLKPGWMAKR AAGPSHQPSRTIPQPNLSSRLPQPSSHRRPSGGLPQQSLAAQPPRSRTSIGSTSGVAV RDAQGPSSSAPTSSRLPTPNLKPRNVLRRKRSGLSQDTSNNRVASQNDSTGTASSESN PRSQTPLDPLDLDRELAQSPMEIRTAQQVEIPMTKAHTVTIYPELDRYRDVKPPRSES PSSNQPSRLATNDLPPPTPLFSGTSSQPSTFSGSPSTRWSESPGPGPYSRDTTPTSIS SHSPGLVAPIRIPPSGTRARQADQALSRPPVTRRRAGSLSNETGPVSASDPRGLAVVK ELSTSSSSNSTVRAESGAKERKKTKGSSHRPPSPPPRKSSRVFQESRDELGGPPNDTL KAAQPEASSPPAVKSTSSSRPQPVQPGAHSASSIPAPPRRPSRDGTPDLHAHIGQSMP VVHSNLSSASLPERRQSGLLAPGAASRPPLSTSCSDAPNHSATRAQGKEPFPAPRPAV CHDVGSTYKQEPARPARTPSPSVSSTLKTRFPIFGRRTKTHPEPGQQQKKDKPTRKGP AAGTGHEGYGRLGSVRRRSNSVTNVARVIPGTMSSQESLASLSHDRFLRERMAPVIIA GGEVVENRNASSELTRVESNQSSAVRRPSIDSRNGSQVSLSSREAPYKTLAPSPFPRN VAPSPSLGGRRPSESSDSEAVAMKPTIALRRSLQRLKSGEEEAPRLPRPIVTQPHVAS PSMASLDASIMSDDSMFDPPAGPAVTAAESPTTTITSGPNKLRRRARSPRKWNLFGRS RGQKPAEKKSERTATVPATVQVVPSKSVAFYAIMDPSEQDDSDPVDLEEVLREARGIA ITTPEVPDVEHTGSRRPSASEQVAPNVATLQQPEAQPPAASERAFQVPTTSPVPSKSR PEPAPVQQPALQAQSRPSRLHRVGRIPKVVNARGNQTSPKSFSRPFNRLGAQRPPVTL EPKNGESVAKGPSPPEPSTLEHSRDNPTSANESNFSALIHGIPKLVPPASDQPGQEFL AFSPRKDSQCATTTSSSSSGRLMNYSDATAIVPSPNAPLAEDEIWDEYNDLLGEDTIR LSTFQAPSWPKPLKLDGSAGKKRIEPSLESPTLSPPALPSLVQTLRSGMEHPQSLSIN GSEIALEVKRMLDYEPTPKNSMVAADTPSSQDNEAGRSNPMQPRDEAVFTKRDSCSSS RPTRRSNASSSSTQCSEDNSPLSQVNLRVGSMTVSKWLTFGHVLFSPVRDELVSDVGS LKRPSILVIDGLGNDDWSFYAAETYPAATFFNLSPRAPLPAEHRNESSIPLSPPNHHQ VQYLSHTAKFPFGAQSFTAVVFRFPAAAPESHYRNIISEARRVLKPGGYIELSILDAD LNNMGNRCRRTIRRLKERIHASAPDISLASTSDLILRLIGRRGFVDIKTCRVGVPVAS TIARSPVSEASLKRRDSNSVAAAAPERTRTKTKPKKDERSLPEMINDDSPVADESIAQ SVAKVGRWWYSRCYESAVAPGIGPSSRGSIWRDKALLAECEEWGTSLKLMVCHARVPD GRARVASI MYCTH_2109230 MQVFLCRDLGPQAACPSPSSRTGVIHPVCKCGQSILRSTPVDLV SIGGPPREQSSTPSARTLRGLADASHVWATFKLAAAPGQTSNHPSANEWQGKPLYRGG STRVHDHTLLVDSGHSFNPSPSPRKMEEIISTFKYVPRTPALGDALPIDQPDRLSSSF SSVPFSLDLVDPRIIGVHPVQGSKSKCTPLLRMGVYPRHRPTCTAQSLPLAPQKPGTG VAMDIPSWAAFSVPNKIPALGVEGLLPNDTSKCAF MYCTH_2301997 MADYNAMKVPELKKLLVERGLPHTGNKADLIARLTENDKQKAAE SAADSKQPPAEDEIDYEDDDLPSTKKDAAAEKPAAAVPTATETKSDAAPAPAPTKTSE TTAAEGGDATTTTTTAKPTQDGDKPAAASAGGAAAAAAPAQGEGAGKDESSKPVFSQN LPPTDAKSEAEKRAARAARFGTVAADNQQLSEEAKKAARAARFGLPSDAVSALDSALP DRPRKRGAAAKEDDYERGGKRANRGGGGGGGGSGGGGGGRNHHRRGGRGVGGRRQGGG GGGGGKGGGAQKGGNKAPAPVAVDPVEKAKMEARAKRFAATTATTTTTAASS MYCTH_2301998 MSPTTHDETRPANPQTPRRTATAKHANATTPTNKRASAPAAATP KSAPAAPGNGSSHKKKPEPSLLNDFLLGRQSPARVAAQREAIKQRRKSMAADAANVRE ELRREMRAAAVRRIQQPGGVRERVKAWQKASAAAMMQEGGGVPHAEDVASEPTEVAAH LPPESVTEEDRLRIRMRQKPKKKKAKPDQSEDKENRDGGDGDETEKEKPPAGGGTSSK PPAVRSAPKKRIVSDDNWMKGKKGRSPPRNGPTGTKPQAVPAPGLPKDFLQRTAQNPS VQSKIRDWVQRVEVPEPPPAKVKHYRHRKSGATVTVEEDAPSVVASDPGTRASPKPPP ADDGIRVKPIKPRKPKPDTDDGIRVTPVRKTEKEPLDDGIRVQPVETKIPDDGIRVRP IDSPLPDDGTKDRSNVQAPVDEPPVRSSSPRPPSERSVRSASPSRARSPDSHDVIEVE KGAQTAPETPTKRKVSKRHSKRKSHGHSPNPVVRTVEPPESRSLESDTTEHQIPPHVG SDDESEIAPPTVLGNKSLAEIPFGYSAFSVLDLPLGADARNSSTKRPKAQRNPSFKAV PKVFKKVVTGAKDIIQEMAEPPKPVVTNKPQSIESWLNDTVDPFVEAAATSPTSQEPA SKAPAEAREPLSRSSKPAPSPAPAPATDPKPDSPESPPSKRPSENRPRETRETRETRE TRETRETRETRGTRETADTAAAGSSSSQSSSDAQQEESDSTPKKAKTPPTPSTGLKRR RATRKAASPAETGAKKPFRELLREAFRGESGGHKLPPTIYPSYEADNDPESDYYDARK DESTNFRKSPPSEYSSTYYSSSSAYDSTISSDLSSQGPQRRRPPTNGAHELSTIVSAE SSTVSSEAHSDVSKTTITQTTAFTKSTDISRQKSQKPGLKRRLTKHSDLVSVLSLPDN GQSVPPSRSKSVNSSRSLHRRPSRANKSRADELLDEFADDEHLYGRELKALVDGVIPV LLRDIMNGDARDTMPDATAKSVVSMGMALEKLRDFHRRVPLAEISELLAFMEQVSPAY DHYLDVWRLGFQGLIINLAPKHGKFDDDDSLLNALPRNEDGDVIGEDGERVDVAFLLK RPLIRVKRMVKFLRAAVAVTESPETERLLAVFTGLQEKARKRHREETARMMDEDANNT DTSRCRDLRNLLPLEGVMINPARQVAALDVFSLDLNHSSGQRLGCQVELIFRDRPSVP SDKGDILIRELGDGTRSWLLFPPVPRQYISGRRGDDDESLIAMIRGTHNGIEWFELLK LSTNSEEQIDYWLRVLGSHPLPPATRTRPSSMVLGSASPKSAAGDVPVGERRRSTSTR GSSETERPKTPSEHHTRPSDDSRSPPPRALPRQDKVSDRSEEGKRRSFSWEQQPDEVP KIVRPPPNSTPFREDGAPPPPVHRTLGPKSPGMLAPPVDSGPHGRLKRRMSSPLKHEY HPSDISSDSSSAVSDDESESSQSSSDELDEDDVPDTIPGYSTKEPAAAPEESDASDNS ITPSHSASQAQPGDDAGAEKPVQKFVATVSYWSSRKGIWKDINNGQPASIIVHPGCME VHHIAQEHSDRQAYSLQSSGTSEVDNRDKDAGTIVPLIALVLTPVVMIRRSTALDLEV RSPASPGSRLKIQASMFRFRMSSQNQAKDLYEAVHYSRMNNARYIQLSEEARVRSFGQ MQSSFGDGSADGDSSSRRRGWLGRKNSYRASTRAPSVSQGSVSTTISAASFLRRLMGG GNNDSYDIDESTLDRQRPSSAPIPGSGGGGGSLYTSSSASGSGSGSITPPRSGSVSLS NSGSHSRWSTGFAKPFSPDQPLEIRCHLNVQNNRWLDKGDCILHISRPPPGVRQELPL YHGLEKRIIVTHATRKKADRPLILLDAVLGSKCFTMLGNKGIMCSVWENLRDEEGNVG VAPRSGAIAGRVTKWCFQCKSGQQAAWIMQLLTTEVPGLMMG MYCTH_2302000 MPSSRSSAQHPRRDLWMSSQMLLRPNIERDDGEIEGLSLASMGE DPLIYFLTPTPSSYVGDDDVDLDMDFDAGIEDAKHPPPIIRSVSPSSLGGLSRPPPRP PTPPRSPSTPDLDYSLSATPDEHEQYGYMEDSWPPPKRGHSLSLPRRLKDKFKSQPRQ DVAGGGSSSPDSLAPPSLYSTGRGRSASRAGSRPAGAGAVASPSPSSNSYSSCSGAAN SRPRTTPGRVSPHAWCEPSPDVWAIEEEPEEGGMHGEAGGGGGGGGSFAEEEEERGGA GSGSGVSTTMAGKARALDIPAGKPKKRVRFVLPATDDYRNMY MYCTH_2302001 MIIAHPASLLVRLITFNVRYATEHPSPNEEPWSVRCPRLCAQLK FIVSGHDSAFICLQEVLHSQLTDIQARLGSSWGHIGRGREDGNEAGEYSPIFFRADHW ECERSSTYWLSPTPEVPSKGWDAALERIVTMGYFRHKATGAAVVVMSTHLDHRGEVAR EESARLLLEFARTWPTERAPGASPPVLLGGDFNSTPTDRAYKVLTEPSSGMRDISGLV PEEAMYGNREITFTSFGDANEEPKRIDFLFASDAGNLGFVTFAILPNRFDDGIYLSDH RPVVSDIRIPAQVAAACQ MYCTH_2302002 MPGGPRTLVASVADVDDSGNEIEGTGRYASSVAPSSPAKEQPNT GRARREKARRASSPITASAVTDSDSTLQPRGDSAKKPSKDREKSASSKKALMAASRPA GKHGKTSRRASEAAYYGVDPSLSPAVSRPAPQSRPSSFYGPSRPPPSNARFYASQTPG PSLPAPFPPPSWMGPGPPPPPGPGPVPSHFGPPPSSAPLVMHHPPPQTGPDLFARPLE LRFGTSRPQSAMSFRQPPALEYDDDYEDPVERSLTRRPSTTRRASKHEDDRKAMPPPR RPASARPTALAFRPPPATPARRRTGYDDPETDVEDPLFNDISPPGPGSYDHTRHFPPQ RGFGADFGYDVPDYQTEVAGRRRRNTYYGGHSGSSGSLYEDKIRLATQYQDAVGGGAQ VPLTAETLRKAGRSGTSSRSTRSSGSHDESEYRQSATTRTTRSTAANDEDVTIKVKGS TVLKFGNTEMQCQDGAEINITSRSGNAEIRATGSDRSTYTDREDDRRTRVDIPKSRAR ATSRAKSRPRSFSHNPSKYDLGPKYDIGPPRGYEYGSRYDDFDADYDGYTTYTPPLPP PPPPSYPEILPPSYPEFPSSYSSRHGDAYYGGPPY MYCTH_2302003 MAPTILNNLLGRSDVASLGPLAARSVLLGRDASEEQHDPESGIL HPQEINNNAVFAVFGLLGAAFVIGGIWFFFWAKNGGFYFKKGDWEDYKSTVLRRKVGP NGTIYSDATPSTVLGGGSVYKDVDDGTTNAGEDLTTVVSATTGITGITGGVSDISGRE KRRKKREQKEREKERRREEKAREKEERRKSRRRVNADGIIIDEEAEKEAQEQLRSYRH EKPARVGGINREADGSTWDGSTNPSWSTMSPSHADGTDTGSTVTSELMSNRERTPTTT PTKKDANERAGRIRKVYSTADKTAMRENERLRAEARRLQEKGRAATSKPKRDFSFIRG AEETMALRRIDEAPAESVVSGSYLLESNVTADDNNNNNNNEKKDDKNNNDYADREKRA RSRSRRRSAPREVPGSWAESEVSSAPSESDVGTKVYTHPHHIPTGSEVSSPSSYAEEK RKKRGGAGARRNRDGSA MYCTH_2302006 MANLSPGLNGIVIGLLSSFGSALLILSIFLVFYFFRYTTSGRIF LDRIGRPGEYDDEQAFAREEAEALETMDDMERTEYLRAKAFIASNPPESLQTDISLSQ YLAIQEKGVSAWEFEPELEIANCFVEARTEIEFFDSECTVMSNLPVPKQNDVYYWEAK IYEKPETTLLSIGMATKPYPLFRLPGYHRCSVGYFSNGTRRFNQPFNATPYGPQIVQG DVIGVGYRPRTGTIFFTRNGRRLDDVVHGLKSQNFFPSIGANGPCVVHVNFGQAGFNF IEANVKKWGLAPMTGSLAPPPPYGAEADTILLARGTNDGFRSSPARGHQYSRSAQLYR QGANASDSGHARSRSGNFRMLSPTSPGPPRSPTDISLAHLVPTDDGGEPSSSATARTQ AGQAHGHQPTAIGLSWNEDDSHPPPEYTSPEHSDAEETNSRRTSSDSENAPLIRLTRS RGASLPTAGRSSHGGSTQPPGRGPPSPPIPTYQDAIRQGAGRYRSDSARSTRSAR MYCTH_2302011 MAGPGGGPPRRSHTKSRKGCDTCKRRHIRCDENFPQCRNCTKHK IRCPYNDVPVPEDRAGSPDKPDLMWTPEIEATIDQWRRTGMFPFPSLGIYPAPAPEYL TLEELRLIHHVASISHQMQELDANDFTLWTRQIPTIIRIGATHRYVLHALLAFSAMHL AYLTGCPAVGNMAYEHRGAALKGLQEAISTFSRETSDAILAASLVLSWQATDWRSWTQ LMQGTSSVIEAMDPWKHESQFGDFIAESSTFPTAPPSPTPDHQPSQPREEDLEAFQRV LQQLQKLESFLKKNREDTKPVAQLITFMKGSRKVTSSLSVAQQFDRLKPLRTWLFWLP VMYLQRNGASPSALVVIAHYYTAALLMERLFPEIGAAYFGSMTIGPVEEIARRLYAIR NSGHLEGDLQTPLNLMEFPIETVNEFRSRMGWVQPVRTPSFPQFDQPNFYMNEGSPLM LSANLSSEYLPYGDNVAFSYSTEDLSVIPESGATNAINPAQLSSPYVNPNYLNIPSPS YGGYSPASSTYGDFGDASPVVYSDAEDNGAYGVGYAATSPMLGGANTYGVGPSHLSTS PDYPPPEDLLWLPPHHRSLVPSPFLSPEQPPLPVPESPASHPAPLAGEETAFPVNVVS TLPSTAPDQGKGKAV MYCTH_2109239 MSTYGYSSVAERDSTGLAPRTLGLQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQHQKKKK LGPLRRCQFRRFEPDGQKLYFDRRQRWQRRVLDESSSQFLLSADGHETQTRTTHTHAH LGRHHAGMMSTLPAVGPFGVPIYLASKSV MYCTH_2302024 MDWHPNQESLRTLAACLKDSLSGFNKAAQKQAEIMLSQAKASPD INNYLAYIFSSAQPPAGLALSPNDWHLVRSAAAIMLKNNVKSDYKKIPETSLALIKLA VPIGIQDSNSQIRNYAGNIATEMVRRGGLYSWPELLQELLKLLSNETGMVSKEAQEGA MAAMAKICEDNTKLLEREHNGQRPLNILLPKFIQATKSPLPRVRAHALTAINVFTPRK SQAMLNSIDDLLQHLFFLAEDPVTDVRRQVCRAFVRLVETRPDKLLPHLSGLVEYIIS QQKSDDEDLACEAAEFWLSVGEHDDLWQSLEPYIQKIIPVLLDCMVYSPEDIAILGGE SDDEDEEDREEDLRPQFAKKNLKRGAKSDESAEANQGQNANAYEKLASMDDDLEEGEI DELDEDGGDENPDERWTLRKCSAAALDVFATDFGGPVFTCILPYLQTNLKHQDWPHRE AAVLALGAVAEGCMDVVVPHLPELVPYLITLLDDPEPVVRTITCWTLGRYSSWAANLV DPAQKQAYFVPMMDGILRKMVDKNKKVQEAGASAMANLEEKAGKNIEPFCGPIIQQFV LCFSKYKDKNRWVLYDCVQTLAEHIGPVLARPELCNQLMPALIDRWQKVADQSREMFP LLECLSYVAIALGDAFTPYAEPIFGRCVNIIHQNLEQTLAANNNPEFDQPDKDFLVTS LDMLSAIIQALDNTKAVKLVQNSQPAFFELLSLCMEDPADEVQQSAYALLGDCAKFVF EQLKPFLPSIIPILIKRLDLENVLDEEIDGSFSAINNACWSAGEIAMEYRKELAPFVP ELLQRCVEIISNPAVPPGVNENAAIALGRLGLYNHDILAPHLAKFSEEFLSSMEVIEP SDEKATAFKGFAMVVAQNPQAMEKDLLRFFTAIARYQDLYLQNPAKQELHEVFQNVLN IYRQLIPQFDEFVAQLQPQDQQALKATYAL MYCTH_2302025 MDAPVQLSFPLPRSIDTRIYLHLTVKSKAIVLFLTTASLEDAAS PSPLGSFVYALPDKYNPSQPLATALCTVEPTIEFTTRLAKLLARKTQRPVYVGNSISL ASTGLGGTVEEELDAFKRVVEVTLAQLRPVIEAQAAQTASDGVRPGQ MYCTH_2302026 MEDDTQWSGIENGINDPEELQVIYRTLDSYQQYAKVAHFQCTHL RRQAFYALPQAHWQRLAAPPFNYLDTLDKIDDAIESNAELARIIFKKGLEMFHVGVPS ETGDLKLPPQWNGSAKPNDIDKARSTIRQFYRDWSAEGAAERAASFGPVMRAIDAEQA ARGPDQPPLKVLVPGAGLGRLVFELCLRGCETEGNEISYHQLLASSYILNCCERPGKH TIFPWIHTFSNHQSRANHLRSYSIPDVHCATELTEAEKVRRVGSMSMSAADFLCLYAE KDRAAMYDVVASVFFLDTAPNLIRYLETIFHCLKPGGILVNIGPLLWHFEGRVWDRDE HDDAEGDHDTSGIADPGNFELTDEEVMWLLADVGFEIVSRETGIEAPYILDRESMLQT TYRASSWVARKPATAAAVQG MYCTH_2302030 MAEAQIHDFPSLFSLEGKVAVVTGGSRGLGLTAASALLQAGAQT VFISSRKAAACEAACAALNALPNLRPGARAVSVPADGSTVEGVRQLLAQVSARTDHVD VLLANAGATWGEAFDRHPDAAFAKVMDLNVRGVFNLVREFAPLLQKRASVEDPSRVVI TASVAGLGIGTLGKQGTYGYSASKAAVIHLGRNLAVELGPRGITVNSICPGFFPTKMS NGLLKMSGGAEALAKANPMRRLGRPEDIAGVIVYLTSRAGAHVNGAAIEIDGGAMWQR GELNVKL MYCTH_2302032 MADQHPANSSSESQEDAPLAVNLHIVSPSAGVGNLRFPGIPATT TIQQLRERIRESLSSRPADDHQRLIHRGRLLARDTDTLQDIFGEEAIRTSDQQTIHLV LRDTGDAPPPSNDAAPRHPPHALRNPPNVTVARPGSAPLGAPQVTAHFPQFSQLHQRH VQQHQELLQRMNQLQQSEANYRQIIAYQQPPRAAMTTLNPQDANNNNNSSSSSSSNNN TNTNNNNNHNNNNNQPANGADAAGGRNSPVGQAFRTVTREGIGPDGQRYSFRITLNEV ITPTGSAPRAQAGSGPTADPVGPRPLSAAEVRNIIHGADANRAAQAMASAMQRNAAGD HPANMAADLAHFNFNSPIQPIQPGVTTPIFPGLSRNASRAGTPDLSARSASHGSGAGP SNPQAPAQPQHGRPEVYILSSPSGPRGLLINNGTEVYTTPAPRPVPLAPNFYRSSVPT TFFSEVLGTEDHLQQGSGQATIAVADQPPIHLQATPGQPYQPPLPPGQQPLPQFPAQH QQQQQQQQQQQQQQPLPQAGHEHQPAIRRRPVAGQAVPPAAAEAQPAPQINIHGNPGV APLVAAAWPHIWLVIRLVAFAWWFSYTDPSWERWLSLVLAFIVVFAINTGILNGVINN AFHPVREQLEGMIPFADPNQPQQQQQQQQQQPPPPEGTGNNQGGQNGAEPDPAQTAAR LVAQRRIQNGNWLRGRIRRIERAGILFLASFAPGVAERHIQQLEERERAERRAAEARA AAAAAAASTTTTTAAATQEASEQATASQTQQGQEERQNNDDHGEALRQEQSQGVGDQQ RPPSVEV MYCTH_2302034 MSTLPLKAMSRLWGRFNELTLPTFLRVPGFRLYSFIFGVNLDEI EETDLRKFPNLAAFFYRTLKPGARPLDPNPNALLSPADGRLLQFGQIQGGDIEQVKGM TYTIDALLGQNSPTPSISGQTPLDKPSKPSKHELEGDEELIQRDEEFARVNGISYTLP DLFSGSEKKHKSSLDHPKDESVTPSPTSVSEVRAELALGEKSWLDYLTPGSRHVLYYA VIYLAPGDYHRFHSPTNWVVERRRHFAGELYSVSPYLQRTMPGLFTLNERVVLLGRWR WGFFSYVPVGATNVGSIKVNFDRELRTNSLTTDTEADRAAEEAASRGEPYLGYAEATY EAASPVLRGYALRRGEEMGGFQLGSTIVLVFEAPAAEHDENGRHIGGWQWAVEKGQRV KVGQALGYVDA MYCTH_106768 MGSVPGNADGFLSLVKARRTYYPLNKTLPITPERVQTIVSEALQ HVPSSFNSQSNRAVVLFGAEHDKLWDLTADVLKGVVPAEQWESTAQKMAMFKAAAGTA LFFEDQVPVEEMQAKFALYADRFPVWAGHSSAMLQFAVWTALEAEGLGANLQHYNPLI DAKVAEEWKLPATWKLTAQLVFGGKEVPDAGEKTFLPLEQKFKVFGA MYCTH_110956 MATQIDRLLAEHSAASVPEEPHPGPSAAPSLTYSDSSDEHELEE PRQPEPRRRRASTRLIAQSPADVQRITGETTTQLIRRCCGGGCCLGLGRPKQDDVEIE QIEFPDNDAYRSLCLKIDQIPATLNSVCDLPEQTVFLQPLRRPSNVPSPTDSGVSLGA DSPTAPAGDIEKRLHNLGLEDLDTRIQPPSFVQPHPPHHVFPARIHNARELTRPGAEK RTFHFDLDVTNYPEGEGVDFKVGGAIGVSAPNEASLVEEILDLLLVPRFLRDKPVLLR TTKGRWPTVWGDDKARELVTTRRDLLTWCSDVQSYPPTKQILRVLAEHATDANERKIL LFLCSAEGQGVFCDFRTGPHITLVQLLHAFPSAKPPLDQLLSVLPPLMPRFYSLSNDP HESFLTRDGEQHRLIEIAVTVHETADWRTGKRTGVGSGFFQRQALRFLDAQARGERDP EFYIPMFKGLMANPLAKQFVTDGPMLLIGAGVGIAPFRGFVQRRLKTASCANKVWVLQ GVRDSLVDELYSGEWGVHEDEVKKVVQSRRGEGRYVQEEVKAQADLVWYIINSVDGRV FVCGSSKGMGEGVEKALVEVAMIKGNLEREEAQTFWNLKKEAGQYIAETW MYCTH_2302040 MDPGWSEWSEWVWDDGQKRWWKARQNIQGDVEYDFFTPHGNVDE LVSSFKDMNFGAHQDTHYPQGSAYAYGTLNGTEGAAVAETVYDQAPMPTHSASRAKGK AKPTDPHGKPKGKDREKGAGKNNRPRPSRDAGNENAENARPNTAPGPSTHDEAYSTTS PPSSGAEPLFGQPETTEEAASSSPGQYVRGRHDSGFESETVSRSAATADLTAEEEDDY NHMSMARSPNEPDNSNEQLFHTAMSEVNPYLTGDVDSFYTRGGLPSAWAAQINSHDGS VRDNDRDPSNRPTQQPAGTTVPQGYQYQDSAVDGDGNYEEREPNIHGGFVVERSSRFQ PGENGMPFYQGYRRFIVVANDEGHCTCVPILTYERQGCLKRGVKPSKHGIVYQTGTKP RMLPGEPQLGFSPVRVRLYERTEKLVKESRVNYAKLTTIEHNFRVFFIGSVEQDDFQN IVIPAVDTCWERKRRR MYCTH_2302042 MAPIIVSEPLLPSYGASAHTSGSDYDCIQGLTPTRQSTRPTRPS VTHVERFKIDSQHATQSSLPDAPLDGPGGEAGRFLSPEGSSCRRINTGDSTILSKDHS VATSRNESGTDSDDDGCYHSPRVASKSPPPSPPPPPPPPARHPPAAHIGGPFPIQGLR RQDSGSSESESSASDEATRSLNSGGGRPSELRRMIEAKRRSIRLLRRRMADKRLEMRE LRRRMDDIDDALMQIIRPRLASKAAVVPADVLMARFKEMQKIRDVYYTAEDAYEDMEF DLTTEEAALERLEDQPPRSPPNGLDAVPKPPATVPPPPPTMKTSGIVVFNELGDSSDD DANSSGPPTPVTLFGISGQLHDDVHPLYEELLEAAGERQLAKEHVEDLEMHRDKILYD LEMQLHRKRVRENRGNQISEEDLLFLRSSLAQVPSDAAEFEHRFGISITGDELEFLRS YQVVHDRALLELDSATGTLDRLRSLCQKKGVMRKHPSYHEEVAIYSSFPDWSPSPQDG NMAIEPLPTPPPNPPHLTRTNATSAASLSSYTPTATTTTAPNAAAPPSLAHPRFPVLL SNPSHVLALLSPLQALERALRLPKDDPSSALRRAECMKELGISTLMTKAENKADYINQ WLIHRLRTSPLEAELLLAVCEGTFRVVNLRRWQEEVLYYWRLDEAAISRAGWASSGAE QEEEGKGGWPPAAARGRRRSKTSWKDQQQQHPLVGGAVGPPPLPMNEDNAVCSVAANR EGNSVIVEEGKVRSDGGLGMLGSPGLQGGRTRSVKSFG MYCTH_2143210 MPPLNDDTGRSRVSFRRASILNFLNTHKNSKNDSENQEDGNGHD QPESGHQSKSQAARGWHHHPHHLRHHSAAPPLSSNPVHSGPPQLQLPSWSSNNLQEDG IPTDATSNPAESRSSARDVKLDEPTWRKLFANDENRRAAARRKKEEEENHVRERRANE AARIIYASCMAGHRVQRRRARARRLVDLAGTARDGTAVQAERSDAVRRLGRFAENADP FPDLYWRAWGHAIPPALEMDPFGEMWEDGVEEKGEQAGRDGFDGTSPPHGGRKRGSVV DGATVDVHAVGITEQEEVLPNWKAPRATTRSTTDRVVSGGDDQGQGNEQSADSCALPT DKAQGVERERGEVPREHKQAQEPEESEAETAISITEPKQSELPESSTSGQRDPNDEVD TPTRHKGKGKLIEPSFVAANRSEATLHGVQSTRTGSNSEPDGEEPRQSDSLKMRRGDN RRRTLTFGAAGTSGAESSSGIEPTSATEPTLGTMPSSGPGLTSTRPTTSNTVSSDASF DISVAAPTARAAGLPPRPPNNSRDSEIPKSDMSTSSSEIYLSGNEGSQMWRRLQSQQA KGSSVSASIGNESRFSSRLKPGQSGSKGTLPLVGPEPQRPMGVASAGRESEDTADGGT RERGSRRATLRATLRRLFRPSRVDKQRPI MYCTH_2302045 MRLAWYAGASTALAAAVVASAFYQRANFYSAMVHLAQSSLSLMV LVNLIFVVYGSFMYGLQRLCFGPLRPTEIEQLYEKAWFAVTETCLAMTIFRDELGAFF LVMFTALVTGKVWGWIGDGRVDVLEQQPPANPRLFHARLGLSLFISVAYDIWLLTYSV NTVVEEARPSMMLMFLFEFAVLAVNSLHTVARYIISLAEQHVVKTQTRQRLEDRRRRV REQRAEILRRREAEGATGDNEELPDENDIDEMDIEVPGWEAKGQWVLSLDLLADFVKF GIYTTFFCVLMSFYGLPIHIMRDWFMTTRSFLKRLHALIRYRQALKHMDQYPDATAEE LGREDTCIICREEMRPWDRNDPSQVERSRAKKLPCGHILHFGCLKSWLERQQVCPTCR RPVARDGPQPAANAPAGVLGLFPAGQNRPPQPPANGQGAPGRDQPPQGAGAGAADRQQ NNPVANPNPNIRLFNLGPLRLGFAQGGVDDIREMAQRMRLPPGAVNLPVPTPTVPAAQ ENNASAPSLEQIRGQLMALGQQVRQDIINAHNAAHEVHVLNLLMNEINRLRQLQQQHQ NQQQGAQFAAPGIVLSGQASLPQQQQQQQQQQQHGVTHPSTHLPVQASLPIPRSPNLV AERLPPGVTRHVGTGYGAAIPAGSPDLPEGVVIPPGWSLLPLQRLDGDVLSSEQTHNA QAHAQDILRSVFGNHPRSRGTSPAPPSNLSTQLGRSGAQAARNVPESSRRPGSQGDTA ARRQPPVTAPVPLAPNWGGSAQLFGGDRTTGPVLGYQVEQRPAPHEEPGESSGGGPAA STSTTVTGGASGESSSEQQTGGTEVNGSTSHRGPRAATVEEAEDEDEQ MYCTH_47732 MSSLSAIITPSLLASIRDHPDLPRHTWYLIAATTLCQLNRPDEV STIYQHALRHGPGGIAPSHDEKLRISRRIREALIKAAAVGGVPRTINALLELKKVTPD ELLDEPESFSPTGRRADVYDTPAPLIMQRGQSFFETVYGKVTRKVMNQMDHSGTEDLG LVARLMYGYVLSNTSVLSAAETSFVMIAGLIPLDVNPQLKGHLKGALNGGATVEQVKA VRNVVVQICMASGMKVLDDSSPAGHGWRSEVADL MYCTH_2302048 MALTARVSLIGPDTSDPEDIFGASLGVIFPDDITNSHGDNDHGL LYRSPHLPRPIQLALADVNAEEDRRLFSHYLWNASLLLAELIEAGTLGLEIPWKSELA APLAGFDVSGLRTIELGAGTALPSIMAGLLGAKRVVVTDYPSPPVLKTLRDNVAASIK EASAPAGRFAVEEVLVEGHKWGDLDTPLAEANKYAFDRVIAADCLWMPWQHDNLRRSI AWFLADGDGARAWVVAGFHTGRDKVGAFFESAALAEVGLEVEYLWERDCDGQDQDWVV DRGYDHARRWKRWLAVGVLKRIRKPAEEGEQRP MYCTH_2302052 MDLGLSPEPYSGAGYSRTAPGFPTTMAYENGAVYAEAPYAYASG RASPGMYADDGDVVRGPASDMSMSAPSSNMGSPLSSHGQAAPIPDWASAPHGLGLTPG IVDQGDYLPGGEYSFAPGGIESFNQPSFDFPAAKAPGFVDPSLIHPDVRPMAMPYEPS YPAPPSSGYPASPSLSVSASPQLRPGSSSPFMHNGNYQPYSPFQPPVDPQRRGSLVSY QSHFSGEQPFGGDESRDKQRCPHQDCGKTFKDLKAHMLTHQNERPEKCPITTCEYHVK GFARKYDKNRHTLTHYKGTMVCGFCPGSGSAAEKSFNRADVFKRHLTAVHGVEQTPPN GRKKTAGGSSSGNDAKLTGYAPDATGKCSTCSQTFSNAQDFYEHLDDCVLRIVQQEDP AEAINAQRLAEVENDQEVHETLEKNHLPTTTTTTTPTTTNTTITTTMTSTASDAADED DENMEDDDEDDELKGGRRGAAPKGTLCSPRKAKVGGGNPPNGVQKSRGMTHSRGGVPL HTRARGRKNRRDYPSSWGFDKGQMTMKKRVLAVFDGPRRLAKDDMMLSTEQEVRIKLQ DGKSYVTDLDLQTLKRAEGFLNATEEEKGPWISDDPTEEQFKQMLEFSAAAAASSSEA PPAAAAQ MYCTH_2302055 MLLLMLLLMLLLMLLLMLRVGANLSNSCTVPGTLCLYSAIKCRV QEIAIVSQGDMER MYCTH_110951 MRERKGDPGRGEGGGFMIGPLPTRARPDVSVSRARQSTLQFRTP WWSQQQQQQQKQPPPPSPSQPILLGRREINPPANSPAAVDSGSPPSRLGFGPDPLSLL VLPPYSSVRPSPSSEEEHG MYCTH_2314785 MGIDRGGRGGGRGGGFRGGGDRGGRGGARGGGRGGRGGPARGGP ARGGRGGRGGRGGARGGARAKGAKGGKKVIVEPHRHKGVFVARGGKEDLLATANLVPG ESVYGEKRISVESAGKGDDAVATKTEYRIWNPFRSKLAAGILGGLETIYMKPGSKVLY LGAASGTSVSHVADIVGPTGAVYAVEFSHRSGRDLINMATRRTNVIPIVEDARKPMAY RMLLPMVDVIFADVAQPDQARIVGINARLFLKQGGGLLISIKASCIDSTAPPEQVFAS EVQKLREDKFFPKEQLTLEPYERDHAMVSCVYLQKEFEAN MYCTH_2302064 MVRYAATEIAPAKSARARGSYLRVSFKNTRETAQAINGWKLQRA QKFLENVIEKKEAVPMRRYAGSTGRTAQGKQFGVTRARWPVKSAQFLLGLLKNAEANA DAKGLDTGNLIVKHIQVNQAPKQRRRTYRAHGRINPYMSSPCHIELILTEADEAVQKA EAVVRDESHLTSRQRGIRIRRALTAA MYCTH_2302065 MSGGWNTIESDAGVFTYLLDNLGVKDVQFEELLSLNPDALAQLY PVYGVIFLFKFPTDAPYRAGDKPLDGTFDQDAAERLFFAAQTIQNACGTQALLSVLLN KTPDNTASPSGSDDDDDETIDIGPELTSFREFTMALPPEYRGEALSNSELIRDVHNSF ARSSPFVDETQRGPDEAGEDAFHFIAYTPIGGTLYELDGLQPAPISHGPCTRDEFPRK VMDVLQRRIARYDATEIRFNLLAMVRDLRIRAREIGDFELLAREERKRRDWQFENALR RHNFVGFAGEVLKGVVAAKLKEGDGAYERWVDQGRQKMQRRIEERKKSGAGGGGSGED VEMAG MYCTH_100401 MFRRLFPGLRRISPQLRTDEIEMNPVHPTQSRATQEPEVNRSTW FQKLKDGIADITRRVDDCPKEIAGACFSTEIRAAFILAESGYGCPCHKPLDSQGNCAN KVEWTECYNDVKLDLITATTAVSGLSSILFGFFTNLPVALGPGMGLNAYFTYQVVGVN GSGLVNYRVALTAVFMEGWIFMFLALTGLRHWLVKIIPGTIKIASGVGIGLFLTLIGM SYTSGLGIVTGGISTPLTIGGCPTENLNEAGECASGIMTNPKMWVGIICGGLLTTILM AFRVKGAIIMGIALVSFLSWPRNTPLTYFPNNHDGDQRFNYFSKVVSFHPIHHTLAQQ QWNLAGESGSRFAIALFTFLYVDIIDCTATLYSMARFCSRARRDKADFPRSTVAFCVD AFCISMGALLGLSPVTAFIESSAGIAEGGRTGLTAIFTGFCFLISLFFAPLFASIPTW ATGSTLILVGCMMIRQVTKINWGYVGDAIPSFITMAFIPFTFSCAYGLIAGLFAYVVI NGIISIVVKVSRQTIVPENYELKEYWSWRFPGEKPWIAGAVLRCINWIKRREDRRASF ALDSRDESAHAEQYRSDTGSKAAADVREMPSITTPEPFRKAY MYCTH_100400 MFEVDWKDYDCERVGERRARKEIEREQKRKEGAKSGHQTDSTTS SRALGSKDQRHRNFFGSIGRSKTVIPSRSHKRESVTPEQQATKANGQLRGGSKRFSGS SMASSILRKGNAPARSVNNKLQNVEPSAILKGASNIAEPTSPDTPDRWMTQLTIPTPE SRDDGSMAEAAGAIEVVQLLDRKGATVNEVAGAAYRHDKASYSSSYPETGVATNIAAT PRTPKTPITPSLLRPKAHAPSPLENNNSASRLISNWFTALYAPNRPQTEPITNETNRR ETVLSPPNVAHQMPQTPTRRSAKRTGVYRAPNPSPIRFSAENPNSWRAFGEWDRVGSS TAAQGPAPAGDGEGRPQDEEILRLMADDLRSIHFHEEEAVPEERTV MYCTH_2302067 MDYSTSLTEFQIRAIGILERTTSVFSLIGCMVVISTFSFSKAFH KPINRLVFYATWGNLMTNVATLMGRSFIDDINQAGCQLQAFLIQMFMPADAFWMLAMA VNVHLTFYQKFDAQRLRKMEILYLLLCYGIPFIVALVPIFVSTPEKGRMYGDATLWCW ISPNWDIFRIAVFYGPVWIVMLITFSIYIRAGREIYKKHKQLREFSFSTSHHDPEPLH GFDDPFSSTKTTEVFVTTEVVDKSGTIDLTPLGGGGRRGSQVASPQKPSKAAYSVTIS SHREASNNSNNGNNGNNSNSNNNNNNDNNNNSNIEGQVQTSITADPKGAASTALNRPA TNSNNKNTNKLSTITSSTGGHTGTGTGTGANPLRRRAAYEASNATWSYTKCALLFFTA MLVTWIPSSANRVYSVIHPGKALLPLEYMSASVLPLQGFWNAIIYCVTSWGACKIVWA DIRSWWSRVGIGGGGGGGSGRMGRRMGGKGAGALDGHELGHRHHHHHHLPAGGAGAVG LHLPRTAGFQKMGGGKRGSKASESKFESESMTELAGSRPGSSRSPTVAGSATPPPPTE AAKMGV MYCTH_2302071 MASDPQRNQGRAAALPGVSPYTLRPLLRGVPLSADGTKEDVKIN CVDYYDGNLYVGTSASELLHFFRIPPDPSDPGDPGDPSGSSSPSNFILATRLSPIYSE PAGGFNGSLPGVQQILLLPRVAKACVLCNWTVTFYSLPELSPVFGATQVRNCNWIGGV DLNEGSDASFERSAGVTILLSLNRRIQVVRIGEEDARVIRKIDFAGSTLSVRRDSIAC VADSRNYVLLDIDRQLKIPLMTISSLDDSQQDAAFGQAQNIASVPDGGLSRSASSATA RVPAHSPGHSRSTSLGDFISGMRRNDKRPGEAEDPVFQASDAPPTSRSPGPADKPLPP PPRDHGDPVTTQAAAAAPPYRTATPPVRSGTSPKPGSVFLKPHIVSPTAEEFLLVTGT GPLEPGIGMFVNLDGDPTRPTLEFDRYPREIAVDGGPTDFSSFLPPMSTGEEGYVLAS MTRDFEDGLHHGLEIQRLDANAGEDGPEKWWLEVRGDQSKPFTPIGVRPLLQKEDMIF EDVVERLCQRRFSPFKEHTETPTTSLKSSDSRTALSIQRLSQEKELFDRDTESEDEQL PPGWEATRNQEGEEFVRRLARTSSRLAVWAGDDIWWAVRNPLLTQLDSVLDLAAHQSP QAAAVIGPENRRQLLSLLDAIKDREPTTELEFMTLGYIRQKASIMLLTTFLSSPEPPL TDAEMEAMEQNLVGGDLDARVVLSLIPALRNEIVVNRRGIWIYGGIKRIVESYMSAEP DGLAIQGISSLPAHVLRFLRRFLLAWRKKKGFGSIPNEVFRTVDASLLLVLLELDRDT PAGQPGKPGSVRKDLYELVDHGVDCFDRAVGLLETYHRLFVLSRLYQHRKMAADVLGT WRRIIEGEEDRGGELGDGEQRVRNYLSNISNQALVQEYGLWLASRNPKLGVQVFTDEK AKAPKLEHTQIVALLREEAPDAVKYYLEHLVFGKGNTAYVNELITYYLDIVITDLQTS SASRDMIAASYDAYRALEPPKPTYLRFLADNAPPNNEVWQSRLRLLQLLSGGHDYDIG AIRARIEDSLSRTSLPQARPVNGGDGLSTTITKTTATKTRSATANGFAQYDYLLVPES IILASRSHHHAAALHLLVHRLADYDTAVSYCIRGGAALDPSGTAGATRRHLRRQGRER DGDGDGDGDLPPTWEEQTALFRTLLSEFLAIEDDGERMAQTGALLERFGGWFDVVEVL ELVPAGWSVEVLGGFLVAALRRLVCERREAMVERALSGAENLRVGFDFVVKVEEKGPI VEGG MYCTH_2302072 MAERTSSDAPGAGTPAPSSPTAPSTVNKFQNAISQWRSLDFTTL VSNLDNTASEIVAFQRDSTVQRKDLAQKTKDFRKLDDASKLSEIKGLLKAYQTFIDLL TTHSKSVSSAFLQTYTSLSEAPDPYPLLEASVDSMLVSEDTLPKLTRENQHLQDTVSR LTAQLEDTESRLQAERDTRQKLEETLESRVKEVEESWTAVVEEKKDNWEAKEKALEEK IENQERLLNELKASYEVNQRLGKSDNAEEGRGQVTSAELEMVQSDLERTSARLAEVEA RNEQLRLDLAQAKSQLPTQAAANLEDEPAYMRIRSENSSLIRKLDAARVERESLKRDL DAKLRGLEREVGLLKEERDGLKAKVQKWSDYDDVKQELEVLKSIEFSTGDDDETRDVP EDVHGSAATGKGDTLEQLLLARNKKLSEELTILRVSHQDLQSRLQNLQEELSRTNAEL ERSQNLNEKLENELSSVQAETANVFSSGASVAGTYVSRYAPSAAPGRKAGRTSPTSSI ISGFNPRDSDERGPLGGGSGILPMITAQRDRFKKRNAQLEQELSETHRTVSQLRQEIA ALQRDNLNLYEKTRYVSTYNRGAAATTSSSSAYAVNPNPSSVSIVSSSPSPATGSGGG GGGSSASLDRYRKAYESNLSPFAQFRGRESARAYKRMSLPERLVYSVTRMVLASRTSR NLFALYCLALHLLVFFSLFWTGTGDADRLALVGTTVGAPGTGPAAAMAKHAPPLDGV MYCTH_2302074 MLPSLLFASALVASTRAAPAYPKFDAASSAPDDIRALSDYFNLL ASKVHDSRILDVAPVCDLSQVPQPAGAADLPSPSAGLSLRHIAIGRGTQNYTCDGATP DAAPQAAGAVAMLFNASCLVATSADLAAALTRAALRFDVAQSRAAGERLVPSDLPLSG LHFFADATTALFKLDVSPAVQLGELPCGKNASVPAPPADAAASRGLQGEPPVPWLKLT AKPGATGGLQEVFRFQTVGGSPPATCRGMPEEFQVDYAAQ MYCTH_2058349 MAARAANTNTNTNSDRRGNARPSGPVSPAPITAPSAASGGVPEP TAEYLERASQEARRLPAPKPTLVVIDLNGTLLYRPHRRNPSRFVERPLARAFLERCID KYHVVIWSSARPENVRRMCAQLLSPDYLARVVAVWGRDRFGLTEHDYNQRTQCYKRLT RLWEDPVVAASHPRAAEGATWSQADTVLIDDSAEKARSEPHNAVTLPEFAGDLDENPR VLPLVEQYLDALTMQLDVSTYIKANPFTMTTSIQGEPVALVKGGEKSGQPPPS MYCTH_2302076 MAFGKLYTYSGNPRSTAIRAVAKANNLELEEVEVDTKNPSADFL KANPLHKVPTFVGADGFVLTECIAIAVYVTSQNEKTTLLGKTKQDYASILRWMSFFNS EVLPKLGSWFRPLLGRDPYNKKAVDDASKAVAEVVAVVEAHLRDNTYLVGERITLADI FGAGLISRGFEYFFDKEWRQKNPNVSRWYETVYNQPIYSAVVPPFALLDTPKLTNVPP KKAEQPKPAPKPAAEPKPEAEEQEQPAPKPKHPLEALPKPTFALDEWKRYYSNNDTPV ALKWFWENVNFEEYSIWKCKYKYNDELTLTFMSNNLIGGFNTRLEASRKYVFGCASVY GTNNDSIIQGAFVIRGQEYKPVFDVAPDYESYEFIKLDPSNPEDREYVEREWSWDKPI VVDGKEYPHAAGKVFK MYCTH_2091001 MMASSSRQGLTSALRGASKALNCGQRQSLEQQFRSLRLGSSIVS GRRHLSTESGAGEQQGLTITAASEIRIKDLAKKYKIAQHARAVPVSPSYFSRTPTFND RYLTLEKLAKAYANLPVVPAADAERVAWKTLADLRQSFGEPVKATEYVKCLAIVKRLH QIHPDLKPEAVTAALQDFKRDVQGFHNVPTPIPIDRFGRALGVGKRKSSTARAFVVEG NGQILVNGKTLAEYFGRVHDRESAVWALYAADRIDKYNVWARVEGGGTTGQAEALTLA IAKALLAHEPALKPALRRAGCVTRDPRRVERKKHGRVKARKRPTWVKR MYCTH_2302083 MDDNRGQRRQIEPPIYSASGGSRHHPSLHEQPQQQRRPFPGAQG ERFRPAPINTSPSGAARGIGGSTGYSGYYQDASGTSFPATAMPQGAMGYHHSNAEYGQ PDSRQTQSFGGTYPPAMMYHVQQTGGPQSAAVYDASQQFSSRQPAGLPMMATDVAGPY FSSEPTTAAATSNLQGQSGSSSAPQVYQQSGMHGYSTGGMGAIGGMAAQTTPATDVRM EEEYPAGTGLDDAYLSYQTALKEIFQNIRNGVLATASESLLNISDWLLSHVVELGLTS DDQSLHSGRIKLWNDFNHAWLAMFQRQKEMMESGQQIQRPQSLISREGLQKMGKDLVR LCDSVERHGLVDYQYGVWEEQIIDSRFLCHGYRHTAWRLVANECCDST MYCTH_2302089 MGNTTSAVLDNIVQGSNFDREEVDRLRKRFMKLDKDNSGTIERE EFLSLPQISSNPLATRMIAIFDEDGGGDVDFQEFVSGLSAFSSKGNKEQKLRFAFKVY DIDRDGYISNGELFIVLKMMVGNNLKDQQLQQIVDKTIMEADKDNDGKISFEEFAAVV EATDVSMSMTLDQF MYCTH_2302091 MATRKVRYKKLSVKTPLAVLREDQIDPAEYESLTNEAQIATGVE QAEENEYHLQAVLKSEGVAADKEIPVPPPQESTLNYDELYTRPFSKTSSYIRFSQTVE ESIGCTYDMTEEDDEFLKSYNQKRPPSAQLSEDDFERIMEVYEDTSYIKAPFASIDQT IVPYEEMLQGLQDLDKAKIMPHAKEIYEYWKSRRLALNNQPLHPTLKFERHQESDDTD PYVCFRRREVRQTRKTRARDVQSADKLKRLRKELEEGRQLVLAAHNRELLKAEMLKAD RAVFEVRARLKELKVRLGIKTDDEDLINQKPQKRKAPEAPAVQRPPPPTQLRIAARAD GRSAEGDLSLLADRLAEKENELRADIEKKVQSHNEWNRNHLDLTRGPLSPVHGPRQAP SFRPAKTQYLMTPPASASSDSLEDPTPMSLDKPQNPPAPYNFRGIARDEESRKNPPAY RRRIGRLNRLWIDRRGMASPPRDVSEEVLDRWKYDQSSDDEEYPPTYEVDPFDINALR FRASIPLPPWMMARAAVPSTRIPLPPPQQPHPPQQLQQAQQVQQAQPQVQQPPPQPQP AVSQPQPQPQPQPQPPAQAQSTS MYCTH_2302093 MKRMKHMKTEHWHHTARGMRLQLPYHIVTGMKSEMHEVCTALLP YRLRPKTATSPSLAVYLPPRICRARLFSHNTLWQSTRLLAPPNGATSTRDSLLCDGNL FTDIEQLKGEREIRPKSCCSNFHSPRSAAAGAHGALPDDQQSYIHGFLRL MYCTH_2302094 MASDIARKKRRIALGCEGSANKLGIGVILHEGDLGSPKSTVLSN VRHTFVSPPGTGFLPKDTARHHRAFFVRVAKQALADAGVGPDEIDCVCYTRGPGMGAP LTSVAVAARTLALLWGKPLVGVNHCVGHIEMGRAITGADHPVVLYVSGGNTQVIAYAE QRYRIFGETLDIAVGNCLDRFARALAISNDPAPGYNIEQLAKRGGRVLLDLPYAVKGM DCSFSGILTRAEELAAQMKAGVGKGPDGEPFTAADLCFSLQETVFAMLVEITERAMAH VGSSQVLIVGGVGCNERLQEMMGLMAADRGGSVYATDERFCIDNGIMIAHAGLLAYET GFSTPVEDSTCTQRFRTDEVLVKWRK MYCTH_2302095 MGNSPSTSKPASQSSSSQTHSQHDRPVRRENKNPIPVQGLRVAA PPEPSLTQAQGAVQPPSSSNSSVASRPKPLQPLAIGSSTASSSTTAASSASSAKPVEV KQAKADPCHAPAKPVAVPSSNIQSSSPRSPRSEDGYETAAMPHSSLQDVSYLTRPPRL PLPIEEEVHTPGSPIIAPTDITEPIDDVEGLNNAALGHPTSSLSDTSAPEEDDAEELL VDKTRPTVPTRLEWRHGGDKVYVTGTIFQWNRKTRLHPVEGQPGVFAATINILPGTHH IRFLVDGQMQTAPDYPTTVDFGNNLVNYIEVSPDDVQPAPAAKDAATRDKTARPQRAQ EEEAPAGKDGQVPASRLRKVPPADQFQQKIPKYLLDLDQPEDSPQYHHAVLATEKLPS PPGLPGFLSKPILNAATQRKDDNSVLTQPNHTVLNHLATSSIKNNVLAVSATTRYKSK YVTTIMYKPTATE MYCTH_2302098 MSENYVAPAQQRYLRACMVCSIVMTYARFRDEGCPNCEEFLHLQ GSPDQIESCTSQVFEGLISLADPGKSWVAKWQRLDKYVRGVYATKVSGQLPDDVRTTL EEEYRITYIP MYCTH_2302100 MGKAEPGSTKYISNKMKQKGLTRLRWYCQICEKACRDENAFKMH CQSESHMRRALEAGQNFKAVQDEYSRQFLNEFIRQLKTAHGEKSIHANKFYQEIIARR DHVHLNATRWHSLTDFVKYIAREGIVRAEEKEDGIFIAWIDDSPEAMRRREAVRRKEM QDKGDEEREQMMLREQIRRARKDAEARGLLGPDGEGPDEGEGKELKREEGEKIKLSFA GVKPPGKSTTPPENGGAAPAPGAKDSGGDDAKATDGPGNAAAGAAAADKGAGEDVKPA ETNAAAKPVSLKLGAKPQPKNVFKNALAGAPKKVIAPQPKKMSEAERIMKEELERKEK RAREAGSGPPNKRPRF MYCTH_2302102 MACPPLKNRSDRGWVVQKFGGTSVGKFPDKIAEDIVRNYVENHR VVVVCSARSTGKKATGTTSRLLEVYNKLRAITAAASDHDAQDELLGEAKHIVHEIRLD HVAAADSFIRDPQLSSLLKADTEMECHLLVDYLEAARRFNLEINSRAKDRVVSFGEKL SCRFMTCLLKDRGVDAQYIDLADIMHNDSPGRLDASFYKHVSEIICKKVMVCEDRVPV VTGFFGNVPGSLLDGDIGRGYTDLCASLVAVGLKAEELQIWKEVDGIFTADPTKVPTA RLIPSITPAEASELTFYGSEVIHHLTMDQVIKAVPPIPIRIKNVKNPRGEGTIVKPDP LLAADQRIQRARQPSDPALRKKPKRPTAVTVKDKISIINIHSNKRAIAHMFLARVFSI LSQQRISVDLISTSEVHVSIAVHTASSEFGNFDKAVEQLRAECGEVSVLHDMTILSLV GAEMKNMVGIAGKMFSTLGQHQINLEMISQGASEINISCVIDARDAERAMNILHTNLF TFLE MYCTH_2302105 MTRSTLQQEKGGLRGVGVTAGNKNAPATTDPKEEEVGAEPLEAR DFHRSEKAAKAAQINMSARLSKEGVGARGKPGLSEVWRLIKIARPEIKWLSAAFVLLL ISSAVTMSIPFSVGRIMDISTQGSIDEAKIFGVTLRQFFYGLAAVLTIGASANFGRII LLRIVGERVVARLRTQLYRRTYVQDAEFFDANRVGDLISRLSSDTVVVGKSITQNLSD GLRALVSGAAGFVMMAWLSPKLTSVIFVMVPPMAIGAFLYGRSIRQLSRQIQKNLGTM SKIAEERLGNVKTSQAFAGEVQEIHRYSNQVRKVFSLGRKEAFISGTFFASTSWGGNM TVLAMLIVGGNLVRSGSMSVGDLTSFMMYTVFAGSSLFGLSGFYSELMKGVGAASRLF ELQDRKPTIHQTTGMKVASAQGPIKFSNVSFAYPTRPAVTIFSDLDFEIPSGANVCIV GPSGGGKSTVASLLLRFYNPTSGTITINGVDISKMNVKSLRRRIGMVAQEPVLFSGTI AENIAYGKPEASRAEIVAAAKKANCGFISDFPDGLETQVGPRGAQLSGGQKQRIAIAR ALLKDPDILLLDEATSALDAESETLVNAALAALLQGRRRTTISIAHRLSTIKRSDRII VLSSEGTVAEVGSYAELSADKNSAFSKLMEWQLSGGDAPPEHRPPSAGGRILEREEIE EELRRREEEEEEEEEEEGSERESAEEKEKKKKEEEEEAKREE MYCTH_2302106 MAYTDDAVLSKLSALNESHESIATTAQWIMFHRRHASQTVHLWL TKLKDLPSSKRLNMIYLANEVTQQSKARHKDDFLNAFSPFIADATAVAYKGAPADVQG KLRRVVEVWKERSIFDRDILQDLDNKLAEIDKTRPGANVGGFGGHAFSSAGPPIPSEL TPLVAPQQAITKAVQPMKSALNTANSDYEKLADPSTTTAALPVQAARLSGLLKTLANA EGAVTQCIRARKDLIKELEKILAMNREALDADEKQMMQLSGRRTEVEQKKQAVEMAII GGLSSAGEEQSTRGHSPVPEPDRPQVEALTPPHVQDHGDDFYGTSSSAGHEAPNGQHQ PVPFHSAPASGNAQPAFSTSAPDLEMLSNVASQYQAVPLNGNKKRKIEASSDEFPDLG GDDGIDADVKEMLRKESH MYCTH_2314800 MADRFPSLEDFDSGAQTDIKDTSDAPSAANFLEREKAILGEDAN QFATVEDAGFDDGDNDLLGDGGVVSSPGNNAAFESQFPDITSSNEGVAPGGTITGGPS VSYNSGYKTSADEEEEPEVIREWREKRDAQIAKRAEQFARQREETIREAQRNIDDFYE NYNNKKEKMIAQTRKEAEQFLANREDTTSGGTSWERIAKLVDVSGKGAKGGAAGSGKE RFRELLISLRKDERAPGATGY MYCTH_2302111 MWRDRTNLYISYRQSYAHHPTQRNRYGPSSAGDRFGGSGASTWV LFSADDDRRGLLSSGAYDVDDGDAVIEMDRLPPRWADVSDEVTELLADIASKSQKLER LHQKHVLPGFNDEEAKKAEEGEIERLTQDITRGFHECNRCIQRIGQMVREGKASGQMT RAEETMAKNIKVNLATRVQEASAGFRKKQSAYLKKLRSMAGLANPIERSTTPLAGGSY ADPSILESDADRSYSQSALQAPAHQQLLHSNDAVISQRERQIEEIAQGIIELSDLFRE LQTMVIDQGTMLDRIDYNVERMASDVKEAEKEIKTAEGYQKKTTKRKIIFLLLLIIAA MIILLVIKPKKHGATAVEDR MYCTH_65137 MADSLTEEQVSEFKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK MYCTH_2302119 MTSLKQFIRNVRAAKTIADERAVIQKESAAIRASFREESADHGV RRNNVAKLLYLFTLGERTHFGQIECLKLLASPRFADKRLGHLATSLLLDENQEVLTLV TNSLKNDLSHSNQYVVGLALCTLGNIASVEMSRDLFSEIESLISTANPYIRRKAALCA MRICRKVPDLQEHFIEKAAALLSDRNHGVLLCGITLVTSLCEADEDEGGELGVVDKFK QFVPVLVRTLKGLASSGYAPEHDVTGITDPFLQVKILRLLRVLARGDAQVTEQINDIL AQVATNTDSSKNVGNSILYEAVRTILDIEADAGLRVLGVNILGKFLTNKDNNIRYVAL NTLVKVVAIDTNAVQRHRNTILECLRDPDISIRRRALDLSFTLINESNVRVLIRELLA FLEVADNEFKPTMTSQIGIAADRYAPNKRWHFDTMLRVVTLAGNYVKEPILSSFVRLI ATTPELQTYAVQKLYANLKKDITQESLTQAGAWCIGEYGEALLRGGRYEEEELVQEVK EHEIVDLFSTILNSSYASQVTTEYIITALVKLTTRLSDAAQIERIRRILQNHQTSLDV EVQQRAVEFGNLFSYDQIRRGVLEKMPPPQIKEESRVLGEAAKKTNKAANRKSRVIKP KEEDLLFDLMGESSGPAPPPVNGTGNADLLADILGGTSSPPPATTSPPPGQSNVASIM DLFSQGPASTPSPAQSAAAHAPPSADLFSATASPPPQPGPAAAVAAAPGYPCYDSNGL VVTIQTQRNAEGMIQATARFRNSSAAGQLSNVGLQAAVPKSQKLQLLSISSSELAVGA EATQLMRVAGCKGPLRLRLRIGYSHPTAGQVMDVVNWTEPS MYCTH_2302122 MSAVKRKMPPASLLQRRVRPRYEPEPDSDLEDNAIEDSSEDGAG SSGSDGDHDEDPSDDGSRSGSDEGSSSSESGSEGSEEDEEGEEGEEEEEEEDPQIDAS QLSFGALAKAQAALDSASKRQREAGAEKDKEDEGYGHPSKSGSIKKKPEKRSSKHAPV EMSSKKPVSRKRDFLTVTAEIKKPQPRDPRFMPLGPGAGPGRRNSSTIDEIKARKAYA FLDEYRELEMQQLREAIKKTKDAAQREKLERALMSMESRKKAQERKDLERAVLQEHRK REKELVKQGKKPFYLKRSEQKKRALVQQFRGMKKKQVDKVIERRRKKLASKEKKLLPT TRRTAEDR MYCTH_2302123 MGLAHLLVDLAAVASASRAVASKHVALRARQVERYSRGSDVVGA VLGRRQRQGREGQQQAQSPEPAAAATAEQQQQQQPRQEAEAGAEAGAGSGQGAEEERA AGEPGLRVDETPVARPDAETSSVSATGSEGKVETRGREGAIGQAAEGRRDEAPRASGG SSEFKPAPWADGGIALLKQGFVPPKRPPQLLRKPAVGIPGIDLSHFRTKEGSQILEVL KEMEEKGGDEVRKPAASVDATSGSLAENTGGTEDHEGRREQEVTAPPTSHRERERASP PAPEQAADKPVQVDPAKSQAETTEHGARSAEEAPASWAPEAAEKKPPSREDASIISDL AKPNPLSSGAAAAYQLRESAVPSTRIGRLWNYGGLAAGMFAGAIGEGLSRAIGGGGSG PVMLNAANMERLVAKLSRMRGAALKLGQMMSFQDAKMLPAPIQEVLQRVQDRADYMPG WQRDRVLAANLGENWRDLFCEFEDKPIAAASIGQVHRATLKSSGARVAVKIQFPGVAD SINSDLDNLAILLAATKLLPKGLYLDKTIENARTELAWECDYTREAECAERYRDLLLT RPSSSSSSSSSPPKEESVFSVPRVYREASGPHVLTMEFMEGTAVTRIASFTQAQRDWI GTQILRLCLREITEFRFMQTDPNWTNFLYNAGTGKLELLDFGASREYPDRFVTLYVRL LEAASRADRAAVKHLSEQLGYLTGHESRSMLDAHVTSVLTLAEPFLRDAPDVYDFRDQ TITERVKAQIPVMIRERLAPPPEETYSLHRKLSGAFLLCARLGSRVRCRELFEEALAK TGYIQT MYCTH_2133532 MDSTNNQTTALVRKRTDTELMPPPPPAKRIQRPKRVLDEETYTE ALSHIIARDFFPGLLESEIQKEYLDALESKDEEWIESASRRLRQVMAPGRRRTLGTPL RQATTAAGRTPLNFVGETPASAASAATAGTSSTKPAIDVNVSLATFQSKYTSEDNESF YRLLDKQNQKRVQKYAWLWTGNKLPSKQQLKQKEVEAKLLARRGAGALRDDGFARDRL AIADKDAAERPAVPDHWNGAKPHNELMFVPDGVEEGWRGRRLQTVAERAQAESRAPPK QIVYENTRAPRPGGPAVAAAAASDEGGGGEDRSRAASPSLSEIRSAIAGNRRPCDAES SVAGAGGGETPRVNGYAFVDDEEPEPERERERDKQQPLIELSAGDTTPNPFKLQEWRK RELLHHRMVDKISQSKRTSARLGFTGNVERAPVPKFPSSPRASGGLTPAAQRLWGKIG GSERRNAESPFGNSVSFTPKATPRAKSSGLRGVAK MYCTH_2058328 MSDTSGPLFQRPSLSIVHHLFRVPLLPAIAAKHVVTFALTTLVA FAMGRSVRGRSDVKRRLNPSTSEKQHSAIQIEDRICLICQEIVGTRNVEGIKEGFSML PCGHRFGSYCIKRYLSLTADEEPLCPICRHVAYHDACGHPVLPFLLKSDGTHPDLITD VSGKVRPPNSSAEALAAPCEYCRLPQEQAERTVGKLAGRLSSIKKPLRWLRDMVPFAP KWRLRLLLAGSEDSSEDSSEAEERPSSTGERRLTRQEMRSRRRTPVNNGVWEGPWLTA ALNSLEKIAWVRLDDDTVRFTVIPDTGSQVWASLSVDLIFDNYHIQSAEVNNTINLEL PLGPLQRALKSAIGSIYANLRLTKRDGIPMLSMTIHTMTKDSVHDARGLGGGIPGAAA AGAGAGAGGGRHQDGDPFANPDVFAQESLELTMKREREKIITQDIPVRVLHPDTVETI MQPKVREPDVHIQLPPLLQLKAISDRFTKLALTASSSSSSHGTATRGGGGGTSNPKLT LSANMHGSLRLRLATDTLDITSVWNGLENPELDPAQLAVPLDEHPSTRFREAGPDKWA TVRVDGRDWSRVLSVGRLEGRVIACFVDDHALILYVYVPHADDIGAEDVVTYYVSSYS A MYCTH_2302128 MVSDAPNPTWHPAMMPNSTADLPQSRPEETASSPAQEPSNAENT AQPDAAGEASQDWFQDDGAGDDWLADTTKAPAASAETPDQTEQATPTESAADDALPDE SSTASKHFSTMSFTRTVAHEVNWNDDDDAEWSLSRTDSDPFKFMPESNRTNSFPPVSP LESQQTETPRELGQPISFSSAEDLIREVKEETREDEPLPDATTGTSQGDAGAQETGGG TAERQHLGESTAAPSEEEARFEEGIPLVSSAQQDGAPQSPGAAGHDLFAQEAGDEEDD FFSNVRAEGATQGGGGDDSQPAPVQRKSTMDVLKSVDMESAGTSFTPLDETAEESRTE NDSPPQPKESNEELPAQSPEKPRDEPEAQQEEENLDEKWRAMFGDDDEEGFLPDDGAG PNEVDASAFLGSDDEGLLEDSETEQPEQSQPATTSSAKVPTTPSYGGTQAVNNRYLPQ SQTPAVTTPPPNPYLPAASPLTPANPYVPAAQAPAVQPPAPASYIAPSTAPPAPMQSG YGAAPPPLPTEKKAQSFVDKSKGGYTSPYDLPMEVVKVPKRRTSTQLLQRNTSAPGSP APIPPPPRSASMHSSTPPPPPPSGGPPPGMARPASSHSSRGPPSGRKTESESFFEDLP ITTKPRPASRQSQKSAPSPTQPSSYGVPPPSAPPSVTSHPMPPPAPPRGSSAPGGSGP GIPELVAPPRVNPYAPLSSSPAPIPAAPPVASTRYSPAPPGAPHPPNGPVPAPATKRY SPAPPASRPPAAGYAPTPATPGPAVLPHQPRTSSPLAQFEITHERSRPHAPVGQPEGS LVERRSSSSLHEYRLQRVPSLPPTREVEEEEAPKEAQGALENRAPPYPASPPVSKYAP PPQGARQTPPPPGPSGQAVLSPPKRAASAYSPLAPPYEFVPPPRSQTQSPGSLYGNRG AKSVEPIPRPSSVNGPTSPREVAQPAAPPPQAFAPVAPAAAPATTANTTYRRPRGASM NLNVIPPTDGREHDPLQRWPGAPIISWGVGGTFVTMFPKEVPRYGMNQSIPAVVRSPG DVKVQNIKDILPLEERLAKFPGPLKGKSKKKETIAWLTAGIESLERSLPTSFSFQTSL SHDQKRAVERVLLWKILRVFVEHDGVLEGNPAVDKAVRDILTPGLESPESSATPFASG GAAFGLAADSAPTGVQAEGVGSSTLEQIRRHLLVGEHEKAVWAAADQRLWGHALLISN ALAPNLYRQVAQEFVKKEVNIPGHNNESLAALYGVLSGNHEESVDELVPSHARAGLQL MATNPASGPSKDALEGLDKWRETLSLILSNRSLNDVRAIHSLGILLSGYGRAEAAHIC FMFARSFTVFGGLDDPASHFVLVGSDHKKQAEQFTKEIEPLLLSEVYEYGQSLAGGSP VPITNPHLAAYKLEHALALAEYGFRDKALQYCDAIAAAITAQTKRSPYHHPILENAVE DLMRRLKQAPKEDSGSWIPKPTMNKVSDTVWSKFNKFVSGEDDTSGQGTSGEAEPGPF ARVAGGTPAISRSPSTNNLETFGAAVPSYGIPAAIPNGPVPASAPPTRATSRYAPGAP QGPASNSRPSTSAYAPRSSMERTSSELNRSSFEVPRRSLELQSGRTGSYSPVRSGSPA AMYTPQGVAGSSSSPQQSPYGPASQPAPPQPIGYPGAAVNGESPDKEPDDASAQSPES SGYPAPSYGYEPPSLTPYEAPAEDKSGTSEEAANGNSSTYEPPSYQPYSYEPPSYEPD PEPSNEDAGSDEESKPKPKKKGIMYDDEDDFPVPRPAEKTKEEKDRENEELIRKVAEE DGKSIYITSCRSTISDRTDPRPKPNGPKQPNRPKRGGASPPGSVSARRRTRPARAPAP TSPSAPSSARPTRSTMTPSSSAGSTRTPGPKTRPRRRHPRRPGQHREPPSAPLAPARR LLPRQQKQPAARARARAPLPRPRVRRAPRAADQPTMVPLPAPRRRDRWPCYGRRPTAA RLVPRRWVRAPRRRGRRPA MYCTH_2302129 MRSSTTHPPAKPPDSPADHSDTDLELDLEELDPQTVSGSDQPRG RGLLGQPRQLPEERAPRIALRTLRMGGLRRGARRNGYGELGRSHAGGAGGAEDAQGPL RDGYVGDRRYSDASAAGGDDAPLLSEPGRSSSNKRRGSFAGDRLRRVGLRLPSFMSGA PANQDNPDEELDKEEDDPSSSRLVAVGSSQSTRFPPNIISNAKYTAWSFLPVTLYNEF SFFFNMYFLLVALSQAIPALRIGYLSTYVAPLAFVLVITLGKEAYDDIERRRRDNEAN SEEYTVLQFEEPGRAAVAGAGTGAGAGARLRTRKSTLTSARRGPKRNGADAGRLSDIQ EEEEQTEGDGRRKPPGCVVSEVSKKSRDLRVGDVLKLSRGDRVPSDVIILKCLSNDPA AQKAEEGPVPQEEPLLLDHAAETARGGAATGTADEENPGAAEGGSNGETFIRTDQLDG ETDWKLRLASPLSQNLATEEFVRLRVTGGKPDKKVNEFIGSLELLPSRQDAMSTATFS PTDDDEGVKAVSLSIDNTAWANTVIASHATTLAVIVYTGPQTRSALSTAPSRSKTGLL EYEINSLTKILCFLTLALSIILVALEGFSNTEGNVWYVKITRFLVLFSTIVPISLRVN LDMGKSVYSWFIQRDPGIPGAVVRTSTIPEDLGRIEYLLSDKTGTLTQNEMEMKKIHV GTVSYANDAMDEVSAYVRQGLHLPPPSADSRALVTPSTSLTSAANVGATRTRREIGSR VRDVVLALALCHNVTPTTEEDGAGRLVNSYQASSPDEIAIVRWTESVGLRLASRDRKS MVLESTEAGRPVVKVRILDIFPFTSEGKRMGIIVQFYERLEPGVPGPTSGEIWFYQKG ADTVMGSIVAANDWLDEETANMAREGLRTLVVGRKRLSAAEYQEFSARYHEASLAISG REAGMQAVVSRYLERDLELLGVTGVEDKLQKDVKPSLELLRNAGIKIWMLTGDKVETA RCVAVSSKLVARGQYIHTVAKLRRKDAARENLDLLRGKTDSCLLIDGESLGMYLTHYR TEFVSVAVQLPTVVACRCSPTQKAEVARLIREHTKKRVCCIGDGGNDVSMIQAADVGV GIVGKEGRQASLAADFSIEQFFHLTKLLVWHGRNSYKRSAKLAQFVIHRGLIIAVCQT MYSIALNFEPEGLYKDWLMVGYATVYTAMPVLSLVLDKDVDENLANLYPELYKELTSG RSLSYRTFFVWVFVSVYQGCLIQGLSQLLTEVDGPRMVAVSYTALVLNELLMVAIEIT TWHWVMVASIVGTFLLFVGSVPFLGRYFDLAFLSALGFYWRVAAILAMSLIPTYAAKV IQRTMKPPSYRKVQGI MYCTH_2143238 MVRLRARPPSMAAGDFGLGRSSSTLSTLTTRRIKHRSFHITLPH HRRSPPPIRIAKSKPTPPTPPPTPPPKSQSPQPNHKEAPPKQGPPISNNTVPPRGSPP PSPPPRTFRERFQRFKSRINWRTEILITLALLAVFTPAIKIVEGSAIAGDGDHSGKPA CLNPTTFTPFTITAREQVSPTAFVLTVRPSDPARGRQVVADAWRHGLWAVEVKQPECM IAREYTPLPPPPPPPPPPPEAAASDGPAPDHGERDPAELRFYVRRMPGGEVSGYLARL RVGDEIELRGPRLAFDLRARLGLAAAGGGDEDGDGPGGDGAERKTKEEEEQKKKKKKV VFLAGGTGIAPALQAASAVLDDPRVEMEVVWANRRREDCVGCGAESPQGPVLELLEAF RRRYGEHRFRYSCTVDEEGSFIDAGTVARATGASTQPSSGLARTPAWGGFWSAGGGVS PNKDGGAAAAAAVSDACAYHSAGRLVSSDERDPLVGTGGQSCRCKDANGNPVQGGKNL LMISGPDGFIAHLAGVKVWHDGSQRQGPVTGLFNDLTKKYPSLGDDWLVLKM MYCTH_2302132 MTVHRLFARACIRSTSATARRRPQSPRALATKTSPPPFPTTPTC PAPTCACAPPPALPEGFAIDRDAPLNGSISNYAQHVLVCTGKDDWPSRIEEDNAGDNL VADLRELVGPRGKFNDPFHNISILASSFPSSPAPKQRPELQTSSVYILPQFKYVPFLP RVSFDSVEALVRGYLQPEALHPMHDGLSPIHRDRLLRKPAYQSLLWGVRDVREVVVLI CGHGGRDRRCGIYGPLLRDEFEKRLPEKGVEVLKGALDVEAGLEGEGRATIQGEASGR ERAARVGLISHIGGHKYAGNVIVYLPPTLTTEDGRPHPLAGHGIWYGRVEPAHVEGIV TETILKGTVIEELFRGGIRQDGQILRL MYCTH_2302133 MPLIHARSIGRCLPQLGALQLRPALAHGLVASSTPPCWRPRVPS RAFGATARILAQAKLDLPALDSKWREKWASLPDSARETKDGARAQRKYVLPMFPYPSG YLHLGHLRVYTIADVVARFHSLRGSNVLLPMGWDAFGLPAENAAIERGINPATWTRAN IAKMKEQLGLMNGSWDWSCELSTCDPSFYKHTQKIFLLLHERGLAYQAEAEVNYDPVD KTVLANEQVDANGCSWRSGAKVEKRKLKQWFLRISDFREPLLRDLEVLAKNDAWPERV LTMQKNWLGKSTGATIKFPVMAFNHDMHAAIEVFTSRPDTLFGVQYLALASTHPVVTK LAERDPELQAFLDTLPGLPLDSKVGYLLPHIRAINPLAYHDETPEATKKSIPVYVAPY VIGDYGEGAVMGVPGHDVRDHAFWTTHHADEPVRYVLASSEDESTTAMRNEPYIDHGI MTEHSGPYKGKSSRETGEMLVSMLQQAGLAEPVEKWRLRDWLISRQRYWGTPIPIVHC DSCGAVPVPDEQLPVMLPEVDEHWAGKKTGNPLEALEDWVNTTCPRCSRPAKRDTDTM DTFVDSSWYYMRFIDAHNKDAPFSAEKAKAMLPVDLYIGGIEHAILHLLYARFVYKFL MTSSLVSSGEAEAEVEAAAEAADKVHEPFQRLITQGMVHGKTYIDPATGRFLKPDEVD LSDPLRPKVVATGETATVTFEKMSKSKHNGVDPTNVIAQHGADATRAHMLFQAPVSEI LEWDGDKIVGVTRWLGRVHDLVVRLGPAPPDGGALPTPRSYFEETAGRLDGMGAQAQA QWDADVAVWREVQRTIASVTASYEKVYALNTVVSDLMSLTNTIQDRAGAMSEGIQRQA LSALVRMMAPITPAFAEECWSLLGLQRPEGGGGGGGGGGGGGSMFRGPGKASFPVVDG TLELEAMRPRKQACAVQVNGKVRVSVDIPTPPSGLAGEELKEWIVGEILKTKEGQARL VNRGADVSRAKKVIVIKNGKLVNFVL MYCTH_2302135 MPTLYSNLPPAGRDSLELASLASSDQGSTASDGDVSSRPSISSS RRASLERDDPLDADNPAAAYGHDDHDGDAATHGRGRPAHHPRSFSVSSTFDFAANLFP LSSTAGVAGAGGYAPLGPSGPSGAGGYYAGVLGRSRGLGGGAGAGGAGGLGGSLEKHK TLTYLNGLSLIVGLIIGSGIFSSPSQVNSHAGSPGAALIVWLVAGILAWTGAASYAEL GGAIPLNGGPQVYLSKIFGELAGFLFTWVAALVLKPGSAAIIAIIMGEYLVRAVIGAE AETVNVWVNKSVALVGLALVTFLNCVSTRLGTRLNDMLMFLKFVALLGVTVIGIVVAA TGFSSSGQANRDWKDHPWFEGTKMDASAWAVALYAGLWAFDGWDNTNYVVGEFRNPSR DLPRVIHTAMPLVITSYLLANIAYFLVLPLDTINSTNTVAVMFGAKVFGPAGALILAL IVSASCLGALNSSTFTSSRLVYVAGKEGYIPSLFGRLGAGTGVPAGPELSAGPRTARS RLASRLVALVGDEDTGLFFTPIPALILNALLTTAYIAVGEFGTLVTFYGVAGYTFYFL TVLGLIVLRVREPNLERPYRTWITTPIIFCCVSLFLLSRAVFSQPLQTLIVVGFVVAG VPVYYWRVRGRGRGRGRVGKRELGGREEGRRPWWKFWQRR MYCTH_110931 MVKVLAVLYDGGKHAQQVPGLLGTTENELGLRKWLEDQGHTLVT TSDKDGENSTFDRELVDAEIIITTPFHPGYLTAERLAKAKKLKLAVTAGIGSDHVDLD AANKTNGGITVAEVTGSNVVSVAEHVVMTILVLVRNFVPAHEQIEAGRWDVAEAAKNE FDLEGKVVGTVAVGRIGERVLRRLKAFDCKELLYYDYQPLSPEKEKEIGCRRVLDLEE MLGQCDVVTINCPLHEKTRGLFNKDLIAKMKPGSWLVNTARGAIVVKEDVAEALRTGH LRGYGGDVWFPQPAPADHPLRTAKNPFGGGNAMVPHMSGTSLDAQKRYADGVKRILES YLSGRFDYRPEDLIVHNGQYATRSYGQREVSTS MYCTH_2302139 MDYARLRAAALSGEDEEAVTVDTRALIDKVLARYSGEWTTLREL IQNAADAQATTVKIKWETIPSTQVPLPTTTNQSELVKHVISHHTLRRLVVQNNGQPFS QTDWRRLKKIAEGNPDETKIGAFGVGFYSVFADCEEPFVSSGDEAMAFYWKGNALFTR KVTLPPGEGSPDTTFVLDYRNTTTPLPNLLSVSQFLATSSTFVALQNVEFWIDDWKLL ELHKKLSPSVNLSIPRDLETRTKEGLMELVSADQTSVQIDATFMSVIGWKPQLAASAT KSNESYTELPSLKNFFSRLTSGSSYSSSRTKAAKEERAIQDAIAEDLTAKSTSVIFLR VVSAQIATRISSSFAAELERATKKPPPKKTKISILTSSYDETVASEEASSTQASKIAD VFVSVLPSKKPGGRIFIGFPTTQTTGAGMHISAPSVIPTVEREAIDLNARWVRSWNME MLRAAGIVSRLAYTDEMSCLDKKLRNMCEASGKKGAAISSDLVQKCIPEALHILKTYT FRDSTPSALVSQTIEEAFWVASKTFSADVFSSRGVLPAKKVRTSSDEIAKFVGGIPVV LKEMKDDPFILRLIDFGLIKEITVDDICQELNTQPLNKVQLNHFLQWITKGAYNGDID PPSVQRLLDVAVATITDSGDSGDIIALGTIKNYPNSRIPASLPLPPTTLPIAFTANIP ENKLQALGWEPLGVVPWLRFLIESAPRSPDEQNLTKSAKFALQVLTVLSKNWDNLSSS SKASVVSAVQHITIMPTKAGLKKPEDSFFPSVKLFDDLPTLEGCGNLKEKFLTALGVR KTVDLDTIFTRLLSPSPAAGDGAHARWSHMQLIKYLASVKDDIPLADMKKLRESPLCP AEAGPPGMEPTKGTSRLYKVSELYEPKDSLRSLGLPILHWPGPPGSFRNTSPEARFLY ALGLRPFPTVPELVDMMSSSDDKLRANAMAYFVGNHHLNGYTGFDLSSSEKCFLPVEG KEKQLVQPSACYTNERAAVLGYDILRRDLHQHAPKFGVARDPPITGCVERLIAKPPQD RTMAIKLFEYFATRLGDLGHNSLVKLRDAAIIPVMRQQDSREGSEDKARSKLVYIRPT QCYLGSSSTYKDIFDFVDFGGDANTFLFKCGAKIEPTKHEIAQLACTEPARLLSVLQS PEKYLNLLRSIAEDMSTLKRDKELFRKMRTSPWLLGSRELSSGKNKEPEAAEEEDAPI KHYQLATADSIVILDDYISYRLFKEALLCAPEDDLLEAFYMALGSESLGSRVREELSV GQRTRDQSGAEWLRKHVLERSKLFLHEYSKDKRDAIKHGTHWLEKNLQVTTVSSLALR RSLKGHAKTHQEKRSAASSQTANGWMLYVAAEEKKPDMYQVGHAICQLLLKRPNQHAY FFFEPFLKLGLLELRARGYNVDRILRAKAAEARLVEEERRRALEAEQRRIQEREKEWA AQDAPQDAVTPQRARDRMPGSFDDSPEPSTSAGLPPPQQQRPKKGGSLFTNLTRRLGF DTSDDTPPSPTHSELDKFLPPPPPGSTGGGGSGTKPTDDGNRVTSPATIQQNLLNAIK ATRAHDSSTLFAPPHQTVVQEQTTYCDSTPAQNMAFAAEAPRGMRVFLSKDHPGDSGQ FVAAHVDGIARFEALLRDVAAVYGLSDRALHIFYDERGKTIAFNSNGSVFCNLRFWEQ LHSREGMQSGQGRVEATTWWWVVVAHELAHNLVSVHGSEHSYYTESFVQQYFPKMMAK AAGWMAGAAGPAVAAAAAAAPPSAATDGASPDVQESPPPYSPFGF MYCTH_2302140 MDLLSTVRKSGSRGGVNFSWDDVATSQYRENYLGHSLKAPVGRW AKGRDLNWYAKADPTAANSNETEEEKAARERLEEIRKIKEAEEDAISRALGLPIKPRD ATGANAVEVEGSRVPNRGNVDGKDPKATQGPDPRTDRSKDSDRHRDSDRRHRRRHRSR ERDHDRHRDRSRSRHRRRDSLDRDEKDSYRRRRSRSPEYGRHREERRHGSRSRSRDRH HRRRDSRSHGRSRSRDRAERSRHHDRRRSRTRYQD MYCTH_2302141 MALDPAPYTGPPLDEIQWRAPPEFEMGIHSNSVLFYFAQSPFYD RTSNNEVLFQQGLNNPNVTPYLATRELFEGRLKTMSGLEFVVAQEPAETGPGAGTGVW VINKQTRRKQTPQDEIIVHGTYFVVGENIYMAASFADILSSRIVGFNCPFRIPLVPRT HRPLTISNTGSDIVFG MYCTH_2058658 MPPKKFVRRERKRKVLERKRAQERATHDVNPDTNALEITPAQQL EAEQKKARLREELRPQGVKVSSKKAKRLEKYIENKLKKDENRELLAKLAANKIDTSLF ASSKSLGQTKETKREALRRTLREQNAGLPLDKSAASLLYQRRKLSEGEGSEEGESSDS ESDDEEDAEVHQKQSAPEETSQPPAPTQPGPATLGSGLKRPLEVDESGRPILAKRQKR GGVKTKFSIEAPAVYEESGSEEWNGFSEGPSEASNEDSDSGESSAKGSDGSEDEESDD SSSDGDVESSEGDMGSDDEEAAKEIRKQRSSAFKAWAHQQRNEALGYNPIDSTSMVID IPKPANFTPRPVEEDPLPIELQPTTNVTRKAYAVGVTRDPEIQEARYNLPVVAEEQKI MEAIHNNDVVVICGATGSGKTTQVPQFLFEAGYGAPDGPTPGMIGVTQPRRVAAVSMS KRVAQELGNYSHVVGYQIRFEGTVDPNTAIKFMTDGVLLREVAQDFALRKYSAIIIDE AHERSVNTDILIAILSRVVKLRAELEKEDPSIKPLKIIIMSATLRVEEFTNNTRLFKT PPRVIEVEGRQHEVTIHFAKKTRHDYVEDAFRKISKGHRKLPPGGMLVFLTGQGEISR LSKRLKAAFGGMTTAEGPKVRISGNEAPIEVEDIDFGEAEDRNAHDEYDEMESGSDND EEEEKEFELEDEESGTGPRRMHILPLYSLLPTKEQMKVFEPPPEGSRLVILATNVAET SLTIPGIRYVFDCGRSKERKYDPVSGVQSFEIDWISKASAKQRAGRAGRTGPGHCWRL YSSAVYERDFPEFSPPELLRMPIEGVVLQLKSMNLQHVVNFPFPTPPDRQSIVKAERL LTYLSAISPSGQITPVGASMSIFPLAPRFARILLVGHLHDCLPYTIAMIAGLSAGEIF VPENQAIPAAVEQTEEFRTNEEVIAEDKRARIRKAFNSVHKNFCSLDDKSDAMKILQV VGEFAHEPTEEWCESHFVRYKVLKEIMQLQTQLANLVKTNLPAFANFKLPEKLPRPTQ QQIQALKQMVAAGFVDQVAIRADKAPNPPEMYRKPQRAIDVPYIPLIPLDSGDQGAID PADKLVYIHPSSPLAHLSVEECPEYVVYAHLQRAGNVLDDGSGKKPKTRMHALTDLTG AQLANVAKGTPLITYSKPVKEVQGSDDGKTREVWVVPYLRAETGGGLGWPLPMRKIKQ KRVLGKGWVVE MYCTH_2138495 MMDEDSIRAEPFPAPSRRITGTVNGVPTEVGSLMFSDKIMLTVS QGGRLAQWIQVPLSAPSPASVDMALPGAGLSALPSTHLTPKTLVGVGGEGRETLGHLY ASQIASHLTLRDPNEKRTLLLGLGLDKVESDGEAFYDLLGLVLQVL MYCTH_2302143 MPTRFSKTRKHRGHVSAGKGRVGKHRKHPGGRGMAGGQHHHRTN LDKYHPGYFGKVGMRHFHLLRNHYWAPTINIDKLWSLVPAETREQYLSGAKTDTAPVI DLLSHGYAKLLGKGRLPQIPFVVRARYVSAEAERKVTEAGGVVELVA MYCTH_2302145 MDNEAGNAMASHDERLAREQQVDNAIRAIQHKKPLPEIDFTIHT MEDGTQVSTLERVCKDVQAPAMYKPTNEQFFEDETHQKPNIQFLKQHFYREGRLTEEQ ALWIIRKGTELLRAEPNLLEMDAPITVCGDVHGQYYDLMKLFEVGGDPADTRYLFLGD YVDRGYFSIECVLYLWALKIHYPKTLWLLRGNHECRHLTDYFTFKLECKHKYSEAVYE ACMESFCCLPLAAIMNKQFLCIHGGLSPELHTLDDLRNIDRFREPPTQGLMCDILWAD PLEDFGQEKTTEYFVHNHVRGCSYFFSYPAACHFLEKNNLLSIIRAHEAQDAGYRMYR KTRTTGFPSVMTIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVF TWSLPFVGEKITDMLIAILSTCSEEELREDSSATSPGPISPSLPSASSASGQDPESIE FKRRAIKNKILAIGRLSRVFQVLREESERVTELKTVSGGRLPAGTLMLGAEGIKNAIS SFEDARKVDLQNERLPPSSEEVQKQHDEERAQALERAAREAEQDKKLQTLSRRLSTDR KR MYCTH_2302150 MADMKDGKFVHDATTPPTSNSVFDLFSLKGKTAIVTGASAGNIG LGVAQALAEAGANVAIWYNKRKEPAEQAAAEIEKEYGVKCRAYQVDVTSYDTVAAAVD ANVREFNGRLDIFVANSGIAWTGGPMLDGPVDMYSAVVKTNVDGTYFCARAAGQHFRR QKREGTTFGDDARPLDPPFTSGSFIATASMSGHIVNVPQLQASYNASKAAIIHLCRSL AVEWAGFARANSVSPGYIVTSISEFCSEDTKRAWKDKIPMGREGHVNELKGAYLYLAS DASSYTTGTDIKVDGGYCAP MYCTH_2138498 MATSQTKAAVAASIGLRALSYVFLRWSLVPVLPAIVFTLFALYL PAFVLGYRKEPKHVLVDRVDVVVTETTVEGKGTPNNGDSRRSHGDREVVAEDVSVKET ISVEDRPLNPWKTLLTGAPNPRSLALSLATLLLNGLCVGLVADRLFRERSYTVDDLSF VRVGYVSENEAKLLIREPDQSKMPITVEVHIKDPQPPFDNPLWQNAGGVRWTAEETDY TAVVSIPLRHSKKRTYEWRTSNNHSGEFTAPPPIGHAEETNYGPFTFLSTSCIIPRFP YNPLDHPLSIPGLRHLARVLPTLNAQFMLFLGDFIYADVPRYWDKSVGYYRQKYRQVY ASPDWPAVGQNLSWIHALDDHEIFNDWSANLTGVYTSAIDPFHHYHAAANPPRARRAS AFAARAPNATYFEFTQGPASFFLLDTRSFRSSNTLLPATATDKTMLGADQLADLLAWL RRPEPRGVKWKIVASSVPFTKNWPVNTRDTWGGFLAERAVVLEAMWDAASRGLGVVVL SGDRHEFAATKFPPPDGDPRWPETATVWEFSASPLNQFYSPFPTYRQTDRDDVMVKYI YKGNSKFGAITIENMEGSDQSSLKYRLFIDGEEVWNTVVLSPPPAKVDRSTGSFWGRS VYRWDFLRHYMYYDHRADKPMREYWGDEIQMRPPEVDYDVVMEDDNDDHGVALLTDKI ASRTYGFCFVRHTPYEDPDDTRKLLERIAFIRQTHYGGFYDFKPDLAMADTAYTNQAL PPHTDTTYFTDPAGLQAFHMLSHERGPDGERPYGGASVLVDGFKAARVLHDEDREAYN VLKKVRLPWHASGNKGITIRPDVNYPVFETHSSLGLYRIRWNNDDRGVVPFDKVRPEV WYRAAAKWNEIINRPRLQYWFQLTPGTVVIFDNWRVLHGRNAFKGIRRICGAYINRDD WVSRWGNLNLTERENLYRVIG MYCTH_2302160 MQLPLFSCGLWAFLAVQATATALTYKIGANEEACFYSATQHKDE KIAFYFAVQSGGSFDINYKVTGPNGKPIMEGEKERQGDFVFTAKDVGEYKFCFNNEMS TYTEKFVDFEISVENEARVSLPAKPGASPEQTSSLEESLFKISGQLSTITRNQKYFRT RENRNFSTVRSTESRIINFSLIQIALIVCMGALQVFIVRFFFQGARKGYV MYCTH_78571 MTSIATGLARALPKPKHSSENEEPRVQQRGPRIVAADQIDETQI VVKRAGPPPYPNRSGWRPRVPEDFGDGGAFPEIPVAQYPWGKNDGSSKSNALVVQVDS EGKVDYSAIARQGHSADRIIHTSFKDLIPLRQRAEAGDLDLSRPSQQEVTETAERTKK ALEALVNGALAAQKPKNVNVGGRRDPTFVKYTPSAQMGDSSKKQERIIKIVERQKDPM EPPKFKHKKIPRGPPTPPPPVMHSPPRKLTAEDQEAWRIPPPVSMWKNPKGFTIPLDK RMAADGRALQDVQINDKFAQFSEALFMADRHAREEVRQRAIMQQRLAEKERAQKEEHL RQLAQQARAERAAGGGGRRRSRSGSYGGSDSGSETDESERERRAARREKLREEERKLR QSRMGAERRAQVLAREMDRDISEKIALGLAKPTQSAESMYDSRLFNQSSGFGSGFNED NPYDKPLFAAQEAISSIYRPRANVDDDDEAAGDQEMARIQKGNRFGEALGRGTFKGTE EAEVREGPVQFEKDTTDPFNVDKFLSEVQQETAAGASSSKRGYGLQEESSRQAKRSRV DDDDE MYCTH_78568 MRPTSPVISAYEMAGYSSSPSRWKPASWTRRIWLIVGTVVVLIV VVVVGAVVGVKATRNNGGGNSSYPDYFKLNYTLIDTYSGTTFFDKFNYFHTWDPAGGF VHYVDPDYAGTYNLTWATSSTALIRVDTTVGPGSEPDASTGRFSVRLESKTQYGPGLF LFDVKHTPYGCATWPALWLTDPNNWPDNGEIDVMEAVNQAAAGTLVALHTTAGCTMAD VRRDMAGAAEQADCHNATNSNAGCAVRGAPATYGPEFNEAGGGIVALEWRAEGIRVWV FPRGGSGSGSGGGDERGLAALPAAEAKETPDPSAWGPPLADFPATSCDVGSHFRNQSI IINIDLCGYLTEAVWEESGCSRLKCIDFVANNPSAFTNAYWEFGAFQVYKAQ MYCTH_2302174 MNFPGSSGGGLGGGLGGLGGSAGGGAAGVPGIPSVGGRTGAPAS AGFDPNDPNVKWLTNAMESCYAKTAMSGVAGFALGGLFGMFMASMAYDTPFHTPGAPG APGVPGVPGSSAATTISSLPLRKQLAHGFKDMGARSWSTAKNFGAVGALFSGIECGIE GLRAKNDMGNGVAAGCLTGAILARNGGPQAAAVGCAGFAAFSAAIDAWMRMPKDED MYCTH_2302176 MDDNQTPGAASPNVMMVDAPEEHVVHTNGTEPEDVAIINPDSMD TDAPLLASDYEAMKQHVLPPLPEEPRVLDDQVHTWTVEGWRSLLKKEHGPIFYAGGYP WRILLFPFGNNVLDQCSIYLEHGFEANNVPEDWSCCVQFALVLWNKNHPHIFFQHSAH HRFTKEESDWGFTRFLETRKMFNPVWENADRPLIENECANISAYVRVVEDETGVLWHN FNNYDSKKETGYVGLKNQGATCYLNSLLQSLYFTNAFRKAIYRIPTQEDESMQNSAYT LQRLFYQLQTSNTAVGTNELTKSFGWETRHIFEQQDVQELSRKLMERMEEKMKGTEFE KALPQLFSGKIKTYISCINVPYESSRIEDFWDVQLNVSGNENLLESFQDYIQVEKLDG ENQYFAGDQYKLQDANKGVIFQSFPDVLHLQLKRFQYDIQRDAMMKINDRYEFPEEFD ASLYLDKDADKSEPWEYQLHGVLVHSGDLNAGHYYAFIKPNKEGWWYKYDDDKVTKAT KREVLEENFGGPFKLPNGQMRPLGNKKGPIMRPNSAYMLVYIRKSRLDKVLCPVTEED TPEHLRRRFAEEYALREARRKEREEQHLYLWVKAITEATFQQHGSTDLTNFDATPDTD PAAPRFYRLLRTAPMQELVNQIAADIGQDPKHVRLWIMVNRQNKTVRPDQPVMDLRPT VEETYNRAAAHRDQALRVWVEVAEEFNADGTAVWPTYAPGLANGAVVKNDLILLFLKR FDADAQELRGVGHVYMSKEKKVEELIPVIMKKMGWDKLSSDEKIQLWEEIKPNMIEGL RGKQSLKAAELQDGDIICFQRIHERKPKLSLGDKNDKQSSEEVKSLDRSEDAREYYDF LLHRRVVWFRAHPQKCDAETYKDFEMVLNSKISYDKLSEKVGEKLGVEPTHLRFYTVN ASSGNPRAAVKRGQNQTLQNILVPAGYGQLNMNQRNDALFFEVLEMSLAELDTKKSIR ITLLSEGITKEEQFDVLVPKNGQVKDLIGCLTAKAKIPSEEEGGPIRVYEVSNHKFFR ELERTYPVISINDYTTVIAERIPPEEVEAEDPRDFISVFQFHGEPSKAHGIPFRFLLK EGEVFSETKKRLEKRTGLKGKSFEKIKFAVVRRAQFSRPQYLNDDDCLWEVAANPDDS LGLDHPDRTRAVRNGAGDLFLKG MYCTH_2302183 MARYSHAPSPKWRPVSVLDEWVAREARPISLRQLMVFGRSLTES RLLSSANYVRTELPTRIAHRIRDMQQLPYVVVTNPHMNEVYDLYYTAFDTFRKVREIK TLDDNDRFCKTIRSMLRAHLTVIPKLAMGILECNGLMDAAELDKFMNTILRSRISRRV IAEQHLALTETFHAPWFFPGAKLSETEFIGEVFLKCIAKDVVSRCGKAVREILQRAYG PDVQLPEIHIDGHLDANFPYILSHLEYIIGELLRNSVQAVAERHQRRKDKDHPDPSRP PPPIEVTICESQQHVIIRISDQGGGIPREVMPYLWSFSKGPATGKILANLGKVPKMAA TMQELQLEQHGQAHDDHEDKDNENRSTSIEGGEISRDINSSLASLSSRPPNLRLGMGL PLSRVYAEYWAGSLALHSLEGYGVDAFLQISKLGNKNEQLTTRATMDAV MYCTH_2302186 MAPAAAESASPIGIANLPNQRHKIVAKRGAAFTIMVAGESGLGK TTFINTLFSTTIKNYADHKRRHQKQIDKTVEIEITKAELEEKFFKVRLTVIDTPGFGD YVNNRDSWMPIIEFLDDQHESYMLQEQQPRRQDKIDLRVHACLYFIRPTGHTLKPLDI EVMKRLCTRVNLIPVIAKADTLSPADLAKFKQRIRAVIEAQNIKIYQPPVEEDDEAAA QHARSLMAAMPFAVIGSEKDVKTNDGRIVKGRQYSWGVAEVENEDHCDFKKLRSILIR THMLDLIHTTEELHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEDALRKRFTEQVKI EEQRFRQWEQKLIAERDRLNKDLEQTHAQIKQLEAELEQLQGNAVRSHGRR MYCTH_2302188 MKTDFKFSNLLGTVYCRGNLLFSPDGTHLFSPVGNRVTVFNLVD NKSYTLPFAHRKNIARIGLTPQGNLLLSIDEDGQAILTHVPRRVVLYHFSFRSHVTAL AFSPSGRYFVVGLGRKIEVWHVPSTPDTNAEGDLEFAPFVRHHTHTQHFDDVRHLEWS SDSRFFLSASKDLTARIWSLNPETGFTPTVLSGHRQGVVGAWFSKDQETIWTVSKDGA LFEWQYTTKPGRDEDEMLDESDMQWRIVNRHYFMQNAATLRCAAFHAESNLLVAGFSN GIFGLYELPDFNMIHTLSISQNEIDFVTINKSGEWLAFGASKLGQLLVWEWQSESYIL KQQGHFDSLNALVYSPDGQRIVTTADDGKIKVWEIESGFCIVTFTEHTSGVTACEFAK KGNVLFTASLDGSVRAWDLIRYRNFRTFTAPERLSFTCMAVDPSGEVVAAGSIDSFDI HIWSVQTGQLLDRLAGHEGPVSSLAFAPNGGLLVSGSWDRTARIWSIFSRTQTSEPLQ LQSDVLDIAFRPDSLQIAISTLDGQLSFWSVSEAQQVSGVDGRRDVSGGRRITDRRAA ANVAGTKSFNTIRYSMDGSCLLAGGNSKYICLYSVTTMVLLKKFTVSVNLSLSGTQEF LNSKRLTEAGPAELLDDQGEASDLEDRIDRSLPGSKRGDPSARKKRPEVRVTGVAFSP SGSSFCAASTEGLLIYSLDSTVQFDPFDLNMEITPASTLAVLEKERDYLKALVMAFRL NEAGLIQRVFQAIPYTEIGLVVEQFPTVYVARLLRYIAAQTEQSPHVEFCLLWIKALV DKHGAWLTANRGKVDVELRVVARAVAKMKDEIRRLADENAYMVDYLLGQARDSKPAGG EPGGFEDLGMKALPSTTKQVTLNDVMQEDVSEEEEEEEEEEWIGLD MYCTH_2302191 MASTADLPYNVHVTPDNTGLWHIRQTDEAAKKVSELLQEDMEKH HVFFNQLHYHNHIPHHLLALYGTGAPPSSLQTAYVNNTTYQRPALPPHTNEPVNLHPW PSAAARYLGDETYYPDFLRFFQSEMPAAAAASGPSSSSWQPVVRKYLFDSGSDDLLLR MFAGVLHPLIQLMYGVEWGQEAIVAEALAQAAVHEGWVGEYLLEAERLARQGGEEGEG KGKEAGEGVMELIAAVRANPKLAGAARHGDANKIRDGVLARARDEMLAIAARVRVRPD QKEVEEKTAEMFDAALFVAAAAAMVKDGKQPKFDFFLMHHVTSSPFFVTLNAQDWVPV EIKARMLEWKIRMDLLQYVARGAPELPAEKLAAYQPRKPGAGGSLADIIARLHTFQDD GHGIKLGRAAVICHNICKKYEQEGKGWLKLTGDELWNKVCHLIVDSLEAPGPRWVRSC GFDEAWEEIPNI MYCTH_2302198 MDSPPNDGPDGSSLRPVSSLLAKFENLNKPDANPPPTGASSRTV SPVPAPALAPKPSRLRERDASPSATREPPPVPPSRPHDRLNASNANQTTAATGLSTSP SRPLPPPIAPRPAHPPSLTVEPPHSPPKRGAGLPTGDRPAFVNADSLIQSSSSSSSSA AAAATKQFKIPSRPRTPVSEPRVSPRLAPAQPPSPPPPRRSAELRRERENRDAARSAV PPPVNRAEKPAMGAARFSLFAQPSQPAQTPSRPSDKVSPFSSPPSSNGTAEEDTPPAL PSRPRANPERPQRPGAVQTASDPFDPPPLHPTAVARRLDRESANGKAGGPLTPQPTGE QPPKLPARPQSFIDSSRPAYATSAPPPRPPRPVLNTSYPHEIGAVGGVAQKLAASTPT THASPTIPRLNGRSLNMGTDRMPSRIANDLRAPTTTPSTPVEHHRSLDAQAAGSTRSD APAPITTAYPDTSRTNRSKPYLSKGVHEIATNYDSRVFDVCGPVVCTTGTYTRAWSVQ DGELLMSLAMGEGMKGTAVIFKPGENVDEEGKRIWVGNNHGELLEADVQTQAILNQRP NAHGRYEIIKIYRHYNELWTLDESGTLHVWGPDERGVPNLSVGPAQSFRVPRGHTFSM VVGDELWHATGKEIRVFLPTLDGRTQFQVLIRALVQDSAGEVTSGTILTTDADRVYFG HSDGKVSIYSRSDYSCLGVMNVSQYKINSLVGVGRYLWAGFNTGRMMVFDMEQTPWLL KKDWQAHKNPVVGIVADRSSFYKLDLSQVVSLGADNVLRTWDGLLQEDWLESEMKLKD VEYCTFEKIGVLVLTWNAGASTPHSLRYSEADATFIRDLLQSSGSPDILVFGFQELVD LEDKTATAKRFLKPKKKEGSDQERMSHQYRDWRNFLAQSLDDYMSGDVLYQILHTAPL VGLFTCIFVKADLRERISNLSSAEVKRGMGGLHGNKGAIVVRFMVDHTSLCFVNCHLA AGQGSANARHNDIAAILEAQLLPPQRDPGVRIDSFVGGGDGSMILDHELCLLNGDLNY RIDTMSRDTVVAAVKAGNLAKLLERDQLLVARRRNPGFRLRAFEERPIEFAPTYKYDV GTDNYDTSEKRRSPAWCDRLLYRCGGGRGRIEQLDYRRHEVRVSDHRPVSGRFRFEVK RIDPKRRAVAWMECQQRWEDRRGREGQEEK MYCTH_2302201 MNTVKSFWMGWGSLCVAGGAAYYFAKKNINADRQSRLEEQRRKK AMIESLEYSENVPNRPLSSATTGGSNGSPANGGPARTDTAGSPSQESSSDPAPTRHAP ATESQRVLEKSKYEASVPFRSPKGDRFS MYCTH_2058345 MASAELIVAKTALSGALFRADPRPCSRDDIESMLALVNSTITEC SPSNVQRCKQWALSNLVPSSSRIAPFCKYLVALSKSFEGPSHVPAGEPKSGRIPSAKR RRLHVLYILSDILYHVYHRDRDKEFAQKLEPTLPALVRSAASFINCPKHIRKIHDLIG LWEENGYFAPSFIQQLRAAVDEGPSSSDEGKNDKDADTSTDPVAKAAKTAPWVMPAMH GDPSTPWYDLPAGNWLPALEPNSTRPMNPSMIKPLVLSQGPADKSLVEAVKKLLADID KIYSPEPNHDESPPCIGPLGERIEIDEITGEIIGGDTYYGWSRAFCERMKRRRRGGSG Q MYCTH_2302206 MKRFGARVLSRGKDSSKSSKKNKDSKDGTASPNAAARDSNQSPV LTPSSSTSTLNDVRNKPLPPNTAAAHADHASLAAQAQLGNTGANLPGPERFGTGVPGQ GANGAGTPARHGALPPTVIISPSAPHVPPPGAPETMPHDLAPPKAGQKSLMYDRLQQT PKDVLDGPKTPRRQHSSRFDISAHRELEKLPGFHEVPPARREDLFMKKIEQCNVIFDF NDASADMKSKEIKRLALHELLDYVANNRQVITEPMYPVVVGMFAKNLFRPIPPPVNPQ GEAFDPEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKAYIDHSFVLQLLELFD SEDPRERDFLKTTLHRIYGKFLNLRSFIRRSINNVFFQFTYETERFNGIAELLEILGS IINGFALPLKEEHKLFLTRVLLPLHKVKSLSMYHPQLAYCIVQFLEKDASLTEDVVLG LLRFWPKVNSTKEVMFLNEVEDIFEVMDPAEFAKVQEPLFHQLAKSVASPHFQVAERA LYFWNNEYFCNLVSDNVEIILPIMFAPLYENSKGHWNRTIHGMVYNAMKLFMEINPQL FDDCSHEYTEQQNNAAAREALRQRKWAAIQEQANRRKAAMAANAANGSSDKSGPPPRQ KAVPTLPRVDEVDTADDNQKRLNSLKLQDGDRRDRRPEMHDRQNSIGSARSQR MYCTH_2302212 MKQFVEKVLPGDVSKLRKFSLQPGIESGTNIDLIPPPYFTPITL PFSYNYAQNPHTKEISLGPSDATGDLSEDQEYGRVVNITSRAPAAGYFIAHDEYPVPS GPRREPDMSDPQVAAIITEMRQAMEERPIWTRRSMWNRLGAKFAELPKNGGLVRHCLQ YAGYQFKGGPWRDALVKYGLDPRSDPKYRIYQTLIFKLHKTSVGSVGRSWQALRRKEI GVSNFGKAWKDMGAGDDAMLNTHIFNGESFSTDGKVWQVCDITDPLLARLFAEAEVRP ECDVEISGFYHRALWSVAKAIMKCKMVAIRFNRTLTDSDFSAALEAVKNVPHDGGGGG GGSGSGSSIGISLPDLQLTAAEYEQLRGGKYRPRPGIKSSGREVVDGVGRRRRTHYRV RIPLKETEEREAVKMIKLLTHNTQDKEAAGETSAAATEAEGSTPGEEVLREAPAGATD QDVRLARTGGEEQDEKDEGEEERTEGRAFQEVLEDMDEEDSEEEDEEEGDESEEGEEG EEMVPQKKYPFHQENESEDDLDQEEEYEEGVYSDEDDQEDMEDEDEDEDEDEDEYGRG DDDEEEELGEEGEGFSQTWEDDDGDGGEDGQGYYE MYCTH_2302213 MDFSSIIRGALPTLVTNSSTHGTNTSAEKGLPDSLFFDTLSPLL GFHFNPLVKLFIILYNLVGNRLGIDPTYILTVAGLFWAANKVLTQLYVALYGLARQHF MASIEVSNSDDIYFHMIKWLASQPKMVNSRSLTAETASKGAWEEEDESELTSSLVSAS GSGVFLNFSNQEAKAPPRFTPALGLHSFWFRGRYFQLHRKQESLFEPLAGGHGIPRFK DKESLVLSCFGRSPEPIKLLLQHAKEQYYVDHQAKTIVRRPAPQALRRYGHRHSWQQV ANRPVRPMQTVVLDEEQKIRVLSDMNEYLHPATPRWYANRGIPLRRGYLFHGPPGTGK TSLSFALAGVFGLDIYVISLLDPTLTEEDLLGLFTSLPRRCVVLLEDIDTAGLKRADD PASSSSSSPSSSSSSDDDDKESEGRQDDDSKEKKSPKKSKNHNKRDWKVSDLARELKR AGNNSDSGEKKGISLSGLLNAIDGVASHEGRVLIMTTNKPEALDEALIRPGRVDLQIA FTNASQEQARELFVRIYEPENTKGGSRSFPISVSDHLSSPLTPLTLSSEPPPTPLSLS SDKDSSVTDVSGITEIGDDDDAATDGKIPAPAPLDLTDTAAAAKRDAIPTGRFPLEQQ QQQHQRGHHHRPNQRRHEEQTKTTKTTTTRTTTTTIHPAELAHLAAQFAARIPPGQFS PAELQGFLLKRKKTPRRALEEVDAWVAGMLAQKAGKTRGLSLSVQ MYCTH_2125822 MPDFETQRSEPCPAHSSFNLPKESVDSSAGLKSGPKIEAKRPEH RPLAASNFSRNRLCLRVCVEADLPGRITRRKPVTTTTTTTTTTTTTTTTTTTTTTTTT AAARTRH MYCTH_2302215 MGVPFVLEGMKSEANYPESRVLIIMTGGTICMQPSADGLVPMTG FLEKAMAPRPSFNDNSDPSVKIHAYKNGVKLTLDSLRTPPSAYSRHIRYGVLEFQPLL DSSSISSTGWTEIAMTIKENYHIFDGFVILHGTDSLAYTASALSFMMSNLGKPVILTG SQASIFALQSDAVDNLLGSLIIAGTFVIPEVCLFFHHTLFRGNRTTKVSASSFEAFDS PNCAPLAKVTSLGVDVNWGLVRRPTSIAGFQVTKYLDTAHVACLRIFPGIKPEMVDSV LRVPDLRGLILETFGMGNAPGGVDGSLTKVIRAAVERGIVVVNVSQCTNGFVSPVYAP ATALGRAGVVFGHDLTTEAALTKLSYLLALPGLTYEDVTAKMAQSLRGEMTEMTLPSF SHPAGTLDTAVNRLTAAETAFTALGYAIRNGELRTVREILEGDEFNHQLLKRADYAGN TVVHLAAVGPEPLVLRELLTRGAGVHSRNRAGNTPLYLAEKAGNAECVRLLKEAGAHL WLDNELKGEGSRMPSRGASRAVSPDRGGGRGAAGAAPPSAAAATGAAPAAVDPKGTAE GGSGEVEAVVGKGDAGRDGHVGAEELGELAAQTEPARVPLTGDTGKIVG MYCTH_2302217 MAAALEEAQVSYEELSDLEQEFDDVETEIIRQQYALSKPLYARR AELVAKIPNFWPLVLEQAPMDIDEYIQPSDSAILLSSLKSLSVSRFELDEDEKNGDPR SIAIRFEFAENEHFEDTVLEKKFWWRQSKDGYAGLVSEPVPIKWKPGKDLTEGLLDLA KAVYDEQVAQPKQDKKDKKAKVPLTEKQKALKEKMDQTGMGGVSFFAWFGYIGEYISA EESKQAIEEEREERRKRKAGEPVPKKDDEDEEMEDDDDDDELEEDLDIFPTGDAVAMA IADDLWPGALKYFIQAQEQDGASELDFETDEEDEEDDEEDEEAASRPQKKHKGSKN MYCTH_78540 MRISGILASLCVLARCGTAIDLVLGDEDSVKSAASTIAFGLVKY YTGNNTGDTPGNLPDPYFWWEAGAMFGTLVDYWALTGDDSYNAITTQAILHQATEKGD FMPPNQTRTLGNDDQGFWGMAAMSAAENNFPNPPEDQPQWLALAQSLFNQYASRWEEA TCGGGLRWQIFTFNNGFNYKNSISNGCFFNIAARLARYTGNETYAEWAEKIFAWEESV GLIDANLTVRDGVHVSLEDGSCNSRDENQWTYNAGIFLHGAAVMYNHTNASAIWRERV DGLLASTTATFVDPATGVLVEQLCEPSGFCNTDQRSFKGYLTRWLAGTAQMAPHTLDA VRPLLEADAAAAARACTGDPAPPVFRGHPGTACGFRWTTGAFDGSAGVGEQMNALSAV MYPLVVRGAAAPPLTADTGGTSKGNPGGGAAPAHEEVGIGYAEITLQDRVAAGFVTSA LALGVVAGSAFVIL MYCTH_2302225 MFTKHFVPSPTHLVPAALHSLTLTNPGLAVDVPNKVVYRRPGYG PRSQRVHIVSGGGSGHEPSFAGMVGPGLLSAAVAGTIFASPSAEQVRAAIMSRVDHSS REQGGGGGTGGGDDGGGVLVTVMNYTGDVLNFGMGVEKARAAGVNVEMVVVGDDVGVG RAKAGKVGRRGIAGTVLVHKISGALAAQGASLEQVAKVARLVADNLVSVGASLEHVHV PGRAKPDVNSPEYLKDGEVEIGMGIHNEQGSSREVVELPELVAKMLAQLLDPNDKDRA FVNVNSNEVVLLVNNLGGVSVLEMGGIVTEVATQLEKSYNIRPVRILSGTFMTSLNGL GFSITLLNVVNTDIGGPSMIELLDYPCEATGWSAPISKWTWEERNVATREEDASIGGE TRPSGLKMDGTTAQQALTRALEAVVAAEPEVTRYDTVVGDGDCGIGLKRGAEAILNYL RSHGLSGDAVVDLANIVPIVEKEMDGTSGAIYAIFLNALLAALKSHGPGEASPQVWAK ALRRSCDALSRYTPARPGDRTLVDALYPFVEAMEKTGDVKQAAEAAQKAAEGTKGMKP SLGRTVYIGGSGFEQVPDPGAWGLASFFLGLAGVKRVDDGWEKL MYCTH_2302228 MPLRAKLLSQQPASQRLMSTQQAYELFKPRDGDEPEDVIFNSLY GLRSIELNRPKKLNALNGSMIRKIAPRLLEWAKSDMANVIVMKGAGDKAFCAGGDVAQ LAKWNKSGPEGQELSKEYFAQEYQLDHLIATYNKPYIAFMDGITMGGGVGLSIHAPFR IATERTVFAMPETTIGFFPDVGASFFLPRMPGYVGTYLALTSSRLTGANVFYAGIATH YLHSTSLPQLESRLAELRFSDFDSLQNRLAAINATIEEFVTGLPHDEPILLGGELRRA IDRCFSHDSVDAILRALEKEKGATRQWAQETLETLHKRSPTAVHVALRQMRIGAKWSI RDTFIREHAIASKFMASHDFTEGVTALLIDKRPARWEPASLEDIPPEESAKLTEDFFL MPRNQPTLQLLTEANYDEYPYSDFGVPTEEQVEKKVMLGTMTRAQIVDAFVKERNHKQ GVKEVVEEILARKTTGGPKAVAKWVY MYCTH_2138515 MPEQSQPPTAPIGPDRADRDHDTWRLLSRSPHPYHRLNSELFEA SDHPTSQPITTGHYSSATSTHPFPAFARDSPISESGTEADDEHFLKGLPAPKTRPHKG LRGRSEQASACSTPLLSPAVLEEEGRELHASSHHGGYGRVKRGAAERACRRKELIRRA TEVLLVVCQGAMVASSTKVWPFLRLYQREFIAVGGLFCGLAVAYPLRLVAWAYRRGKP RSLVPLRIPATFDPSPVIYPPLVPVIVSLLIAENVNGAVLPSLVLSICTLPRPLVPGA RDSEYYSGGHWLLSCLPFTLGFLAPTREALLSDGISREVLVLLYPLHQTLCLVLYQLT TTSLLVSELQLLSAALISLLLLARSPQAVMLKALLWGGGLGLAVSCGQVIQWGISLAR VPKWRFKQDRSPTGNKSLYRFLWDILPLQRARSGLAAHRDGALSDTDRSVEEYLDGQA SPPLKKTRRSDSVSDVELTTGGFTSWTTVHPNNTTEPSQQSPSRRHTVPATGKPVARA KSTTTPSGRRKRATSSSVRAFFSLTQAQATARKWLYAGYLYLCILLVILVGIRLYVQK YALSGQEPIGWALAYLFGDIPQFRFQVVNTGLERWIPLPPLLPHTAAGASCCKNGWVD HTRRLTLGGPTTRLFLSAYWLFIILTGLAVVFRLSPVCEVDTRRKVFHFMMVAMFLPM TYVDPCYVALALALMLAIFLVLDLVRASQLPPLSRPIAKFLTPYVDGRDLRGPVVVSH MFLLIGCAIPLWLSLAAIPRSAEGDGPSAGWEVPTREVGMVAGVVCVGLGDAAASLVG RRWGHRRWLWGGGKSLEGSAAFALAVFGGLMSAALWLRVGGWPVTPSSDVVGGIRESG TRTTGSGLDSLITID MYCTH_2302231 MAWKHSALYGPGELSIPASPQSSLAGYQFYCWARCQEAKRVRTR CKYSSRASRVRSFSSATTASLATSGEMRMLLFFDAGDKLGMMHRNSANDSTWVLDERP SRERHPTFPGQQIAATSFPIHYEDGTTPPGWAILAVQLEKYGSLTATYWDPRTQEWTF GSPVELVGGPEPPPAFTAIGINLDLRFYGIADGAILEYRVDKASFTSFYFTSTPVMP MYCTH_92508 MPATLPIQSRRSTDSRGSSDWSLDSAQPPAIAFFNVSLTLLASN SNNKHAKREASITLAINALNAKQVYSIRQAAKLFNVPASTLYNRFTVTRVKDKKGLAI VIIS MYCTH_2314827 MPSDEYTTVGRGPLKLKGGAGVTKKKKKKDKGKTTDLEKNLSTG GGDGSRDSSERALVPTEKEGTPGTGADKGGGGGEGTPPEARGGELQKEDGPPASAVED DYKTEAERRFAEVKRKRLKELAESKRIRPELLKTHKQRVEELNAHLARLSEHHDMPKI GPG MYCTH_2302235 MPEPVLEKKGNDSGGLDYKAKLDEAADRVKKQAREEQSPGTVSK VSQYVPALGAMLRASRRIPVVSHQQQRPHRDRPNGPIMTRRSRSF MYCTH_2302238 MTQSDPPTARRPIPITIVTGFLGAGKTTLILNLIPQLRALNPNY KLALLKNEFGDVAIDSQLASSSAIAGVTELLNGCICCNLVGQLGPALAELRTGAASPD RVVIETSGSAFPATLALEVNRLARETGGEYVLDGVVSVIDVENWKGYEDTSYTARLQA RYTDLVVFNKWEGCGERRFDEVLDRLGDLEVDVAWVKSDKGWVDAGVVFGIDGGLARG LADDEVKDRNHTADADGTGHDKHDDHEKEHHQSEVEVLSIELKGGGEEQGAAVDAEKL MNFLKSSPKDEVYRIKAVLSTSGTVKNSEESVSVPASTPSTTNRYILNWAFGRWTFTP VADGVAEHASSSNAVLRMTMILARYSSTKWKKKLEAGGLLELDGTDKGALTVTKVN MYCTH_2302240 MEHESHGKRHKLGMVSGVYIPVCLNILSILMFLRFGLILGQVGL LGMLALMLIAYTVDFVTTLSLSAIASNGEVKGGGAYYLISRSLGPEFGGSIGILFYLA QVLNTALNVVGLIDCFKLNLGDAMPEGYWWDYLFGTLALMVCTGLCLAGSAIFSKASN ALLVVLTVSTLSIPLSALLRPPFSDPDKGVEFTGASLATLRSNLLPHSGGTEYRGFET FRDLFGILFPATSGIFAGASMSGDLRNPSKAIPVGTLWAMLSTLIVYLLVILALASST AHASFLLNANIIQDTNIWPPVIFAGEVATTFFSALMGVIGSAKLMQALARDKLFPGLS ALGKGTKKADEPILAIFLTYVAAQIAMFANLNQIATLISMGYQMTFFVMNLACFLLKI GSAPNFRPGFKFFSWQTALIGSLLSAAAMFFIDETYATTAVCLLVFLFLLIHYLSPPK HWGDVSQNLIYHQVRKYLLRLKPEHIKFWRPQIILLINNPRRQTRLIQFCNSLKKGAL YILGHVIVTDDFAAGVAEAKLQQAAWTNYISEYSRIKAFVQLTMSPTITWGVRSLVLS AGLGGMRPNIAVMGFYNMDELRRSRPSSQIPEAPVPPVSSQQEASNTEPKVRRRRRGD TSSRILEGALPTDAIRNEEMMSVTSYMTILEDLALRYRLNVAIGKGFESLETPRRDGS NTKKYIDLWPIQMSAAITAEGKSVLTTNFDTYTLILQLGYILHTVPTWKKAYSLRVIV FVEYKSEVEEERGRVKALLEKLRIDAEVLVFYLASGNLTTYETIIHGRFTNPETENLV NTCLKREAWWNDLRVYRGSRSVTASENTEFASIAQVMGTASGRTSLCTTHSVPLESRE RRHSLAQLSDLPRKPSMSQLVKWGVNMGIHTQNLPFSVLDSSDSDLDDDSDSDSDSSD TDAHFTDIESIPRAGDADRQPLLARLRRRRSFADVLARAKSSRKERKLKRARSPVKGS YGTISDQLTGSDGGSEASRQRIGGPLASQTPRGILKSERPALSRHSSSAPRFSSNLVP QTTITNEDGAGPRIMFAEVETRTERPSLSRQSSYGRSGGTERSSGATTPVDKKVSFAE TTSGTKSPARSRRSSISRGSDSGGDASVDIPGLLASYQQLSAGDDNKTGSSYSTQDLS LSFNDLPGRAQHLILNELMRQNSSDTAVMLTTLPIPQENTCQSEEESLAYLSDVEVLC NGLPPVLLVLSNHITVTVSL MYCTH_2302243 MPESIKNSQRPTQQLGTSPGSFRGRSYDRAESIARLASPVALGS SPGSRPQCPASDETSSGDLTPLPGPGSHVAGPGVSALAAALSNSIGTSPPRFGTPPIR SASPALAAVNAPASRTPTNYGSFDGRRQWNGPYEDPEIIKRHLVQPGDGASEGGSKRT SDGSKGKNFAGVSHSGLDDDEFSSLKLQGGDITRPIYKWTEDLVQRNRLQRSKSFSHT RPEPESEELDINNIKVPGGFRRNFIRRAARDPDEAEHGSGSSRPQKPPQLFTNSFLEF LSLYGHFAGEELEEDDEVLNPGEYFTSGSESDSFDEGSDEEPMEESALLTPSRRKRRR KHRGGSGQNSPMNAALLLLKSFVGTGVLFLPRAYLNGGMLFSNLVLLFVAALSYYCFV LLVTTRLKIEGSFGDIGGILYGKWMRNLILGSIVISQLGFVAAYIVFTSENLRAFILA VTDCKTLIPISWLIIMQMVVFLPFSLLRDIGKLGFTALVADAFIVIGLAYLFYYDVLT LNTQGLADIILFNQKDWTLFIGTAIFTFEGIGLIIPIQESMRQPQKFPKVMFAVMVII TTLFTVMGAVSYAAYGSKTETVVLLNLPQDDKLVNGVQFLYSLAILLSTPLQIFPAIR ITENALFTKSGKYNPYIKWQKNVFRFFVVALCALIAWGGADNLDKFVALVGNFACIPL VYIYPPMLHYKAVAKTALRRWSDILLCIFGFVAMAYTTSLTVMSWASDSGGGGLPSHC DTRGL MYCTH_2302244 MSNCSDFILLKEDHTLGNLLSAYLKMAPHVMMAGYKIGHPNVPE VLIRVQTDGTITPREALVTVCKQLVAMYGQLGREFQKELALRQYADQGENGAGGGPSF GGPSGAGGAGQNGF MYCTH_2302246 MASQLLPLELIDKCVGSRIWVIMKGDKEFAGTLVGFDDYVNMVL EDVTEFDYSGNHTKLKKILLNGNNICMLIPGGEGPTGIAAS MYCTH_2302247 MTSRKAVHQVWHVSRGIVFSGGSDVVYPRLPSLRYYSATAAVAS EGDPVSVPSAPAMADMTGGPSTSQGPEDLLSDVVTTSLSTTTTTTTTTRTITTSAATA NVTATADGGAAVKDQSVVTDTVEKPKPKPKPKHSSTPDAVPFTPLDFKIPEKAFQAAR RAPKGSPESFWNYSLYRGPGADGSRDVKVKIHYCTSMQTTERVVKQYFMNEKVVGFDL EWMSNAQRSSGPRKNVSLIQLASPSRIGLFHIASYPSKDKLVAPALKKLMEDPSITKV GVWIKGDCTRLADYLGIKTQGQFELSHLYKLVKYSASGDYDSINKKLVSLATQVKEYL GLPVFKGDDVRTSNWTRRLNMDQIMYSSSDAYAAVQLFAVLNYQRQKLDPVPDLPHHA ELNLPIPVARPVAPAVIEEEDGGDPNSTSEQDAARRQDAAKTQDADGDTGGCNPVPQN QPAVGAGEHDSTRLAEPPGNGLASREEPSRWKTLVTQPNMDAEQGAPLNLPPLAILTQ QDDQSKQATTS MYCTH_2302248 MDEPMADAPGAQVKVTFTTTEADLQLPESKRQLLVPADIRRYGL SRILNSESMLDTGSIPFDFLVNGSFLRTSLEDYLTSNGLSLETNLTLQYVRSLIPPVY EASFEHDDWVSAVDVLSESSPSGRWSGDSFQRGQDRILSASYDGLLRIWNASGQVVTT SPPGSHGGHTASIKAARFLTDSQLASAGMDRTVRVWKYTETDQFSGELKPTLELYGHK GSVDSLEVDGASKRILTASADGSIGFWSASKASAPEADASLLPGAHASKRRKVTSSVS AAQRGPLSLMQIHSAPASAAVFDPRDRIVAYSVSQDHTVRTIDLTTSNVVSTLTTTHP LLSLCALSRAGSASPLLAAGTAARHVTLVDPRVSTSTTSVMTLRGHTNKVVSLCPSPQ NDYSLVSGAHDGTCRIWDLRSVRPATKDEGGMGSVSEPVYVIERESVKQSGRKKPVAG EGCKVFGVVWDASLGIFSAGEDKRVQVNSGKHLVAQ MYCTH_2302249 MVTLNDIAGLGLLQNPSEAATTALERSESNYKSLDNFALPKDRQ YQQQFADIYFLRLAKIKPAVEEVASAAWEGTELGGETATKVDRVLDVRQGQLCWVAGT IYMDMPLKPSVLEDVAKDRWISAPTTADHYYSEAGGESIMLEDDSGRIRLVGDVLKSY FLVTGCIIAVMGTENVNGEFEVIDLKFADLPPQPDRWALSKPAGSASKKTKVKDEDVE MADSQPSKKIAIVSGLEFSGTDTSYAMELDLLLEFLLGEALDPAAQSELAHISRLIIA GNSIAKPSEHDAADRDKKGHKKYGYDASSYNPVPSQLLDTFLSTLLPTIPVTLLPGAH DPANASYPQQPIHSAMLPTARTYTSPPGQSREEQPGWLDPVTNPWEGEIEGWRVLGTS GQNLDDICKYVDNSDRLGMMEAMCRWRCSAPTAPDTLWAYPFQEDEPFVMKECPHLYF VGCQPEFGTKVIEGPQGQMVRLVLVPSFAATKEIVLIDTETLDVSKVKITI MYCTH_2302251 MATHTNPFLASLGNGKLPTLAEIEAAAFGRIEPEAFQTQQVKPP NSLAKVSRWLADQDGHVGDGHFVKLNRPRGSKSSRNNPIIISDSDEELTTQRQPSQVR HAYTAGARATKPVLYTPPSSIHLSSRGRKRKAPDLDDKVEEVIVVQPPRSIQERHPRP TPLIDLTASRHSNRTTSLLGNWDEDVLVVDAPPAERRRELRQLGLGNQTGSGGRPQKR PRHAPVIAVPAANQSPQPHAQAAPDEPSAPEADAAETTELVQSIASVTHFFSLATEIR DKIYRHLLVSPKPIHVQHLWTEPARRSTRRGRQGEDIQANTIDTKILAVCRRTALEGT RVLYSENTFFYLLRDPEVVMCSNGGRRSQRVAGRSRREQNGPSINLARYGHLIRRMAI ELEPNRTEKSYEKLMSAALQTLAPATAESLPSPPRPVCSPIHLHILTITISPLLQSSH RTARSSGPDNQDVVSHEGRFLSTVSFFNRGSPVLKALQRLDTDFLRINVHVNSEIKND RSVGAETGDESSSSDDDMDDDNLDGSSSAAGARRQSRRRHLETTIDLRCLPRRLEALR QEPGPLGQLWASDVLMQEQRRRKGEEAENTLADMRRHLEDACLKPDLALRGI MYCTH_2302253 MGSCSPEPPKPWVETPLIFSPTLSRAAGCNIFLKLENVQPSGSF KSRGIGNMMLTALANTNPDERVHFYCSSGGNAGLACATTAIALRRPATIVVPTSTSAF MVSKLRELGAEVFQIGASWAEADAFLRETCLAPEDGVNKVYVPPFDHPDVWAGAATLV DEIALEMGAVGGRYADRGGLHAIVCNVGGGGLLNGVMEGLERNAKRLSAGGGAGVKTP QVLAVETVGAESLYASVQAGELVTLPAITSIATSLGARRVSEKTWEWAKKGGKDGSLI CSTVTDKEAAMACVRFLDDARILIEVACGATIATAYNGDLRRYLGKDLTDEEWATRNV VLVVCGGSNTSLEILEKYKATYGAE MYCTH_2125843 MGTFQHNESPSVASVPVSTARAVLVPYDRRHVLTYHAWMEDPAI QEATASEPLTLEEEYENQESWRASHDKLTFIVCQPLAAVEGGESGEGGTVQAGGPDAP ERMVGDVNLFLYPYEDEGSIAAVPGAVPEFCVGEVDIMIADRQHRGKGLGRAVVQAFL YYVHRHLDGIMREYAEDKDMPSPPRLKLLKAKINQNNKTSIALFERLGFEQEGVVDYF GELKLVLRDLGRLAAEVPQGYAELVYSRDHSQDSNR MYCTH_2302257 MAIEIARAACARRLLRWQPALTRLNSWTSHSRQSNLAVTSRRFS TEAPPAAADKPYYVTTPIFYVNAAPHIGHMYSMVLADVFKRWHVLRGNKTFLCTGTDE HGMKVQQAAARADVDPKQFCDENAKKFEELAQLSRVDYDRFIRTTDRDHVQAVEHFWF LLRERGLVYEAKHEGWYSVSDECFYPESQVVKHQDPFTGAVYMASAETKNKVEWVEEK NYCFRMTELKDRLLDFYEKNPGWIEPAYRMNEVVDWVKNHLEDLSISRPVQRLTWGIR TPDDPTQTIYVWVDALINYLTAAGYPNWIPGEAHKGGWPADLHIIGKDILRFHCVYWP ALLMALDLPLPRKVLVHSHWTLNRKKMSKSIGNVVNPLYAINRWGVDTARFYLLSEGG ISDDADYSNDTLVVKYKKYLQSGFGNLLGRVTRSKAWNLSEIVKNNFDETKMASRPEI PESLQPAITSLEDALVDLAPSVAQRMEDHNPVGAIRKILEMGASLNQFLTQTQPWSLV TSESPADRAAAEKVLFLAAEGLRISGILLQPFLPDKAWRLLDILGVGHDARTFSHAVL RCDSKYGVPMAPTGSGRADGLFPPLIEDMDVNFGGSGKNKKTAKNQPPPPA MYCTH_2302259 MVERDELESLLETGSWRTSRSRSPRPYDSQSREYDTSKTRVSIP RVAELPSRTKKPPAAYVEDEEESLAKEYGSVVSATPDEEPKHRGDIDQTPILQLVHEY NPERRFVIIPGAAVEDAPKTTQARYEANTCRKYVVVSSDKGTGNGESEKRDSQRQRRP KEESPGSEEEPGRQKARPDIPKRKSHQDLPRLNTDFDHEEPPLRRSSSRRDRDRPLVH QDPREYQDPREYSSSRDRPSARPSDGDFLSPAAVQSTNRGRYRVYSDVRSDAGSGSGR SPSARREAEVEMPERRRPHRSSRYLASPATHRRASSTTNMRNRDGSPVERPKTLIQPY GYGDPGEILAFMSPGDDFMTGRPGRDLSPPRRPRTSNSPPPHPRGARDMPGASPSRRR QPRSTNRDRDGYSSDDSYKERRSNRAERPYPTRSAPEPEHTSTISPDQARRQNPRLGA VPPLAAPLPDEVPQKSPRSATFPTDKSERFGERSMSPSSAASASPSRRQGQSNRLSHP GTQSRDASVGSGSNGSASLPPTAPGSLPRAATLDRPPAGPVVNLMRQESSDAQSPTLY WHSDRRIEHDAGYGDDDDSTSHALAQLPDCPWKYPTAVRSRAGSDQFLTLKRAENFRI CRECYRALFANTEFQHMFVAAPIRSGDQMLSCDFGASPWYRIAYLMTLKHGYPDLRLL QGIASVAARSQACAGSQVASRVWYSMMAPNSRRPISTFNVCLGCAKMIEVLLPNLAGV FVPLDSHEVTRGVCELYFAPDRKRFFDYFEEMKTTSDKALARRTAPDVVELVDRIREI SIHDECLRNTPIPNRRWHVLQRVPEFTVCEECFNTVVWPMIEDADNGSEVPRNFYKYK QPKPVASCQLYSDRMRRVFLEACKYDDFEFLASCVLHRLQALSDIRARYNELQREGQD DPAVQDELAALARQFKEVE MYCTH_2057906 MRFSTLFPVLSTVVLPSSAALVKEGSRCVVSPISAAVASSEEGG LVARQSQVDDTPQILEAFSQCGQDGTIILQEGTYHIRQVMDTTNLRNVSIEIYGTLVW SADNLDYWRRASFDVVYAGRQTAWRIGGRDITMRGFGKALFDGNGQAWIDLANGASNL NGRPISLTVWYGTNVLIDGITWRMAQFWHTFVAHSENVTMTNLDMYTLSSNGRSSQNT DGTDTWNSKNIFIANWTVTCGDDCIAVKGNSTNVHVKNVACTESGATVIGSIGSNAWQ PDYVENVVFENITAKHSSNAAWIKTYPGTGYVRNVTFRNIHFEDVNQPIYLSSCICTS WPPPFPPFLSPPFFLPQTCNCNNNNNNNNNNRKRGN MYCTH_2302265 MMALSLKYPEPLVIEFLPRTYGENAVQPRKRWLKLILRSSRSQW HLKVSFHGTIQDDQHPIFGKKLKRRNDLQDLCRCIDFRRIQLLDNTVTEVILSLDPGT QSVRLPCQTQPAADSEYISVISHLWVRTLEDPLRIRFPVFDNDSGIPTKELSEIREKE ELNGHSVYKVLLYGDETVYVYKEVERPHYVPPDTEVLQQELQNLELFRGNTVGIVQLV AAVISQNPYQTTQTGKENDSNVLRGILLEYHPNGTLEDTLKSPKAETNGRWCQWALQI ASALAEMHQRGLAHMDLKPANIVISADFDAVLIDISGIGGVTRQWLSPEMLDKGDDPL SWSIEARKQNDIWALGRIMLAMADACCTDDEEQLLRSVAQAAARPLPRIPLSDAITTL SSALLSLDHSDSSTGLPKENGGA MYCTH_2302271 MASRPTVTVFGADGKATGATEVLPKVFSAPIRPDIVKHVHTGMA KNKRQPYAVSEKAGHQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNMCRSGRMFA PTKIWRKWHVKINQGQKRFATASALAASAVAPLLFARGHQVSTVPEVPLVVDSAAVAG DALAKTSAALALLKAVGAGPDVEKVKASKKLRAGKGKLRGRRHRQRRGPLVVYSPETD GKELVKGFRNIPGVETCPVDALNLLQLAPGGHLGRFIVWTSAAIKQLDSVYESKKGFY LPPGIVTQADLTRLINSTEIQSVLRAPKGEARTKRSAVQKKNPLVNKQIQLRLNPYAA TFKKEKLGELKADEGKPKPTPAEFKEQLHEL MYCTH_2302273 MTSATATSAGGASRPLALPGNSSPLRYANAQDVPSFPSPGLKPG GAAASAAAALGWANTAASAGSPGEVPSATPMSPDALLAAGWAQRHPQRSSLSPPSRSS PWVSTAATLAFRAAKTPPPTYEFLDLSRRNSMHAAKGAMGARPRSRSSPQTAREKTPK DQYGTGAYALSAATTVQRSSTGANPLSTGEAGAVPYTTLDRNMFTSNPPVKPEVDEKN RADVLHASALAMAKKMYNQQQNMFDSSTTAHARSSSYPRLGDARNQEQPSTASNTLQE AANTLQEAAYRLAQERLAKLQEEHNKQRGLQEYYGSGTPQRARFGSVKSKMTRRRSSS DGDLLDDKRRSGQIRKQMSLLNNKLTEVDEEKRTRDRDALLAAAQRNVKAQMQQMDEK VQSDTGRVPQVTMDDWGRKADVAAQARLAALSHNNDGKVDIGGGKFVDKSEVDKVAAE KVQPLLNEINERAEMEAARIQEEKLEEERRKEKMETEKMREREIQEIHKKLRGECSEP VSAGNHAEPCFRPAKGRRESEEGGDQTAGEGSQG MYCTH_2302276 MVRLSYWVTPILGGIVWLATLLALLLYWIVHEHRVHYSSMEPAQ TIAYISDVGASDLKPLFIVGCVLTTVLLDLSFAADRWLRHRGRLAPNTRTREKVLSGL TIVFAIVGTAGLILLSIFDTARHPTLHDIFLFLFIVGYVLSAIFICWEYWHLGRTHRE TRILRLSFYIKLVFIVVEVVLAVAFIALSRTGHYNSAAVLEWIIAFVFAAYIFSFYVD LYPAAKTKQQQQQQQQLDEITARNGHGKALQMRLTRGREPALAHPPQAGSSRYDASSD MEMCASEGTLGTAPTASFEASRAAHGRRSGSRSRVMARNF MYCTH_2302277 MAITTMTLDPIEQLNEEEKRKYIKGKKLGEGTYANVYLGHSRQD PSLRVAIKKIKVQQQYRDGMAPDAVRELKYLRELRHPNIISLLSVFSSKDQNLNLVLE YLPLGDLEMLIRDVDHVRYGAGDIKAWMGMLTRAVWFCHDNYVLHRDIKPNNLLIAAD GEVKLADFGLARSFADPGRRMTANVITRWYRPPELLFGARHYGGAVDIWSVGMVFAEL IIRAPFLAGNTEVEQIALICKHVGTPTEENWPGVTQLREYTVPTEVVPVWGKDAYMGR FGAVGAEGVDLLVRTLALDPKRRITAREMLEHKWWRTDPKPTRKEDLPRKSGGEEKMG ADLKRRNGILDDETNSRGAKVARRLDFGQGK MYCTH_2302278 MICPNHAEILATILDYLPVADQLRFARTSRRMREMVYDDTRWVA RLRSMGCWNEAEARRRFEEVLLARRRKAASGAPGRLGVGVAAGAGAGAGAGGAGPGTS AGEEGRATRQSLLFDAGLALEEAEEEERRQQGRQMAEVRDGFETMKVAGTTGHADAAA AVAEAGQRDPSTDPEALLGVIKNARSIRGLARQEYGKIYGALAPFYFDLVCSKSHTDP LVFKVFRDPERQAQMLANLRIFAKSDWAQGALGREEKLDMMTSIFESAVLREFEQGYE FWDVDGRMNKYAHVLDMLNGSNAAVELFIQKHPIFSDREVLANPMDCVNQALADSITL EPSRRFFDVLSRKVNEQAGIIERIFPDPGPVFWAFVDKVREDIIMEYATPLFDETHER SIPSYLRAVSGIFEQTLHFLRTLTPPKGGEAEQEDRAKELALKTFEPHLDLYLQDEID EFARQAEREVGEWEKKLSEQDASVESFYMGSFANRQADKKDFLTSFKKVVMMPVTVLP TTFSLPSLPLGSPFASKPSAAASTNGGGGGGGGGGSSSNTVQDPGQQGGSRAQSPGPS AQSATDRSSSPPLPGKAPTDELAAKAALMTSRLEGIKTLLSIEVALSLVHAAKASLGR VAVFIQLGGQAGGEAREQCAAIFVTLLRILGNRHIKPGFDRAVEHLSQYNPREVSEHH QGGVAPLVTFIELVNVGDLISQMIDVFYEQQLAGPKIADRNDFMDPAGLAKKKFEQML DESVAAGLNKGIDVLMDEVEYLCATTQLPTDYNPGAATTPDAASSSSSTTTTTSSLEK RASALPGAVDMDVGPTQTAQRIRDLVASHTSMLVGSTDKSMLDVFNGEVGLRLFTALC KHLKRQRISTEGAVKLIADMNLYFEYIRTLKNQDLLAYFRALRELSQIYLIDARHAKE MATIIADGDRFGGIFRAEEVYEYAERRADWYQVRKDVERAMYGLECCL MYCTH_2125853 MRHSKSLVRSEIAIPPQKRYVITMPFTAVEEQHYQSLFEELAET CGLDGRGNPLQGDWDPEDPAVQRAMRVALDRLRQTVLHPEVGNRNRRALGHKAGPMRT VTEVLDAMLEQSESAMRTDQRSLLSTTLVKGQIFACQKRVHDALAVWREVLAKSTELV DESRAQLDREVQEAQKAKASETRSAMEDGEDVEDDDADDVVSPQVGEARRRLRSALEI QHRAVFFCANAYFSIKSNEEETPPDSDQFKSLEKMEVDAYDRAKEIRKEILQESNGKA RKLMERLTKAASEQAFAVIPTFTSVEKNGIESRRIGDAIEELNVALDEQAARIDDWRE HVIQLLLKPLVDEESGEITGEEYEQSTKLQEEILVYLQILRKACADRHAAITGQKNFL VEHETKVASRMATAGEGPFPEKFLALLKVCESVRPPFVEGDPLTSMRGIISELRALSV KLRTDAGTGSSRATKELAIVSGLLKSTLRHQTEQTKAATALEKEIERFRDTLNARIEF YRQLQEVSDTVREYEGSLEPDALENAMLRTIGQERELQEKLNTAQSKHRYLMFLKEAE SDSPEQRMCVICQSDFTVGVLTVCGHIFCKECITLWYRAHHTCPVCKRHLSQSNFHDI TLKPQELKVHSEAHQGRAGDLQEAPVTPTKKVSTIYTEFNAEKLAEIKNIDLEGPSFT TKVDMLIRHLVWLRESDPGAKSIVFSQYKEFLDVLALAFRRYRIGFTSFDKAHGITNF KEDPGTEVFLLHARAHASGLNLVNASHVFLCEPLLNTALELQAIARVDRIGQQHETTV WLYIVDGTVEESIYELSVQRRMEHMGRNLKGESKESTPELLDAKLDEANALEMQQAHL SKLMGKDGISGEAVDKDDLWTCLFGHLRPGQGRSGTEPANLPVRRFLAAEAAEARRRD MYCTH_2125854 MRQEVQPRPAKRAKTTKVQDSICIGREVLTVTFCGEAAASQKLP MTTRKDVGYVIDARLADEARNPGNWRLLLKPRPNYRGRHFRLDLPLGDAKKTRSLRTA LHVAEIQASDPGEEGCIWASVDASVEQIGSSIQLSLAIEVRWNESLTIWGSERSRLNS QQTLRDEVLETWFPNLHLPKGSDAQPSWSPQDFYEAACVPDKDKLEADVSSMEVPRLE SVLYPFQRRAVQWLLRREGVCWHRNTDSSQAGIQPYVPSDLSDPPISFYEARDVVGNP IWVSPLLGAATRDVSLFRPIQDLRGGILAEEMGLGKTLEVIALILLHRRPEFPVMVYD SFLGRELLATSATLIVAPSSLLDQWLSELNRHAPSLKVIVYPGVKRLARAKDPDELSA ERLAEQDVVLTTYDVLRKDIWAALDEPVRSMRNEKQYERVKSPLVQLSWWRVCIDEAQ MVENWTNNAAKLARNIPRINAWAVTGTPVKDDVQKGADEPPCY MYCTH_2302283 MKSLRIQSALGLFLRPCAAPSVAIPGLRAQARWAHNGSQQQKQS QLPLVPAPIPFVPDVETFLTVIGRGLKQHASKFPTWDALFTLTSEQLRELGIEPPRTR RYLLRWRQRFREGKFGIGGDLKYVENGTAELRVHEIDKTPLLRIRRVVNVPPGKKIEE VSPSEMVRAKGFTVKGTRTIVGPYALPMKHGGARITVTEGMWEDKRGHKVDGGERRRA EIRFKRRVAERKALREAQGYY MYCTH_2302286 MSSYQPVEEQGYIEVRHPHDSHNEEWDDAGLRSRHQSTPRQSKQ PLPSPHSGKGRSKTKGSKTTAAAQSGSDTEREPSKMSSRSSRLSSSSASRKDKASSTK TKKTDDWTEVTEPDERRRIQNRIAQRKFSTSTPTSSPASPSL MYCTH_2302289 MASGRQLSFLLTLGWLSALLTALGFATAVSANAATDDEPILHRE IGRQNNQSLLWGPYRPNLYFGVRPRIPQSLMTGLMWGKVETYTDFQHTVRYTCEQNEG MKGYGWEEYDPRRGGIQTIHDIQNGLDLTTSFVKVPGGTHGGNWAARIKGVLNGDAPK DQKTIVAFYVTQEGADSELQALPGEDQFGYEGDVTLKGHSESLGNYKLVVTRGQGVQP KSDHDISRIRGPGQTVVQSLTYPEEHIWQAKPILFRQLKEGVDWLVENKYDVQDPPPP WQVYLLTNRPGAGNVHIVQKVFEGDFEFDVLFSSESAGKELTSADLSREIKETTESFA ERFSSVFAPKAPFSADRFKEFGRSMFSNLIGGIGYFYGHAVVDRSYAPEYDEENEGFW EETAEARARNQQALEGPYELFTSIPSRPFFPRGFLWDEGFHLLPIADWDMNLTLEIVK SWFNLMDEDGWIGREQILGAEARSKVPPEFQTQYPHYANPPTLFFIIDAFVERLAGAA NGSLGDSGKERLAQQHDESLPATASLDNPEVGLEHLRRLYPLLQRQFNWFRRTQAGDI KSYEREAYSSQEGYRWRGRTPTHCLTSGLDDYPRPQPPHPGELHVDLLSWVGVMARSL ANVADALGLSADAEQYKKVLTAIERNLDDLHWSEKDGCYCDATVDDFEEHTLVCHKGY ISLFPFLTGLLKPDSPRLGRLLKLIGDEEELWSPHGLRSLSKQDEFYGTAENYWRSPV WININYLAIVQLYNVATQEGPHRETARDLYSRLRKNIVDTVYQSWEETGFAWEQYNPE TGKGQRTQHFTGWTSLVVKIMAMEDLPAAEAAAGHVRDEL MYCTH_2302291 MTRISNMTSSSSPLSPPRASESTLPNSNPNSEQPPPSSSQPITT TTAASSFSIISHPPRPPSPAGSFYAMSDDEEGDYDTITHTETGRGVKLLFSKSKVYVH PTPSARDNIPGYIALLQQKHPPSSHISTSCRTSNNNYNDNNDRPANTSSRSGHPSSRV HPVSSDLLLAWVPESQLGDAASIYVKVDLCDTDSPPKQSYLVPPPPTVTSHPATAGVG HYAFAVPLSAIYSLLVRPPSVGWWYGSVIINSRAGDSFPPLFFHDNECQSTMLQKHRR ARDSFDPFDETGEMFWGGDEVLRWLKRYVTVERSAVEPNVYLVEPSEEDSLAFGGKSG VGANVKTAVGGGGRGVGVPGASRAAASRTAPSAAAAGGGGSGGGRDGGMDPFMKFVKE TGWNIMEKFSRVTTFTRQAAQDVLDNPRLPPQVRRLLRNPEVQTLQEEFDSARIYLAR WAMGIAEQSERDRNQRIWTAREVMELEDTDVGEFELLDSTSSLTLEQLRKPVTLKEWN SFFDPRTGRLSVTVDEVKERVFHGGLDPDDGVRKEAWLFLLGVYEWYSTADERKALAA SLRDAYIKLKGAWWERQIDRGGEGEEGEWWREERGRIEKDVHRTDRNVPIFAGEDIPH PDPDSPFASVGTNVHMEQLKDMLLTYNEYNRDLGYVQGMSDLLAPIYAVLQDDALAFW AFKSFMDRMERNFLRDQSGMRSQLRALDHLVQFMDPKLYAHLESADSTNFFFFFRMLL VWYKREFDWPDVLHLWEVLWTDYLTSSFHLFVALAILEKHRDVIMTHLKHFDEVLKYV NELSSTIDLDSTLIRAEALFKRFRRLVDAVDKKGNFPAPRQRPVLEQASSSGPGPASG QGAQAGASGGRDTSSKSPTAQPQQQQQQQQEQQKQPEKVITPELRKLLSRQVEVLPRK DAAKKGDGIAGTKGR MYCTH_2302294 MASWYKGLLTNASSNISKLQRTYFGGESDGDTEDDTHVCRVLRG YYAEKGQPLPSWLPPDPKAPPPQQPVFAAQGVGGGSRYGSSTGAAGGGLSSLWEDSNS NSNSAGQQAQAQRPGPFVNSRNPFAGGGDAGQRAGSYQAAGSGGPGTVSPAPTGSSGV SAQEKLKQRLWGGSRTTSPGSGGGPFQPPGQQQPPPAQPSANRWAWSGQGGAGGSDGL PGTRHTERPVMSANAPWSSDGGPSYSSSGAGGVGGQGGGRSYGLPSGPRSGLPSGPRP R MYCTH_2302295 MPSKWWEKIKLSKNYQEALAQIESRLQFFPKFLIHKCKQRLTRL VQVAARMRRIAAEEARLGERLVPKMAPKIKHREEARERKALAAAKLERTIERELLERL RQGAYGDMPLNCNANIWKKVLNALEAEGEGVRDKDMDKGIEEDGEEGESEAELEKEAE EEDEEEEDGAVEYVSDFDESDDEELADIEDWLGSDEDDAEDDDDDGDDDDDEDSEEDD DEEEVKKKKKAGDKRKRGRAVKPAARKRKEIEVERETERAKLLAF MYCTH_2302298 MFRYALRSVLRQQPRGFGAAPALLRLQLRHHPRHRIHSLSSSGL PTIDEAPFINNDTIYALSSGSGRAGIAVIRISGPDCLNVYRGLCPSRAVPKPRFAAVR TLFEPGPVDGSGANVVDSDALVLYFPGPKTVTGEDVLELHVHGGPATVKAALSAIRKC SSSGKIRYAEPGEFTKRAFYNGRLDLAQVESLGDTLAAQTEQQRRAAVRGNSGILGKT YDSWREQLLLARGEIEALIDFSEDQHFDQSPSELLANVTRLVEDIVRQIQVHKLGNQR SELLRNGIRIALLGPPNAGKSSLMNLIVGREASIVSDEAGTTRDIVEASLDIRGYLCS FADTAGIRTKTSEPMGHHDGTSASPIGAIEEEGIRRARQKALDSDVIIVLASVEATES SGAAWISYDAETLRLAAGAKQCLVAINKTDVVSPELLKQLVQDFKSSALADVDGLRDV EPLTISCKAAASPAAAGLTDAGGVGALTEALTQAFSNLTSLPDDMQHLLGVSERQNQL LSECERHLEDFMTEAERSDSGDDEPDVVLAAEHLRLAALRLASITGRGESGDVEEVLG VIFEK MYCTH_2302300 MAVTGFFATSSIVNRGRSGYNKSNSDDDDENKFANFGRSGYNKG NSDDDEENQFVNRGRSGYNKDDKDDEDDNHH MYCTH_2302305 MEDLIGDPFIYNQAVAHPLSELEDTAIAVDATYYLQLHLDVLPG NEPLLPALGGLTGIQGRIENELDHWKAHKIIPFFIFDGQSVAGQDEAAVKRGLANCLK LDISWDLYFNGRADEAVSAFGQSTSAFPARALYPLLQSILKKRGLHFLVPPFNASAQI AYFDLIDSDQCAGVMGSSELLLYPIRDCVIRTIDWENNLVYAVSKKHILKALNVSESL FVDAFLMTGTSFLPPFPPLADQTIIKTQPHTVADAVNMLRTAEKSVTLVCSSFQDILK SQDPDWLDKYRKARMVVDHFVYIAENGEVKVHDYEHLTNDNHESCQPLTGSLRKSTRS W MYCTH_87328 MADQIQELLDVPREFIKDGVQFINRAQKPDRREFIKISQAVGVG FLVMGAVGYFVKLIHVPLNNILVGGA MYCTH_2302310 MPPIPQSPTRMSLRHRDTGFPESFCGSRNTTLPHPEAVLGPDAC ITEDDVNPVRALLRCRMSYMAQLRSDSRASTFDDGRSGGLSEEGTLSALGWLAINSLR TAELPRLDALLSSKDADGVESSDGMSSSDPDSPVHRGREFSGHPKTDAREAMGNSRRE RRRSSFISRLMHR MYCTH_2314839 MTGPAEAKDRITCHVLDTTLGRPARNVRVKLELISTEVAPTTPP PHRVFESQTDDDGRVRAWLPYSSATSSGEVPVCTLEDVLGGIRGPSRWTLRFDSAAYF GGTDKTFYPEVDVTFTVREGERYHVPLLLAPYGYSTYRGS MYCTH_78483 MSAALVNVDDGDAMEPTLQSILDQRSLRWIFVGGKGGVGKTTTS CSLAIQLAKVRRSVLLISTDPAHNLSDAFSQKFGKEARLVDGFDNLSAMEIDPNGSIQ DLLAGQADGDAGADMGGMGGMMQDLAFAIPGIDEAMSFAEVLKQVKSLSYETIVFDTA PTGHTLRFLQFPSVLEKALAKVSQLSNQYGPLLNGFLGSNGTLPNGQNLSEMMEKLES LRATISEVNAQFKDERLTTFVCVCIPEFLSLYETERMIQELASYGIDTHSIVVNQLLF PKPGSDCDQCTARRRMQKKYLDQIEELYDEFNVVKMPLLVEEVRGKERLEKFSEMLIK PFVPPS MYCTH_2302317 MYRLSQAVQSLSPFLLPSLSLVPEKFLFLAMVAHLRILFDFSIA GERKQDLGNKTSNELFPAITNTKTPTPSKKCNELL MYCTH_2302318 MSSSVFFKFKSQKEPTRVEFDGTGISVFELKREIILRSGLGDGT DFDLVICADEGMKEVYDDDTTIIPRSTTVIARRMPPKVPGRGGAARYVSGKMPVHAKN SSRREQIVKPATKAQSNTLAQLSSAMTEEEKMAAVFQAQTENFTSREEEMATQQYVAK GGPKKPANVPDHDPPQGYICYRCGEKGHWIQLCPTNDNPEYDNRPRVKRTTGIPRSFL KTVDKATALGQNADGSESKPPSGIMVNADGEFVIAEPDKASWEQFQAKAKANPTAQKA AAEGDKDVRERGLECPIDKKMFIEPMKTPCCEKTYCNDCITNALIESDFVCPACKAEG VLIDDLKTDEGAVEKIKEFLAEKDGKKEGPKSPVESKSPVAAAAGDETDKTNTSKAKS KSPSPKPAAPATTQANQSSTSTAGIAASTPATGENQPSKKRPADDILENPKIPKAPKA MQKAQELQQQSMMPMMNGMPNMAGGMPGMPGMNGMMPMMYGGMPMMGGNFGPMGGMNM AMMNPMMNPMMAGPMGAGFGGMNNMGGGAGGFNGSWGGPGGNGGANMGGAARMNGMGM GMGMGMGGGFGGGAQQQQQFQQSQQGQGRAQQQFGNYNHNNNNYGYQPTEEEDAYFRK PVNPHRHQNRQRRIRPSDYREL MYCTH_2314842 MVLTHTTNHTYSHPFPTVTLAFFLRYCSPQLNPFANHVLSTDTI DSHVDPETGRLHTTRIHLKKSRLPPSVMKLLPSSLTGGTSDKASYILETSVVDMREGW MATESRNLNFVGVLSVVERQLYTVPKNKASAAAAAAAAESTDVETRVVFRSRLGERIR DRLGQAQAQAEAAVSEGGSGFFARLGARGIQRSIETLASTKTQDQLGKSREGMRMILE RLRQTGIMGVLELRKMASQRAMERAH MYCTH_2302321 MLWTTLLSALLLTGTAEAAGRSIAHAGKRHVEHAAKRAKPIMPA GPYHPVIEREEKAPKFLTPKTEKFAVDGKGIPDVDFDVGESYAGLLPLSSDPNDDKNL FFWFFPSTNPAAEKEILIWLNGGPGCSSFEGLLQENGPFLWQYGTYKPVQNPWSWHTL TNIVYVEQPVGTGFTTGTPTITNEEELAAEFMGFWKNFVDTFGLHGYKVYIAGESYAG YYCPYIAAAFLDEEDKTYYDMSGMTIYNPSLAPDEIQEPIPVVAFTEYWSGLFPFNDT FRADIKRREKECGYADFLAEYLVYPPKGPLPSRLPGTHRDGTTREECWNIYWDIFDAI SVLNPCFDIYQVATTCPLLWDVLGFPGSMPYLPEGTKVYFDREDVKRAIHAPVNATWE ECSSRDVFVNGTDHSVPSTVRALPRVIDGTKNVIIGHSALDMILLANGTLLALQNMTW GGKRGFQSRPDQPFYVPLNNITTLSTLAAAGVFGSLVSERGLTYVGVDLAGHMVPQYA PSAAYRHVEYMLGRVDCMNCTKPFTTDPFTPQSKGKLGKGTAPQGWSNASGHGKGNGP RRIRA MYCTH_2302323 MLAAPWVCRSCVRSLRRAGFIHFVRRASTDITIKLPPALLERAR RLTAEHDQLSAALEKSFDPKKAKRAGEISRVAEALKQFEKAKAALSELQGLLESDDAE MRELARDDLEATNAQLEESCHNLSAALTPKHPFADMPCLLEVRPGPGGTEGRFFADTI FRMYKAYCANKGYRTRVVQYEASDSDGSTGSEGEIPLQEAVLEVQEPGAYGIFRGEAG MHRVQRVPATEKSGRTHTSAVAVWVLPSFPENSTSESEADWDNPESDFYVDPSEVRSE KMRASGAGGQHVNKTESAIRLTHIPTGTTVSMQDSRSQHRNREAAWRLLRSRLAQQRR EAREEAARQLRSSVLPNSQITRGDKIRTYQYQQDRCTDHRSGLNTHNLPDVLRGGETL EKVMKSVQTWLINKDIQALIADEEAAAKSGKGSK MYCTH_2133586 MATNVDAAALDETPISESVHKPSKQTVDPYNVSGEVGEDGVVKA IDYNKLIDEFGTKKIDDELLARFERVTGKRPHHFLRRGIVFSHRDFELILDRYERGEP FFLYTGRGPSSDSVHVGHTIPFEFTKWLQDTFDVPLIIMMTDDEKYLFSEKKTIEEVQ GYCTNNAKDIIAIGFDPAKTFIFSDFDYVGGAFYRNIVRLSKHITLNQARAIFGFNDS TNIGRIHFGSIQGATSWASSFPHIFGDDESKTVGIPALIPCAIDQDPDFRMTRDVSAR LKYQGKPYAKPSLIHALFLPALQGPGTKMSASIDESAIFLKDTPNQIKNKINKYAFSG GKVSQEEHRQYGGDTSVDVAYQYLRFFLEDDEELERIKVEYESGRMLTGELKAICIRE LQKYVAAFQERRAKVDDETVKLFMERRPLQWRGNPRAPLVVPKVENGNAGDATPDGEG KLTKNQLKKLEKQKQIEAKKAQKAKEKAEKAEKAGTEAA MYCTH_2302336 MPLPTSSVYPPPSGEFMKWPSRRSVVHSTTGMVACTQPLAAKCG IAILNAGGNAADAAVAVAAGLNMTEPTSTGIGGDMFCLYYDAKTGKVSALNGSGRSGG KCTLETIRRDLGLADDEVGRIPLSSIHAVTVPGAAAGWVDTVERFGSGKLTLEQILAP AIELGEKGFPVSEDAAFHWGRGEEQLRKASPNFAEMLKADPSAPDGVRAPRAGDIMKM PNLARTFRTLAAEGKKGFYTGRIAEEIVRVVRDRGGHLELADLEHHLEQGSEPVDPIS LKFTGQGLASSSPSQSAGAGGVEVWEHPPNGQGIVALMALGIIQELEKAGKIPTFTPA DHNTAAYLHVIIEALRIAFADAHWFVTDPHSDRAPPTAALLSPEYLAERARLFDPGRA AANPSHGNPFPSPALRSSDTVYFAVADAQGNAISFINSNYTGFGSAAIPRGCGFTLQN RGANFSLDPRHPNVLAPRKRPYHTIIPGLVTSLAADGGGSSSSLHSVFGVMGGFMQPQ GHVQVLLGQLVGGLSPQQALDAPRVCIGAGMADVGDVYGGVVYVEEGMPEETIRGLRE LGHPVEVLGGWGGMGRQMFGRGQIIRWTVDPVDGTGVWSAGSDMRGDGAAYPA MYCTH_2117465 MFSRTVFSRVARQTALSAIRPAAAPVKPSPFLLSVAAQRRLLSD AVRQAIDKAVASAPVVLFMKGTPETPQCGFSRASIQVLGLQGVDPEKFAAYNVLEDPE LRQGIKEYSDWPTIPQLYIDKEFVGGCDIIVSMHQSGELAKLLEEKGVLVKDHKEPAE GEKKE MYCTH_92469 MIKPITAAWHFLQAQLRPLPVPTKSYAGCTVIVTGANGGLGFEA ARHFARLGAARLILACRSTERGEAAKADIESSVTTSTAIEVWPLDLCSFDSVRAFCRR AESELERLDVLLENAAMLSITPAGMAEGYEMQVTTNVISTFLTVLMLLPLMKRTAAKF NVEPAVTVVGSEGHFWTRFVEKNQPRIFEAFRPGGALQERYMTTKLLDILIMRELAAQ LDAASASAGASPIVVNAANPGLCKSSLFRNVFLIGQFFLAILTFVLGHTSEQGARALL AAAAGGRETHGKYVDCGKVDDPSPFVLSKEGKVVQKRVWDELMEILDGIEPGVSANVK QAPLSAMATSC MYCTH_2302339 MSGQPPLQGIRVIEFAGLAPGPFAGLMLADAGASVLRIDRPSSS SGAPAPPADDLLTRHKRSVAVDLKNRRGAALVRALATRADVLIDPFRPGVLEALSLGP AELLRRNPRLVYARLTGFRRDGRFAAMAGHDINYLAVSGVLALLGRRGAPPTPPANLL GDFAAGGAMLVHAVLMALLARERTGRGQVVEANMVDGASYLASFARFARRTPVWDRPR GENLLDSGCPFYDCYETADGRWVAVGALEDRFFARLVAGLGLQGQGWERGRRHDRAQW PELRRLMAEAFRSRTRAEWERVFVGTDACCTPVYDYGELEEDREGKEGDQRPAVTLRD TPLLAVRRDARDASHGQGPGVDGEGYAGRRLEPGCGAEETLKEWLGWSRGDQFEVEAG GLVLKDKAQL MYCTH_2302341 MTVDATTIATTGGISDPGLIKLVNKLQDVFSTVGVNNPIDLPQI VVVGSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLVLQLINRPSQAQSNGLDKEITDS TDKLANTEEWGEFLHVPGQKFYDFNKIRDEISRETEAKVGRNAGISPAPINLRIYSPN VLNLTLVDLPGLTRVPVGDQPRDIERQIRDMILKYIQKPNAIILAVTAANIDLANSDG LKLAREVDPEGQRTIGVLTKVDLMDEGTDVVEILAGRVIPLRLGYVPVVNRGQRDIDN KKPINAALEAEKNFFENHKAYRNKSSYCGTPYLARKLNFLLMMHIKQTLPDIKARISS SLQKYTQELEALGPSMLGNSANIVLNIITEFTNEWRTVLDGNNTELSSTELSGGARIS FVFHELYSNGVKALDPFDQVKDVDIRTILYNSSGSSPALFVGTTAFELIVKQQIKRME EPSLKCASLVYDELVRILTQLLSKQMYRRYPQLKEKIHAVVINFFKKAMEPTNKLVRD LVAMEACYINTAHPDFLNGHRAMAIVNERHNQQRPVQVDPKTGKPLASTPARAGSPTL GGDGESSNTGFFGSFFAAKNKKKAAAMEPPPPTLKASGTLSEREGIEVEVIKLLISSY YNIVKRTMIDMVPKAIMLNLVTYTKEEMQKELLENMYRQSELDDLLKESEYTVRRRKE CQQMVESLTRASEIVSQVQ MYCTH_110897 MRRGSMLPELDKAGPSGRAPQPVSNGDRAARDQPSAGSGATNKT YDDAMRSARSNGDLADLRQESYYGHSREEVTRILIQALRDLGYHAAAASVGEESGFQV ESPDVVAFRQAVLGGSWTKAEELLCGGGTRGAGHGSGSGLVLAPGADRNDMRFRLRRQ KFLELLEQRETGRALAVLRHELTPLCQEHATLNLLSRLLMCQDADDLRSRADWDGANG QSRQLLLSELSESISPSVMLPERRLAVLLDDVKRSQVERCRYHTNDAQPSLCVDHCCD RSRFPSEVLVELSHPGPESSKKLDEIWQVRFSPDGKRLATCGTDEAVCIWDVERLTLF HQLHGHPKAGVGNVAWSPDSKFLLTCSLDHTAKLWSAETGECLRVLRGFEEPVSSCVW VADGQAFITGSFDKSQSMKMWNLRGDCVYSWPNTHRTADLALSRDQRWLVAMDEQCTL HFYSLPTREHVYDLTLDPRDTLKATSVSISQDSRHLLVNLTDNEAILLDMETRETVQK YTGHKGGHFTIRSDFGGANENFVISGSEDGHVFIWHKVTGILVREAEAHHTSCNAAAW NPTDPCMFATAGDDGRVKIWSNRERARAFASAARQSNGTAARASNGSMASGEMWH MYCTH_2302350 MEGPLSMPPERGTIIGKPAWKVRWVVIGGSTRDQTQVGTANRGQ TNRTSAPRELTRTLTEGMFLSIYKSKDDTEPIQQYALSSIADCQLQILSHRKQGPALP TMVINILPDPQSDKARKRRSSRTAAFSTPKETGPTSLLFRPGEERQNLQEWVRFIQQA IQPYVPDRVPMSPLTPASPTFVNPFTPRPREASDVQQRPSSRNGSRPGCFLKNHSQAS SARDRPVTFSDAPSLRSKRSDLSSQTGSTTQSHMAFPSYTAMVPADLPSLATTIGEYQ GEFIEGWTSAQGRSSALSSPIRGRGSVSLQAPAPLPPVPDPNPPPGPRETILDRAFQL RCIPGSEREIPGEEKLSSLARFDALMREMDEKRKKREAEAAESRAQAAADSSVGTSSS QPKSAFDRDDSDSDLEQDSDDDSDDDSDGILGEGDVEDQFPSTSAQQRALDFIAGKYE PPRRHRPTSRGVRSPPTYNHEAFMALSSPGYSQTRPQTGYSRTRSRPGMSQRTHSQPQ LATMLGSSSPLEPPRESEDGTGFSFTPGSPSATHRNSAEKRHSGSSTKRLSFTEFTRR LSSASSLLLVQTNASGPSSRRASNSDLDPQQPPQSPPQPLHHLHPRAGPPTPQQYHQP PQSPPLAGERERRGWRGSVGVFGDGGFV MYCTH_2302354 MASTLDHLAQLLNATLDAQSHRKAENALKEESKKPKYSLSLLSI VNADAQPLKIRLAAALAFKNFIRHNYVDEEGNYKLPLDEVQTIKSELVGLMISSPPTI QTQLGEAISIIADSDFWERWDTLTQDLVSRLSNTDYKVTNGVLEVAHSIFVRWRPLFQ SNELNREILHVVGVFGEPFVQMLSIADGQIEANKDNASALKGWLETMSLLIRILYDLS CQDIPPVIETHLQPITVLLHKYITYTNPIFDGEEDEATPLETLKSDICDVLQLFTNKY DDDFGQFVQEFTNNVWNVLSSVGPEKRYDTLTSKALQFLTAVASVRRHAEIFNNEQIL GTIVEKVILPNVALRESDVEMFEDEPIEFIRRDLEGSDTDSRRRAATDFLRKLLDNFE PLVTQVVFRYINHYLTVGKTDWKAKDTAVYLFLAIAAKGAVTAAHGVKTVNSFVNVVE FFEQNIAADLLTESTEPISKVDAIKYLYTFRSQLNKAQWASAIQPLIQNLASSNYVVY TYAAIAVERVLFLTDEQGQHLFPRSDIQPYAKDLLEHLFALIEKDTSSAKLQENEFLM RCIMRVLIVMKDGILECGAEGVLNHLINITNVIKENPSNPRFYYYHFEAIGALVRYCG NSPKLDMLPRLWTPFVAILHEDVSEFVPFIFQIFALLLELNPTNQVPGDFKLLIEAVL APGPWETRGNVPPLSRFIAAIIPKAAEEIKAENKLEPFLAIFQRLLTGKKTDQNAFDI LEAIVGTFPGDVLEPYFGTIITLIFTKLQSNPTDSYKSRVARFYHLVSARGGEARMGA DFFIRHAEAIQQNVFTPFYLTVILPTTGQFARPVDRKLGVISYTKTLCESKQFAERYQ KGWGFTCNNLLDLLKNPPRVAAGFGDEIVNEADVDDIGFGVGFTPLNTCKRGSRDDFP DIVEVDKWVSQYLKEANQRLGGLVATFVEQRLTPEAKAALAPYLA MYCTH_2302356 MSPSPGDEQPPQETRSSGGLAGMFKGLAGGGKLTKSPPVIQQPF QPISGSQSLAQRSDTLPPISALHGLSPEQTELFQQLKDGQLGDRVAAANSLRYAIADF PLNPQVRDIWHAAKDLIHPTKPPSARQAAWQLLAECIKCPGSTELERHEYFSTLAALD QSEDFHLQLAALEDLTNRGRNISGFYYDIFPLLTKWLQEAYKAARTARRQASRGAGRP PRGSTPLTGEEKNLARLFALLKDVIKFNFKFATDGVVGNLMAIILEICMNTSVEDDLR SCINVVDTVVTFGTIPNDKLRDCIQILSSIHCLVPNLQKDAWHTISIICKSHHGQSTV RILLDLLRTYSTSPDKDKDKDSVRDVRGALSVLKKLLSKSAEKGYPAVPLALLVDGLA TVAKSSSTRIANEVLKLINSLFDGREGSINAILVEENWSPIFSVAVQCATKATHTPPS ASSSLTTLPTAAKDEREPEDTVTVQLRSLVSRIEALVTKNDPDFLQRDECMVFLSEVQ HALPDSAAALVTAYLKETRACFPSEVGWKDSLKLVLDCFFLNRSRNSSTRLGALEVII EVYDFLCLAEALVEEDAVTNLIQRVLAGLPLETDGGVLQETVAFLVNVAETVPDFLFD DILDAFKGVVAKDMSRPPLSVLPTPEAGLAPQHGQISGQTSQSASNVVTKGYSQIFIR TMNNNGSKAAKAYTALVHIARSNSCELDARLTAMKLLFRLRADFEHQVYLTTLIENET LAATLYRTEASLARKLAEDAAQPARLSRPEHTGGRPTRGISFSQGQTAERGVPTRSAS TPKPTIYQGQRLWSLPDADALPETPPARPSPLLASHASNASGPGSSEKGATVLNMAQW LEAVLSLFHHGCNWEVYSFVLVHLPAQLSNHPLFRDAIPYIQELRRLLCEMVRTSNFQ EPPPSSGLRRSDVANCLFRSLIMIVSYHHHFQKTDEDEMVRAFTHGISDKTAKTCIHA LSVCCHELPMSVSKSLVPILQKMSQVITQPFVAMHILEFLACLSRLRSLYSNFRDDDY RIVLGICFRYLQYVREKKLAMRGSIVGEPATPNVASVSQPDALGQQAPSDDLPQYVYA LAYHVITFWFLALKLPDRPNHIGWITKNLFTDVDGSASTEEHAQITLDFMQRVAFSDA GDSAQDPLFKEQYFGEIQKKRWIIGNSILTIRQATGSNWAEITRRYPSGTSSYALRVE FTPTPNLSAPDGSDAAAWEGRFQDGLTIFPSHLLMQLLAPMPQLYDPAIRPIPLPDED AVDRAIRVFDRNSPVDGHKVGVVYIKEGQTKEAEILANTSGSPDYHRFLKGLGTLTRL KGATFNTQGLDRENDLDGKYTYCFRDRVTEIVFHITTQMPTNLETDPQCVAKKRHIGN DFVNIVWNDSGLPFKFDTFPSQFNYVYIVITPTPHLPFVASRMPREDGASRFCMVQVM SQSGFPEISPAAEPKMISLEALPGFVRLLALNASVFSLVWANREDGEHVSSWRERLRQ INALRARYGPKSGGPGVSPSPPSGAIATPSATSTAPAAGGLSVVGGASHGAAAYHHHH QPSGQGGSGAATGGGIGGGDLGGVPGAGGANSARTAGMRDSFSSLRRSSVATFFTNAT SATDQSHRSSMLSTVTTENTEALQPSTAEALVDSVDFSKWT MYCTH_2302360 MELSRRQDIVSTVQDLQSCIPLSNQLQDGDIILLLTPGIVPELS PLNRNPDEPTRDPFEPLGKALARHHPWVHHVPYLPRNGITGTHVVHIRLAAVVIFVIS GPPRHGQQSQVALAEITRSVCESRPQIILTCCELQELGPLEESFPTILEVPSFVPSDL EEAADVLFQSKRPSPGPLDIRDPVLSPRAWPVEVWDGIRDMSEVLDLWCQCLPKNFHL GRYQFQSLLCRDGYAMHYVVREPGTWQLLGFCATYTTYADSDGERLLGSLAALLVRPS HRQRGIGRSLHDHALRQLKRIRGVCRLRLGSTFPRLLYGLPIDSPFEGWFRRRGWPIT LPSSAVSSEQEACDWFLKFKDWPVTGPTPSELTFRPCMFSEFDLVLEFVDKESCKKDN MGWYDQYAKLANTMNIQDIVLGLDGGTIIAVALTYVKNTGSPAAEDLPWASTIADDVG GVTCVCVSDEVPNTANKRDAVMIRLLDSCIRLLSEQGMNKLLIDAVKGGDEGFQSMGF QKWARYRDVWRCV MYCTH_100290 MPSPEDDDLGGLIGDLEAASKVPAKRRQTNIKSTVEKATALLYD RGALPDELARLVDLLTVRNHLDQASLGAIVRNLYPSGKVSDEVVLRFIGALGHGQLKP SFPLQALFLRWLVMVYHLLENPAVLSQVYSVLFNLLDTAAIRPQLCHLLALVTRRKHV RPFRIQGILDEIQQRRSEYRDDQGVRNGFAVNHAIDQKLKTARSSLPIVRTLHAQESS VTLEEIDSAEAFARNLEKIELPSQLVAVLADPLLQKFLFLRPNAEASSRISNWLMACL GDVASGDADSEIFLDMVEVIHDYVVATKTLPPILLTFFAQFLRIWNGSEKRDIVFECL SFAPLAEFEELYKTLQGLEHAVLDNTPNSQVSLLKFYTSLLRRWTIVMEAANGVDTLP WATIPRLIDYVNQLALTLTQTSPTVGTQLVILDFYGASAVLYSSKKLLQHIEITIPPP LLVYLVYFSPSLAVASRLCGILASYKRAWETVMSPAVARQLTRRERDQVNVFNGFLMD LCNCLWRGRAFSTTDVNAQGCRIPQPVQATLEAYMRTADPDLSLATAFGLSHSPLLCL QSLSYIRQLEEDEMEDLRARHAGPVTQVSLGRLANRGGLALSWQEYRSGVLEYLEAKG FGGISDLMYNTMKNLMRARRS MYCTH_2314846 MADSNPPTIETNNLTYTFPNATAGVSNVTLSLPARSRTLLIGAN GAGKTTLLRLLAGKRLAPAGAVRVSGVDPFKDSVEGVTYLGLEWVLNGIVRSDIGVGE LLRSVGGDAYPERRDELVAVLDIDTAWRMHAVSDGERRRVQLAMGLIRPWRVLLLDEI TVDLDVWSRAQFLGFLRRETETRDCTVVYATHILDNLAGWPTHLVHMHLGTVKGWGPA EVMLKEADQPDPEEGDGIIGVKGNSRLGELVLRWLRDDLRERGPRSQHKHKRGPEGWT YSLTGLGGYGFESKGGKD MYCTH_2302369 MVLAPPPLPTRFPCWVRAVYSWGGESKRDLGFIEGDLIECLNAG DGAWWTGRLFRDRRAVGLFPSNFVEVLPENFRPTTRSVSPLPSSNTPSPKGTPQKSRT FRKPFEAYAKAPHYTTAKTPETWKDGAKPRDAVEPLREVAARSRETPRNSFDHSSAAP GSDVRGTQSPSRASSRHLARDPSPAIAAGHFGREHSPAGAPSHNMGRDSSPGVAPHHM LREHSPGRAPSFIGRDSSPQVPAHVNRDPSPSRVSSHVSHMSRDLSPSRAPSFDSRAP SPAPSYIPYRPPQNRQHAYHLSLDTAQPYRPDLQGGDSPPPPPPPPHRSRLARHGPHA SYDEGYFGGLSREASALSTEPSDLSYPYQQPPPQPEASYEHHAPTSGYHTPRMPSPCP PSPGGLTPSPLREAMDDVMEQLDALGAGRDPEPPERELDPWSPESFDMVQHLSRRKRD QQHRPQTSMGIPLHADEGYETFGGSAESSQEPISHDGTQQPLPQLSNYVERMESRLRT MHQRSSGMLDEDDEAPPPPPKRGNLFERPKSSMDIHTEQEQKLRQKKSAYEISRQVLN RTFTTKTNSTNSSSATQSTDRSLMSGVSAGGISATSAGSLARKNRTRALSALAMRDLD NDRPETPFTGVTYHSSHASGEQRSKSQMGFHDDPMAAVGGLVSPKPKKQNFIKRILES AKTGVASTRGSIATASAAQSPSGRSAPALAALSGPLFSKTGREPGREPNHGSGVDWVQ VRRDVNRSNSLSQKELIERKERCQMLDYPAIDPIDELYNSIEGDEGADGMPVAEPVNY NALNLSQVDKNSRFLTNLPPTTTPISLATTYVCRPYRSDVQRLRSIFTWVSEKICWEE DFDGPIDTRRVIQTKRACAEEYATLVMEMCAAVGLTCEIVRGYLKSPGEMPEVNMMPR SNHWWNAVLVDNEWRIMDCCLASPSNPRRVLYSSHSSSSADPWWFLARPVEICWTHIP EHHEQQHICPPVAHEILLNLPCACPPYFENDLEMIDYNTSLVRIEDLEMVHIKCNVPA DVELAAEVEVRSYARDADGDLFESGDTVKKRALAQAEWVNGTKRYTIKALLPGDEGSG TLKVYAGKRGLMHSIKDIPHPLAFALPIIHTGENPPYEFVTRHPTPHAQRHDIYVVQP QCQRLALNNTFVFAIRQHPSSLGSSAAGASPMTPSTNPRSSSPIPFVRPSSALSMTSS AAGSNPSTVSSGGSGGSSKKPAKLAIQTPGGKILRLMRKEERRGIGGVLGGNRGPASP GPLLGEDETAGDGGVWETIIKCSERGVWRGLVLADRTARWCVFAEWICEG MYCTH_2057956 MADEGVANRYQVLEELGRGSFGVVYKGIDKATGETVAIKHIDLE SSEDDIQEIQQEISVLSTCASSYVTQYKASFLRGHKLWIVMEYLGGGSCLDLLKSGIF NEAHIAIICRELLFGLDYLHSEGKIHRDIKAANVLLSDSGKVKLADFGVAAQLTYMKS QRNTFVGTPFWMAPEVIQQAGYDFKADIWSLGITAIELAMGEPPHASLHPMKVLFHIP KNPPPRLEGKFSKEFKDFVAQCLVKDPDFRPSAKELLKHRFIRSAGKVEALQELIQRK RMADARADHAKHPVYYQETLHTISAKDEADEWVFDTVKSVAPRRETVRSRQPSSVFAV EDAMRKLDVEDGPLQPNTPGTVRRATMRRQPSSASRQSSRQNSVRRRASNGSPRPSLG QRRPLQPDMSFGNSGSTMRLFRRVPSDGSNPGCLDTSSSGYADENYPPPAYHAPVEPN GKEAMLGRRLYDKALSPCLDELHAQTAASSKREALARLSDAFAVLDAIDPEGAYHLLR NLVSAVSQDAKLANAFLPQQSPSSKPSPQDGSSPQQQQQQQSTVLVKSSRPSTAASTA APASPAPAPAPTPAAAPTPAAAAGGGSPSKLVFSQDNPHLMSHHRRRGSMLPQPASPS SSPSSSSSAPQGKGLPERERDREKEKEREREKLAVLALEAKFPGRPAVPGMEHCKALS DLLYARWTDGLRARWGVLAGV MYCTH_2143293 MALKFPVYPPSRYGGGTEPVKRPKEFACFSYDKDHKFRLDDSSL KWYYPPDLGVDLSRGSDTFIQHDDSIDEHLDSLLKTIKAYEEQTGEPIDAHVVTWRGM LTKIMAAPFDDEAFEMNATLYRGCIFIEEHHGFKEQKRQMENSRTGQRILPSLMQYWG YKFETLSTLPRPWAETSRDYIENRDQEVVNNKEQYCSVVRTGFGKSIVCLGGEVDAIW DAKPATPGDPINWVELKTSAEIRNHNDQFKFDRKLMRYWIQSFLLGVPKIIVGFRTQD GILSRVEEFRTMSIPHEVQRRGLAKWDGNVCIRFTSLFLDWLRRSIDDEGVWRIRRRQ KSDFIELFKVEEVGHGSIITDEFMNWRIKLSLRKMKAPEPASSLAGEPTSGTEGASAA MYCTH_2302374 MSNRYGQPYRNGGYGNLDRQDDEYDPYREDYGSDRYAPSPGRTN PRSAPAARGADPPPRSAQRVQETSAEREMSKVLELIKREWPAMCESDCIPVQLALQLL DTSSVGRAHEYRDFQRTHQYLQDSLKKIVHEYHQGFNSSIGTFHKIQGSIQTSQKKVR ALKESLAASKAALCATDPELKKLHATSRMYDGVLQTLNELDDLRTVPDQLEARISEKR FLTAVEVLQNALRKLTKPELDDIGALSELRNYLANQETALMDILVEELHEHLYLKSPY CQERWQNLAKCQGAPGDAFKDAPAVPPFHAVLDAIDWDRPVTEDPHKNPEADTFYYIT LLVESLNRLGRLESAVDMLKQRLPVELFSVVNETIDEIDQKHPSSLRGGSHGSHGLHI YGQRETRMRADVIYDLLWTLYGKFEAIAEGHRVFHESIKALIRREGAGNNIALLGSFK ELWNLYQNEIRSLLHNYVTTDADVYQFRSSPRPGLGLNGGKDAAREHLFKLSEVDAKS PEMATEYEALDGIIQAAVPGLTSNSRKGDNKKGLVVPRSDPTVARKSTAGYGGGQGSG TYKSLVEPSVFNMSLLLPPTLIFLQRLKNIVPPGSDLATSTLTTFLDNFLVNVFQPQL DETLAKLSDTVFGEADAFLQDSEWARVAKRPVFKGTTAFFTIVTAFCRMLGTIPHDQA LSSLIITQMLRYYDRCFTWYKALVTKTQTEASSTQELRASAVLALEPSEIHDTMRKLW ESEGADLQLLEEEADLLMARSKEKPLDASDIIQDRDTISSLCLLYTSMKWLSVKISGL RHITKNETDSSRSSLSKPEKKRWTLLNDPNKATAEGGPVYLPMTQETVQSFDDILTSY TSLATTALLTLHSEVRCRILHSLHTALSPSQTAPYLLDQEVTEPDRQILQLNAEMVAF DETTSHILREREVRFLRTGLGLLINAYLIRNAPMTAPMNSKGCGRMRLNVLVLQQNLK NIEDGPGAELARAMEYFELFERGPDGIVARAREHAEKAGGTAAADAAATDAAAAAEGG GGGGDGGNDGVGGAAGHKNAATGVRFTYDELKALLELCYSEQLADPERGVAAAAKRQL ADKLLGLSEHMWQS MYCTH_2302376 MTSNDRHSSHDSPYRTGRHVPLNARAGLTSIATAGESRADITSP YHDESSRQSTSALDPVGGRVSSPGPYSPGLRSQAARQASADDGFEMQSPTGEIPMQSF RDGMPPPPPVASSWSKIDKWAEEHYPELFDQLCEGCTINDLNELEYQLDCSLPQDVRE SLQIHDGQERGGNPTGIIFSGMLLDCEEIVQEWENWRKVNREFLSEPPFPQMTVPSKA AGAGSSSQASTSAAASSSSAPTSPRPNWRQELLARQDSVPPNAIQKAYAHPAWIPLVR DWGGNNLAVDLAPGPKGHWGQVILFGRDYDTKYVIARSWAHFLAIVADDLKSGRWFVD EDTGELKLREFKQTRVEPSYFDILRWRTDQRYGRTASKRKSMAPGAASPSAPNSPYAH PSTEPNGDHRGRSLQRPGIISPIPSPIRPGYGKPSPLARVAEESGAGSPTSGSAAEKL VEVDTPRQSNEEAKGAAPRLAALANGDAASDEKTDKPETAQPNGSPVAAEKKPAAAES GIEEPMKTIEI MYCTH_78441 MSEDRLWKFRRPEWLNSVWARNAGVYAAGGLFSLAFYTLLDSAV WSKSGLNGSNLHVTFVDWLPFIFSSLGMLIINSVEKARLSADSFSYSGSGVAWKARVV LFLGFAALAGGMAGGVTVFVLKFAVPGVPFPALTMGVENLVANALVGLSSVVLWVSQN MEDEYAYNLSL MYCTH_2302383 MASNRPAAFNTLRMGEVIREKVQDGITGETRDLQYTQCKIVGNG SFGVVFHTKLAPSNEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVQLKAFYYSNGERK DEVYLNLVQEFVPETVYRASRFFNKMKTTMPTLEVKLYIYQLFRALAYIHSQGICHRD IKPQNLLLDPATGVLKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKID VWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIRTMNPNYMEHKFPQIK PHPFNKVFRKADANAIDLIARLLEYTPTERLAAIDAMVHPFFDELRDPNTKLPDSRHG TGQLRDLPALFDFSRHELSIAPHLNHQLVPPHMVPELAKRGLDIDNFTPMTKQEMMAK LD MYCTH_2302387 MADYDRRGGGSSYNPKKRRYRDDDDYDRRGPRRRYDAPPHVRVR KQLIAIAENPMRPWHEEVASIATLFTDNWDDELLRTNFVDLVLQLAVEQPLKTPFVAA VVLLANATRPEVVDMLLAKLARLVEEKIGLGEWREVKLYLKLLACLQGCLEGEGVFPL LEELFARAVDLQTASSEDTIGTEIVKIILLTLPYVMVAAPGQWAQKAADLMEKTEIIA SEPHTLQALIEPYHPEAGDENPPQSQSLIVLLQTQLQNEANNGWTLSCLPRPWEFPVG EVEQRSKLEDAAKHALPPIAIPKTVVAGPRPLFPEIYFSVYANQEVESVPPLNNVAAS LIRDALLDTINVLDFNRNVTARHLIDLDCYFAPRTFAARATPFDKLRDIEPPKSTWKP EDVAVDAVFSQLFQLPNPEQKLVYYHSVLTEACKLAPAAIAPSLGRAIRYLYRNSPRL DLELATRFLDWFSHHLSNFGFTWKWTEWVDDVYLPDVHPRKAFILGAIDKEIRLSFAQ RIKNTLPDPYKELIGPEKEKDTPDFKFASDDTPFAAEGREIAGLLKRKADDEEIEAVI QRIQSQAIDREIDALVASTDVFVTCVLHVGSKSLSHVLAAIERTKDRLADAGAASDAA RSQIITATMAYWSAHPGVALRIIEKLLNYSILTPETVINWALVGRAGSTRGEALSVAY MYEMVFNTVVKVTGRVRQLVTKPSSPASAEDAEEEAATRDREIKAMRALFATIEDALS SWATGSKDEMMEASEGEGNGEGERLVRRWAERWLRVFRRRAAIEEAFLAEAEKERTRK AQQEEASGGPQGPGEAQGQEGPDGKEGSMQVDTEGPVVA MYCTH_2302391 MSRHWEQNKDATVYVGNIDERFTHELLSELMTQVGPVRQVHMPQ DRVSQTHQGYGFVEFDTPASAEYASKVLNGIRIWGKPIRVNKASADKQKTVDIGAELF INNLDPQVDEKILYDTFSQFGQILRQPNVVRDDNNISKGYGFVSFDSFEASDAAIATM NGQYLLSKAITVEYAYKKDGKGERHGDEAERKLAAEGKKHNIVPEKQALPPAFHMTAP TAASAAAGAASVPPTGPAAAAAAAAAPPASSPATAVPPSAGTPVTPLGAGMSPAGAPY GGMPRAGGPQPPAAYGGRPPAGAAAAGLPPAPSGLPARPPPSHGGFGGPVGGYPHHPP PPPAGFPAPGTAAGGPRPVGQPPMYPGMAPPGPPAGFAPGPGGVPPVPPPGFMPPPGF GAPPPPAGFGRR MYCTH_87315 MFALSEETKERIAKIIEISRVAIHYGYLPLVLYLGYTRSDPRPS IIRLLSPLS MYCTH_2302393 MTETKDAGLPPALVETIAGLSAGSMATLIVHPLDIVKTRMQIYR TALSSASSSSAGTKSSPPTTVSLIRSLARTDRPLAALYRGLTPNLVGNATSWASFFFF KSRFERAIAHLRAAQKGPSQNQQEEKWSHELAAQQRQLLLQQHHRGDEGEDGEVDAQA EPSARQQQQQHRLTPADFFVASLAAGAATQVITNPIWVLKTRMLSSDRSAAGAYPSMW AGAVHLVREEGVRGFYRGLGVGMLAISHGAVQFAVYDPARRMYLAGKNRRERRMREGQ QQQQQQGPRGVKDDDDDDRAGGGAAPSPAAVVSNEATIVLSTVSKLVAGAATYPLQVL RSRLQQHDAEEQFGRGVSGVVRRLWREEGVRGFYRGVMPGVVRVLPATWVTFLVYENV KFYLPRWVQHEDGRVEV MYCTH_92449 MAIGSMPATADSWGCWPQPQPGGADFGMMQAGLVPYDCRATTAA HIPRAELSQHYLPTTFSSAAPVHPPASPQYHAPVSYGGYNPYGAPAMLDAPFKPRESL ERIQPRGLVSEPAEYGGARERADEVPCVKRSCSPSVKTETNLDPSSPASEAAPPATPA QAAPVHQFNTAIDNVMRVIEAKREILEPTSEAESAQKQEKDETGEDTQTQRSRSKPKR KRFCCDIPGCSKKFAQKNNLDTHRRSHTGESPYVCPYCQRRFTQSVNLKTHINRHTGE RPYECPECDKCFPQLSNVKAHMKTHIRRELRAVWAHQNTYHVEEIEAFNAKLAAVKDK SMISEEDKEMARYLVDVHNLANKGIKGRGKGRKVKRVLMLHPPQHQASPSTSPVSATG HTGPYQMSLQPHAFPQQQQQQQQQQHHQHHHHQQQQQHHHHQQQQHHHQQHQQHQQQP APHGLPYYGLSNPAAYSMSRHPSMLFGPLSINTREPYGHGVYGMDSDQLSDASSAHSS PAVMHPFEDEHGRELAFGERLY MYCTH_2302405 MSGAAESATIPITVDFSGGLEMLFDNQRRHTVALPTVDPSGKPA TVAFLIDYLCKNLMRDPRAELFVLDNHIRPGILVLINDADWELEGEEAYEIQPNDNIL FVSTLHGG MYCTH_78432 MADVFSRYLSAGANRYAAAADWGENGLVAFGSDTNVCLWDPTDA RGISHILSGHTAHVRAVKFLPRDGGGKVDYLLTGGDDKQLRLWAIESETGTTACVQTV QEHTEPINCIATLQGAASDNAAAARLFVSGGADATIRIWAFEAGQVTVAQTIKTTKKY IPLAVALSTLQGGNGALILAVAGTTNVIQIFTASIAGGTSATEFTLQATLPGHENWVR SLDFIREKPEERSDILLASASQDKYIRLWRIHQGTVLSALNAAGLDLSADALTTPGNK IHKISAGDVKYCIMFEALLLGHEDWIYSARWARAANGRLQLLSASADNSLSLWEPDPE SGIWLTVARLGEVSREKGATTATGSIGGFWTGLWSPSGTTVITLGRTGSWRRWDYDTA EDVWKQNFAISGHTRAVTGISWSRDGLYLLSTSSDQTTRLHAEWALDPANSWHEMSRP QIHGYDLNCISTLGPSSFVSGADEKLLRVFTEPKAVARMLSRLTNTAPPEAEDALPDA ANMPVLGLSNKAVDTIPDDADISSLPAMTDETSNQTAADRTAPDPATLVRTSALDISH PPFEESLSRHTLWPEIEKLYGHGYEISCLAASHDGSLIASACKASSVNHAVIRLFETR RWTEVRPPLQAHSLTVARVRFSADDRFLLSVGRDRGWVVWGRKKGEGQEGSLGGGYEL VQANPKGHARMILDAAWAPLGAAGSDGDPVRVFATAGRDKSVKVWVRKEGNERIFELG KAITEDHPVTALDFAPETTQDGSLLLAVGTEAGKISVLTLRVAGGGGEVEVVSTLEVK KELCLPKAVMQLAWRPAREGQKGKELAIAGEDGSLRIYSFPSL MYCTH_2033959 LESFSKWLQLKIYQLEVTMSVYIYTPIEKFIFYSVLFLLLSLTF IATVLYLPQHVQFIINRAWFYMHGDSYE MYCTH_2314852 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFSLPHAIARVDMAGRDLTDYLMKILAERGYTFSTTAEREIVRDIKEKLCY VALDFEQEIQTAAQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTFNSIMKCDVDVRKDLYGNIVMSGGTTMYPGLSDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF MYCTH_2057433 MDKNLTNLLRWSIENSTPSSGANGTAAVTAHAGAGDETGTAGAD QAAATTNRTAPAGTNLNPEILSALFGGPSEAELMRAAMEVITDAEATLENKLIAFDNF EQLIESLDNANNLEPLALWTPLLSMLEHEERELRRMAAWCVGTAVQNNVRSQERLLAM GGVPTLVRLALEEAEDVAVRRKAIYALSSAIRNYQPAMDVVAEKLEPHGKGEKIDASD MNAVDALINWMKEKVNGKD MYCTH_2302420 MASLRGLSRPACSLPLSQLTRQTCTAAAVRCVSSQAAEAAAPVL SELENSTLSAPKLSEEEKKQFRPWKRAADRKYQLPGSRYQYHPPKYNRGPLHPIQSPP SSDPVARDFVPGPFNLPRLKQTYHSTIAPDLMTLTYTHVPPGTPKEETPQRLRAWDGS SPYHKNRPLRGPRGGAVLRVLERDITFNNIPEIREITIASNVPEAVQDPDHLLVARSV LLALTGTTPEITKVKSNVVQWRIKKGDKAGVKTTITGHAAYEFLDRCINLVFPKIKDW RGIRATTGDSAGNLAFGLTPDEVKLFPEVEANYWLYPAKMMPGCRVFIKTTATSDRQA RLLLQAFGVPFYGPVRD MYCTH_2302422 MVLAKSKKSVGLGNQLMKDRFGKGKGADRKRVGAVTRIDHSTGQ EYITNDRAEAGWVKMRSVTEQGALDEFLATAELAGIDFTAEKTNNVRIIHTDQRNPYL LTAAEERVVLGKQKALKSRLTVPRRPHWDETTTPEELDRLERESFLQWRKGLAELQET QDLLMTPFERNLEVWRQLWRVIERSDVVVQIVDARNPLMFRSEDLEAYVKDVDPKKEN LLLINKADMMTFMQRKAWAKYLKSAGIAYRFFSAHLAKEMLNAQASESEEEEEEEEEA GPSSSGAPLKPEEANEESRDDVGGEGAQQREDDNELDTRILTVSELEEMLLQYAPKDA GPDRKLQVGLVGYPNVGKSSTINALIGAHKVSVSSTPGKTKHFQTIHYSDKVILCDCP GLVFPNFASTKAELVVNGVLPIDQLREYSGPATLVAQRIPRAFLEATYGIQIRTRPLE EGGTGIPTGDELLSAYARHRGFMTQGLGQPDRSRAARYILKDYVNGKLPYVTPPPGVD DAQEFNRELYDISHLPAKRQAAVTAAMEELTVNGDDNASLLSDMVPLPQGPKSEKLDK AFFKAGQGSAGHLSRPFNYKYTQQGMAEAEGKQLSGRKLRTMIALEKGIDPKDVQMAS GKKHFKGGRKGRGKRRGNVVDGDD MYCTH_2302424 MVLNPTQPANKPSKGKGLSDLASDLGSEALSIRDNEGEAANYGI YFDDTEYDYMQHLRDLGQGGGEAVFVEANPVANNKKKGKGKQGQSLEDALRQLDLQNK SEELLDKDLLPSKNLRPLTYQAQQDVPDAIAGFQPDMDPRLREVLEALEDDAYVDEEG SEDIFKELAKDGKELDPDEFEEAYDEFADDDEGWESDRTVKANKEETEHGGASQATDA SKAQPGEPRDAGSNDWMEEFKKFKNDQKAGKKPRAAAAPSEMQSSIWTTTTNGGRRKA RKGALTNPSTYSMTSSSLVRTEQLGILDARFEKLEEEYNADMDDMRSVSAVSTMSTVQ GPTRHDFDNLLDEFLGDYSMHGKKRVKKGKWKNGLEQFDEIRRELGPPIIPAKYKK MYCTH_2302426 MDMQASRRRGRVAGRDKPAPLDLSHHYSAVTKRRFAFKLKELYK FFQIPGVLNTAGGLPHVDYFPFDTLEAQTAKPERWTPSPNHPGESAASSTPSSSSSPT DPAAAAHISIPKRLDESDPLKKIDLATVLQYGLAAGYPPLLSWVRQFTRENLHPDAPY QGGPEVILTCGSTDGFSKTLDLFVDQWTEGVNDIRDRPGLLCEPFVYSNILSQAQPHG VQIVPVKADASGMAVDGPGGLEDVLANWDPAKGKRPHLLYTVTLGHNPTGIVLSMERR REIYALCSKYDVIIVEDEPYWYLQFPSAAIEEAKSRGTPAPPPPPDHHPGHSSSSSSS GYPFIDSLAPSFVAIDTDGRVIRLDTFSKTVAPGCRLGWITAQPAVIERFERVTECTT AQPSGFVQGLISELVLGASKQNQLAKSAFSRLLSSSSRDDRAAAAAAFTGWDTSGWVR WLEGLRGAYERRMARMCRILDAGATLITTSPVDPSPSDDDSEWSPLAVSKTTLYSFRW PRGGMFIWVRMHLESHPLWMASGPNPRFPVLDGPALAGALMVYLTTKPFLVLVSPGSV FSANDEIRKAEGWAYYRICFAAEAEERVGLAAKRFVEGVRRFWEVTDVKLVEKLLEEL GPSGQVDALDDEVYGLLTSPCQL MYCTH_2125911 MGSQEESRRFDVNDIAIIGAGPCGLAAAKYLLAQNAFRKIDIFE QQAEVGGVWNYSPRPSETIRVPQVSADCPPDPPLPCREGEAPVFPSPMYDALHTNIPR DLMEFSDLRIPEDTLIFPSREDIQNYVVEYAKDIRHLIRFSTQVKDVRLRVVDGVDRW DVDTTCLLTGKTTSATYDAVVVVSGHYTAVYIPDAKGIGEFHAAHPGVISHSKHYRNP ERFTGKKVVIVGNAASGLDIAAQISRVTQKPLLLSVQTPTSEANLAYSGAEEVPVIEE FLVEERGIRFQNGRVEKDIDAVIYATGYLFAFPFLRSLKPPLVTDGRRVYGLYKHLFH IDHPTLVFTRLPIKVVPFPLAESQAAVFSRTWANLLPLPSVEEMRQWEAEEAERQGTK FHVWPEGGDANYINSVHDWIVQSKTPGKVPPRWSPELVWQRQIYAKAKLQFELSGRKA RSLKELGFEYSPEEGDN MYCTH_2302431 MASVDGDIAASLASQTSTPIAQLSPDLPDYPSRVVRGVVTITWP YNSVTQKFAFLLAERDVRLRRAKGQIRIELQGPSAKAASNCGIGAGDELLFSLAGAEW SPDPAPGRIPGARVEWQIQFSQKLALQVKFGESGDTKHINVDHPVADKPDRPVQPPRP ATPDPEPILPRVPTTGRKLSEITADEYPSPAFVKRARLSYGALFEGGFDIFEEDGGVK GRGRKRARFGRNSNTWRYSSQSPSPEPTSLVSDAMDEDTPESVALRPSPKPQTVDEAC QTAEVEMAPAAQGATSTTVQQQEKTPTPPQTPVPAPLGTAVRAQEGRTPEPNPVHQIE RPPIARDPSEEPTPVVQNQTVAASDQGPGPASNQTPPEETHRKLPSSPIGKGQTRRQS PETATQPTSLSASNDETAPSSFLGPRSFASNFSSFGVDVPARVESSLSLADQVRFGFS HVPQTAHSPSLHDPEFAPEPCPEPVHQDQEQYPAALLDNAHSPANAAGGDKRMAFDGQ SMPPEPAGVEHFGDSQWEVSTQPFPIDLAEGGHFGTDALSKGASVIARQQSLHADDLD LGSVPEGFASYGKGDASEVRERSPSAKAPPHERQPSVENENMRSDDEGSLDEEEEEDE EEDEDEDEEENEEEDEEEKSDEDAYGEQIEEGDYDQRNYDVPSDDDEGLSDEDEEVEL ESEEGYGNDEVYDEDGEGEEWDDEEDYESEEGSGQDDDAEGHQPGRPAAPVPRGEPVV ISLLSDSEDEDQPAPPAAEPAPVPQTVHASEPSVTSESSPSLNRAESSSLEHHKSPSS ARYGSPSSPPHESPSSPPHESPSSPPHESPSSPPHESPSPPRHDVPTSEHRQSPASER SESPAVLETVETDDSGKEQGHNSIFNQTDVIDFAMRHTQSVNQQRKAEPMETGAEAGS SLSANQNYGSFGATSGASELPHRDFETEPSESPSGSVASQPVSQPASSESSSEGLFIS QPRPRSAGAEEQGDTGSASEPEGTSEAPRRETEGTEGGTTDEDAESDEASNDGGMELE PENTEIRDEVLSVEEMDQDAPAHSSPKPHNDDASLPDADALSFTSQIEMAAEFGASED EHMSVDDDDSRHANAVDTDMSTFEVEEVTVSEEDVDMLDAGSEQVESASPERMAMSPQ KDDRVNASTVASDLVVSETITEVVTTASADEPLPDAPTVTELEQQGTSTPGEQTLARA ESAHVSVEDESNRQVDVPEAKAEKQGEGGSSLDGSHSIAGSESQVDIASQEALGGPRK PLAQIPVDDAVPPPAEHLCEADENPVVKNETTDDKGGTEPSGVESPSALQQDGVTDAS TPPRPPRVVAPDGSPSSDQPEVALARAEDVKGEDVNEAEGSAQRAQQVETVQQSEASA EDFDDEALILEQLSQEQQQFLETETPESRDRGGTRSSSPDVSVHLARQAIAAKRHKKA TEPVRTSSRITRARSNSLRSNATNSTPEDEKEKEDPSISLARAALASPSRRGVGSSTS ASKTTATSSTSATALRADLNKRLRTELPECIPLRSLRAHLDKTPNVIAVVTSQPTKPV RAKGGPREYVMSFHVTDPSAAPSTVVEVQLYRPHKDSLPIVGPGDVVLLSKFLVKALS KKGWGLRTGNESAWAVWEHARQQQQQQQQQQAEEDCPQIRGPPVEDWKGYVGYVRTLK EWWALMMADEAAKGKLEKADQKLTEAK MYCTH_2302433 MSSTSRAIPRLRAIAANCSSPPQGLSFTPEQRVAKNLTGLIPHV MEDGSRQCERALKMIRTRQTNIDKYLYLSTIKSQNVDLFYRLLVDNAKELMPLVYTPT IGDVCLQYSTLYTRPEALYISIKQRKSIKTILRNWPYPNPEICVVTDGSRILGLGDLG VNGVGIPIGKLALYTAAAGIHPAKTLPIVLDCGTANEANLKDPLYLGLRSKRVSVAEQ QDFMDEFMQAAAEVYPDMVVQFEDFESEKAFNYLDRYRNNYKCFNDDIQGTGAVVLGG YIGAVNLSGVPIEEQRLVFMGAGSAGVGVAKQLVEYYTKRGLSEQAAKDKFWLVDTKG LVTKDRGDKLAEHKKYFARSDNNGHQFRTLEEVIEYVKPTALVGLTATHGVFTESVVR ALKASVDAGGLGRRPILFPLSNPLTKAECTFEQAVAWTDGTVIFASGSPFSAFTVKTT DHSVTYYPNQGNNVYVFPGIGLGAILSKASRVTDNMIYTSAAALAGSLNAEEIHKGLI YPRIERVREASLIVAREVMKAARRDGVSQLPDEQWLEWEEWGDPALDKYIKARIYDPQ L MYCTH_2302437 MHLVQPCLELAPWIYSLVFLARLPFFFSFPTLAHAMASSPHSTS TTSSDLSTPRSISPSSAASARSSHSSISSSKRMSISSSRRISAANPMSSVDIAAIEEA MRMANLDTLRGYNQNRYAEVHQFATTEYISENKALGYQVLSEPMWNKG MYCTH_2302439 MSRQNRQQRRIMGPQSALTDFLASHNISAHQIRLDAEARRRAAQ NGQNEQNNDNQTNGRDSEDSPDTTPAVSAGPASAARGRRARARAAAGPNYEEARNKEK KAIEKIKASKKFQKRKRDAESDDEDDLVQALQRSSAPLPGQQENCENCGKRFTVTPYS RNGPKGGLLCSPCSKELDKKDEAARKKKPKRATGGAVGVRRQLQSSILDGTYSLGAKS LMTLCIETLAKNIDLAEDLGDLPSDIIDKIARRLSKLRLLDSRTLSLFLQPAAEEVNI YDGAKLNSDDYIRIFQVVRGLKKLRVRNAIHFKDDVMDYLISRNIELEDLYLHGANLI SESRWTEYLQKKGRFLRSLRVYFTDKHFGDSVLALLPTTCPSLNRLKVCHNQEVTGAG VAAIAQIPTLRHLSLDLRNELHSDVYVDLISKIGAHLQTLSLTRVHDADNTVLDALHA HCRSLSKLRITESEAMTDAGFVRLFRDWANPGLEFLDLQKCRQLDSARPRENPDGIGL CSDGFRALMAHSGKTLRELNVHACRHIERVAFEDVFGVKNGRPGGGSAQMYEALAKLE ISFCEEVTDYVVGSIFRSCPNLRELNVFGCMKVRDVRVPRGKILVGVPNARGMVIEGD DDDDDGDAWGMY MYCTH_2302441 MTSSAKLSTNPRSASSAVSDSSSVFTSATPSLASRSGSVTPLTS NSETATPKDSLQPSSGKRLTRIEVHLPAAKVSTNQHLRQSIFSMGSKRKRAVEDSEEE EFSDSSPDAILARKLQAEENAAANIAQRSDSSGPVLRRSLRGLAAPASVSGAQSTASM DSDKGLSSAGSRRVTGAGRVAAARATSSGKTASKRRAVIVSDSGDDSSELTELSAAED DSDDSVEPRGPTTRSFRARRSTSRKGRAAIAPASEDEEDDPWSNSNDDAYEAQDLLSD ASSLSDIDSIAQGAEAGGSGVNDVDDAGEDSVIAAARSALERAMNSRRAYRAYRSQRR VKSDRVRLETYHPELRNMWKELEDMPILKAGKAEQPKSISRQLKPFQLEGLAWMMAME KTQWKGGLLGDEMGLGKTIQAVSLIMSDFPAKKPSLVLVPPVALMQWMTEIESYTDGT LKTLVLHGTNAKSKNLTVKDIKKYDVIIMSYNSLESMYRKQEKGFKRKAGIFKEQSVI HQTEFHRVILDEAHCIKTRTTMTAKACFALKVTYRWCLSGTPLQNRIGEFFSLIRFLN IRPFACYLCKVCPCSSLEWQMDDDSRCTACGHGGMQHVSVFNQELLNPIQKFGNRGRG AEAFAKLRILTDRIMLRRLKKDHTDSMELPAKEINVERQFFGEEENDFANSIMTNGQR KFDTYVASGVLLNNYANIFGLIMQMRQVADHPDLILKKNSEGGQNILVCCICDEPAED AIRSQCKHDFCRTCVKSYLNSTTDPNCPRCHIPLSIDLEQPEMEQDEALVKKSSIINR IKMENWTSSSKIELLVHELHKLRSDNASHKSIIFSQFTTMLQLIEWRLRRAGITTVML DGSMTPAQRQASIKHFMTNVEVEVFLVSLKAGGVALNLTEASHVFIVDPWWNPAAEWQ SADRCHRIGQTRPCTITRLCIEDSVESRMVLLQEKKTNMINSTINADDAAMDSLSPED LQFLFRGT MYCTH_2302442 MRPDSLALAAVAAVAAVAGASTLTPNVLPLIVRNPYLSTWLNHA RGAPWEHWPMFYTGAHVGFSVMASVPETGKVYPLLGRPQDSLPRGYGRYDVGYPEYLG ATFDASTTNLTYRVSGSSPGDYVRLTLSFLSPITPSSTFRQSIPAAYLTVLAEGCLDV SVYTDLNGEWVTGNRGNVVTWDFHRHNRSESSGGWGTELKTWKVARAGQQLFTEFADR AEWGTLYFTGPADAHHQSGTSAVLRKQFAENGVLRDEVDGRFRRVMDEEPVFAFSKSF GLAGAGKPGTGCHWKKGSVTFTFALVQDPVVQFARASGLKHMKPLWKSYISHADELVT FHYDDFHTAAALARNYSNSLARDAYNSGSQDYQDIAALSARQVLGATQFSGTPESPIL FLKEISSNGNFQTVDVIFPAFPFFLYTNPKWLAYLLEPLLEHQLSGQYPNDYSMHDLG THFPNATGHPDGRDEYMPVEECGNMLIMALAFANALRYDTEPAFLRARDGVGAAAAPP PSSSGRHNSVVDNYGIDLHSDLDMADGSGSGSTGDRAARRWLARSYKLWEQWTAYLVR ESLIPHNQLCTDDFAGWLANQTNLALKGIIGIRAMSEIADLVGKDEDAKRYRDIAEDY IAKWQEYGISRDRTHAKLAYTWYGSWTTIYNLYADSLLCFHVTENGESATTRSLGGDG QKPIGGGDGKEKPFIPDEVYQMQSDWYHAVLQKYGLPLDSRHLYTKSDWEFFAAAVTG EKTRTEILTAVARWVNETVVDRPLTDLYETEGNGNFPGNIRFMARPVVGGHFAFLALE RACGGKATEALRFLDRSPPAVIDVVKVLQAERQEGMETDDEWDDL MYCTH_2314855 MATTSMDYEATGERYDDDGPRYDRDRSASPRRDDGHDSRRRSVS PNGNDRAPIKSDSGNKEDDGATNPGSNLFVTGIHPRLEESEITRLFEKYGEVEKCQIM RDPHSGESRGFGFVKMVTSEQADAAMEGLRGEVIEGRTLNIEKARRSRPRTPTPGKYF GPPKRDPRSRYDDRRRGGYGGYGGGYGRDDSYRGGRGYGRDDRGYDRGYDRGYDRGYD GGRGGRDYRDDRGYSRDYREDRGYDRRDRDDGYGSRADRYGGRDDRDRYGGGRGGYDD RRGPSYDRDRYDRPSDRDSRPRDSAPSASGAYADPAPRGDTREPYGGR MYCTH_2302447 MADPSNEKAVEAGAFEPRPATEPPPSRGAPLAGNQEQERLEGED GLRRTETAVDKTEDAEGRHDLNRLGTTATATSVTSTAATNRLPEQKPWYKQPNPLRWG RIPPVPKEKEVCPEYKAGFFSSLLFAWMGPLMNRGYKRPLEFNDIYSVNPDRAVDPLT DKLRAAFKRRLDAGDKYPLLWAINETFFWEFWFGGFCSLASSVLQVLSPFVLRFLIQF AADAYVASLRGLPTPHIGRGIGLVIGVTCMQVLQSLATNHFIYRGMLVGGMTRASLIS LIYEKSMVISGRARAGGAELPDIPAAKAAAEQRKKDMARQAKKSRKGPAGKPPGVPGD GVGWDNGRIMALMSVDTYRIDQAFGLFHIVWASPIAILVTLALLLVNLTYSALAGFGL LVIVVPLLSKAVKGLFARRRDINKITDQRVSLTQEILQSVRFVKFFGWEESFLKRLDE FRSREIGAIQVVLGIRNAIMAIGVSLPIFASMLSFITYSLSHHNLAPAEVFSSLALFN SLRMPLNILPLVIGQVTDAMSSITRVQEFLIAEEREDEAIHKPDATHAVEMRNASFTW ERTRTQDNEGTIAGPAPVSGPTREKPDSSKADSCEESSTLAEEQEPFKLQDLNFTIGR NELVAVIGTVGSGKTSLLAALAGDMRQTSGEVILGATRSFCPQYAWIQNATVQENILF GKEMDREWYSEVVKACALQPDLDMLPNNDMTEIGERGITISGGQKQRLNIARAIYFDA DIVLLDDPLSAVDAHVGRHIFDNAILGLLKDKCRILATHQLWVLNRCDRIIWMEGGKI RAIDTFDNLMRDSEGFRQLMESTAVEKKDEEDAATQVPGDKGPAKKKKQKKGGLMQAE ERAVSSVPWSVYASYIKASGSYLNAPLVLSLLILSQGANIVTSLWLSWWTSDKFGYNM GTYIGVYAGLGAGQALIMFLFMISLSVFSTRASKGMLRQAVTRVLRAPMSFFDTTPLG RITNRFSRDVDVMDNTLADAMRMYFFSVGTILAVFILIIAYFYYFVIALVPLVIVFLF ATNYYRASAREIKRIESIHRSTLSAKFSEGLSGIACIRAYGLTGRFIADIRKAIDNVD SAYFLTYSNQRWLSVRLDLIGNCLVFTTGILVVTSRFSVDPSIGGLVLSYILAVVQMI QFTVRQFAEVENGMNSVERLRYYGTELEQEAPLKTIEVRKSWPEKGEITFDNVEMRYR PGLPLVLQGLSMHIRGGERIGIVGRTGAGKSSIMSTLFRLVELSGGHITIDGVDISTI GLHDLRSRLAIIPQDPTLFRGTVRSNLDPFGEHTDLELWSALRQADLVSDDAGPSSDS EGVSPYRDGTNTAAKETSRIHLDTTVEEDGLNFSLGQRQLMALARALVRGSQIIVCDE ATSSVDMETDDKIQATIATGFRGKTLLCIAHRLHTIIGYDRICVMDKGRIAEMGPPIE LWENEGGIFRSMCERSGIRGEDIRNAKAALEGLLRRDAAPATAAEIAGGEEVREGEAN R MYCTH_2302452 MRVSPFSPKRAGLLASFFALGVVAQQNNNNDNSADDDTANDTST TTPADDSTADDSDADDTPANGSPADDTTTNDSPTKTGETTTDAAKPPAGTPTTAEGDE PPSTTTKDEQTTTTGPLPTLPALSSTTTTSFSRPTDMPTLSRTVNTEIPTYPPPTIPP TRNAPFMNHSTLPDGTVFIAVGAILGAFGVAILLWRAIVACLLHRSVERAALAQHAAN NGRGAADKNAASFPAPPAQFYKYLERESSASLAGAGVGGSTGRRTNRGPTPSATPSQT NLFFSPTAAGSSSGMGGTNRDSARYLPSGFYASASPAAGGHGHGNSISMTTLRPSSRG RGGQLGPSPPESPDVGPRVMSRNFSTSSLNLNHPPSPGHRAPSAYLDDLLDEQPGMFP PAAGNAPSQGHGSQRGRY MYCTH_2059229 MGVAKRTRKFATARDLHVKRMIGKRDDRRKEEALKKAEQERKKK EKEAVREVPQMPSSMFFEHNTALVPPYQILVDTNFLSRTVGAKLPLLESAMDCLYASC NIIITSCVMAELEKLGPRYRVALMIARDERWTRLTCDHKGTYADDCIVDRIQKHRIYI VATNDRDLKRRIRKVPGVPIMSVQKGKYAIERLPGAPAT MYCTH_2302460 MAAELHSELPIRPVPRDIRAVSNVNTDERRHKHSRSSYSEASPL ASRNNSLTFRPAKRPMPSPDKTIAVINASGRQAASLIRVATAVGYHVRAQLRNLEGVV ATEVATNPNVTVLRGELYTQEERPSGSEQVDVTRDGPISGIGVNHALISELFKGAQLA FINTTFYGDEQRIGEALADAAKKAGIQHYIYSSMPDHHAYNKDWPSLPLWASKHRVEE YVKKIGLPATFVYTGIYNNNFTSLPYPLFCTELQPDGSWIWQAPFHPDVKLPWLDAEH DVGPAILQIFKDGVKKWGGGKRISLAYEMLSPREACEVFSRGVGRPVRYVHGPIEIKV SIPEGYRAQLEALEALFGLGHDDPKKQPPYFGDPELESSCPRVALELWEGPRGLEEYA REVFPLEEQANGLTWMLDEGEEGITNSIRRTANGNGHPHHINGYITTLQQVTLESDGE DEDEDGDGDGGLVMRGLKRDDEEWLA MYCTH_64893 MPSKSSSKKAANSSCCAGGMGGWLKFFAVLLGVLTPVWYLLEQN LERFYIFDLDQLQDVAARAVDTHGNDTRAMVKFIVDELAGQVSLTSFVNRDEEWVFNN AGGAMGAMYIIHASITEYLIIFGTAVGTEGHTGRHTADDYFHILSGTQLAYVPGEFEP EVYPPKSVHHLRRGDVKQYKMPESCFALEYARGWIPPMLFFGFADGLSSTLDLPTLWR TSWLTGKQMIGNLARGKF MYCTH_2302465 MATKPPAVAIPGQLLGPASRYQPGPGTHLHGANLYASLLGQVHV SQPARAPGPAKRLTKITPAPAPAELPTISVSAPRLSSSSSSRVGGGAAAAAGCGAEGE GRRKMKREVLPEVGNVVLCRVLRITPRQAVVAILVCGDTVLDAEWQGLIRVQDVRATE KDRVKIYESFRPGDIVRAEVISLGDQTNYYLSTAKNELGVVLAVSEAGNTMQPVSWKE FRDPETGAIELRKVAQPQPQPQ MYCTH_2302466 MAVASTGTLTTAPSTTTTQCCPKCGFGADLPPYEETQAALLNAQ KQIEDLQAQVRLLNQKATAAVDRWADYEDELAKLRAQLQSRPQTPSSSAPPPPQQQQQ QSHQQPSTANQSSLPTPPSSAAGLPSPSPSRSSFLTTSAASRISALLSRKSPPTPEPP SQQQQQHQHQQKQQQQQQQPTQLQPHPPLQPLPAPHSPPASLAAPDLLAALAREQALR LEAEGRLSQTSREVEELSASLFEQANEMVAAERRARARLEERVSELERREADKRRRLE RLERAVGRIERVRGVLGEVDRLGKE MYCTH_2302467 MAANKVLEGVFAINKPFGMSSAQVIRDCQEYFNPSALFAPLIEQ ERALRERESRYQKHRRSKAKQAIRVKMGHGGTLDPLATGVLILGVGKGTKSLQDFLAC TKTYETVVLFGASTDTYDRTGRIIKKGRYDDLTREAVEKALEGFRGKIRQMPPLYSAL KMEGKPLYEYAREGKPIPREIETREVEVAELDLVEWYEPGTHNHRWPTEEAEQVEKNL VDSVWRVAKQQQQAATADELAKLTPEQREEEARALAEYNTKKREAEERVDSLVTDEQQ QPPGQGGEQGEQPPTKKRKKTNEGRAEPMMSGALGSLPPKGKGSDLVPPPPAPDTPPP WGEDKGPAAAKIRMTVTSGFYVRSLCHDLGEKLGCGAMMAELSRTRQGQFVLGSDNCM EYDELLKGEDVWGPKVRRMLELWNNPNAKAEETTKSEGGSAPRKAEESKRADEDKEQA AAAAA MYCTH_2302469 MAGRPRRRRASSTSTTAPLDHPQIRWTKESLVLKPAPQHVPDSE WPCYVLTDATIYRSDGKTLANPLLVHLEGPLIVRGLLEVDEDKLVPNLVRSSVRAAYI EIPRSDRYSIGDGPLVLWVSGAAGWFEIRPSARYLPMYEQVREAITLYYSAFEVYEAY AQACGGKKKSRRPPPPSLDEVFLKYAVRAGDGILRHEVEALCHKWADFLIPHFDKEVD LDWNTTRFAKWLRDSHPDVQKRLADIARRAALPPPPPSESQESSSRDQATGNRGSRSA RSGSRSLEVEDGDMRGSAPSRSRSPQSKSANRPKPRTSGTPVSLPEKYRQLTHPISSK SSPAPAETPKTDTPRDTPTSNVDHESSVDRLLEILNEVASEVDIKKAAPSRIHSAVYF KCKVRAYSGGKDILAYYAKDLLPRLGPEWKGTMSYQWLADAAKRPWKPTEPMDPDKIP AQTLRREKSSATSKASSTTRPATQLPPAINLKAKTKSALQHDSDSEAEFADLYAGPQR GRSRSGKAATLRLATSSKKRPRSDLDDQANGGHRSAKTAKVNHLISDEDDLEDDVEDK ETGDDEVAAGEETLVDSRLPVPEGAVRVVVHAERLPTTVPSGPDGTWTCDQEGCTYVV RSADEQEAQDLIREHFRDHEAQAEKISLAVRESRGQMPIKYAYFPPILLLVHMHNPSL ERT MYCTH_2143314 MSTGTTTTPSTAQASGGDEATDLSKQYDTPLGLAHTTMQALKDR IKLHYDLASDYYLNLWGEHIHHGYWPTESSKANDSKETAQLNLIRLLLSISGLLPQER DGNGEEKQLRILDVGCGIGGTTRYLASALAAAVTGITISGKQVQIASRLSRSAAAAAA AAARGPGLDAPPAAAASGGGDDDDDDDADGFIPLGDKGGKVRFVELDAEQMGDYFASS TSSASASASSPGREGGGNGGQGLFDVVWISEALSHFPNKVLFFRNAHRLLRPGGKLVL ADWFKAEDLEDGVFEADIKPIEDGMLLPPLCTQQEYVGLATDAGLKVLGGPKDISKDV SKTWDISWSLVQNPSLWAFAFSQGRDGIAFLQAFRAMRRGYANGSFRYAVMSFAKP MYCTH_2302476 MAPAAAPAGGGNIKVVVRVRPFNGREHDRGAKCIVEMKDNQTIL TPPPESAGKAIKDPGQKVFAFDKSYWSFDKTAPNYAGQDTLFNDLGKPLLDNAFQGYN NCIFAYGQTGSGKSYSMMGYGKDAGIIPNICQDMFRRIGEMQQDPNVRCTVEVSYLEI YNERVRDLLNPANKGNLKVREHPSTGPYVEDLAKLVVGSFQEIEHLMDEGNKARTVAA TNMNETSSRSHAVFTLMLTQKRFDPETKMEMEKAAKISLVDLAGSERATSTGATGARL KEGAEINRSLSTLGRVIAALADLSTGKKKKGAVAQVPYRDSVLTWLLKDSLGGNSMTA MIAAISPADINYDETLSTLRYADSAKRIKNHAVINEDANARMIRELKEELALLRSKLG SGGGASGPSVPAEEVYAEGTPLEQQIVSITQPDGTVKKVSKAEIAEQLNQSEKLLRDL NQTWEEKLAKTEEIHKEREAALEELGISIEKGFIGMSTPKKMPHLVNLSDDPLLAECL VYNLKPGVTTVGNVESNADHQANIRLNGSKILHEHCVFENAPDGTVTIIPKEGAAVMV NGKRISEPTRLHSGYRIILGDFHIFRFNHPMEARAERAERQEQSLLRQSITASQLQAP ERASPSPSPSKFGHERTLSTAVSEVGDSRPDSPATFQRNTKESDWSFARREAAPAILG IDQNFAKLTDEELNALFEDVQRARAERVNGREGDEDGESMASYPIREKYMSTGTIDNF SLDTALTMPSTPKQGETDDRLRDMREEMQNQLEKQKEEYRDQLKSAEAASVEVEEIKK EKVRMEETLVKLKADMQKQLEVQRREFEAKIEKLDPLKRPKAKPKLSKEEIERAKVVV KHWRSRHYVRMAEAVLQHAATLKEAQVMSHELDEHVVFQFTVVDVGHTLCSSYDMVLN GLTGEGDDIALEETPKPCIGVRVIDYRHSVAHLWSIEKLHDRVRQMRQMYQYLDQPEY AQHLKFDNPFIETCMPQYTLVGEVDVPLKAVFESRVQDFTLDVYSPYTTHAIGILKLS LEPSSARAPSNTLKFNIVMHELVGFAEREGTEVHAQLFIPGISEDGITTTQMIKDFDE GPIRFESVHSMSVPLFAPPQTTLRVAIFAKVSATHLDKLLSWDDMRDAVPNSQGKKGA RISESHFFTEEKHDLLARIQILEMNEEGEYVPVEVTQTSELDGGTFQLHQGLQRRIAI NLTHSSGDALPWDDVTAMRAGKVQLLDSAGKTPDMGSATPDIPLKLVSGPSFRTNANG TRSVTMIGQWDSSLHNSLLLDRVTADKYRVRMTVSWEISSERLAEPMKFSMNVCCQVL SRSFVRQTSVFSSLFQHVRIVHSATGIFTLQLRPAPIKRVGDLWRMSSQHDYVKGEEN LTAWTPRGVSLVVDYINSRKKRKMMAEMAAVQSFLKKINIQETNGEQGAEDIELPPPP KLADDDSIAELLKDDTPEPSPSASPEPTTKDPPIHPQEQEPTASPPVEQEDEEGEGEE AQAPKPNPSEYSEHQTELLNRCLNLWLRYPDPSLRILSPANTDPPEDGAPPADASSLS PAQQPTSIATVIRVPKNPSVLKGGYLLVPNADSTRWVKRFVELRRPYLHVHSVGSGEE VGVVSLRNARVDSQPGILGLLNLNGGDDAADEGVDVGGDEGGGGGGGSSGGGPGAIGG GFETPRRGLSPSPSRSAVRSPPPSSGGAGGLIASLWPGGSGSGSSSSGARSGRPGGAS RYGGGGGAGGEGGAAAGGGGAGGISRLSERLQAGVFAVYGTDNTWLFAARSERDKMDW VTKIDQSFGMSSGTPSGTASPRVGGW MYCTH_2302478 MAPVRDPNTLSNYDQWRTRHTTANFKVDFAAKCLRGSVILELES QTDKASREIILDSSYVDVSAIKLNSTPSQWVIKDRTGPNGSPVHVAVPNGAAKGEVVK LEIELATTEKCTALQWLTPAQTSNKKAPFMFSQCQAIHARSIFPCQDTPDVKSTYEFN IRSPHVVVASGVPVPGATVDAGEDKIYKFEQKVPIPSYLFAVASGDIASAPIGRCSSV ATGPNELKASQWELQDDMDKFLDAAEKIVFPYQWGEYNVLVLPPSFPYGGMENPIFTF ATPTIISGDRQNIDVIAHELAHSWSGNLVTSCSWEHFWLNEGWTVYLERRILAAIHKN DAYFDFSAIIGWKHLEEAIEGFGKDHEYTKLSIKHDGIDPDDAFSTVPYEKGFHFIWS LDRLVGRENFDKFIPYYFKKWQNKSLDSYEFKDTFLEFFGAPEYAGLKDKLAEIDWEG RFFNTGLPPKPEFNTSLVDVCFQLAEKWKQKDYTPSPSDISSWTGNQVLVFLNAVQDF EEPLTVGQSQNLGKIYGLADSKNAELKSAYYQIAMKAKDTSSYPGVAELLGNVGRMKF VRPLFRSLNKVDRDLALGTFEKNRDFYHPICRQLVEKDLGVGEAKSS MYCTH_2302485 MIARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERR AELELDESQRRLEEAQRELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVE RQETPAMPSSQINDAVDAVDWGAVFGSVPGFPLVDPDSAGGTVPVSQGSSNS MYCTH_2302494 MDHIASCLLDTDPEPDLDDLSFGLNNYIAKAVVTPQDANNESIG RSSSPSGDKPFAWRMQQQPERKYQLFPSDKQIAAIPAKPLDPEQAYALAMSQSGEKSE KQTAVTGLRIRIKDHNNLVRRRKISVPELGPMTTVQEAAMDSPTIPGRPPIHERSISA PGSSWKPSTLGDCMTTGKTPPGGERPELRSAGRSNEELQQPETETARQPLSPKSLAPL VIPTSNPSAPRTAQQVPLSRLRSGSTPVEGSSRLARIDDSPRGKTPFTPLSASLTTPR SAATTFTTASTLPTPVSAPGESRESPRPWEKPTTHPPAGTQKAVPPEPSSATPKVESS DPLQNHAHGHRRHQSESGSIMDRGRPRKRSEAGAALGTGPKRSGSKRSKSTERQAFER LPKGWKADDAVNVLSPPEVATLQRQALQQAARFEVLRKEDVDSLSRVCTPLHPPSLSL SPCCPPSTDVNTQELRHLDERTEYLRHTYMSLRSGRRNLHTRVCQYLRSARTAKFSHE AFLKQEEALAELDTSIDEWASKLEQAENRRTRVRQKLLEHVAAAVTLGSPTGSVVGVS ESLQLALGVVRPLNAASISTPPRSPAKASFTPASSSSPSPHRAHVPSTILEQPLVEEA ADMDTNKAAEEGTKTRRAETIRVYADLDIPALLKDVENTIAKMGGPDASAEGSSCTPL TEAERRQICRTLSHDALNGGAPARKPPPVSKLAEKPSSSSLTSSATAPAPEPALEEVF LTSAVFKPS MYCTH_46784 MREPSEDQGGRGEQRCCVSSDAEGRTLVSFPEFSHPLSIRPVPE SFFHLESFDSEHTGTVMAQVGRAEIPVPPSQEPENTKWFNWLAQPSSPVSSRVAVEGS RVSLEPRVSPGISEFRPPQRAKLPPSLPAREITPGDSHQIDTRQSEDTGELLSSSDSS KILYRYEELGNITTEVEGQKEDCAASDGRRAVSRTKPPDSSDHQPRLTEQQVYAEPDG PDPEEAWRSFVFGNDDDSDAVGKAAFEEAKHDATWSNQPPPSPVSHDKALQSDGNSNM ATVGTFSTCCDNETSRNTESYSAIEMSGSLEATYFPSSTGTGFNVTETSNDSAHDSNI GVIAGSSTIPDNESPMDLSESDRSATFADTSTSASHAAAASVTTSMVVATESSEPGRS VAHFRFSQPKVFVGSRSKQTQTERAVGPSLGITLNRKGRGRPRKRANDGRADIRALPN YSSDPIEDYDDEGSVRKKDRKASKSLFQKLELV MYCTH_2302496 MASSAPRSPVLKQPVKIACVQLAAGPDKAANLAHAAVKVREAAA TGAKIVVLPECFNSPYGCDHFPTYAERLLPSPPPPEQSPSFHALSAMARDNGIYLIGG SIPELVVTTEDGSGASGQDTIEEEENKKKKKKKDEKTYYNTSLTFSPTGELLATYRKM HLFDISIPGKITFRESDVLSPGDSLALVDLPEYGRVAIAICYDVRFPELAMIAARRGC FALVYPGAFNLTTGPLHWQLLARARAVDNQVYVAMCSPARDMSAGYHAWGHSLVVDPM AQVLVEAGEGEEVVVADLDGEKIEEARKGIPLRDQRRFDVYADVSRVKP MYCTH_2302498 MGDITHATIKDGWFREISDMWPGQAMTLRVKKVLHHEKSKYQDV LIFESTDHGNVLVLDNVIQCTERDEFSYQEMITHLAMNSHPNPKKVLVIGGGDGGVLR EVVKHECVEEAILCDIDEAVIRLSKQYLPHMAVGFNHPKVKVHVGDGFKFLDDYKNTF DVIITDSSDPEGPAESLFQKPYFQLLHDALREGGVITTQGSENQWLHLPLITKLKKDC SEIFPVAEYAYTTIPTYPSGQIGFMVCCKDPNRNVRVPVRTWSKEEERKLCRYYNAEI HKASFILPTFAQQALE MYCTH_2302506 MCFGAREKSDEAGAARSRELDKMIRADEKRMAKEVKLLLLGAGE SGKSTVLKQMKLIYAQGFSKHEKMEWKPVVFTNILQSFRLIADAMNDLNIAFENPENE KHMAQMMVDYEMTADEPLPEEYLEPVKRLWTDAGVRKAMEKGNEYALHDNLDYFCNDV ERLWDKNYVPTDQDLLRSRLRTTGITETVFDLGQLTYRMFDVGGQRSERKKWIHCFEN VNCLLFLVAISGYDQCLVEDKDGNQMNEALMLWESIANSHWFTKSALILFLNKMDLFK EKLAKSPITNHGFTDYQGPPNDWEKASHYFMDKFRALNRNPEKEIYGHFTNATDTNLL KITMGSVQDMIIQRNLKQLIL MYCTH_2302509 MPTDLTRNHGIVDARNSSFRSTLFDGAVEGHVLVKNTRNALPLR EPKMLSVFGYSAKNPDHNNPSPGQSPWLWGSESFNYTEFGGRFFGLPGEYGSTPIAFN GTIYSGGGSGATSPAAMVSPFDALVQRAYDDGTALFWDFVSGEPFVNPASDACLVFGN AYATEAADRPGARDDYTDGLIRHVADRCANTIVVIHNAGIRLVDQFIDHPNVTALLFA HLPGEASGRALVSLLYGDENPSGKLPYTVARNESDYPVLGPDVAAEGSMFARFPQSNF TEGVFLDYRHFDARNITPRYEFGFGLSYTTFAYDNLVVEKVASAGRLGEYPTGRVVEG GQEDLWDVLVEVSAEVTNTGKVAGAEVAQLYVGIPAEGAPVRQLRGFEKPFLNASATA TVRFPLTRRDLSVWDVVAQKWRLVRGREYKIEVGGSSRDLPLVGTVTI MYCTH_2125938 MLNLSHTEHTLFRPLPLSLPHHHHHHHFIVGRRPPEALRGAITR HIRAVAGYYRGRCYAWDVVNEALDEDGTYRKSLFYNVLGDEYIRIVKTFEKLIREKPK PGFKRKRKTVAAN MYCTH_2302511 MCGILGLILADSTSIEAAVDLHESLYYLQHRGQDACGIATCGAG GRIFQCKGNGMASKVFEDGKRVADLPGYMGIAHLRYPTAGTSSSAESQPFYVNSPYGI CFAHNGNLINAPELRDFLDKEAHRHVNTDSDSELMLNVFANALNETGKARVNTDDIFS ALAQTYQRCQGAWAVTAMIAGFGILAFRDSFGIRPLIMGSRPSPTVEGGTDYMLASES IALRQLGFRHFQDILPGQAVFIQKGGKPQFHQVTEAKAYSPDVFEYVYFARPDSVMDG ISVNSSRQNMGAKLADKLREVLGEDGIKEIDVIIPVPETSNTAAAVVSERLGKPFSNG FIKNRYVYRTFILPGQKARQKSVRRKLSAMESEFHGRVVCLVDDSIVRGTTSREIVSM AREAGARKVIFASCAPPIKYPHIYGIDLATPQELIAHEKTRQDIARHINADDVIYQDL DDLKSACMEAAPGGRVTDFEVGVFCGKYRTPVPEGYFEHLNESRGKKRKIAEQAVPTP STAPGSGGPVNLAAKRTLVDGGAAGLGQAGADEDVNGEDKIAALANPPNRQDISIHNL ANEPTTR MYCTH_2302517 MAGPTPPPSGVQKKRGSTDDTPNVYDDARTYYTAEERHRNNRAG PRTRTYSQNSLLRQMERLVVPEPYRRGSHDESTIPHSRRFLIQVEPTLQSLQQQEDTD QNMQITIEDSGPKVLTLRTAASNGYNRFDIRGTYMLSNLLQELSLAKEYGRKQIILDE ARLNENPVNRLSRLIRDHFWEGLTRRIDASSIEVAARDPKDWTDDPRPRIYVPRGAPE QYEYYTKLAEERPEIRLDVQLLPEKITPEYVRDINSKPGLLAVDMEEVTDPKTGAKTL RGRPFVVPGGRFNELYGWDSYMESLGLLIHDKVDLAKSMVLNFCFCIKHYGKILNATR SYYLCRSQPPFLTDMALRVYDKIKHEPGAIDFLRTAILAAIKEYHSVWVAEPRLDPVT GLSRYRPEGLGVPPETEAGHFVHILDPYVKKHGMTFNEFVEAYNSGKLKEPELDTYFM HDRAVRESGHDTTYRFEGVCADLATIDLNSLLFKYETDIARTIRNVFGDKLVVPAEYC VGNMEPDHVETSAIWDRRAKRRKLAIDKYLWNEAEGMYFDYDTAKRTQCTYESATTFW ALWAGVSSPKQAAAMVTKALPRFEAFGGLLSGTKESRGEVGLERPNRQWDYPYGWAPQ QMLAWTGLYRYSFTDEAERLAYKWLFMITKAFVDFNGVVVEKYDVTRPIDPHRVDAEY GNQGLDFKGVAKEGFGWVNASYIYGLQIVNAHMRRALGTLTPYETFVKAVEENRAKAL AELV MYCTH_2302520 MGRPSFIPKSSSSLTSLLSNNENSLLPRPVYQKPKIRTLLGHCL YRRVILWTAAGLALLFLTLSSGKRELRRERLLDLVHSKPYAKDTSYVHGAKGIKNDPG VVVVVAGSENSEEGSPSNWREGMPHWLKYKHLDGFFVGLKALVPAREYKPEYPGLPGE KSPYPLTISYTGLPTPTPYVPQPDYESPEYKAQYHPVKPCYLDKDNKIPVPDLYAYNG VVQGQPEPVIGSHGLLGLRDDVCFDRFGRYGPYGLGYSFEEGGVEVGMDTERAGSDAV WAKTGKINYDNIDWGEAQDWCYESNKERFVESGAQTQPSPTRSTTTNAERSSSSSGGT QKKKIPRTAVVVRAYTGFQWTHHAVLNFRAMISELALRSGGEYTVHFLLHVRDDNEAI WADPATAQRVLDDNVPAEFHSICTLWSEEQMRLVYPGQFGRSFSNPSGGDIHGVYRSA HMPLQYFAMNHPEYDHFWNWELDMRWLGNYYELFDRLGTWAKQQSRVGAWERSAKYYI PRYHGDWANFTALVHNETLASGRSPIFGPVSFAGRARLRAEEAGQSFIPPSCATRTDP ACGVGEDADLITLNPIFDAEDSGWVFASDVTGYNTALPPPPRRCAIVTASRLSRRLLA AMHEETWRLRHTMFSEMFPATVALHRGLKAVYAPHPVSLDRRWDLAAVDRAFNGGRDG SSGGRGSPFDLRNEHNHKGTTWYYHSEFAGLLWRRWLGYAQYDGRGPDGGRSGDGTLR GGKVEEERASGTGRMCLRSMLLHPIKWEHPSELEGWQP MYCTH_64869 MVALLSFCSFLSPVSSTSVLAATPEVAREYGTNGTVINVVNAVY MFTMGLSPVVWGPTSEVYGRRRVNQVTAMLFFACSIGTALAPNLAAFFVFRVLTAFEG TAFILVGSACIGDIYRPTERATALGWFMSGTLIGPALGPFIGGIIVTYASWRVIFWLQ AGLSGLAALFTLTPLLPETIHHRKVDDLEGYSPRQKMTVLWGMINPVRVLRLFVYPNL VTASLASSAVIWNMYSLLTPIRYVLNPRFHLTTPMQSGLFYLAPGTGYLMGTLVGGRY ADHVVKSWIAKRDGVRVPEDRLRSAVPFMGVVIPACLLVYGWGVEKEAGGIPLAVVTL FVQGVAQLFCFPSLNTYCLDVMQGRGAEVIAGNYFVRYLFACAATACVLPAVQGIGVG WFSTVSALFLMGSTAALQATIWWGKSWRDRVDKR MYCTH_2302526 MASDPKSVAVSDDVNAIVEEGKESKLAPVPSIQDKEKVGAVVIT PDGGSPTEEELHTLRRVPDKIPWNIYTIAFIELCERFSYYGTTAVFTNFIQQKRPEGS STGASYDIEHGQAGALGRGQRTAFALTTFNSFWQYTMPLFGAYVADSFLGRYRTIGFA LLVDILGHIILIISGLPPVIKNPNGALGAFIIGLVLMGVGTGGFKPNVNPLIVEQLDL ERMVVKTLKSGERVIVDPATTASRVYHYFYFFINIGALCGQLSMVYCEHYVGFWLSYT LPTVLLCLCPLVLLWGRRRYKRVPPQGSVLGRAFRLFFLANKGRWSINPVKTYRQLHD GTFWESVKPSRFDPATRPKWMDFNDAWVDEVRRGFNACGVFLWYPLFWLCYNQINNNL VSQAATMKVGGVPNDVLTNLNPFALIILIPILDVFIYPALRRIRINPTPLRRIAVGYF VAASAMIWACVIQYYIYKKSECGKYANGKFPDGTERECADVDISMWAQTGSYVLIAFS EIMASITSLEYAHSKAPANMRSMVQAVALFMNAISSAIGFALVSLADDPLLVWNYAVV AILAAIGGTMFWLQFRHLDKQEDELNMLPKGEVGSAVAVAKFEEDEKSEAKV MYCTH_2109422 MSRGFSAVMDRARLRLDQCLKRHAGCSPSADSLLPTRVLDLDSA DPNSISLRESQAERARYICLSYCWGRSEFLKTTRESPECHKRGISLAELPQSFYDAVR IVRALGVRYFWIDSLCIIQNDVDDADWKRESGRMADVFRTSYLTVALTWAVSANDGCF APPVYRETHIAPFAMELVPHFSQQATVKNSSHFPVLARGWVYQECMLSPRILQFGRQE RLWECRGHRTCECGQASNSVSEMSKDKFHEVTFDDDDYVQCLWRRMVVEHSNLQLTYP TDRPHALSGLAEEVRRHTKQEYMAGHRRNTLVQDMCWYRPERPQHTTAVRSRPAKADL VLGIG MYCTH_2302529 MSLATAAPAQGRGAIPALAESSDPQRDPGATSGDAQRELEKKKR RSLFGFGKKKTTEAPAKAAPPSSKPPPPATGRTTAASPVRTDHPPLSPSSPGRPGFAS SPRLASPAGSQIFERDVQESATSLIPSSPAIPSHIRTENHVPPVLDASSEAITDDHLN PDSVEIITHTSHQPAALAVTGGLGPYPAAAPTEASWADELAAFSASDNNTNPAAAATP ATAETASNYGSFDTTDVRRLSFISFADVVLAEQSQNERFFGAGGGSPSRESTYLAGLS SFPHAAASASAGANHPRSASPIRSPVSSSGTGRPGSVSPPTSKSGSVQGFDLSAAAAA ARVRGSSPAGRAPSSLGSPTSLLSMQLSSPGAGGGTVNGEIAIETMTQALRRTGSGDM SIGGGGGLRSFPASPTI MYCTH_2125944 MDHSGSRLRISEHPIPNGLLVYQVRGKPGHPRLLAPPSTERRSP GPSQLLRSREGALITAAVWLFLRRRTKCHPAGSEQDNSADEGGEIKHPRPREAEAPHG QVEPTARRLAAEMTP MYCTH_2078660 MEAVATRPALACCRRAVTSGIRHKSTAARTRRALNIPPHPSFLN SGSDRPTTDEIIYNPPSSAASVYHTPFKFLPKSDPRRRANLASELLASSTTIQYPSSS SSSSSSSSSSASSASSAAATSTQQPSENSSSASSSSSSDEVPFPSIEGPPRHKARHHL TKADIEEMRRLRAQDPATNTVQALSARFQCSKLFVMMCCQAPKEHKDKVRADLERTKE RWGPRRQAAREERRARMGLLFEGQL MYCTH_2314865 MAVFVNLESEDSGVSQDGKPQWPSAVDAVKQFPASTASSGPPAG SATSSADNAVGKQHEEAHEPAAGEDPNQNSMAQALGCYPIIMSVAASIDLNTLDSLSR TCRRIRRGLLPYRKMLLASTLRCVNENLPVDPEDTLRYRARASNWWYMQDAARSTYNG KSGQCARDMVAECRRCGTVVCRNCAIKPPAPIALRDRHRRLCEACVNAPLGSLARPRL GPEIRIDSDEMERAICRCDSTGVWLCQPCGRSIQGDDYEYKGIWKWRNQYTEVLGGLG TGIGEGDRGVPCGRETECCAAREREQEMDGDAEDAREAESYYQQVAIATPISGASSAS ASSLAPWATGLSGSASSTASLTSLISSSAASLLDRRTPSPAIKPGYDRHEVEGIGGVM KNMRVRMVKVGACVPEWEDECARREILGREVQGKRRSWCGWCRRVIPSSKDYEMDRQA HAKGEKGKQKEGSGS MYCTH_2302538 MKNYQQPYITPSTSEEEQTEGNDGVQEAGARSGKSNSKASAHQL QLQPVRPGGKGSSGLATGSAAAPSVSSDVAPTPTKASMLSVSVPSGAKTSPRTSRAPS FRHSFLPAHDARSRDSETINEIRNDMMVNWLYEQQLRKQYASGMDPYEGVVLKKARGT FTCCPPQMAAIPGSLFTVAAQMNVRCAMTVNTPVVRALLDSIISRTELDHVPLPDGLR VQVLRTMTDLPRGQLHHFAAFVEDVRLLVVWDDEPEKLLDRAQNLEAKFIEIIWGGGN DEGEEDEDAAGGEIKGDGAGVAVQDPGPGPGQLEEALGGEKRPVRLESACMVALTLAL WIVCPALGWRWLAYQSTVDGTYLRFALLAACPVQMFVSLFFFQSIITSLFQIFGPISA VTKNSKYYSGRGPRRLHRDQGPLPHVTIQMPVFKETLNAVIKPTVLSLKAAISTYEMQ GGSANIFVNDDGMRLLSEEEAQARRDFYDEHNIGWVARPPHNPNPGADSGEKRFLRRG KFKKASNMNYALHVSNRVEDKLAAFERGPDWTGEQEDAAYDRCLAEVLREDEGRTWAE GNIRIGDYILLIDSDTRVPRDCLLDAVSEMEQSPEVAILQFQSGIMNVTNSFFENGVT WFTRLIYSCITFAVASGDACPFVGHNALLRWRALQDAAAYTDEDGYEKYWSESHVSED FDLSLRLQVAGYTLRYASYTGDGFKEGVSLTVYDELARWEKYAYGCNELLFHPLRFWP VRGPFTPLLRRFILSAIPLPKKMTILAYIGTYYAIAGAWALTLANYFITGWFYGLYDK YYLDSFAIYISIIVVFTGLGNLALAVLRYRLSEQSLLSAYFENLKWIPMFAIFLGGIS LHLSQAILSHFFEIDMVWGATAKELEEVHFGSEILRIVRRFKWTFLYCFACTALMICG NTVFPVEWRINTLYSIYPLAATVVSHFALPVLLNPALMMFTW MYCTH_2302541 MQPSSSNTGFFQQLPVIRNQFEDDVSLQRITKLFLPPSVLDQTA PEISQLADDVLSKQVFDWISDAEHNQPYLRGSGRDAFGKPTNELVVTEGWRKLQEFGF KKGVIAVNYDSDYGPYNRLVQFIRCHLWEGSCANTLCPAAMQDGAARLLQRHLSRKTG LSPTERQVFQNAYDHLISRDPAKAWTSGQWMTERTGGSDVSQTETVATYDPYPDSSPA PLADADEKTPLGPWSVSGFKWFSSATDSQMTILLAKTDPSKGVSVFLAPMRRHNPTLV SPTGVSGGSELNGITIQRLKHKFGTQSLPTAELELKNMRAWLIGEEGKGIYEISTILT ITRVHTTVSSVGYLGRALAIAKGFAAVREVGVGRGRRVPLSTHPLHMRTLANMTVDYH GLMLLTYYTVFLLGLSEKPAPTATTGTTKHEPAPHPLTPPARLVGPLLRALSSLHKSY VAHTAVPLIYTAMQSLGGVGYLVNEESEHINIARLFRDACVSAIWEGTTDVLAGDTVR AAKHPRDGRDVLEGLAWVVETGLESVRRRRGGDGNGNGNSGSSRGRAVAGVKRAWDEV MKGRIERESQAALLPDARAVVFRVAEVLVAVLYLVDAAARPGPEIEAMCGRYLADKGF VQDGERAGGKDLALDQAIVYGAGKVPSNGAAGVKL MYCTH_2302542 MAHTAEISDDDRTASSADDNSPSTAKALGKRKLTVDFEEKVAWT DSDSGNGEIRMPQKGTKRRAVSKGKRSAGKGAANGAKAKTRAAGSRGGANSVAESSVE DEYADANMPDYLLRRRKAFDRDMALLRDAGLRLPPDYSDIYFSDDDHVSTLEQRPKFD ESSGIRPCRPYKDIELEYSAGIIPAPIAQYLRDYQIAGVQFLHQRFVYQRGCILGDDM GLGKTVQVAAFLAVAFGKTADERDARRMRKVRRAGDQWYPRVMIVCPGSLMQNWRNEL NRWGYWHVDTYHGPGKEDVLQAAKAGRIEIMITTYVTYKKMREAVNEVEWDCVVADEC HVLKDRRSETTQAMDCVNALCRIGLTGTAIQNKYEELWTLLNWTNPGRFGTLSEWHNT ITKPLTVGQSHDATLKQLSIARTTAKKLVQNLLPDFFLRRMKTLIADQLPRKTDKVVF CPLTDIQRDAYQNFLDGSQIPFIISASEPCDCGSKRKRGWCCYSTLPDGRRWVSVVFP SILTLQKISNHITLLIPSSTDPSEKQSSELKALQICVPDGWDQLYRNRDSMLNLANPE FCGKWKVLRKLLHFWHDNGDKVLVFSHSVRLLRILQDLFHNTSYNVSFLDGSLSYEER QRVVDDFNSDPAQFVFLISTRAGGVGLNITSANKVVIFDPHWNPSYDLQAQDRAYRIG QIRDVDVFRLVSAGTIEEIVYARQIYKQQQANIGYNASSERRYFKGVQQDSTRKGEIF GLKNLFSFHADQVVLREIVNKTNIAEAKAGVYLADIDLDKMARDEDEELNYIKQEPAD DEEAGMSQLAKLITAEDQEELLRARKAARPRTDAIAGILASAGVEYTHENSEVIGTSR VEAQLSRRAELVARRGGGGGGVGSGGSPNDDTDLDDPEGDSALFADSQASAVNGPTTT GGNKSNNNKKKRRSNPWRMHYRFNPPEDVMRRQFCSMAREFGFASATDFALVVESWTQ EQRRNCLDTFYRMREAKILERELAAVRREELKDENDVDAGTGEARTAEAGPGEVNIKK ENDVEEGEMKDENVGEEGAKEDTCQVKEEEVKEVKVKEERADSARKLSVMEVDKFQEG EVAQIKTEETQETEDVVKAKTTGVKRITTIFLSSDDEDDEL MYCTH_110871 MAANNMINPAVDPNLEDELFAKEVEEVKRWWSEPRWRYTKRPFT AEQIVNKRGNLKIEYASNTQSKKLWNILEKRFKERDASYTYGCLEPTAVTQMAKYLDT VYVSGWQSSSTASSSDEPGPDLADYPYTTVPNKVGHLFMAQLFHDRKQRQERMSVPKA QRAKLPNIDYLRPIIADADTGHGGLTAVMKLTKLFIEKGAAGIHIEDQAPGTKKCGHM AGKVLVPISEHINRLVAIRAQADIMGSDLIAIARTDAEAATLITSTIDPRDHAFILGS TNPNLQPLNDLMLAAERAGKAGAELQAIEDKWLEQANLKRFDDAVLDAIAGMPNAQSL ADQYRSAIKGKQLSNAEARAIARRILGRDIYFDWDAPRTREGYYRLKGGCDCSINRAI AYAPYCDAIWMESKLPDYKQAEEFARGVHAVWPEQKLAYNLSPSFNWKAAMPREEQET YIRRLAKLGYCWQFITLAGLHTTALISDRFAQAYARQGMRAYGELVQEPEMELGVDVV KHQKWSGAAYVDELQKMVTGGVSSTAAMGKGVTEEQFH MYCTH_92400 MAGPAGLGHLLNREGDEVAYSPPSTIIPSPSPGQPTSKSESQRA HKYSNSQSSTSSVASSTANSNNPQSGLHSRNPSYSTNPSTPPSAHSTTGSFPPHCTFD SNNTDNINNSNENNNHYYYRSARMADPVEPRDNRADRAAMGDGNRDDRPQGSEQRLAH RRAIDLTEDDRAESPTDVAMPMMRRAPAGQSRTTSPLSAETGAAAQRGSALNNTNTLP SLRQHIPSVPIVLDDNNGENSNGTDNAVVTSTSAAAPSAPPIFGSTTGFNATGAAPIR LDPALIQSAVPRLFPSAAILMQEVDFTKPRVCKFMADCNITRGMPIESINWRKSMSHV FGRNKNCTRSIPDNVWVWMCRKHYQRSRYRNDHEYCIKLAQFVELQVLRLEAWSNHNR DMGSTQNGVVVDWSLAVRRRELDRLRVSGQKRKTPEDQEEEDDERDDDLPSPPLPNET SVVPPWILAQIGSGKSTLEIQEIIARIFNELQDKTLMSFPDIEILPNITGERTRPKKN RAKPGNLATAKKPADAGHDIRQHKRQRSSDEDLPFQPQQPSYGNRFVAGPGAPGSSNP PFERFSYASYTTQFPSLHQRSASMNSASYSQFPTTTTTTTTTTPGGAAGYAHGYGAYN DPPHAGVGYNGYVAPQPPEPSNGYWTPNYDAQQARLRQMHQRAQAQRQAQQPQAAYPH DGYYPSSSASQGGPPPAGAAKHTRHLSTPLPPTQPLMGSADRTHDAIRGSRYGQPTPA YPAAQNMYGQPGGAAPPNAYGQSTDTTPRYQHYNGLPPPGPSTGDNIPRAASSTITRL PLRVPGPSSSAAPPHDNYDGEYEGYGGYPSLSSRR MYCTH_2302552 MSFAVLAAVGVALAAATYTYVLGLYKNVAKARKTGLPYLVVPIS PINLAWQLTFTFWVPLIKLLPKSLWENWLFVMIPEWAYHTGQTHWDRLGAESFLVASP GHLALYTQSAEVIHQVTQRREAFPKNIAQYGILEMFGRNVLTTEGAVWRLHRKITSAS FNEKNAAHTFAQAIHQTKGMLDMWFGPDGAKTGTTGTIRSLEHDTMTWALNIIGYVGF GLRLLWPGETMPKDIDPKLAKYGSLNPPAGHSLTFADSLAKTLERIVAILVYNETILR LLPFKFAKEAYQGKRNYLQYMDEFLRDKIDETRRGVPQREGMDIMGQLVQSSYGSESK KAGSTGTTKGDPTSTFKLSDADIIGNAFIMIVAGHETTANTLHFALVELATNPSTQRR LQQEVDALFGDSDPSTWEYEKNINALLASHVGATVNETLRLVPPVTVIPKVVTPDAEQ TLRIDGRTYVLPPGLAISLVAVCAHRNPRWWPTRPSERTGAPTDLDDFLPERWYRTAR DGSREAEEEDGDIVDKGDYGGFQGSDVAASLYRPVRGSYVPFSDGPRSCLGRRIAMVE MGAVLAVIFQRYSIELAVDEWADDGEVEAMTPAQRRALYRKAQHKSRETIRGANSVLT LKLHGGAHVPVRLVRRGRERFVSDPEL MYCTH_2302555 MSGNAWLARQRKSDLVEIAQILGLKNYESYKKTELELAIDEHLS DNVARYQADPRFQDYFKSRARAGGSPIKKESLAGPDLKPSRRRAPKPVEEVAPAEEEE KEEEEEEEEEEEEGEGNVSGEERADTLASQATETAQAASNALVRTPGRALAMASRLQL PATPADVAQAVDRGTVAVRARVASLYRESRLTEAAQATRAWLSTVHSVVSAIALFELY RLRREVLPDRYAFTIPAVPFLGTRDYPVHLPDMFALVTAGFWVPVLTWALTSVVLPSL GGYFFNLSSAAAAAAAHGAHQQAAAAAAGTIRTRRSSTGAGGAGGAGAGAPGSGHRPV EYVVDPVMFSIVKAIVTYVVYAQGVTFGGLIDPEAVSRINSALYSGWRGVLVGTAVSG VTAVYDAVLRK MYCTH_2302556 MTSSPSSPSSTASSEGLTPSQLADFHRDGYLIIPDALPPSTVSA LLAEANRLLDSLDLSTHPLTRFRTGGEDGTEHVGDDYFLGSGDKIRFFLEEDAFDGEG RLVRPKHRAVNKIGHYLHALSPPFAALLSASPSDPRGFVPGLRARPPAVARDLGFADP RVLQSMVICKNPEIGGAVPPHQDSTFLYTDPPSAVGFWYALEDATVENGCLSFLPGSH RWAPVEKRLVRKPGGGTEMVANREGAKFPRGEGYGEELGAGRPRGDGDGDYVPGEVKA GSLVLIHGNLLHKSERNLSQKGRMIYTFHIIEGAEGFRYDERNWLQPPEEGFTRLYV MYCTH_2302558 MFIGGLNWETTDQSLRDYFSQFGEVVECTVMRDGATGRSRGFGF LTFKDPKTVNIVMVKEHYLDGKIIDPKRAIPRDEQEKTSKIFVGGVSQETTDHEFREY FAQFGRVVDATLMMDKDTGRPRGFGFVTFESEAGVEACLAANLEIHGKPIEVKKAQPR GNLRDDDDAARRGGAGAKFGRKGQGGGMGGMDDGQGGAMGMGAGMGAGMSGQLMAQYL QRMQQAMAMFQQQMMMNRNLNPAMQQMMYMQQMQQMQAMMAAQQQGRGGPGMPPGMPM MNPALMQQQMAQMQHMMNQQQGGGGGPGGGSDGSGPHQGMNSGGGINPGAGEQGPGGA GGGGGGGGGGGGGGAGGQNRPGYNSFEQQQFEQQQQQGPVQGQGRRGGRGMGDMHHQQ AYNQGGYMGGGGGPGGPTSWEGMYDDVPQPIMTTQGGGNFGGGGPGGGRGGFHKGGRG GHQQIPQGPVDPANAPPANAPTGPKNAGRPGANYRGGGRGGNRGFHPYARS MYCTH_92395 MTEPESFDDELFADLYNDDEPSSKPPRDSETDQNTAAQPASETK NDHAEAAGQEQSHNGDAKDEEEEEDDEDDIDFNLGNGPSTTLTHHDNNNHNEHRDQKD DHGVKPTHSGPPAPVSHSKGPNAKEDG MYCTH_2302563 MLKFDSLNLSSSGSRPRTPPSGQGHERSASASSPSRKASSKSSA PPFKTYMNFLSNTNDDWTVDDDAEDMYDYESDDGDDFGLPSLSNMKRRSQKKAELSRA QTEDDLDGGGGGDVRESARRRNSDSADIAVERPASIYPMPKKSEGKILRPQYKEILRD PANALHLINYPSPPPNATPKEVDAINSRITRINKFKRLLQASTIPLPELRALAWSGIP QEVRAMTWQLLLSYLPTSSERRVATLERKRKEYLDGVRQAFDKGAGAGGTSNSNNKNG NGGSGRGGRGLDEAIWHQISIDVPRTNPHIELYSYEATQRSLERILYVWAVRHPASGY VQGINDLVSPFWQVFLGTYITDPDIESGMDPGQLPRAVLDAVEADSFWCLTKLLDGIQ DHYIVAQPGIQRQVSALRDLTARIDAGLAKHLEAQNVEFIQFSFRWMNCLLMREISVK NTIRMWDTYMAEEQGFSEFHLYVCAAFLVKWSDKLVKMDFQEIMMFLQSLPTRDWTEK DIELLLSEAYIWQSLFKGSSAHLRGPETSNRAPNTNFQL MYCTH_114796 MATPTPGTGTSTPLVLDPEETTKSLNVSLADLSAKGTALYVQKQ YEEAAEVYAQAAEMQAEMNGEMNPENAEILFLYGRALFKVGQSKSDVLGGKAPEAKKQ AKPKPAKATTSKNESAPATAEKQNGATEVAGSSATAIAEAEHKLNETAGEAAAKAAVK QGTEGSKPEKKGLFQFEGDENFTDSEEEEENEEGAGEEEEEEEEDDLAVAFEVLDLAR VLFNKRLEAAQAEDEARQGKGKEVAEGSGDSAVVRHIKERLADTHDLLAEISLENERY SNAITDSRASLKYKEELYPFESEIVAEAHFKLSLALEFASVTKSSDDDDGKESAGGGG DHVDQALRDEAASALEAAINSTKLKLQNKEVELATLHNPEDNEATRRQIADVKEVLAD MEQRLLDLRKPPVDLNAALGIPSAPKTEVSEEVKQKATDLTGLVRKKRKAEGEAKFEE EAAAAKKVREDGAGSS MYCTH_2302569 MSQSTSNKNDSHSGPSQLSSIESLVATRNDGKKHLLLAASGSVA TIKLPVIINALAKYEGVLSIRIVLTESATHFLAGQNSEQPTVASLLELPNVEAVYRDH DEWGPQSWRRGASILHIELRRWADLLVVAPLSANTLAKVVNGMSDNLLTSVIRAWDTD SSIDMKKKLILVAPAMNSAMWRHPITAKQIRVLKEDWGVKEEPESGVGDSLSNIGWFK VITPISKTLACGDTGGAMASVETICEEIEQSLGL MYCTH_2302571 MEQSHGQGIAGWGPSSFSGEAWEQPFGTFDQGAGTESSYPSPDF LGGGAAINPPFPGDGNQGGLYRQFELYGQSTPWTDHPQTNAAPFSQEPSLEQGYFGGE QRHSADGNQAIDSRFALDIPQGSDFPAQLHGNANQQVDLHQGFSHGVAEPSGSAPNGY HRGQNHPQWQPQQVSAGYGSGHQFDNPLSVSQPSSLSPPVSNGSPSPFFSSHGTALPA VPAYQTEVRQQAPVNSRQVHPHFAAAPNAQLQQPVAVQSPAQKAASQQLPQQMYQQTI PRQQPTQQPAQPPVQQSTQEPLPQSVQQPLSQPAQRPIQNSNQYSVQQPAQRPAFHPT IQAGGGQHVFPQQPSNQPLAAQQFQPSENSQTAGLKRGATTEPQLTAAVAKKAKVAVP AAVGFSSQQAQVQSPPVSEPICTINHQDDSLLSEAKGRSGARWLGVPNLVVGPAPVKL QKGTPTKRYVTLSTKGGKDPLFSKHWRAWTPAESLGNHADAYQKAENDLDRQRADIRL DIEMNRGNSEIPVDWFKKGLKDRLGAEVSWNSDVASIVQRTLTPVAPQPKRLDPPAEP LYSGIKAVEYLRLHPAHLRNQKVTGDVCSDFAAFLQAKATSLKAALSTAAEKPKDGEA EAKALAAKEQLERAIEEGLRVEPENLFAKLSGNNKMIAVLNNILVKLINAGEANTSLA KAILRLNTRFTEVTLEQLEMLQMDRLRKKLVKEGDEEAKMLINQLYDNAKRNDKEESA SASDSPSGESGARGKKAVSAQGRAPSKQTTTGSDPKKTTNSSSAKSTPSAADSRKPSA ASAPSKTMASTNEMDKAASKATSSSKAPSSATGTKRSREDDPATADVRSSKKPATDNS SAGGVKAPSSAAKPPAASTGKSTTTKPTAAATPGSAAAQPKPRSGLLLPGKARPAAKP APKPEPNKAEAQKSATKPESTPKPQPAKAQAAPAAASAAKAIKTKTAEETKEAPASKS IFSSLMKEINEEEKTIKTPLTRKTNTPDPNETPEECERRLRKERRRNLRVAFKSGDAL VEIREFTRHPDEIAESNMARSVRIGGRDKNSEESEMMKRLHSGHGIKALEINDREWEE PTAVNFDANIPQERREKTYVTRGGLMVFETEEQRLTMERESKELMVIYHNRADIPPSP RSPPYEPSLSGSSSVHDMHLPPSAPEYDEMMQRAVECKQWGPYHASRAAQNRLEAKAR PDYADFTNTLNSIHSIAGSYNGQAPRQFVGGLQQPTAQPAVHDPRTWYESTAAASRDQ KTHELISSDRARHWQDPDPHNRTLPRKMTERELDNHPKLREVLEYLQKVVDSLKASGA GMPAHQEAPQPVATSSQGAQAAAPDYSAAWAQYYAAQQQQQQQAWYGQQQNSYAHAVA NPYSHAQAASGAQQPQPGDPNNQFASILAALGIQQPAAQAQAQPTADQNSQIQAVLMA LAAGNQGQAAAVPPADPQSTQYLLEVVKLATSGQNQPQDVQNAYQQYFGQAAQGYGQG YGGHQSSQEREAYGQMYGGSSAEQNRDRQRDRDSNNNSNNNGNNSNNGYGGRGDRGDY HRGSKGGRGNGKNENIPEHLRGINRSLIGTKACAFWAKGQCAKGDKRTFRH MYCTH_2302578 MAARGTSLRDKQIASIKKILNLNEPLEATENDDTSSSGLSVPAA PISKDGTPIWKLLVFDDLGRDVISPVLQVSDLRSMGVTMHMHIASQRAAIPDVPVIYL VEPTPANLQAITNDLQKGLYSSAHLNFLSSIPRPLLEDFAAQTAAAGTSEQIAQVYDQ YINFIVTEPDLFSLGMQKEHTYWALNSAKTKDEELDRVIDRIVSGLFSVVVTSGVIPI IRCPRGAAAEMIAAKLDRKLRDHVVNSKDNLFSSQARSGPSAAGTPTSRPVLIILDRN VDLIPMLSHSWTYQSLCFDIFKSELNRITIETPVDSSNPAKGVSKKTYDLAANDFFWA KNACLPFPQVAEDIDAELTKYKEEAEAITKKTGVSNFEDLQHDTNASAQHLKAAITLL PELRERKATLDMHMNILAAVLGEIQNRQLDNYFQLEENVMKQSKAQMLELIKADNKGQ PTDKLRLFVIWFLSTEQDVSRQEWAQFEEALEAAGCDKTCLAYIRQVRATTKMTQLTT VTNQAAASQQQSGSSDLFNRFSAISTRLTDRLKETGVPTNALTSNVASLLGGIKNFLP ADKDLTVTKITESIMDPSSASTSAIAKTENYLYFDPRSANARGTMPQPSALRAGTGGA TAPGGLPGSALGAPMAGTGATFGQRRQGFSEAIVFMVGGGSMDEYGNLQEWAARTAGG DRAKRRVIYGASELINAGQFIKEELNKLGREIS MYCTH_2302583 MSYNRLDDDYYESHPLETRMHRTPSPTHPLQHGYHLEDNPYGHS QLDIPQGPGRYSPGDALHMQTAQSVDNLGSYSVNPEAHHDAYYNQPYEPHPTGHSGYD QTPYYDDDRRPMLAHNGSQVGASDPYHDNQQPRPNNGIKRWKTVKQVLLYRGNLVLDC PIPPKLLNQLPHGERDEFTHMRYSAATCDPADFYEENFTLRQKLFSKPRHTELFIVVT MYNEDEILFARTMIGVFKNIEYMCKRTESKTWGKDAWKKIVVCVVSDGRAKINPRTRA LLAGMGVYQEGIAKQQVNGKDVTAHIYEYTTQVGMAIRNDVVQLIPKQQPVQMLFCLK EKNQKKINSHRWFFQAFGRVLDPNICVLIDAGTKPGSTSIYHLWKAFDLEPMCAGACG EIKAMLGTGGKNLLNPLVATQNFEYKMSNILDKPLESAFGFISVLPGAFSAYRYVALQ NDKNGKGPLEKYFAGEKLHGAGAGIFTANMYLAEDRILCFELVTKRNCHWILQYVKSA TGETDVPDTVTELILQRRRWLNGSFFAAIYAIVHFHQFFRSDHSLMRKLAFFIEFVFN TVNMIFAWFAIGNFFLVFKILTTSLGDENLLGEVGKILSVVFTWLYGVSLVTCFVLSM GNRPAGSGPYYMAMVVFWAILFIYLMFAAIYIAVNAIITDLNAHGFSIDSLFKNKVFY TLIVSVMSTYGIWLIASLLMFDPWHMFTSLIQYMLLSPTYTNVLNVYAFCNTHDISWG TKGDDKPEALPSVNTKDGQGKTDLPDEGDLNAQYERELQVFSRKPVKEVKPPTPSQIE EKQMDYYRGVRTVVVLVWMITNFALCAVVLSTAGVDKIVPGNGESEEEIKSNRANVYL SVVLWSVAGLSAFKFLGALWFLVVRMFRGV MYCTH_2314878 MAYTITEPHPTVPQNSYTHSGRGGLGNFFRAPATTSPSGVPTPA TTSTTSSSSSRRFYSGRGGAGNAHAASERPVISFDEEYARAEVREKKAAGMSHVGRGG AGNIFFTSPSADEDGATLGRRDSASTQGSWRSSTSTVSSLAGFWGRVKSAGH MYCTH_2302591 MADTLHNAPIVLDNGSGTIRAGFAGEDVPKCHFPSWVGRPKHLR VLAGALEGDVFIGQKAATELRGLLKIHYPLEHGIVTDWDDMEKIWAYVYEEGLKTDSE EHPVLLTEPPLNPRSNRDTAAQILFETFNVPAIYTSIQAVLSLYASGRTTGVVLDSGD GVSHAVPVFQGFTVPNSIRRIDVAGRDVTEYLQTLLRKSGYVFHTSAEKEVVRLIKEA VTYIAKDPRKEEKEWAAAKLDQSKVAEYVLPDGNKLKIGAERFRAPEILFDPEIIGLE YPGVHQIVVDSINRTDLDLRKDLYSNIVLSGGSTLTKGFGDRLLSEVQRVAVKDMRIK IFAPPERKYSTWIGGSILAGLSTFRKMWVSIDDWHENPDIIHTKFT MYCTH_2125965 MAGYQAPEDAIEVDEPWDQESLDDEADDEAAELSSSGSSLTSLT SSMLKGKVEDGRVYAVYGKEEYGMPMDDVELDRIDMCHAKYYALLEKKRFLAPIGDNP QRILDLGCGTGIWSIDIADMYPSADVLGVDIAPTQPEWVPSNCHFELDDVEEDWAWKE DSFDFIFARDLILAIRDWPRLIDQIYTHLKPGGYAEFQCVTGVLGCDDDSLPEDSPVG KFSDALYDSTHIFGTPVDDPTRWKGWLEQRGFEHVTEVVYKMPCNPWPKDQRLKLVGA FEMENLLQGLSGMVTRLFVKALNWTPEQVQLFLVDVRREIKNRNLHVYWPFYVVYARK PGGSSNADTAVSTSPPESSTT MYCTH_45669 MTARPLLPRRTIARGRQPFSILQGLRAVARSFEPHPFQRLPVAT NPAPADWGKLVRRSLGQAAVYLPVGLTLLGWPYAAKCILDGHV MYCTH_2314882 MAQRESFIIKTPCSSANIGPGFDVIGLALSMYLELHVTIDRTKT SSTHPLNCRVTYEGEGAGTDEISLDPQVNLLTRVALYVLRCHDQRSFPVETHVHIKNP IPLGRGLGSSGAAVVAGVMLGKECGGLHHLTPERLFDFCLMIERHPDNVGAALFGGFV GTYLKPLAPEDVARVEIPLSEVLPAPAGGVDTGARPPAPPHGIGHHIKFPWAPEIKAV AIIPEFEVPTAKAREVLPAQYPRPDVTFNLQRIALLPVALGQSPPDPELIYLAMQDKL HQPYRQTLIPGLTEIVESITPSTQPGLLGVCLSGAGPTILALATGNFEEIAERIIARF KENKIVCSWRLLEPAEGTTVTRA MYCTH_2302605 MEDASSYASATEESTVSRNPIDIEIDRGSNVRRRRPASPLIPAA DSKASYHPPTMSEISAIPTDSFLDGSGPATSFGMSEAKSTRSRSPSRTGDYLAGAAAG LSVAAAADKLRNKSREDRERDRAVSSRSRDKDGERKHRSSKSRTSSVTKDEKYAERAK SPRRRSKGHSDSLVSGADSTVLSSAVGPGHRSMDQQSVRSGTSKASSINNPKLLETVE DAIRRLILPELNALKREQSRRGARRDSTTSSTTTASRDELASDRRRSGAADKHAASQR DSIRSKESRDREARNDFDDSSALSHDSIEDDHDLDNTPGRSTDRLRSAAVAGAAVGAA AIAAHEVLQSPSDDKQRSRRRRRAEMRTRGSDHALDDEESDLGPPVPPMPLMSDVNAS DVTRASIQSAETDRPHSASEELAPGRGLGHDEPSPASTPTPTGTPVNLQALGTQHANV SHGDLKTLPQQRTGQWDEYVIDDNGRKVPSRAARRYRGGEEEEEEEGYDHPGSTPAYA PDSPYDYYSTQDVPPPLKYVPYQPERRGLSPIPSVSGYTEGGSEAPNRESRASHRTAD SVSSTGGSPRQGGNRGVIDDGRSVRSSGINQDNVTSLAGSELDRVASGEGVRAVGLNP DFVHPPGIESNVASLVDGSMLDGSALTGSSSAVGNHAYNGRASVAILDEGIARESGAP TKRSVASQRDYADDRASTPASGSSRSREFVEYDLDEYGRKVPRTTYRQSPTVSEAAIT SAAVGAAAAVLRAQHAKGQQQVISDDEAEFQGEGVQRNKSFKERTENGPRPGIDTASA EQLVNSDEKPKLGFNSMPDPNDPMPDGDWNDDDLLTNPSLLNGKGGREEEEEEEEEEE EERDRWAENATPRQQPQQPAGEVEYQDLDGAHASTALPQQRSGHDLGMAAAAAAAGAA SGMAAAQNHSRQPSQEHDEWYRTSEDKKRDTLVTNPYEGSSPVANIPGLNNTLLPGFD NSGFGDLYGTRSPVGHKVDEGYISQGPNKTPDFQGAKGNGMDFNTAPGAGGLGAADPF YGTPGHARHFSGMSQGMGSPMYDAATGTGIERIESKDIIALMQHLMVRDAQRSARDTE ILVTLVRAATEMRNNFEDLKRLLADTEDVIITEVKENTEKTVQRAINGPRPYPGSAPR SIQGGSQAGTINGDDINTKRRSIFRRALKGLTAKGANDLSRIEDMLMQLLSEVDVLKA QTAPAHGSQSNHGEPSYDHMEPEVQSEQDHGYEPEGMAGTSTASHASQSGYLSIQSRG TSAKPGNDRKVSAHRISTVPEANEEDYDHGRRNDDRRLMTPTQEQRGNAMPLATPPGA AAQAQHSQSNENTPQTEESGKKKSRSSWFRIPRISRWSETTASSGAAESRHSKQSSKD ESAHFPTGQSRSGSLDHYQDNYQFSSPQGFQSDKLHTGFSETDLPHGYHEDHGEQTYG QMRPPSQPDPNWVSMNMTPEDPQVKAHRDSLNLMHPQPRQGQTERFKAVLESQALGYD DSPLSPRSADWAGSATSLDRFGQAQHQHNDSYGSADEQHYHQSHQHWASSPSAAANMT ATSSGVPPPRPPKEALDAATNNNNGHHPPPSPGLTRSAAATPPQNKRISKLQRNNGSP LPHHSVESGYGTMTHGVPTASYISSREGAGSPRLENRNLSVAQAGVSRRPSGPRPMTP SGSGASRVREASGLAMELGMEDDRRRDTFGSQDTETF MYCTH_2314883 MSELRRRALTGGKTVSRKARAKPESGLSSTNHSPNGSPATSRAG SRANSRPGSRYASEDEFASDSENDDVMTLSTNSVDEEDDVDNLWAERLQDRIAELQDR KRSSVRGREATLGGYNHLLKHHFAQRQLDRHVAELHPVFLRDIKSGTSSEERLRALKA LTLTILTCTSETVFEQAFPVLKAACFDAEEENNKVEAIHALCIAVTYGGGSMEAAEEV LDFFLEIIESDGQSVGALDSGPVVTAALQAWAFVASHLDDLTVQSETAIEAFMEQLDS SDPEVQTSAGVNIALLFESARDYEEQTGESVDMQYNQHRIMTRMAEIVRDSSKTVSKK GRRNLRANFSSIVTSLERGKGPGYSTAGRSGPNPHTGGSKSDDHGDFREFGYREKIRI YNQFLLINTWSLHARAEMLKTLLGGGFVAHYLENQVVRDILSDAEVEFIGINPPRK MYCTH_2302609 MSAATSSNKTVVLSLSTDPSSGSDVLFPERRIVFHQGKDNIIVG RASKVSVKGYVAGIENAWFYSPVMSRHHAQIFARMDCNKVEIKDLGSLHGTFLNGDER ISADEFRELKDGDVLRFGAPIWRGVEQFVPTTVKVGLEFPNQDGTSTFQVPDESDDDD DDGSDVDQSSNDENMKLGTNARHLTTPGGFAPSNAAVVPSIDLTGSDAGHQSRQVIDL STPRGSPIPIDENEGTTSFSERKIDQTEDAADNQATSFELGGLADREKSRDRTDTPAS MVDHHHDRSRPAWGSSPSSDSVDFSPRLRIVSFDESDEELESERSACSETTSDEGMDG SDDLDDLNSSESVHDSEGDFGDHPSDDGGFGFESDNSMDTDHPQHDWGNLPQSTEFLD FPEIEPLVKSLSRGPVALAQGQTATGGNGVVSIDLLLNSDKPQSPIAVHAGDPSTSAP GTKTAEILGARTGKMDYFLAREENRTTLMTQKAVATRLPSVRDLCNNDEPMDGLKDHQ FNPAAHITSPFSQSQSGHVGHRTTYSPGEPHIIGSPVSLVEGLDEHSRRTHVGISDIV NTSQADHSEVASHKKTEISFPTPPPVEETQPHSSQCNRERTQTAGEPRAVSAAQADED SQPPNRGEGKRKADEISSATQEEEEWAAVTAQATQSESPLRQGGAINQHVRTSDQAGR HPSNSVSYERSTKRARMMRIAERLGYAALGGVTAGAMIVGTLIYTAPTFS MYCTH_78321 MSVILCTAGYDHTIRFWEALSGICSRTIQHPDSQVNRLCISPDK RHLAAAGHHTVKLFDIRSTNPAPISVFEGHTGNITGVAFHCDGKWMVTSSEDGTVKIW DTRTGVIQRSYNHGSPVNDVVIHPNQGEIISCDRGGSIRLWDLAENTCAHQLIPEEDV SVSSVTVATDGTLLCAANNAGNVYVWQLIQAFERTQLVPMTHFSAHKEYITRILLSPD VKKLATCSADHTAKIWEVKEMEPAGPNAEPRAFPLEATLTGHQRWVWDCAFSADSAYL VTACSDHYARLWELHTQQVIRQYNGHHRGAVCVALNDYSETR MYCTH_78319 MDFLKSAVASAIAKGPPFPYSFGDKVDMDPSIWTLYNGTRREDG SNCSIFSFDITANRSALPLAKNALKKLRTLRHPGVIRVLDAVETDTYIYIATERLVPL RWHVKRKSLAPETAKWGLYNIAVRQRTIKFINEEASSVHGSLKVASVYTTESGEWKLG GFDVLSNVKDDEAVIYTYGSLVPDSGRYTPPELAKSGWDALKRSPHSSVDAYQFGALI FEVFNGTFNGGDQAGQTKNIPPSMHASYKRLTNANPKARLSVAHLLEQGRRSGSFFDS PLIKLTDGIENLGVKSEEEREAFLDDLEQLTDDFPEDFFKMKVLPELLKSVEFGNGGP KAFGIVMKIATKLSPEDFDSKMTPVLIRLFGNPDRAIRVCLLDNLPLMIDRLSQKIVN DKIFPQIVTGFTDVAPVVREQTLKSVLSLITKLSDRTINGELLRYLAKTANDEQPGIR TNTTICLGKIAKHLGSSSRAKVLMAAFTRSLRDPFVHARNAALMALSVTSEYFSEEDC AVRILPAVCPLLIDKEKLIRDQASKTVDVYLAKIKKAAAAMPATALPPEGAASTAGGP RMSTPQPAESAASSWAGWAISSFTNKLSAAAGEIQSSSSPVNGPAAAAPSADTKRPVA APPRTLSTSPLPNSHRQAVKSPPPSAPPTSNKNSFFQDEPSAHGDDDDTYDDAADAWG DMDDMVEDDDNPDQADSAADFFSANGSNATPFDDGSEPDFAGWLAAQAQKKKAGGLAG GKALPKGLAKSNNTTKPKSPAAAPAKKIDMKPKQTDDDDNDAWGGW MYCTH_2302619 MSPSTTASTKSRSSFTSPRIDPGAPIINCSYPGCPAKIDGKRQI MCEAHLHVMSNTDKYRDFAQENGTHTAQAPKGTFGIPLQTLSSTNPRQLLPDTAKDRP ITGRKPAGNPPHAQQQPPPKHSTFPFLRGESVMTPTSVRPLAPRPPAHLPTAGPAAAL RDGEPMRKRPRLSPAPGQTPNVQVNGAVQVPSLPSPADSVSRNGPQSSQPGRAREAEV KSSPKQSFRHPVRRMPLELSSLRFIDDPEDRPPGMVSEQSGPGVRSAGGSDFRKESGA SDWLPNGNIRDHTERKTSSSASSTTLAASIDSEGAKQRPLELRSGQDPTLSPDKTKLG AQPGHEPNRANGVATSEPRPEGARVPIRPVTTATAHPIQARKTKEIDIDYFDALIYSQ PGASSPPPNVDLVLAAVPPPPPPPPPPSSSSSSSSSGPTPQTVKETVPPEEKDEPLYL DIDPRIHWPQPHSAAWHARKQKEIRARGNRKANFGRAAQSLRRQRREREKAGLPFEET LPDKIAENPAWVRVLRRLKGLPPTASASASSSHSSSSPSFSHPGEEEHGSGPLMNGSA NGDGVTEKRGKKKHPGVTGRRVGNSGIVVVTGLNGMQMGSMRRSRGDA MYCTH_45292 MDESWLTVGPKRTYNTRHSLVVTDPTTTRALTCLTKGERTGSRV FTWVWSYVLDSIGNVIQV MYCTH_2302623 MRPIDLASRSGVALKRAFQAQQLRRVASTRAYSNSTGPLLPPTY ERLYNKYAEVRRVLGTQRLTLAEKILYSHLDNVEESLLSNTNNGRDIRGNANLKLRPD RVNMQDASAQMALLQFMSCNLPKTAIPASIHCDHLIVGERGADDDLAAGVKTNQEVFD FLESAAKKYGIDFWPPGAGIIHQTVLENYALPGLMMLGTDSHSPNAGGLSTITIGVGG ADAVEALVGAPWELKAPKILGVKLTGRLNDWVSPKDLILHLAGLLTVRGGTGYIVEYF GPGVDTLSLTGMATACNMGAEIGATTSIFPYTEASSRYLKATRREQASRDAEVFQNFP GAGATEDAFFRFRADEGAQYDQLIEIDLSKLEPHINGPFTPDLATPLSQFKKTVQEER WPEKLSAGLIGSCTNSSYEDMTRVESLVREAEKAGLKPKADFYITPGSEQIRATLERD GTLETFTKAGGVLLSNACGPCIGQWKRHDGVEKGTRNAILTSYNRNFRGRNDGNPETM NFLASPEIVTAMAYAGSTTFNPMTDTLTTPSGAEFRFPPPRGLEGPRTPFEQGKQAFA VASQPPDPTVRVAISPSSERLALLEPFDPFPQSDLAGLRVLVKVAGKCTTDTISAAGP WLKYKGHLPNISANTLNTAVNAETGEVNVAYDYLASPSSPEKVTIPELARRWKERGQP WLVVAEHNYGEGSAREHAALQPRYLGARLIVCKSFARIHETNLKKQGVVPLTFADPAD YERIAAGDEVATLGLYEMLQRGGEGDVRLEVTKRATGEVFEVPVKHTVSQDQAKFILA GSALNLLSRGG MYCTH_2302625 MPAPGDQHNSSANCFEPPPQRVSEWTAQEIATLQSRLDKQLGPE YISTRPGPSGQKLHYITAEKLIQLANEVFGFNGWSSAIRDIKIDYFDKDERAGKYEIG VTVIVRVTLRDGTYHEDLGFGHTENIRNKYQAFEKAKKAGTTDALKRTLRQFGSLLGN CIYDKAYLSKVTKLKPVPVRFDENALHRHPDYVAKKEPAAAGAIKEEMPAPPMRPPPL PQVEILEAFEDFLVDLDEADFNEGDPDDFDAPDWTDAELSNLHVPDNDSNEVGNAGNN VKYPAAKQLASTGSTGNRGPPNGRPPQQFNQARPAPQRNNAANQNQNQNQNQIQNQNQ NQKPQIHMTPPQSSNSNSNNNNNNNNNNAGPPPGDEPVGFFSARAVKGLPEETLATGK LTPKPGQAFNPRLESPSIPRTPGIDHSTTKPLSKSGQHVPGRKSDETEAFSNNSLAAN TAAPGGGGTGNAAGPGRPGLGAGPAAGPKIANVVNPQLDQTRRIGAPISSSPLGNRGQ FRPLTVKRPAAGADTANGAGAGVANGNGGTTTTAGRVPLADVPSNRALGAAGGNGGGG GPGIGPEAKRQRVS MYCTH_2302626 MASRKRKADDEEMSVSPVNSPATSSRQLARPSKKVRGGSDIAGR PLSLPRLLETLDNTQLRTVLQTLCERHPDIGREVVNDAPRPSVASALQVLGDYQEKLR AAVPFGESSSDYTYFRVKQPLVALVDAISDFTPQFLPPVEQQTNVSLQYLDAATKVIH ELPDWESQQYRHHKDNAYDEISGAWALVISEAAKRGGGFVLHTGGWDQKLAKHNQQSG GKLEQAVSAMAAEVPWLGNNANATPASGLSDPNSILNQLINGNYGSPVRVGPW MYCTH_2302628 MATKDLEQTASREQSTESSSKKRKSALAEIEVDLSLPEPPSKKA RRLLKKGKTLPAKPKSEDEAEKDDDEVKDAKDTDKTKKKKKKERSPYGVWIGNLRFSV TKAELRKWLVDNSGGSITDDLITRVHMPTTKQPSGGPGPKKAPENRGFAYVDFATFEA NVAAIALSETEWQGRRLLIKDSKNFDGRPKKEEAPAAAADGKTGKGAASATANKSSST KIFVGNLSFNTTEDDLYAHFEKCGKIRWVKVATFEDSGKCKGYGWVNFEDAEAAAWAV KGFVKVREPIETLEDFVDEDENEKASESPADDEAEADGEQEVVEPESSDETDDSSQSS DESDEESDEESDEESAEKEEEEEEKMAMKKKDEEKKKEKEKEETKTKTKTKKATAAAT TTTTKEPARFRTRKWWVNQLLGRNLKIELAEDDQTRYHKRFGKAAAKRQQQQQQQQQQ QHQDSSKSKKKSGQGGKRANGEQQQEGGGGGGEPKGEKKDINYQTDISVARLTGAAVA HQGKKITFD MYCTH_2302632 MASAAQADPEMPVTVKASLDGVTRRFKLPLRDVNATAFESKVRS ALNLPADTEAVFERYSDSAGAYIALDQPNTAVYKQMYRAAKAKHKLKLRVTVKKAVSE EEGVQGPTPTSAEEGPEEQSGQVAETVEPTGAGPEPEVPTESSSSSLPADAEFFKPAS AVEKSTTEFPLSQLRSVQEVLEEYRPKPEEVAPSAPSNPSSFNPISGVALCPAMQASY AVCCNSCDKTIPDAHYHCSTCDDGDFDLCQKCVDYGVTCKGTNHWLIKRFVRNGVIIN STTERLAPRPKPREQPPRPAPSPLPAAPERIVPVFNDRLYSCSRTCNCCVQEFPEAEF VHCTSCDDYDLCRACFVSNRHGHHPKHAFVPAVEGTRLDHEVARRLAAGRGQPHNAIC DGCDKAIRGVRHKCLDCPDWDYCSGCVVNAKFIHPGHRFVPIYEPLEYDGGFRARSMN RPVHVGICCDGPLCSAARANSTYIVGDRYKCAVCHDTDFCASCEASPSNTHNRTHPLI KFKSPVRHVSVTTTGENMNGRQMPTMGDRPRTRPPPAATIRTAERSTSPSLCTGVQTV VDVVPSEPVKAEEKQPEQAGEETPAEKQPEQAGEETPAEKQPEAERTVEEAPASIDDL AATFVRDTVQDGTTFQPDHVFEQTWVLRNTGKVAWPAGCSVKFVSGDYMGHLDSNHPA ATRDVEFSCESTVCYAPVQPGAEYPFTVLLRTPSRSGRFVSNWRLTTKDGHRFGHRLW CDIVVEKPRVTLPPPPPPQPTTTTTSTDAEPVKVAEETKEVAKPEREVKMEHSEMIFP KLEKESPVASVHEETKDESVSGLEDEYEDCEDVEWAEGDSDEGFLTDEEYDVLDASDE EFNLPSRPKQK MYCTH_2302633 MICSIPASVSVLPAINSNFTHHISAGLRDGTTIAGQVAISHPSA PTALPDDTQVAPALTAADHDRIEDANLPGSLPALRRPNISFSKDDEDDLPARIERLWY INPYGHEIAPTANPQVVDALARTDTVVYSIGSLYTSVIPSLVLRRVGQAIGNEPGIRR KVLILNARIDRETGPRADPMTARDFVAAIARACVESRNPELVGHDEILSEYVTHLVYL DPDTVGPDVARCAPAVDEEELERLGIRCFKVAGKVVDRGEGGRKGKKAARYDEVALGE ALEAIINSS MYCTH_2302635 MTDACWFRPWLWLSTGVGDIRSRLVRLIPNLEGDKEMAALKLLF EHRLDVDPLRARSEWLDIVESRSLLWGFISSPKQELIRAVLNTLNVEIVKRARPPNVF NFARASVGNMFLAGYVAIWLTISPSPCSLDHPPIYLLAYLPAYLSACLLSYGG MYCTH_64792 MIGQRRRIEDEAEDEGCHDSLADLDDDSMTDGSIGSDEHDPADD SDTSNIDDASPTSPNTRKPLGNGNVKAGFRRRTGSEPPKSPPTKPAQPATADAESMFS TLSLADKENRAEELRSDDTKRTQPAKDAAPIVVSSSAASQQQPRLPQQELKRREHEEY RRRRDEDPTFVPNRGAFFLHDHRHAGPAANGFRPFPRGARGRGRGAFGNHFAPISQVQ TAADPLTSGLWKHDMHEVVAAAQPPRQSRYLPSNEGPPNGNGVIPTAPTSQQPINRAM STEKHIGNTTVRVYIPSIGSPKLFPGVALKQYTKLPDHRPPLRRDKPVRISIPCHDPP VMPRYIFPASDRSFIFIPRAMRPNQQRARAKGPRSVLGSGVFSRATSIYGGGSVYGSM YSPSIALSRRSSIAPDIGRELMLSPTGSAISRPPLSVDSARPVVRLPPFAQPPASTMS VPPKPDTQQKAPESSINELPQPQTHPLPQKPTFQENRQDATPMHQPRPQKTVSVENIE SPAQQATNAQGPYQQAFHQQMPPHLPNAPAQEHARHASYQPRFSSSTPLSQIPERAVH AAPFQPNTFAHPGFYTQPYAPVQPQPGFYYPQTFNNVSMGPNANAPAFVPAPAQQVQP VQYTQTTQAEGPTAQTSGHGASQQPQPQPQPQQQQSIVEPRESQGTVYYDYYTQTPPM SGYPPQFPGGPQPYAAGPGMVGMAAAPMMTPSPDAFYYQQPMVYYPQ MYCTH_2302641 MDALFETHAKLRKRMALYRVRAVPNQNYQRDGTKSYVSVLNRFG FQPTKPGPYFQIFEESEEAPSMSAAPGVKPGHVWQGLFKKLKDQEEPGEVTAEDQQND SEYLCEVMIGTAWTAERQIVKMDFDTGLADFWVSQKSFDPKKSVTWQLAKDKSWKVQY GDGSSASGIVGHDILIIGGIQIKRQAIEIATEMSAQFSEGTMDGILGLAFSKLNTVQT DGKPDPQRTVVDNMMAQDDIPPEAELFSTALYSNREDDQRSFYTFGWIDEDLVKASGE EIVWTDVDNSEGFWMFSSEHVTIDGQQVRIEGNKAIADTGTSLVLVSDQVCDALYAHI PSAEYSEEYQGWTFPQETEVDKLPEFSIAIGDKEFVLQKEDLIFAPADERVFYGSVQS RGENPFDILGIAFLKSIYAIWDQGHKRFGAVPKMEAFVPPTKYDRPRLTDQDRKDLGV TIGYGDISSTFFEKRA MYCTH_2302644 MADDFQLFTSLRYDPVLLQARASNMSHAGWNWANPSPLYMLDYH RDRMLRAATHWGWDAAIEVLQGDSGLQRLADLVRGSIGENQEGPLRVRIAITRDGELS LSSSPVPETTLVNLFPERLPSPEAVVNDGPRESLPSKAPVYEVLVDRPETARSEYTHF KTTKRAVYDEARKRAQIGPTDKKEVLIVSETDSSVMEGSLTTPYFWRGGRWVTPAVSR VYSPKEGSGGQDGTSRRWALERALAVEGTISADSLVDGEECWLSNGVRGFFFGKVKLA MYCTH_2302646 MAPTLATSPLGTPSAPLPADASLWDRISTWVSEHKAVVYTIAGV AVVVTTAGAVYYVRNAPSQDTTPKLSKKERRKRKQAEREAAAEKASASAKQAEASTAT SKAASVESADELPEIDETTVQTLSEAQRKEYAQKLKEAGNKAYGAKEFQKAIGLYSKA ILCKPDPVYYSNRAACYNALSDWEKVVEDTTAAINLDPEYIKALNRRANAYDHLGKYS EALLDFTASCIIDGFRNEQSAQAVERLLKKFAETKAKEILKTKPAKLPSSTFVGNYLQ SFRTKPRPVGLEDSVELDEESGLGQLQKGLKALESKTGPGYEEAAEAFDKALELGDLG PHEAYAYNLRGTFRCLKGKHEEALADLSKSIELDPELTQSYIKRASMNLELGAPEKAE EDFAAALSKNAEDPDIYYHRAQLHFIKGEFAEAQKDYQKSIDLDRDFIFSHIQLGVTQ YKMGSIASSMATFRRCMKNFEKTPDVYNYYGELLLDQGKYHEAIEKFDTAIELERETK PTCMNVLPLINKSLALFQWKQDFSEAEKLCEKALIIDPECDIAVATMAQLLLQQGKVT EALKYFERAAELARTEGELVNALSYAEATRTQIQVQEKYPKLASKLQGMGQGMMR MYCTH_2302649 MSYNPYNQGPGAEAGYGYGQTEQHEMQPYGQQPYGSPQQQYGQQ YGQQYGQQYGQQYGSHPEGQQYGQQGQYGSPQPQQPGGNVLSQTEYLQRVSAIRREIE GLTTSIHNIATLHQQALASSDNAARQALDDLVASTQLKNTAIRGQIQQLKADTERTTD TNLFNTKKRQFEILNDDFKKKIQELLQEEQQYKARYREQIARQYRIVNPDATEEQVQQ AADADWGDEGIFQTALRTNRSGQASAVLGNLRARHNDMVKIEQDIMQLVELIELLNQQ IVQQEPMIQAIEQKNMETADHLGGANVHLQEGVARARRARKLKWWCFGVCVLICIAIA LGVGLGITLTNRGGGGGGGGGGGGSNN MYCTH_2302653 MLRKQARQRRDYLYRRALLLRDAEIAEKRAKLRAALASGKPLDP AIANDKQLRKDYDYDVSRDVAGDDSLDIDDEYSELSGVVDPRVLVTTSRDPSSRLMSF SKEVRLLFPTGIRLNRGNLVLPDLVRSAQSERLSDVVLLHEHRGTPTAITISHFPHGP TLMASLHNVVLRADIPRSIKGTVSESYPHLIFENFTTPLGHRIVKILKHLFPPRDPTA GKNAGNRVITFVNQDDCIEVRHHVYVKTSYDSVELSEVGPRFTMRPFSITMGTLENKD ADVEWHLSQYTRTGRKKNYF MYCTH_106618 MEGAFTHLGNHLVSDSAAAIKAGGSDELSNIDPDENLLYGSYGG RGARTGLGNARRRQDDDDNETEVFDDDDESLASVPVDGMKALKMNAPEEEKELPAHAC AYCGIHSPASVVKCLTCSKWFCSARGNSSSSHIVNHLVRARHKEVQLHPQSSLGDTVL ECYNCGTKNVFMLGFIPAKSDTVVVLLCRQPCAASTSTKDMSWDITRWQPLIEDRSFL NWLVQPPTDTEQLRARHLTPPMIAKLEEMWKESPNATVADLEKSAGADDDPHPVLLKY EDPYHYQNIFGPLVKMESDYDKKLKEAQSEDNLQVRWDVGLNNKHLASFILPKIESGD VKLAVGDEMRLKYKGDLRPPWEGVGYVIKIPNNQSDEVTLELRKSANDKMVPTDVATN FSADYVWKATSYDRMQFAMKTFAVDEMSLSGYIFHKLLGHEVAVAPMKIQMPKNFHVP GLPELNHSQVTAIKTFSRPKTSFRPPRYSQQTQHAAGGYANGRYGGMNGSNRDYETGS MLSYIPDDVSSIHSSALGGAGLSSAYPHMFSNFHPDQWPGLPGVGAGGRPNAKGRDRG TESVAGESVANSEFTDASASVIGGKGVGQGGASLGAGLSEAITSARPTSYTQSDRLKQ YVESGGRMGAGSGYGRRFDDDEKSVSTAFASQIGSGFD MYCTH_2302657 MTLPEVAAAHKNGASSGANTTPDTDPVPMRAPSTEMAAVTDRDG VNPLEFEGSVDTSDEPPTLETIRKIDKYTVLDKDGKSHTFRSLYTGRHTARRVLIIFI RHFFCGNCQQYLRAVSEFITPQALLSRPRGGTTFICVVGCGDPALIDMYAEATGCPYP IYADPTRRLYAELGMIRTLALGPRRPAYMRTGLLKSSLLSVAQGLKQVPKGLALKGGD TKQVGGEFLFEPVAGAGPDGVRAAETAETPIGIEPPAAWAQAGNGEGAGQRSETSLDG KGEPDSDGVDKVVTWCHRMRNTRDHAEIPVLMEVLGLKDEPAAGGGGDNKERDGKSGT MYCTH_2125988 MAPVCTIPGTPDLYGMGIRVSFYLLWFFVLIGERCHEQHAQVLR AAELVLAYAVFLGLAVAVSAGSLFAAEVYITLLLISTTVYLLVPRHTTDLMAWIRPDL GIGTQRGGFGVVRAARFLSVLIVIGLNLWFWGAGVKSTSIDRNLRDQGGCCPCQPPAQ VGFAFGPIEMQSGGFRAMNVLLMLALLAGGVIVGAMKTGLIKNRSRSRIRVLKEVETF GGLTVACVLVAATELTIKWNKISGAVNQVSTAAQFIPPGIVVALILTFLSDLKNGSAE SGNSDSGGSGTGSGTGSGSSGVGSSLPVGSSGPSSSSSGWTKPAMGALVALPIYAAPA PSSSAYISRPPPDFDKDHPPPDFDREHPPPDFDMEHPAPDFGPFTPHPAFTAAIRISI RFPATTGTVFSRDNHSATDKPYHHQRVSAKKTAAKGATANVKPPCLQFGLVAPIISER YSASTVATTLHQSATMRLAQAHADLSRRLTQTLVKSDAAFLESTEAHVRKLTQPLDAV RIRSQQRGRDGALRSEESTVGELVARAEEQLREFEKCMAELWAEWAVADEEIEEAEEE AVDLGEKALALMKGIEKDFRKETLPDLHTFFQSIDEP MYCTH_2302660 MTQTSTTVPQKRSAEDLTSTAMEQQQVAKQPALANGEANGHANG ASALPGLDASKLIITRADPTARAVPDEATACSGTETICTDHMITATWKASSGWSAPEL KPYGPLTLMPTASVLHYATECFEGLKAYRGHDGRLRLFRPDRNAERMLMSTLRISLPG FDPRELEKLIVALMAVDGPKWLPRSRPGSFLYLRPAIIGTHPQLGVQAPREALLFITA SFMPRMDSPPGGMRLHTNPEDMIRAWVGGFGYAKVGANYGPSLLATNEARERGFGQIL WLYGPEGYCTEAGASNFFIVWRTREGALQLVTAPLDDKLILDGVTRRSVVELARERLK DELEVVERKYTIDEVLEADREGRLIEAFTAGTAFFICPVSQIRHRDYDIHIPMVKGES GQYTAKIKGWMSDIMYGKEEHPWGVVVQEEQ MYCTH_2109468 MSKDTKDSKHKPDSLRQPQKRDSLSSIFSAWAALQGKGATSKAP TSSPSSTSAQATPGNGSRPRRHSHSASSDSNPKARRGSTRFRRLSFPLSATNQRGPST KTRHAKTSMTSDPTASPETSKASKGNSCEKVDKATSDTHDGKTSPPPRPQSAGAKPRN PGSPVVMPKSILRVSSPDADGHRRPRQFVSPETGEPISSPALSSSSPPGSPSPPTSPI QRPMSPGATVRFAKATIHRVEVGPGRRFLPVKRKSKSTLTYISPLDPGTQQTAPKTML QSPTKLRRHQQNQAAMCRYWQRTEEEEAQWRAEAERRAQEEAERYRNEPASPVTIAGK ADGVMGGGKVEEVDHLPSLDRGGQPPDKLEEVVVESEDDDTDEGAGAKCNVEDETEPI KSMMSTEKERYAGDGSVATKPDAQSSTQNTSESTRPTSVINPAPPQEPVTENPATATA VTALTPATTAASCVRAETKSFSERLAEKQAADERAHGATPSTPAPKAAETLSRKASAE SSKTARSTSSSPSREQPERPGSVPGRSSSASTRTSTSNSSESSEEELIRTSTKEKEKE KEKERERARDKPRTLSSSSSRSYMSLRPGGDRDRDRDKDRTQKQHSSKSSTGHSNSSN GGGGSGSPSTSTSHNHLHLSGRRGRRYFESHKQGIAV MYCTH_2117572 MQRSAGTPAQPAAPFFSSIFGFGGGTNSSTSSSNNISQNTPPSP TSKTQLPTSSGEDVPSENPRQHKTLVKERKPSIGRKSSFVFLSSSPGKKTRRRADSAA SSNLASPVDGGGAAVPIPPRRPSAIKLAPEEPVPPLPDISPKTTDGFSKMLSRGAPTP TTGYPVGTAGSGAMHNTHEGRIYWFNTLLFDKPDLQRMPYFDPRKLGRRATNYLLLGI SLPAVIDLNSSTPVEFLRSLNTLLAEFDTFQQIHTENGIAASSLTRTRIPQMFRRAAA PVSKGPGPTPADAPPAGVISFGASEVDLLPGEEYTHLLTPTLPFDPDFFETFATLCDV LIDTYARLLSLLPTPRECGGAVPELFAKADTKIKKLFIQGAIREFEEAGRAGIKGEVA SVGKVVLRREKERRMVVTPPKERLLAFGRLVGAWKIS MYCTH_2302666 MAASDNTLPPGDPAPELLEGRLWVDGCFDFFHHGHAGAVVQARQ LGDELYVGVHSDEAILENKGPTVMNLKERLLATDACRWVTKSIPNAPYVTQLDWVSHF GCKYVVHGDDITSDSSGEDCYRFVKAANRFKVVKRTPAISTTDLVGRMLLCTRGHFIR SLEKMLAGEEGPGTDAERKANGQAMAERIRLYATDETARNPGADVWFWQEQSGFKELF KGVGPKPGQRVVYVDGGFDLFSSGHIQFLRLVTEAEEELARKEGWYSEEAVNERKGKG ADYGPVFVVAGVHDDEVINKWKGVNYPIMNIYERGLCVLQCRYINAVIFGAPFTPTKS YLTSLPWGTPDAVYHGPTSFMPFTEDVYTDPKEMGIYREIGHHEFEDVNAGTIVQRIL RSRDLYEARQKAKGIKADLEAAHKKRELLEEEQRRKEAAQ MYCTH_2314890 MAGLFGTQSSTTSNTLGDLKQDVELGSPPEDSITDLAFNPNPTD PKDFLAVSSWDKKVRVYEIMSNGQGQGKAQMEHDGPVFAVDFFKDGQKVISAGADKQA KVLDLATGQSVQVAQHDQPVRCVRYFEANGTPMAVTGSWDKTIKYWDFRSAQPAGTVT CQERVYTMDVRDNLLVIGTADRYIDVINLKEPAKFYKTLQSPLKWQTRVVSCFTDSQG FAIGSIEGRCAIQYVEDKDSNLNFSFKCHRDQPQGNVTNVYAVNDISFHPQHGTFSTA GSDGTFHFWDKDAKHRLKGYPSVGGSITATTFNKTGNIFAYAISYDWSKGYQGNTSNY PTKVMLHPVLPDECKPRPSVKKR MYCTH_2302672 MSGCGTPDEPIRIGEVNHGGNIDSFPCLVLSGNPRQRGLMYGLL LRDKIKANVARQLGHPFFPPWDVCLYLIQRFYIPGMRKYWPSGLEELEGMAQGSGVYL EHLMLLNARDDLTVGRRLLADGMGESTSAFFSQLATADYVPLLAHSWTSSKYKHDQSL IVCLEIQFTAVEELPNIFMVTEAGMISGCGINAHGVAVVGNRLISSDDCMPSRTPAFP VACLDRLILERAGDKIDPCELQDVVFDAIDRYASRHLLIASNRGHALSIELSVELGYI YRGKPGSNAKIHTNHFQSFEAFLGRRETWDASRGGSSQFRLARLTDLIDNHGSARLSM QQIVDTFSDHDGSPESICQHREDNRDNMTIGFVMFDTNRLVISVCKGPPCLGALMHFT FQREGNGGSAEDEGMGDAGNQSEDATGQKRDAATQTGETIAHAKGMNLDTSPGIEPAP DNDSPAASLVSNLSQPSSVPDDSKSETRSSSQHRETSATKRKNSSSSSVSPANTGEKE TSAATENQEMVDCRGARKRIRIQLGDPVEGA MYCTH_2302675 MNGYDEKHHLDEDAFGPKGSIVKAFDAFPKAKPQYVQHTSAGGK WTVAMAFISLILFWSELARWWRGTEEHTFAVEKGVSHVLPINLDVVVRMRCADLHVNV QDAAGDRILAASALRRDPTLWAHWVDGKGVHRLGRDAQGRVITGEGYTGADHDEGFGE EHVHDIVALGRKRAKWSRTPRLWGAEADSCRIYGSLELNKVQGDFHITARGHGYMEFG EHLDHNAFNFSHIISELSFGPFLPSLVNPLDRTVNTAPAHFYKFQYFLSVVPTTYSVG HPEERGSRSVLTNQYAVTEQSKAVPENTVPGIFVKYDIEPILLNIVETRDSFFVFLIK VINVVSGVLVTGHWGYRLTDWAREVLGRRRRGHSDGVLGKTAHLEE MYCTH_78277 MVSWAGMRATATPSQVDAVARAIRSTPIIDHHAHPLLKPEALNK HPLLAITTEANGDAIDSTTTTLPHLRAVRQLASVLRCGYTWEAVVAAIEEKRLECPED WTADCLFGIETILIDDGLDGEDDANAYPWHDDYTRSRCKRIVRIEKIAADIIRRIGSE RSDQGTQDASLEGAFDGWVREFDTYIRTALDDPEVVGFKSVVCYRTGLDVATEPISLL ESRAAGDFKSLVADVHARQSFVKLRTKSLNDLVVHRTAQLIRDSPSRRKKPIQFHTGL GDSDLALAKASPSHLQEFIRQYPTVPIVLLHAGYPFTRETGYLASVYDNVYADIGEVF PSVSQDGQERILREILELCPWSKILWSTDGHWFPETYLLAILQMREVFETVLCDYVRR GHMGWRAAIDLVRDVLFKNANKLYHLELDFSELEEDGTALSQTAYQSDADTFRAFLKN QPAPDFVRICWNDFTGTQRMRMIPFRKFASLLNEGKPTDIGITTAAFSLTGNDRLVPG TYPTGEYRLHPDFSSLRKGPVEGHISMNGEFRDQSGATGPLCPRSVLQRAVAFGAEND LSFLIGFEIEFLLVERVRLEPRDATTARYSAIPTDGHAWSVSRYFADPKIAALLRDMV ATLDEMGIHAEQLHAESATGQFELVLPPCPPVEAADTLLHARDVMSALATAAGYKLTL HPKPFAHEPGTASHMHMSLSSAGGDRPEVYQPLYAGILRHLRGIAAFTYANPASYERA TDGAWSGGRWVTWGTQNRETALRKIAASHWELKWMDGLANPYLAVAAVLFAGVRGVAD REPLVWGDCEIDPSKLTADDRKELGVTQMVPANLGEALRALKEDRELVEFMGAELVDR YVAVKSLELEILGKMGDEERRQWLMGRY MYCTH_78274 MVWTRFAAAALAGAGVASAAYSIATTDGIKKTAADVAWDLLQYY HGNESGQTPGILPGPPPNGDYYWWEAGAMWGTFIDYWKLTGDSTYNDLVTQAMLFQVG PDRDYRPPNYTASLGNDDQAFWGMSAMTAAENNFPNPPADQPQWLALAQAVFNTQASP EEHDDTCNGGLRWQIYLSNNGYDYKNSIANGCFFNLGARLARYTGNKTYAEWAEKTWD WVRGVGYMDDQYNIYDGGHVQHNCTDINRAQFSYNNAVYLLGAAFMYNYTNGSDKWRE AIEGLADATIKTFFPDGVAYEIACEQGMTCTADMLSFKGYLHRWLATATQVAPILSEK ILPVLKTSTEAAVSTCTGEANGRTCGFQWSKRQYDGSHGAGQQMNVLAAVSSLLIDQS PPPYTNMSGGTSKGDPLAGTNSRSTLNEPRQITGGDRAGAGILTILLIAAGVGTFGWM STGV MYCTH_106609 MTLTKPTLVCTDAAGTRFAVVFDSRTGAPPGVGTADDADPDDAL DLARLGYKKGATLVLPGARRTRPRDSSGGSSGAGFVSLSRADEAGVRVVPAGLRVLTR VGASLRARDGDGNEGGGGDGAEVGGGGGGGGRRPRGCESCGRTTRKGAEAEEGKAGLM RCTGCGEMEYCSKECQIKGWNEDGHKGECKVIKAIRAVWP MYCTH_2302688 MFFKRAPAHPSHKHDSNIIPVKTSYVSNPVALPPGFLTTAPPDA RPPTAKRIDFASSPLPEYAGRYAAVLDHVLSPSECAALLALAEASVPPSSGPDNNAAA ADNDDDPWRPALVNVGGGFEVLQPDYRNGDRIIWDCQEVVDRIWARCLAAAAAAASGG VEGDAGLLERAAAVGAGEVAIVGHDPRQRDGARWEFSRVNERMRFLRYKKGGFFRPHC DAPFVDARDPSRNIQTMFTIHLYLNDSDAEVKGAELVGGATTFYSSDGKRRIDVHPKA GRVLIFQHRRLLHSGDDVLRGTKYTMRTDIMYELKFPETRK MYCTH_2302690 MLKPTFSSLFAKRLGASWTCARCRTQAPRPKTSGAALPRRYGIG TSTRPWLASQPRPKPRRRAAVLLAAAGGWAAAMLVSVGDDIMNSYEAIERSGRVASTL VLCINDYRTTLNQRDKLDDPDEREHVLKACHKRCALRTLRVLEKNGGIFIKLGQHLSA MNYLLPAEWTTTFIPLQDKCPVSSFESIEEMFRKDTGGELWDYFSEFSPEPVGAASLA QVHLATIKETGQRVAVKVQHPSLEKFSKLDMSLTSFTFSALKRFFPEYDLEWLSSEIE VSLPIELDFRCEAENARRTREHFARIPELPLVIPDVVWAKKRILVMACEAGHRLDDLD YLDSNGIDRGEVSATLARIFNEMIFGDNAPLHCDPHGGNIAIRKNPARRGHNFDIILY DHGLYRDIPQTLRRSYAKMWLAIIDGDMERMKKYVTEVAGVGEDKFPLFASAITGRDF SVVSSSITKPKDASEQKTMSAALQEGLLVDLVQMLGQVPRIILLILKTNDLTRSLDEN LHTREGPARQFLILARYCMRTVFYEQLDEIRQRGSLLWPPNALRVLAAWLGYVRVGIK LQVFELWIGIKRAFGYHDGIGLGSGIA MYCTH_2314896 MPESKAEKIERVKANLPLPEQPPAASDLKSANMKTTSSEGVSAG DVSTGAGVTSGLREPATKASNEIDMSGIGRQGKDGLNEPPKDARS MYCTH_92349 MEYSDRSGPTLQGLSPAEVEAKMKEQAAIQAMMDDLGSARLDQL PLDDGPKASKHARHYHNARSSTQHRQPSAPTTSLGDVWRDAIAAGAFDDEDAEGVKNL DDLGGGRIYDNASTCQSREHRVVDVTMRILDQRSSSSARQRAESAAQRWQTRHDMNQV ITASSSRANNGWEPVPSTSARPQPAAINPMMRPARLRPPPVVPSLPVPIPEVVTTPDA PLPFDVTDVLFKTEANFLSRDVHPAMRSVIILSAAKRPELGFFTVALFNRKYCQWAMS AWYDYSSGADNLLGATFQDGSTLHSYHIFFGTSSDLMDFVETVRSILAENDVALVDSA SISASAPPLAAASSGLASAVTRADRAVGATCPQDTSTETRVARSTTPATHCSAADSKP HVNAKPLVTPKPVVDSNTALARAASPASSVAPASHSRATVLPTENRCVGEDVSDADAT ADSHCNTVLAPHSLRQQNTPVRQANVLETSKMKQHAAAGTATDEHEAEATNLLSTLQS YRHNNSADIDESSMRMFRNIVSATARLFFQFFCFSEVAGRTRTVEELDQTARGVKMGF LEHTVKSARGQGFNDGQVQAIKDEISRVFESELAAKLSAQRNVFKSRQTYALDELLSM RDRAVMPPGGLANIPYMPEPSNGLLRTGSSSLSQGASTFPRRVVASRGADKEDNERNT NFDKAQVARVRDAMDWVLGKTAPSKPDFREPKPASEEGQATVPIVEKMSASQEKGLQG SRWASGTSVVKAANFFTGPRYEKAWSKHSCLEELGQLQPQDKVTAGTEDLTDLYFPLP EDTNTQREPVKPRVPDVDGSPPPAATSSSYGASTPKRADKVETVTVGISRLSIRSPTA PQSKSDPPTTPTEQLGFAARTLREVCSQPASTAAQREPQAAQPKGKFNFYTPKHTRQ MYCTH_2302694 MDETLGRLLDRSWDLFLKTPEDTRFLIAVGGIPGSGKTTLSKRL TAALNARHAAQFPGRPPVAVFVPMDGYHYTRAQLDAIPDPATAHARRGAEFTFDGAAF LRLVRRLKEPLTDGSPTVLAPSFDHALKDPKEDDIAVERTHRIVVLEGNYTLLNKPPW SDAASLFSFTVFVSVPREVARARLAARHLAAGLVATPEAADRRAVENDLPNGDEILRL RIPRVDEVVESREDGGWAN MYCTH_2302697 MDNDRADSNLLLLSLNLADSDPEEAAPPGDTTTSTTNAAGPTTE YQPTRAERTALSEEAFQALKHSYRPKVENGNIHSLISFLPSAFTTTSTTDNSQDNDDD DEDDEPLPKPAAQELLHAAEELYFFRRYADAASFLRRVLESTTGSSTSSDGSGDSTED GTRRRGRRRRRRIDDETRRLLGYYLGRCEAKLGGA MYCTH_2302698 MKVFSNTEVFNYTWEEVSTANWRKYCPWNQKSTHVVAVDTISRT VDPETGILRTERLITCRQSAPDWLKSLMGGNIEDSHVFETSYVDPRNKTVTMVSANLT WSNLINVQETVVYRPLNDQQTRFEQAAQITALCGGWQRIKNRIEDTLVKRFHENAVKG KEGFEAVLAMSRRVFAEERERERLSLLQAQAGNIRAAATA MYCTH_2302700 MAAQAPPSEELGRLSVEDSGGKPKPDTTAASNGNQNHESDDSED DAEEAAAPGAAAEGAKKKKKKKPKKKKKKPTQQSDPPRVLISQLFPDQKYPKGEEVEY VNENRYRTTNEEKRHLDNLNSDFLNDYRHAAEAHRQARQWAQKNIKPGQTLTEIANGI EDAVRALVGHQGLEEGDALIAGMGFPTGLSINHCAAHYTPNAGNKMVLQEDDVMKVDI GVHVNGKIVDSAFTLAFNPRYDPLLEAVKAATNEGIKEAGIDARLGEIGGAIQEVMES YEVEINGTTYPVKSIRNLNGHTILPYSIHGTKSVPIVKSNDTTKMEEGDVFAIETFGS TGNGYVHEEGEVSHYAKRTDAPKVDLRLSSAKSLLNVITKNFGTLPWCRRYLDRLGQE KYLLGLNNLVANGIVESYPPLVDKKGSYTAQFEHTILIRPTVKEVISRGDDY MYCTH_2078767 MPFRYTSTSAAWPAQLPARTAAWSCHRFFHASPRALGLDGNTSG PTNHYETLNVHPTATAAEIKKSFYLLSKRHHPDHNPTDPHAPARFMRISEAYAVLGHA EKRARYDREHARLLNPHYHHHHQQQPPRKGSYHSTGPAGGRPASGLSRRRGAFQGPPP SFYRSGGWGAYGAKRSAAHEESTGAGFGTDTRGAPPPPPPPPHPPPPPPPGGAFGGGG GGFGTGGMGPGQDPFGHRDDVPHFDREAHERAQRRVDDRRAHRIAKERGVDIDHLEKP TTMSFFGVAAILAIVVMGPFLISGMF MYCTH_2302705 MSVPAFQDIAKAANDLLNKDFYHLSAGTIEVKSNTPNNVAFKVT GKSSHDNATSGTIEGKYTDKPNGLTLTQTWNTANTLETKVEMADNLAQGLKAEGIFAF LPATQARGAKFNLHFKQSNFHGRAFFDLLKGPTASIDAVVGHEGFLAGASAGYDVQKA KITGYSAAIGYQAPTYSAAITATDNLSVFAASYYHKVNSQVEAGSKATWNSKSGNTVG LEVAAKYRLDPVSFVKAKINDRGVAAVAYNVLLREGVTLGVGASFDTQKLDQATHKVG TSFTFES MYCTH_2302711 MCGIFACHNHPDVVKFKPTALKLSKAIRHRGPDWSGSVTCHNTI LCHERLSIVGVESGAQPLTNADESIILAVNGEIYNHRLIRKSLKKPYHFKTASDCEVI IPLYMEHGLDAPKHLDGMFSFVLYDKNLDRIIAARDPIGITTLYQGWSSEQPGTVYFA SELKALHPVCDKIESFPPGHIYDSATGERIRYFQPSWWDGQRIPEKPADLKLLRETLE KSVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETKRLRKLAEEANGSAFEQTGDADRG EGLVGIDDENKLSTMTFLPQLNSFSIGLPGSPDNEAALEVAKFLGTKHHVMTFTIEDG LNALSDVIYHLETYDVTTIRASTPMYLLSRKIKAMGIKMVLSGEGSDEIFGGYLYFHG APNKAAFHEECVRRVKNLHLADCLRANKSTSAWGLEARVPFLDKEFLEVSMNIDPQEK MITSERLEKYILRKAFDTSDEPDTEPYLPESILWRQKEQFSDGVGYGWIDALKEHAER HVTDEMMKNPKPEWGSDIPDTKEAYWYRLMFDEHFPPSCASTVMRWTPKWSKQTDPSG RAIPIHKAKYNDA MYCTH_2302719 MSLCQNRLQEERKQWRKDHPFGFYARPQKNAQGVLDLKVWECGI PGKEKTMWEGGLFKLVVTFPDEYPTKPPKCKFTPPLFHPNVYPSGTVCLSILNEEEAW KPAITIKQILLGVQDLLNDPNPESPAQAEAYNMYKKDRVQYERRIRQIVRENAAP MYCTH_2302721 MASGASDTGAPQKEAEKVVPTEQTKQKTAATLEDVDDVPDPDED DLDDLDEMLNEFSTVKIDAQKPEAPPGLAAAPAAGATAEEALSEEEFARQLQAGMADL LGELEKSPEMQAQFESIFKELGAAASANADPASSAPTPPAAGSSSSKSGPTGAEASFQ ETIRRTMERMQASGEQATAAAAAEGSDDFLAELLKQMQNGGFDGEGSEEEFSKMLMGM MEQLTNKEILYEPMKELDDKFPEWLEKNRDKTPAEEFKRYEEQQVLVREIVRKFEEPT FSDSNAADREYIVDRMQKMQASGSPPSDLVGEMPSAQDVLNMPDESCNPQ MYCTH_2302725 MGGGRQLSPSSSFFSGSENNQSSNSKLRFASRNTRPNPVLKRRE DAQESRRRLFFQNVRQRQEDKRWEMRGGEDELLKLEWLRLQRERAQAREAEVAQYLGT LDADLAALEEEEIRMQEKHARQDLDALMADAVAQQEEAEIEALLSALEGQQESSQSPA QFSDDEDYDGLFMDLIQQEQGEQEMGHSQDVEM MYCTH_106599 MVLPPATPAPSRFLSSKKPSTQQCENQTPNQNYPRGSSQFFVTP RFATATPRPSATQATAAFATPALAIKSRAPRNRSTQDIIDDSSPVSPKYGSPSSSPNG HATLPEPIEFDSSLVPQSPSPGELTEGRSPKRRRISIASSGTEADLVVNSQRSQDSDL EILYDAPGDHIVSYYSDVEDDEGDKDPVISSTQSITSSPTHSQFPAVETDEASELDGS GSEPDRGATDQKATPKPTTGTKDALPRTAPRFKLTEPPDRLPSQPEVYLAADLFSPQR RGTKYLPGGLAAELRDWLVDVKGELDRRGEVKATSSTLRSTAAAGGGCDGVVRLVVED VSRGGPGMTLVSGKVLDGECARGPDGRVRVILAGGGNIEGLGGGKGGGNPRKVAPGAV VAVGPPAWDVELGGQWAVACRWEVVDGEGGDVG MYCTH_2302726 MPQPNGEPINLIIAVSFGLFVPSRLINASKYGGLNVHPSLLPDL RGPAPIHHALLAERNYTGITIQTLSPEAFDAGIPLLQTPAPGVRIPEGCTPEQLHEIL APMGAQLLVQALRAGLHVPPYRPYDPLPSEQQQQQQKHLQQQQEKKKEEEKERQGDNG QERTTTTPHAFPPPPPPPMHAPKITPQDRQVLWTSQAAREVAVRHRVLGSLWTHVRIG SRGKGAGRRKGKGRDDGAGEEEGGGGGGGGKGSASEKRAILEDLSVVPGPPGRAAARA GAAVDVDAAPASAPARENSDGDGTVVWVEKTPLSRTKEEQRKNGGTVGTESKCVTLPY WVDADGEGVVVRMSNGSWLRIGRIKVEGSTSKPARLVLGAR MYCTH_2302731 MTRSHKFNDKDHAGLADGTAVPHEPLPKYFAKHGFPDADPKKTK KNGAGRANWGNAGDEVLDEDFNFHNARRRSNSSSLSSNLDNFKTKFEVNEPEPVFEEH MGAEEEENKTVSPSSASSVDDNKSH MYCTH_2302736 MAPGLDLTPDFNPPTAGQSTTTTTTTTSTPSTGKRTLLLAPPSL AAREDRLSELFAAYPRVTTDLQMLDRLAAGFVSLPAATYDLVLVLTDPDGARRSEAAA LLRDRAVWTRLAPAVRAGGRVRSEDGSLGVGTSGEPEGREAVLAGLVADAGGDGFVKP EYAEEEVVPLRLGAGKNNKNNKTTTNGAGIKPATAPAPAPAAANGSNGAPAGVGFVDL SDDLELDAEDDDDVIDEDTLLTEEDLRRPIEQPPECRPQPGKKRRACKDCTCGLAARL ESEDKARRAKADSKLNTLKLKSEDLNELDFTVQGKTGSCGSCYLGDAFRCSDCPYIGL PAFKPGEEVKILNNTVQL MYCTH_2302738 MAPSQSWTGWRRLSAIIAALTLPWTATLAVADKSAGDYFVHSLP GAPEEPVMKMHAGHVEITPEHNGNIFFWHFQNLHIANKQRTVIWLNGGPGCSSEDGAL MEIGPYRLKDDKTLVYNDGAWNEFANVLFVDNPVGTGFSYVDTDSFVHELDEMAKQFI IFLEKWYALFPEYEHDDIYFAGESYAGQYIPYIAKHILARNKLPETKHKWNLKGLLIG NGWISPPEQYEAYLQFAFEKGLVQKGSDIANKLEVQLRICQKQLAIGESAVDNEDCEK VLQDILQLTATRNKDNKLECYNMYDVRLKDTYPSCGMNWPPDLKHAAPYLRRKEVIEA LHINPNKVTGWVECDGQVSRNFRPVKSKPSIDLLPDILSEVPVLLFSGAEDLICNHLG TEALISRMSWNGGRGFELSPGTWAPRRDWTFEGEDAGFWQEARNLTYVVFYNASHMVP FDYPRRTRDMLDRFMGVDISSIGGKPTDSRLDGEKGPETTVGGTAGNSTANQEAEKAK LNAAKWEAYRRSGEIVLVIVAVSATAWGYFIWRERRKRRGYQGLAGEEAGSSRMAVFR NSPGGRGGRRDVEAGDFDETQLDSLRVRPPAEGQADPRYSLGADSDDDRGEEEEEGSA GKAKENGAGKTNGGS MYCTH_2302739 MGSASPLFEIYPPLINTACPWATTVDDLRDLYACPSTGAVTTRT SLVKGFDHNPDRHQYAFFDASLAGTAHSASLNSFGYSPLTLETYLGFIKTISAELPTK SSKGFIVSVTGTPEDIAISYKLVASAAQDVQFPLAMEINLSCPNIPNRPPPAYSEEAL STYLSHLRQAITETANDNIPRIPVGLKTPPYTYATQYQSLISALEAATPDEGGSCPIS FITATNTLGSCLLLSPSAPDSQHPSPVLPGTGLGGMAGAPLHPLALGNVHTIRRMLDE KEGKLGRHVRVLGVGGVCDAAGYKRMRAAGADVVGLATGLGLRGVGVFGEIERGLEEG RW MYCTH_46981 MAAVQQLEPVPTPAARPQSIADSPFTNNGDTERASPPAQPGISL CSEPQRGDTRIVVIMFGKGQEKIVSVFAEVLGKPSKMKPRFESITKDDQGWVIGIPAD SAKDDIATRDRGLVVAINAHCTGLGMPPDVYLSAQCDYEFLYTESPFFRRDLARFTSH ILGQICHHRALMAKPRTFIISTTFPDVHAALPNIDILTVGADAIEIRVDLLKEPLGDG TYSEISSLSYVGEQLMLLRQRTELPIIFTTRCTNENGRFPMDNPGLYYEYLYRALQWG VEYLDVELWLPESIRKKLYEQRGNSRIMSAFHDFSGTFKWTSERAESIFLESQRYADC VKMIAIINDHNENFELEYFRSKIKAKYPDSVPLSAVNMGETGQFSRTLNKVFTPITHP LLPIIAAPGQMSAAEINQALALLGQLPKKNMYGITSPAMRSVTPQAPFYEKCFNELGL PHQFAVVEWQPKGPGCIGTWCNQKNFGGAYFNPAVSLKSLATHSDFLASLNNGAGPTV SEAARLIGMVDTIVVRPAPSSAPTSAPSSIPSSPPRHKNGDSYSALGPSQSGLPPNTT LVLDNASWKGILSTLTRDFAPSAYFGCAAVVLASSAEDAASALFALKALKVGKVYTVG FKTPPAFGKDLLIEPFTSLESIQRARTVGANGSNVGTGTGSPFLVVSALGPEKSTLVG MLVRLFGTRGSGTDSRKVFLDLADRPASAKGDPALIAERSGFAAYGAADVTAFTTVET LRLLVGQNVPYSFVRLASGRTLF MYCTH_46266 MPRPQVSIERLPTRRLSNEPRESMNCKSCRKRKIKCNRLRPACE ACQVFQCPCIYDAVPKKRGPKTDVLEALLKRVDGLEARLREKKAGTEAESSESAVTNA PEPSNFTQPAASTSAGGVKTDVGQESQDTSMFSPVQSHDLSDFWRSEQSPEIHPDTLL DIYFTRFHDKPFYIFDESTLRQRLQLNQVASHLVHAIYAVAARYAPHPNGYQSAVKLS EEYAARARAEVDTDDPSVDALQTLVLLVTAFTASGKGKKAYMLLTSAVGMAMALELHR ELDVNARVTPIERETRRRLFWTCYLLDRFMSCGSKRPSLISDRAILLRLPCWYPSPNT MPMEGDFFQSYTNLQHLHGGGKRSQGSNGMLIDICRILGTTNQYLAAGGVKGDSHFPW HSLSNLSKIRQDLDVWASGTEDVFSSVDSLFGQPDSTVLVLSKLIYHLIHCLIYRPFL PIDLAELAGSGQHQSWQIEATNMCFLHANAIAELVELGKQTASVEWPAFVGYCICTAG TVHIHGAHYSRIGTAGDMSVFSSSAEFLSREMQQLSELRYAWASVQHQRETLQGIYNA HSELVKSLSQSPMRYSPVFTLEDFFDRYANIGGPGGQSFSFDAANLSLADVVVDFTTD TYPGQGLCAPRLSPSDPGASRPNLKRKNTAPSGRPRPDFKNSISMNKMNPPPTLSLST PSTVGRPLFSPSSVPPQPSPGMLHTPTSLTSPHGPLQQNRRLSMSQNQASGSAAGEGG LANFPLGDNNRSGQSADIPHGFPNMGNGTFNPSFNFGQLPAGSSTANGAGSGTAPPQG FDPMFGELPTNAFGTPTPWHGDEGGGNAPGGGGGGTRAPAEVGATPKDTSPNESAATT GAGEEKDPFLSLLEQLAENESFMGGAGNELDFFLNGTPNGG MYCTH_2302745 MDMDPTTDAFPDRGPAVFAVTTATLALATLFVAGRMVSRLGIVR RAGTADFFIVLAWLLAVFLSLSIDIAVKHGLGRHDRNIDPAKMQGLRKWEYVFSILYV RIISLGPVSLICVCVCVCVCARARLSSYGTIVPNRMQNPALMATKTSILVFYLRLSKN TQPILRLGSWITLVVVNVAGVVLTFLNIFQCRPVAAAWDVDIKPVSCIPLLTEFICSA PVNVTTDLAILALPIPVLTSMRLPQRQKTILVLTFGLGVFVAVVDVVRIYYLQQAIDQ APTTSSTDPMAMFGQSLDFSWNASLSLMWSAVEVNVGIMCACVPTLKPLIIRILPSML VNRDASLRSQTGAISNTTHTTSAASAGTSDGGPVSAAITRGESLRAQQPPAGPRTLPA EAHGEDDGSGEDVSIRDFLSTSPSNPYLSITTSNPLPTTTPTGTTTTTRTTTQDPNPI YYNFVTLSPRGNLLSQTDTRKNLRYNALASILFFLWGFSYGLLNTLNNTVAAVTRMST AQTLSLTAAYFGGGYFLGPALLGGWLLRHDEHHRRTKLKRRGGGASLGGVGRDGRQRD SHGVKDGTVRNACEMRRRASRVQRVVAKKRKGRMAGEEEEPIGGFKATFIAGLLVYGL GTIMFWPGAVLGAYGGFIVSSFVVGFGLAVLETAANPFLVLCGPPQYGDARLLLAQGV QAVGSVLSGLLADRVFFAARFEQPDGQLDASTLIDVQWTYLSITLLSVLLALFFYYVR IPEARDAELAELAARSPVDPKRKSIGGISLRTWTLSLAILAQWCYVSAQENMSLFFDP LLASFANQPAAALTSTNTTARPHGLTLSLPNYLLVAHSAFALSRFLAGGVCILAARHP AQHFLPSARTLLTLSISLSALLGLIVVTIPAASTNPNAAAVPVILFFFCEGPIWPLVF SLGLRGQGSRTKQAATWLTMGACGPAVWPFVSYGIMRAGASVQVSLIVVVILMAVAGT YPAFLGLVKGARMLADVPPAPRGGDESGETGGSGGRGGDVEAGVESGEKGREEVNAVE GVRDTGNGETRLGDRLGRAPRASLSLSTSSPGAFAKTGSDDQGQRPQQPAPWENQVLD TRVLED MYCTH_2302748 MTTPFSTRPGDGRDDNSATTSSPTVAEDTPLLRSETSSVTARDV SPASHGQPGPPAPEQSLGWKRTTCIVLSMWALIFLQASNMSGMSTTQSTIAADLDAYE SAMWFTSSYMISMSSVAPLAGRLAMIFSSATMVLLSSTCFAVGAMVTALAPTFAVFIL GRVMLGIGSGGIMTLCMILVIQLTSKKRRGLWIGLTNAGFTIGVSAGAVVFGALLPVL GWRLLFGAQAPLAALAGLGVSLSIPHLTTDENAKDKTTLQKLAGLDYPGAAFLTITIV LFLYGLSGTIRLLPIGLSLITLPAFLLVESRARDPAIPLSVLKSRGVLLSCFSQLGFM AARWTVLFYAPIFVLAVRGMSPAIAGSVLIPTNAGFGLGGLIVGAFHIRHAGSFWFPS LLSLALFSAALLALAFLSNASAPAAAYVIAIFANGLCTGAALNYTLAHLLHLSSPDVH FIVTGLLSTFRGFAGSFGTTIGGGVFTRALRHALTEGFERLDGGEALDKAREKLIKVL IGSPAIVWKEGVLTATERAVAVLGYERALAILYQSTAALGILVLIMQAGTGWTAPAGQ KEDDEELEEAANEGDRAMEA MYCTH_2302752 MALKDTYTLPAAADMHVHLRNAPGPIASLVTPTIRRAGIDTVFV MPNLAPRPLVSVAEALAYKQALQQLDGGVEYLMSLYLHESITPDVIREAKRAGIKGVK AYPRGATTNSQWGVVSFDPFHDVLKTMEEEGMVLNLHGEVPSSAADGVTVMNAESRFI PVLKGLVEKYPKLKIVLEHCTTADAVEAVKALGDNVVGTITAHHLSLLVDDWSANVHH YCKPSAKSPEDRRALLNAVVTSNGKFFLGTDSARTYRVPPPLGFPFTHTCAQTHVNPS MYCTH_2302755 MASNPRNPRVSNAIDSLITHLVPSNPDDDEYTAQQRHRASFELV QSILDRPGSPVSSDVNHASDLIKRKLIQSNPSQALRFSNLYTRLLSLPVLNQKWAILY LLYQLSDSPDPNEPPPLSPPKRAAQREPPRPAEKEGRSRASTAAPTPEEEVVGDAFAP GGLKKLPTEKNPKRSQAEERPPAQPPVDGTPKKAVRDVSIKSTLLADNSNDFEPSEMA LLRDLPYTLQGLSSTTLPFARESALKLPRTLPTPIISLLHTLAEPSLLYRGLAAFVKS PAKGLLGQSLRAAINKELRSYLTLVATLEAQIRRALAAIDESAPRGGIGKAGVTLKRC VVWTREATMGLRLMSLIAEESNTRHGGQIISMIHGFSTSHGDPVVAAFAERLLADVTR PFYDILRRWIYDGELSDPHLEFFVREQNPDDEKRKESKAKGQASVWNSKYEVVDSMVP SIITADFAQKVFLIGKSLNFIRHSCGDSQWVDAYSKTSSKPLSYGDTATLETWIDEAY KTTMRRLMYLMSNRFHLFEHLQALKNYILLGQGDFIALLMESLAANLDRPAFAQYRHT LTAQLENAIRGSNAQYDSEEVLKRLDARMLQLSHGDIGWDCFTLEYKIDAPVDVVVTE WGNRQYLKVFNFLWRVKRVEFSLSSTWRKCMTGSRGVLQTSDEEVLQTWKSTRGVLAE MIHFVGQLQYYILFEVIESSWTELQKNIRKEDCTLDDLITAHTKYLTAITHKGLLGAR RRQFQESLKEAAAQQGTDPTTTTTTTTTTTTTTTTTSSSSTNHEDRNSYMVQLSELLR TMLAFRDCVDGLYSWSLSDFTRRQEADAVSMLQHRNKKPRLGNGAAPPAVPADPDDPS SAVSSAAVASHDADALRSELPALQDRLRQLGAGFRARLQILLGDLAYQPDVDMRFLGV SMNFNDVYQPVKRKRSAPGGSGGGGSSASASAAQGSQGQQQQQSQQQSQQQSQQQQQS SSQSQGVAGANTLRV MYCTH_2302759 MVDINPAALSRPSINLSTPVLSKQSINVSVPSIHKAPKTSQLIP ARIDLEPIYTALKASIGAEQWPTYKETITNFLIGRLNQAEFSERIEPILASPDGTREH LHNQLIAAIYGNVTREMPDQGLAPWVSANDKPSTTAGSKPVSGDAAERRLKGEVMQLP PRDRRRIKDLAHNDFDPYESLANVFTEHARLRHSKTADVPASAGGGLSRMNFDLEIRK RYAQPLAVESGEFPDVSNIEARMLPFCYEAGLASGHAPDAAQFMSIATETFIKEVMSA IFSRTRSNPPGDSGNAGFGPSNNWILTHKYRKQLAKEEEAFQRGEITRDKTGLLPVEA KAASERGPLGIADLRLALEMGDCGLANFPIISTSVIYGYREGELENWDDYTYVDGRER VADRDGDVEMSGMKGDGTGKDPEPLTNGTAHDDQMDIDDDLWWEGAGREAKMLDAVLD SCLAVG MYCTH_46579 MASSRSPSPSLPRSRSVSPTTATTRLILQNRFDALSDSSSEEDD AILRPRGKIAARMQTRDRETREPSDDDTDPTRSTPPAQNNSDASGQAGVEDEDEDVVM TRPRKLKSRRERTPTPDPPPQEAAPSPGLFISPQKKSAPTSPGLFVSPSKPQSPAAGE SDDDLPSPTRLIKNPRFQALVARKRQERLAREAEEARKKAERRRAAGFDKEEEVEGDD DIAADRDGDDDSITDDDGGRKLTQEAATSRRPRKASKKALEEMNRETQRLTRSLQLAH EAKVKKKITKATLFERFNFKPEGGAAAAPVDEPAPAASSSRAPTPGSPQHSDAEARDA ETPPSSPPAVGKEAESKGVSPAGAGIAPRGGGADGLLRRQEEAIDAVTTSQRLDKGKG KATAADPEEQRQPAVEGPPKKRNIRVKLAALETSTAAASVSLGNGDEDDDDDDDDDLQ VVATRKSKLDAIFDRVPANKAKQAHSLQILRRLAHLDDPDRQPAPPSRAGNKLKKEHQ ETVMTTAELQASLLQRARQQARLERDRHLEMLRARGVYVQTAEERERELQEVEDMVAK ARREAEEIMRREREAAKAERKKKRAAGEEEDPLGWDDESEDEEYDDEDEEAAGGGEER GIELSGSEEEEEEEEEEEEEGKGEKLVDDAAEVADASEAEEVGDQADGAESDDDADLP STNQVRRRARKHVTIVSDDEDEAEPFVKATPRPKDHLPKSPSVRNAESPSAPTSVLRS ARKTFIPGLPVAGPAGLGLTQIFAGTMDDTPMATTQASPSSQPRPTFDVTAFPDSNFS QAAQDAAEDMIRDSQPTRETQQAETQGVQIRFSQSQTHGFDTFLRENSVSQTQMSELI EPTQDAGFHNFSPLRQRFIEPPVSTIETVPVGQTQAEEPNDSPLVQRTGKLRRRADAV PASPTRQPRDGEDQVMEDVEYDEFGFGTTTTTNNNNNDAFTAMKEAALKEKKRKKVFD KKKSQAREMVEEQAEESEDEYAGLGGVDDEDSDDSDDQSVKEMIDDETKDDEEDERKL AAFYADRERAEDEKRVEKLFHDVTTGQLRRKRHGDWDDLSDSDDGGEARRRMKRRQFA KMQRALLADERISKVAENPRNQAFLRTIEDRGSDDEEMDFIFAPPPAHPSAASQGSQG SGAAQSAAAVIPNSQPQTVVAPNPRRSKIAKKPSSISEIRESLSNLLEEPYNSSSLIP ATELGSSDDDDDDDDRLRPGSSHSNSSGSSNKENRNPRRGSSRPAPQVIDRISLKRNA SAAAASSSSSASNKLAFATAGSASASSSSSSGGFKVPALLRRATTNSLLSTSSSASGG AAAAAATATSSSSAMPGISSTTTTTTTTTTTTGRPAAESSMKIRKPAGKRSGVSYLAR ETERRAALAEAERRREARKFRGVESRVKAVGGLFGAGKFE MYCTH_2302763 MSFSGGSGGTLTLPSPTHVHHVDVGSTVRSLRRSLSRSPSKFRL SGIASPTPSSSVLRQSPTPSSNVQFEQSTSTSTVVPATPALSAGPLSPTSYSAPPQLQ TTGTPFKPSIKLSVRSARSKPMTRPLPRSRVSPKSPLKRVFGPSPDSGNQVPPSSSPA EVQDQENEALTDCPLASSPSSRRSLDHSSRHAVHLDMAGATKNGISKFVEANNEPFPA ISVSPLKRSDAAMGSGQTSFGSPVAKRRSLHGISSTGDEPSVFDQNPTSPQNFDIHED GSHEYELTGACASPFRDLVVPPTPSALPKRTSSLRRSTLQQRHGNTQPSLGRRAGEKQ LAQLAAEPGTPAARSRPRLSLDQYVPPEERVNPFSPAPSSTAAAHATQRPVNQPHPLS RLVSQSSSDSGHPEDEFPTQRPLLSQVLSKSLPPGSRPPTNDSEETATPHYKGAKPLQ SAFMSTGLVSKMNRNRDGGPPEHPGAKMSAMPDTPCKKQPYYSATFPPQGGSGGRRSR VSLGSPCSPFSAVAAPIRGNLFATQEKSGSLLFQQVRSGHTRKGSMLSVDGDEVPEPH DELPPTPTKNLFFKSLTAPNPAEGCQTPGDNRSFAARASLFSLGSDPRETNAESKADA LTPDADAVSRPSTPFSNDSPCLGFSFPPLTGSRTHPASFATPAPARTSPALFATLNNA AGQYAKTDATKTAAGSTNPDTVSPHTPQDAAGSSMTPPDPSSLSISNSEDRQAKVSRT PATPSNSHARQLFSSFANRRLSMTPQNGQGPSDVDESLVSRFDKSDIIGRGEFSQVYR VVKSSAPGSFMMAFSTTPRTPSSPNCERVYAVKKLRMPFHGARDRKAKLQEVAVLQSL RHSSKIVQLIDSWEYNGHLYIQTEFCSEGSLDVFLKAVGQAGRLDDFRIWKILLEAAQ GLSAIHQAGFIHLDIKPANIFITFDGYLKIGDFGLATTWPAAKGIEGEGDREYMAPEI LRGEYDKPADIFALGLIILEIACNVFLPDNGPTWQALRAGDLTTVPPLTCGEAGAIAR DANSLPVSHDSGISQVSDGQEIGLGISPGRESFPFEAMTHNASNLFGGQKRTELRHPP NFMLDPSDPHSLDSLVKWMIEPNAADRPTAEQVLSSEPVTWISCHRTAGATVFEGNWG PQVGSSVDDLGDTEMMDV MYCTH_2302765 MGDDDKKTLIIAGSALELLIEFSNNIVQSVALSFPDSTEIVNKH AEAAGEILFKDLQLREGQSPLTKSLEKFAANFERLAVLDKLSSPGLNMFEAVAGVYES LCRLHAWELKKAREDPAAAAKGEDYLESLVLCTKSGKPSMNARGRVGMTLDYWKERRL QPPPTNPDMAAWVAEHERTWSILIGCAPLREIGVNPVRISDKWIGPNVEKMPLPDELH TRGPIIDWLEPESTFVPMPDQSKGGDPMQPDASLLGPRLPDAVFHATFDPPVHIPTAL WEQIQQLGCVMDETPLKQLATFDSLVIPHSPGSNPDSAGARTVTCKKKTPYTAPGETK LSFKSHVNTLFVNKPIPSRTLTEMTFSHPQQLVTILPYLRQYVFLATLLEHSLAEPPN LSRPLGPAQPKDSTASSTTQTVTTNQDEYRNLVTSSVNGSSQEEDEEEEPLNIDLTLT PLPLPRLRVVFPFRDRTADVTLEVRENGHLHVESQDVLDETNMVAPNEGRQRRVEDVG ALLEMTEDVGKWVEFIRSRWA MYCTH_2302767 MNGINGGNMPGGMVPFPAPAGHQAELNYIYGMVEELSRQLAENQ RTLEEVVASVGRVRNRARAHSLSNEELVNSASDEVKAQEANLDTLISILSEALEKAKF SRDANAALLNQYASALSTMLKQFHEYKARHVADVAAWHRSYRAQLAEARAENCRLREQ IWEMQARAGRANEALRRFRGRYDDDAARWDRRVDAKAVRQELRFWKRMAMPHLPDDDP YWSDDDDLVDVAEKRRQEEMNRLVAEQQLAAAAAAELGDIVGGGPEDAEVPPEVPSAP GASMGGVPMQREESGSVMLPIPPPRPSSVASSTGSSGQ MYCTH_2302768 MEDAQSLDVSWMTHGNPKDKAARNATSRRRSLSNSAHDSPAPSP APDSSPTTRAVDNDKPVEPAKPPTLTRSNSSRGTSDEKRTPLTPPPQRRNSWFSNISS KFTSGGGTAHQSPPQANTASPKPAELSVPRASPAKNAVLQHASIPEGEGPYTPAPPKS SQTGLFHVLRRLSSSSGTFSPNFKAHNHGLVERRVLNVDRYRERCSISGLNQSKLRRV SFCVDVEIAPMPKYADEADARKGSKANKEQKKKMKERAEGEALKHPKAVETQKEAGGE IKATGEEVPREPLKEGIEPGSPPKPAADGAPGKPMPSDKKKEKKKKSEEERKARKEQR RRQAEDNGTIPKELHYDSDSSSSGTTARPGTPKTQSLPTTNPVRIYRRCCQLRETPIL KKITEQLMDPANCSTEPGVVEKLDLTGYWMQLTDLITLGDYLAVVPVREVILENCGLT DEGLRVILAGLLAAKKPRGRRRRPLGGLDGLTEQGGMVERLVLKNNKIGLEGWKHICL FIYLCRTLKSLDLSGIQFPHQSSPASGAVNGSATPTPGQPQDLCHLLSKSLGERLGGS TLNLLGLGQTGIRAEQLGVIIDGLIRCGVKRLGLANNDIDASGLEHVARFLKTGLCEG LDLGGNDLRDGLETLADALAAENCPLWALSLADCNLAPPSLCKLLPALVRLKHLRFID LSHNHELFDSDPSAVGVLRRYLPKMQCLKRIHLADCAMTPEQVIAITEIVPEVAGLAH ISFVENPQLVELAKNATSEEKQEEACALFASLLAAARVSTSLVSIDIEVPSEQSSDLV KAMAKQVVAYCLRNMEVATATAAEAQAEMLAKPDPEYPDVLQRLVGHDVMASTDMDAD VDAAPDEDYVIGGTGVVRALACCLKNRGDESRRQSGEFIQDAENGVVQPGRAVPSGGK AKEASKHLLLSARKIRLRLQPAIDKARANANDSHTYHRLMFLSNTLNGIIKRFEDEFP DTRLSNSIDSAIDIPDREDEDAEDKPSPLSDAESPSRSATPATATAGAASDEEEPPTA IRSPCLSRSNSTLSLNSKALAHEEGRILRAGHKFRTAVASPDDPGLSRSEHYALLASG VEMVGADPNHARLLHELLEEELGGDEALKAEVREKGVVAVFKERREEILTRLREADPA HWDRFVESQEMARANVKVEGESEGGGDSDGSAVASAAAAAAAADAAETAVED MYCTH_78218 MAFHKLVKNSAYYSRFQTKYKRRRQGKTDYYARKRLITQAKNKY GAPKYRLVVRFTNRDIITQIVTSEINGDKVFAAAYSHELRAYGIHHGLTNWAAGYATG LLLARRVLTKLGLDKTFTGVEEPNGEYTLTEAAETDDGERRPFKAILDVGLARTSTGA RVFGVMKGASDGGIFIPHSENRFPGYDIETEELDPEILKKYIFGGHVAEYMETLADDD EERYKSQFVKYIEDEIEPDSLEELYSEAHAAIRADPFKKYESDAPKKSKEEWKQESLK YKQKKLTNAERKARVQARIAELREE MYCTH_2302773 MVSFLIHSRGLQVVSPFQSILDYELSLGIPPGWINYSISRTAPS GFWHRLETGSIPMDAAFFEGFNRDLHDQARWETFYRAQQARNPSLPKEIPPLPKIDGE WLFFDMMAAARSPDPWMYPALKALKASGKYIVAALSNTVIFPPEHEYSRVDFFDDPIR SQFDVFVSSAHVGMRKPDPRIYQLTLQRVNEFAKANADTERGRALGWSEGVKAEEIVF LDDIGENLKAAKAAGFRTIKVPLGRAYEAVEELEKVTGLVLAGDHPKVPIKPNYKGSK AKI MYCTH_2302775 MSSPLREHPSSANRGIPPSRAGRKRARTNGDDAASSIGAASSPI MPSSPPAFNIAHGGDDDDDDIEEDVEIQDDIDDLDEMAEDDVDLFREGFERDYRERPD DGYEAVDIDDEGEYDAMDLGERRRLEAQLNRRDREVARRQRIPAAFLPGEDDDRDIDL TAQPRRRRHRYDEDPDEDMDADIMDEELSLEALHDVKASSLTDWVAQPAVQRTIKREF KAFLTEYTDDSGSSVYGNRIRTLGEINAESLEVSYEHLSSSKAILAYFLANAPAEILK LFDEVAMDVVLLHYPDYERIHSEIHVRIFDLPVHYTLRQLRQSHLNCLVRVSGVVTRR TGVFPQLKYVKFDCTKCGVTLGPFQQESNVEVKITYCQSCQSRGPFTLNSEKTVYRNY QKLTLQESPGTVPAGRLPRHREVILLWDLIDKAKPGEEIEVTGIYRNNYDAQLNNRNG FPVFATILEANNIVKSHDQLAGFRMTEEDEHKIRQLSKDPHIVDKIINSIAPSIYGHT DIKTAVALSLFGGVAKNTKGAHHIRGDINVLLLGDPGTAKSQILKYVEKTAHRAVFAT GQGASAVGLTASVRRDPLTSEWTLEGGALVLADKGTCLIDEFDKMNDQDRTSIHEAME QQTISISKAGIVTTLQARCGIIAAANPIGGRYNSTIPFSANVELTEPILSRFDILCVV RDTVEPEEDERLARFIVDSHSRSHPLTNGQTQATAAGGQSMEVEPDSHGETQNSADKN NNKEGEIPQELLRKYILYARERCFPKLYHMDEEKVARLFADMRRESLATGAYPITVRH LEAIIRIAEAFCRMRLSEYCSSQDIDRAIAVTVESFIGSQKVSCKKALARAFAKYTLA RPGAGAQQQRRGVGAGARGRGGRSAVVSA MYCTH_2302776 MDPSNSQSSADAPVARTKARTESLSQKSDDSQTAADFLRDQMQL EAEAREALPYSIEHCTKPLGPLRQSVFACLTCNPPPANPSDPYNNPAGVCYACSVQCH GEHALVEIFTKRNFTCDCGTTRFPKTSPCNLRINPETNTKGGVHSEEPSPNNKYNQNF RNRFCGCECDYDPFQQKGTMFQCLGLGTHETGGCGEDWWHPGCVVGLGPKWYENMATE KVKPEAKDTDGPLPTTTEDAQTADRNGAATGDEAEEEDDDPPPPPGFPAEDEFESFLC YKCVEAHPWIKRYAGNPGFLAPVYFKQGGEAGQEEPTPAAAPAATNGDLNRDSKKRKA EDGDAESQTSKRPKSEVDTAEPAGAAASTTPTSSTTTPTDANTDAKSDAKREATPTCK LASLPPPPQTDTPFSLFLTSDFRDHLCHCASCFPLLAPHPQLLEEEETYEPPVSEDGD GDGDGAGGGSQGADGGSTSNGGGSLYDRGESALRNIDRVRAIEGVMAYQHLKDKLMPF FQQFAESKQVISAEDVKEYFAKLRGDDQAIREAGERVGREGGGGGSGSGDDGGGGGAG GEDTRREQSGY MYCTH_2302779 MASFFQSFRSSSMAKQLLRFALSRLDLLDTQALDLENLDFALGR NTVLEFRDVGLVVQKLEGLLGLPHAFSLQKAKVLVLRVTIPMDFYTSPITAEVDGVDI RLKVASRQEDPGDQHNAKRRKGRDAEIAAAEVVPTAADLAQSFLETQPVAEKKELEEA LAAESQDLGASMAASESGSDDDSAVGTGQPLSLPAFLTDFLQGIVDRIQVHVQSVTFQ VDVEVPVDHSSPTPELVTFQLFVDKLDVEGVTSTRQLPNESPAILHKEGKRHILLDNI RAALITEANVFSSLVPSPSMPSPVASRSPVVAHPPEFPPRGAAQTVGADSVAGSMAGS DDFSQSRSPLHDSEAAFNIPYDMGEASGAGQTAEPTSPLSTPRASIYRGSPPPTITEH AAQSTIFESSRPLWSDFERDVRSEPDLRPPRRFPPSDHPSPAASVHSGTASMKSSGSA ASDDLAESHIYSHEEAESMYMSAFSQAESQRLRTGMPGGWDASEDSDEPDAAPVPVPV SSAETPEQTNEDEQKPERSPAPQEPSPQHEEREDPNLHSRDPEPQEPEPPQDDIPTPR GPTRLVKEILSLSSISVYLPSRQKDTDVSAPDLAKSISPNIPGAFSIHSSTAASPKLT PVNEPPPREAPLDSSIEIILRPVEIRFDASIGFLLAMVVSRLLEALQSESQDAGASAG AEPTPRDTPDVRLTVERLSVLFLEKLAGVADVPRRLFEGRTADLSSDVLLQAQLVNLA GSLSKAGLDTELNLSLEKLVFGYADADVISFDRSVLMFESMANTFPSAGHDISVKATL GSQVSRIEINTLPLHVKLDLQRLDETFSWFGGLSSFLNMGTSVTSSTSRTGKGSAQKP RGVRFDEPGNPSGRAATKENKVDLRINGLRVDVVGKECSALLNSSALKMVSREGAVGV HLSRIRLSGPYLKNARAEAPVVTEILDTRAEFVTLPRSRDLERLLELITPSKIKFDED EDEIMVDTLLRQRRKGPVLSLTVGSVRLDAERLQQLACLPGLVDDLAKLGTVAKYLPE DDRPGLLTLCHVKKTECRVDVGGRFGVVQASLSDLEIAHISTPQLVAVALGQITVTRN KIEELVTTSPAPATGSSPTPPVFMMRLVDDMEPVLKIKLLGLVLEYRVPTIMDILDLS EDATPEDFEVGLAASVANLGEQAHTAIKRAPSGSVPSLGQAEPSRPLKVDIAFRDCLV GLNPLALPSKLTVVLTDAHLELTPGKHDTVTAVTSMKRAAVLLIDDTSILDAPGVPFA STRRPQAAPSPQVTELCARGYVNICQISSAKATVTASKEEEGGTQLEVEVRDDLLVLE TCADSTQTLIALANALTPPTPPSKEIKYRTSVVPVQDLLASIRAEAFGRAEGEYDFDK DFDLAQELGGGADGDSDFDGGPSESPLNLDSQYYDEGAAVQEELFDATSRSMMLEEGG TKTEDTTDGVLLSTTSLDKANNSSTLEYSTGDLSIQDDYFAGGPVVRGTAHRWDSKAN RYDQHTDLKLQRSPLKVCVRDVHIIWHLFDGYDWTRTREVIAKAVQEVEARAHERRAR ADRRGAYDQELLDEDETVIGDCLFNSIYIGIPANRDPRELTQAINHGLQDFGDTDSVA TSAVTTTTLRAAGQHRRSKSLKLNRSKRHKITFELKGVNVDFVMFPPGSGETLSSLDV RLRDVDVFDHVPESTWKKFAMYDIDAGEREMGASMVHAEVITTRPVDTPATELVISVN ILPLRLHVDQDALDFITRFFTFRDESAPVHASPGDVPFIQRIVVNNIPVKLDFKPKRV DYAGLRSGKTTEFMNFMILEDARLVLRRVILYGVSGFDRLGEQLNDIWTADVKRHQLP GVLAGLAPVRSLVNAGSGFRDLIEIPIREYRKDGRIVRSLKKGATAFAKTTGTEVVKL GAKLAIGTQYALQGAEGLLAPSQAGEGGGSAAAVAAALGDDWDEDEYEEEVNRKISLY ADQPLGIVQGVRGAYASLARDLSVARDAIIAVPTEVMDSQSAQGAAKAVLKKAPTIIL RPAIGATKAIGQTLLGATNSLDPMNRRRVEAKYKKH MYCTH_2302780 MDQVFKLEVLDPNRRPHIGIVGAGFAGLRCADVLLRNGFRVTIL EARDRLGGRIAQERLPNGHLIDVGANWIHGTTENPIMDLARETKTATGVWDSGSYLFD EDGQLLPSEEGEKYSTMMWNIIEDAFAYSNKHGASIDPSKSLLDFFQEEVVKRIPDGE EGYQRRRRLLLQMAELWGSFVGSPLSMQSLKFFWLEECIEGENLFCAGTYHKILEKVA QPAIDGANIYYRTRVSEIYGKSATQAGTASVKTTDGQVFEFDDVVVTCPLGWLKQNLQ SFFPPLPDRVCKGIQNIGYGSLEKVYISFPTAFWLTPSPDGGRIVQGFCQWLAPKYAQ DTNPQRWISEVVELGSLGAAAHPTLLFYIFGDQSQHITSRLRALPSQKKKEAFVYEYF RPYYSRLPSYDGSSADCQPTAYFATDWLGDDLAGNGSYCNFRTGLVEGDRDIVAMRHG VPEEGIWLAGEHTAPFVALGTVTGAYWSGEHVARRIVQGYFKGREKQKAEGEGEGKGE DA MYCTH_2302782 MPLEVPSKTFTRAEVAKHNTEDSTWFIIDTVVYDVSDFLDAHPG GEAVLRQVAGTDATVAFYNLHRHEVLQKYSNLAIGTIEGEKQSIITPAPGDLSPVPYA EPLWLTPAFKSPYFKESHRRLQRAMRKFTDEYITPEALEKERSGEYISQELIDRMSKA GVLHMRMGPGKHLHGVNLLDGAVDGKEFDYFHDMICAQEQVRAACRGFQDGNMAGMVI GLTCILNFCKNPELKKKVTDEVLSGRKKICLAITEAFAGSDVAGLRTTATKTPDGKHY IVNGTKKWITNGVFSDYFVTGVNTGKGLSVLLIERGEGVETKPIKTSYSPVAGTTYIT FDNVKVPAENLLGEENKGIYVILSNFNHERWTMACATIRYMRLVVEESLKWAHQRIVF KKRLIDQPVIRQKLAKMIALCESHQSWLETITYQMCNMSYAEQAKHLGGPIALLKMSA TRAAHEIADEAVQIWGGRGLTQTGMGRVIENFNRTYKFDAILGGAEEVLADLGVRQAM KFMPKAVL MYCTH_2302784 MADAAARVAGLLAIAAAIAAAVTAAVRGTALAAVASNVADLTAL VAFSAGLASATAALALAGDVAGLAAAVASLGILGALGTFTAYVTLTTAVVALSRTLVW AVTSLEV MYCTH_2302789 MAGDPSLSTEALFDEERKEWIELLEGSTSSSPKPPTMMRRALAE MSVNSKIGTSSTLLPLCSSGPTTDWEREYSKLRVHFAALEQRLLDVGRRAREFRESRE GWIKYARSLEAKIKILEKKVQRNQSTDGRPVSSSSAKPQPAPAGEGGTLSDTNARWSD PLSGREPEARLKGGSRPSKPLMGSDAACMPATPVTEDGARLADEETQDGSDEAVQLPT IPAEMVQKPEITIKKEPSSDPPVVVSERPVRKRKHGGDNAGTPVRLRRIKSEHSSSSD PVITAEVPVFCPHESIDLDEEEQGIPTPRKLRDWERRLLREEREEQKDEDASFGRHLG ETRSAKEREPAQGPDALPAAPCVSPNKSPKTRKDRVPHIRPGWTRYSGIADVAEETFE SFYSPEPPRPGMRPPRQTAAQGRLHSLLHEGSPNKAGAILPPARLRRAKVKVRCSQED TENIAPGEEGEQDHEGFMKQSRTAESSTESPLRRKPQGKDSLPRPSRLRDRPLAELRP EDFKVNPRSNNGYKYAFDEVVRNREERAELAGCTDPNCCGKKFRAMAESELSAAGPGI LSRVSDIKLMENYLGHDAYRLVEMPLEERQEIWLKAKTQDLADRYGRHRHRFARRPSP PGYWNPDFPSTQEIRASKEEAQRLEREVVEERWREAMRGGKWLFRDE MYCTH_2302790 MGPAPAGGPLPRRGGRPQHYPPAHAYHHGHHSHHNHPQPHVSQP MYPAGYMAAPYAGAHYYMPPPYQNGAMPGPAGYMPYPNPGYVRSPPAMQHFVPMQQSY GRPPQHSPIVSSPYHPPPPAAAPVVPPMPHTPSSTHSHVLPPPMTPPVQQFHETAVQP QMPVPVQLPPQPQPEQPPQSEPQPGSRPVSQQEAYPPPPPPQQASEPATKPSPTPSVQ PPRQPFRPPLPWLSQPDLPFPQRTSKLRRRKKHLGADAERVELPGQHAPTTDAPVEDP VARQPSEDAQQAGGSTPKAESKTEAPATRSETPSTQDQPSEPAVSSAPTTPSSAQPPK VSAATPSTPAGVKPAVPAVPVVPALPKASPKEAKSSAAVEKAAPARAQAAVAPAEQPA EAAKLAGEKVDGSAEVAQPAPAWSKPKLWTGLFSKPGSSVASTSSAAAAAQAQTNGNT AEGSTVVPGPGGFAKANANSLAQALQAYRPGIPDRLAFLEPRGLVNTGNMCYMNSVLQ VLIFCIPFLDFLDQVSKKAAHSFKSETPLLDAMIMFMREFKVIDSATSAEQLRKRLKS EEYEKFGEPFTPEFVYDAIRTLPRFASMRRGHQQDAQEFLGFLLEGLHDECVQVMRTV PASTASTAPNSSLPSPTSSRANDASEGGDDWLEVGPRQRSAVTRSSGHSNTLSPITKI FGGQLRSELRVPGNKNSVTLEPYQPLQLDIGSPEVRNIIDALKGLTRPEVLHGDFNSP HGKDVRATKQVFIESVPPVLILHLKRFQFDAEGYGGTVKIWKKVGYPLEFEFPREVLS RQTRNSILAENSGTPRYRLIAVVYHHGKNASGGHYTVDVRRQDGREWIRIDDTVIRRV RDEDVAESGAEEDAGKIDGRRDAGAAASGNRFLGIDDEDTGDDDGWKQAAGGKKWSSV VNGASTASSNGQKAKQQHKESMKENKVAYLLFYQRI MYCTH_92310 MSAHEKVVASDKIWASLITKDSYLPGLLTLAFSLRRVGSKYPLV ALHTGTLADDTVRALAERGIPLQRVPYIFPGTPPPQAGAVVGTDGRNGAAAKRGGSAV ADREADDDDDGGSSNSTTTTTTTTTTTTTTTTTTTTNGNSTNGGGSGSRNGWYAHDPR FRDCFTKLAAFSLAAYSRIVLLDADMLVRRNMDELFDLPLDNENRLFAATHACTCNPL HFAHYPRDWTPDHCAFTQQHADPAGAQTSGGSPDDGMGQLNGGLLVLAPSSSSSSAGG VDIYRAVLDALCGPEPTPPERLPFADQSLLGLLFAGRWVALPYVYNALWPMRRRGVHR AIWRDAEVRNVHYILTPKPWEKKQKKKEEETKVKAKAVDRQEKQNGGDDDDGAPNGRQ ARTGRDDGGDGDHHTDDADGTDDDDDVLDRWWWEVDAERRAWEAKNGIGEQRG MYCTH_2302792 MDAVLRNFKLIPCPTGDSCTKANCPWQHPRDQSTPTKQADGGSQ DEDGPRKRRKVSSEPASTPDQPTPAKPQPSTAKGPVSPPPLKRKQPELPGQPAAGATP TKPSPNSVPSPSTSKGPEQGATPRKVTPQQATPQKVEPRKPESLNPRHLKTAAPAPHD FRYKALKLLHEQFQRLNNELKKDAKEDEQQLVLSAQELIWLALDEEQKMATEKPSIYQ NVIKNRIMTYKRMTVGQWRDERVAERKKKEAAATPGSNTPRKPVLGPPKVINTGLTPE QEVKFLVYLQTPIDKLAQWGYVPSAPTEEEISKAREGEEASLGYEVCDRCRTRFQVFP GRREEDGALTSGGKCVHHPGKAYYPERSLGDTEKPSRRYRCCQQVVGESAGCVSSNTH VFKTTSPARLASIIPFAETPPNPLAPKDRAVCFDCEMGYTVRGMELIRLTATSWPDGK ELLDVLVRPVGEILDLNSRYSGVWPEDIVNAEPWSGEEDVNSGPQEEEDEGGDGRAPE GGKPRARKKMRIVPSPVVARNLLFSLISPDTPLIGHGLENDLNAVRIIHPTLIDTILL FPHRRGLPMRYGLKMLMETQLNKAIQVEIEGEEMGHDSAEDARAAGELVRLKVQEKWN AMKGEGWRLVNGEFVPPGGRKDGEGKLSEAFLES MYCTH_2302794 MAARGLGFIYSAAVPAAVGYGLIQASMYDVKGGTRAVIFDRLSG VKDTVVNEGTHFLIPWLQKAIIFDVRTKPRIIPTTTGSKDLQMVSLTLRVLHRPEVQA LPKIYQSLGQDYDERVLPSIGNEVLKSIVAQFDAAELITQREAVSQRIRQDLMKRARE FNIALEDVSITHMTFGKEFTKAVEQKQIAQQDAERARFIVEKAEQERQANVIRAEGEA ESADIISKAIAKSGDGLIQIRKIEASREIAQTLASNPNVAYLPGGGKGTNLLMNVGRP MYCTH_2302797 MRPTQTLLGGGGSAPVGKHGNFLGNWGNFGGMKQKGIVSYGIAP NRQHPLAGAAHDAVFNTWRRFSSQVLYWAPPMIAGYYLISWAVERNHYLNSKQGRAEF GSEE MYCTH_2302800 MNSLNIISARVSPPPSPAPSRSNSLNALGLAVSSDADGPRQNQT ESALGNVEEKDGLVAEPDDFRLESTPIDDRSHGYGVDDTTPVIPQAKEISPRGSMWVL YPKRIANAFVDSIRWMLSTLTAPGVYLYACFCDENGHFAPLQQLGKLFGFYGGNAKRM ALDYNEGIAASEKRRKGLDGSASRSGRGKNRALRVTGQSGSSSSGLSSESETDPDRKR QGGRRGTSGKHLRSKSLPDSDDAAPAQRSIRIKLSSDEALRQRKHRKSQSTGIRESSD SGDAIETISAQLKSPTSPSGALTKYPKTPAPPRPLIPRRQPSYINKNVEMTDVRHQKT LILDLDETLIHSLSKGGRMGSGHMVEVRLNTTYQSAGGQTAIGPQHPILYYVHKRPHC DEFLRRVSKWYNLVVFTASVQEYADPVIDWLEAERKYFSARYYRQHCTFRHGAFIKDL SSVEPDLSKVMILDNSPLSYMFHQDNAIPIQGWISDPTDSDLMYLIPLLEGLQYVSDV RALLALRGGEDGQHMA MYCTH_2302804 MSLHYLPAVKPSAIALGTVFNHTAELAVLTPLFGQTYQRAKAAN TKEEFLRSREATGAAVAWGTALVGSALQSYGVGALINATGTLSYKGAAYLGGLIFAAT SAPTFISQLFVEKRATEQVGVSILAKLFETVGLSLFLTWWGTRTNPFEHSGAGGTPLS RNAFRSE MYCTH_2091453 MAAEDKVEVVDDKKLKKEKKSKDKSEKKEKKRSESDGIRKEKKD KKKDKARQDKLARALDAHLQADAAKSFEAQDEQDDVDPEDIIKPAEELVPFALPLADE KTHKKIYKLIKKGAKLKSIHRGVKECEKAIKKCPPKTAASGETPAPGLVIIAGDISPM DVIMHFPILCEEHGVPYLYVRSRADLGVAACTKRATSVVMLKPEGKKNAGGEGGAKDK KKDADTEMEDAGDDKKVSAEEYLEAWKELVKTAEKQWKIQVQPWVKGTHPLQIAERER ARQAARA MYCTH_2302808 MAPSQATHVPNLDGLIKSFGSRSLEASVESLIFLLKRRQVKGDE CAKATAHILRQVVAKSRWNDVDQLLFRVQSTGSRLVRAAPLEPVIGNVVRRVLGLIRD EASEERNADDLVSEAASDIQSLSPSTHPPPQRPAPVPVPVPVRPSPSAISTGLQVSKS MFNLLSVADPGDSPITGASTPMSQAQPASLHALRSEVMDGIEEILDEINQADDQIAGF SEIQIHPGDYVLAYQPTRTVERFLVKAASKRKFTVIIAGLEYPDGTAEAPYAALRKKL NAAGVNTINLASNGLMAYIPRVNKVIFSAKAVYQNGGLLVDSGCCIAAQAAHEYLKPV IVLCPVYKFCPEDPSDEVSRGELGNPSSYVSYANGPAVEALDVENTVTDYIPPELVDV YLTNLGPQTRHHLASILADHYKTEDIGFSMQLGEP MYCTH_2302809 MPSHLEDVPPPPYTETDIFSAPGRDAHGADDASRSTTSTSGDVI YTPPLTPHSSHQSNFPGDADHGPSSSAAAYFETRPAPPLNSQSGIVHSITLTPDSSPD SLPYPAELAARDVRLEDWQTFVNHLLPYHWTTTNEQVIERKMRAETMTTTNTNPGAGD DARSQASSRSHAEAQLNRIRPAGDHPVDASQHRQGVESTVREWNDGFFGPRRISIRVD FEETSPLGSSGNHNDSGQRGLADLPPPQPYEDEKRPPAPPGGWDPSFDQPNPPGSGTT EEAPRRGFFATHFGGGGGGGGGGGWGRGPGRGRGGFRFAGINVEPDRVSIGNKIVADG REGSLRIGGFVADGNGIRLHGQPLFPPGGPGRAGARAGAGAGAGRGPWGPPGWGAGGG PRGFGCGRGGWGMGWGMGRGGWWNQCEDGGDACERGRGEHRGPGERHRFRSRSGSVSS TSTSTSTRSDASSVSSESSVGSLPDYDDLRDTQLPVAKQCLQESLQRPDQHITKEQVR SIKDKIKAAKHSSSGDPAVMALDRAALRREVKDLLRDWKQLKRQQKRARRQLRRERKQ QRRQEKRERRQARREQKRAAKEMRREARRRPPPPPPPPPPPFGMPPMPPMPPMPPMPP MPHHPPMPPHGHPHHHQHPWFNPPWGGPARGAPGAWPADDPDYRPRSAGPNDEGVEPA PGAYAASQAKYQAAWELEAKVAEKEAELLKLHERIAEDRASSGGGGGGGGVEEKGRTG PSKMEAEAEAVEREIDELAREMERLRTEADEEFARELAAEEERAAAASAAYNK MYCTH_114738 MDIRLLRASDIPLIQHANLENLPENYFLKYYLYHALSWPQLSFV AVDVSRPPKTPYDYPKIVGYVLAKMEEEPADGVQHGHITSLSVMRTHRRLGIAEKLMR QSQLAMVETFGAHYVSLHVRVSNKAAIHLYRDTLGFKTERIESKYYADGEDAYSMKLD LGFLREQIREQQEEEEGKEEGKADDESKGSKKKDGEQGQNGEYADEGEPVGEVGRDPA KGSKGEQKIKVKVGRGLGVGELVEKVESKHS MYCTH_2302811 MTRPLEGKLGIVTGASRGIGAAIAENLASKGCNLVINYTSSSSA DLAASVAEQLSKTYGVRAVPVRADVGTPAGAAALIAGAQQHFPPPSEGARFQIDIIVN NAGIARNALLPQVTVEDFEETYRVNVLGPLLVVQAAQPHLPRDRSGRIVNLSSVSSST GFVTQSVYGGTKAALEAMTRTWARELAENATVNAVNPGPVEGPMYAANTPEFLDGIRG WILHTPLMKAREGVDPDDVVRDANETGGRPAYTKEVAGIVGMLCGEDAGWCTGQVVCA NGGMLMH MYCTH_2302812 MATTSAQPSSQPPPPSSSGDPFDTLLTLEDQFYTEGYNQGMADG LVAGRTEGRQLGLERGFQKFVEAGRMQGRAIVWANRLRLGRGHGRGQGAHHGAVGSAN PAEEAGAGGAQARDGAAGEGDRGGVGRGSGGGGDREEEEKKNNGEGTAPPPAAELSLP PLPDNPRLRKHVTTLYALAETESLSTENTDEAVDDFDDRLRRAQGRFKVIERMTGEGD SAKSKGTDGHGHDPGSRAGKGEGTTDI MYCTH_2302815 MASKAAASAAGGVVSISKKYTLQSTGIWERIRRATAIDPNRSNG VPLNPYNRNPAPGSNDPLKYDDPVTAPAGDIADNPYWKRDVRRNYPRPSVINQAQQVA LLTVGSAAQPRVELIGEEGSKALVAAEQSGKELGVAGYLENSGVEAAKRVLEMTGGLP PLPSGQSLRGGKWDVHKYSLNSEQSYGEK MYCTH_2314920 MPMELRKRKEAPAPPPPVRRKMTKTAKTDTASKAKGDAEEKAAP VAASTNGSSSSGVPTVGDIINLDGFGGEIETNDGTKTTLKSLVDASKAGVVIFTYPKA STPGCTRQACLFRDSHEALTSASGLAIYGLSTDSPKANTTFKTKQKLPYPLLCDPQAS LIGALGLKKQPRGTTRAVFVVDKAGKVLALQPGGPEATVAVVKKLVEELPSGSASAAP AEQKVKAEQVAEKKEEREEEKEKEEKEEKEKEEEKAQKKEEEEGAGAENKSEDAPKAE GEGQGESKSEGETKDKDETKAEGEAKATANGEEKKKE MYCTH_2302818 MSGNINPRTIMAPVAAFTMAGVLFLYTRSSIRAARSNAKSRRED ERFRRQQHQQHQQREEAGR MYCTH_114734 MSHNPHLSPHGQAAPASPAEYGVALPASRALSQSRSADSPSEGA GSAGLAASEAMPNSTKLTFKERWAVNASESQFNALAGAIGGFTSGIVTCPLDVIKTKL QAQGGFARQGSRHPRIYKGLFGTASVIWNQEGIRGLYRGLGPIIMGYLPTWAVWFTVY NKTKRLLGEYQTNSFVVNFWSSIVAGGSSTIVTNPIWVIKTRLMSQSTSHDRTQFSLF PRSANTPTSRPALHQPWHYKSTWDAARKMYTTEGILSFYSGLTPALLGLTHVAVQFPA YEYLKVKFTGRGMGAAVTEGEDDKAHWFGILSASIMSKILASSATYPHEVIRTRLQTQ RRPIPGHEYMEGLGGVQPGVNGASQQPQSGPKYKGIISTFRIMLREEGWRAFYAGMGT NMMRAVPAATVTMLTYEYVMRHLNLARLGGKEKLQLQQLEQQTFPGP MYCTH_2091473 MPVAPSLYSAAVKRPWLMSLLKPLASWYTNAAGYRQMGLKADDL IVEEDENVLKALSRLSPKESYDRIYRIRRATQLSLQQKILPKHEWTKPEEDVPYLSPI LEQIYAENAERDALDTMTVLKKH MYCTH_2302824 MFFTRSRFLLLIALASIIVLLWRGIRSSAPEMQRFYCWGPSKPP MEMTPNEQAAWSSHLNTPVIFNNHAPLEINSSTIQYIDLNPIKSTTRAIQNNERVLIL TPLKDASRYLSKYFELIAKLTYPHHLIDLAFLVSDSTDDTMAVLAAELDRIQKRPDNV PFRSATVIQKDFGFTLSQDVEDRHGFAAQAPRRKALGRARNYLLYSALKPEHSWVYWR DADIVDSPDKIIEDFIAHDRDVLVPNIWFHRYENGRDIEGRFDYNSWIESDKARKLAA SLDKDTILVEGYKEFDTGRTYMARMGDWRNNKDEEIELDGVGGVNIIVKADVHRSGIN FPCYAFENQAETEGFAKMAKRAGYGVYGLPNYVVWHIDTQEKGGNL MYCTH_2302825 MASDAKIANSASQPLPRSSSATRKRKASLQAPAAEKPADPPSTA RKRRAKGSDDAPETPTPVAVSLIAEEAHTQKPKPAAIARLADPNRTNALLRSPKTSRI IVPTPVEAVPSKLRDGNKSTGPAAAAAASEAAVTTTTTTTTTAAATRPTTTANLLEEA CAHLIKVDPRMKPLIEKHYCRIFSPEGLAEQIDPFESLCSSIISQQVSGAAAKSIKAR FIALFNNSNNGGGSNDSSDNDNNNSHTAGAGADGVDGVDGVDGPAVAATFPSPDEVAA MPLERLRTAGLSQRKAEYIQGLAAKFATGELTAQMLADAPYEEVLERLTAVRGLGRWT VEMFACFALKRMDVFSTGDLGVQRGMAAFVGRDVGRLKAKGGGGGKWKYMSEREMEEI SDRFRPYRSLFMWYMWRVEETDVSTMEK MYCTH_110821 MFVKHLLPAFVAIGSVAAQSSCSSKTTTINSQDDVSKISGCRKV EGSVVLGSESGATIDLTGPREITGDLIVRNNGQIQNLQSSSLESIGGKFEINNATSLN VISFEKLTSATTVEWVSVTRLDTLTLGPLTKAKSVRLSDTFLNTLDGFALSTVTDLKL DNNRRLSKYTSELTSLDGQLVIQANGLSLEVDLPKLVWITEMAIANVTKFSIPQLQVV NGSARFDSNFFETFSAPNLTHTEDGDISFVGNGFLKNMSFPKFTDLNGGLLIANNTGL DRVTFFPKLEKVTGAIKLRGNFTEISFPSLDNVKGAVDISSTADIRKSCEKLSEFAPR SQGGDGKIEGVFTCTANNDKANEDTDQSTSGSGQVDGSDENDENSASGVVLNAALFGL VAVAAVASAL MYCTH_47994 MGINLDDFARKLTANHSRNKELSPELRVAICALIAAGRSERSLA SLFGVSRHAIHHAVKLWESHNTFESRPRTGRPRVLTRKAKRNTARMVKNGPTFDHKSP S MYCTH_2302831 MSSKRYAFVPMDDEPAPPSRDDRRERRDRKDRKDRKRDRSRSRD RSTSPRRHKSRRHDGDESPRRRSRSPRGDRDGGSRTGRGDDSTRRDDSRRDDDSKMSE LRLKSRQQYLAKREAEKLALLRKQVAEETAELRSGVRLSEREKAEFAKNREILRLAEE RARIDDYQDGYRLPDQYGVDSKKKEEALYKRHVERDAYGNEKHVTEYEEWEREQTVKA KAQIQSREREDDGEYDFLLDEDAITFVRDAAKHAQPTDGLTQEQRILKEKIDAAEKAQ KTIQEVRKSLPVYAYRDAFLDAVKEYQVLILVGETGSGKTTQIPQYLHEAGFTKDGMK IACTQPRRVAAMSVAARVADEMGVRIGHEVGYSIRFEDCTNDKTILKYMTDGMLLREM VTSPTLEGYSAIMIDEAHERTVHTDILLALIKDLARARPELRVIISSATLNAEKFSAY FDDAPIFNVPGRVHPVETYYTSAPESNYLEASLVTVFQIHATQPEGGILVFLTGQEEI DRACERVEEIKRKLGSRVPEIIALPIYANMPSEMQAKIFEPTPPGARKVVFSTNIAET SLTIDGIVYVIDCGYVKENTFSPVGTTGQSTLAVVPCSRAAANQRMGRAGRVRPGKCF RLYTKYAYLSEMDESPTPEIQRTSLSSVVLQLKALGIDDLLGFDFLDPPPTELLIKSL NMLYALGALNSAGALTRVGRQMGEFPTEPMLAKALIAATQEGCVEEVLTIVSMLGEVG TLFFRPKDKKVHADSARARFTVKDGGDHLTLLNVYNQWVESDYSPIWARENFLTQRSL TRARDVRDQLAKLCDRVLEGSTSSCGGISNMRPVLRALTAAFFLNAARLNRAGDGYRT LKNNMTVYVHPSSVVKSMDPPPKVIIYHELVVTSREYVRSVIPVEPRWLTEFGGHYYD KKDVEVLEAKKLPKERKY MYCTH_2091486 MATGLTLQTAIKGAPESTAIIVPGKPALTVTYKDLVSETASFRA KLAAIGITQGSPVSIAIVNSYEFIVSFLAASWQRAIAAPLNPAYKQDEFEFYIDDVKS AIVLVPRGAYQSGSPAVKAAQKFNAAIAECYWDAANKEVALDVKELGQLQGKPKQPVL TPRPDDVALVLHTSGTTSRPKVVPLSHRNLTRTMHNIQQTYQLTPSDRTMLVMPLFHV HGLLCGLLAPLLSGGSMIVPTKFSASDFWRDFITHKANWYTAVPTIHQILLKNPVPSP LPPIRFIRSCSSPLSPTVFHALEKTFNAPVLEAYAMTEAAHQMTSNPLPSVGRRKPGT VGFGQGVEVVILDEAGNPVPQGKEGEICIRGENVTSGYLNNPSANAAAFTKDGYFRTG DQGKKDADGYVIITGRIKELINKGGEKISPIELDNVLTRHPSVSEAVSFAIPDEIYGQ DIGVAVVLKPGVRLSEGELKSWVAEKMAKFKIPKKVYFTDVMPKTATGKIQRRIVAET MQKQEGRSKL MYCTH_2091488 MSVEIDPLELGFRRPFNAEIAQILRIKNSNSSPVAFKVKTTAPK QYCVRPNSGRIEPGHEVEVSILLQAMKQEPPLDAKCRDKFLVQSVIITPDKEFTNVQH IWDGIEKSAIQEKKIRVSWLPPASEAPSHPVATPVRQAAANGFDATPDTAPPAYSSPQ DETTILEDTTAVSHAGHNEPESTQATQATAFAAPATNVATTVKSAAAETYEELKEQLA KAEATIASLKNEVASGLRQRKTGAASEDSSAEGAKLAQAERQTDQPPRPTEGVPVQVV AVLCLVSFLLAYFFF MYCTH_2302839 MTKSDLDMLLEMGFEQARAELAVKKTGGLQQALNWLEENQDKPL EELQASQAAGGGTEGGEEEGAASTIPPGATAASLVCNECGKKFRNRDLASFHASKTDH TDFSESTEEIAPLTEEEKKAKLEELRQKLKEKRANQSIIDREEARRNEQIRQKATRES QDIKEELQRKEQMKEAARKRQEKLEDIEAKKRIKARIEADRLERKRREEDAKAMREGK PLPSQTAPPTALATPAALSSGSSAATARLRLQTKNGNLVKSYPSETTLFEVAQQIEAE LGAPVQRFSLTFPKKTFEAGVDFGQTLAEAGLAPSAVLVVN MYCTH_100127 MATEAPKKMEWLVVVPDFPGAHEKRIAVRPQHFANLGPVKESGV FQMGGAVLNEVPTSSDPKDFSFAGSTIVVLASSREEIKEILRQDVYAKEGVWDVENVS RFPS MYCTH_2058974 MPKDERERQILDKLTAIQDKLLLLKRDRTQYIRSQDVMVLHDQL VEQVRQLNEIRKGEHKGENRLDKVLESCLQLISLFFMTIGRTSEVPAAYALTSTIKRL LDHLTEARLFSAKDLTSISNTLDRLEGILANDEPHHSPYLAELLAHRCARCKSTLAKL REQLDQLDEPVQVVWERLISILRSMSLANTKSKFSTTEVLKLKAKLREIEESMVDGKF LGEDGQELRGSEAVAELLRRCLAWSDIVLERKGVIPDAFKDKYNILINIRNDLEKLSI TQAWSLRETDLYDFQRQLDKIDEARVDGNWLDQDGNPAELYVQRTLLYLIRRSYGYIY HLMNSSEPVSEALLPIYNQLQTLKRCLIEVKNSGGVSSVRELYPYSMKLNSIDNMRVD GKFMVGDDIPEGQGSVSELLAECFELNYELRVAAEEAAERREDEESQSSAPQTTAS MYCTH_110817 MSNAELATSYAALILADDGVEITSDKIQTLIKAANVNDVEPIWS SLFAKALEGKDVKELLSAVGSGGGAAAPAAGAAAAAPGAAAEETKEEAKKEEEKEESD EDMGFGLFD MYCTH_2302851 MPEVYRESRYMRERDTSPSDDEGYRRTTVRRYKVTPDRVERIER EVDVVEEERRSRYAPVRRSSRDEIVEVDRRLERMYIPERPRSAYDPSPHSATYVERQV IERERERDPRDDHYARVDRVEYRGDGPRSAVVERERVVERDERVREDDYHRSIDRDHG RVVYESTKEVERVDRDDVRSPRDWDRRSYWDDERETDVRVEKRVELRDSHGGEVRLER RVEERRDEGFSSGGADVERWRKETEYYEPAPPAPAPIVIRQRAPEQKIILQEAPPATV VIREQNADNRELALARQGSRDGEYYYHRESREVGPYRGERREEEYAMARYDDDHRHRH HHHHRHHRHHHRESYSDGGDTDDEYYVRRSGSRRERSSSSSPHRKRHIAEGALAGAGI SAILASRRDSHGEYKQHRGRKVLAGAALGALGTEVARRAHSAYQERYGDDERERYRSR SRSRSRSRPPHSRLKTGLGIAAVALAAAGAAKYYQSSKIEKEEMNRGRTLHRDSDTDR SPSRKRSKSRASSVAKAGLGTAAVAGLVQHYRHKSKSRDGKSRSRSRLRTGAEILAAG LAGAGAKKLYDKHKEKKEEEKHDREREREFSDDEYYDRDARDYHRRSRSRSLSRSEPM YPDNDSSVADPELGMVEYGAQPLYADPSYQDDRGAASEGYESAAEERSRRRRRRHSRR RRSDDDYSADSESETDRDKKAEKKRSGSRLRDLAAGAAAAGAAAIGLKKLNDRKEKGK EKEKDDKDRERDRERNREKERERGRERDRRRYADEDPADENETYGRRAPSPPHASGGY YNPPPAATQGAPAPTNGFTQHPNIATTNLHQQYAPYPPDSAYTAYAPPVPGPPPNSAV GTGAVPPPMAPPPNPTAPEHVSEASRVGRSTPEAEAAVRSAAGEKKDRVKPANLFTPP ANTTSETDAPDTPRTSKSVVFVPLSPKSSATLRRHHEEQGAKSDAVEIASESDDNRIF SRRRRNSDPSADRPLTPGRRVYGKDASSEPETDDEEAIEMLPDRFDAEGRPLDGSSPI RGRGSAWHSRRGDFEYRSPRGANGMSMRGEWGVAGTDAEAVERIVRNVTGVLEGRGSW LGLISGILSGSLLSGGDGTGEERRRLSHRERERSRDRDRDRDRSRDDRDESRRRRRRD DDGDSRRRLRRREEEEDERDERGERSRRRWKADERGESSRHAEDKRARGREREVSDDD DNDYYYDDYYHEERKRRRRRREEELYERGMGRSQTWA MYCTH_2302852 MRLLKTILLSLLTLAQVALCAEDYYKVLGLDKHATERQIKSAYR QLSKKYHPDKNPNDPTAHEKFVLVSEAYEALSDPESRRIYDQYGYEGYQQRKQQQGGG GHAHDPFDLFSRFFGGGGHFGNQPGQRRGHDVEVKVGISLRDFYNGRTTEFQWNKQEI CDACEGTGAADRVVHTCQACGGRGVRTVRQQLAPGMVTQVQMQCDACGGRGKSIRHRC KVCGGERVVRRPTTVSVTVQPGMADGVRIAYENEADAHPDYVAGDLIVTLYEKEPELE GEGNPDRVDGVFFRRRGDDLFWREVLSLREALMGDWTRNITHLDGHVVRLGRKRGQVV QPNHVDTIVGEGMPKWHENGDSVYHKTEFGNLYVEYTVVLPDQMESGMEKELWALFEK WRAKKGVDLHKDSGRPDKPIMHDEAHEHEEL MYCTH_2302854 MLPPALASLVDPFLFMGLALSFLPSTVLSALLARDFATLLSPSR LRSAWFGRFWAHVGPTVRQNAEKNVVPLLQGRVAGGAIIPPPPTTPAPSSDSDAAAGA PPHPAVSGTVLEVGPGSGMWVSLYTPQNLPASTSGGDGGGGGGGIRKIYGVEPNPTVH SLLRRQVAAAGLDDGTYEIVPLGIEDLAASGRVPLGRVDCIVTIMCLCSIPDPRRNMA QLYRFLKPGGRWYVYEHVRCFHWQGWSMRLYQAFLGIFWPHLIGGCEMCRDTGKWLTE VAPWSQVDLCQLQGEPWYFTMPHVIGVLTK MYCTH_2302856 MTTEETWTEIKRAVKERDIDDIKKAIQIYVKSSPDTTYAELERA FRAQDVPVWLIAIEKTLASTFVNMDLQGNLGKKYTVTYRFQWNPPRPRDRELWPKDVD ENLERLADAGEVVYGGLPKCTNCGEVGHIAKRCLQDKVEQPNRFEITCFNCGESGHRL RDC MYCTH_2302858 MSWNNDVSAWGGGGTADNDSSWGVGDTANDKSMWGNGDTAAADS GWGNGNQNGDNSGDANGAGSGDTHRDDDGNAGGNRACFNCGETG MYCTH_2302859 MSKPDNLPEMEYRFLGRSGLQVSAISLGGWLTYGGHVDREGTFA CMKAAYDCGINFFDCAETYADGESEKVMGEAIKKFGWKRNDIVISTKLYWGDAFSDRK VNNRGLSRKHIIEGMNQSLERLQLEYVDLIYAHRPDRHTPMEETVRAFNHLINTGKAL YWGTSEWNADEIAQAWRYADKLGLIGPVMEQPRYNMLERVQVEREYAHLYREVGLGLT VFSPMRQGILSGKYKNGIPDNSRFAQTKVEFIAGFWKQTGKAEFQAMADTVSKLEPIA ERLGIKQSVLALAWVLANPNVSSAITGASSPEQVYENVEAVRAYKKLTPEIMKEIDDI LNNKPPSVTMRY MYCTH_2314931 MARPATEPTNGVSKRKGGANGNAASSGAAAAEAQVDDATYKDFF WTYTEEPHRTRRMAIIKAHPEVTKLCGPEPLTKYVVAGVVGLQLLLAHLLRDTPFWSW KFWAVAYVFGATSNQNLFLAIHEISHNLAFRSPLANRLFAIFANLPIGVPYSASFRPY HLTHHKSLGVDGLDTDLPTALEAVFLDSILGKAFFCTFQIFFYAIRPVTVYRVPFTWV HWVNLAVQLAFDYALVRLAPGIFSAQSLLYLLLSSFLAGSLHPTAGHFIAEHYVYEKV TPEARQPANRIPIPETFSYYGPLNLVTYNVGLHNEHHDFPAIPWTRLPKLREIADEFY ADLPYHRSWSYVIWRFIFDDQVGLRCRVKRKQGGRVVGGGTAVAKVADWKEDEVQA MYCTH_2302868 MDKSPNVPAGSSVAPGGSQSANPTYSRESSASTATITPMTESGP SKFTSQASATSTSSSAAPSPLASREPSPTRPLSRTTTSRTSSAHSGIRSRKNSQQDLS PTRSAKQQPNPAPAPGAKTLSSANTPTLLPSASDLSSNAGAPVKSPTTMEHLRESPRW PVSPRLRSPPPILHKPNTGLPRRPEPETPLINVQRATPPTSQQQQQQQQPPADLPSDT DAEDLHIAIGTRTPARGGGGSSSTLETVQEVSPIGSPRGPGESIQEKLDDSMVSETPQ ADSVGLGLAPNAEGRTSAGDSGSETDNMKASRRSGAASAPPLTTRQSSTCIKQGVTKT KTGEASLQSMTVETETVTSIPQAPLVPSSGAQGSSVSLRTKGSTETIRPKKEKKRPTR KQPTVAAGNGEPPSPAVPLLPRLRHYQSMGSVFSWTEQWVSPATTCHPDEGESHSRQT HPRRQSMVVHQMSSLLTRHRLASSKADIFEAKVASAVEEANSSDSDETFVYDSNPPDG RDRPMRFHSRTPSATSMASQIDRPGMRSIHAVMESSGPAVTVKKSMKFVNSSTTNGPS DSGYADDDGRGTGRSNTGSARGTARHHHHFGRWGRNGGGNGHPSLFAEHGPFGSSLAA GNPNSRQSSTPPSPRFANRGAYGANGKRGTHLSPGYDFDDTTTGADDETTPLIGSGTV RSLRSGRGRRGGHSLRSIEAQTYHRSAPSVLNRFASCLVLTVMLLLVVSGVIGFMFAT SQPLTDIQLVSMDHVVASQQELMLDLTIKAHNPNVVVVVVDSADIEVFAKSPHAMTDS EWWRHTHPGEIGPPPPRTPDGDGWDTATQALTVEPDQSPPDDSAPNMRLGTITGFDSA LSFEGSFFHKGISYSTGEVRLKSPGNGTYGGQERWERIMEDEFQLILKGVLKYTLPLS QRVRTATISGKTTVKPNAANDPPGLRPNGTDGTPAPLPSDKGGSEVTISAAKP MYCTH_2302869 MQQQVTWAEPVPFLQPQLKKPPMMLPRSPFLPPPAAVRSMNMGL LSLLTGR MYCTH_2302870 MASAVKKPPATGHETPTGTPTGTPSRPTPRASMPSSTSSPSGAG VARTLSNRKGNPVSARAAAHQRRESMLSNSTTINGNGTGSPDIEREEALRAETVAIID DLKERLAQAESGFETYKRQAEVLQSKLDDALKEQAKLEERVHESEEQIEALTNEKRDA LRQIREMETIYEGERSAMMKEKEEMANREEEMQAVIQRLKDSLAQRNHDEDRPTRQSV NTSPSIDNGSFAPPSSIHRSDSRNNSKLLLQKDKLIESLRLELAEVQIKLVESQNQGG GRLQEVERQLMEARMANARLMEDNESYQLLLQERTLKGDFGANDLAYSGGASANQDAL AALEGRTGGTSLADELSGAHDEHAHEHDLETQRRLEAELRSVKDQNKALTLYINKIIE RLLQHQGFEHILDQSSDFKSAPDTNKDLPPPPPPKPAPGPSLLQRAKSIAIGGGSSSA APKPKPRPVTLTHSTGQNSAISNPETAPSIPIGLARSASTRRARPMSEQFTSVPGAAS IVNAMYKGPSTTDGPLSPSLRSSQAFFLPQGAGNRTSGGGGNFPGMKSETSSTSGDSA SVSTTGAGADGVSTPPSQASPPRSTHHHEKTTTFAGNKPRPLRLVQEKAEATANANAN RRQSWVGWAASAFVGKKDDSGSSGVGEAIKE MYCTH_2314933 MAPKKSKSDAQSIGAKLALVIKSGKVVLGYRSTLKALRSGKAKL ILIAANTPPLRKSELEYYSMMSKTAVHHYTGTNIELGTACGKLFRCSTMAILDAGDSD ILSDQQA MYCTH_2314934 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKRATVD DFPLCVHLVSNEYEQLSSEALEAARICANKYLVKTAGKEGFHLRVRAHPYHVVRINKM LSCAGADRLQTGMRGAWGKPNGTVARVNIGQILMSVRTRDSNRAFALEALRRSQYKFP GRQKIIISKNWGFTPLRREEYLEKKAAGRVKVDGAYVQFLSNHGNLEENMKRFPEAFS A MYCTH_2314935 MAFQQPTRQLPSRVIRNEVGTGDRSVPHPPQDQPAPNESQTWVL FTPGTDAGTTASYLSSVQDDQITAGRSRIGDLGSLDTSARSEFDLQAASEGVTESVAE EDAELDSLDSHLPEFRTVPGTYDQPEVVAHAAPVLPGHDGLGSFPFEAPAMSAEVQER MYAFERFNPNRVTHRADSFNLALLEPETEEAQDLERNRRIEAWRLEQSRLLLEDIRKE TRRRRLSEASLGKAAQQNNAVQQEAEGRSVADEEAAEINLSGTDWHDQDEPSPSGGAK PGFWNRIARTVIRDLLGIDDRLLAILFGEALPEDVDMVSSTPTAPQADARNPAPSGVG SESHLESDWQLRMLERISRELGVLVHHMSTHPGAFSTYTRVQHVALPYAGLPVIPEAP ADVADSGQKLDDSVPSMPQFKPTISRPVDALSARRPSEQLTHSATSASGATATEDQHT FTQQEWEQDLDIRLVFRYLRSRFSSSRQTSPSFSPGGTPHRTGSSTQDVAAKVARVRQ HHPLVSHAHAHAHTHGYHRTRPAVERRTFKATVPSSPVAVRHAPGSCASQSTRRSARR SSISSRPSSRHYWDIGGSIGTGSVIASTGPMGSWGEV MYCTH_2302880 MAATPFDVPAPGSAYAFDIYNDAQSHAPRAPAPALPGGPCNYTD STLPRCGCRRFWSRASLSSPVFQDAGSLAEVCMCSHHACFHEDAQPGQTQQEFAMANA PGQENQKPVVSRAPLSPVQLPSLHAPGSLGSSLDFNFLDFQASLSAARVEAANPSPAG DTHAGQDSPMPDTVTNWEELTRSPAGHARGLPPMPTQCLMSPPPPPSTSASSQARYLR PFAGKGLQTLSGVSAPRPESRRREHAEQADDPGQAPTEAAPTPEAAPSQDRPVSGLET AYQKLSERVDSHEQRLDRLETNSFSVAGHEDCHDRHENIDLRVTELESRVDEVEKILN DNGSVISSRRAPKQGGGGGADDATASVASVSTNATVLASNRAEVYNQLQQLQAQVNQL QAAALPSYVKPWELEVVFLPFPLKGIWIEAKEFANHRRSTGSNFEGWTQMPNTLSRAT PDPQSPKFQEWPGQGPESNWLLPRAFAAGRIIDQRLRSRGLIKTVLVRGPDARSVHLA IHDAFEDVLRISSSSEARSALAPDYPLTEFLGLRQAWVPLRKLHKDSRLRFLSPAEMA TPTLWDFTFLASSVVMKAAGAQRLYITQPEAYLQDHPLGYHAIEAGWTWQKLRQLSRV YPDSQSSADVPEADALEECWNWNDRLDGPPSVPASAISLRQSIHQRAASRRSSTEPSG QFYTGVESPILSTGHSHSHGGPSRSRAQSPLIQRDRKGSWPSSIRAGSLPPGAHPALL SPAQSRRRVSSHAALTPYERRSSPFVPRASPRLQSPRPGPVHSHNMPTSSTKRRFASR SPSLAPRNTPRWSRTSMSRSPSLAPGGLYGQNHHHHHRHHHREDENGRERRMTTPFYY ATPHSEAVPEFGYHRGGSRGPVALGNGNGDAGLRVYDGYGDEDEDMAEDEGFTTTGSS GTGDEGDSEMTDRPDHPGYHRHSHQNYHHRASGRRSVERQVGNGSFGFGTDGGDDADL DIDVYEDEDELDGVDTDAGNITDHHHHHHPHHPHHPPSRHRRSRSHTTGSGGGVWHGF GRQTPSLASQQQQPLRPEDIPWAGIEDPIANGGIDLEGDSNMSDGENIDPTSQSSLSL GDDDDRGGGQITIHEDDDDEDDDDELGGMEIVGRGQGQGQGQGRRKGGKREAEKTTNN NNNDDDDDDDDDDEDGDTSSQAPSEYSSKPGPWQIIPLPTTEGPSNRGVGGSELDVGM AQKQPHMMTRGGSGSGSSSRSSVMGFRIHEDGTAGPAGPESAEGLLDTQWG MYCTH_2302881 MPKAAKQKRAAASSGPYDRKASKAAAANIFRFDKDYGQHILKNP GISDAIVEKAFLKPTDVVVEVGPGTGNITVRALEKAKKVIAIELDPRMGAEVTKRVQG GPLAKKLEVILGDVIKMPQIPPCDALISNTPYQISSPLIFKMLSMPNPPRVAVLMFQR EFAKRLVARPGDALYSRLSVNVNFWATCKHIMKVGKANFKPPPKVESDVVRIEPLLGS ARPNIAFEEFDGLLRIAFNRKNKTLRAAFSIKEVLALCERNYKIYCSLNNIPIDESIV DSATATATDDGMDVDMDDDDGKDDGDDCDDGEDGEGEAGNEMDEGEDDDDMPTFFKEM KDAEAAKEAAKTPSKNPKSKVALVVRAKVDKVLTTTGLGDRRARQCDQNDFLKLLLAF HEEGIHFS MYCTH_78119 MSIRIVLDHPPEFYTNLDFIKGSVLLNLSRHEHIGAIIVKLEGE SRTALGVPSENPGIGIGGRERPAPSGDTLHENHKILYKVGQVFPDENARPAASPYILN PGQHRFPFQFKFPFNNACGNVEAMARIGGVVSTGGPFGIGFRVMDGTKQLMYSHVTKT LPPSFTGFPGEAEIRYYLKVTVQRPGIFKENWRYQMGLKFLPIEPPRPPKTNQEAYAR RPFTFHPRSPDPAAYQKKRSSIFGWPAGPSTPANGSPNLQPPAPAPGLSSGPPSPTAP PDPPSIEMSARLPHPAILTCNKPIPLRLIAKKLVPAHAEVYLIALQIDLIGTTTVRCQ NLINKEISRWVVMARHGLSILLSKPEDPVGTEFLVPDTLWSGSPLPNTVMPSFVTCNL SRDYQLEVKLGLAWGKPSPPPHASEIPQELHLPLHFSTIQVYSGLAPPPALVEAIRQG RGRTSHPTPGTTTTTTTTTTTTTAAAATATATVQPHSPQQPPELPPRPAQPPQQQPAD ALYPPQLGPGQVSPPYDDAPPTYEEAMAEEMTGPMFPPGAARPAYSGVTDENGPSSLD AGRGSGGEKG MYCTH_2302894 MNPGAQPRKKISRPSVPRPPAVQAAQQQQKQPAVLPSPAPSDDT SPGLSSALDSPKTNALSLADAHNMGPTSPSAPPTRPVTNFRFVYATAHTRDPQEAPEH GTVGRIDPVENYPPTQSHISQPPRSAAQVAGASYARESPPTGSGVAAPPLKRRRLDNV PPDSLQYPLLLSALEQHLQAWGGYEALEPTVEKPRVMLLREACRDNDGFFVILHQLFS IWSLNKREAYDCLPLNPPLVDQAFEMLETVLKKNELLSPTHRHWFVQFPVPAKQLAQW NNGQTVVQPIAFFLEALVNECGNMMTACVQRKYPFLVDELLGRLKCFSPVLQLILFTA CRRRLGVLDGQLGSSMEQAFREDQSRHRDANGQQTLVPLTYPGELEQRNSSLIDFYRL TVQAAAFRAPGQSDHRSQPLAGQPQQHQVLPPHSGPSPFRPTYSSTAPPTSSEASSAT SSPYTTAPRGTVGTGATQGVPLATNTPAYHHPNQASFVSNARYYPAGGPQDLQQRASW QLKHFLQGQQVGLQIQRKLSQLTQQTNQQQQYQHNLQFTTSLSSQTTFQPRAHLPPST TPQYHSSPQPAQAPQLQQVHYNTRPPHGQPQAPTINFVLNGARPGSAMGTGPSTPQSQ QGNTPQRQQAVGASDPLFPPKGTMIMRTDWPHDPTERKSILMSLHQAHVRSPKRVLKE GETARLYQAVKSLPVPPTAVPPKNTMYEFRFQVTEEQFARLATKSRRPGEVVPVVEHF DGALRWRVRSCMVQGSTGALSERDWVTLDTSWPSFIHMTLNHKVLDVRRKSHNGKDLP TEITDFVVRGTNVLMVAVHDSHGEKAKNCHLAVEMLETLGHSAVMDIVRSQGAIPEEE TLNTIKKRLTSSVVDDDDDEISFEAPDLSIDLADPFSAKIFTIPARGADCTHMECFDL DTWLSTRPAAKPTIKCAHRQVQCDCRNAAEPSNPDKWRCPICLKDARPYSLRIDAFLL KIRKQLEADGKLHTKRLLVKADGSWSVVLEDEPDDNEAEADSDGEGRAKAANTAAAAA VAPVAAVAAVVPKRQVEVIEI MYCTH_2302897 MAAEVLPLAQVKLPSGPSPVTPEQRYWRSFKNQKSHTSTASWPI SHISFPAATGATLSNSLVAATKLNDLFAVTSGPRIDIFSIRKREPLKTIGRFDSEAHC GEIRADGRVLVAGEDTGRMQVFDVGGGTRAVILKTWHIHKQPVWVTKWSPTELTTLMS ASDDKTVRLWDLPSNEPSRMFTGHSDYVRSGAFMPGGNSNLLVSGSYDETVRVWDART AGGAVMTFKHADPIEDVLPLPSGTTLLAAAGNAISVLDLVAAKPLRLITNHQKTVTSL SLASHGRRVVSGSLDGHVKVFETTDWNVVAGSKYPSPILSLSVVTAGAAREDRHLVVG MQSGVLSIRTRLSGAAAEKARDRAAVEAARDMGTAALEKLDAQKAKRKRAAMTNKSMD LLGEAVDVIIPTDAQGGRGRRPKLKPWQRYFRQGRYAAALDEVIDMTDEQYQPVTALT LLVALRHRSALREALEGRDEISVLPLLKWVSKYISDPRYLSICVDLSFHLLDLYSEHV GGSAELAAQFQSLLGKVSKEVEKAQMAIQTHGMVESLMFGAMQ MYCTH_2302899 MADLQGRKIFKVFNQDFIVDERYTVTKELGQGAYGIVCAAVNNQ TNEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPDNFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSIDPEENAGYMTEYVATRWYRAPEIMLSFQNYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHILGTPNEETLSRIGSPRAQEYVRNLPYMSKKPF AQLFPNANPDALDLLDRMLAFDPTRRISVEEALEHPYLAIWHDASDEPDCPTTFNFDF EVIDDVNEMRKMILEEVFRFRQLVRTVPGSTPHAHAQPAAPGQVPMPSGGPNHQWKAD EPRPEEYGTMQGLEAELGGR MYCTH_2302901 MSDQLSVLFVCLGNICRSTMAEGVFQSLAKKEPYAGLIGKIDSC GTGGYHIGEEPDDRTMSTLESHGITDYIHYARKIDASDFDKFDYIFAMDRGNLEDLQR IQRRKPNSKAKLLLFGEYSGTGKAEVISDPYYGGKQGFEKAYEQALRFSLNFLKEVFP NVEPPKL MYCTH_12812 MVQQCAQQRPVQNSEVYVLRQVPPFPPLSPPSPVTPAEDFDDGP VDAPSLALCGRRLAVEERLSRAVHVLATETAALQNLTHLYSTDRLARDGFSRAVDAIT SRHGTNGGKLVVIGVGKSGHIAKKLVATFNSLAITAVFLHPTEALHGDLGQISRNDTL LLITFSGKTPELITLLPHLDKSLPLILLTSHTRPETCDIIRHHRPDTILLPAPVHETE TVSFGVPAPTTSTTVALTVGDALAIVASRELHPRVASVFAQNHPGGAIGAALWPHQQQ QQQQQPPRSKDVRDVMVLLDDIPPLLPSDLASSPGPTGLDVLRAGYASPSGWVRLPDR DGAGRGGPAGVVSPRRIKRLSAEDMARPVDEIERWLVTPRSAFIPVAAGTSVQRAAEW VRELRAATTGASPGPGPGGTADGEKKDYGGYGDEAVVAVMENGECVGLLEVGRLLE MYCTH_114710 MSAHVPAWKRLGLKLKGPVSGEATTSSTGFNAPRASPAAQAPNA GQTRVNGSPASAVKRKLPSSASAPNAASSQTPNKKPRREDQSAAGQGTPSSLRKSVSF AEGTKDNTKDKAEKKKKKKKKAKKKKAKKTTDAPKADTNLEPSLHYLRQWHTARDSWK FNKNHQTLLIKYVFNGDKVPSSDIAIFYDYIRDLKGFVRTRLRETAAEIKQKDMEAGA GAFPATLKDKETKQKEYEETISRFLQDLQQQQQQQQKAKGSGKNANGKRTLDEVNYVL RTATPEVKQRLVKRIRAELVADVLSESEESTTSRATASTATTSTSSSSSASGREAVAV ADGTAGTRRGDGSQQPAKRRRLRNIRTDIGDDDDDSSSSSSSSSTNSSSSSSESESEE SDSEESSSGSDESSEDEEMDMAPGGEAAESSSSSSSSSSESGSEADTESDEERDKCAT QCCVGCHRGWQIPWNIAPNRAKRRLPPSS MYCTH_2302907 MAGNAGYDRHITIFSEQGRLYQVEYAFKAITAANIMSIGVRGKD CAVVLSQKKVPDKLIDPSSVSHIFQISPSVGCVMTGSIADARAFSQRAQSEAAEFKYK YGYEMPCDALAKRLANISQVYTQRAYMRPYGVATTLISLDSEFGPQLFKCDPAGYYIG YKGTAAGPKQQEALNHLEKKLKNKDCAPGDWKEVVELAITTLSTVLSMDFKKTEIEIG IVGGPRPDGKEGTYPGFRKLTEEEIDERLQAIAEKD MYCTH_2117668 MADGLNEYRTARVAELLSDFRTLQYYIAAAPCNPPNMDDYYTEG WAELRQCAIDGQHILNCAADITVPRANGGPEEQAKAELKQVLLDAYARRHEGQKIYLR QQAAQRWIDWRDQVLMGGRPHAGNQQQLGAIDDHLRAELANITDEAVYSELQTSDISL GRWTAEDPSLGAVQRWVRTRRC MYCTH_2302915 MSAETNQGEHQQQQRQPPLINFVLSFLLVGLAWGFTTPFIRRAA KDHRPGAHPLLDLPAVRRSPLRRRACAAFFAVVDLLRNPRYAVPLLLNLTGSVWFFLL VGRAELSLTVPIVNTVAFLFTVIGEWWVEGKVISRETMIGMLLSVGGIALCVQSKNAS MYCTH_2302919 MTTKAKALALNGMHTAGIFSDMSFDGPEIGTLVLVIDRAKNLPN RKTIGKQDPYCAARLGKEAKKTTTDIRGGQTPRWDQELRFTVHDSPDYYQIKVSVFND DKKTELIGEAWIDLRDIVVPGGGQSDKWHQLGYKGKYAGEVRIEITYYDSRPKPEKPA AKAKPPPPAEAEANPTAAAAGPRSVPKRRPLPSDPVTGKPPAPPQPAPEQVETPPRPQ PNPAPVPHQQAEYNPAPAPVHQHYQQPDQVRELGTPSRRADAPSQQYRTPERHEPYAA QHNQAYNSPHRQQPGPDRRESYDIPQISDPRAADDDRPPPPPAHRSRTGSNPPASNSF QATPPTMHQDVLRSEAHRNSVSGSYPGRPTYKAVDSAPAALPSNQYANVDQGPPARSY SYDPPYEPNRTMQATVEDVPESPESTNRRTSGRWPQPQPQPQSQSQPHLYRDYDMDAS PAPLNLSGRGSSESARYPPEPRYQANSNGYPMVAPEIPQRESPDYAPNYGRHSEPSLP LHRSNPEQRLVVTYRGDADEGANDYPVPPVPASLVPGIDPNISQEVSERINQDRRQPN QIRGASQQAMVDTPPRGRSMNDRYGNDPSSTPHSAPPTQNRSPIVYTNGPSTSSVNVV IKSRAYSPNPPRDPSPNPHQQHTIRRKSISPRPPVENERRLSGVPFSPDSYDALNPNA SSAALKDAASSRPDYREETGKIISHDGREIDPSDHLPMESWAPEPEPKQPTSTTASAP SRPSLSGPHPVPASGRRPLRIAGRPHSMLPTAGSSSSGVTFSGGITDHVESVTSSQPV ASSTGRSRLQKKAAYRQSTSTFTPVMSGANGPGPGPSSAPSVIRKSNSGEALHQDTSF TAPRPGGIPRGSTFDYVIDGAATDNHASPHHGHGTSGVYGTSPGSQRDLHGRRSHSRG HSPARGYERGYDGSGHLHQYSRSAGSINGFSAPASTSAPPPPPIPAKVPLALPPASSS SSSSPPLGSSPGSAGGMSGALQLHSSSAARRAGSGMEDGSGYAGHGYHHQDGYGYGVH GGGGGGGGGWSLSLEEELRQIDIGTGRSSRKHNAAGYGAGGRQGYGTVYGQQGGY MYCTH_2057540 MVSTRTFLFVALAAVVSAAPPCPPPDPPNSTVNYTLPSIGGGAP DLVPPADNLVVKKIAVGHGIQNYSCGSTTGDSIAAGAVAVLYDVTSFYPGTKRTGIRK HLWDSLPSRALWEQPLPLNKLAGSQFGADPVAPFPRNPAADLRLRLRGLPAAARFLGH HYFDIEGVPTFDLSAAAAGGGGGGLRAAVAKVDSKSAPATADKGVLGTGAVPWLRLDD DGRGGSRGVASVFRVVTAGGNPQSCSVAGEGVQSIPYTAFYWFYG MYCTH_2126094 MSRFCSSSAVVIGTHGPYIIRAPQSLAIHVPVHKRIANEQQNSV LKIDGICIDQTSLAERSSQVALMSVIFRAAERVVVRGDGATAQSIRLLTSIGAFDGIR SANPNPNELRILLALAGGLKVQKLCNEQQRHKFGLSRDPCLW MYCTH_2058054 RVRGKKTYNTGDSPVVTDLSTSPAVSSLSKGERTGSRILYCLWS YVLAIKGSEVYKRPISPSNSSHF MYCTH_2302923 MWDRLWASFRSAPAAPLPSPVTIRGIRFPADGSKPHLLSLTTTT HDVSNGPDSPWGHVPDLREFWKTEAAWRQRDIETFRLENQPRSHCNGLYVIFFSFDLE SLPANTNFPRRLTGRERTFAGDAFVVKLKGNEIGSDLGDDGWAAWDDVPSDILDLPVM QIGG MYCTH_2302925 MTTSSGRKGFCARCGHPMNGHTAAREAKCKKCKKVFDICQVNYH GLGGYDPEGWRICYKPCYCGVKYYPDKAHSARPLEPHEYKPDHPGFRPLETGEADSTL DYSMTTDYTTAEHGTADYGTAADYTTTDYPVGSSYTSAAYIAGTYTTSANSLVDGDPA TTGPSLNDESLWNPPQSKAWQPRQPVQDSKDPISTSDTAASPNAAPVRQKKARHVRFG SEGSDDSLTIAEPQLRAVSDLADMFAQIKIRDDTASGRSSVQQPGADDAEAVGEPIFV VTEVKKGTIRFEYPKGHKFKTNPNEWQEVTTEYGSYALFTSPSGQQFYTTEFGALAPT EGHASGSKGAQGKAEKKGKEAAKGKGEEKKEKEKPRKK MYCTH_2302926 MDARREQILEWICTGKHVIRTDYDKPASDRTADDATCSAHDAAP SEMSTSSSSDIPDKLIGADHDLLIPSSADIDRVFHDGRATWARFAKLAEAFPLHAFPA EFANLSIMLKDVPALPDNLPKGRFIFGRPLWHVPDPDHTDDTEKLEWDLQYAYGVRKF FRLSYPRPIECRVYSQPAIPGHALLAAQRGLPFLTMCWSYILSVRILELQGSKPVYSR FSLLPVSAKTFRATAGEVVLNLGASASRKLVRWLCAVLSPKPGWLADGGEFPPWAAFC AGDRPLVVVTADGPAGFVLKEPPPSAAEATELLIEFCRLYGLLEPGHKYKGQTSNLPP PIAAFLAALALPFYRNVGLQPQFPIASLGKPIPQSTKSAEYTISTVRQYVTDLRYYMT LSMHPSSIGSIIWSIFWQPDVEANLVSPWLASISTVIDPILESRDLNLLAKMFALRRP RVAPWWLGIFLLGDFVILDRISRYLKTLEERWGFGSMALPDITVAAWTGSPQSFLDSE PAPAGRGPMEQVSRADVLRHRYNFRLQEDAYLSWRPFGYVAKELLEPDLWPWIERGYI REYSHWVWYIKRGNVVLRDVQLGFRRDTERFVPDVPDRLKTYSARRRAGHDSVIKLEP SKTSTLRMIDSCVEDVCGDRDACILAMPGAMSHPWLKDWRGLE MYCTH_2302928 MAVGTLALFYPVFLPMSATAIAYLGHRALYVDWRAVLTHFFTGP GRTSRILLAFFLVLNWKSLPLAWTVRVFHTFIYHIIRRPKKLPQRALFHYGISASRTS LLETDYNIHKSNSTYFADLDVSRSHLVTHLLQPGMPIIGDNAKHQRVRDKNGKLVEGA FGIGLGAVFCSFRREIAPLQGYEMWSRILSWDRKWLYIVTHFVVKGKVRPTGWDGRRM GPTRERVVLADGSGEPADFSKYVIATAISKYVFKLGRFTVHPALVIDAAGLLPERPGE GWTGGETGTGTPEDLGDLDEQGEWDWRRVEAERRKGLQYAEHFAALDGTNSLFDGGED GAVGYFPLG MYCTH_92255 MAVPVDLHDDGDRQAASLVPPAAAAAPRLSLHRLVTRDPRERVL VHPLIWTDRQLAVLDCRVRPRGDADDDNGGDAAAPESGGGGERARKRRRAADELAPLL RRRLRCRLGWDLLRDTVRMLLESVSSAVAMDPGQEAHLRYARRPRVAVPYCRVSFGGV GFACFDADMAARHRRAYTPWKWRRTTPEEEKEEEGGKGGGADCPADTGLLFPLLVALV IALAQQERLDAAAQARGPPPSGFTVRILFAGRDTATPFNVVSARVRPAFLDKLDFPTR EPAPDSGLDVEYWQVPAKPYKTLAHRLARRLEAGAVP MYCTH_2302930 MVVTLLDEVMGQVFAANRMHGRLPADAPATMTGYLNTRFERPVR TGRGADPAVVLVSARLRRRERRKFWLDADVSGPGGEVMARAEALFIMLRSKL MYCTH_110806 MHPLATLLWALVSSLSAATITFQVQRSSNPTADEQDAYARIEAA MSAAAARYNRLAPRANKAITVQYVPSVPTADGNFNGNIRFGSNRAYMTERTALHETAH TLGVGQTRAFDDRCAAGSAAGWPSATALLRSWDGPDAVINCGGGHFWPYGLNYESEMS ETNADRHCLLVNAMLADGLAG MYCTH_2302932 MDNFPPTAEQIEEGLKAFIDSIDPNDVCKLASQHNSSKTCRMFR DPANESYNVCYFVEFEDGTKWVVRIPLEPYISNVWDKVQSEVATVRYLQTKTTIPVPH IHAFGRGGTVDEKNPTGHAYIIQSYIPGQSLDILAFRCMDITRREYFYSQLVDIFAQL RQQEFPYAGSLMPDPDGGTIPVVGPLLSIQLNELQLQNRELSIQPARFASATDFAFHQ YHLLEETYKLPAYKLSRQVAELEVFGLEDLKTRISGYIDDRLPFVLTHTDLRPSNIIV DENLRIQGIIDWEWASTVPRQFFLPPTWLAGLPPDWVSGVEYRIEYRWFRDALKAGTS EPCRQLASEWDRKLPTRIDLPLAVTLRHHSCFVNTYYRGVFPKFYKGSWKYEVNKFFE CDGKDGQFSLRVQQRLRDSERYTHYLEENGLVPSQRCRERQEPTEPPISDSGRTVALL PAACPPGAAQDCPATRYEDPLPIS MYCTH_2056329 MASTTFALDVAEKALLEHGFFALDDSLVGDRIWEMEERGFPYFT EYGLDFCRQFAFDQRIRSILENSFEKCSLGHWLRYEEFPGHVECFRRGGPRAGRRVLV VHLWAKGSQVAYYVGSHLHEMATSRSRRSLYEIPLSELDRVGSKPKHKDFPDGGIVIL DARLGFEIKEGYAITFLYATDEVIANWAKIVLPYSKALVEKVSDMEKESTRIGLNFAF EVSPGGKAT MYCTH_2302935 MAKQYRDPKTGQHIPYCEKKSLVGTARYMSINTHLGREPSRRDD LEGGTNVEKGPGDCESG MYCTH_2138690 MALGVLPSQVHGWPLCLGDSDAESSSAPLDTPPSPLSAANGNRA NGVGNGHRPHPDPPSRFVEALQTLGLGPSNRSHAGVSVFTAVLPATDGYVARSDFLQL RLQDFPFPISKIQHCVEPLKKYTARRSSPAASVNSEDGLAELIEQAAGVIQWGDLEAS VSILQLQEYLLGLTHELRDRLNNAPWLTPRPIPRRRVALIRGRPNLTAGGHVYRAARA LGIDLVIVDDEGHWLQPDTDDNRQHREAFLAVDMTEDAGVADRIADAIASYPLPIHGV FTLSDNFFVTTARVAALLNLPANPVSAFEISVDKHRSRQLQDAPGHTARVSSVDDLDA LLSSATFTPLYPLIVKPTKGWSSECVSKVSSPADLAPAVAKATARHGSAAVIEPFFDG PEIDVNFVMLDGEVLFCEVADEPPCQADRRDASVLDTFSPEALTMPSALPAREQALAR DTMRDVLVRAGFRTGVFHVEARMVSSAVAYGDAGEGVVDLVPKTRKYGDEEEQKQKQK RGQEDGEEKPEKAACKLLEINARPPGYRVSVPSGHTYGVDFFAAHMLAAVGDHDRLRL AARPFDHAQEGRTRGAQYWSRLVYVPAPRAGVVRWPSGLSPCEELKKRRPDLRDRIVV AVDYCVPGERVGLYTDGARTYVAHLLVCSRTSRREAIQIGEEVLREFYIEIDGGETEN GM MYCTH_2302937 MSLLTSWLRLGSPKMKMDPCPCASHNSLAIVEKADILRDQLADS TSKQAQWLVQRIVETHAELLRLPHLRPGKVINQLLGNLVSACSDIYDQEVVDKVLSNP SVKAILPSLRQICAQAESCLELHWAEHVLAAAQGGGGPDEVQARLRTFPYYENYQELT RLELCAILSATKTAPRRIAFIGSGPLPLTSLCLLQALKQQQRQQDALLGPALLSPPPS PSLDGPQDARKPNNSKQGPVVLNVDCDSAAIAASLSLSLALGEAGRGMEFMCAEAEAG LQPEPDASTSSPPLTPSSTTTAMTTTTTAAAAEGGKQQQPQQQKEDLAEFDVVYLAAL VGLSRADKERIVLAVAGRMRPGALLVARSAWGLRTCLYPEVDLAATPALRRRLECCVV VHPYGQVVNSVIVARVRG MYCTH_2302938 MAVLGPPPAPTVDPSQPNTLRWDDIGLVLTLDFITEEEEAAMIQ AFHAQDVPGRDSKSEAKAAKRRRVSRHFGYHFDYTTFGASETHFTPVPSYITSLLPRL PIQSYLPDQFTVQYYPPGSGIPPHVDTHSMFGEALYSLSFGSAVPMQFRMSGPNDARK MRLPKRSLQPDGGAAPSRNQPGEGERDDDHHHRHEGKKGGEQQQKQQKDKEGEQEEEE EEQEPPAWELLLPARSLLLMTGPSRYGYTHAIRARKTDIIDGRTVPRRDRYSLTMRTV RRGSEVGCDCAYPGVCDARIREEMTRQDEARERRKYQ MYCTH_92248 MVAMPAPLANRPLRLWPLAPGFISPEGAVRIFQEDTGSCGYGIC PDWAALPEDQKEAYRTRAEARRHEVWACLERALVRKAGANSSSSSSSSSSSSSSQQQQ SERALYEKSALLADKPVYKPADKEALAREAAERLAARAPAQ MYCTH_2143420 MTTTTTPFKPTPISNPFIPPGALVLVTGANGLIASHVADQLLAH GYRVRGTVRSATRCAYLTDLFSARHGPGLFELLEVPDVAAPGAWMAAVRGVSGVAHVL GSSDLAVADADRGAAEELTWQTGLLEAAAAEPGIRSVVFTSSAWAAWTPNASRKVRLT GESWNEEAVALARDKSVNARSKGLAVFMALKTLVEQGVWEWVEKNKPGYAFNTVLPDT VLGECLDPANQGIPSTAGMAYWVWKNTNVDVLNMLQPQWFVDCRDTGRLYVALLATTP VVTGERIFGFGERYSFFRVAEILKELYPDHADKMAKVKDFGWDQTEVPNQRGAELLAR LGQHTGWRSLEESVKDNAESWLKLER MYCTH_2302939 MSSRRPPRGEYIETETGNKVARKATLVGTQNIMLGGKTVIQPEV LIRGDLIRTIQASAQSATGAAPNNTAVSIGRYCFLSRGCCLRPPGRLYKGAFTFMPLR MGDHVFVGPGAVVQAASIGSHVHIGSQAVIGEFAIIKDYVRILDGTVVPPNMVIPSFS IVAGQPARLIGEVPEGGHEAFELRDLYKTVGNNPQPAS MYCTH_2302941 MATADSTTAPAPAPWYAAYPAPKSEVVTISREEVLEMLKTTPLE KRDFVLVDLRRNDFEGGTIRGSINLPAQSLPLTLPSVYATFKAAGMRKVIFYCGSSTG RGSRAMGWLADHIAEVGDKDMKSLALAGGIKGWAAAGPEYVAWMDGYDAAVWEKAGTG C MYCTH_100089 MTLKQLLMSALLAAPALAHPAHKEKVYAHAALPLERKSLAHCAA KFNEPEFVKRTVEHHGRELHRLKRARGIPVEEKPKISPRDYLDVIKIDHKSNKTVTEG MDLSTLFEDYGACMLMPAVDQGPLYVKGEEVRKDITNGEPGIPLTLAIQVVDYKTCET VTDAFVDVWSSNSTGYPGMGDPNDSSILQGTTLRGVQPTDDHGIASFDSLFPGHYEGR ATHIHAIVYLGATKEANNTITGGRAAHVGQLYFDQSLITEADKLAPYNTNRMAIVLNQ NDFLFRAGANGDDPIVRYALVGNKLEDGLFAWIRFGIDQNANLRVNPAAFWTENGGVM NPTGPISQLPPGGFPGWPGWGMRKRVEAALAAAQAQNDG MYCTH_2126111 MIINLTEHPYFRLVDPSPDAAAALEDLAVGDAVPLLIVEAPSRY MDSLPGKGVRYRAIEALHEWFRVLAGEAAIIGRVVDLPHGASLMLDDVQDSSPLRRGS PSVHMVFGI MYCTH_78093 MRFTPLALAAAAVHGVVAAPPRETRPAPVQQREFACGAPEPDAE HIKISQQFAAQEAEFLASGNLTAQATITVDVYFHVVATSTSLSGGYIPDSQLDAQLQV LNTAYAPYGFQFVHKGTTRTVNANWADDTKGYEMTMKRSLRQGSYSALNLYFLYEMGD NLGYCYFPEAGGATAGSTARIRDGCTILYSTVPGGSATNYNLGHTATHETGHWFGLYH TFQGGCSGNGDYVDDTPAQASPSSGCPVGRDSCPNNPGLDPIHNYMDYSIDSCYEEFT AGQQARMLSFWNTYRAGK MYCTH_2302949 MKKFLGLRGQALNLAVGTIAGCDFLLFGYDQGVMGGILTLKVFL DAFPMINPEAAGLSHDESSTRSTYQGIAVASYNLGCFLGAIITIFIGNPLGRKRVIML GTSVMVIGAILQASSTTLPQFIVGRIITGLGNGGNTSTVPTWQSETSKAHKRGKMVMI EGALITCGIMISYWIDLGLSFAPGSVAWRFPLAFQIFFCAIILAFIPFLPESPRWLIL KGREDEAREVIAALEDTDTSDRIVENEFLAIKETVLEMSKGTFRDLFTMDKNRNLHRT LLAYFNQVFQQISGINLITYYAAVIYKGLGMSDFLSRLLAALNGTEYFLASWPAVFLV ERVGRRNLMLFGAVGQAATMAILAGVNSRQETGFQIAGIVFLFVFNTFFAVGWLGMTW LYPAEIVPLRIRAPANALSTSANWIFNFLVVMITPVAFNNIGYQTYIIFAVINAFMVP CVYFFYPETAYRSLEEMDNIFHKVADGWKGVFTVVHQAKVEPRWYGKNGELLVDYQQT EEHRRHLQQQEGAVTASEKRSVEGAGSGSGSGDVKQDEYKDC MYCTH_48379 MSRYLLGRLIVATVAITVASLRGVTAATPSPGCGKTPTLITDGS ATTPLTLTSNGKTRRFYVKLPDDYDNSHPYRLIFALHALGGTAQQVTTGTGGYLPWYG IPDLAANDTVGAVYVAPDGLNNGWANQGGEDVAFLEAVMETVEQDVCVDRDLRFSTGF SYGAAMSYTLACALGRRIRAVAVLSGSPVISGGCAGAGSGASEPVAYYGQHGISDPVL PVAGGREMRDHFVRTNGCDAGRGPPREPARGSGTHVKTVYDGCDPDYPVVWNAFDGDH TPQPVDRGATTTFSAVETWEFFSQFK MYCTH_78090 MRLLRSLTKMAAMAGLAAGASLQPVTNFGDNPTGLQMYVYVPDK VAVLPAIIVALHPCGGSAQGWYSQTRLPSYADQLGFILIYAGTTKMSNCWDVQNPASL THNGGGDAGGIVSMVKYALKQYNGDASRVYVMGGSSGAMMTNVLAGSYPDVFEAGAAF SGVAHACFLGADSATPFSPNQTCAQGRIQRSAREWGDLVRNSFPAYDGRRPRMQIFHG NADFLVRPECAHQALAQWADVLGLQLTQTNKGVPSAEYTQEVYGDGTQLQGFFGDGVG HIAPVNEPVMLRFFGLMN MYCTH_2019739 AYALPPLPQPLVLFSHMMAQQPVTLVLKERAFDDFHIKGLDGAR WMHVEGKIASLHGRKKVYDFQGVHLFDIIKEHFHLHATFSCEDANQRQCMQVKSKFKL LGSEANATFTTAAGVPATLTMRGNWFDTSADIVDAATGAVVARIDRKLFNARELLLGH QTYHVTIAPGADIALVVAMCVCLDEKRNE MYCTH_2302957 MSTRKTAANTQQRAASTSQSASKAQDPAILAEEEREARFAEHWM QTLPHANDEVTYYVAFKPAGDASARDAVTPDEVGRAVGSQLKLSSADRVSGLFDKMEE GKPLGKVDVAPVNGDTLDMVKEKFEVTRITVILKQGGKRTAVMPTARTHTAPQGGA MYCTH_2025626 SLPPSAAMSGPTYAPYFGVEIEIFVKPWDAWKRHLLELDRSRRK RPGAWKDWDLSLKNSESNKKKKLAQNKRAGNIVKSIIDEALGSNSWKCATDGSLHDEL LTEPDNPRDWWGIEIVSPALSSSRRWQSEIREVFDALRAKFEFWTNEKCSCHVHVTPG PDKGAKYTIPQLVRVAKGAIYWEKALCDLLPPERRDNRFARPNHERIATQEYKYIEEN PRTPANMRLAPWESVFRKIEKAADHEEDVRLNMFIWEMSGRTDEYKTRYTSTNFRALT RHGTVEFRRQAGAASATTVIHRAVLALTLHASAMRYDFDAVKKRTTMPTVDELIKELA GCMNNLPKTCQGSRFINWLKWCRATYSGNHQPPERTINSVEQSLRLGGQYP MYCTH_2302958 MGGGGGGASPDNLIHRMAMEDPVPWYKKPNLRRMYFLLFPCVIG IEMTSGFDSQIINSAQLLPAWKEYFGHPSGAYNGILASALPLGSVIGLPFIPLVNDIW GRRWCIMFGSCIMIIGTIIQGLAINGPMYIMARGIIGFGLPYAIVAGSCLIGELGYPK ERPILTSLFNACYFIGAIVAAGTTFGTQQINNDWSWRIPSFLQMAPSLLQVTFVFFLP ESPRFLLSKDRIEEAEEVLVAYHAEGNAESEFVKAEIAEIKATLQIELENSKRSWLDL VRTAGMRRRLIIGSLLGLFTQLSGNVVISYFLGDVLKLIGYTDPTFQAQYNLGNQCWS LVCGVSAALVVMRFRRRTMYLTGILSILAVYVAWTTCTAIFIVDRSQVAAKLSLFWIY AYSPAYNLCFNALTYTYLIEIFPFAQRARGIAIFQFWGKAAQFFGTNVNPIGTQAIGW KFLLVYCCWIFVEAVLIWFLWPETSGRSLEELAFLFEAGENARRQDKLITEVLHAEEL NEKTSHVKDA MYCTH_2302962 MSVQGTTVGALPPELLRQVFDALDHAAPSEHRLNDQPDCRMLCD PNCPLKKASLVNRKWRAVVLPLLFRHVVWRLASCDQLLSRPNEISESQSDDPSESISI LAFLRANNLARHVRSLTIVVCREEALPSATTTDRRSGSRISSSLEEWKQARWNSISDT HAQYAAQISSATVYNGDNNWLWRMLFGIMNPLRLTLIASPQTLARLLSCMVYVGDADF FSTEERLHILSLSMDGSSRSSPPPLLVRSEGARPAAPTASRKCSTERDYVRTELFTIR PWTHLLLNENSSIRVYRTYHFFDRRPPSILGSLLGCEEAPLNVMMVPPTVTSLSYIAI FPVATHFRMLVDRLPRIDSLYLQLVPRNDILLDEKEMDHVNASDLWLERNSCYKLAMQ NMLLSAAAPLPPNNVNDNNTNVADDHDQDSEVDASHTGPHEHVIMEEGPAQGGVHNWQ YLRRFETGDSSDREAWLDAVEWVEESQTGWHVEAEGVLVREPGSDGARGS MYCTH_2091570 MLRDSRSADPLLAHHDDDNDRGRPSYRSPSRRTSPLPSRSHLST TITTTTTTTRAYVAPVSTSPPPTSPRGLSARPAFARRSPAAAAAAAAATTTTMRSRSP ALTTAAAAGAEARRRYTYAAFFLIVCLVSFTVQTMLASEIQHDRGWDKAYCMLYLTHG SWVLLWPLQLAVLWVRRSPPEQSWRRFWDGHVRTLRAIALVVETRDVKAAAAAAAMTT VRESAVYGQLGGGVGGGSGGGLLEYGHSPVKYLGKATAVITSALTVAGLSWYLAVSMT TPSDLTAIYNCSAFFAYAFSVPLLKERLRADKMLAVAVAIVGVLVVAYGDGGDGGPEE SSSSSTASPGGAGASNGGVVDPAARFAGNLIIGAGSVLYGLYEVLYKRFACPPEGMDA TRGVIFANTFGSLMGAFTLLVLWIPLPVLHVLGWEKFEVPTGETAWLLFISVIMNMMF SGSFLVLISLTSPVLSSVASLLTIFMVAIVDWFVTGTPLSGAAVLGGLLIVAAFVMLS WSTWREMNEEERRKQIDLSSGDEDD MYCTH_2302964 MAADHPKAGIYSATYSGIPVYEYQFGPDLKEHVMRRREDNWINA THILKAAGFDKPARTRILERDVQKDIHEKIQGGYGKYQGTWIPLEHGEALAQRNNVYE RLRPIFEFQPGNESPPPAPRHASKPKVPKARPAVPKWGSKSQNKNASLSQAAVFSRHQ QGAAFQEDYDNASQLNEDDTPDNLTVASASYMAEDDRYDMSQYSTGHRKRKREEMLQD MTEQQHAMYGDELLDYFLLSRNEQPAIRPDPPTNFQPDWPIDTEQHTALHWASAMGDV EVIKQLKRFSANLASRNIRGETPFMRSVNFTNCYEKQTFPMVMKELFSTVDARDASGC TVIHHAAVMKSGRVTSHSCSRYYLENILNKLQETRSADELQRLLDAQDNDGNTALHLA AMRDARKCIRALLGRGASTDIPNNQGVRAEDLIRELNASKSKAARGAPQRSSSPFAPD SQRHNAFRDAVADSDGKRQASFRSEAANTVQSRITPLVLQKFQDLAQSYENEIDEKEE AEKEAKRILINTQAELANLRASVADLESHLEADEAAAKTEAEAAEAAKQVLAYLTHQN RLALQEAVNKELATVNGDSSKPADLPNGQPADTSGGPQPDGATADNGTKKDPADDDPQ ERLRLATELRALLQEQRRAEAEYVEARGLTGTGEKIDKYLHLLKSCLPPEDHEMLDEN LEDMIKLMEDEADVTSAGAAPAPSEAAEGVSSLPLPGQAQQVSVGLGVEVSSLS MYCTH_2302967 MHLMDGLVDQGVSSPIKAVFLQHDEDSGLKAQTDSAGDGDTDNA LFRAQKAAACLCLKVTQNG MYCTH_2302969 MDQGKSGLKEDHHPWSALAPSRGVSTNASQQARDPSTTGLSSVG LTAWTSPSRSNSPIFGDLEEAGVEGGDGGTGLHFEDEHFLPAPDSSCPGTRVTVPRRF SRPPPPLPLTPPTLHGSLFPFEDRQPNYAASVPPTVGTSFVHRSDSAPGVASSSANVL VYSLQSSTTLPQRRSYTKSVPIGVPVSTATASASSSTKAATSDGTSTSFSPSSYPPKL PLLPPPPPSAPPEYVFVGGPGGPGVVLSQQEISLQGEILSVVDSAGHGWKRHTRVYGG GVCLACIAAAARDGGQGGFYGDKVPLEDRRY MYCTH_2314947 MDAGSLAQMSHAHLNQAHGLTMGSGLASRRGGQNIKPLSFEALK TPTDNGVPTPRTSRSHLLAGLRTAPKSATAGNFSNLPSPTTTMPQVRNRNSMTPGMYD AASLYGPKTSIPRFASQLQAQQVQQYPLAQLSGFSQQYTAEQVLAPPQLQLDETREHI DPALQAQLLYTNAYLSDQQHRLQQQLKALQAAAQQFQGLSLNGHSQMMQQGYPNMYQQ QQMQNNMLASGAAQTGLYAMYDGLTGASNLYVDQNQAQAQAQQAQLNAQLQAQLNAQL VNQAQLANAYANMQQPAVGPPRVQVSPPPSAPNATGARMPSPPRRHDSPAEPHTPLPP ASANAFRRGHKKSPSVSNKANLSIATNEEPPKSAGPKTASFPLTPVTTGYGPGQGRAG EHPIRQPRNPPSLDELKSKPTAKHEGSKNFVTRTRRSAINNLVRAGLERRKEARSSGS VSPISESTEELVETPMTDNDSDSGRSGSGSLLDRDECSLPSSRTSTGSWGAIGSDRPS SREKADRSSVDSAPSDAENAPGSFASLLKNSNQNVKTGADAQRKARLVLTSAEKRKLA V MYCTH_2302975 MSPLVAQSPTPRVILGLMTFGPDESAGARVTDVSEFAKVLDLFQ SRGYNEVDTARVYIGGKQEAFSREAGWKDRGLTLATKIKYPNEPGANTYDKVLESAEL SLKELGTDSVDILYLHAADRATPFAETLRAINELHKAGKFVRFGISNFTAYEVAEIVL TCKYNGWVRPTVYQAMYNAITRGIEPELVPACRRYGLDIVVYNPIAGGLFSGKIKSKD MVPAEGRYSDKCHTGRVYRDRYYRDATFRALQLVEQAAEKAGLTVIEAALRWVVHHSA LRIKDGNDGVIIGVSRLEQLDENLTHLEKGPLPDEVVKALDEAWAISKADTTNYWHGK VEYTYDTREALFGAGAQ MYCTH_2302978 MARTDGTRAPPAPPAPSAGLGHVRQKRGAYEKPSGPPKPAPNPA PAGQGSG MYCTH_2109594 MQRNLRLSLKPSPPSNGSSSSSSSTTTTITPLATTAATLTSPTS ASSPSSPPPPPPPPATASAAQLLAIKRAAAVKARLATAHEGWALAVRALAARDLVTLP APAPAPAPAPMPMPMPTTPVPSADTGNGNDVSGNENNGTAGDDSVSCELDGLGRALVS RYAEAMFLWHVLRDMAPGDWFGRSDGGGDGSGGGGGGVGGGGGEMWEATVRRLGALRE LEASLVVWEEVVLRLVELVDGQKGQQQEEEEEEGEGKGGLRRWRTGRRRGGGGGGAND DDDDDDELKVKVVGVLEGWRKGLPFQGR MYCTH_2302980 MGYKRPESCEEFHVAIVCALPLEFNAVLSVLDQTWDDDGLELRK SAGDLNQYVNGRIGKHNVVLTLLPDRMGKVNAAVVASDLRHSYPNLRLTLLVGICGGV PMAGNDEVVLGDVVISRSLVQYDFGRQYPDGFRRKDSSKDNLAKPNHEILAILAMLDT DRKELLSQKTVSFLQDLQQRKPRYAFPRASPDRLFRSEYRHKHRGSPECECRNRASET DPVCEEAMGKSCEEVGCEDSFLVRRRRVEQREGPEPPPAAFHPAIHIGPVGSGDTVMK SGVGRDKIAEQEGIIAFEMEAAGIWEHLSCLVVKGVCDYADSHKNKKWQDYAAATAAS TAKAILTLYTPAVTTTAVAHRRRLTPKWAVPFPPDPDFVDRPDISAWLQEKSRLPGAR VALVGLGGIGKSQLAIQYAYHVRKDSHVFWLNATSRSTLEESYRAIARRLGLGLEAHK ESKDDDITYLVSDWLGDEENGRWTVVLDNFDDYSILGDDDPRFLRLLPQTSNGFTLIT SRTSHAAEKLVGNPKNVYQVAAMTEDVALKLLQTKLKDGCGDEEGREAVRLLDCLPLA ISQAAAYINRRSPRVRVEEYVDMLRSKDGQEVLLGWEYDDGRRYGGASTSVLGTWTFT LEQIRKERPSAADLLSLMSFFNPQSIPDWALRAWFELDPGQLPSLTLRDTLSEHASTF LSSISPKRRREMHRLVPQDQAVQIWSRWVSADLTKGGKPASTKETPTGPWKPRFSDLT KAVAKDWWPSKSGSSRGGDGDNNTTSDAAVAGEQFEQDLDTLVGYSLAMPTASKGVFK MHPLIRYCTQTWLARTKSFDTWKRRFLLAAVMRIDSFKAHGRIQPGLAAHFNPLAEEE PRDMLEAKLWFYLAWAVYHSWKEIGARSLATTLLDKLVAIATKFFWPVDISTVMVMDE RARVYSKNGEYDMAEKTWKEISRLSEKYAGDHYVPAVQDSRLACARMLLARGRAAEAE EIVRDIVDFRVRVYGPQLEETLASLGTHTEVLTALGKFQEAGSIIRDLLRQDWTGKDS FLHSYTINIIAFTVLEMGKAPAKQVEDLLRELTDFVEHDPRSCTWFPFTLSGPIHEAF IRCLWKQGKKDEARSVRIRGTELMARLITVPQLHPPTLVDSLLDDGDGDGDVESRGRL LQGIIENLYREDVKPDDNFYWGIGNIGRRLYLQGRYEEAGKLLEAIVAHMTSNPAYGG THTMTSRMRILWNSVVDDEESGDPPADTDGGEGEELHDINKEQSRSSDSSTETLVEAS PG MYCTH_47778 MGFKTADLWRKPDLNPVNRKAKSVPVLNPIDRHGRVFFFSWLGF MLAFWAWYAFPPLLTVTIKKDLGLTPEQVANSNIVSLVATLAVRFVCGPLCDLWGPRK VFSAILLVGSIPIGLAPLIKDATGLYIIRLFIGILGGSFVPCQVWCTGWFDKTVVGTA NALSGGWGNAGGGITYFIMPAVFDSLVHHWEHTHREAWRITFVVPLICLIACGIGMLV LCEDTPMGKWSERHNVGRDLEARDISGAVVSVPGTIADREPSGATTPAGGKVSSDDLE KGTASSPHATSTNLALPGATAMMETAHAEVIQAPSFRTSLSIVFSLHALFHVATYACS FGGELAVNSVLSSYYLKNFPYLGQTRASNYAAVFGFLNFLTRPLGGVVGDVLYNVSGG NLWLKKAWVHACGVVAGALLVVIGVLDPHDLGVMIGLVVVMAVFLEAGNGANFALLPH VYPHANGVLSGLTGAGGNLGGVVFAIVFRFMDGGSDYAKAFWVIGVMHIALNLVVCWV PPVPKGQIGGR MYCTH_2302986 MALESSETPRRATREEMRDAKLPLQYRDSCAHLLIPLNRCRFET YYMPWKCEDERHSYEKCQYVEFKKRVAKMNELREAKGGARSN MYCTH_2057851 MSSSLPGTPDPLPIDGQQQQLSPNEPRPGDSLSSTPTETYDQDV SDCESDVDDESSTDVEKAVTSSTPSAATLPGTPPPPSKARYITESGVLPNDADPFEAY GLDSSPLPPKRSSIRAYRFLRWNFGSVYRRIFTLVYLGNMAALAVFIARRAKSGDPSA FTHQQASTAVTANILAAVIVRNEHVVNALFWIFVSPWTRAMPLRARTLAAKVYSYGGI HSGGAVSATFWYIAFLVLLTIDFQRTNGPGPVGSLRAAIYAVSYAIIVLLVSMLASAH PEARRRVHNWFEGIHRFMGWTAVALFWALVVLLAADSVVVVGGGGDGGSGGGGAKLGR ALAASPSFWMLVVITLLIAYPWTRLRMRDVEAEVLSPHCLKLTFRHRDVHYGQAVRLT DSPLKETHAFGVIPGIISSSSSSSSTTNSSPSPTPVPSEKAGAEQGFTVLVSNAGDWT HKLINAPPERIYTRGAPQFGVLRVAALFSPCVVVATGSGIAPCLGILAAIPTPDSVRV LWATREPLATYGPEVLSLVRRADPNAVVLNTGKGRRPDLVKLVYRLWGQERQVGRGRG KEKAEAVVIISNHRVTEKVVYGLEARGVPAFGAIFDS MYCTH_2302989 MTTSSPKTGPTATATTTTHLDPELFDLCVRAFAGVPVADDNHTV AAAVRSRRTGETVVALNVYHFTGGPCAELCAFGAAAARGVLPTDVETIIAVCRRQKEQ QQQQQLQKGPWDGAGDGDVVFRVINPCGRCAQTMLDYNPEIGVIVMDRHGREVKTRAR DLVAYPSVWEDGNTGREEKRKALEAGRTGEE MYCTH_2302990 MTTPSDPPPSYEEAVRGGPEALPRQARNGIPLRARRSMEDELRP LPHGWVRELDPETRHQFFVDTLASPPRSIWHHPYDDDTYLDSLPPAERDRIRATRSAA QRRRPSAADIVAEETDGDDGESDYHSSPSSPSSPRREGENQDRGQAGDDEEEEEEEEK KPNQHDRWRQRRHSLGRRLKDRLTGTTHEQRAAERARRAAAERELYRQHRLVRRAMID AMHTGRPQPLGVAWGGDASGSSSSSSSSSSPPSSARLYLEPPGRTFPGVVAVRRLSPY LSEVIYDRERRPGPPGRYLRPEGDMYGVGYGGYGCGGHGGGRWDRPQVAYGRPLGTGF GGGLGMPLMMPSLLGGMMLGGLMGSAF MYCTH_2302991 MAPYAPEGWLDGIREPRRPQPLAHIRSPEELDRLRQHRDRHRSR RSEILGGRNGLDGDVAEVRLVSDIIEDHPDRPVNINFNYGPVHIYQTCTCPVGGVHTC IPLDLTNSSSSSGGGSNTPIRRRTISYPDPYPPAPSPPSPAPRRGPPPPRGRPPSTPP PPRTAPPRPSQPPPPLRSILRNRNPAPPEPAGLGRREVTVIVPSPSSPSSTTTTTTTT TSSGSSGSSSDLDDPPPIRSRPRPRPRLPQPGGGGASAATITTIGVGVCEGCRARRRV LGLGRDGYCAECEAERECGGGGGLRAGRMPAGAGAGAWTGAVGRGGGGRRGRGGGVEG VRYVSFDRREEEEEAAAAAAAAAAAAARRERREWERLREQEREIELEMEMLERERERE REQRRERELLREAGRRGPVRWERASAVKGGGSDRRYYYPGEGPAYYSDSEGGSGW MYCTH_87219 MSSLWHPRFSHSGSSTPGFSSLFRMLEDFDRYTSQLANQGSTSV AAFSPRFDVAEHDKEYVLQGELPGVPPSNVEIEFTDEQTLVVRGRSERQHTEGDPSLL EGPAEKKKIEGEGGSKDTAATKGKDEGKPKPRYWLSERSYGEFSRVFTFPAPVNQDNV QAKFKDGILDIVVPKAERKSGRKITIQ MYCTH_106527 MHPHSTETKSAGPDVGFIFNLAPLALLILSPAWRITRASARFLS DWRVSADDCIGQSFLDFIESQLHPPRPSRLAHLTSTIDDAIAARAERTTIPINTKHGV SWNARVIPVFNHDELLSIVLEWHEGPPVHVSTDELFKPGFSTDEAFRILVQAVKDYAI FLLDTNGHIASWNRGAEVLKGYKREDVIGKHFSIFYGKEDLDIGKPQMELEICLREGR VEDEGWRYRRDGSRFWANVVITAIYKDGVHVGFGKVTRDLTERKSTEARLIAAYEESE KLKSDFLANMSHEIRTPMHGMLSACTLLLDTELSARQRDTVSIMEESGQVLLQVINDI LDYSKLASGSFTIKSDIVGITSIVTSVVRSVQATLPPSVHFELFLAPDLPRSVHGDPL RYRQILQNIVGNAAKFTDKGSIRVRAAIESEDETSYTILTEVTDTGIGIPEDASANLF TPFTQFDATTTKQYKGTGLGLSIAKSLAELMGGGIGYRPNPDRKGSVFWFTARFKKIG RLGQMRDWRKPMSDREDPEPAVPDEIGALQAELKMVSSIKNLLVVEDNVINQKVILGM LRSIGLKNVDLASNGSEAVKMVCGKPAAYDIVLMDISMPVMDGNAATKEIRSSGILVP IIAMTAYALKGDRERCLEHGMNDYVAKPVDKKRLIKVLGKWLLRMTDYRKTYDEQRRA ELSPPPTVAGPAATAGAEAKQFLSEVANGLGSLSAEARQINPPVTSPQRATFEGADDP GPAVAEAPDPKEAAAAATTTTTTTTATATATTSTTETAAEVATTTEKREEADAADHPG KEEEEKASDPDTTASVETDRGALPDVSGPPPDDKAVEKTSQQTLQEGPPLVGMEHPPL SHRPLQKPEDSEAEVSSPSADRIGTEPTPPEDSAHIEGPTSSETGAGAAANDGVEPVD SKG MYCTH_47625 MSLPPPPPPPPSFSVPERPHKGTDASSGLPPATTSSPPTLEGVP ERGPPGTVLVSLLVYNGYPFADHWEYFAAPPAAADPDPSSSDSDPDPDPDRSTGAVGA VGAVGAVIQAAGDVRSGFWLEVRRGWRVEVGDDHHRHHPSRVRLAWVPGETVFEGRED GEVLVERQPRCEFERILFRVPAPEKTLRAVDGSEASDLLAHNQGGNRGREKITQRNCQ TWVVESAEELVREGIFEQRVVDYLRATSIMKV MYCTH_114691 MGGDSFPRRPPSGIKVIVVGAGFAGLAAAIECDRKGHSVTLLEK AENMDDMMRIGDIISFDPNGSKAFERWPGVVEAMEAVARQTTWLDLYHHQGRFVTRQS FAGERAWGRRINGHRGELHNIIYRHAVARGIDIRLGCRVEDYFETDDPPQAGVVLAGS GGGERLSADVVVAAEGVRSRGRKIVLGSDENPKSSGYAVYRAWFPADRIRDNPNWVFT HVDDGNIDESWQFPGRPEEALRYLDGWSPVVRELVKATPDDGRLIDHKLVYRDPLPTF VSPRARTCLIGDAAHPFLPTSIQGASQSIEDGVVLAACLELAGARGDDIIPTALRAYE RLRYERVHRAQATGPKTRERWHKADWSRVWENPDLIHLVREEWLLNFDAEKDAYQRYP RVVAELGVGPPLRPRL MYCTH_100068 MVALSSLLVAASAAAVAVAAPSEALQKRQTLTSSQTGFHDGFYY SFWTDGAGNVRYTNEAGGRYSVTWSGNNGNWVGGKGWNPGAARNISFTGQYNPNGNSY LAVYGWTRNPLIEYYIVENFGTYDPSTGAQRLGSITVDGSTYNILKTTRVNQPSIEGT STFDQFWSVRTNKRSSGSVNVKAHFDAWAQAGLRLGTHDYQIMATEGYFSSGSATITV GEGTSSGGGGDNGGGNNGGGGNTGTCSALYGQCGGQGWTGPTCCSQGTCRVSNQWYSQ CL MYCTH_2058599 MLTRDNNILIFSKTIDEHQKYVKAMLDILYIYKLLVNKEKSKFH VRKTVFLGYKISLG MYCTH_64530 VLYNANNPSLVSTRNGSRILHVTVLPLLRTSLRTVLLLYLALGA RRKASSVK MYCTH_2058169 MNTAFNALGSSSLRSAEFESKDMTDEMLIEAAQLFSEHYGIWNA PEYKRGIPTPMSASRLRRSYLPVGAYSSYVCVHVDGTLAGHAFACRWTYHGRRVCWVT QLVVHRDFRERRLATRLLEKLRKNDDEVFGIMSSHPAACIALSKACSEFSFPHVPLGF MQTCAREVMAGSPISYVRDAKLCGSLFQPDGASHLGLVSGVDTNFFVDHEEPLSALEW LQAEGLWPLGSLPDGHEFLLVFEVSRRRSRRRAFRRGVSPCQEFRRGV MYCTH_2302997 MPIYGSTSSIEPVSPGIVVKKPHQLGEEYFDRRFANNFSVERQI LKRLGQHPRIVRYLGLQGDGILLGEASHGNLQTYLDEHHASTSLDQRLLWCRQLAEAL DYIHSRGVLHSDLRPGNILVHETTPGARDLLLADFGGSVCEELGLDGLSLPDGPFYSP VFNWQSSVLLDLFGMGSIFYTIHTGRWPYKLTPGRFDKVDDRLEWEDKVVYPNFQQDK FPDDVRDLPAGDVILACWKREFATAKDVLAALDKYLEVRGKKYAEPIAVMEEKRGGDE LNDNVVGVEDRGDHYVGRLISLI MYCTH_2303000 MSIVAWGARKATIATTLVAVLIFLAWYLPQVLNPTPPDPEERER DKHWVDTSPSWVDRQACRWLGLCGLQHIRWDAPSRGDSDRPSTWDELKSLALGLSTHW EFGEKSGQSDWEEAPAETDLRRGPRAHSAASARILEEVPDYVLDHAPLVHLYSGEHFW PADIAEHIRHMQPYLRGELVNTSGPLNLQTLAGLNALGGTVFVTSEDDVESRPSWLHS WTGIPAPFRSGGGSGGDGGDDQDPPRWPDDDGSWQDVDRDHPPHRIVPPRDSSGRHRD LRRRRSLSSAQQPMVWTNSDSSWEDNKPTRGGYSKAPAVLILVDKGAGILDAFWFFFY SYNLGQTVLNIRFGNHVGDWEHCMVRFQHGTPRAMFLSEHAGGKAYLWKALEKRTQKD GKPARPVIYSAVGSHAMYASPGMHPYVLPFKLLKDVTDKGPLWDPSLNHYAYWYDYEV GRDEEGNSTVREYSSLVPAASNPDLPTSWFHFEGYWGDDIYPLSDRRQWRLFGEYHYI TGPLGPKAKWLDRRKVCQTEKCIIVDSIEAGKKSTWY MYCTH_2303001 MNSQEPHQTDVLPDDDDGWEYEYSATETETYYITLDLSVRDFLE RRTDDVVHSTRTGYRVWYNPLFNAPEPQTSNSDLLDDKDADDGEPPEREEVDLDAIGV PRPPTQPELPIDPSLDQPSSKHEHTDGQASDKPAEEIQILELHSKEPIISYRNHVFRG SWCENIGTEMIFTPHDDNAPLPALRHLPQNMDLIAASATRISCREATLVPKERDARHE GTFLGFGYSEEDIPERYKRNGGIYVHIGGDKSGQRQPQAHFLEDLIALKRKRGETDEV TIQPLETRQNKLMVEDEEEERRRRKLQQDHARNARWRDKRREEREMGLGSPERNYIPR TGGRRGWPKRARRAALIRRDSGAISRFGLQNGSDQWLDPDAHALERHTW MYCTH_2303002 MPKVHLLDYVAGNVRSLVNAIEKVGYEVEWVRSPEDVAKAEKLI LPGVGHFGHCLSQLSSAGYLPALRAHIDAGKPFMGICVGLQALFSTSAEDPNCSGLGF LPGALDRFDDSTKAVPHIGWNNASCPANPTLYGLRPDSKYYYVHSYKYPYKKGELEAL GCAVATGTYGGETFVGAVAKGNVFATQFHPEKSGVAGLRVLRAFLDGSGAKALSENPP KGVDGGVDDVIAREGLTRRVIACLDVRTNDQGDLVVTKGDQYDVREKSQDRSVRNLGK PVEMAKKYYEQGADEVTFLNITSFRDCPVADLPMLEILRRTSETVFVPLTIGGGIRDT VDVDGTKVSALEIAAMYFKSGADKVSIGSDAVIAAEEYYRGGKALYGNTAIEQISKAY GNQAVVVSVDPKRVYVPKQDATRHATIKTKFPGPKGEEYCWYACTIKGGRETRDIDVV ELTQAVEAMGAGEILLNCIDKDGTNSGFDLELITQVKEAIKIPVIASSGAGNPGHFLE VFQETTTDAALGAGMFHRGEYTVQQVKDYLGERGLVVRKFEGDL MYCTH_2056582 MAPMKSPKSSHSSSNYGEDIVRQANILASCYGPSGIPEVPSTGL PSPPSSPPLAALTSTNQLALTPKARSTSARGHSVGRHGSGSSAESGSSAWHRRRGGAT LRIREECERFFCESLRAMLLGERNAALQGSGLASVYSNNYDNNNSYNGSITNNASRSD GRAQAVGNHGQLTPPDDYPIGDETLMGRRGFGAPGNVLSWLEIWDYAGGSSFRGFVAC DARRGTRSLFVFFDAHSISRDLKQALVALIELAEGPLACSHMVICIERSLPQEEMKGL TKGLQWAGFSLTTLDFWSPGVDVLSKRWLFMGMEL MYCTH_110792 MLREAMSPAQTTTSKPTFPLYLLPSLRIPFARRRTSGPSTGDTA SWSQSASPVTTSAAPSLSASPASTCPTSPTTPTSARRLSRARPDTLRCRSCSVDLAFH SQIVSKCFTGRNGRAYLVAPPSPHATPSCPASPLNSDDHDSGQSETGTARTTTTTTTT TTTTSSRAADLINIRVGEPETRSLITGVHVVADISCAVCGATVGWKYLDAREWSQKYK VGKFILETRRVVGHSGWEDVVGDDDGDALPAAGGYQEDVGNDPWSLERRDGDDEVIVF DSEDEDECEDMFLGVWDPKVVARRRKSKSGNARRWW MYCTH_2126152 MAPQLDGYFQKVDALSDAFIERLRQAVAIPSISSEHARRPDVIR MGHWLADQLTKLGATAELRPLGKQPGTDLDLPPVVLARYGNDKNKRTILVYGHYDVQP AEKSDGWDTEPFELTIKEDGRMCGRGATDDKGPVLGWLNAIEAHQAAGIEFPVNLLMC FEGMEEYGSEGLDELIMAEGKKFFADADAVCISDNYWLGTEKPCLTYGLRGCNYYSIE ISGPGADLHSGVFGGTAQEPMTDLVRIMASLVDTNGKILIPGIAEQVAPVTPDEEGLY DDIAFSMETLHDSLGSKTTIFEDKKSTLMARWRYPSLSLHGIEGAFSAPGAKTVIPAK VIGKFSIRTVPNMEIEKTNELVRLYIEDVFKKLGSKNTLKVYPQHCGKWWVASPNHWN FTAAAKATERVWGVKPDFTREGGSIPVTLTFEQATGKNVLLLPMGSSTDGAHSINEKL DKRNYIEGIKLLGAYLHYVAEEPMN MYCTH_2109614 MDTLVSPVAKLATEAGKAPEGTADKSLLSIKPPSPAEELIKENS SLHQRIAALQRTESDLLNENQELVRKLASAQKCYNSQQLWWTNEMDPNRVRFGLHRLQ LRELCEGVKHFVRLTNKDELPNELLKLPGSDKISIARVLLHGMLANFVISEAFKSPFW VFDAIAVNAYELESPTVPRLDSMSPVGFRMDLTAWKNFNVAPPRDVKPPRPNPVPNDR LAGPQDGRQLPRLVTLIQPPNLSTNPAMSLLGRELPSRQAILESLYQILSEGGGYATE WRASLIKAFCVGGMSSELDSTSLASESRALAEARFRHAGRLKDSFLRGTARFLLRDQE AAGIEELESRLMQEIDAALRFSCQLWCRQDTLRVCGLDELAETALKAASDHMRLYQVQ APLHIEPAGNTLESQTEPRGSHDGHSVIMVIQPSVGASANTKAGKPSKDFKGDTKPAL PSTPSSAHLVLLPRIRYRPRMLKRRH MYCTH_2303011 MHFSTALLAFLPAALAAPTAETLDKRAPILTARAGQVVPGKYII KLRDGASDDVLEAAIGKLRSKADHVYRGKFRGFAGKLEDDVLDAIRLLPEVEYVEEEA IFTINAYTSQSNAPWGLARLSSKTAGSTTYTYDTSAGEGTCAYVIDTGIYTSHSDFGG RATFAANFVDSSNTDGNGHGTHVAGTIGGTTYGVAKKTKLYAVKVLGSDGSGTTSGVI AGINFVADDAPKRSCPKGVVANMSLGGSYSASINNAAAALVRSGVFLAVAAGNENQNA ANSSPASEASACTVGATDRNDAKASYSNYGSVVDIQAPGSNILSTWIGSTSATNTISG TSMASPHIAGLGAYLLALEGSKTPAELCNYIKSTGNAAITGVPSGTTNRIAFNGNPSA MYCTH_2117720 MSNDKAPSVVVYQHYCPPEVKRVLASGGSAFIGEVDDSTVLKYP LAPGGDMTRLEAEKKLLEIIGPHKRIIACKGFTDTGLYLERATNGNIAEYMLESGKPP PSVKQRLAWCREAAEAVAWIHSRRVLHCDIQPTNLLLDEHLHIKLSDFQGRHLSADGK VLVDGWSAESCKFSAPRNDPFDADIKTDLFALGCTFYFIMMGHAVYPDIVHGEEGWYE KVEDRFRKGAFPEDKHACSAITMKCWRMEYESAEEVVQDLEALEREQQVIVLE MYCTH_2303014 MPFSPPRDTREKDIRWQASAKVGCRDSRPLWTDYWQRFNTITIP LLDEDAFFSDALAAEKVAQDRRHLEELLEKKSKERRAELETVVDKILYTAMFDKNPFS PEAAWDAVDKVGRSGSLDSFIQLASGIIWGWGERQLGERRPRRERSPSPCTFTETQEM PHMYSPYPIVSDNWDLLHHDMGPSVTELPASPEQRQLPPPTLAPCGTAARVEDIETTD GVGYSALEWSRKPPKASSEMEPAVRAPRESPTMSQSVTVNSSPTDPALPPSPSDARPS LTASQTTPTTPPDPPSDGEVDMPQPLLFTQPSSPQREGGQEPGADACRASLGGKSKPR GKRRFHELEGSDLENAAYVKRAGRELG MYCTH_2117721 MYVAGERGKPSVETTTMMEKIVRDQVINALVVARDLATRRGQTK MTTNDVLFQVRHDPERLARLQSYMRWKDIRKRARIRAEETTNLVTDVAEPPPPLLLPP WSLLSMFPHASDIPSVIAAASFIDQDDDDEANNNNNNNNNNNKREPLLARLARHDEHT RRMTADEYRAWSDCRTASFTYRRKRAFAEWCGRGAAVDDDDNDDDDVLEIVGFLAREW VQTLTERALAVKKKEEEEELRRRRRRMRDAPRGASAVRPGDVRRAFEMLQTAPKRCTA MMNGTRLRPPKMMRLRMF MYCTH_2303015 MLSQFVILLGLASATLGQVTLQPSTVRTGRPGLPTVTVTATATA TVTKTESYIHEQTKTITTTETETETETETETITSVSVTTQPCSTVSCPTLTKTATACR SCLVPQCTTTSVVTRPNECAATALPTATVSFPCSDPDVCGKIGCTTVYDIRSAA MYCTH_2303016 MQVPLIRLQCGANSYEWGKKGSSSAVARFAAATPSSDFTIEDDR PYAELWMGTHPSNPSKDLSTGRTLLDLVQDNKALLSPSVAARYDNKVPFLFKVLSINK ALSIQAHPNKKLAEELHRKDPKNYPDDNHKPEMAIAITPFEGLCGFRPLGEIAHFLES VPPLRQLVGDDNAREFAGIVRQNKDNDSKDAVEQNKKALQKIFGALMSSSEADMAAAA KVLVESAATAGADFAAGGVAATSGSTLAELVQRLHGQFGADYGLFVLFFLNFVTLQPG EALFLRADDIHAYVSGDIIECMASSDNVVRAGFTPKFKDVDTLVNMLTYSYAPIDEQK MGPSDYPYATLNRTGYSSGSTISFYDPPIEEFSVIRTNLKESGSKATFDPVDGPSIII CTAGKGKISVGPTAQEVKEGYVFFVGASAKCVLESEGSSEDDEFITFKAFCDVEEHRG ASL MYCTH_78048 MSSRNRNSRGPNRNSGSTQGEEAHLWSQIKDDIRTMVDGVNSSN DSLRAIIAQDSYIAKNKDTVDIAAEEQKLDSLLRSGVKGSDTSKQQIDALIEHVTVLR ALVKAREDAEAQAAGASGLSARERPSGLLSASSRSASARAAAASAREKDRDKDRDRDR DRDPGSVYEFDGSGDSPVPSPVGRKLGGSAGAGSDRSANRDSVPPRGGDRDTPGKADS VPPDSGGLAATAAQRARISFFKGQDVVFKPKPTTTTESTEWMLGKVQQVLGEGKSRRY KVQDADPDLPPDQRVEYRTSASSMIPIPPAGVDLPELEKGKTVLALYPDSTTFYKAEV MGTDAATGKVSLRFEGEENNVTLQLVERRFVVEYRN MYCTH_2303019 MGASLSVSSLFGKLFGSKEVRILMLGLDAAGKTTILYKLKLNQT MTTIPTVGFNVEAFTYKNIKFNMWDVGGQDKIRPLWRHYYSGTQGLVFVVDSSDHKRI DEAKTELHRILNDLEMSDCLLLVFANKQDIQGAMDPQTITERLELSKLKERPWFVQPA IAIEGEGLTEGFSWLSDNIKKMPKYGGK MYCTH_2303023 MSLTNPPSTATGPGSVSAVRTQTLKRSVQAAFEDPADRGTGATG YQSKVRVTDKYKVIGFISSGTYGRVYKALGRHGQQGEFAIKKFKPDKEGEQASYTGIS QSAVREMALCSELSHPNVIRLIEIILEDKCIFMVFEYAEHDLLQIIHHHTQQPRHPIP PSTIKSIMFQLLNGCQYLHSNWVLHRDLKPANIMVTSSGEVKIGDLGLARLSYKPLHS LYSGDKVVVTIWYRAPELLLGSRHYTPAIDMWAVGCIFAELLSLRPIFKGEEAKMDSK KTVPFQRNQMQKIVDILGLPTKERWPLLTSMPEYSQLPTLQPPVPHGGGGGGGGGHHH HHGHHHSHHYQTHQRQAAGAGVSHLEKWYYNTINQQTGGSGPGAGTSPLASLGAEGYK LLAGLLEYDPEKRLTAAAALQHPFFSTGDPVSANCFEGLKTEYPHRRVSQDDNDIRTS SLPGTKRSGLPDDSLRPGKRVKEG MYCTH_2303024 MANPPPIVLDGGTGFLKVGYAGQNFPEFQYPSIVGRPILRTEEK GSSDMVIKDIMCGDEAAEARTMLQISYPMENGIVKKWDDMQHLWDYTFYEKLKIDPRG RKILLTEPPLNPLKNREQMCEVMFERYGFGGVYVAIQAVLALYAQGLSSGVVVDSGDG VTHIVPVYESVVLNHLTRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQIKEKL CYVSYDLELDKRLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDCDQPGI AEFLFNTIQSADVDVRSALFKAIVLSGGSSMYPGLPSRLEKELKQLWLTRVLGGNPER LSKFKVRIEDPPRRRHMVFLGGAVLANIMADNENMWISKQEWEEQGPRVLEKLGPR MYCTH_2117728 MAANLVRRTTKILVLNPNSSTRMTHGLEQGLRHMELSQSTEVHT YTAPGESPESINDGEDVQTSCRVVSKDLEATGLLRQYDAVLVACFSVHPLVGVLAEKE GEFDRLSVMGIFEASIITCLSLLRPNKKWGIVTTGKFWESHLTQGVSQFLGTQSQDLS TKFAGVETTGLNAGDFHGGVDPKMVKEKLGEATARLLSKGDVECVVMGCAGMAGLEEI IRSVALEQYGSRYARELLVIDGVRAGVGLLEQMVRNKRAFQKS MYCTH_114678 MSSFAASKILIFGGTGTIGRYITSALLHAKPAFQQLVLFTSPNS AKEKAAQLDKWKSEGLSVIVGDLTSESDVKAAYTGVDTVISAVGRGGLQHQINLLKLA EDSESVKWFLPSEFGTDIEHNDKSPNERPHQLKLQVRKYIRENLKRVKVTYVVTGPYF DMWVNAGSGLEAAGGFLPEQKRAYVIGDGNGKVGFCTMRDVGKFVVATLKSPEVSFGK ALKVQSFVVTPNEVLAEYERQSGSKWEVIKTSLDDVRAFEAKLWDEGEPRATLVTLRR IWAEGGTLYAQNDNSVLSPQLEELDTLEVAAELNAPQDVLTCQKKSVSWKEKVLSFGS SENSSTATDCIPMTAASFAAISAMFTVGGLVGALAAGPFTSRRGRRLSMQATAVCYLA GSAVETFAASVAVMIIARFLTGIGAGASTVIVPLYISEVAPPSKRGFFGAFTQISINV GILFTQTLGYFLSHDSSWRWIFGTGVIVAVAQTLGLLIVPESPSWTANVKGDIARARR ALQRIRGKNSNIDEEVEAWGAGDGKPPGERERLLAGEDTEATERGAGLLSPPSSSGSR ASKAHLGFVQVVRDPLYRPAITAVVGIMFAQQLCGINSIIMYSVSLLNDLLPMSSAIL TIIISVINLGTTIAASPLPDRLGRKTCLLASIIGQGSSSLALALSIRFGLKILSAVAV LLFVAFFALGLGPVPFIMASELVGPEAVGATQSWALGASYIATFLVAYLFPIVNQALN DALGGAGWVYFIFAGFALLWAMFVTRHVPETRGKRDVDEVWGRARRTD MYCTH_2303031 MSANAGESSHDAVTESSQKHEKKHKKAKSDHKKKRAREDDDAAV VEETPRKSKKTKGTDAEERAEQPASLELGSDELTKKKKKKQKAQEEDEERQNGPTVSE EPKKHRKTSGKHVKDAEQPEPEAMEVDTPETAPVEEERKSDKKRKQKKSKKHKSEEAE EMPKKDLDEADGEGKEKKKRKSKQSSTTNATTLQEATSGSAAEMPADADFPFFTQTIS LYVPFFPVGFDKPLTNVAAQHLDPLLNHYSPLLRGVLLGYSNLNLSDRPAKASLTHPP TDETPALLHSIDEYAVGFGWLTFDAQLFVPSRGKWMEGVVQLQSEGHIGVVCWNKFNA SIEAKRLPKGWKWVDLAKGGVKSNASPAPDQEGEPEDQSDILDGEELQVVEQIHTTGY WVDQKGKKVSGKLRFRIKNFDVGLAGDYGYLSIEGTCLDDEAERTLAAQEREAERARR AKQNPGGLLRPLSRRVPEFSMTKFGREDEEEDGGQRTVLYKGSRPGTPDD MYCTH_2303033 METVRTMLGCVARRRARHQGYTEFMDDEKTAPLYSDEDDVVPYP SHEAMSYYYPDDGAATPATTSYDNYSDNDEKGGYHRQEDTRTVEEVAREVAQLLFGAE WNDDALQTRISDTVGDRPWNRKMVECCLDAVIEYIERGRVGMGGAMCAALDKATDTAD EEFAFPRRHPESLDGFIAIVSAGVLAELQGAWVLELLGFGEVQGKQELKDGTGEIAML TSDKIVPSPVPKSNSFAAWWTKAYDAYIPAGSIYAFLKRMDMIEPGA MYCTH_2303034 MDNLLEPPTTSVRPYHPHHCHDLALQKYLLLHEQHESLRQHLDE LRPTVASQNSICTPVSPSPAVSPTTRRSISRSPDDRSANDSSPQHAFSLPVQRSGSCP DSNSIFRHYHHHHPPNHNINHNSSSNNDAALSPAELLAVEEAKLCGVNEGMKRALTEL LNCEAVRGDHAFRMWVQCRLMDTERELRSGRRRRSAPGS MYCTH_2303035 MSVLLETSVGDIVIDLLVDYAPKMCENFLKLCKVKYYNFSPIHS IQKSFSFQTGDPLGPMAPQSDGGTSIWGLLSGDPADRTFTALFHPKLKHLERGTVSMA TVPHPSDPDQRVAASQFIVTLGDNTDYLDGKAAIFGKVVEGFDVLEKINDAIVDDRGH PLVDIRIKHTVILDDPYPDPAGLREPSSSPPPTKEQLATVRIAEDEELLDEEANEEAA AEAERRRKEREAAAQALTLEMMGDLPFAEVKPPENVLFVCKLNPITTDEDLELIFSRF GKILSCEVIRDAKTGDSLQYAFIEFEDRKSCEEAYSKMDQVLIDDRRIHVDFSQSVSR LSQAWRNETNAKRRKAAARRGGGGWGGIKELEKRRQYRNEDVESDDDRGYKMVHGVED FHGRHGKGDDSSRGARRGRGSDQDDGQNRRNARSPDTHGERYKPSYDNRRRDPKRRSL SPRGGRYRDDRHDRREDVGGSRDDREWPRRDRRDHDRDRRDGKDRDRYHGGDYYRRR MYCTH_47428 MTGQLDSDSAPQRKRIAVACGRCRKRKIRCSGDPGQGQPCSNCK NAGVDQCQFLRVSSREAPLRHDSADFVYSVGDARVYANRTPVTHGVPYPSDVPALGSA DVLGSSYRGGSTFPCTPATKAYYPAMHAYGTPYPDDFDFGLGVSSPPVMHPEPVGIMS GPWSSSTRPKPQPFGSIYLDSPEGAAFGGYGGAGLLHRPSPSASSDSPNFSFSGVATP LPLSTTPGPDRLLPNPAARSSTLPHPGPAKPSTPASASTTTTLVDVATAASYTGGFPT PGLPFCSSGSMSSQLSSSSRFNSDGYSGQESIFSEQERSIQSQGPAFDMAAYTALPRR SSGPGSGAGVSMTNHHAYVSGAGAHDAAGTPHHHHHHQHQHQHSPQHPLSTAYVTEDP PSSPVANRHGQALLSGGDSTSHAVVAHSVDDHHIAVASRQ MYCTH_47934 MSLTKAEDHPQVSRFCWQNLQVDPAPDFPAAELLREETVQETIY KRVFGEEVPLRPPRRYQVRVLKQLISRIEGAIEDWEVHGISDNLTSALSRLLSEPLPS ETEAAQQRDYVVYHLSLLLPTQPTAADDNNSSPRINLLESRSLISASGTTGLRTWEAA LHLGQYLCADPSPARNKRVLELGAGTGYLAVLCAKYLGSSHVIASDGSDEVVNHLAHS FFLNGLQDSTRATAMQLRWGHALVGTELDGALGKVDTVLGADITYDASVIPALVATLE DLATLFPGLTVLIAATERNRVTFESFLDVCGKRGFRVTHEPFPVPPRSEQNGPFYSDA TPIHICRLHK MYCTH_2303040 MADSDSPVTLRTRKFIRNPLLARKQMVVDILHPNRPNISKDELR EKLATMYKAQKDQVSVFGLRTQFGGGKTTGFALIYDSPEAMKKFEPHYRLVRVGLAAK VEKASRQQRKQRKNRQKTLRGTAKVKGAKSKKEK MYCTH_2056315 DENDLPPLPVDVSALPTLEPGKAVPGMILTWKQWLLSKATNWQP QVSSLTGIVVNVNDDNTIEVRLAKRDRNLDRNEKMFDDDGNRIYDKFELPGLDDEGDD AAEQGYRTLDFADLIEPRILQYAIEGADTSTTLEQPSTTPAERPENPDIMQSNRLEPA RNRASGTPDETGPKSNEAESRQEDTDTRQRGDRRTGSESPAVQEPIDNSISEDRRHEI SLLINDAGFRKDVDPSVTDHGRLDLSSPSRQLEEMAHDATLHSEVSQAQGGGSPRLPS QSTSNNVESQPTVVLEPFHGFSDCTSEAADEGRVAHPKLDLPPSEAGSLQSGRQIDPD FSIELGENPIHDPDDPATGSRSTLGRRSEDGNSPADKTDDDDVRDDSDSSSSDSSFPS LSDVWNTRATNPSKAPSKSAAMSTTTKMPGTNDANLSEDGGAEPFSESELEEHYAEDS IDETYEDSDMPTGPGWVTKARAPRGVSVPASSATRDSAPKRVSSSQPQQTVDKRTMSA LNSLLRAKKRVLARNMA MYCTH_2303043 MPASELGQTQSDGARLPSFYSPRPEAHSSTSHSDGELEHADFSL STGNHSAPETVAEDADGSDATQPTPAQASTPLTQPPPPSLLSPAFTPPATPGTSTPSG AGPAVPSSEPRGIPVDSSIPTGGCGTKHPRLLETLPEVECIVRARIPTTTGAEMFLHL YKNNVDNKEHLAIVFGGNIRSKSLDAVREGETEMDRLVRGAYTGRLYPGRTTSGLPET ASDGQSGAAPPDYGPPLVRIHSECYTGETVWSARCDCGEQLDEAARLMSLPGNKAGGI IIYLRQEGRGIGLGEKLKAYNLQDLGSDTVEANLLLRHPADARSYGLATAMLLDLGQK EIRLLTNNPDKIRAVEGPHREIVVKERVAMVPLSWKGKGGFRTPEVEGYLKTKIEKMG HMLDLASLPR MYCTH_2303045 MRVSSLVAALATGGLVAATPKPKGSSPPGAVDANPFKGKTQFVN PAWAAKLEQTKKAFLARNDTVNAAKTEKVQQTSSFVWVSRIAELSNIDDAIAAARKAQ KKTGRRQIVGLVLYNLPDRDCSAGESAGELSSDKNGLEIYKTEFVKPFADKVAAAKDL DFAIVLEPDSLANLVTNLGIEFCANAAPVYREGIAYAISSLQQPNVHLYIDAAHGGWL GWDDNLPLAAKEFAEVVKLAGEGKKIRGFVTNVSNYNPFHAVVRENFTEWSNSWDESH YASSLTPFLEKEGLPARFIVDQGRVALPGARKEWGEWCNVAPAGFGPAPTTRVNNTVV DALVWVKPGGESDGECGLAGAPKAGQWFDEYAQMLVENAHPSVVHKW MYCTH_2303046 MQELCGEVRGFVRMTDAGGLPPEILDGGKEALQTLLNGMLAHFI CSEIIASPMWVFAATSLGTLESPGIVPPKPMPSLPGLRMDMSTFADVAPQRPGPVETP RSPQFPPPLITSMIPSLGNSASCLGLPLKPDMERLVHMLTDAQRDDARVTAHHWRAQM MRLFADGGFSIKDVAAAGNNESRRTFVESRLNYARKLKERFLGGSARFLLQDQDARGI ERLESKLTELIDDALRFSCRLWTRMAPVRLYGWKDMGSKEIKASTSLVTLCHAQVEVE SRRHRAQQAGREKPPQDGQTEQLMVMAVQPAVLAENISLPLNSVGRGDDGMALVWLKA RVMLAGPMSVDGPEPEPDVAGATTPSKPSSPPSPPAEDTASKSTTGSGASPQPGAGAP QTCEVLPAASFKAPPGKKATDY MYCTH_2029812 MGSDAPVPAAGGGLPSLALAAAEPRKDGIMVLRRDSASSRSSSY YSAATPAHSSPRSSANGRLLSGQQADRLSDSPPLTPIPGHLLQPVDEEPDTPSSTIVE FGDLGRGSVPSAAQLVEHVHWSFRQSQVAALSESRSSSKARGSQDNRESRDGRTWRDQ RNQSRGRSASTPSAPRERSQSNQRRLNSARDTKANAESERRLADERINLFLQSMA MYCTH_2303048 MFRFFKSDFYNFEATRILSSASAEGCEIAEFIEAVSKIREHDPE SWYDAWHEQSQRAEAISRDAIRAGHVDAARKALLRASNYARASGYMLPWAGQDERVLQ TAERSISLFRAAIPYMDCRVMVLEIPYQDGILMPAYLYLPSAAGRQVGGGGGGPGTED RPVPVIVVPGGADATQEELYFVFVSTGVSLGYAVVTFDGPGQGLVLKKHRVVMRPDFE VVTGTVLDHVQHMAAANPDLGLDMDRVGILGASMGAYYALRSSVDPRVRACVSIDPFY GLWRLAGTRMPSWYAGLWTSGWLPDSVLNASIYLQMRLHFPTRWEFQLGMAMMGTATP AGTLRRFRDFDLDVAPDGKGPIVDRIRCPVLLTGASRSVYASAGESTIAIYNALSGVS ESQKEVWIPEEIGNGGMTAKVGAWGLLSHKTFRFFDRWLRVSRDKTEGNGHVCI MYCTH_2133773 MAGSFASTLLQVAPLASSSAALICSVCQQVTMAAFLGHKVPPQA RRDLWYPFFVNYKNIVYVSSPSHLTTITTCLLNYYFSNAPSVWWLVCVAFVVGHAHPL QKGIKLLSLTAAEWESKTMPETRAWFQDFVDINQRRLLLVDLPGWLCVVATVVTALRS V MYCTH_78019 MDSDTTKLPPESESAGTKELRSENEIATAPAEELSDSPPKQPFR PSVRVWTILIAVGFAGLLTALEATITSTALPSIVNDLEGGDAYVWAVNGYLLAMTALQ PLYGQLANVYGRRWPTILATAAFLLGSGIAGGATNMAMLVAGRVIQGIGAGGINVLCE VLVCDVVPLRERGKYLGGMFGMIALGTALGPLFGGLIVQNTTWRWVFYLNLPVGGVAL VLLVLFLHVNYRKEDSLASTLTKIDWLGNVIFIGAVSAVLIALAWAGAVYPWGSYRIL VPLLVGLAGLAGFLAFEGSRFCAQPMMPLHLFSNRTSSTAFALTFLHSVTTLWVLYFL PVYFQGVLGSSPQRSGVQLLPTVLVLIPFGAFGGVLMTKIGRYRPLHHVGFALMTVSM GLLALLDEDSNTGSWVGFQIIGSAGAGIIIPTLLPAVMAPLAESDTALATSTWAFLRS FGQVWGTAIAAAVFNNRFDDLAGRITDAAVRQQVTGGQAYQRATAAFLDLLPPESRDQ FVSVLSDSLRRSWFVSIAFAGLGFALVIFEKEIPLREELDTEYGVAEKTKPKGNGDVE RSAERTA MYCTH_106502 MSAPASSNIHNLNGSWTMDKKLSDSIDPILELQGIPWIVRKAVS WATITGTITQTKDEKGRTIIRTEQTATGGLKAETETIQLDGSEQVHESAVFGSQRARS RWLDLTSEHPAPGVSSKEEIDPYLGEGWLEEEPEGSPGHVWITATNERAGWRVDQVWG FALVDGQRYHVKKFVATKGEGRVTARMVYAWVPAR MYCTH_78013 MVQTPRQKKFGNEPIAIIGSACRFPGAASTPSKLWELLRKPKDL LTKIPPNRFNADSFYHPDGAHHGASNVTESYFLEEDPRLFDAAFFNVKPVEAHSIDPQ HRMLLEVVYESLEAAGQSIEGLAKSQTGVFVGLMCADFSDHILRDLDAIPTYMATGTA RSLISNRISYFFDWHGPSMTIDTACSSSLFAVHQAVQLLRSGDSDLAVAAGSNLILGP ELYIGESKLKMLSPTGRSRMWDADADGYARGEGVAAVILKRLSDAIRDGDHIESIIRE SGINSDGRTKGLTMPNELAQADLIVRTYQKAGLDPTKEEERCQYFEAHGTGTEAGDCR EAEGISRAFFGYQGGNEGPAPPSQSEKLYVGSIKTVVGHTEGTAGLAGLLKASLAIQH STIPPNMLFERLSPKVAPFYKGVEIATEAKPWPKASDVRRASVNSFGFGGANAHVILE NYEPPAVAAAGTGAGAGDAASQTSFTPFVFSAASETALEGVLEAYAAHLRENPDLPLR DLSYTLHSRRSALGVRAALPAVASTQQLANSISDHLELARAGRNDKSAGQGASIGSRP IAATPRLLGVFTGQGAQWAAMGKELIQGSAFVRDRIKSLESALSDLPASARASWSLTD ELLADAASSRLGEALLAQPLCTAVQIVLVDLLREAGIEFAAVVGHSSGEIAAAYAARI ISAEEAIKIAYYRGLCVEEHVKTEGAMMAVGTSYEDATELCNLDAFSGRLGIAACNSP SSVTLSGDAAAIREAKDILDDEKKFARPLKVNKAYHSHHMAACSAPYKQALEACNIEP RQLAEEEGGCVWYSSVYPGTAMGTTAAHIEDLKGEYWKDNMLRPVLFAQALETAIERN EDSPFNLVIEVGPHPALKGPASETLTALYGKKQLPLPPYTGTLSRGSGDIAALSVTLG TAWSRFGSPFVNFAQYEALLTGEPRSARKVVPNLPTYKWDHDKVFWHDTRLSRAMRNR KELPNPLLGRRIPDGVTDEMRWRNIIRPSELPWISGHQLQGQMVYPAAAYLSTAIEAC AFLAEGSVVESVEIRDFDLGKALVFDGNTEQTGVETLFSLSNIVKKGPKQITANFAFH AALGADADVLSRLGSGRVIVTLAGTGTGAGTGRLLLPPQRAPEPADTAEVREDEFYAS LEKLGYEYTNDFRALSGMRRKLDHGSAYVRVPGHELAADAVLVHPALLDCALQAIFLA YWYPNDGSLDQLQVPTGIASLTVNTSLCRQDLAEGVRLPLESFLTEDPLSTATIGGDV EVYGRDGRTPLIQVQGVRITPLATRTGQADRQLFMENVWGPGAPDGTLAADNRAGAAD FELASDLERLTIYFMRKLVRDIPPSQRQGLEWHHEALFDFVEHVLEQTANGRQRFCKP EWLDDTWESISHIRAKHPDSIEVELTHAVGENLAAAVRGETQILQHMFKDNLLNRYYV EALGIRETTAFLARTVAQIVHRYPHMDILEIGAGTGGATKAIFREIGRTFSSYTYTDI STGFFEKAQEVFAATADKMIFRALDIEKDVVEQGYREGAYDLIIGSLVLHATKSLDKT MRATRRLLKPGGYLVLLELTNLDVLRTGFAMSGLPGWWLGRDDGRRYSPCATSARWHQ VLLGAGFSGIDTITPEVDVLPRPFSVIVSQAVEPRVNLLREPLSHPAESNASAADGGE LVIVGGQSLATVILIDSVLDLTRHFGFAVTRLSSLDEFDAAAVSPTALVLNLAELDQP VFSNLTGETMRGLQSMLDYQRTILWVTQGCRAEQPYMSMSVGLGRTVALEAPGVKLQF LDLDISRKPNSKLVAEALIRLRFTRDEGSTRGILYSTEQELVEDDGRILVPRLLPIRP ANERYNSSKRKITKLTEVGAESPALVLASTDAGYAVYEGASDDARAGASDDTAIIRVT ASTLLPVIGNLYGVLGQEKDSGSWVLGLSSTNGSHVAVPRGQVRLVGDAILKEEAQQQ QRLLLLALLAVEAQSSQILSAVPRDSKLLVNEPPAGLAGSLVRRAAERGTTVVFTAST TDAADLGLPHGHPVVSLSPLSSKRAVRAALPADVALFLDCSAEPEGVGLGSLIAACVP PSGQSIKLAELGEKLRQQPTLVDAPPSDTELASLPTLVDWSSGDKVPVSLQSVDSLIR FDGAKTYVLFGLTSDLGRSLVDWMASHGARNVVMTSRRPNIDPKWLEERRARGIRIQA FANDITDPAAVEDLVNSIRRSFPPIAGIMHGAMVLEDVPFSEMSLEIMNKVVRPKVMG TIHLDRLFQDEQLDFFVFFSSLASASGNRGQSNYSAANMYMTAKTFERRRKGLAASVL HLGAVMGIGYVMREASEIVFPAIRRAGFQWMDERAFRQCVAEAILAGRPDSGRSPEIV TGLRVINVDEEEPAPWMDNPRFQHCIVRGGTDSGAKKNQGGAAAGVKTRLLEAATPEE VLDIIRDSFLQKLQIMLQTELQTDDERANILAANAEDTGIDSLVAVEIRSWFQKEMDV DVPVLKILGGATMADLVAFAHEKLPEGLTPNLGNESAAAAAAAAAERSQSRVEITPAP DAVDTSRTSTTVFSAPPTLDPASSSTGSDHPTSVTSSGHTTPAHELETGLSPPSAPPC APREQDVERTAPMSLGQSRFWFLRSYIEDQTTFNISFSVRLKGPLQVDKLESAIQTLG HRHQALRTAFVARPGQLLPDQAVLKRSLLRLEKRQIKEAAEASEAFEAMKNYVFAIER GESMRLVLLSLSPSDHFLVVGYHHINMDGASLEVFMADLMKLYTGRPLAPRPFQYPDF AAQQQLEVQQGKMDRDIAWWQDQLAGAALFRLLGTGDLCIGMADANRFEGDLASSVGM YLNLLPLRFRPSGDRTFRDTLKDVRRTAYAAMAHSHVPFDLVLNNLKIQRSTLHSPLF QAFINYRAGVAEKRSLGAVEGEGEQYHFGRSAYDISLDIMENPNSDPRLMFLVQEQLY SEHEANILADTYMHLLDLFARKPDSTLGSAPAFAPETAEEAIRLGRGNPVVSDWPQTI VHRVDDIIQRNPDTIAVREALGGRVWNYRQLRDRVGAIARALLAAGVTGGSRVALFQE PGFDWVSSLLAVMRVGAVFVPIDPGTPVERLAVIAAAARPAVALSHDATESAQEAALA VIRDAGGARVVNVSRGEGEGEGDVAGAGAPANLAQPDEAAVIFFTSGTTGVPKGAIVP HRGITNFMEHTCDIRGPEVVLFHSALGFDLAMWQCFSGLAHGGTLVVAPRSMRGDPVA ITGLMAKEKITCTGATPSEYHTWIQYGFSKLAQSTSWRIAMTGGEQCTPKLVDDFRSL RLPGLRLWNCYGPSEVTVGSNQAEIPLSEPPQAPVTVGKAMPNRSVYILDDRLEPVCA GAPGEVVIGGVGVGLGYLGNDHLTAEKFVPDPFAPAGGSAKMYRTGDRGRLTRDGELE ILGRIDGDSQIKLRGIRIEMQDVEQAILRSADGALASVCVTARGEPPTLVAHAVFRPD APVPRRDRDAFLRRLASSLPLPQYMHPAVIVEIPSMPLNLHGKLDRRAVQELPTRVVA AKEEEEEKRPNGSSAAPLTQQELQLRSRVWERVIPEDVLSLYTVDRDTDFFHVGGNSM LLVEVQRRVKDEFGANLTIMRLFENSTLGAMAAAVHDAALESAGVDAAIDWEDETALT KDLADAVPSPEERAAAGRRRLDNNGPGGKVVVILTGATGFIGRELLARLLSSPDVAEV RCIAVRDPSRLADVVESNPGRVSVHAGDLTSVEETVGEEDEQRLFADAHAVIHCGADV SFLKTYATLRRANVGSTKALARLALRHGLDFHYVSTAATGRLLLVADPSSSPTARGDV FGEESVAAYPPPPGWLDHYVASKWASEAFLERAAARLGLRVWVHRPTSVTGPGAGETD VMSTVMRFAKKLRAVPVSSRWRGSLDFVPVETVADGIVGAVIRGGREHQQQQQQQETT PEEAGSVVPVKFLHHSGGLVIPIERLQSHLEEEDGVEYRTVPLGQWIEMAVAEGLNVL VAAYLASVDEMDTDIVFQAYVKG MYCTH_114667 MGYLVKLACGLLLPLATADVNLAQQPFGEFEWQPKFDTPSGDHT SCAVSRLSAYEVGEGPVSFSLSPTEHPEIPKLSTINSTVWEQWEFDAVSESGTGSVLM GFSRDPSYSFFGQGNLRVEFYMTLEDGTRIQELEYTESSTVIDCPDSIRGIWNSSDRS YAFEISRDMQRARVWWDTGREKGSIAIESTTTPHLADGEIWPPEEGESRVKKSWPSVK LSPGLYMSQPIAGGKVTAHVQIGKKTMSITGYGAHSRLWAENSWFKICRGWQIMRGFL GPYTISYWRPLSRLDDWVPYFAAHLFKHGRLVFTSQVGAPSQQVDYTQFHSDFSGNVS GSLADKATGRVLEFVSPSTGKTWRFHHRHFAKMFEMGFGGGRGLTGFLDEIVGGEVGT DEEFTGRGFSEQVLLPDEIKQWQIWVVYGIGFLGRWKNTVTNLVLSIW MYCTH_114666 MAIDEQIPRVQRALIQSSTPGVLQFTETREVPKLLPDQVLVRVV AVALNPCDWKMPTNFPCPGAGVGADFSGTVVRVGDDVRPGKFDVKLGDRVAGAVHASN RLKPQDGTFAEYIAVRADALWRIPDGMDFHVAAAIGLCVVGTVGLAAFHERHLNLPGS PEQPVKPRAGGQPPWVLVYGGSTASGTMAIQILKLAGFRVVTTCSPANFALVESYGAD KAFDYHSPNLGESIRAYTNNSLSFVMDIIASANSLRQCYASIGRAGGHYVGFELVPDE LAGIRKAVRASWVLGIRLLGYEIALDRGYGSPPDPELGMWGRAWFKRTEDLVWGGKIR PHPIELDTESGFDGIVAGVERLKRGEVSGKKLVYLIR MYCTH_2303060 MLGAFLTPEVRDRLLSDAENESYDRIENHWLLRRSAADAFAQGY FQLSFYKKSKYEVSKILTGGPRYPPILDQVKDFRRASFVDSSRSNINIPKCWALEILS RFAIPLRWTYIAQEIAGKQHKQSYAAFLSPFSSTLTLLSDTYTTILKTLWLLVPGAMR IRMYRSLASLGARMYGFTDSPNVQQLPFGLYLKRVSLARRESLVNEHAALELVRRHTN VPVPRALDLISDSSNIYLLTTRIPGYKLGLCIDTMSDEDTAQLVHELRRHIAALRVVP RPLGWKYAISSAVDGPCFDYRINAALDYDENRGDVVGPFLSEDDFNETLRCGALPEVV HRGGHKMVFTHGDLNLRNILVDGHGRLAGIVDWENAGWFPEYWDYTKAYFVTKLDQRW LRMVDDVFRQFGDFGQELQTERELWNYCF MYCTH_2303063 MMAEPLSDYEAALSAIRQSGLGHPDRPLLESFIDDSVDRGRAAA FLLKRLSRDTTTSKPDIGAFLADWKRTIAMCNIPSQENFHGVGC MYCTH_2303064 MTRNFKQWLKQGLKATKDENGHGVPPEPPYREYWPNLPASSANT KLNYGLFQRLPLEVRQQILTQAFGKRTLHVHLAYDHPLARKPNSGENKRRMFLSKRAD EKRPENRRRHCGLGSNLVPDASRPKQWQWFSCVCHRRVVEARECRPEPQRPPQGRIEP CNDGCLNGRLFTTRYTTNAEWGRLCRCEPIAEYDRPMADRECFIGIMGWLLACRQAYI EGVDILYRTNTFHMSSLPLLLNLARLMPPRRLATITSVELLWDLFDDRETIKTVYKQA QASPSSVPPQSQPSTKFHELRQITPRIFPNARNVYVAVQAPIEPLFWAERPAACLPLL ERAILGPVEDMFRAMGPEPEKEFSFAIQLGGFLALARRHWRDKDVDPGVYRRAPSQCA VDESGYFVLDGVSFRAIRAWRPLGPGGAGYWLRPGLTDSWTAGLGMFDIWGTWDDLP MYCTH_2303065 MTVNTREKQWWKQAVVYQIYPASFCDSNGDGIGDLPGITSKLDY IASLGVDVIWICPMYDSPQVDMGYDISNYEDVYRPYGTVQDMETLIKETHARGMRIML DLVINHTSDQHAWFKESRSSKDSPKRDWYIWRPAKYSPSGERLPPNNWRCNFGGGSAW EWDELTQEYYLHLFATEQPDLNWENPETRKAIYASAMEFWLKRGVDGFRIDTVNMYSK PPTLPDAPIVDPGTPYQPAGMIYCNGPRMHEFLSEMNAILTKYGAITVGELPCTPDTS RVLQYVSAKAKQLNMVFQFDVVDVGMGKTHKFATPPRNFTLPDFKAAVDMTQALIRGT DGWSTVFIENHDQARSVSRFTDDRPEFRVRGAKLLALMQACLSGTQYIYQGQEIGLVN LPKDAYPIENYKDIDSTLFYDMVKERYGADNKVELDKAFDAVQYLARDHARVPIPWNG QAKYGGFSEAAEKAGKKVEEPWMKTHPLASEINVASQLNDPDSVLAFWRKMLRFRQAY AHLLVYGDFKDLRPDDKNLFMYLKEPDHGAGDRVLVVLNFTTEDQTWNVPSPAELGAS ENPELIPIMATHSGMRKLGQLEPFEGQVYLVR MYCTH_50903 MSPAKDDDAVERVVVIRPNVDKSTALEAAAATEAEHNMGLFKSL KLYRKACLWSIFLSTCIIMEGFDYAFLASLFAYPPFQRKFGVALPDGTYQLTAAWQTG LTNGVLAGQVVGLFANGIIADRFGYRKTLIGALVACIGFIFIIFFAETLVQLLIGEIL IGIPWGVFQTLTTTYAAEVCPTHLRAYLTTYVNLCWVIGQFIASGVLRAMLSRDDKWG YKIPFALQWVWPVPLIIGIWLAPESPWWLVRHGRLEEAKRSLARLTTRNSGVPFRPDE TVSMMVHTNELEKELLAGTTYADLFKGVNLRRTEIVCMTWMIQTLCGAAFMGYSTYFY QQAGMAIENSFTMSLGQYALGAVGTFTSWFLMQWFGRRTLYLSGQVVMFCLLLTIGCA SFAGKDNVAAQWAIGSLLLIYTFTYDATVGPVCYSLVSELTSTRLRTKSVVLARNMFN IMGLVSNIITPRMLNPTEWNWGAKSGFFWAGTCFLSAVWTYFRLPEPKGRTYGELDVL FENRVSARKFKTTAVDDFAGRSSEGVEEKEKALEVEDVVRRVESRPN MYCTH_2303067 MSTKVSQACNACRVRKVRCSRTQPCTQCAHLNLACTFAPAPAKR KPGVRGHLVAQLRNKTINHAGSEGTPPAGTTTSTAPAVTSIAGILDWGHAATPSPPRC FEPVPLAAGFSQEFFLRLLPEYEQLVYPVNPVLTPDEMRSAIGNMHVSYEDAALVHAF GAITLNLTQPSWNLHGNVASQMTLLLQHSLWAYRKADMGRDTSADYLLNEMPLTVKRA MVGIWNECSLMAFRRFDRSFASLREAITMIQMLNIHRYSEDNLCGLTRREVSRQQRMY WEAYIHERFLCVMSGYPCTMIPLRTGLPITDPDVPPHVTVGFNRLIRLFQIMDAPLLA HWAAQDIPDAPTITAEWIEHKQAQLDADEAETAEAARDLAASGAGTLSEEQHVDLFVT RLWLRTLVWQLALSHGLLRSAPPRDAHEGFSLHFPAQRLSAQLRGLVSRLRHTSSIIT HGSGILQKLFEITSTVADVLALPRGPGQTQEEARARVEDFLFLVRFIFSFERTQKHHR EYLLDKLTTLREMYTVVDFGTLGRTPEG MYCTH_2303068 MAANSRSGHAFVAFARRVYHPMGFSKGYNFVLFFIFAGAFTGFT LARLQYLAIDRSCESKSGDRPLGCYYYTRGSIDRIGIILHLGTILPGSLLVILQFVPI IRRSVVLFHRINGYLIIILSLVPTAGAIMLARNSVGGRTEVQTSIGVLSIAFVVCLAL AWYNIKRLQIEQHREWMLRAWFYAGSIVTVRPVLFLMALITSNEDYFVPMPCAEVASL ISKKERILQDYPACESYFTHGDTSTNVLVPATMAGDGSNRMASFSHNFGAALWLALAL HAVGVEIYLRLTPAEANRLRNVSYQRQLEAGMKSPGRAGLTADRLGNSDLWKPNTDQN EQDGGEVSK MYCTH_2314963 MQYSTLLIGLLAAASSAFAAPAPNTRSEAVSAMADVPQWIIRSF TRTCNDDDTSCEISFGVDTQIAPVTECSYNVTGNPASQTSTNGITCGPYTISSGWDPL GFTTWSVVDWSKHLIVWPAYSDAELVNGQPVTPDKSFAPQALP MYCTH_2117751 MTEEASSDEAGKLSPWDRIVLVGLFPLFGNYPGLHWRQRLALTF LQAQRAAFPIRHLRWLTRRVSTGEAVVRYCSKNKIPHKSVVIDSPAAASGIEGVPAAT LHLLTVPIVEEDVPGRTFLYFHGGGFVNPLRDVAHMPFIMQCAEACHARQAVILEYAL APEHPYPAQLVQCVATLQYLLGEMKLRPEDIVLAGDSAGGQLVGALLAHLAQPSPYAA AITVDGQFRAALFVSPFVRMPPDEGSYEANHGKDYLNRPQVWANLCGVEQGADIWKLV FARGRGRGDRGLVLKAMVTVGTSEVFLECCRSFAKEHVGAETVFVGRQTDCRVLGGMD RVLVECEAEVHVQAALDCAVGYNEGAMQRAIASWLAGL MYCTH_111741 MVEGESSLTAHSVFANNLLQKVASTDSRPEVRERIDALRNMVES MEKQPAAREMAYPHAKPVRPVTLEGCDVPPIEKTLQLLKLTKAQPQIGAAWILDLFQI DHFPETCLALYMAEEYNPVHFIIVNAGLHFLYRGYAYYFPDKTEEYLALARLCGVNIE TALSSLPLHLPANDDVVEALLLGAFYTIELGKPSLAWILSSKASEMCQSLGYHRADTH ANNDSSAGARRKRLLFWVVYTLDKSLSLRLGRSSTIQDYDVTVPEPRDEETQHSASLS FFNLWITESRIQGQIYELLYCPEALRQPEAVRKSRAKLLLGRLDELDTLTQKMLDKWH GLLRSVSPRELAEFFVISDHVLRLSIRTLIHRAVPNPPGSPTTFTAECIQTARETLAR HQECMAVLEKTTAGLFSTYMNWTILFAPFVPFIVVFCQVIETRDRADLARLEAFVSSL QPYTAVSEAVDRLRRLFQVLYSVASQFVESQTGQGRDGQQPSTVDTCLAALGFPSQLG PSSQESEYETGTASFASDPAFQRGVNPMIWMGNGTELEDWFYNNQYMMGFMEGAVTEE AN MYCTH_81753 MAAGVDFPKEAEQAEKESTSQPTSEDRGNINDTNNKGAEENGWS AYRRIFRYAGPFEYTLQGIAILAAIASGAGIALQNLIFGEFVTVITDYASGDSSRQVF LDDVAELALNFVYLGIGRFVLSYTYNALLTYVSHRIVRNIRHAYLRAALSQEVAYFDL GTSGSIATQATSNGRLIQGGIAEKLGLTFQGLAAFVTAFILAFVTQWKLTLITLCIAP ATIISMGIVAAIEAGYETKILDIHAQANSFAEGVLASVRTVHAFEMRARLVAKFDEFL SEAHRWGNKNSPLFGALFSVEYTIIYLGFGLAFWQGVQMLARGEVDSPGQIFTVLLSV TIGSINITMLAPYSIEFTRAATSAASLFKLIDRQSAINPFDDSGEKPSEVTGLVELEN VTFAYPTRPGVTVLDNFSLRVPAGKVTALVGQSGSGKSTIVGLIERWYNPSSGVIKLD GRPIDSLNLSWLRKNVRLVQQRALDKAAEGRTTIVIAHKLATIRKADNIVVMSKGRIV EQGTHEGLVAQDGTYARLVRIQDLAVKAAGSSSDAEGEDTAADEEGHPAELTKTMTRY ATEDQARMESQKGRYDFENHKKLGLLGVIIRLAKFSPELIWWYLCVLVACVAAAGVFP GQAILLSRMMNVFTLTGDALKERGNFFASMFIVLAAGCLVVYFILGYATLSHKLRRQI LHDILRQDLEFFDRPDNSTGALASRVDSHPQAVFELMGFQVGLILIAVLNVVGCAVLS LAHTWKLGLVIVFGGLPPLLGAGWLKIRLDMRLDHNVSKKTSTSAAIASEAITAIRTV SSLAIEDRVLSRYTAELDHAVAQTVQPIAAVMVCFGLTQAIEYWFLALGFWYGCRLMA SDETTLYNFFVAFMGVFFAGQATAQMFQFSTSITNAKNAANYMFWISGLQPTVRETDE NRDNSPKSGGPISLDSVRFSYPLRPDAMVLRGLNLEITKGQFVAVVGASGCGKSTVIA MLERFYDPSTGNIRIDGDVLSELNPRHYRRIVSLVQQEPTLFQGSIRENIALGIDDPT APVDTAASSATAQGPTVSDSQIEAALRAANAWEFVCSLPDGLSTAAGPNGTQLSGGQR QRIAIARSLIRNPKILLLDEATSALDTESEKIVQSALAEAAKDGDRITVAVAHRLSTI KEADMICVFHDGKIVEKGTHEELIALGGMYRKMCEAQNIE MYCTH_2303088 MGECPVRHSANVAGGGTRNTDWWPNQLRLNILRQNQPASNPQKD LDYAAAFKSLDYEGLKKDLRALMTDSQDWWPADFGHYGGLFIRMAWHSAGTYRVFDGR GGGSQGQQRFAPLNSWPDNVSLDKARRLLWPIKQKYGDKISWADLLLLTGNVALESMG FKTFGFAAGRPDVFEADESIYWGGEQTWLGNDVRYSQGREGVAGQGALGGDESKKDHK DIHTRDLETPLAASHMGLIYVNPEGPDGIPDPLAAARDIRITFGRMAMNDEETVALIA GGHTFGKTHGAAHSDNVGPEPEAAPLEQQGLGWSNKHGSGKGPDTITSGLEVIWTKEP TKWNHNFFEYLFKFEWELTKSPAGANQWVAKDTDAFIPDPYDPNKKHKPRMLTTDLAL RFDPVYEKISRRFLEHPDQFADAFARAWFKLLHRDMGPRSRWLGPEIPAEVLPWEDPI PPVNHPLVDDKDIAALKHDILATGVAPHKLISTAWASASTFRGSDKRGGANGARIRLA PQKDWEVNNPPQLAEVLKALEGVQAAFNASAPGGKKVSLADLIVLGGVAALEQAAGVP VPFSPGRTDASQEQTDVESFQHLKPFADGFRNYGRGTSRVTTEQLLVDRAQLLTLTPP ELTVLVGGLRVLGANYDGSSHGVFTTRPGKLTNDFFVNLLDTGVAWKSIDGELFEGTD RKTGEKKWTGTRADLIFGAHAELRAIAEVYASAGGQDKFVKDFVAAWAKVMDLDRFDL AQQGSAGPKL MYCTH_68580 MYIHADAPPRPGMSKSLVHDAATSAEAASTAPRPKPKRVQVSRA CQRCKRLQKGCSESRPCQRCVRVGLEYECLRDPGRQARRSATAGMATTINTNSSSSSR AWSSYPHLPASLSPETAHASPLTGSLPPLLLPPPPPPPPPSPRRSLPPGIVIRYCFQR FFAKLYPTIPILTPEYADLLVAEAESHRGAEAQCLVTAVCAVVLLQVEEPDRRPFEAA GIPHSNRHFGELLFEDAMASRVHLSSSAFNPSLERTLATFFLYAGHASLFHHSQGFFF LREAATLCLVLRIRDGDLLRRKLADRLFWIILVSERSHGIRYRRPVTLQVVPSGPALE AESESGSGSGSGSGSEPDTALAGLRRLVSLFRPLDTAFFSLLNREETTVFGSRLVSSS LDAIQAAIRGALEPQHTGLLCETQLANLRVTQLWLLVILWQLRLRLGLLMEEPGIPCH LTFHYPVELGEELVGVVRAISVESIRIHGVGINEKIFDVACAMVDVLSRVPATDKRGT GRENIRYFRDLILKLPGGVSTYGALLDKHISNTLPNLLVQSGN MYCTH_2303089 MGSNLIHHPDGWVGTTPWWKDAVFYQVYPASFKDSNGDGWGDIP GLISKIDYLHRLGVDVVWLSPMFESPQQDMGYDISDYQAVHQRYGTLEDVDRLIEACH SRGMKLILDLVVNHTSDQHPWFKESRSSKTSPKRDWYIWKPPRYDGEGNRIPPTNWRG YFAGPTWTWDEGTQEYYLNLYGPFQPDLNWENPECRAAVYDEAMRFWLDRGVDGFRID TVNKYSKRTDFPDAPVTCPGVAHQPAPEMWCNGPRIHEFIREMRTRVLAPYGAVSVGE LSNTPRPEQVLPYVSAAAGELDMAFEFSTIRLGTGDLFHGKYIYRPFPLSALKRLVET WQTFTEGTDGWHTVFCENHDNGRAVSRFGDDSTPELWEASAKTIALWQATSTGTLFLY QGQEIGMRNMPASWGIEEYKDVESVGFYNEAVATGDQDRVRATLHGLGILARDHSRIP FQWDDSPNAGFTDEGVTPWMRVHDQYRELNAAKQAADPESVLGFYTRILKLRKQYRDL FTHGRFRLLAPDDEALFMYVKEGGADGDGDGDDDKKRRKALVVMNFSSSVQQCPDISG ALGCREGEASLLLSTVRSGKSAASDGLGPGLRGSELSPWEGRVYLNFKP MYCTH_2303090 MADTKTPPGSDGPLPDKETGAVAAAAAPLDGDIAIVEAKHASEK EHQMSLLKALKLYPKAIGWSVLVSSTIIMEGYDLALLGNLYASPAFNKKFGEYDAASD KYVVSAAWQSGLSNGARAGEIFGLIFAGWASDRFGYKMTTIGSLILMICFIFVLFFAP NVKVLVVGEILCGVPWGAFQSVTAAYASEVAPMVLRPYLTTFINMCWVIGQFFAVAVN KGSVGRNDDYAYKIPFAVQWVWPVPILAGLIFAPESPWWYVRHGRKEEARRSLLRLTS RNQPDFDLDETIAMIEHTNELEKKLKEGVRFRDCFKGVDLRRTEIVVGIWLVQTLGGQ NLMGYFAYFLVQAGMDSSNSFTLSLCQYALGMIGTAGSWFLMSRVGRRKIHFGGLCAQ LTLLIIVGCLSFGTTKASVWAIGAMLIVFTFVYDFAVGPVTYSLVSELSSTRLKAKTI VLARAAYNASNIFVNVMTNYQLSSTAWNWGARTAFFWAGSCLLSAIWVFFRLPEPKGR TYAELDLLFEQRVPARKFAETKIDPFAHTEEKRSLSGEMLEHVEK MYCTH_2303093 MKFSLATACLLGTALAVREDHLVSRRLNKRFIDDAGNYNISFYH INDVHAHLDQFSKSGTDCTRPEAGCYGGYSRVKTVLKETRPSHPDSLLLNVGDEFQGT MFFNFYGGEKIAETINQIGFDAMTLGNHEFDRGDDYLGEFLENLTFPILVANIESDNE VLNRTIKPFHIFPEYDLAVIGVTTDTTPGISNPGKGTKFNNPVAAVQNTVDLIRSTTN ITRLAAITHIGYEEDQRLAKETTGLYLIMGGHSHTPLGDFEGAVGPYPTIVENKDGEE VFIVTAYRWGEYLGYIDVTYDADGKILAYHGAPIHLTNATAQDKDLQEQINEWRGPFE EYAKEEIGYSNVVLDQTTCQEQECLLGDFVSDALLTYRLNASTSETAPAFALVNAGGV RATIDDGPITRGEVLTAFPFSNSVVEVTVTGELLWKVLEGIISGVNVDNGKPVTSFLQ VSRGIRIEYGPASANGTDNVLVSVTIGDKSLDKAAEYKIVTVDFVAGGGDNMFSTPFE NLVTLDAVDEVLVRHIQATSPVDIALDGRLTKVSRCKASRAVRMERARRAKRN MYCTH_2117759 MLVLVAELFLLAALLIICLAYFVFLMPPRYPTNIPAVPFWVALI PFFKDVDQSDIFRKYIERPLRTHGAVKLFFGAQWNILVHKPSYLAAIFRDEDIYQKSG NQKKIPHSVLAHLLGDNIISSHGERWRNYRSVVKPGLQRDFEARAIARNAEHLCGLLR DAQVRAGNGGVPVQELLQRYSVANCSEVVLQIKLDALTSANAPINFLQTEVKREIFKP VFMNFPVLDRFPFPSRTRARRTVDRFKHELRRAIVESHAKDAIFASAASSDGLGRRML DARESGLWDEKQLLDNLTVAFVAGQENPQLCMISTLYLMAKHPESQAKLYAEAQSKGL MERTNPDPDPDPEILQDMPYLTSLIYESLRLFPPIGQLVNRKASKDILLGDDIVIPKG TYLGYNCYSTNRDPAVWGPRADEFDPARWGDSPLAIRKQYRLRKARAEFISFHGGRRA CLGEKFAMLEMRVTLVTLVREFRWRLDPAWVDRKTPLRTMDFSNEGQSFYHRLQGAFV TDGNGRLIHCTGPQRQRAPDNRGLIQSGSQSLGDSGDVRIYQDCDARQHRTVVLVNSA RSDHEDIALDKFFVQLVLHQADFSVRSRPLKTAKNDPRAEQIVDLFDSYLRYQGKDDK WTDGGRTYFTERVRHFTAQERPIEFCLPAFPCKSSNRDKVTGPDPDRGEELALERLHD FVEAVERMYGPGAKLWIISDGHVFSDCIGVDDADVDAYGEKLKQMNHSIGVRRGNTNR VGFKSLVDLFRLSTSAVVGGLPALAQRLSLLPTIDHHVSTKLTEEAELCRRILMAGCG PRRSAVRAKIDSKDPAITALYRGFSRFMLEDLEHHPHTRNLTRSQQKKLSAKVAFEMI LMLFPNHVRLSIHAHDNAGPKFGIQLFDPSRVRAVQTLSADGELMTSLDLLHIPTPWH NSVVQLAGSDVILVTKAKLARAALTSGSMTGGLVGAEEGRAHFMLEKKSVGAAIPAQV APLPPASSVATIPAEGVVETESKMGPAATETATDSTTAAVELPRAANRPTAGKPRKPT TMFAEKADDVIRRSTGSSVGGEKSSPASHTTNSSSWLAKLRWVLSLFGRKSREMVGRH LRLGT MYCTH_88187 MDHHDMTGMSSVATMTTTAAAAAATSSGKSGMGGMGGMGSGNGC KISMLWNWNTIDACFIAKSWKITSRGVFAGSCIGVVLLVIVLEMLRRSVKEYDRFLLN KHRKSLAAAKPTPSPKTASDDGTDALHCPAVVSQGYRPNVFEQAIRALLHMLQFAVAY FIMLLAMYYNGYIIICIFIGAYIGSFIFHWETLGGGQQTSASKEATVCCG MYCTH_2303108 MRLSSTLFAAVAALLVPGSLAADPSKCNTSDKARDGADFVFVEQ ADNPNVASLAKKLKRVNVSDVFEDANHKMTTDSSGRKLWQRTSDFNDFETEKWIPQGI SSTADAVEGGTYEGKDGWIVSWHRNDDKSARVTFVDRANDKYRHALLVYPHAEDNFRE VPIHAGGIMWYGDKLWVVDTTNGIRVFDLANIWQVDTGDAVGKSGSKYTAAGYKYVIP QIRWYKWSSDFSFRFSFLALDRTEKEHTVVVGEYQTSDSLPIRLVKWALDESTGRLKT GADGKTAKATWAYCVGILQMQGAVSANGKFYISTSNGNSKGDLFGWVPGSYAYNNVGF YPPHPEDLSFDKRKNRLYGLTEGKGQRYIIDSDAKKVKFS MYCTH_94504 MRLNALVVLLPALGAQGIRIIQSNDDGWAEQYARSFHNALIAAG HDAVLSAPAENKSGSGSLDIEPSPRSTPCQYDSCPANSGPIGRNETSPRLNWVNSFPA TSMRYGIDTIGPQLWNGEGPELAVSGPNVGSNLYLAVQFSGTVGAAVHAAKNAKIPAI AFSGASEGTLAWNSPEEPRSRVYAELAATLTNAVIASGKPYLPEDVFLNVNFPKVEGQ CTEASQFKWVLSRINVGLFSAPDTEQCGSTRLPTELSVLDTDGCYISVSVGDANDKTT APAEKQAVVRDKLKSMLTCLP MYCTH_2060854 FIRAYIDDIIIFSKTEEEHLKYLYTVYEILNKAYIYIGIAKSFI GYLAVKLLRYIVNGKGIAKTDDHIIAFKKLKFPDTLDSLEHYLRIARWLRKGIL MYCTH_2303110 MRSPSLLLPLLPLALAQLAQAAINWDMFEYGVVPTFRWSRPFPD DGTNPGGFHVHCRHSKTFRAKMYKLRDLSEEPPTGLAPWKVGIEDFLRKRDYVGSWDG VDHKGQDREVVVMEWRDVPGEVRAWIEEQQRDPSEANEKKWLFGVFEKPKAPGEKVYS TVRPRPTAPASSQQQQQQDQQNQEQQEEQQQQQQQQQVEQQKKEAAHDEPEVADQDKI VVFPAGAIYEILPLWVANGSGCERDLNNLTIYRSQAIDHSVLAWPVDHTKPQRDLGKR DITFKIEAMSVTETEDAKRARLMWERMHRTIKRNDRKQQREKRQKAKKELEEQRVRDE L MYCTH_2303111 MRPKGEELPSSGPDDNRDHSRTDSDATELDDGLDLDRLGRQRPA VFKNGLHEILFCSSLLVSMFMAEFFISGFNIILPNVSKALEIPKGSQTWPASVFSLVT GSFLLPFGRLADIHGAYVVFNAGIVWFFVWSLVSGFSVNYQMLIVARALGGLGPAAFL PTSIMLLGKTYRPGPRKNLVFSLWSAFAPIGFFLGIITGGATTEYMSWRWYFWLGSVV TFVVCVCSFATIPRDRAQTRAENAHVNMDYWGVATVVPGLILTTFALTDGAHAPDGWR TPYIIITFILGILCLAATVYVEGWVAEQPLLPFDLFKPKYMARLSVALFFAYGVFGIF LFYASFHISDYMGASALQTAVWFAPMAAGGVVLATVGGFTLHLLPGRVLLVFSASGFL ATCLLFAFAPRDGNYWAFIFPAMLGSTIGVDITFIVTNVFITTNVARERQGIAGALIN SLLFVGISFFLGLADLAVSEDENRGGTQGHKVAFWFATACSAVVLLIFATIKLGKAES ELTVEERMRLEAGRQPKPESETRHEATTLDV MYCTH_2062045 MPAPGRGPGAAPSPACHNCRRRRLRCDRSLPSCRKCLGSGQKCL GYGPLLRWANAPAVRGRLVGLGERLEVSRISPPLLDPLVNHLDRRARHYVHHFATVVC RVLVSFDQEDFNPFRVIIPLATKFDFLEAIIVATGAMHTALLHGAKDQPGRPEMIDAL VAKDRAIRLLRSAIDNITPDSQAMVLAATVFLINLDLIDSGKGGWQVHIEAASALMSC LQDPAHELDRSLTTSIDTIAADCLTYRVLGSAISGVALTSWAGRDLAEFFSVLRRAEA YSYHCCPPEILHILLSASSLCSDDGPARVPEALALIGRARALDVVAWVHSIRGLSAHD DLDIRVRIALAHRATACLYILLAVPEAAPSPSSVDMLVREVLEHLAAVPIDHMHLKGT IWPTFVVGAQTDDPEQRAWCMERMQAVSTMNRWMCPWGYIRTAVRMMQDLWDARDREP AKGGRRNWLLELKSMREKCLIV MYCTH_94500 MYLPQLLPKSAAPDNKHSSAAAVSPLPSDGSFRTTSESESASVT IPPPATRGSPPHQTLLALDRNEQHQHHAASSEENLDQTPQPPLPVHPYYHTLGPPRPL PSPPGHDRRGRNVHGRPLLLLQQRLQAREAGTMRAQNVTIGIVVGVLLAVFLAGFFYF VWRYHRSIRIRRRGGHHRRTSKGSRSSASQSTGAAA MYCTH_2060890 MANSRRSPRASSKLEGSKEPPEILQQVGRAVLSYTLKKLSERQA QSETRSRRAHPRSSRTRTRDPSSESKGSSSRDLPRSESGDVHALISQLVVGAFGFGIR ALVRRRKEAKKKKAAAAAAATQSGSRSAAKGKPQPGQDVGAGAVDPDLSAALDSVTTE LQSASDSIRRLAYSAPPPSHRDCAVRDALLTDADRLSGSLVNLQVSINNMRNLHPSLV HETGQKERPRERPWERPRERTLTRETTKDRERSEGGVRDWVRERQRKPRTEEAREVSK GRARAEGGLERSERVPRSERYRNHREHGRSHEQPEERPRHHRSRRRNESMQRARRPEP MCR MYCTH_2303112 MSERATEGSEMEPGRASEPVVDVATQTEDRGATEQQERSLARAQ SFERDTQLSKSRPYRETRVLRNHDTAVEAQHRSDGGGAPAVRLDMDLDVDVKMQARIN GRLELSILQVFGPR MYCTH_2314967 MSESHAINHVASESDFDSLLASTRYVVVDFYADWCGPCKMIAPH FLRMASTFSIPGFLAFAKVDVDKVRPVAQRYSVAAMPTFMFFKEGKQVAVNANERIQG ADLPALAQAVEKVGRLAKEKADAAAAK MYCTH_68564 MTSPADPHSKCFSHINGTSDQLRDRLAVSELCKGWPVYRDASEW KNYRNLFTEDATVWTTWSGPRHVDDFIAISKAGKEKGVFIMHRECGTLVELNSCNRRA IGKMKATITHRFKFDPSTGGPGVSPVTETAEFDVDCDCRFIFFCEKNPATDEWKAKYV KLFYEKDKVVPVDGRTAPVFTKEELDKIPVGYKYLGAAQARIGYQIDLDLPTASGELF GRMYAEMEKWLDGKPVDLFWESK MYCTH_2303121 MPQPDRRRSVLNERPVPNEQLFDPVSRCSASLPNPSESSKTATS YRRPVPPTALAATGPSSTTVSEHSQRQSSSQKPKQPTPIPAHSPSQSPASSKMTQHSM SIPERISARGGSISDFMAEIAALFWFESTKLLERLETTKKLVPGVQVQPLAPAAVASQ HFKKWVSSVLTTTQVTQNVVILALLYIHRLKKANPTVKGRPGSEYRLLTVALMLGNKF LDDNTYTNKTWADVSGISVNEIHVMEVEFLSNMRYSLLVSAEEWEQWLDKLARFWSYV ELAQQAQSSAPSPLMIPSPTHRAYASPLHSPTVPLTPGLQSTTHSSSFQSPNLGPAAN ASQNWITSHGGSNANSPLALKPQPSLHRKRSFPEENQAEHPAKRASLLPAVQGNASSQ APLQYSSNGLQAQVGLAGAGSVAPVQSRPVPAPLPDHGRQHVPSLTLNTAQATADVTV TQPQTYTSSAYTLQQPPLSLPPLASGARAMSIVFSATTYPPSQPVPASGGAVTPTTSF PPMSYNTPTKRLSPQNTLAAYPGSSPLVMGNGTTSGLHTPISHSPSIYLQQRNSPYKP VRHVNTLLYPPPSAFLQQYQLPNPVLPNQMHYQPLGKRNEYRTGIVPEFLDSAHRVAG YHQPPVPQMLPNLPAHSQQSRAQYQLTPAARAGPSYPGQY MYCTH_2303122 MPSAGPEHDFQVPREPYCRSAHGTVYYFPRRQADHGRRLDPDHF PAALIQPRQRRPHSIHIVSYPPGFVPPDLRSPKTSRGTAARQEKRKRRKREKEEAKQR KKGEKERERQEKERRRADKENSEKQQKRRSLRSLSSGSGRFGRVVDKIVAAFSITPKP PPAASARPAGNTPRSRPSSFISFARRLSRLEPATSEPILAGEPDPEPASMTAVTVPRP QAAITTAVMPENRNTIMSIRSSKSMMSSSACEVQKPVASGSGVSCSILLAEPNVFLSG FDHDRHTRRSIQSTSALLRGKLQLNVSKNVKIKAITLRLVGKGRTEWPEGIPPSKSEV FEETTLRAQSLIFFHAMHEGMWDTEYGSQCTYILKNASPMGSRHSTVTSGSLPIPGKG RSSTLTAKELKRLSLQSVNSRSFGKADSPHINHVHAKGYKIFPPGTYEYAFELPIDHS QPETARLPFGSVRWDLEMTVERAGAFKPNLHGSREVSIVRLPDQISLETVEPISISRL WEDQLHYDIVISGKSFPIGAKIPIAFKLTPLAKVQVHRLRVFVTENIEYWTNDRRVTR KDAGRKILLLEKAAGMPLDKRFSASDVRVVCGGELSPEQREEARMMAARRRMRDASWN RGSPEPLPEPSDNLLGDLDLGLETFWGSTEIEMNVQLPTCDMMARDKLLRLHPDCSWK NVNVFHWIKVVMRISRSDPDDPTGKRRRHFEISIDSPFTVLNCRATQANTALPRYSGS GAPVIPEQRQQMSCGCPDAQPLDPSAAALARPLTLVEQDFINASGRRLRLDGSASLPS VPLAAHRPSGRQTVSSSDARPETFFTPTEQDRPIHLLRYPSHNPPAFDADEPPPPLPL QTPPPQYDLIVGTPSVDGLADYFARLAAYEGNEGNGAAGACPGGEEQAADRVESGSDA DTVGPDTDNTGGEATRPSTASTAPPPVLPQQPSPAGAVAHWHADTSTGTVGDEVDPFK NLSMDSGDQESGRPPTEAPAPAPAPAPTLAPAPAPCPGSRGGGDDGDDAADAESSGSE DSVDEEHGRARLHRGGRVNVANPRTPGGRMVPSRSLEIERPTVRLDMTNVLRRRADRA MYCTH_2303125 MKEFVESGQVRYLGLSECGADTLRRAVRVHPIHAYQIEYSPFTL DIERPGSGGGSGGSGVDDTLARTCRDLGIAIVAYSPLGRGVVTGRHASADEFRAELEE NDFRRLVPRFSADNLPHNMRLAEVFKERAAAAARKGKGNEGCTPAQLVLAWLMKQDGV FPIPGTKRIAFLEENVGANAVFERMTDDDEREIRAAVESAEVRGTRYPEAMMGGLVKD TPPRQ MYCTH_2079066 MGFGDFDSICRMAPLPLCSSIGPITSIASGVGIEPDCYARNIEV ANTMIFQGAASAMHIVALVMTVVMVLHVRGKFTAVGRKEILTFFYLYMLLTFLSLCVD AGVVPPGSGPYPYFVAVQAGLSSALVTCLMINGFVGFQLYEDGTPLSLWMLRFCSAAA FVISFLVALATFKTWAGLGPTKTVGLFVVLYLLNAVQLFVYVVLQILLVTRTLQDRWP LGDIAFGVFFFVAGQVILYAASSPICNAVSHYLDGLFFATTCNLLAVMMVYKYWDSIT KEDLEFSVGTRMNNWEVKDLLPEEDRRATMYHDDPYGQSTAYDTPFSPTSNRYSRY MYCTH_2303128 MAISSPATAAAPAAAPSPPSSSAAPSSEAPSATAAPPAASSAMA NSVAEKETAAEPDPDPWPQIEHNYFTSMRLHREREDAALAEAYHAKTDPLRQRLVDNY NAQAELLRQLRALREQYDTAQAELGALEEEWQVLMDEKRREREREDEERRAWFRKYRR GGLAYRGAGAATATATATATATATATATAADADAAANGSDVNGQGNAERDGERRKVGD SRVDGLDKGGEGEVEAEVSNGQQSQAQANGEEQRREENEPESEGSGEEHDKGAVEQEQ RNGMEVDAQPSALAKSVEVLGFAQGREKGQEHRQPANGDRDAPGVPSSVNDHTEKPRF EPEKIDDDKRVSDGQGDTVSEDRPTNEHSSPPVAGQGEGESRTVEALRADERAKSSLA ERNTAEAVPSVPPGKSIEMDSSETVNGADLPRRIVSPPTTEAGSPVESRMRDQQPESA EPTADAQQGGIELTQNASRVEISGINGTVGRRDGDGDVEMPDAQIRPENDTNGALGND RPQAASAALGAPASPSSSSELSSRNTTPELDTPVYLGRDPSPSETPEQKSLGNIEILG ESGDPIGRVKAEDFGNSVMSRIVQLPVKRPVQIRSGRKFTTDDLDAVERPEPGNARPS RFVSLFVQATGELQARPCLDCTADGGPYLDCVMVDDPEFPRCGNCEWNQRCCQGGTTE RPSDSRQNLTSKPPADSPTKRRASSSGPTAIDPAAENRESREELKPSTSNSDGRDSRE AATKKGPRKSLPGSRNVPLPSTPSTSFQDEGELLPEITKDVLSLKHDGVVFTDPPIMR GVPLAKISPEHPYWEPDWKPLEEIVEPVWQKHQERYDQLERSGTTYRDKHLANRDAKR GRLILKFLEEGELHPYQLVGKQWINHRLTNYDTLYRLAQLLTDELPKMNLDVTPSEWL RHRLHELYLEHGDKLDVANWIRRAYHDRKIEQLRLKNGFPRVGRPPAHASKSTEPGGS SKKATGPRSLKRKDPHQTPESTPSKSKTGSTLRESPSVASDAGSSSAAATAKTGGPGQ QPKPKKIKIITSQPQLQPSSKPPSTKAPKIILNSPYPPSATSDKAPALKGSRAAAKGK EDDQGSALEYDGYTSSDSISGDTLHVNDWRLHQVKTRTFATNPQVTQYWHWVTEQKEH NLIEHQVLESVGPPVKWSIFKRPYNFHLKLPDIQEVSFARASNRVVVTHRKGRDGKDL APRGDIMAEFKRDRTKRRFLTFLRRDKGVKVIELSREAIEAKWNSLSPETLPGPDSD MYCTH_2091689 MLYETIGIVRPGNLKEVKEIVLTAGQLILRQGGVIRDVANWGVF HLPRAISHNQTRYTRGHYFVLRYDAGVATHQDVTKTLRLDPRVIRSGGVKLGDGKLET LSKFGAVQWKNME MYCTH_2303133 MPGLRRFFHSYFHKQPESKSPSHPEASTETDSSDATLVLPPPSS HRSPGLLPIRDRMRGSGKAQAPDANTQTMPKAGKKRATGGSAAAQKPYTKAQEAARYY NDTQNHGANRKADAGTKAQLNKLFDELISEEDKARDDLEPVAEIGAEQCMAYLERLNV NPASYELFVVLEIVRAESIGIITRAGFVDGWADVIAASGGSGRVTPDWAGQRQLVHAR IAQALTDPNYFKTIYDFAFQVGREPGQKAITMAVAVGFWEGLYVPDKNPWRSAHVDWL GAWTRFLKEKFGVVKVNSDGEEEVEYKRTVSKDLWTQTRLFAAKSMQDETLSFWSEEQ AWPGLIDEFVIWCKEKGIVPNANNGESMVVE MYCTH_2303134 MSLFGTPSPEGSPDMRSSNFGNGRPSLFEDEPPMTRSTTTALFA DDDAGSDSPWDMPTPRRQQTRADVLRNLLANSTVPDSYIDVFEKMVAEDGSAGRISAG GVAKTLAAAKLGADDQARIMSIVAPGGSAEDVSLDRNQFNVLLALIGLAQEGEPVSLD TVDERRRDLPRLKLHGIVDSTSALAHAEELAAKPPQRPATPPRAPDPVSPARHRSVRK PSMEYPEDPWNTPDLHRNHDHGPEAPRLNGHDRSSSAGPPNGNAFPTGPQATLPRRTT STFTTNVPPSGAGSVVEAPGAWGAMDGRNAPATGGGFNEQLQNPAAPFGGIPTAGGAS QETAGVLPPAPAAANRTIGGGRNGAPVQEHIIVTLMPEKEGMFLFQHHNYEVTSTRRG SKVIRRYSDFVWLLDCLHKRYPFRVLPLLPPKRVAVNGNHLSNDGAFIEKRRRGLARF LNALVRHPVLSQEQLVIMFLTVPTELSVWRKQATISVQDEFTGRPLPPGLEDSLPPSL EDLFARTRAGVKRSAELYITICNLMDRLVKRTEGVAADHARLALSLLSLTEASADTYA TDTNDVPLLNDGLQAMSRHLRTAQSLMEDESRAWESGVLEDLKRQRDALVSLRDLFDR RERLDKDNIPYLEKRIAANESKLASLRAKPEGLVKPGEIEKVVEAIIKDKESIVNQHN RSVFVKECLRDELIYFQHTQYNVSRWNQDWAQERIKYSEMLADNWRRLLDGLEGMPLG D MYCTH_94486 MVQNGGDFDLDIDMATNVTAEQLLAQLGQLQQRIQELDQRDKAA QARIKELENREKYSQKLNVTEVAATSYEDKDSDTDSLGHDGNGEDEQAPYSELVTVDP ETGLAEWDMAGEYAPPISILPILRQWGFTVTQRRDGSWTTDTQGIERPGPNALFLQER IEWYRNEVFRLNTELRERDGRLTRLAQQSDEMKDEMRELRRIVETIKGEQPVTYDGPD SYAEHFDDQQLSHDVRNPEYQFMRANRGKDERTWESYWEKHSYLSIGVPTVHVQWEGF GKEFQYLPGDATRLHPRHEAHAQVPWFQCVAHECRYHFRDKFENNHWPTRQENRDGGL CPVEWVYDAGNRAAELLWKIEARDLESITIVPRRAWPRHCGTGRDTWDSCWSNDCLYH ADEKKLRIRELQMKLWHARRKAERTQWWEAASTQWLTEMSTIDEAAISRTTEEVSTDL GNGSGPFEGPGNH MYCTH_2303153 MPPIPFAQAARCCAAAIKAPARQPSLVSLFAALSVQTRSASILA NLSDNKGAYHKRIRRGRGPSSGYGKTAGRGHKGTGQHSRIKPWFQGGQTPLIVSHGRH GFVNHRAPEMMEFNIDQLQTWIDNGRLDPTKPITPRELIKSGIVGSTIKDGIKILARG GDKLKTPVDIFVSRASAAAIKAVEAAGGKIVTRFYTKESLKRLVKGQSINTDKPLPVG PEHVESVLEQVRTSKKHYYRLPDPTSRDDIEYYRDPAHRGYLSHTLKPGESPSLFFKV PGTEAPKARPMSKEKAEELASRLF MYCTH_94484 MGQMGSSLSRLAGRQKAGRHKARSPSLILQYPADIIVYLCREHL PPESALALSLTCKSLFDLLFSKAKMRLNDPDREAFLLLLEKDVGHNRYYCHTCSVLHR FWSSEHTINCTFCVRVWEKSCRREFAYLSGTSFRVRYRHVRLVMNRHFLGPPNGLPLD KFEVKNTSYSSLRWREKWSAKILKDELFLSGTRTLSWLHGTDQALRDNLDNCLYSICT HVATNKSAKLTVSALHTDSPSACLIVPCRGAIGSCNQCLTDYDTTVERRSTEIKYGER KLVTEYWFITITSYHQLGSGRSPFDPKWRAFEGQGSWVSWRPSRDMTQYPQGAVREAW KESEKASHNPS MYCTH_2019378 QIPIGPWAKDPTLKELGRFEQLHMQMSVASHAPALFTRVFAWPR EQVQLLIEGVKREFRTRDLRLITSYRFVIGRSP MYCTH_2303155 MLLCSLLLCWIPRPLLLLFLFLLLRSCCLRRWRHRTKSLAPRRG KFRERHALILSLPVFSAS MYCTH_2126239 MVSEEGNTMDNTAAAVAESLDDNGLLASTLSHAAKCSGGKLKDL YHFDAKADVFPDYVAAAHPKLAAKMSCIHTGFFTTSHRILPSAYFSKPVPHLDVNADT GSFVCAVHQMPPGGAYIHGGGRVYLSWPEFAAAWARVTGAAIRYREISVAEMVDHLIP HPDLGLEVALMFAYSSEPGYDGGMRLLRAGDLRKGRERERERERSSKHADIDCLMLTV EESLARQEWAAVLGQQ MYCTH_2303157 MVSQATSAQPKITLYWLNDSRAQRIAWLLEELGLEYNVKVFYRD ADMLAPDELRKVHPLGKSPLVSIALPDDHPADPAKQEKHLVLAESGLIVQYLTEHLGR DTSLAPKRYRDGREGQLGGETDEWMRYQYYLHYPEGSLMPPLVLGLVMRILHGPKVPF FIRPITSSVVDKLFAVYLGPEIANHLAFLESQLETSPGNGQYLCGPSLTAADILMSYP LQAAKQRFAQVTAGKGKGTLGDNFPKVWAYLKRLEEEPGFKRAEARIKQELEKKR MYCTH_2303158 MDVERVSRKRAMRATERLAAIAARSALVYFRVSVFAAILNTIVT ADGRVELRVFTE MYCTH_2303159 MFGKKVRRKQQYHLLRIPGEIRNQIYSDLLLSSPHAVPIYRGGG KKAAAPGTATRTTDEVLSALTVLTALLRTCRQIHREAGTLFYSRARFALPPDASRAPH QAQVNLLFRAFLDRIGPRNAALIRHLAIPFPVDPDLFLQHASLFPRRRPPGGQEGRRE PASGHTDEQRRDEDEYGNEYGDEYDGDDDDEDAALLLITALRRRCPALETLTFDLRCN NSWARRLLLLLNVMTEAATRKTTKTTTTMISMTTTAQVTGAAQAAALRLFGPVDSLLR AAFPRLKRVDLLLGAGVYRNPWADPITPAEAAWLQAVLGSEMEGKEKEDKQKMMMKER KKKDKRGFSAKWDVTVEDGNKEERGGSNAEAAGSSSANNWRSGGGWEWWGVGKWYGTP RRPRHAIEVLYGPPAHAVRSEMHDQWTSLDVGLAYLRLAIAWLRSPTRAVLDREEAVE WKRWRRAMLANAAPRGRGTLSLKSLRVGGGSGRRSLRSLICRKQT MYCTH_2143478 MAARLVSHPAPKPHSIYEYAGLARPPHLGRLERATHKSGVVESL SIGVDDEDDGYVPGFLHLPPDIFTTDAPPPSAAAVSTKSSDQEEEGGGGGGGPGAPTA EQTSQQQEQQQQEQQQQQHYQRPATAQPPHHHRTAAILLSGAGGGVTGPSSMYLSLAC KLATLGRGIPTLRLDYRAAGRRESCAADARAGMAYLRDLYGLDRFVLVGWSLGGAVAL SAAADDPRVVGCAAVASQMPLPVPLPGTEGEGTLALDLALTDADADAVAACLPPRPLL LLHGSDDSTVGVECSRRLFDAYGTRDGAGAGDRALEIFEGDNHALSGNAERAEELLCE FVARCAGVRVGPREREDVVAAELVEGGERHALMERGGDLRQPERED MYCTH_2303163 MTTTEAEKVDVLICGSGSAGLCAAVWLARFGVNYRILERRDGPL KTGQADGVQTRTVEIFDSFGIAEELLREAYHVLEVAFWAPDPRDPGEIARTRYAADKE TAISHQPHVILNQARLNALMTGLLGPEPPVEYGCEVREVRVDDGAAAEDPDAYAVTVT AVKDGVERTYRAKYVLGCDGAHSTVRRSLGFKMVGDSSDAVWGVMDVYPRTDFPDIRK KCVINSAAGSILVVPREGDALVRLYTELPAGTRVADVSLEGLQAHARRVFGGRYPLDF AETAWWSAYSIGQRLADRFHRAHRVFLTGDACHTHSPKAGQGMNVSLQDGHNVGWKLG MVLRRLARPDVLLPTYVLERERTAADLIDFDRAFARLFDSRYRRENHVSPRDVADHFV RAGRYTAGQAVRYDPSVITAATPSSSSSSSSVASGLTVGMAFRSAQVVRFCDAKAMQL VKGMPANGQWYLVVFAGDLARPESAARLREVTRSLERIAYRFTPPGADPDSVIDRILV LASDRKTVEQDEIPAFFTPVTGKRGMKCLTKVFADEESYNSGHGHAYEAYGVHPERGA LVVVRPDHYVSKIASLDEADSLEQFFEGFMIPQRT MYCTH_2303165 MSSPPAKTDTKTILFLGATGGVGLSALRRSLAAGHSCIALCRTP SKLTSQLQQPDGGSGTVPPNLDIVQGDAHDAAALARCLARPVDAIVFSLGGRPTLRGL SEPQVCERGMATLLAALRETKAKREAAASATTTERGEEEIRTRQERGRGEGKKPRLVV VSTTGISEAERDVPLVMMPLYWAMLSAPHRDKRAMERLVVQEAGELADWTLVRGSLYT DGPATEGRVRAGMEDPVRRVVEVAAAPGYTISREDVGKWLFEECIEGGNKWVGKAAMI SYCAIVGSVFGYILYLELGGGGVGPGKEALIYRMTLLVIIPT MYCTH_2303166 MSVTVLTSPPPPPVRYPSLAWPEIPPLRQIPGLASAKSSPAAFS APDENRIKPHHTTAAVSAATTTAATTTTATTTRGASMRLTRPSESRSFGLLHIAGEAQ VVDLDAPGPQGGRSQGHGGVSGQTEAGGTATVCCSREALDAVLSDMVIVVPCKDEELA VIRGVISAIPALCLVILVSNCARGEGDEYAQQVAMARAFGRAGRQVLTVHQRDPAAAA ALRAAGMEELCESGADGGGAVIRNGKGEGMVLGIALAAAFCPGRRYIGFVDADNLCAA SVTEYCRVFAAGFAMSPPPERGDCMVRLRWRSKPKVRNGRVEFVSEGRCSRVVNSWLS RLFAAPATKEDNNTDNNSNSNSNSNNNNNNNNNNNNNNNNNNNNNNNNNNNSNSTMKN MRAAPGTGPDTHFITTGNAGEHAMTIGLALKLRMAAGYAIEPFHFVDLLERAHLSAPS GGGSKASEETPGGRNDKNNNNNNGSGSDGSSNGNNNSRSHPHPHPRKPLSRPVRVLQI RTLSPHYHRPSDGEHIRRMWAAGLGSVFHGLAAHAGGELLPGPDGAPDGAADGAAMAI SELRRDMHDFAVRQANVDRATGELPCPRTYPALETIDLDKFRDLMLRHSLGRGSLRSF GWPADKDHPAWAQS MYCTH_94476 MSVPYTWGRLQEATFQKSTNPPQTNPRRAVASPDRCDRCRKARP NRPSMQCTASTRQMVSVHRLLPDGLDSVLQPQKGIRVSKVGWKNEGPSLQGEGINTVA PAVHAVYLGSQAPTPPTAPFTLQTISGLTAGFSSDTVCSAPGTPKLPATPGPTVRPEY VKYSLSGVSGVMSARKKTWSGVGLGLGRLQSGSDLLITLVLAYLDESSTTN MYCTH_2126246 MTCKFVGIDRRNSLIVQQSSTLTTPSTRPLADASSGPGSTMCQW EEIIYACDSSQHYGRKVQKMAYSCDIYRRHVYGPCRYDKRYDRPMTLVYSDEYCDECQ RMFEYINYH MYCTH_2109689 MLLLLASLLAASANGVALVARQNVNQVVRLSSVENVAVRSTGEI LATNMNSANLYSIDPVAKTSATALTVSGARGLSGIGEYAPDVFAVIGGKAVYSVDFTA SPPASSAIATIAEASNLNGLAVLSNSTVLVADAGRGLVYRLDVGTGEYAVALQDATMA PSGGIPFGIDGIKYRDGVVWYTNIFKNSFHRVAVDPHTAEAVGPYETLWTNLMGDDLC FGPDGLIYVATNAGNSLVEVDPAVGTPRTVGSVTGSTSCAFGRTDADANVAYVGAGQG VYAVTVQV MYCTH_2303167 MELVASTGGAVSPPAPRRGRREKPWPPGKQKKLLRLYVCTQSER LPLIRILERLKDGSFDPRQRNSHKHLKNLLPDRRIDDWRPRDLATMLVRVRFLRSVRA ERRIRNRRVRRRLEAQSRNPIAHDMLEPVGTRMSVDRQQVEQQAGFFAESPSTDSPST VKQSESAGSTPQAVSTGSSDTNHRDKSPSRASSTTPSTKSAKRRSWASVLSSISSGIS SLARSSSSASSKNVNFNPSGGNTALSKMTREEFLAMLQERPRKPTKPSGAAAVGNLFK SKYSTVNPTTEDLNAALVRMCCAARIGRGPPGCVHERLSKAIDAQKTDGYDFTHFRVT EEEANMVDKYGNSLLHVAARWGARISILLMILKRTDDVQMINHRGETFLHVYDPPENP RMRPVSFLNLIRTLRARGFDFCHRDVDKHTFLHHLVAKKAFPIETLYYVFREVGYGTA RFLVANKSANGERLWHSVRRNLEQAAPKLHRIFGDEVEFIRRYLPEFGSEGKAPSTAD TSTIGSDSLASIHPTEVPTLGLVFDPNESNAQNVRRTPVMKLLRRAAASRAGVTDAEL TAKLEHVLESAAKAADFDMQSFLSKRDTEGNTALHYAAEFGLVPAVQFLCAKGASVNV FNNCGNTPLQLVKYAIQRTDVRSDIHMEARYLRCAVLLLEQGAFDQSKLVSERSVISA YDVFDGSERSISNLVRQGVASQCRGLHLLTSSVRHHSPRYLEDLERDYGSDGQNGGYL GYDGYEQQRRGSESNGGAATARGTGAGMSMTFQTSALTSRVAT MYCTH_2314976 MRAQIATLLALAAPLYAIEITSPSKNDVVDPSKGVTVKWTTVST DPDRAHLVLVNMAAGHTPFTKDLGEVDLSTGSYTVKEKDIPNDGGYQFNFESDEPQNT GILAQSAQFEVKSSSSAQDEDEKSSSSAASASTTVQSVTSADTSTGSETTVTGTATTL TTSTGSASETTGASRTASASSVTSTAAAAPTGMAAHSGSLFALMVGAAAVLA MYCTH_2303170 MKKKDHLALSGLLVDPLTWLTEVSPPGSRGAPGSYCAEDEAAAN ILGAPPCSSLFGCVESDLECGVPLFFDNHDEDPGSDQSSIAWLFLPSKDEEEEEGQRE DGQAQAAANSDGIWDWYADEIRSRGSSEQVPCEGSSECEKTGEGESSPSEGSTPESPP ATAREEPDPDDGKAEEPREYVGVGLAPPLVFPLKRERLSVFKRAYIFASFENRECLRP M MYCTH_102172 MAPPAKLPTRKLGKDGPEITALGFGLMGLSTAYGSVGSDEERLR VLDRAWELGCTNWDSADMYGDSEDLVGKWFALHPERRADVFLATKFGLSPGVRADGSR GLVIDSSPEYCRARCEKSLRRLGVDSIDLYYVHRVDGKTPIEKTMAELVKLKEEGKIK YIGISACSAATLRRACAVGPVHAYQVEYSPWALDIEGPESGHVLAACRELGVSVFAYS PLGRGFLTGQIRSPDDFEPGDLRRLLPRFSKENFPKNLELVARFKQLAAQKGCMPGQL AMAWLLAQGPDVIPIPGTKNVKYLEENVGALHVSVSSGEERVIRGWIEDVGIAGLRVP PGLLDEFNDTPPL MYCTH_2303173 MTTTTAASLPPAASLPTLPPAAQAATLDLLFEPSADLHAVALPA LQRARPLGSYDDLVAAVRARLLELADAAETEAEAEEEETEKVGAEARRRLFSVLGSHP RLGEKKKKKEEAKAEVEVEVTETETKAGSRGPGGEGGGEGGGEGGEGQDESALSALSA AEQSHLSAEDEELARLNREYEARFPGLRYVVWVNGRPRAEVLEDMRRRIQRGDMKAEV RTIIEVSKLFFFFFASLPFFFPPCLLICCA MYCTH_2303174 MTDMRVQFEPIGRSLKQYGPWHFADARFEEDHHTGLGPALLQTA DIPGLSDRLLGISKGGRPDPGSPRSEVTLSVSKVRHTPGSSPDPALPKGSSPAHPTEI SQPLLPAIFHPSLAFTDPAPSGKAVVTFPLPEPARVPKPCLKRRRPVTDVDGPGTNAT SRKKRRLLRQLITSRLSQPFSLPATHILNRESVAAGGKRFAKLTAIMSARRLNSAVVS PVSPQQPSPSTWLRRAAVLNSLRNRVHATAAERANIPVPDLAAKATALQQSHGFATTF VGGRYLIATPIHQANKAMLPGPHGSTAGPAAATLSLSSSAAAATAATTQRSPPGAAAA HHHHHHQTLHSNSHHPPPSTTRLRIPSPKLRPLRSPELRVTRPLVPLEDIEPLLVDDP SSFSGDTDCVAFPTADLESRYIYGDDDDEEGGGEGVYADFSVIFGSGGKKSGDGEGGG DGATGGSGDGRDGDGDCFEDYMDDLDGIPWGDTW MYCTH_2303176 MPSDDKTLLPLQEALNLVKLAAEGDSRRYMGTRAAYLPGADLSP DKGMLSTHKAAFGGHVYGQAALAVARTQRELEDETGRKPSERLSLHTIHGYFTRAGIP SRPFLYTVTPLTSSRTFSTLSVTAYQPSEPSTNPQGDHFPLSDAALPLSPPSFTGMCS FKLPEPDSQGVSTQEAPPQERFASILSSRAPEDWPPVPPLDITAFVEIVGADEPGKFP VATMRKVDMTAYNAGRPVHERRELMLYKLLAPLPTTGGDGDGGGGGGGGGTGAEEGEG KGQGQVWDANAHVIAHAYVADRNGLLLAGNHVGFGHALARAATLSYTFVVHVNAELAV MREEDGWWVQEMFFPRTAAGRGIVESKIWSPAGVHVATEYQDGLVQGRGLGKL MYCTH_2303180 MFLQRSAVAVARRAAVASPMLRRSIATTAVRHNAVPDQPKIKTF KEVKTEEDLIGPGAAPGTVPTDLEQATGLERLEILGKMEGVDVFDMRPLDASRRGTLE NPILVRSAGEEQYAGCTGFPADSHNVLWLTMTRERPVTRCTECGNVYKMEYVGPEHVD HHYHNPYGLKEPKTISDYIKPEYW MYCTH_2303183 MSQKITAKNLQYNANLPPFLARLRGQHASETDRDGPDPILAARR RPAKPRSKSAEAEDAPLVVDEHGNSIDDVAVGADGSVREKRSETADDQQQQQQQQKQQ EEQQRDQAADADTAASGEKLASVGDQRKKRKFGRVIGAGASEEDEGEEKGGEWRSEMD PRIGKTGRDSGASGTEPDAKQKDGSAATVKAKAKKKAKKIKLSFGDDEG MYCTH_2303184 MDSHGSPRSSDIAQVAPSLPLPWPEDDPCPEFRSHYAVTDRFVS AAFPDYHTQSTQQMFSRDATSPTFSHSAWIDNAEALHAAPHELPSEPPSHGYHAPMIE APSSLVDQQMPQRVENFVECGLGSAMPGFSGSHTSLLDHGVVEAIPLGGTNPIYGATE AQDMMLCTQGHTPLHYAVIGNDLQKAKTMLHAGASPNAASRNGTTPLHYAAYQRNVEM VKLLLDYGASLDATTDKKRSVLFFAVRSHGQLDSSDLLIYGDSTMTASNPPTDDDTVR IINALYNSPTRWRCLLRSLNKSDKDGVTPLMVAARGGFGKTATLLLERGAQPDQRDHS DHTALKYAAMSNHRDLVRLLLLTDEAVSSERKPSHVLKLASKNIPTSDVPAQHEQETW EDSHFVSSAAFLAEEIVRLCQEMGMLDGLLNLAYRKSKLHLLELFLRATRRLDIETSH GNGS MYCTH_2079097 MVRGLLPLGAALLGATAVLADGPPKCTLDKKCPKEAPCCSQYGE CGVGAYCLGGCDPRMSYSLDSCVPAPVCKDKVYKMDNLDRYKDISEYLGDSSKTDWVG QGEPLLYNGNVLLTMPPKSVGTVLSTTTYMWYGKVKARLKTSRGAGVVTAFILFSDVK DEIDYEFVGVDLQTAQTNYYFQGIPDYNNSGNITVSGNTYDEFHDYEIHWTPDEITWL VDGKVGRTKKRSETWNETLNQWDYPQTPSRVQLSIWPGGAETNAKGTIDWAGGPIDWD SDEIKNFGYYFATFSEVSVECYKTDTPPGTSKGKSYYYDDVRGTNDTVVDSNKRTTLK SLLGTGTDMDKGDTTSSGKPSSTSSVNAIPGGGSTPPNQVPGGSNPSSSDGSSSGSGS SGSSTCSSEGFQQHCGEDGSSSTDNKNDGARGVDRTLGASAFAVIVGFAGLLLL MYCTH_2303193 MHQWTISPMPEYLYSNSTNHPNELHQSPYQQPQPQPPQPQQQQP PPPPPQQQQQQQQPDVTRRDQPTDYRAYPAQPPPTSQPQHPHQQQQQQQQHGQQHHAV PPVQPHAQQSQAPPPQQQQAPASAPTQSGRRKRPAPGAHPPAHAQPHPQQQHVPHQPQ HAPPPHAPQHVPQHGSQHASQHGAQHAQQHPSQHPQHPSQHAPQHMTAPPAGQPQQQQ QQQAAPTAPMASPQLAQPPSQQQQQQQPQQQQPQRQQSAHLPQHSPHQPHQQHAQQPH QQHVSGSAPAQTQTQPTTGAAQPTSTDDTPAPPPAKKSRTNTPWTPAEELRLKQMRDA GNSWAEIAKTFPQRTEGSVKKHWYKDMHYAEFAEDEKAALFSAIKDYENNKWKFIGQK VGKPAKACEQFAKEHFPELFPPQQKGR MYCTH_2061546 MSPTMHMTGHHVPYMPRSDAIDRHDYGINKNRKAASTGGGRAWS EDEEAYLLRTRMQKMPYKHIAAHLKKTELACRLHYHQLSHGSNRRKRTTSTSSGSSNS SHSPVLQTSMPSPIREHGGATSRSVSPLDSIYRSNSPGGIQLPSIMSATAPNNHSPRL PTILPKPASMSPAQASSTNSSGSAGTASPQASRGYPTPLHDTHPHTGAPVNSAGYRDG ANPSARGSRGGAPHHGPGRGNLRLDCSALPPPPSANGLPAPPGLVASHPVDMARLTAA YNAHRASFWAAVAADYGPSANPLVLEQAWRGTSAGNGTQPNGSGSGSGNSPCAGGGGA GSIANLGIAAQTPITPVGSPEDQAYSACGGSSGGSVVHHPGNSGGGGGGHHGKPDRTR ISAILGIDANPSSPSEREMVRRMEEERGAVGLGMA MYCTH_94462 MLNGLNGLNGLNGSEELAQGGRTGQGGVQFLSVAKVHIAMSTGG LVGWCMCQGQDLISLVPSGMSLHCYAASTQTSTSILGCAMLCTYSVPLSTTSFGPGLR RGGRSKPGSHRRELQIVASTDHSGSIKHTRRTGILPLPGGKAAGTMGPASMNDHYFQS LPGANRMPSSGPDAVPRSDTTLQIAVSLLADT MYCTH_68509 MAPHANEAPVGQNDHPDRNLPKPGDYLQFDCLPPGGPLNRWSQV LTRGHDFPGAQAMLYAAGVPNRDMMKNAPQVGIATVWWEGNPCNTHLLELGNIVKKSV EQQKMLAWQYNTIGVSDGITMGGDGMKFSLQTREIIADSIETVTCAQRHDANISIPGC DKNMPGVVMAAARHNRPFLMIYGGTIRKGHSSLLDKEINISTCYEASGAYMYNRLEAK CKMPSGADATPSDVMEDIERHACPGAGACGGMYTANTMATAIEAMGLTLPGSSSFPAT SPEKRRECERAAEAIRTCMEKDIRPRDLMSRAAFENALVVSIVLGGSTNAVLHFLAMA NTADVPLTLDDIDRTSNRTPLLADLAPSGRHYMEDLYAVGGTPAVLKTLIAHGLIDGS VPTVTGKTLAENVRDWPSLPEGQKILRPLSDPIKKTGHIRVLRGNLSPGGAVAKITGK EGLSFTGAVRTFDKEHELSDALARGAIRPDAGNLVLIVRYEGPKGGPGMPEQLKASAA IMGAGLRNVALVTDGRYSGASHGFIVGHVVPEAAVGGPVALVRDGDVVTIDAVANRID VVEIPGVGKGPEAVAAEFDRRRKEWKPPKMKPMRGVLAKYARLVGDASHGAVTDVGES AW MYCTH_2303205 MDLLRRAGRFIPARRSPLPLSDEKGKLRPRRTLASRFAYLRRPL RLKGNSTISVPLGVVILFPCIFVILILVLFVRHPSSAGRALMPTGAPPAIRKISEKHD KVFVTGCLQPDTSKPRANAAFVVLARNKELDGVIQSIKSIERHFNRWYNYPYVFLNDG DFDQNFMDTVRNYTSSSVEFGKVGPDMWGYPDWIDPKVAKEGINKQGDDAIMYGGMES YHFMCRFYSGFFYKHPLLAKYEWYWRLEPEIKYFCDITYDPFLEMIANNKTYGFTIAV KELRETVPNIFRYASAYKRLKGYKSQGLWEMFVEPQPEKKEKEKLPEEVQRTEPARLP DFDPEAMEGEKYNMCHFWSNFEIARLDFFRSKEYEEFFQMMDRSGGFWMERWGDAPIH SLAAGILLSPRDIHYFRDFGYRHTTIQHCPANAPGRQLPREPWLEKTTLNEKERIEED QYWEHWDEPQENGVGCRCRCDTDIVEVEGKEGSCLPEWVDVAGGWASP MYCTH_2117807 MRGIQSLVSLSLLAGAWANPMHKRWKPNAPRNPDFPLDEVDKLE ESIMPNVEAWMSKRPAKYSNCTLENAGIRREWSDLSVDERKEYISAVLCLQSKPSKIP EGEVPGALSRFDDFVATHMTMAGQLHSPTNLFAAHRYFIHAYEKALREECGYKGYQPY MNYDRYADDPLNTPLFDGSDSSMSGNGGPYNYSGIPQPFPKPYNLIPPAGGGGCVTEG PFRDMVVSLGPKGGLFHDIPRNPRADGLGSNPRCLRRDVNKYSARGARANYTYSAIMD NPDIDSFYNRYMGMPQLKGDPYPWGLHSSGHYLIGGDPGGDFYCSPGDPLFYFHHGML DRVWWIWQMQDPENRVQAVPGTNTMPMPPLMAANLESEAAATTTHKRAVNVSDLVVDL GWTAPPVKLVDLNEQLGGLGGELCYIYV MYCTH_2109705 MVLQSPEAAFIILSALSPSLGDHLALAGKLRARIASSRGAKWAL GIIVFALLFVVMDPWMVLTGRDTAGTPYSLLGVTPVWFDDEITHAYHAVIARIALLGP QVGGIPGLVVDPLRAYHDAYLTLIDPLRRCVYHRDTGIPDWYGVPATCWGSLAVNRLR AAQRLVRARLGGDDPLPHATAALRLLKARFRFAAGGAAAAVEAAPKHPATTAGQTLLA WQQAVLSSAWGECLRWLGITKRAAALTLSLFRLSWKYRNWLRPFLPVLLKASQLEEWA ASHPARVIMTSAVVALALVSGTVICYVSPDRFERYRIRKQRAGGGKRQQNVEHESPQS LRAVLSRVIVILDGRNY MYCTH_2303206 MDTRQGNWTSVVLDGIDGDQSGITTDFGLRVTLEEAVVLQTGGV VNVKFESEAAFKVGDNMAGACGASGVCNWVLKSENAPVFVKQKLVELECVAGTCELV MYCTH_107891 MAGHDAPKLDFVTVDVFTDTRFVGNPLAVVFVPAALRDRIDQST KQRIAREFNLSETVFLHTLDNEPNRSVTTREIDIFTTEDELPFAGHPTIGSAYLVLKH LGWSHVDTLQTKAGPIRIESLQDQDQGRGQGRVRAAIPHAVHIHQQTLGGILESPSGP LASAAADAIRQGLSADADIRAAELAAPVVSIVRGMTFVLVRLPSLEHLARVTPARRID FGKVPELLDQGEWHDSFVSRYYYVPRGQEADEGALADDGSRSWKIQTRMVELGFEDPA TGSAACALASYLAVRNEAVRGARFEITQGVEMGRRSDIVVDTVALKDKESGEVKIKEL FLGGTAVVVMSGSIARDRLGSRQLPKSWVTCKSHPGPGQADPISFEEHAQNIKMLCAL SGEVPEEPVVSRKTGTVFEKRLILKYIEENGKEPGTNEELDPEDLLDVKTSRVVRPRP PNFTSLPSLLKAFQDEWDALVLETYNTREQLARTREELATALYQHDAAVRVIARLTKE RDEAREALAKLTVTPASAGAANGDAMAVDSESLPQNLVEHVQELQQQLMKGRKKRPIP QGWASSDEVAALQQVAYADLAVSQASCLGIESDYAAIGGLDGKLDIYSIQANKVERTI DIGEPVTATVWTGSKVIVATSKGSVKVFDNGSETASFQAHAGAVTGLSVHPGGRLLAS VGVDKSFIFYDLDTLQKVARGYTDASLTTCAFHPDGNLFGAGTQTGDVKVFRTDTGEQ AETFPLGTPVQTLVFSENGFWFAAAGKGQSTTTIFDLRKSGAAAQVKELQTGDAQALA WDYTGQYLATAGSTGVTVQMYIKSSKSWSEPLRTSTPATALRWGAEAKTLVTVSKEGV VSVLGAKE MYCTH_2303213 MDRHDPIDLEGLPSDAFDTEDFDDDQPQTASDRSSSPAESSPVD SDDDEVEYSDPELDEIGGVGDEADHDSQEDDDFEVQDEDEDEDDTDDEHSSFDLENDD PYDLVLDGEAVLDELWAESAHDWGFGGDSERETSNERPSASPSAGHRFAGLLAEIHAR RDAEGDSLFVDRGPNILPPLEELISNVRRNHARALGLASELHSHLFGGQERTSRGSLG GATAGPSRESHRQNHRQSSHRTPQGPSRERARMNDNRHSGLRDELVEVEVQPSRASLR RSRSPPRRQPEVIDLTGEPDSPELPRAVVPPRGARASNAPAAGRNPRRHASLNQRTPS LARTDSSILGNQTNVIDLTLDDSPAPPPLPQQLPRRNNPDNSPHHRHHHNHQHRHRHS ARAQASDLDNPGGFGARFAGIIRNLDIIHRFGFGRQPEVEVQFIGGRGLNMDNPLGAN IPNLDYRVNGSHPGSTPKPDHVPPPPAREGFTRDTGNPDDVVICPSCEQELKYDPDAG NESGVRPAKKPRTRKDQEEHHFWALKDCGHVYCKDCYENRRVGSKNSSVRFRRDPENT RKNLCAVEGCTSEANNKSNWVGLFV MYCTH_2303215 MSITGVSLGEDLTRRRRQTNSRYRGPKPQYNRVHALILTWSFHD LRLEDYTAQPETEYVSLEQETARLRATLEGYGYAVHEYLIPMHRSVESLKTKIKQFCA RYAADDTLLIIYYHGHGAMDDDNELVFSSHDHPENAEWLKAAAADLYAALLTGGAYAT KGRLDSRQNLLKKYERLRPIASVPWSAIRDPILSAACDVLLVLDCCAAGGASLRHLHW QPPPGAEAYTKHLFAACGFESSTSDDMTAAMCEVLEEWVPPPQSSSSSRIQSRAASVD SRVDDDEDDDVGVGGGGGGGAGGGGGAGGGAGGKNSGNGGRGRGGGGGGEGVVGGGAG RPVGAGQFLTTKRLHQLMEDKLQKRSVGSQPIFKQLLPQDPEQYITLPKLRRREASTE DVKLAERRSRGRRGYIIP MYCTH_94453 MAPRHQHTFTLVPNPLGMQGLMSRPTNGPQSKPPMTSKQAQKLY REATRGPRLSKAEQRRIEREEQERIRRELEREKQLNRARVLRERKKAKEQQALEEKRR KGLPLVDVRPSQDTIARFVRGNGAGKKRNAAGESVDISAVQAEATGAGSVVGYRSNEE EPFREATDTSESKRRRLEQDPKGEEGEDHGPQRAPDAKGVESPSAVTAIERAEKSSFT AESKVSVPGYPVINLAEAVKVPAPVAKACTGEGESRSASAQAELRTESQLEQRRAGNP TSAATSSENASPTVVCRPISAGNAVLTKPSEVVVDEGLDKGVMTKRPVVPSVRQQTPS RNRNITMHERAMATTPKPQPKQLAMSPMPEKPPINKRPQTPNPLPRASPPQQQQQQQQ QQQRPSSRPPQAQPVPTRKALQEITNSSNRMRPVSVTDGASKFASPYKHTIATPQRQS PNNGPAFRQTKPSNSSAGTVQKPQFLPARLRNAATHNQPVSQTSAAKAQSRSPQGNFL SEPPTSTQLFITSHLDELLPSPTQEAREIQGDSPPAVSKPARPDTERPPIAAFVTDRS RRLARRVTHVQEPMAPPPRPTTVVQQAQMKAVAGKPALVPEPSDAFELSFLSTQDLSF SSQDLRELDEPTSSTGTVNPRSNNGPPPFKQRTTVPQQPSLLSHSPSGITAQRSTPQH RPKPPDSTARRHPSPICATRQLPGSAVGNGCCSTPTCVVERKPALECNKYVPPSDKTR QPPEGQPRGPSPEKPRFFGSSGEGIVALALDRSIKTYQEEEERRRREREAQQDAAKAG SVGIGRGLDKQKGSPPPNMENQMREDATRREYEHATLPQQKPPTTSNNSAPSNVHKED AASLPTIMHTASQETEYGDVELDSMDLELLFATTG MYCTH_2314986 MASPDQKPIIIIGAGISGLLLAQYLRKSGTRVPFRIFERDADLT TRGIGWGLTLHWSLPALRSLLPEDIVRRLPEAYVDRAAVAEGRPSTFPFFNLSTGELK AKTPTADESQRIRVTRDRLRRLLSTGLDIQWNKAANGVRTTEDGTVTVTFDDGTSCEG SLVVACDGGSSRIRGLLFPEHPKYRIPVRLLGVKIDCTPEEIEPLRKLDPYFLQGAAS ENDSFVYCSVLDAPGNAPEGNGGKYTYQMVFSWPVRDGFFNQPAPIEFPETNEGRVEL IKKFAKTWADPFRSLALSIPSDTEVKSLELYDWPPPKGLRTTGNVALVGDALHPMVMY RGEGANHAIVDVHDLVELVMPHFVADERDEHSTPAQRAVDLRAALDRYEDRVVSRTRP AVLASRQACIDAHQWSRINEKSPLLSRRAMNLVFDDADLDLGVHKISTPGSVPVE MYCTH_2303233 MSTTSEKNSAKERVDVNETTPNGASGGLDSAWKYLDAHRDQDAL DASASIDLKALRRKIDWRIVPLMFLCYTLQFLDKVILNYAAVMGLHADLKLEGNDFSN IATFLFVGLLCFEVPNTYFLQVVPAAKWLGANVVLWGVATASGAAAHNYQTLLVSRVF LGIFEATIAPSLMLISSQWYTKSEQAPRFCFWYTGLGIGQIVGGLVSFGFQHMGPGAQ LTGWRTMFVVLGVVTVLVGLSVILFLPDTPMKARWLSDKEKVALLKHVSVNQTGVQNR KFRAKEIVEALLDPQIWLLLLSVVLLSVSSGVVTSYSATLIKNLGYDPKRAALMNTPS GAVSIFFTLFVGFGIRLQSHRWAFIIACVIPAILGGGLMSFLPVSNRSGCLAGIYLVN AVVAPLAIFYNWIMANVGGATKRAFAAAVISGSFSLGNIIGPQTFQQRDAPEYRPAKI AVMATQAACAFTTFLLFLYYVWQNKRRTSEGETEEAYLSPEHWINMTDRENKKFRYVY MYCTH_2303238 MALNHPRSTPDSSAMKSEESSQTGPVDEPTNAPMNGSTSKGGDS PENMNMATKALLILSVFLSMFLVALDRTIISTAIPAITDDFNSLNDVGWYGSAYLLSS CAFQLLFGKIYTLFSVKPVFVVSTLLFEIGSVLCGAAPSSIVFIVGRAVCGVGAAGLF AGTVVCIIYIVPLHQRPKVQGMFGAIFGIASIVGPLLGGAFTSNVTWRWCFYINLPIG GVSMAVVALFFRVPQRESDQLSLAEKILRLDIAGTAVLVPGVVCLLLALQWGGPTYPW NDGRIIALLVLAGILSAAYVAVQAIFTATSTIPGRIIRQRSIASGLWATVCINSASFI FVYFLPVWFQAVRGVSPVDSGIRLLPIMLATVVGSILGGFLNARVGYYAPLAIVGTCV MAVGAGLLTTLQVDTGPGGWIGYQVLFGLGMGGCFQAPNLAVQAALPQADVPVGLALM TFGSSVASAIFVSVGQNVLTNQLVRRLSGLPGFDVGLVTSGGATALLDALPARSRGPA LVAYNEALREVFRVGLILACLTIFGTATMEWLSVKKPQPEKPVAGLGGSKTGQEKEEM KTGNMKK MYCTH_2303243 MGAQCVPAALVPRQRRRRFPERELLERIRQYETLLRQHHVPFRP LHPPHTPVDVPSERPPSSRDGRTPEPPRIDDSAPSGQGKRVKPRTTNLWHALRRTDAD TEDDSDTGSEADEPGGGFIYDNEDMEKVIKAAFDRAYRSGMESSSPLLLGSPASTTPL TSLHPDQATIFRLWQVYLDNVNPLLKVTHTPSLQPRIIDAMGDLANISAPLEALLFAI YCTSIMSLSEDQCRTLFGSARRNLLTGYQFACRQALSSANLLQSCDHDCLVALFLYLV SIKSDTDPRSVSSMLAVAIHIAQRMNYDKESSNEKYPILEAEMRRRLWWSLVILNSRV CETFNYRAATMAPTWDCRTPSNFNDFELLSGSRTAPPVHDKPTEALFVCVRSQISDFI RHCPFHLEPTDPFLATLAGGGTSHYDLRELQETVEKTLSLCDPAHPLHYMTMWTARGI LAKNMLLEHCFRRRRRQHQQQQQQQQQQLGSDLPDKPDPDEPDPAIGYAVTMLRCDTA LLASPLTRGFLWYVHAHFPFLAYVYLAQYLPSLAATTAAAEAGESGVKERNNNNNNNN NNNDNAADVVSAAWAAMSDNYDARRAADFFSFPSEEKDQNKRERERNEGGRDGATPGS GEDSHPLFVLFARVVLGAWDAVAAAFAAAGHSRDVEEAVPRIVVSLRERLGKEGAGIE ERGGGSGLPSGGGSNGNNNSIGGDGGNAAGGSCNNTDTGIVNTTGDSAAGYGFTGAYM DVLLGDGGIGLVDVECQQVWPTMDWAPLVQHGF MYCTH_2303246 MDRAGTTTRNDGTADENPTPRLFNDVYSSRWGCPTPFHATWAPF PRLPTELRLQIWLSYLQQHRMIEVEIGLPADEDETSYPGEAPHSRYYKGRNHLDRIVS GRGYTLSIKGRGSYAASLSPLLWVSQEARRAALSFYHIRLPLQCLHGERILYLNSDYD VLYVRPQQPERVRFVRGGFPRLATILADVLHDIKAHDSKDQGVAHLTLSVEYWVDLLL QQHVPVTPGILHPVAAKSFADILRTRLRSVLCVVGFRHPTRGLGEFPVKDWHFHFAQT FPLYRRGHPTGAFHWLRADPRPGVEIDLRQVPLDLFVDTHHLLRSWEELERAFGITRA QQRAAPETGGDDDNAGLRFYICPTLDWSRLTTSMMHEFPTWEEEEEEEEEEEEEEEEG EEEEEEEEEGEEEEGEGWREELAKYLQYEADMWLRELGYFLHFDNGIRPEQLSHGFMM DPETFDMMEKVPCTAVGMWLFPVDAFQKCTIPRRNCFDLSAVRPGLFLFDV MYCTH_2303248 MDKYLNPLLPPSCLPYLPAPVAHFLGYRTRPPRPLGNLAMIFWA VVGVFASLAIIGAVDQAIPAFQARGAPIIIGSYGAAAVLDFYAIESPLAQPRNAVLGQ MVSALTGVAVCKLFALSPHFEAVRWLGGSLACACATALMALTGTVHPPAGATALMAVL DPNVAGLGWFLFVPLMLGCALMLVVALLVNNIQRRFPYYWWTPGETGTFWHRREEPGA EKEKEAEEEREASPERQRQRGEESSGSSSSGDLTQTVSRIVTVLSGKEGEIVIKRGRV MIPDGLDLRQEEILSLETLSERL MYCTH_50019 SKLTADHRDNRELSPYIRVTICSLISAEYSKRSLVTLFSVSRPA IYHTIELWNSYNTFKSLPRSGRPKVLSPSEKRYILLIIKKERYIARKALVNTIRKKVL PLTI MYCTH_2303249 MSPSETLTFNNSRGGSTEVLPMPLNEYAVTSNGFLPEEPPLRKL PNPYYGPWELLVESLPNALASRTLRDQVHQLPILSTDRLTAEPEWRRACVILGFLAHA YIWGGDTAAETLPPQITLPFLAVTTHLGTPPVATFASLSLWNFEIASTSTNTASTPSN TTPSTSVPDRDLDLTDPDALRALHTFTGTADESWFYMVSVAMEAEGARLLPVLLRAMH ALFAARDQDVPPATTTAAAAAAVASRALGETTACIGRLGRLLDRMDERCRPDVFYHQI RPFLAGSKNMEAAGLPRGVFYAESAEGEGEAEGKANGKGEWRQLRGGSNGQSSLVQFL DIALGVEHIAMGSSNSSSGGGGSSSNSINSDPKEGGGGQGGKPAVGFHEEVRGYMPEP HRRFLEDVAARYPGGLRKGVEELLAAGGEGKGGLGPELTEVREAFQTATRALAEFRNK HLQMVTRYIIIPSRQQNTRREVNLATASSKLRASSSAEAKETVPAGGGELTGTGGTAL MPFLKQTRDETFQAGRLEYR MYCTH_2303251 MDAEYQSLIDAFRAGQKKPEFPSNFDSREYARRLDAQDKLSFLR DSFIIPTKGSLRKKALTGTLPGEHKRVGTLDGEGKRTEQNGSKNSSGNAEDEEPAIYF VGNSLGAQPKSIRTHLDAQLETWASIGVNGHFTSLDNSPLTAWQDMAADCARKSVDLV GAASPSEVIYMNTLTVNLHLMMASFYQPTEKRHKIIVEWKPFPSDYYAITSQLEWHGL NPSTSLVEIHPDDPETQYMSTAHILSVIDEHADGTALLLLPGIQYYTGQLLDVARITA HARARGIPAVGWDLAHAVGNVELALHDWGVDFAVWCTYKYLNAGPGAIAGAFVHQRHH RLRREEDDGEGGANDHDTAGSNNSANNDNNGDRPSGPRYVPRLSGWYGADKATRFDMA KEFRPAEGAHGWQVSNPSAADLACVGAALGLFERAGMRALRDKAVVLTGYLERLLLDL LDRSGVTGRRNEAGEPAFRILTPADPRERGSQLSLLLRPGLLDRVSGRLAEAGVVVDV RKPDVMRVAPVPMYCRFEDVWIFVRELEKALERAIIEHTQTK MYCTH_2126285 MFAALLSMTVMVHQIVIKLHLRCIISARSIPAWSIRPSRAASEA FDRAFWIINRHLGGEVRFESRAAIYIILNVSKEAAPEMGIDGSLTVEAPRSSCRRIGA IVCRQANMGQGKRIQADAELRVCQEGLAGKQGNLRDDTILHNREVAITGSAATADQE MYCTH_2062247 MAPTAATLALSAKPHVHALLKRLHSASEAQEKSLSQSWFWFKRL LTSRLFTWKWDASADDHMRDKFVALEEDKCHFMYLLVRGSGALNVVEAGTSFGVDVSG RVIATEKEPTKAARAREHWAEAGDEVAGVIELREGDLLETLKRDDMPEQVDFLLLDIW TPLALPTLKIVQPRLKKGAIVLADNTTMARTWYKEYLDYIHDPVNGFKTTEIPYSGGL QMSVYLPGEVN MYCTH_2126287 MWQSLKRKATTAARSPQRHYPDARRHQLRVTLPNSDSGARGESN LDLYYSMALTRFGRPRCGVNNAAKVILVSYISNENA MYCTH_2303253 MTTHDTSSAGFHMADFSSFLSYLSDAVTAAWPDRFATRYRKVKV LLMSWDRDDLGVEPEARKLEAVFRGLYHYDTEYWKIPSRRSAVELSRKVADLVDEHGQ EGNLLILYYAGHARPSEQPGGSPVWAANRGRDSPTVQSSILHSFLGEVDCDVLLLHDC FHQVQENKGAFVGQGVVETLAAAGGAEPAPTEANDHHSFTASLVQELAHAAHTADWLS VVELHRRILNRMHAWTATLSFRDDAYSVVQVDRRTGQPMFEQPRRRTPVHSFLSRKPK TIVLTPLPHQVQEQSDNESLLLLNPPTPQSQTTPTMGPAILVTCRLRDQRVDTEKWRQ WLLGAPEEAKGIQISALFPGFGAVLILELPLAVWDLLPPSPAISFIAYTTGSNHISEF RRALLGSDADDSSSDTAEYESDGDDGFGPGKHHKKTGRRRMRSGREADETTTQYRSSL WPDFFENNRASDDSAEHTPYCLTLAEMQGDEKMSKAARVIRAFVQEADEPSSRYISDE IQEFCAPASFEALSCDPEGDPDLVAILDEPRSNGTHPGAHGMKFLTRGQLYEALVSRA VPQLIDTESKDGNSSERRLIYTTNLDPATTLALAAAAPERHALPLRDFVYKHLSFQSD MDVRLHSSGVQGFSLSFHLPFFAWRQDSHPFTDRRRGGGHNGPLRGSRNISFLNKSGE RIQTCIHEAQVSCLIVGVDNRHWTAYGVFDTYHDGGESRHDVRSYQTTQGGAAMDPLT CGRHMADSSVADAREYFLRAMESCVREVKEEWENTGRQVMRALKHQVSLGTGFSLTMH DRTNRAQK MYCTH_2061855 MCWLWERSVAILLAAGVIANPLRPRRIPWPEPVPASSIGPIDWS SIPPSPYKHALRQTNTTTTSSSSSSSSSKYDNQVYSVQVSGSSSSPPASVDWRNRDGQ NYITTPQDQGACNSCWAFAVAALIESMMRIEHGVWGKRSEADVHDGVGAACESVGNAE DTLAWVAGQGPEFVADPTRPAPGIADWACDPYEATAHAYEHCDDRSGRTTHIPYYQAL GLVEDQKRWLDEYGPIIATFVLYDDFGSWKPTAAGGSGGDVYRWDGVSGSDGNHLAIV IGYDDEKQAWLMKNSWGSGWGDEGFVYFAYGEANIDNWTKYGLVNVNPDPWTRRKHQS GSMMQSGNGETHRNFELLVSEAGGSGFTHVSRDGNSTQWSKVLEVSGSGSGSGLVGQP AILGTSFNRDFHAVSLDENQVVQQWAYRQSEMRWSRVSAIEGTKIDGFPGLAQSDGST LVMVVKHADGTLNEWQQAPNSTTWTLANSPIASGIAQSGPALVQSNAGLNLYDRQQGA SRGNIYTVAVREDGKLQLFWRPGADAAGWSAGEVFGGSGVVDPGSPPVMIQDYSGTAN ETSVGRFQLAVAVGGSVQHWERANDDLEAGQAPPAGAEGGSPAGRWELVETAGTGVKR VWALLQGSFGGRLHMITEGTDGRLSYWERDEKWVEVEKLPALSDAAWTRSGPVSGG MYCTH_2303256 MKLAVLIATTAGLAAALPQGVARRGVGRPLHHSGPNIRNTTYPQ YSSNWAGAVQIGTGFTSVYGTITVPSVHDRNPNAAASAWVGIDGDTCQQAILQTGVSF YGDGSFDAWYEWIPDYAYSFSNFRLSAGDQIRMSVEASSKRAGVATLENLSTGQKVSH TFTSTPSTLCETNAEWIVEDFQEGSSLVPFADFGTVTFTDAYATGSSGTVTPSGATII DIKQGNEVLTNCATSGSDLTCSYTG MYCTH_2303257 MVRGSVSTYRLRSDHLEEYLKSQFPGYEKFGVQLSADGKEFYTF NTPAALTEEQLKYIDEHVRLKHDDDADFL MYCTH_2138765 MADVEDITAQFATAWQRAKEYPLTVLRTEVFGHTLKSLQERLGQ DGLFRPSSKMLAVRDIQVTATEFDRGRFLKSVDDFEALLDPEEKDPWWRFIFLRAHSS RDSLGCSREQLAMLLTYHQVMPSFLDLVFTFRARSRPLNYALFRQENYLDKNAPSLPL PHLGRSGIQVQHAFNLLTVEKSDQAQERNQWPLRHAALYHSLDLQTGRAVYILLKGDS GLASRIKHATETNRHLRPDSPRTAEQSFIASLQVHLIMLEWSVENWSEYVDSMEDTLR SRSVEAKVAPVATVTSPVNLAQSLQRRGSSFPPRGPSRTFSRQGTVHVLTSQPATMSR DNSNPQDMLREQPSEPKMPSSPTSPTSPASPAPSRFPLPPIRTMSRRTSSSGFPTRAV RRTLSGIVRRVSGGLENGAASIQQQEAAEGEEESDEVISQLAELENRFSFNELQRLSL TADEINRSILALEQSRDVIVQVQDQYRAVMSSHAFRKLLDGNKCKTEAAVFFRRVNRI LHDMEVHRRRLLDLARTAEHDKYMFESLNQHTSIQTSKAFQLVAHTSSEQMMQWTLKM HEIAVKTKKETLSMHVITIFTLIFLPGTFIATLFSSGVLRWDEDGTLGSDWVVRRDGI RLFLSICLPLTAVTVSIWAAMYGAARRWARRHGRDVGLPGYADERGIVVAAGPQQQAT PRGENRPRRTSAMAAVTNPDPFFRFSEFVNEAKSKYVGHDIAGNAKPYVPLSALSKYW TPHRISSVLRAIPGRLNVDPGLIRSSYLRIFSTLVYTGPDTVGSLTNLSISRNLDDDC FPRHTRPNEWPDEAFFCKFFDSIAPHQWQFFPLPFHPHKLHDRHLHKEYILPIDPVTE IDHSSAASIQRFDIHAEYNDLSPKDEHGRPTRSTFVLKIYHGRKHEASYENEYRVLDQ HRRNRSPNVVELYGSFRQLESYCLILEYANAGNLGEYFENCQPPRTVEDVAAFWKSLF QVFQGLERIHQLMLYDGEEYIKGIHEDIRPENILLIKGLFPYHFVPKVADFALYSRVR TVKSRSSGSGFGLDRYGNQRFSQRHKGINMITTLADIFSAGAVLSHAAAWVVGGKGEQ QRYFKQRKAYHDKNHSLFKHSGYEGCFHDSIEPIPVVAQHHQSFLRRLMPGDDVTPKV IELIDRFMLRQSNDRLPARDLHERFEQFLAIRSLASPSSLTPSTTAVTTDATPQSSCW SVQVAASPSTTNGRIISLPADPPSTAAANPDPTTRPALRVLVTSHDDGTTASTVPTAE PTARASAPPSPVSPATTPGSQIGVSEIHQYRSDVRQGRPADPRTAQLIEYLEHSLSGR DQFFFVDDSRSMGQLGRKTIADGFQALACLAKRLDPNRVELAFASQPRRVYRARHTSD LHQRVADCAYKGDGRLMEAHLGDLIDYKIIPRLSYRLLGININLWARHKVSIYVLTDG NWGPADCGGDACGVERPVRRLIEELRKRRLDRTQVSLHFIRFGNKENGRKHLERLDDF GRQDGWDIVDVKHIESDVASMIIGPLSRANDDIQAT MYCTH_2303271 MKLLRYLSIALPCLGAANARPRVFDSKHHVSYHGLDRNGIEVFL GIPYGQDTSGANRFKPPRRHVPLPGTEIEATSYGPSCPQQFGQWAVPISLGNITDVSE DCLRLNVARPRGTHPWDRLPVMVYIHGGSFWAGNNHDPTILPDGLVLESVWNGLPVIH VAMNYRLGFFGFAQSGALESEGSENAGLRDQRLAIEWVRDNIELFGGDPGRITIFGQS SGGLSVGLHIMAYGGTKPVPFQQGICQSQALEPGITGNFTINAMQALVDYVGCNATDL HSLETIACLRALDTKTLLDASLSTYRDDLNIGDIWLPVVDNDFLPAAPSTLIREGRFA NVTTMIGWCNNDLTFFTDPEIQTPEDTRDFISGYAPGLTSENVDKLLSLYPVSDFASA ATDTLSAEFFRASRIFRDILMTCEPLFYAEHIARAGNAVYLYDWNQTILEPFLEQKTG RAGWGPIHTAEFAYVFGNLSHYDIDGFPFNPSWADYQLAVRGSRSWSTFAATGRPGSL GGRDTFTGFGPAFHRGDNTTTYIFVAGGPSEGLSAIDGPHSAPAVRAQKLRERCAFIN SPEIIEQLGY MYCTH_2126295 MARFPLLSALICILASALHVSAAISNYTAICNEIKDRVSQQSDV TYPIQGVTFSDRIHHWFDSSTEIPACVAEVGSVEDVSLVLQIVGASRTPFAVYSGGHA SNVGFSSTKGVHITLRRFNQTQLSEDKTTVTIGFGQTWVDVFEALADSGVNVVGGRVP GPGIGGFTLGGGYSCDTVKTFNVVLPNGTITTASREHNSDLFFALKGGMNRFGIVTSA EFYTHPQPPEVWGGLRIYHASQVPALLNATERFASENRDPRASVLTSVDGTTAVGPTA LGLFFYDGPEKPEIFNLFDGLSTISDSTGRKPFLDLIRGFPAEIVYNSPGHFCHVLDD WDHRTLPGDIAALPDLLHNMGKIAALHGATTINYDAQPFLQYGRHATPSAFPHSDSLF PFNLYFAWRNSSEDEFWYGKIHQTLDTLKRVATEEGIYREDFPDYPNYALSGTSAEKL YGETNAGRLRQIRDQIDPDRIMDLAGGFAL MYCTH_2303274 MSASSGVEGWCKVCLHANNEHNYYTCEVRGCRKRFKICQTGSHE EWNVVAGYVLCAWCRDHPYSCSRVDIYNIKRLIG MYCTH_2303275 MADAVRLSAEDFRGVYEQSFREWSAVYHAAIKQPGLPLSYLAIQ SAFRQHPSFPRNLRLEHAKYAKHSQIYATGRSSWASTQPNCEYGLPHFHQQVQINVAS RNPIPLSIGTTPEREMRVASWFERGDQNYLAVLMLAWAYILSARWAEIMPGGTSLVYT SCKADDYAQDVPVTVPPDNLSVAVDIRDAGPDEARWWAAVLAPDQGWQATMLLDQDTF FAPWSVRLQPGCRFVLLTLSTIRSHSAAVAPSSSEALRFLENFCVRRNITDQSQAALA AVLLFPSMGNGQGLQLPAFATDRLDGPTGVSSSVPHRQGLDLDCELRHTFEHKDNRLD KLITLSCHVKGIRPMLLSSFYNPSVECNAVTPWLQGALAAINLLAQDDPLVLGRMLMD RQPKVAPLWLGITVLGLQKRLLQDVGYGLIPVDLHAAVWSGTIQSFIQQPVSDPLVVD GQVSRADQCRLLFLSRSGSHDRVPVCQWRPFGGTPLEHTDIEVRVHAKCKGHGLRYQG FIWDCVDGNTTYQALDDNDVYACPSPPLTQQTTNSRQNPVIDYKNLDREKDFVSENAT RSIFGWLRVNGYALDEQDIWKHEWLDMHESDEEVEERTEESSGNMPKSSSYVETWISE LSHKS MYCTH_48909 MRSLAAFLLALTALLPTPTAASGSPGFTGHGQLRALHNTGDHED LGCLTSEGKWTVEEPLCGVFAADRIDDYQFRLRSIEAGECGIDVATFKCGGGVKAAGF GTWGTPGPVPGYDVLRYSQYGVLATNAPDSPPAPGEEPLAIHFYSGSEKGKWIWLGWK ALSDDEAADDAGEN MYCTH_2060992 MAIFHAETDGASLFYRYYTPSRSSPHRLATTVERQPLTLVFLHG WPMSSRMFDQLIVPLVESHRFPVIAPDRRGFGNSEWANPATGEVTFDTFVSDAVGLLE HVMQKNPGPFVFVAASMGCSESVLTCIASPFLHTHCKGFVWIGPNMPNSVSSDECPSA PGKEVWDGLVQSFRGAGGKDFIRDAIPGVFRVDLGNDVSKDTLQFFERLVQQADPVAV ERTAIILQKPMARELREWAESAAADEREKVPVLILHGDSDSGMPLETSAALVHKMLPW SRLRVYQKAGHGLYLTHAQQVLHDMLAFLEPIVSQQRL MYCTH_2303278 MKYTIILPPLVAILTAASVPSTNSDASGVVARHEGHHDDTTKLD LVDVVARRENQEDQDSDPETNNVTARHSQGDDDHDTSEGDEDDVQGLEKRRRRGGSRG RVGTYHGGHNNTHISGASALLSLDFLDSSLLGWWGLVSVPSMCESESVDDLLIIGSFY GNHRPRI MYCTH_2303280 MGGRYVSTSSAVNARPRGVLLTVCVISLVTIWLCRLPKMCLYGE GAVVDVSTGPTARQSIDLSKADAPFISWPLERVCNESTMWTPGIVFVCDNNSGGIGNI RNYILTCFRYAIEAGATGVVLPQIRTRSEKDLSDIMLPHRGFDYFFDEDHFRRSLSAA CPQFTLYNSTDDIPNAPVPFKAEKITPRNFGKRGGCDKRELNKHTGRFDKQFWEFIKN TTEEFHLPIPSIETPRIFRFNWGVQWDWPVFRDGPEFVATYGGLLRFRQDILDLGFKT AQYMREFSHQHGGSGAFAGMHLRTESDALSRWPKFDEQSGAYLERATALNFKVAYLAT GNQTEARKLTTAAAEWHNMAVVTKHELLRDHPDDLEALAALTWDQQALIDYIVLLECD YFLGVSPSSFSMTVAGKRHLKAEGLYTRPWRIGGDGDGRSWLVGRYENYWEDWLFMYE SLWP MYCTH_2303296 MSKRMADEDNTGPLKAGGRPDAMDVDETPADMGEFEDEFEDEFE SEDEIIEAGVDGRPDAEREAEEAMDVDNATPGTFIVGRTKLEPGQTLSPDPTTYRMLH NLSTPWPCLSFDIIRDGLGDNRTVYPATMYTVAGTQADSAKASDNSIMVMKFSGLSKM QGSDEDESSDDESDDEDADPILEHKSIPLNTTTNRIRVHQTPSQDPSKPPTTLTAAMT ESTNVFIHDITPHITSFDTPGTIVTPQQNKPICTIRAHKSEGYAVDWSPLHAAGKLLT GDNDGLIYVTTRTDGGGFVTDTRPFQGHTSSVEEIQWSPSEASVFASASSDGTIRVWD VRSKGRKPALSMQVSDVDVNVMSWSRQTTHLLASGDDAGVWAVWDLRQWKPSAGAAAA SSLQRPSPIASFNFHKEQITSVEWHPTDDSIVAVSAGDNTVTLWDLAVELDDEESKDT AGIQDVPPQLLFVHYQNLAKEVHWHPQIPGTLVATGEEFSIFRTISV MYCTH_2303298 MMGRLNDLIALLALLSGSATSAAVRNTASQARAAEFNNPVLWED YPDLDVFRVGSTFYYSSSTFAYSPGAPVLKSYDLVNWTPVTHSVPTLNFGDRYNLTGG TPAGYVKGIWASTLRYRPSNDKFYWYGCVEFGKTYIWTSSGTRAGDRDGEVDPADWVW EPHPPIDRCYYDSGLLIDDDDKMYIAYGNPKIEVAELSDDGLTEVSSRVVYTPPAGTT IEGSRMYKVGDAYYILVTRPADAEWVLRSTSGPFGPYEQRELVSRINGPLSNAGFAHQ GGMVDTPDGRSWYYVAFMDAYPGGRIPVVAPLRWTDDGWPEVVTDAQGGWGASYPVPV ETGKTVPDDGWELDEFRGGRLSHHWEWNHNPDPARFALAGGDEGGLVLQAATVTEDLF AARNTLTRRIRGPKSSGTFRLDVSRMRDGDRAGAVLFRDTAAYIGVWKQGDEATIVVV DGLELALSSWTTVSTGRVAETGPTLSSTQDVWLRIEADITPAFGTNTARTTTFSYSVD GGKTFVRLGPAFSMSNTWQYFTGYRFGVFNFATKELGGEVKVKSFQMQPL MYCTH_102138 MQPGIPSASTAKSQLAALTVKAAYDDGNYSRDLFPHWETVSGAC NTREYVLKRDGTNVVTDSSCAAQSGTWVSPYDGATWTAASDVDIDHMVPLKNAWISGA NTWSTAKRTQFANDIDLPQLWAVTDNVNQAKGDKSPDAWKPPSTSFYCTYAKSWVTVK YSYGLSVTSAEKSALTSMLNTC MYCTH_2303301 MFVYGAFSHYQKVSNVFNVMTALSAATPAALSRLASRRALSGAT TTTTTTASPAWKAWQLVAVRTGAVGIIAAGGVAAFVHRREIAEGAHAVRNLNRRDVVR GYRQSVDALGQGLAYVNRGNVGRSFSWLADHFAFVGALLKPNELGRRLHRLAALRGVG VRDVYASLGENGYWSGGYFVPERTFCAVPSDGDAAEAALFARHVVEGAKDEIDAHIGL FRKEKNKNYDRMTNDAAELVIDWFNDETDIYDDPRFQEAPPAELAETEAVAKAVDNEG VEKAEERMAAAAGQDSVEDAGMDVDDEVPDESPIDIAAAASLVPLPDDIDKGLPQDAG EDGGDGGEGKDESDQKRAYLRYLFGVAQQTGTTLRAYLPSKLPTVEMPRVSMPAVNFP SMPPAIPNIVPSLPTMASMAVPTSINPFSRKPAAESPSAEGQKEGGDGVPGSEQVGVA AKKSGSEEHGVPQSS MYCTH_2303304 MMHVSMPRYDNDRQGIIFRASPRQADVMIVAGTVTNKMAPAVRL CYDQMPDPKWVISMGSCANGGGYYHYSYSVVRGVDRIIPVDIYVPGCPPTPDALLYGI FQLQRKMRRTKITRMWYRK MYCTH_2303305 MIEAFLEEPSRDDIPSIELQPDTSIPTDPDQETASADMFFLPWA LDQNPPGPFPELVPWQSPSLREEEEGVDSVLQPTVAALTSLHAALAATTTTTNGSSRG DAFDPALAGRVFTRSNRDIFLPIYFRFTHRHVPLIHRPTFDPAASAPALVLAVFLCGA LYAPPRDCVLAVRGCFPLAEEYVFRRLEGLLRLHEHEHEHSERDAEPRAGKESERYDP ERERETYETLQAALLVHGAQFMMNDPAARSTAWAARWPALVGAVRRLGLTSARQTQSP DAEAGEIDWGRWVRDEVRIRISNAVFLTDWQQCGVFHLPVLSTFHEMTADMPSLPDLW EAKDAAEFRAAIEANGRGCWRRSASLRDCTDALVAESWSGVKGFPLKNLTCLDHLILS TAIHVMIGSARFVSLLRPCIPVLRRAIDRWQELWHATVSKIDDEELRTSGFFRHCGEY GWLARALLKESLEGKDRDSPYYRRIGHATPKELHDLLRKLREG MYCTH_81645 MTVGTDDTQPLLRSVTNEGHQVYTNPVVPRQDARQQDGAANNAD TIIVDFDPRGDAENPLEWPAPFKWVVVSMLASMAFTVTMTCISTVPLASDIVRDLSRS PNPSKSASVLLVTIWELGEAAGPLLIAPLSEMFGRYPVMNAANLIFIGAGLLAATAGS VPQFVFARMLNGLAVAVNVLNPAIVGDMFASDERGAAMSMLFLAPLAGGAFGPMIGSA VADRYGWRTVVWMTVSVASACELLFLSCFRETYKVAILRRRAKRLARHAAGSGKTFKT VFDQGDGVSGWRKLRDSMLRPAIVLCSSGVLMAVSLFSSVVFTFFYVYSTTFSDILTE FYDLSPVAVGSCFTVFSIGSAISVVICNRTLDRIYVYLRSTHKGVGRPEFRLPLAIVG GIALPLSVAAYGWTAQLRLPLLLLLFSVCAMGTSLMLGMIPIMNYVVDAFGIFSASAV TGIIVTRCLMSTFLPLTTAPLVDALGFGWGFTALAGFSLLLAPIPALLLRYGVYWRKF SSYTRDE MYCTH_2303310 MAPTTITLAPPYVKTFRKKPYPAVSPSRPELSQSGRTVLVAGAS SGIGFAIARAFVHASASHVILTGRREAVLADAVSRLKTEAKDGTTISGFVSDVSDPRD SEKLWSGIGAQGIVVDVLVLNAMNMGQGGPLLQAELGATWKAFETNVRGFLDYAQRFS KQGEGRPKFLINVSTSCIHNCTTEGSGIPTYALSKNAGTLLMQLIADDTDPEHMQVVS FHPGSILSDTVRSAGFDETSKDWDDVNLSGQFAVFCASEEARFLHGRFVPIWWDVDEL KAGEARARMESDYHFLRLGLVGV MYCTH_102133 MNLANHHTFCFGIEIELLLESPSKKHRTWEALAQDLSKRLKAAG IRNHVHDNHGYAEWSIVREVTVQDPDGRNPYQYGVELVSPIHVATSLPILAAQLCKIF AVVNTISTPIKSRRCSSHVHVSRRPILSPQELAALAKAALYFEAALDALMPPERSGPH SHWAQSNRHANNPCLAGLTLAECLAKVDAAAAAHLAAPSLPLDAKGEREGKEIGERVE EDRPADARRPLVETMNLVSRESRYGVVRRKKAHFVRGKTYKWDFTGLLAPPRGAGEEA DHRGIDGTVEFRQPPGSRTAAEAVTWATLAVAFVAGAVELGTAGVGLGSEAGGVVGEE GGSREELWKLLEKGREALGWVDLRALDGLFWGLREE MYCTH_2303313 MGDHIKDILTLAALDELTSTHKYVIIDFTAQWCPPCKAIAPLFH KLAAEYARPGALAFARVDVDEASEVAAKFGISAMPTFLFLVDGVADGFDDGGALTGAA VQRTDDRALSIRGADPKNLVSAAIKLSELAAKELVAEEVTAESA MYCTH_2303316 MAEGLTRVSSEVSRNNAAPVGEVARDRSKKGGGSFLSRLGMRPG KKKDLVDLDSDSELGGETRMDGVNARVFSQTLGSPLVGGGYVPHHKEPPRYIRTRSSN KRTREFNRVFLAQELVGTRPPENDEDAAAEAGKAPMVSVSVAGTGDRRAVRSGGAIWA SEFSKDGRFLATGGRDYVVRVWAVLSTAEERRAHEEDEVVGGDVGERLSAPVFREQPV MEFKGHTGEVLDLSWSKNNFLLSSSMDKTVRLWHMSRSECLCTFKHKDFVTRLAFHPR DDRFFLAGSLDTMLLLWSIPDKAVAFSAQLPDLVTAVAFSPDGKVAIAGLLNGYCMFY ETEGLKLSSQIHVRSSRGKNAKGSKITGIQTMTIPPPNPLDTGTSQAPDSVASASAAA PADGTGVREEVRVLITSNDSRVRVYNLHDKSLLLKLKGHENACSQIAATFSDDGKHII CGSEDRKTFIWSMTGGSALVQDKDKSPCEYFEAHGDIVTTALFAPTKTRMLLGQSGDP IYDLCNPPPVVLQSLEEAASAAASQVALTNDSQQSRPHEPVGKRPEPSPAYIARSTHY DGHIIVTTGDTGIIKVFRQDCAYAKRRHESWETGSTFSRKLGAGGRLGRSGSVLTRTS AGSTAHSRRGSLSQPVPPSVLGSPQFHAVGAHPDRILSWRQGIEHGGDRRSALLADGS STPARSERSLSPAKASRTSLNSAYNLASEGRKQPYGGASPARNRASSAVTSPTASVFS SPPERHPSRSLTESVLTKQRRTSKPQEEPSAPPTPGFTLYSASAEEADQSPQSESAAG AGSTSFWNLGRWRAITAFKAGSSSQGAAGTRTKSIGSRPSLSISRQHADSSCDEREGS GGQAEGGGGIAASRRQSAGGDGKSRGRKSLPGGSSVQEGSDSDAPSVPVNGGETENRG SRD MYCTH_2117839 MRFASKKQTIDAIDEDAGVSLLIVEPEDMWHANNLIAEGDIVHA PAVRKVTMTTATGSTVGKSVRTNLSIRVKSTFFDPLASELKVSGTVVNENDWVSVGQH HTITLKYEKADLKFTIWKSSGWDSVAIQSLKEALSEDRPEAIAAVVMQEGLANICLIT EFRTIVKQRIESPIPKKRSTAKESTGAMSSFFEKTLSSLRNNVDFSIPRTLLLASPGF AADDFRAYMASEASRTGDKGLQRIAKEAVVVQSTSGHVHSLNEVLKSTKVKKILENAK VTTETNLMDQFYDRLRKDDGRAWYGTKPVEKAVAEGAVGRGGGVLFVNNGFFRSMDVA TRKKYVALVDKVKEDGGEVRLLSSDHESGKRLESLGGIAAILTYPILDLDEDDEEEAV GDSGEGSMVI MYCTH_2303320 MNNILALASTSLLSDSTSAEDLERRIPGFSVLQDFFKKWLKLDL TTILTAAALLGAASSGAQTVQHTGSRIYWWVVRFLTASVSIAGNDRLNHEVLNWLGAN VLMKKGTRILTVKSESTRNNTWSYRRGSQERNDYQHEKRVPVQYLPTFGTTWFIHDRN IFMVRRILTSNSQYNIAWDWTPDEYAGAPEGDEPLMVMCLGRSVEPIKRFLNTCREFS DKQRETCITVRSSKHSYDGLWDTTILRPLRPLETVHFDEEIKEALVADIEKYLDVNTR RFYNRRGIPYRRGFLLYGPPGTGKTSLSLALAGRFGLELYLLHMPSVHDDTSLERLFT ALPPRCIVLLEDIDAVGIKHRPRIRDHHDSSDSGDDSDKSSSDRNIGLERSRCTLSGL LNVLDGVASQEGRIVLMTSNYADKLDKALIRPGRVDKMLYLGHISPRSSELMFLRMFS PDEDGAAPTNRAVQLSQDQLKQLAVDFSKCIPDGVFTPAQVQGYLLDHKDSPVNAAAK AKEWVQEEIRLMEAAKEKEKKAAEARKKKRKERKRRRAERLAEALRNYDSGEDLEELR QRVKVARRRKKTITQDATEPEEADVDRKAGDKEETASENRNVGSIPNGETPNGILQES EEDLGAKADENNAAHPKVDITGTEAPQDNKLSGGH MYCTH_2303321 MKHLSQDQDKNSTAAGNPGTGQAEGSNPASLIRDLNIIGSIKES ADYPTDNPTATAEPAPAENQTPTRVGSADRPRTPSPNPAPSLDTVTQPDRTSTELPAN LKECIKESYDAIAPVYNQWTLLHQARRMHYTTRLLDFLRADRRHKDGVDYRRGEEKEE NKEEEAMKRLPDSAGEGLDLINLNLNGMRALEVGCGTGVPVLEILLAKEMDTIGVDIS ATQIALAQAHFPTQTATGQAVWEQKDMMDLGYPPGEFDVIIGLYSLIHLPREEQTIFL NRAHRWLKAGGMLMINFPREELQGDVMEHWLGQDKGWMFWSSWGEEKMMQIIEDLEGM EVLLREVTETDAADPAFVWVVARKNRVEGAEGPADGTARREGAAESPGSKIE MYCTH_50335 MSAAQKQESAGEQHPALGSGTSDSSLTARDDTSETVGGDGGDDR VQNHEASPLRDVLEEGTSNELHRIATALSRHRSRATAGPETPESDPALDPESPHFDVA KWVRNFVFQLKQQGHKSSQLGVLFRDLDVFGSGSALQLQETVDSVLLAPLRVGELLSR NKQHKHILHGFNGLLRSGELLAVLGRPGSGCSTFLKTLCGELHGLTLGKNSTIHYNGA SQERMKKEFKGEVIYNQEVDKHFPHLTVGQTLEFAASMRTPSRRIDDMSRAEYCQYIA RVVMAIFGLSHTYHTKVGNDYVRGVSGGERKRVSIAEMMVAGSPICAWDNSTRGLDSS SALKFVQALRLSSDLGKHAHAVAMYQASQAIYDVFDKATVLYEGRQIYFGPASAAKAY FERQGWYCPPRQTTGDFLTSVTNPGERIPRPGMEHKVPRTPEDFERYWLASPEFRALQ DDMARYDAEFEGDDRQAESIAALRETKHYRQAKHVRPGSPYLVSVSMQIKHNTKRAYQ RVWNNLAATATNIGSNLILALIIGSIFYGNPDATAGFDGKGSVLFMAILLNALTAISE IESLYGQRPIVEKHASYAFYHPWTEAAAGIVADIPVKFAAAVVFNLIVYFLAGLRRAP AQFFLYFLISYVSTFVMSAVFRTMAAITKTVSQAMALAGVLVLALVMYTGYIIPVPQM HPWFSWIRWLNPIYYAFEILIANEFHGRDFTCSSIVPPYSPLAGDSWICATMGAVPGR PTVSGDAYIDAMYGYTYSHVWRNFGILLAFLVGFTAIYLAAVELNSSVTSTAEALVFP RWDIPAHLDPRRKGGDKEVADEEAAGAAAAAAGDERKKVEQGPQDLNGTSIEPQRDIF TWKDVVYDIDVKEGKRRLLDHVSGWVKPGTLTALMGASGAGKTTLLDVLAQRTSVGVV TGDMLVNGRPFGADFQRQTGYVQQQDLHLDTATVRESLRFSAMLRRPKSVSKEEKFAF VEEVIKMLGMEEYANAVVGVPGEGLNVEQRKLLTIGVELVAKPKLLLFLDEPTSGLDS QSAWAICVFLRKLANAGQAVLCTIHQPNALLFQQFDRLLFLAKGGKTVYFGDIGENSR LLLDYFERNGSRRCGDDENPAEFMLEIVAEGVNRDGEDWHSVWKKSKECSEVLAEIDR IHEQGRAAAATEKQTSGGCGGGDEGDSGGEFAMPFWSQVWAVTERIFQQYWRMPGYVL AKLLLGIMAGLFIGFTFWKADSTQAGMRNVVFSVFMVTTIFTTLVQQIQPLFITQRSL YEVRERPSKAYSWKAFLIANIIVEIPYQILTGVLAFACFYYPVVGIQASVRQGLVLLF TIQLFIYASAFAHMTIAALPDAQAAAGIVILLTMMSTIFSGVLQTRIALPGFWTFMYY VSPFTYWIAGIVSTVLHDRPVVCSRAESLVFDPPPNTTCGEYLAPLAGRAAGTLQNPS AREACRYCAFSVADQYLEGVDIYWEDRWRNFGIMWAYIVFDIVVAIAVYYLFRVKGKG FQGLFKRFKKD MYCTH_2303328 MQHILALVALVPAVLAQTYYGCYTEIPARALTGSSLIDYENMTI AVCEAHCTGLDFDIWGVEYGGECYCGNALAQGSFPAFVTDCAMPCPGDAATVCGGPNR LSLYGASEEAPVVTPQPHDPVSETQYAGCWSEVEGGARALAGTSAFSLSAMTVPACGD YCLNSGFLWFGLEYGAECYCGEELSVNSTSVAEADCDMPCSGDAATTCGGSNRLSVYQ WV MYCTH_2133830 MLTAAQIEGQMNECIVATALYYLDSEHLTPRRLSFRMMTDSTQA EMEDQVGQDMYKVYERIYGTRLGGDQEGRETVQTCGSVETPEGRLLAFPNIFLKNRNK PGHRRFIALWLVDPLRRIVSTANVPPQQFDWWIKAVFGTEAKTLGNMPPGVFQLLLEQ ELLLQSGMKSRLPSEVMDMVRSQVAPDGLMTVERARRHRLTVMEERSAFATTAREEEW ANR MYCTH_2303335 MLPSTTTTLLLSCLVGAVCGLSVDAKWWWPHPKATCLAPDAVQT ASFYTGLEEGTPGIRPGLSESSTDKANFINFCAGRTLTNGKQNAAGSCNGIPMGRIPA TTNMISSIITYPQPGDRLKPNTTFNVTIQTRHLRAGYLVNPSVSYYTAPQDLDENGDI IGHCHISIQNIGSLRTLDVPDPTAFAFFKGVDDEGDGKGRLSTEVTGGLPDGVYRVCT MIAARNHQPVAMPVAQRGAQDDCTKFEVGL MYCTH_2126322 MRSTLGLLTTLLRLESIETTAFVIRCFGYYASEFLQHHPDVLDP DLGQVKARVHLTMAHRPVRWLWTQEPAEAKRLWQQEEHAAAFALRILDHFFARGDCVD DCDIGIAVGQIHQRLCILQSLFLGNSAWVEDPTLQEELRGALEDAMNRTKRSQVAPFV GTVY MYCTH_2303340 MNRPSRTEDDLEHPTWNQNPPFLAPDLTTRQDLNGIANTREHRH GCSGAHDGAGALHDEKRAGNRGCGCCDRDCDCRHGIRSPFAMSGQHGCPLIAPETALG GEGQTCLASRPIQPPSGWIGWIASVVLASTLIHVAGNSRRLSSSFSPFSGTTAVEAPI VIETTASRPLAARRVACVSSNAGDDYNTPLHVGALLIILAVSSSACATPLLAAKFPAL RIPESFLFIVRHFGTGVLLATAFVHLLPTAFTSLGNPCLSSFWTTDYPAMPGAIALVG IFFVSVIEMIFSPARTLAPRAASSAAEAAASGAPTSGSPGGATHAPLPAGGHCSSAAV MAVMRRPSISHRRASIEPAPAVSQINLSKEALEAESQGGGGLTPEQLHKKSILQCMLL EVGILFHSVFIGMALSVAVGTNFVVLLIAIAFHQTFEGLALGARIASIAWPKKTLQPW LMALAYGCTTPIGQALGLATHSLYSPDSEFGLILVGTMNAVSSGLLVFAALIELLAED FLSDHSWFVLRGRKRVTACFLVLFGAICMSLVGAWA MYCTH_107866 MKSALVATIAAFAAGVQAAVQGFDISHYQPSVDFAAAYKSGARF VIIKATEGTSYIDPKFSSHYTGATKAGFIRGAYHFAHPGQSSGEAQADYFLAHGGGWT SDGITLPGMLDLEAYNAGQCWGLSTSAMVAWIKAFSDRYHSRTGVYPLLYTNPSWWKA CTGNSNAFVNTNPLVLARYASSPGEIPGGWPYQTIWQNSDSYAYGGDSDIFNGDLDGL KRLAKGP MYCTH_2303344 MRFLGLLAVLASSAWLPASGQTDDVCRAEDRTYSTKNVPAGSHQ PFEQLNPLLGSLSTKFYITVVNLTPHRIRLQNTHSYQMDTFEFGDVPQGRARQNRIKY RGTWSTGDDAGEAYYALDGTDKTFVLRVKTYASEPDPRRVVLDLSGMGLGQREYVFPG GETAVSLVITGSSRFGFQASLRHGPGNWMRNLYDVIGDRPVRHLVMPGTHDAAMSKIT DKLTSIGSEPNTQNQGVNIYDQLRAGSRWFDLRIGSVHDNNDAAVNKGFWAMHLSDEM ATVAIGNTGESLDEIVDEINRFTDENPGEVVFLAIRYLVGRYERPDRGPILWTAAVVN DFFAKLRGIKNRCPDLDDGGTGGLQNREASYFMDRNQGRGCVIPLLNGHLDRDGVPRE SPGDGIYDMGRVMAVSDHWSNKMQASDMAPDQIANWRSATRGGGGDYGSLMIAQWLVT PDALASTAYSLQSFAIQPANPSLYWAGVNGMDPEHWPNVLLVDYIGVQQQDRWAWDQL SAELYTLAVGLNLYMISENCNVSPHRSPLLRSAQEEEGVVAAAAAAAAAAATLGGSLR APWNGIVFANGTTLDNPPPDLHPGRMDVLPKGTRLGNGTVLEQSIPNPW MYCTH_2315007 MRPTSALVAAGVLVSGTSAENYLGFNSGATLADRSAKFKADWLA EFKTAQNLENAPGKFNAVRLYTNIQAYSEDTPIEAFEAAIETNTKILLGIWTSGTNSI EKELSALKKAIDKYGSKFTDLVIGMSIGSEDLYRDSETGVKNEAGIGAGPDTLIKFIN DYKKAVAGTALKGVPIGHVDTWDAWTNGTNKAVIDAVDWIGVDEYPYYENGKGNNIKN SGTLFDRAFNAVKGVAGDKPIWVTETGWPSSGPNWDQAVSSVENAKYYWDEVGCRKLF NKVPTFWYNLRDSNPDNKMKFAITNNLSTKPLFDLTCPTKFDTPTSTSTSAAPSSTGV SAPSSSATSASGSTNTTGGSGTSAGADNSAAESGDGTEEADAIPTGSGASIGRSLFTV VSLALVAGVFTLF MYCTH_2303348 MRQRLYRQILVGREDGDAVAAVPECEVSPASTDHWGLRIASIFI ILVGSALGALLPVILARTSKLRVPRLCFFVAKYFGTGVILATAWMHLLSPASDNLRDE CLANILPDYDWAMGIALMTVMVMFLMEIIVSRFDFGFGSVHGHGHEHDGKAKGSDEAG LEAAGSEKSTGTAMPAQSTAGAAGTGFFNRTGTSGLAINDVSYPPGGEDHLGHQRDHF EGDEHANYAAQITAIFVLEFGVIFHSIFIGLTLAVTDNFIVLFIVLVFHQTFEGLGLG ARLGTATWPSGVRRYTPHLLAMLYAISTPFAIGMGLVASKSLALEAATSRVVNGVFDA ISGGILMYTALVELVAHEFMFNPEMRKAGLGMQLSAYTCVALGAGLMALLANWA MYCTH_2303349 MREFMHYITNAFYSATGWNEDNTYKELNATARELIDFPLPRGLR LTLSSLATPHFVTSYQLNTIGVVDGSISYLHSTVPLTGIAAQSDQIPLPALLRSYRRL HDLSSKDKQWYSGADATGEEPVPATTAAAAVDDSSGKNGSSLLYGRLYLPQSLLEGLV VRRFTPALQVQLSAVSERSLRNGGTLLGLIQYDKGKYGIEGLALTDGGLLGIRGLYNF GGDASSSAITPLTSISTLDSNGNGQSPERERIYGRFSAGGEVYYGTLNKSGGMSLGAR FATLPAHRGTPLTATLTINPLMGNINATYAVLARDYCSLATRMEFNVYSYESDWAVGM ELWSHRRPAGFLLGADPSGQAEPDPEPPRRKERSFQAKLEWRLDDPNPEQPEPHGPKT EGKAAGTGSSEEYRGVLKARLDQNLRIGLLWEGRVKSLIFSLGTGIDLRKLGEPFRSL GLEIQYSS MYCTH_102118 MTSKKEKQITFEEYLGVTEAAFEWADSYDTKDWDRLRKCITPTL RVDYRSFLGKVWEAMPAEEFIAMISDKSVLGNPLLRTQHFIGGASKWERVSDTEIIGH HQLRVPHQVYTDASLQNVEVKGHAHSHNTHYYRKVNGVWKFAGLEPEIQWFEYNFDKV FASGRDSYGEHK MYCTH_2303353 MSQGEPEVREHEPMPKGYRFVPKGNVYITKNCRKKTHEAGKTLY VVVDKRGKPMGLRCPAYIHNLVMSENKATASQRAKLVQKRDAAIRESFEKVLLDLFPR IPKEDLSQILDHSLKKHSRRVGRTGKVALQDRVKLAVRAHIRHAHTEYDQLLRQGVRR QAAREQIWGKLNEVARRWGGRSVKPVATTPVGDRRTKRGKKAAHHRETLGPKFVAKTA AVRSGRVSTRFTGQEAPYPTVPLSGPRTRAKTTRLRSPNLARERPTPEDSDTCTIDGF DADVDGYFEDVDAQDAVFTVDEETSDDESDDSGWSWSDVDL MYCTH_2060008 MSSPASEDKREVFGLGRKVAVVGAGISGVCAAAHLIKQGLQVTV FERSGIAGGVWHYDRRVAEDPPYPNNAPSRGDYLLSKPGEFAFATPPPEHDTAAGDTA DLRSRSLLPADLEAHFSPPGPCYAGLKNNVPTHLMASSLDPWPEGTEPFVSQDKVEQY IQGLASDHGVTAVTSFHTRVDEIRKTPDGTKWEIRSVALEAWDTGPRLNERTSYFDLV VVATGHYNMPRIPDTEGLKEWKERYPSRIIHSKQYRSPEPFRGRNILVIGAGVSALDI CRETDGVTAKTYQSVRGGRFDLPQSLLPESTVRVPEVARYELRADSGGRLDDEAPIPG SVVLKDGQVLEGIHHVVVATGYITSYPFLPHLHSDDTPNVQAGEDIVVAAEGDMAHNL HRDIFYINDPTLAFVGVPYHVATFSLFDFQAQAVARAFAGKARLPSREEMRREYQKRM EEKGLGRGFHSLHVRGHELEYVQGLVDWEWRRAYNEMKDKTMSLFKWSENAGEEGPKE Q MYCTH_2060338 MAPSAIIESIVDTAEPRKDNIELPEPARQRLTRAGIDLSNGYPY RPALPLYLQDVYKIRNEERPYEDAGARADKSKKHLFAAATKVTDLTTHIGTEIEGVQL KDLTPEQRDELALLIAERSVVFLRNQDLTPQQQKELGEYYGQVEVHPQTPQVPGVPGV TVIWPALFAQERPANFRNPGGASSWHTDLVHERQPAGITHLHNDTVPPVGGDTLWASG YAAYEKLSPEFRKFIDGKKAVYRSAHQYLDRENPTAGPKYIERIHPLVRVHPATGWKA LWVNRSMTVRIVGLDKAESDLILNYLYDVYEKNVDIQVRFKWTPGTSALWDNSWDYGG KHPRHGTRVTSLAEVPYFDPNAPTRREALGLLDESEKEALESSKY MYCTH_2117854 MEYPPGDPRNGTPAKSIEANEDNPAKDASEAPAYPSPILSAIPS LHSPPASPRTTTQPDDWKITGEGIKNAKKYWKLVYANQRQRRSRAKKRKDYGGANDQG RTKRRQGAGSSAPLQFQSQSSDPPATADPDDEYEPATAGVEHDVLAPVTILARLDKAE QMQPVKANVDLNDPLVLRDLQELHEAVVSFGHGNCRFVDGKWELHGFGTSLYHHQVIG VSWMLSRELHPAAPNGGILADEMGLGKTVQILACMSQNIPGKNAKASKTLIIVPKRLI AQWFDGIVKHCSNDRMKRVLIHGAGQRILGSQWEGATIILTNYSQLHRQLPPERTLLQ IEEMRQKGDPGWKTLLREEGGRLFQTDWHRVVLDEGHAINNRSSETSRACRLLSKKHG WVLTGTPITNKPEEFFTYLDFITSKFSKFGDYQDLMGDGVDIPKSRPTELITVEFSPL EASMHQPTKDRLQQLREHSETRKGADRSLAVPSGELRRLFNYLRYFPSHPALVEPTYL SRQPLQYFCRACRNALVSPLIAECGHAFCRECLRKVSKEKGFAPRCPGCGENLGSARE AGAECYRHNPDQFRELTKRYASTAMPQDDKRMKRVRKPGDDEFGLQPRLVRGKKTNKG GKRRNKSKSGTKRSSQRAKSKRNNEIEQIRTNAGAFLKECDTRPWDPIPHSAKTRATM DLIAKWQEEAPDDKIIVFVQWIPMLSFLGRMLFQNGFRFVYLWGEMEPDDQERCIRAF EQIADIKVMLISVSCGAHGLNLTVANRAIVFDHWWHEGWERQAFARIHRIGQTKEVHT AKLVVKGSMDETVMTIQASKREAIRTAVGERTNHAGDQDTYDDLFGDGSYDEGVLNLD RMESISDEKGSKSDGETETETEVESCSDDGDSDDEEDEREFDTDNTTSSGSDEEYVAS STGTHRGEDEDDLNTDGDGAASKEL MYCTH_2117863 MPTLSAPCAITVVDFSQESLSLQRLDNETLPTFLSRPHPDWAKC RWINVNGLSWDVIKALGRHKNLHRLAIEDIMNTRNRTKAEWFPTHAFIVLTLQKLVHL YEPESDDSDPESEEESRSQKSVSSKRGTKSPRRLFRRLRRALRGRPADEAERRPRKEK MPSLRDAGGYPWSPPTGFSDLPDISQLRTLQRYHTSPNDPRTQYMEKHSALSTRNLAV ACEQVSIFLTNDNCIISFFEQSAQDIEGPIIRRLQTNDTIIRQSCDASMVGQAIMDGI IDLAIPVAACYGDVISDLELDVLTRPNIGHTKSLYILISEINKILSFINPITTLINAL RDHEAKLAPDVVMSHLLDPSHGVIITPMTNTYLGDVLDHCLLITETLNQLKGSADGMI GLIFNTISANQNESMKQLTIATIIFLPLTFLTGYFGQNFEPFNVLKEDIGYL MYCTH_2303359 MHRFSLVATALLGLTKGVLGDTETCGQVPYDPSKYVCWDNEFLC PITAGEPLSYCAGACYSKFMYTCTNNVLTLLPPVETPFTLTADNPNLPIHGKPVTAAN QHWVVNGETASYCPDQVGDACPPGNETVIVSGGGTVSMSVMVPGGQQAYLDPYWNMGY TQAHSAYIPPGSITTGFGAYQGGGFVNLNGNGWGWAACPPRASGGGGPAWNLVARNDT NAERYKDCSPINLKIHSLPSGTVGAWQYT MYCTH_2303360 MTANSAFQHQDVARVNGVTKTDSLPDPRGVMPRALPDICFELQA KIDAFLAEQTDDRVLRNVQSQVRVSMGVIQEALRRYGPAHLSLSYNGGKDCLVLLVLI MACLPAYASTSGPAADDPDTTETIADPLPGHAATSPVAPPSRLQAIYIVAPDPFAEVE DFVEASEKRYHLDLKRYTLPMRPALEAYLKDRPAIRAIFLGTRRTDPHGEFLTHFDPT DEGWPQFMRVHPVIDWHYVEIWAFIRHLHIPFCELYNRGFTSLGGVTNTRPNPALAVS GSPSVFRPAYELRDDDEERLGRD MYCTH_2061788 LFLKYYHFIRVYINDIIIFSKIEEEYLEYLYTIYKILNKAYIHI SITKSFIGYLAIRLLRYIVNGKGITKTDDRITAFKKLKFLDILNSLEHYLRIAR MYCTH_2303362 MDGPGSDEPPTKRRRFFADATDETTQACNSAVGKPSAEAEVDHR GASGTPFPDDRCFVLRPNVDESTSSDLSGSCCAKPPIDVTHPAVFDQGTFESFVGKKV DSDLVAQIRESCGNDLERAINMYLDGTWRNLKRKSTVSTPVRPTSGRLPPKPDNSIQR PRPASALQSMPESRYIGAFGVEGWATRSGNNLLRHGDTVRIERQRIQAPKSLQQKAPS KLRAAQHSAAAARRVDVIVRFTDVAGREIGRLAKDTANWVSTLIDQKICSFEGTCVYA PERLRTNDTVFLQLRCSLMRSAFFGRELRVADNRTTGRFEESETSEERELRLRQIALV KLFQEINLLPTRGNPTAAKNKRQELLEAAEMAETRDKNQPRSPQDQDGAAPSSSSEDN EDGEELEQDQLDALYKKAQSFDFNTPETEPAESFALTLRPYQKQSLHWMIQKEKNVRN EERETSIHPLWEEYAWPTKDHDDKDLPIVAGQPFFYVNPYSGELSLEFPRQSQHCLGG ILADEMGLGKTIQMLSLIHTHKSEIAAQVRQSSRPVSSVNQLPRLPSSLGRDTVTNAP CTTLVVAPMSLLAQWQSEAENASKEGTLKSMLYYGNEKNVDLLALCCEANAANAPDLV ITSYGVVLSEFTQIASRHGDRASSHGLFSLNFFRVILDEAHSIKNRQSKTAKACYEIA ATHRWVLTGTPIVNRLEDLFSLVRFLRVEPWNNFSFWRTFITVPFESKDYMRALDVVQ TILEPLVMRRTKDMRTPGGEPLVALPPKQVEILDVELSKAERDVYDYIYTRAKRTFFA NVEAGTVMKAFTSIFAQILRLRQSCCHPLLVRNQEIVADEEEANAAADAASGLADDMD LQSLIERFAATTDDPANSNAFGAHVLNQIREEAVNECPICAEEPMVEQTVTGCWHSAC KNCLLDYIKHQTDRHEVPRCFQCRGVINYHDLFEVVRNDDDPDVAEAGQGPRITLQRL GVGNASAKIVALIDQLRALRREDPIIKSVVFSQFTSFLDLIEPALARSNMRFLRLDGS MPQKIRAAVLQEFRESRKFTVLLLSLKAGGVGLNLTSAKRVYLMDPWWSFAVEAQAID RVHRMGQEDEVKVYRFIVKDSVEQRMLKIQERKKFM MYCTH_2303364 MTLVTAAGSGFKLLLRRSPQVGRGLATSVDASDVDKARKYCLNQ LRQGDYEAYLIRHFIPSSARDAYDALRTLNLELARLPEAVSNPTIGRFRMQFWRDTIS SIFAGNPPREPISILLHSAITNLAARAGASSTSSIKFWLLRFISTREKHIENRPFASL AALEDYAENTYATLMYMTLAVMPMRSMHMDHLASHIGKAYGIAATLRGIPILAVPSQP IQGPSGSNVGNTRSPTLLLPLDVMAEVGLKEEDVFRRGPNAEGLQDAVFQVATRANDH LITAREMLKKLQAGQEPGHEYEHVGEREHAYHGLLQGDEDTTSNVKRGFGVLLEAIAA RDYLQRLESANFDPFTVKNSWKLPWGLWRSLKRHEI MYCTH_2062265 MADSRTSSSSLDFHREHGSHHTHHWISFPGMHFGRSSKETNTSA PATLDWQLESPPLVMYGDPQTSSGALLSGQLFLDIKEEGLEIETLVATLNIRVTQKRP FANHCADCANQRTELKRWELIQHPLVMAKGEHSFPFSVLLEGHLPSSMDSPLVSISYE FKAEAIPRVNSCSLPPILLEKTLDVRRSLPTSETPHHSVRVFPPTNIKASAHYPHVIH PIGSYTLSLRLDGIAKLNAKVNTVEYWKLKKLTWKLEETTKTIAPACERHSPKLGEAA EQQSKKGVVRSETRIIGEKTLFSGWKSNYTSATDSVVELELDYSLAKNAKYACDSKSR DGTEVTHQLIVEMVVSQEWAPVNKPSLVTHTGVGRILRMHFGTILTERAGIGISWDNE APPIYQDVPPSPPAYSEEANLSAENSIADLIEPLDGAQAQGDISRI MYCTH_2303368 MTSKSAKQSSHERRKGEAALSDFAEYVEKQQALRYPSSKQVAAT AAAGSTQGDLEHHEELDDILDSLDLSDPTPRVRLRELLLSSSGDADALQKLVDIISER LSEGHGETVFDVGFENNGESMRLSRDEWEVAMGRLAEAAKKLRADTQLLLTKNVGGDL DGAPTKDGDCSGKVMIRKAPATVEEVIETRIAVVGNVDAGKSSMLGVLVKGDLDDGRG KARVNLFRHKHEIETGRTSSVGMEIMGFDTTGQVVVSDTPGRKLSWEEIGKRSAKVIT FTDLAGHERYLRTTVFGLLSSSPNYCLLMVAANNGLIGMSKEHLGIALALNVPVMVVI TKIDICPPQILEQTITQITRVLKSPGARKIPIFIKNREECINTATQFVSQRICPIFQV SNVTGENLDLVRSFLNILPHHGRYDADAPFEFHVNDTFSVPHVGTVVSGIVKSGVIHA GDDVQIGPDSLGRFIQTSIRSIERKRIGVPAASAGQSASFALRRVRRKDVRKGMVVLP KSDGAPPKVYREFVAEVLILSHATTIKTKYQAMLHVGPVSQTCAIIDVDRPFIRTGDR ATVAFRFVQRPEYLAPGDRLLFREGRTKGLGIVKSVGYDPSKPLMSKQSESGVGDEQQ PSGHAAREKSDDKVARDMKIEA MYCTH_2303370 MAEARDEGNAVSEPLDLVRLLLDEVVCVKLRGDRELKGRLHAYD SHCNLVLGDVEETIYVVDDEDDEDVKTVSKKSEMLFVRGDSVVLISPHAASF MYCTH_2315009 MNWLLNLGVLAVVLPSLYIYTVSVVSARFPVLRNKRICLLIAHP DDEAMFFAPAVMALANPETGNHVKILCLSSGNANGLGETRKRELAKSGLILGLRKADD VFVVDKPEFPDSMTTTWDSSSISSLLCTAFAPDLSRSRSRDAAPTAAIDVLITFDAGG VSGHPNHISLYHGAKAFIAALVAGKPGWQSPVDLYTLTTVPLWRKYVGFLDVLATLIS WAIGADKKDKQHPGGLVFLNGLAGHGSVTTAWKAMVSAHKSQMVWFRYGWISLSRYMY INDLRLERVKGR MYCTH_2303374 MTRRRRERSPSSLPLKQPDRSGPTEKTLLQLAEERGLFDQAKKR EEEIGRKSGAASNLRPSSDEGSHAEDEAGLPPSVERVLEAGLWSVSLSMLHLTFDVLV QHQYSIDRVVWSTVLSRFGQALPVFGLLVYVFHAHPANPGLIPGLSPRYQSVFQQAIF LITSVVAGCYLIHITNSYGYMAVMKQAPPLGCLWVWSVIELDLPWAVISLAGAGLFLW MKGYSIK MYCTH_2303375 MIVPRVSALITIGLFLSQGRSAPTSSANLTARAETAVPLGVIIE HCTVPGVVALTFDDGPFIYTSHVLDLLDEYGAKATFFINGENWSRGIDDFSTPWPEIL RRIDSSGHQIGSHTWSHADLSSVDSATRELQIVKLEKALFHVLGKAPTYLRPPYASCS QDCLSETERLGLHVVNFDVDPKDYLHNQEGDTGAAENFSRALDEHWWGDSFLVLSHDS LQYTAEYLVPYMLGEIQRRGYRAVTVGECLGDPAENWYWTA MYCTH_2303376 MEDKCVTLNSKPGASLHVSFSHPKPRQSPLSNTLVVFLNGLMMP SAAWVESVNHLLNLRKDSGQPTPALLLYDRYGQGKSDSDPSDAWDSPYGHDARTVISD LHQLLTQVSHEELGRRLEDLRLVLVCNSIGCALARLYAAEHPGRVAAYLFLDSMMANT DFGSIFPDPDDPGFDEGRLPEDITTDDLRHARAKFQELFHPTVPNPERLDRRNLRELL PHADRPGLPNVTKDKPPLLTVVGHDWDEFAAQCEQGSLSVPRAVINTYLNPAWAVYNE GLTRLVPAETKVKIARGCGHFIQKDDPAFVAAELSGILNEL MYCTH_2303378 MKITALYVYPIKGLRGIGLKSAQIGPQGIEHDRRFMLYEVHSTT GELKKIQVDSHPRCALFEQQFARESEDGVPGRIIVRFHGSSPHGSETAEENKTIEVPL TPDWSSLEKVDVVLHGSPASAYRMGDPYDSWFGRHFGVRTILVYLGDGRRAVLGKTLP PTPVQEPQRQGWISSLTSYITGSQGAGTGSGSGPWITFADVAPLLVTSEMSLRDVRDR LLGGLPLEMFKFRPNIVVDGEGESAWAEDFWAELSIGAVGHGDRRRVLQLTGNCVRCI SLNVDYNTGKPAEGELGNVLKRLMKERRVDKGAKWSPVFGRYAFLDSRHARDITVSVG DDVEVTRRNTERSVWDWPDL MYCTH_2303382 MTVSSDAGSKLEPVATMSNSSTPSAASGSAPAGDEAASTIMVNT KTPAAFPPPKTDKPRPHVCGTCQRSFARLEHLKRHERSHTKEKPFQCPECARCFARRD LLLRHQQKLHQTTTPSSRPRNRRESASGATPGAGRVRKNSVAGPSAASGDTAASMRPR ANTISHIDNTAIQMMAAATSPISRMPPTHSRHPSLIGLPTHHGLEAYGMASALAHRGA PLGLPKLETHGINGMDFSGSLRTAPAMPFGSEFDMDGSLFFGGSPGSTINPNALHYND SPPSMAMDPLSPFSHGLPDTSTASQSLDDFDWVTGFDHTMSFNNGPLENAVDGSSPSA LSTTSQSGISDVMVDGSNHHTATTATSSMWQTSIMGPPQMPNPFSLDVSGSVFPDLLN GAPVSPQPSSAKPMGEGYFSTPSPSLLSNLNTQNMNQALNFGAGPETPSSMNGSNHHG TLPVSTITDSTRNAILGALSASQASQFGTRRYSFATSTNPLATARTSSKTASTTGTTG TGAAIASSTDQTTSLPTTQELQRYVGAYLRYFHPHLPFLHVPTLTFEIPAQLLANGRN SDIGGSGCLLLSMAAIGALFELEHQASMELFGMAKKMIQLYLDERRKANVRKAESLRR TPMSDHSSQQQDPPIETPVWLVQAMLLNVVYGHNCGDKRSGEIASTHAAALVSLAQGA ELLRPVRVEPVAKDVEMSDANGAGSWSGSGRDPSEEHAEWLRWKAAEERKRTLYAVFT LSSLLVLAYNHTPALTNSEILLDLPCDEEFFAADSAAAFQAKGGIEAANYNRMTFHEA LGQLLRSNEKQNMRPFTDPHQPFGSAFNASDLPESSLKPSSFGCLVLISALHNYIWET RQRHHNKVWTNEETEKMHRHIEPALRAWHAAWASNPRHSAERPNPHGAGPLSADCIPL LDLAYVRLFVNLSRSKEKFWQRDWDGMAEELAKGSEIVQHAENSPASNAESANTDPSS TPGTASLTVDTPPTQSSSPEFGASKLPVSGNVNPERTQQAPQSSQTQTSNKQSISRRE KHLRKAAFFAAESLSVPDKLGVTFANLTSRELPLQATLCAFDCVQVLAEWVATLQDRV GPYLGILGRDKVDLTQVPAIMLLEEEDVRLLAKIDDIIRGAEIKMSMDMTQVGSNGGV SSVDSKFQVDDNAGYATKLLRVTAYMFDKAAVWPVTRLITSCLETHANHMRARAEKSV VAQI MYCTH_68404 MALNLHDFIHKLMANHQPNQELSPHIRMVICSLIATGQSERSLA TLFGVSRHAIHHAIEL MYCTH_2303385 MWTRVRLLLASLIFMFCSFVSAVTGQQPRCIYNGAQRLDDGWLV NDTSLHSSGAFSRSVQVFCEMDRRGQVRQRGA MYCTH_50837 MTRARGRKATTDGIKTEPVQPSEGPQYPDIQTIRDAIPAHCFVP STWRSLGYVVRDVSMAAALGWAAFTYIPQLESFGWRTVAWMVYGYVQGLVCTGIWILA HEAGHGAFSVHRRLNDVVGWTLHSALLVPYFSWKFSHHRHHRFTGHMGKDMAFVPATK EDRKKRRLADLYLDRELLEDVPAVQLLKLLAHQLAGWQMYLLFNVSAGPDSQQRKASW WRVSHFEPTSAVFRPSEAVYVAITDVGLALVAALLYLASTVVGWKTVFLMYGVPYFWV HHWLIAITYLHHTHPEVHHFDAESWTFVKGALATVDRDFGFVGRHLFHGIIDTHVVHH LFPRIPFYKAEEATEAIKPLLGDLYHREERSFLGQLWSTFTRCKYVEADPAVPGALKW AE MYCTH_2303391 MTPRAGHGPSTSDQLPQGDEQATEGQSSELASGIRRETTRSTDT GKTDRRGSANRGCQGEQVDGSKLSWLKGAPDKLGLDAATVTAMLRGSLPPTIGIAMLQ SRRVAAYFSTLGYLIPIISVIAMAVMPRGKFMMNLMLNALAVCVGSAVSMLALWSAVR ARQNTAGPASSPAAALAYNSSQSAVCAVWLFANIWFGNVVRAKLPAFNLPAITYSILV NVSATFGSRMPTTAAAQSFVKQLMTAMLTALALAMGVNLLVLPVSSRLVVFKELAGGV GLLRKLFALQKAYIVSLEPDATFDAAMQNDVFLAKSDRDAHQQGSEPKLTKEAESAKA LEDTGAKMRELVGKMHADLPFAKRDVAWGKLDAKHLSELYKLFRNVYVPVLGMNTILD IFRRFSARPDWDGLDGAPDEKDVEKHVWNEVMKQVQEPFDILAEAVDQGLEHAAIRLE LIPKTKKKSGKPGKPGPDPPGAVDLEAAEELKPGNAGFAKVLDEKVQAFYSRKGELLK KWVQERGYNLDEGLAEQSYFRSERDQVQLYIILYMEKLMHAAGEAVQDLVDFADEKVQ DGTMSKKRLIVPTPHRLRKWFKAVFSNEDSSAEEAPDVMETGANLVYFGTEYNRKKDP EHLPPETTWQRFGNGLRKITRFFGSEESAFGFRVACATMTIGIVAFLEDTQHFFLEQR LVWAMIIITIGMTMTSGQSLFGLLCRIGGTIIAMCLSLGIWYIVDEHVPGVIVFLWLS IFINYYFFLKFPRFLPAVMIAIVTQVLIIGYELQVLTIGKEASERSGQPFYPYVCFAL PQRSTA MYCTH_2303395 MPTYYSPPPPTRSSAPVASRSISFSHSAQTRRGSNTTAATTSNR TNPSALEPDKHDRPAAGGYEKKFPYLFLGSIAAASLLAHKHWPKGFPYGEKEDWELSD LALRAKHRRLAEKAEKTEKAARQVARECLRRRERRGGGGGGWCERDCNACDDAAEGLR GRCRGYGRGRGKCYACADETYGHVDDALSWERPRDRWGSSTRSAGSESRDRDRDRACS TCGYSVFSSRRASSRDLADLSMATAAYRPSVPERYLLEQSSSTADVSHPGLRYYLKRS SSITSSNTGSRVLSSTQQSHCDDSRPGEVYVYRDRPTRWRRASSDMSRDGRDVGGYSW DY MYCTH_2061173 MAENAHAADSAAPRPVHPFFAQNRACTSVSIEPTQSDAQALDGT AVLPPNTPAETKTSHDDATQNTDSCRSRRRKADQEPKEEDTQKRTRPSKRTKNVTSGG DIGHLFLKLSNGVEGEKRLRQGNSSSNPSGQDEVSHETNNVAPNDRGGPTNDPDMKPP QIADPSKALITGCVPEPTESPRAAEGTPTKPRKMLHFNPKTGTIGSPPKPKEPRPDAK EAHEIEKMVGRRGRKPASKIVRIVYGTDPNVRVNLGKLIDGILGGKTRHLFTGSKKTN SLNIKQDSPKAGDETPTAKPSKATHPFFLGRTKATNSVATDPKPKKPDPSSSSNTVHY CSTPCSPRKSRAVSASKVPMPQFGVKNLGLKFPGAKLPAWPWQGMVHVRGDVDELPGL ENEPMLVPFRKSKGNAIKMMPRESVISILADSMELPLVAETVRTVNTDEFIPPPPELR LPQKHFESGSKLQSRVLSQLKTFQPSLANRASASETASIRGNHGSMGAPPQLARLFSR ISSSLSAFDMSQCETANWVQKYAPTNATEVLQPEREIFFLRDWLQALMVQSVDTGSGE PDKPKTGQKTKFSDAGRRKRRKKLDGFIVSSEDEGHEWYDLSEGEDDWAPSGFRGIVR KTVMRSSNRLKGRDGDKTANTLVISGPQGCGKTAAVYAVAKELDFEVFEINASSRRSG KDVLEKIGDMTRNHHVQQHHSSEAPNNEDTAADDDDVAKDIKSGKQSTMKAFFEAKTK GRKSKQPAKSQTKGLEAEVKKEPSKSQRQSLILLEEAKRPFIMTCNDETLIPLHTLTL HGIFRLSTPPKDLAVDRLLLIAANEGHALTRESVEQLYDSRGGDLRAATMDLQFWCQI GVGDRKGGLDWFYPRWPMGVDLDDNKEVIRVVSQDTYRLGMNLLGRDPITDSKIPPRL VEEEVLRQIWESWGLDAGHWQDSPEFACWAESLAPVSMTPAGRLPALEAYDDLASAIS VADVCSSKSFAAFKEEPMDTTQPEQPAKIREDFVLGITHLDSPVITHYSGLPTSIACT IKSLAKSSLSLHAGTFGQQAVAILHPLDETQAIRYLQKSFTSTIPGTAVIDRMDFAFA FDPIAAPDPSPLQPVSYLEPSVFDRTLKLITLDVAPYVRSIVAYESHLQKQRVKLSTL VSEGGKGANSSKRMRTTRAALSALEGGSRSTTRAERWFKADINPYLVAKTAGKDWNGF GTRDPEPPNGSTKGSMHNSPQTSPDTTPTKTSKKAGLKSRKRKKVLRDGDYDNDDDAD GLGCPPGDVSS MYCTH_2303398 MAAKLQIHKPYVLQALPQPLDGPNGPGRYLAGEVFGQKQDGKRR KRTELAVAIDGVAVYLYDIISSQAVTSYLVSPQSVFTCAPYSLRWRQASSRTATRYTY VSLSTKSASSSSLKQEIKLFKEETSGTGTTTATPVSRALGCDAPIVHIFASSPRAAMT NLVRDNNSNHDLVLLAADGTILCLNGETLEEKWRASSSVLSKELLIDSKLGLRVDFVQ PTLAADVVDGMFGGRNELFGVFQEKIHRDGFNPDFLVVITASKASQARHLHILALPSE REAQQTGNERLISVFAAPLPAETGCTRYQLDVRAGTLQSLSGGTIATYAFNNGIPRLE NRLQVPALSSFLRLSKTSVLAAAGNEFAVYNPIYRSLQASTPVDPEIGGDDGSAFEFV TYLASREIVVGLRGQNLIAVQIEAPKNRITKRRAEGLLTDAIRRGIPREPPCEKRVRA DHLSSAILAEPLPGSTEASCAEWQAQSAQADEFLRNNDLRSWEKLLAEAFKVPTKSSE SEGEKGALTDGAASTAQLPEWAWPSSRTEYARVDRRWVSYAISKVFTWGEQSHGPDSL RLACQFPESNVLTYLVDAGHLSTSNIKSAFRDEVREVDEVEDIIGKDIPILLAQADPT MQLLLGYLSGTQLGSTELVSSIKLLLRSLGLFEDPSKSLVRLTENGDEMHGQENEAIN MELDRAEEELQITEHYLGGHRTRGLGVAFSKLAACPSAATVQSLRRLFKPEEIIGLMN VLRAELIKDGWTTRYLDRTGADQSEIEAPPDGSIQLIADLMSRCIDSVGLGGWMASDA MLASSRNQEDSADFFSQFQAEVSVALEGIMEAVHLQGALAEAVSYAKRARKALAGLAK GKAVSQPITEELPLGLKTDTRVSTERVRSGGEIVPRSSRQVGHFVSKKRGIYSVHRIS EETLRGGAGATVVQEAR MYCTH_2303400 MTRSEDSPSIESVGAFARQLYRRARDAGTDFVDLAIAIHNLKNA LKHLEAEARDYDSPLYSQADPAASSGTQNSAYSRQLRSLVEDSDFALKQVDTVLGTHG EGPRTSAVGRNLDRGETIRRIDLIRGDIVSQCMKIDIFLDTVQLHGGTKLRPRLGDVD RRQMEVIKNKVDAIANRLFQERNNRYSPVEADEEELWRSFKKELEREGFSPEVLQNNK EVLRAYVRELESHQLPGGSTPPSVRGLLHGNEKPSMTVQSTPYPTDDEEARMNLNPSS RRNEGRRRVPRPERTDSIPLDHAIQQLSFEQSSSSDLSDTESTASANTALISTRDLMA LDRYCDGKVASLTGVGASLLPPARAPFYFVAPGTSPDTRHLPSGTQPLPIPGAVYPGH DGQPVTLPPPYPSALSPGSPPPPYGSSLSASSRDASCDLATLSASALTTGHDLPQPPH LPSRQYSRLAPDSKGQTIPLDATWTKINRKLVSPEVLEEAGVRYEARPSFVAVLGVLT REQIEDYARRSFEVRRARSFPGKTRTRDTERQHGAGRQETYRDYYGRSGAKGGGGRGG GDREAVRRDHEPEPVFDASDISDDEDNGRRRGFGQSRSRGRYTPKNYVPDEHKPLDSE DEEGGKVYPTIVSPPASVDGDSLSPSSTVGPKPILKNKNPNHVRFGRSGPREISPGHY SERPRDRERDRPMPRGRERDRDRYRRDRSRATDRDRNRDHDRDCDWDRDRDRDRDKDF DYRSSLKRHSDRDRDRDRDRDHDRFRDRDRDRNRDRDRDLPRRGEEDRPSRRAALKDA AGAIGVSGAAATLLSVLTQAVTHL MYCTH_2062193 MAPPPRPLPEGGFKFTHEPSVAALNIPAKSFIAARSLISGAATG ALVFSRATGEDRVLLIQRAAHDSMPLRWEVPGGACDEADETLLHGLARELWEEAGLRL KRVVRQVGDEQVFLTSRGLVVAKVTFEVDVETSEPRAPEMASETAALPEVTLDPHEHM RLNKDAMATPPGTFEALFDSSQPFKGVVVCCTSVPTELRADIAAKTAELGGQHKYDLT PDCTHLIVGDYDTPKYRHVAKERPDVRVMAAGWVEAVRNIWVEDAEIDFFALEKEWQL RTFETGGGEHTADGSEPQRRRLLCCMTGFEDPDERQRIIDKIEANGGLYTGDLTKRVT HLIVHKPEGRKYHAAKAWGITTVSVEWVHDSVERGLILDEKLYDPVLPREERGVGAWN KQRARISSLGKRLRENNAAREEGQRKLRKTASMKLNSQRDNLWGDILGKPQASGAAPA AGPQQTVVQPAAPQPAAIKQLTHPAQPTQPTQPTQLTQPTQPAGGKSVNTQGSKLSSF GVPEDGAVFASSCFYVHGFSAQKTEILVNTVASLGGLICHSLDEVVSMSGAQLAHRFL IVPQTSAPETHPALPNNVYIITEFYIERCMHKKYFFNPSQHVIGRPFPRFPIPGFEDL VICTAGFTGVDLNQVDKSIRQLGAKYEERFTANVSLLLCASLAVVRKQKLELALAWKV PVVRADWLWESIASGTNLSIKQFMFPELKQHVDSPKVLAQAQEKSQGKSNTKSRQEKP SSRDGIDKDLLPKPSTKPGQSRDLDESAFVTARENPQGGPNQRPKEPPTAEHDSSVTT TAHFETAPTHACPTDNASISFGNKTLPSGAPLSETSSNSLNRAPISPRKPGGPAARKP ISRVTSEVADSEATDGDIGQPDDLPAQDDEENTQKPAEQQPEHPEPGAEEKLLEAEEA AAERLAISTKLVTSLLDSATAVPGSAAAPLPRPDGSSDETPGDLGILAKPKRRKRNIL GRAISNVSAASSTSNGDTPTPGAAAGGVATSTTAAARVDPGDAPPAPTQLEYEDPEAK RYKEQLMSKMMGRHGAGSAPVPAAKQEKLTLSEMGGYGMMQQQGLYDAAAGGQRRTRR R MYCTH_2303407 MAIPVLFSALVLLVALLCCHATAALQQLAHDVGCVHLPVVHSTK VDRFSDKRGIQLQLANRSDVAYYAQLSIGTPPQPVFVQLDTGSFELWVNPDCTTVSGS DAVFCERAGRYDVTKSSTATSLGTNRTLRYGIGAANISYFTDTISLAGSPMMLQDVQF GVATASEDAFSGILGIGYGKGIGTGYPNFVDQLWEQNVTRVKAYTLALGSKDSQEGVI VFGGVDTSKFAGKLARLPVIPPAQSPDGVPRFWVEMKSLSITRPSGLNTVYDGGAMPV FLDSGSTMTLLPANLTMAVARDFGAQAPDANGFYKIDCALTALNGTLDFAFDGVTVRV PYKELTREVASNPPSCFLGIVASDRFTLLGDTFLRSAYTVFDLETDSIWMAPAVNCGS SPAALSNVQDLSAVTGECGVREIAESTSSTQVPSTGVDDTEAGAVPTSTTTVVSQPSG TTTQMGARPTLDNASNPLGAHRLTWVLVITAALHLFTGI MYCTH_2303408 MSLAGTKRHAANHRSLVARPRAGHDPCSKAVFWKYGSTLRVLRP FKRFRIARGNLERATAGIIVSATKPEVITAAVSGWQANKSRGQNVLDNVKYMKLVQYF TGILKFELPRSCRDNNGPALPEHGGRFYACHVEKKLAVFWLIAALKAVLGTTDLRRAR ELKGAEIPEAWRSAWIFLDHSPCANVSKCWRPGWAVAAYQANIQPPLDSVGHFWTKSS ERPGSRFTWRHGRSSCKATAKVQRGATSACAIGADEGSGASRAQTAPQPAPRNLVLRW KQRAGFQTKRMHQG MYCTH_2303413 MPGGVTVRDVDPHKFVAAYAAFLKRQGKLPVPGWVDTVKTGVAK EMPPQDIDWYYVRAASVARHVYLRKTVGVGRLRKVHGSAKNRGSRPSKHVDASGSVDR KILQSLEKIQVLEKDEEKGGRRITQQGQRDLDRIAQTVAEADEEEAEDDE MYCTH_2303416 MVFALFSLLACAALVGATPFRHRVVDSLDEGATAEAHQRDNSTT RAFSNVQIKTSDGKCLFVDGLSGNFRANLTPVQVADCGSTGGQGWDIVTAGRHVKGDN VMLVVNTLTQTCLNFDPRQQAGSQVLLASCGGRAGGEGEVTDSQLFAFSGGAGPLSLQ PRNRVGSCVVVKDGDIEVARCDNSDASQLFTFGDAAGEGGNNTIKINNKNGRNKKGHS SSTCARSTRTVTVLPTQSGESTAAPTTTPAPTAGGGGGEGSAGPGTILTVNPTDPVPV SRAGGILQPTAAAESHQRDATAERAFSGVSIRAPNGQCLFVDPTAGDFRQNLIPVSLV DCTGAPNEKWDVITEGKHNTPNPNRPAALIVSALTQGCISFDGRRQPGDTVTIFSCGG RADGDGETDGNQLFPFIGQTSFAFAPVNEDGKTCILPGDGRLDSGPCPSDGSQLFSIF E MYCTH_2303418 MTQLRTRSPDSSSRTFSTSAACRATHAIFNPQVDDDGDEMMLEI TPRAAKRLSEIMTKDSNPNLALRIQVESGGCHGFQYLMKLVTLPPSLPSKESLPPAAE ADDLTTVHEDDTIFTYSPDGSSSPGDLTAPKIILDLPSLQLLKGSKVDFTMELIGSQF KIVDNPLATSSCGCGTSFDIKI MYCTH_2062887 MSDYVLTLTCRTVPTQNDVLVPETLLKKRKSQEKARAERAAELE KRKKANKEKRGVIFKRAEKYVKEYRDAEREKIRLARLAKQDGSFYIPAEAKLIFVIRI KGINKIPPKPRKILQLLRLLQINNGVFVRVTKATAEMIKIVEPWVAYGYPNLKSVREL IYKRGYGKVNGQRIALTDNSIIEENLGKYGIICMEDLIHEIYTVGPNFKQASNFLWPF KLSNPTGGFRPRKFKHFIEGGDLGNREEHINALIRQMN MYCTH_2303426 MAPPKRAARPAQENISLGPQVREGELVFGVARIFASFNDTFVHV TDLSGRETICRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGITALHIKIRATGN GTKTPGPGAQSALRALARSGMKIGRIEDVTPTPSDSTRRKGGRRGRRL MYCTH_2303429 MSRFFRGGDDSSTESSSDEEELYTTSEEEEEEDQEQEESSEEEE EDESTDEDEGPKKTGLSRFLVDQAESESEESDEEGTTKVKSAKDKRYDELEATITSIQ NRQKIDDWGSIATEFDKLNRQVVKLLDGGKAPKSYIKCIAELEDFLNETLAKQKVTPK NKKLNATNARGLNAVKQRIKKNNKDYQDQIDAFRKDRDAFMESSDEEEAPLPKPSKVR FEQEAAASEEAAEDEDKGFSRVDKRGKAVPFSPESIQKHLRAIIESRGRKNTDRLEQI RIMEELNKVAETPYLKIRVLQTLVSARFDLGSGTTTSMPLGHWKAAERELAALLTLLE THKDHVVIEGAEEWEDDDKTPVLGPDDKYIKVPGSVVSYIERLDDELTRSLQNIDPHT SEYIERLTDEASLYNLVLRGLLYYETVRKDPSLEVPQESLNRIIQRRLDHVYFKPSQV VKILEENAWKQVQDGVDSAITPRGLSGDAGQLIHTLCNYLFNNSEGIIRARAMLCQIY FLALHDEYYKARDMMLTSHLQESIANFDIATQILYNRTLVQVGLCAFRKGLVYDAQNT LQDICGSGRQKELLAQGVMIQRYSQVSPEQERLEKQRQLPFHMHINLELLECVYLTCS MLLEIPLLAQIGSSPDIKKRVISKTYRRMLEYHERQIFTGPPENTRDHVMQASKALAA GEWKKATHFIHSIKIWDLMPSADEIKAMLAKQIQEEGLRTYLFTYAPFYDTLAIETLS TMFELDSAKVAAVVSKMISHEELAAALDQVTNTVIFRKGVELSRLQSLALALSDKASA LIETNERTLEVRTQGSANAFSGKDGRQGGQRAGGQRSGRSGARTGGNAQRQAGGTQFT GGALGAAVRG MYCTH_2303431 MSSYPPPPPPPGWGPPPPPPTPPPPGPPSSLPPPPAIPAPPPPG YRPPTDPQIAKFAQKKKEWLRSQRNRFGEKRKGGFVETQKADMPPEHLRKIVKDIGDV SQKKYTSDKRSYLGALKFMPHAVLKLLENMPMPWESAREVKVLYHVNGCLTLVNEIPR VIEPVFFAQWATMWSTMRKEKRDRRLFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQ MDLDEEEEAAVYEWFYDHQPLLDTPHVNGPSYKRWNLTLPQMANLYRLSRPLVSEVVD PNYFYLFELKSFLTGKALNVALPGGPRFEPLYKDIDPNDEDFGEFNAMDRIIFRNPIR TECRVAYPYLYNSLPRSVHLSWHSHPQVVYHKTEDPDLPAFYFDTDINPISSRAVAPK NIAVSHEDELFGQGNIEEPEDEAFELPATVEPFLADEELATEDTASAIELWWAPYPFD RRSGRMVRAQDVPLIKHWYLEHCPPKQPVKVRVSYQKLLKTYVLNELHKKRPKSMQKQ SLLRTLKQTKFFQQTTIDWVEAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVK TLTTKERKKSRFGNAFHLMREILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVG QLTGMYRYKYKLMHQIRSCKDLKHLIYYRFNTDAVGKGPGCGFWAPAWRVWLFFMRGI IPLLERWLGNLLSRQFEGRHSKGVAKTVTKQRVESHFDLELRASVMADLMDMMPEGIK QNKVNTVLQHLSEAWRCWKSNIPWKVPGLPAAIENIILRYVKAKADWWISVAHYNRER IRRGATVDKTVAKKNVGRLTRLWLKAEQERQHNHMKDGPYVSSEEAVAIYTTTVHWLE SRKFSPIPFPSVSYKHDTKILILALERLREAYSTKGRLNQSQREELALIEQAYDSPGT TLERIKRFLLTQRAFKEVGIDMNDNYSTINPVYDIEPIEKISDAYLDQYLWYQADQRH LFPAWVKPSDSEVPPLLVYKWAQGINNLDKVWETANGECNVMIETQLSKVYEKIELTL LNSLLRLIMDHNLADYITAKNNVTLTYKDMSHVNSYGMIRGLQFSAFVFQYYGLILDL LILGPQRASEIAGPPQNPNDFLQFQDRETETKHPIRLYTRYIDKIWVFLRFTADEARD LIQRFLTEQPDPNFENVIGYKSKKCWPRDSRMRLMRHDVNLGRAVFWDLKNRLPRSVT TIEWEDTFVSVYSKDNPNLLFSMCGFEVRILPKIRNQNDEFPVKDSVWSLVDNTTKER TAHAFLQVAEEDIQKFNNRIRQILMSSGSTTFTKIANKWNTALIALFTYYREAAVSTV NLLDTIVKCETKIQTRVKIGLNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPASDK RWSKQTDVGVTHYRAGMSHEEETLIPNIFRYIVPWEAEFIDSQRVWTEYSQKRLEANQ QNRRLTLEDLEDSWDRGLPRINTLFQKDRSTLSFDKGFRARAEFKIYQLMKSNPFWWT SQRHDGKLWNLNAYRTDVIQALGGVETILEHTLFKATGFPSWEGLFWERASGFEQSMQ FKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKIS LIQIFRAHLWQKIHESVVMDLCQVFDNELEALSIESVQKETIHPRKSYKMNSSCADIQ LFASHKWNVTRPSLLFDNKDVIEATTTSKFWIDVQLRYGDYDSHDIERYVRAKYLDYT TDSMSLYPSPTGLMIGIDLAYNLYSAYGQYFPGLKAVVQQAMAKIMKATPALYVLRER IRKGLQLYASESNQEFLNSQNYSELFSNQTQLFIDDTNVYRVTIHKTFEGNLTTKPIN GAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQLI VTRKGLLDPLEVNLLDFPNISIRASELQLPFQAAMKVEKLGDMILRATEPQMVLFNLY DEWLKSISSYTAFSRLILILRALHVNQDKTKLILRPDKTVITQDHHIWPSLSDEDWIK VEMQLRDLILNDYGKKNNVNVSSLTTSEVRDIILGMEISAPSLQRQQAAEIEKQQQEQ QQLTAVTTKTQNVHGEEIIVTTTSQFEQQTFASKTEWRTRAIATSNLRTRANNMYVAP VDSDVDDITYVMPKNILKKFITIADLRVQVAGYLYGSSPADNDQVKEIKCIVMVPQIG GLRNVQLPQHLPQHELLKDMEPLGLIHTMSGNELPYMSAMDVTNHARLVDAHPTWNEQ TTLTVAVSFTPGSVSLSAWALTPQGYKWGAENKDLGSDQPQGFSTTMGERRQLLLSDK FKGFFLVPDNGKWNYSFMGSAFGGLEKKAIHVKLDTPLPFYSDQHRPIHFSSFNELED IWVDRQDNFA MYCTH_50303 MPPQHYTPTHHPHPILTDPAHLAGHPSARHLGHPGPSHFGAGPS PHAVVTPLYQPLTPTHGHTGGVKRSRPDDLDLSVPGMPVLEHSNLEPMQQTPLGAAYA QAPAPPTHHHHRLPDTGPPSKLMRREGDSSGGGGGGGAPSMVGQAGMPPPAPRPKGPK LKFTPEDDQLLIDLKENKNLTWKQIADFFPGRSSGTLQVRYCTKLKAKTTQWTEEKDQ KLRTALQDYENEKWRIIANKVGTGFTPAACRERAAQLSGESL MYCTH_2303434 MAAQWGPDMRGRIPLSLTPSDRVPCILSLPLVPLPLPPPPPGGS RSDTPAFLVRDTDACEEKSRKAPIQ MYCTH_2303435 MLHKPVIVVAPATVLRQWVNEFHRWWPPLRVSILHSSGSGMFNV RDEGEIEDHVDDWEEKKPRKSSAAARKIVDRVVKQGHVLVTTYAGLQTYGDVLIPVDW GYAVLDEGHKIRNPNTAITIYCKELRTHNRIILSGTPMQNNLTELWSLFDFVYPMRLG TLVAFRNQFEIPIRLGGYANATNLQIMTAQKCAETLKDAISPYLLQRLKVDVAADLPR KSEQVLFCKLSQSQREAYELFLKSEEMAAILNRTRQSLYGIDILRKICNHPDLLDPRL KGKPGYKWGDDSKSGKMAVVKSLLPMWKRLGHKTLLFCQGVQMLDIIEAFVQRLDNIK YLRMDGKTPVKQRQLLVDQFNNDPELDVFLLTTKVGGLGVNLTGANRVIIFDPDWNPS TDVQARERAWRLGQKREVTIYRLMTAGTIEEKIYHRQIFKQFLSNKVLKDPKQQTTFN LSDLHDLFSLSSYEDGVTETSEMFKGSDVKNFKRSGPKELIVPGHDAVPLRPRQVGAN KDADEKEGDLRKIDGVAGLETYEDPSAPPSNEEDRLLEGLFASSNVHSALEHDEIING KKTVKADRKLLQQEANRIAAQAALSLRRAGEQARRVPIGTVTWTGEVGEAGRPTNIRR GRGGPSSATILAGVANRQGLGSGSPGSSRPGTPGAADQNLRAKDFEKMIPEFIKRHNG QVPSKLLVDHFNRYCSGSRQADEFKLALGKVAKMEKRGSSMRAIWTLKPEYQ MYCTH_2303438 MPQDTASDAAGTPATVEMGPANDKPAPNQAADSASVPEQAAKPA LAPLTPQEFRIYNRLAEQMDYFHDHFRQMYATLHTACTTNRRPANMSLKQFVDEGLRL ARYLEMHHSIEETHLYPLLGRKMPEFRASSSPAGTGKGGGKRGKKEECELLRQHQIIH DGMDEMADYLRRCKDKECELDLGVLRSKVEPWGDVLLKHLDQEVRELGAEKMRKYYTL QEMKAFPI MYCTH_2303440 MSVKIERDTTLKEAVRDAVLDHTANKGGLVGSAAAAISEAAHGQ LPGTSGKHPISAVVGTALTGGRKNAGTKGYLAAYLQQLEDHPLRTKMLTAGTLAGGQE LLASWLAKDRNKHGNYFTSRVPKMAAYGAFVSAPLGHFLIWLLQKIFSKRTSLRAKIL QILFSNLIIAPLQNAVYLVAMSLIAGARTFRQVKATVRSSFWKVMRVSWITSPICLAF AQNFLPENTWVPFFNLVSFVIGTYINTITKKKRLAALRKKHFGEGRTPGGSTIGGPRP DDYPPLGPNPPY MYCTH_2109793 MSRKGVGLAAFDRSRLTSAQYASHGSTLRSANAQALETQLAVFR SLLQQFAQTHAKDIRSNPTFRAQFARMCAAIGVDPLASSSSSSSSSAGGGGSIWAQLL GRSVNDFYFELAVRVVEVCSETRGENGGLIELKKVRERIMRGRMEGASEITEDDILRA VGTLKPLGSAYSIITVGSKPYIRSVPKELSTDQSAVLEAAQLLGYVSVSMLMVNLKWT RARAQTALEDLMAEGMLWVDKQSEEWEYWSPGFMLENQDFAGTTG MYCTH_2062214 MISSQVGHAEATGAGGLHGSRDGAPPRPAHLEKGDIFRTVGLPE ELTIGLDAMAMTTNKSLQGFREIPPGTHFLWIQLPGGVSRSGCWFITGSRGLLRVKEW DKYNEILGEPASSSGDARSQQDSLESIYSALKPYALHAHRDKSWTPMDNKDPIWARTP GSLWSILTSAVSRQALVRITGKPDAQDYLVESTDGAKDDRPLAGQPPAGSGLNLLFTQ DFRDLQVLDQGSMRDRVADTSSRIQSRLTTADQNSRITERDILADLQFTFLTGTHLSN SACLEHWWNLVFKMLLRAYGLVLSHPRLVRDFLTVLYAQLFYTEHYVASSSSQPDHQD EADDKGKKHGPSSDRAIFQYKPQYRGRLRQVLAEYRRRLNSLLEGLRGSTTPDQEAVG RAFEDLEAWLWRKGWDLREGEGKPGSGGIRAVTAPDSEDEEDEQPVVVELDEQGREVG LLSFSD MYCTH_2138805 MERAAPEYSQSDPASAAQYATQPEARSASYSNSATPTSEYSVYP TTSRSATFPDMQRSYHPASNPPGSGGGMPQTPTSPSMPLPDGRSHQNPQPEQAKSDSA LPIDPSIAGASPTYATHSQYPPYAAPPPDMSQGYQHPGTPGLYTQPRPDWGGYGQQPA SSFVPIPGAQQHKRPRRRYEEIERMYKCGYNGCEKAYGTLNHLNAHVTMQGHGAKRTP DLFKDIRREWKAKKKEEEARKAAAAEEERQRQAAAAAAAAAAAQNGGNTDPQTGVEAA QPPTSYPGSGTVQLPPIGYQPARYAGPPPAGMQQPIPEYNNYPSYSPASPYGQSNQQM YNTHNGGQPPSH MYCTH_2303448 MLGWGSGKPGLSSPFSLSNPPLPFPLTNQGQGSRPLSNALLVAV AIAWKVPFVSFLTRATCVYFLGSTTVLVDLQHPIFLLLQLQSLPGIASGPCAAIEWGS DQPAHGWQHCLLYIICNAYGRAKGAHQTKNVSCLALQRRHAKASADSKEGVSIL MYCTH_2303449 MSSYGGGYSRGGGGYSSGYDRNGGGYSNGYSGSNGYSGGGGYSG GGYGGGYGGGGYGGGYGGGGDRMSNLGAGLQKQHWDLDALPKFEKSFYREHPNVAARS AAEVEKFRRDHAITVSGRDVPKPVETFDEAGFPRYVMDEVKAQGFPAPTAIQAQGWPM ALSGRDVVGIAETGSGKTLTYCLPAIVHINAQPLLAPGDGPIVLVLAPTRELAVQIQQ EITKFGKSSRIRNTCVYGGVPKGPQIRDLQRGVEVCIATPGRLIDMLESGKTNLRRVT YLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTCMWSATWPKEVRALAADFLTDFIQVN IGSMDLAANHRITQIVEVVSESEKRDRMIKHLEKIMEDKETQNKVLIFTGTKRVADDI TRFLRQDGWPALSIHGDKQQNERDWVLDQFKTGKSPIMVATDVASRGIDVRNITHVLN YDYPNNSEDYIHRIGRTGRAGAKGIAITFFTTDNAKQARDLVSVLQEAKQHIDPRLAE MARYGGGGSSRYGGYRGRGGGGYRGGRSQGINGPNAMPIGNRRW MYCTH_2303459 MAPGRVLVIAGSDSSGGAGLEADQKVIAAHGCYAMTATTALTAQ NTKGVYDIHLVPPEFLRKQIDAVIEDVGVDVVKTGMLASAETIETIADALTHHSVSTV VLDPVMIATTGAQLLPPEAIHVLQSRLLPLATVVTPNIPEALFLLRQSDAGPAADIGA VSKIDDLEVIGQAIRKLGPKWVLVKGGHCPLRKDGTVAETEEERETVVDVLVGEDSAT GKEIVVRLESEYCASRNTHGTGCSLASAIASNLAKRMEIPAAVRAGCRYVQAGIRSAP GLGGGNGPLNHFHSVYSLPFSPGYFVNYLLERPGVAPVWDRYINHPFVLAMGNGTLPI ESFKGYLMQDYLYLQKHFARANALASYKASAMKDIAASAAIVTHIFKEMELHIGYCRG FGISKEDMENTEEHPACTAYSRYVLDIGQSQDWFALQVAIAPCLLGYGAIAKQLHADK RSKREGNVYWTWIENYVADDYVAAVKAGSELLERHAVLQSPSRIEELVGIFIHATKME IAFWEMYKSR MYCTH_2138808 MWGTVDSRFVHRPSASRRGSGVVQPAAQTELANNQPGGIQTPTR LSYPDVDASTAEPTGESNANSGSVARVESLERGGQMAGPSTMLSTSATPTPRPQASQQ QQQQQQQQQQQQQQQQQQQQQQQPGNPRPSLERDALQPTAPNGGRNLSASAVNFASRG PSLNPSPMPGSFSSELRSQANLSRAATRPDMFPALEKLDEDGDQSQETTIAHLREALG REMKIKEGSENMLEALNSKKAKQTKEQRQRVEAELHSSNQRIKELRQKLTDAQRVRAA PTTPTQATRGDGLFPGASSLRSPPSASRSGAGSDVDEPTESPTFALTEILEALDVEGM TPDYYVSRANSLVDLFKRHPTLKYDLAWSVFGLRMQAMLLSESREVVAAGYRMIRYAI SDINSIRNIRALNTDYLVTWAVVRAIAAAAEEPEERLRPICLETLAEILVRDPRLLVA SGGMGPLHEALADGSYKASESLTAAFLYLLDTPHRRKYLRPGHELEILFTAFTDELSS NERFLKQAVKSVASALRTWSGLMSLSMYNFRAIRSMITSMVVQAGPIRESIMDLIFSL LRIKPPAWATSFLAGRRLTTYGRVANLRSTNTKSAQPEFEEDGGEQNFVEHYTALLLA VFIKSGLIPALLKLTQNNESATLRRKSTLLIGEVLKLASRLLPPSWSSELQLLPDLFI SAARLKEEDHFTATGVVYQISSVSRTLYRSSPSAYLPSTHHHMDPNALDEHPKASTAT NFDDGTFRQLLLESNVLSSSNYTKWNWDVMTRLIEGPLTSGKRLEEAIKASKFIKRIM SFYRPFKYKFSETKSSRNTQKYVRTGCSLMHALLQSPEGVKYLSDNKLLRQIAECLAQ CDPTSGLTAQEPMFSKDRLADTLCGGYFPMLGVLSADVKGMQMLDRWRIFNMLYRILD LKQRPDLIKLMLSNFDYSLQGHPRVLLSKALTAGTKEIRIYATNALRKYTTHPRVGPH GSEASDSRWAIQLLVTQLYDPEIEVCATAVKILEKACNTKNNLEYIVECRPALDHLGE IGAPLLLRFLSTSIGYHYLDGLDYISNEMDDWFLGRNDSYVSVIEASLARAFMDHQDD TTNRISVFDEQQEMEADSHVPPHFYRELTRTMEGCKLLSEKGHFDEFAATIREYGMQS DDPEMMVKVKGCLWAVGNVGSMELGAPFLELSDVVEQIVKIAQNHEVMSMRGTAFFVL GLISRSTHGLEILSENGWDSNTNMLGHSLGLCIPSDLSQLFSLQPWEHVPVTAIDLPD SQKTETVKLPAVPSRPRSESLIKALQEAEQQQQQQQQDEGGAVGGDGPGLLPPRVELD PDPTNHRILELVIDMSNMVLYRRARSELMQIKMQRRAAGFSQPQLFRKVMSLLESHHY RLVDRNMIVGLFDKSVLRAVVYGEDKSPDGSGTRREDSEDGDDSEEEEEEDDDDDEDE DEDEREEKGKEENVAVRGQSGRESESKADEEDGQEAEKEGGEDEEGDEEEEEEDEDSD GDDDEESDDDDDDDNQKEEREESSGDEQRTERQRSVSEPADTKSQRVMRGYSR MYCTH_2315024 MPNLASARYGKDNVRVCKVQRDEKTGFHTVTEMTVSCLLEGQIE TSYTAADNSVVVATDSIKNTIYIKAKEHPVNPPELYASLLGQHFLDKYPHISTAHVNL AVHRWTRLEVDGAPHPHSFYRDGQETRNVEVAVSRGPGGIRIKSSIVGLSVFKSTGSA FHGFVRDEYTTLPETWDRILSTDVDCSWTWKPFDTVEAVQQRVERFDKAWESARGIIL RLFAVEESPSVQNTMYKMSEQILEAVPEVDRVDFALPNKHYFELDLSWHKGIKNTGKD AEVYVPQSGPNGLIKCEVARDETTLAHR MYCTH_2117900 MAVTTPFQTLFAVPMTCDGCAKDITGALYKLPGISKVEANVKDQ LVSIEGTAAPSAIVEAIQATGRDAILRGSGASNSAAVSILETYSKKPDEEVTPAGTPG ESWVNQRLVRGLVRMVQVSPNDTLVDLTVRGVPPGTYRATIREYGNLQDGASSTGPVW GAQDEKSGAAPARGVLGTVQVGPNGYGNTFINRPFQVWEVIGHALVVSRQDESDGAPL QNQEDTTVCSCTGKTLWEERRDEVAKGML MYCTH_2303473 MSLKQEIETWVEALKYYDNNEFDEALKTFDRIADTSKILFNMGV INATLGQHEQAVECYQRAIKLDQYLAVAYFQQGVSNFLLGDFEEALANFNDTLLYLRG NTLIDYSQLGLLFKLYSCEVLFNRGLCYIYLEQMEAGMQDLQYAVKEKVVEDHNVIDD AIRDEAKGYTVFSIPVGVVYRPNEAKVRNLQQKDYLGKARLVAASDRSNAFTGFAGAE LSKAVSAEPKDDRPAESISFAASNLVKPGLQSRRQQSEPPNNRNVFPPTPPPESDKAA SVSRAQSVRNGPKPVPSRLNLEKIRSNERYEKASPDEGRPRPTRSASAAPSRGFTQRE PPPRRRRDDDEDAYPDDVYDMYSGAGSRSSRSQRSAGGRTRDRYIEEEDEDGSDYDDA SFDEGEFEMVSNNRRAGPGSVMSGGSRRGSSRRDVLRTVRVKVHADDVRYVVVGPAIE FPDLVDRIRDKFGLRRKFKIKIRDDDSPQDMITIGDQDDLDMVMQTVRQNARKQRTDT GKMEVWVQEI MYCTH_2315027 MDPSGATGGPSQAQPRPRKRARTARASEAGNAAAGCPLAPVPQA TQGWGHHSQTVRAPVDTVPTQQQQPPFPSQQLDRSHQHQVADADGLMNGYSGVPQHTQ YPDPQSTLAPATQPDSSGLSSLSALAGDHQHQQHAQQGQQHHQAQQQQQQQQQQQQQQ QQQQQQQQRGANKPDRIIFQHQYGAPHPGSNSSPTSVANPSSASAGYNIPQWTVPDTN TAPQQQQSSAPSSSSMPPQSPALGSSAGLAPPPEGIYRSFDDLLSSVQRTAKEQGYSI VKLRASNYRDGKPTRYDLVCDRGGVKYSSTAKKRNPSTRKVDCPWRA MYCTH_2303475 MANNANNSNPSLSLKQPPAAKDSAEEEQQLEDMLARLDEVHLQL RQLRSALPRMLEPLTVKQPSPQAAFAAFMQSVNNTNKEVANFQEAYYSLITDGLFQRA RPGQKSPRSLKQWRATEHPDWADPDKKRRRVV MYCTH_116020 MAPSRVTYRRRNPYNTTSNLTRIVKTPGGKLRVLHIKKRGTAPK CGDCGVKLPGIPALRPREYAQISKPKKTVQRAYGGSRCGNCVRDRIVRAFLIEEQKIV KKVLKEQSQAEKKK MYCTH_2303480 MATPSTPLRLSVPASRALSSATARRAFFSSSSQRTPTVPLPTTC RVHRQPALLSNNKYNNNNNSSSSSSSSSTSSSSGCCRKPSCNSYSPIIARAIHTTRPA NRQRRPLAHNREEVPDQPPPTDFGQLDVLGSLPVPATAVVACRDDGFSLHSGVHIRGG SGALLVGGEAFAWRPWELPPRRGDGEAASSSSSSSSSSSSSAAAAAGGTTKGGRAGLV NAKGQWEVAEEAFAVLGAVWPRPDLLILGLGPEMRPLSPATRRAISNLGMRVEVLDTR NAASQYNMLATERGVDDVAAALIPIGWKDGAR MYCTH_2303482 MSKPQSANGSESEFEFIETPKAPTPTFEKVEDCGVRTTSYPTIK NAPLPAEGAGTESFSNKLLFSILGGVPLYLSWKLGGGLKTALFLALFTTLPLLAAFWL IVSTVSPRKNEKARLPGRPVEHYLTFKNPADRAKYHGRNKIPMETFHEMYFDGDVDFN GDALEVLEYRHDWASFRFTFGLIRFFLTGMMPEVIMHTRSQDEEQVRDHYDRGDDFYG WFLGPRMIYTSGIIGDINREETLEELQDNKLAAVCEKISLKEGERLLDIGCGWGTLAR FASVNYGAKVTGITLGRNQTAWGNNALRKVGVPEEQSKILCMDYRDIPVPEGGYNKIT CLEMAEHVGVRHFKSFCKQVYDMLDDDGIFFLQIAGLRKSWQYEDLIWGLFMNKYIFP GADASTPLGWFIDKFEGAGFEVKAVDTIGVHYSATLWRWYRNWMANKDKIEAKYGKRW FRIWEYFLAYSTIISRQGSATCYQIVLHKNINAFHRIEGVPTQYGLNGSTRATKADMK AWAEANAADFPLVPAH MYCTH_2303486 MAEEEDRDPLSPLPPVPHHPATTSSPDSSPLSSVLPSPERYLDL REQSESILRNYKRVDPSDDTTHVLEAFLKRLSKEGQMNLMTDLVSVPDKLRELRDFLV AAVLKPMKMAGGHPPNIPDSPPCDAIEESLRTIHPSSRDDQVDLKDKCRGRDGFRCVL SGLYDFNRAKEQRYPEEGSKTQLPCDSLECAHILPFALRKFEENKPVEKRNKAWIWWA LRRYFPFIQGKIASDSINKPENAITLAHVLHVSFGNFWLALEPLGAYRRRTYEGGFKL VGTEFIPEIITFQQHDDSVPMPDPDFLRLHFQVAEILDVSELSWKIDVASREGTRMRC DIAPDGSTDIAFAIRTKMLMDI MYCTH_2303488 MSEDQSRSSRIAIQLGKPSASSPNGIKKRTRPTHVRRHRAHTLH EDSESGEDSDRDQRTYGHQEAITSYDILGTSPDDGKARRRNDSVGLESGATKTQKNGA EASQQKEEDSIDSKDGSKAGISGLQEKPVKWGLNINLKGAGRNSAGETGGSETRTGRP DVEGASGPNGTDAKSIDDEALDALMGSRIPKRRHVDSDAADREPRAEDYRFVPVDDFG ARLLRNFGWDGKMRGKVKEVTRHANLTGLGAKDAKGAEDLGAWNQKPAKDSRPVRLSD YRREENKKRQRIEDRYADSYKRERERERERERERERG MYCTH_2303490 MRASGDAGGATLTQNPSTVDPARQPDLLSSPDSTAQSMLTPAVH DASANTSPNSDAPQDLESGVETPKEKTGGHGTEKEDLNEAAQESTLGIGDTHEQHDGT AAARVGRHLPDKVQPSVSAGSSGRFDDTGPNGQGKWDQGATTSMNIDKADGTATESQK HAEVDSAQASRNGTACSSIPPHSVGSSNTAEKSMFESPMPGPSTTPAELSRQVSADAN RPRGTGDEAASPRASSSQLVLHANKARDRRRRSTPTVIFGKQPKRPKPSEDGTVIVSQ KPGYIPTDDYFTPLFIEGFTRQSTWMKPIEKLINQAHKTLSTSDQYISMLDHQACKIL RRVYHLQQHDKWSLRQPVRCPEPTRPASHWDVLLQEMKWMRTDFREERKWKRAVARNL ARACAEWVHSDPATRSLLQVNAVIPPRPVDAGTNGNKVERSEAEDGLPDLIHTDSPTN DEDELPEPLDCVAPSAIFALPDDEVVFGLQPSKTAELLLQNLPLHGSPLMVPKFDVSS TNYDPDAKWKRPAVPLSKYVEGEMVLANRGPPRKRRRYDYLAEEEDDDEDEIIFGDET DNGAELQAENTSVALFSPEMKPIRDRLHAGHQFRPPTEHPMPPQSFFESRTASQWTLA EDEQLKTLVRECSYNWSLISAMMSTSSLFASGAERRTPWECFERWVSLGSLEGLPNDM ARTPYFKAYQARIDAAQRAIAQQNQNAQQQVGPNGAVAPIPRRRPTTSFRVERRRNQK HLALIDAMRKLAKKREATAQKAQQAANLAAMRKANEVPRQQIQNKTPRDYSIMRWERD QALAERMAAYAIRQADANARRLQKQNLPGQVPGTPGVVPGPQAAAQIAAANSLNNAAR LNVPGQLGMPGQNRTPGRVSMPATAGAVPAAVQARIAAGGLVPPLQMASMQQAQLQAM QAAQRLPMVNPQPDINLMLQARSIQNQQRAAIQQLQQQQQQAQQQGHPDQQHQQQQQH QHQHQHQHQHQHQHQHQQHQQHQPQHQPQQQQQQQQQQQQSQHFQPQQQQATTQQHQV PPQQVPQQQQINQQAQQLPQANGTQGSPPLRSVVNGLNQGAFLTNAQAMMASFGATNG AGLATSPGANLSMPAVPGRSPGGILSQHITQRLAELEAHYRAKNPNLPPETVRQLATE HLGRMIVQSQQQAAMNAAAGAVAQQPMNSPHQYASLLRAQQQAQAQQAAQQAAQVSQQ QAGQHQRQTNASATPAPGK MYCTH_2303493 MAKRKREAAQDGDHAEAKGSTKKTNASAKTPRSTAFKLDRDAPV TIQIIAGSYDRVLHGVTATVKPAMAVESAEKSKKKANDSSPLPKRQQQQRVEFADTFL FNAHNSAIRCLALSPPSAPAPGQSQKVVLATGSTDERINLYSISAHPPSARAADEQKL LSSLAPRPILENPKNRELGTLLHHTSNITRLVFPNRSKLLSAAEDSTIAVTRSRDWTL LHTFKCPIPKPTGRPSGDTAPLGGTPSGVNDFAVHPSNKIMISVSKGERCMRLWNLET GKKSRVLNFERTALSELGEGKHSTGEARRIVWGSSRGGEDEFAVGFDRDVLVYGMDCV PKCRLMGDIKTKVHEFRYVETDASREETVLAVSTEDGRVLFFSTATEDLAEPPRDKTL RVAKLVGRLGGKEAGVSGRVKDFSVLPVEDEDGRRSFFIVTASSDGRIRLWHLDAADL DASGQTPRQVGKLLGMYETQNRITCVAAFVMIPRPQGVEESEYEFESDESEEDSDDED EE MYCTH_2303494 MDGKPGEDGAGTTGPKIIRPIPRRPFNLALSSPTPPEEDDDYPS PQPRISASDLRFLAPHQQQHAATPGSASGDGSTPLSHTTSYLNLTSSTLRGIYSPSTL ASFTKGEDELDQEDEDEDEDQDNYLRDSDRPNDGDALPGLSARRSSLHPATRSSASSA AAAAVTAASSPPVRKREVALQLVLRAALLFVLGVGYGVLVTRLPQNSHHQHHPSRRLA AAYESHCEWRYLVFWGVAGVALGSLLPWFDRFWEERAQPSSSSSSSSSSSSSSSSSSF SCPSSMARAEHADADAPVTSKPSLTSPPQTDWALVIRGIGAFVGIVFAIRRLPWASTM QVSLTLALANPFLWYLIDRSKPGFLLSAAVGLGGAALLMGLDPEVMPAPTAAAAAGGG GWAAAVRDRLRGGGGINADNTTAPGGAVPDGAGRDAAGGVLLGGLASRETIETGIWML SVLFCSCVCFGNIGRRLALSSSAAGRGRWGGVR MYCTH_2303495 MPAAIVDSHGILGVAAAGAFLNDLLARAESVKKTNSIEPPLEKS DLGDLERQLSDALGYEVSADESADTKKIQRFALIETAARDIFKTLIATTPIESPAFVN VWNLLDILSLLSDCELCDPALLFWLVEELLDSQTIAGCRKVFDFLESRRERITAKHFK QKQLVILRTCNELLRRLSRALDPAFCGRVFIFTFQSFPLGDKSSVNLRGEYHVENVTT YDQEPQPTDGERMDVDTDATPRKDKPMDPDALYPIFWALQESFNQPKKLFDPSHFAAF KAGLEATVTTFCTINPEKPSRAKEKLDKPDDDAKPSLKRKRDDVDDELANSFNPKYLT SRDLFKLEISDLAFRRNILVQALITLDFLLSLSPKAKEKLASVKTPNKSVTYSDQQLS EEDTKWATQMRGSITRYLQRGVDGPFFERMVGTVLVRDKNWVRWKIENCPSIELPPMS PQQFDEARATATRMARTRRLPNDSRSLEFLGDEDETSAMEKLQDPERYSLPELSSFRD AIDDADFDIERATDDESKALAMESKASKAWKALRLASKTKLVVFDKIDDDDKIDVIFK DKPVEEAEVEEVAANGEAVYPDDRRAIVIVDRTTSPGSRSELVKQFTAEHARVFTRVP PHVTRKPQEGEVNGKDYHFVDVQAFNVMRDGDLFLEFSEEGDNVHGTNKRVVEGILDN DRVPILEVDRDVSVFHSPQISAASETDKVL MYCTH_2303498 MPPAKRVKSSADSRPNAESSKRPTVEDLEGESEFASLARQHWLK ATPKHASKAQAKVKVKNDVLKREIWDVLEKDNFPIKSLLVLEGLQTLESYLWPGYGED SSNYHVLLIILIVNAKRRERLETWDIFADRPADFSDLFRRALSLTLDDSLTWTIRTHV LLFIIHAFQSLDCAIVRKECAPLVSISIWHNLSTEEKRVAILEANPQLKKAWRASTKR YDSADDATKSRLRFERSWLYTLVLDFLALLYTANPKPEHLLYCERFVELLTDLLSQLP TRRYVNTLLQDMHVLPALTLSPIYNDEGSGLLREMCALFSHYTHFCVDDYSGAQLSLI EAYDRHCGALARLQRTALKHFKEKLTLLALSNFGSIDKRSGLESLLQPLTDEELAQLT QHLGLRTTYPDSAKIPVDRKFLMEVLLSTFERRKTFQEAARDLSVLPTEEELFDASLR RTDHYDGARPVALPKLNLQYLSVGDFLWRALILYRCESFYAIRQDIEDVLARLKPESK RGGVTTFSGFSRMALPIAKPTILEVVPPRVGQDKPSLVRAEVTIDLKRLSPQVRREWE SLRSDDVLFLVAVDASRSRQSANGREPQSEAEKLGLVAVRAAEVLQVLDDKGRAIRDV NAYFDGHGRSDSRRIHLGLDAAAYKADTEGKRNVYDGINLLVRRSGRENNFKPVLESI RDLTLSDVPLAPWLHEVFLGYGDPAGATYKHLPNRVRTVDFRDTFLNWQHLVESLPGK IVEPSDDVSGSFGPPYVLETIEKQPEDAVSKPSKKRRRDAEPALIAEIETVHVSTYKP PNNGPYPVDAPKLNKVRFTPTQIEAIISGTQPGLTVIVGPPGTGKTDVATQIINNIYH NFPEQKTLLIAHSNQALNQLFAKIVALDIDERHLLRLGHGEEELETEASFSKHGRVES FLENRQRFLLEVSRLAASMGAPGAHGNSAETAGYFNSVYVEPAWAKFNDTVKSEEVGP QEIVQAFPFHAYFADAPQPLFPPEADRETVLEIANGCYRHISKIFSELADVLPFEILR RDKDKANYLLTSEARIIAMTSTHAAMRRGEIASLGFHYDNVVMEEAAQITEIENFIPF AMQKPKDGRPGLQRVVLCGDHYQNSPIIQGLAFRHYANLEQSLFSRLVRLGVPTINLD QQGRARPSISNLYRWRYPHLTDLPHTKTEEEFLTANAGFKFEYQFINVPDYKGKGETE PSPHFIQNLGEAEYAVAIYQYMRLLGYPASKISILATYAGQKALIKDVLAHRCAKNPI FGLPRVVTTVDKYQGEQNDCKSRCPSRLIDHRFERKKEGKKKTNMPFSQTSSSLSRAP PASATSVTSVA MYCTH_2303499 MTSTASQQNQNPAQTPAAPAAAPSYASAAGANKKPTSSPLIATG SQPPVVVGSSTPASQNGKPSAATPMNNRPNITPAVPVTTPPVARGSSVVNGGADHARK SSVTISANAPAGHIANGGSAGGPKGIQFGFNESPAIAHSTPQMGGAAPIPIPGSGNPR IPSPAHSPSPIPQVPQQSGGGQRAPSIPPAPVTFGSFPGDNDRHMKQHNPVAMGQSPH TRRDSQASAHGDGVGHGGPAHGRGGYQGQGRGRGNFNPQYNNSNMGYPPNRNFSGPNG GRGGMPPYHQGRGGMQQFPNSPQPPRASPATTPAMPHVTPTMQPAALAAGAQPYHYPG MNPAIPPYAHQMQMGAPYGMPPPMDTYRMNYQYPPQPMNHYMPQPSQFAPGPHQAFVP PYGPGQPAAQPMSRNASQVSDRPASSTGQAQQAPAAAQGTPQQRPAQAAPAIVSSPAF SRPKKSAAIVIKDSEGKVVDLTAAMKTPASPAPAVQPSKTPPAIVSTPTPPPKSSTPS HGRTDSTTTGKTAEEVRTEFMNLVRKQADSAPSSDGKPKEDEATKSAEGKAAADKAAG EKANEEKAAAEKAAAEDKAKEDARLEEEKKAAEETKAAEEAKAAEEAKAAEEAKAAED ARAAEEAKAAEEAKAAQEAKAAEEAKEKEKEKAEADAKAKADAEAATESAKASKPKAE KSPDEMDEEELERIIREMEEEDARREREQEEISARKKAQQEEEQKRAEALRLQTAAEN DRKLREQEAEMERLEEERERRRREEEAKGNHVSVAELLSKPMSALKLSDKEPSEKTGA ASDATTGTKTGTSDKTKSKPAALNLAPLKTTPVEAPQPSAALQSLRSARFLPGVEHNI YPPGIASPNPALNAAVQKKGKIFRYDAQFLLQFQHVFTEQPSLEFHQQVKALIGDSDG SRSASARTPGAGSGRQGSRAGASSAFPSGGAFGQFGASKTLPAGTTSEQRFAMSQGNV GRTATGSIGGFSRGGAFPGQMSRTPSSNAAGLPSPRTDSRRGGSRKFGNAKQSEAQAA KTMPLTHGQEVKPITISATGWKPTSIGNKAAQNPTAVLNNGGHLDPEMVQRKVKAALN KMTPDNFDRISDQILTIAGQSKNEPDGRTLRQVIQLTFEKATDEAHWASMYAKFCKRM LETMSPEIRDESILDKAGNVVSGGALFRKYLLNRCQEEFERGWKVDLPKPKEGADKKA TEAALLSDEYYIAAAAKRRGLGLVQFIGELYKLGMLTERIMHECVRKLLEFTGVPDEA EIESLTKLLRTIGGNLDSTEKGRAFMEAYFNRIESIINLEGLPSRLKFMLMDVVDLRK AGWVSKEANKGPKTLEEVRAEAEAAAALKAAESARNSQRGAPGGRPMGGRGESRNFSY NNAAPNHVGIDDLRRLKGSSSRTSSQNPSFGPTSMFNSRSNSGRARVGGPGGAFGRAG EDSGASSRTGTPPVRDRDSVAHANAFGYVGPLT MYCTH_2061829 MPKASKRDKFAEFRALRQAGKKKFDTYEVEDAPTLYEEVDEDQY KKIVRARLNEDDFVVDDNGEGYADDGREEWDRVQTYYDSESDDAVPVRGKDRKSKKQR DDEQAKRDANDRDISEYFMKGAAKAQSKPKVIKTEDDQKFIDDLLEEVDANVVPMPVS RISKKRDRSAERRKTRPLSPPREARQPAPKKTKMLDGSASSPLASDVAMIEDDFVPPA AVEDEPAAPVDVVMSDPAPSSPAATAAERRTQPKVDADEYDNDDDDDEMIEVAHAGAV AAASVNLSASKQIKNVTKPDPPPKSSSPVRAPESAVDASSWNGINEKLNVISSSQPEA RSLGKIDYKDAIEEDGSLNMYWTDYTELNGSLCLFGKVLNKKTGRYVSCFVKVDNILR KLYFLPRKHRVRGGVETTEQVEMMDVYQEVDAIMTKMNVQMHKIKACTRKYAFELPDI PAEAQYLKLLYPYTKPPLELGTKGETFSHVFGTNTSLFEQFVLWKKIMGPCWLRIEDA DFGALRNASHCRLEVLVEHPNMIAPIGESESEDAPPLTLMSIALRTMFNAKENKQEIL AISARIYEDVSLTDTTPADKLPCRTFTIVRPQGNAFPLGFEKMARERRKGLIKLMKQE SDILSFFLAQVDLVDPDVILGHQFEGVDYSILLNRLYEKKTHQWSRLGRLRRSAWPSS IGKVGGNVFAERKVMAGRLLCDLANDAGKSVMFKCQSWSLTEMCSLYLSGDNRRQDVD NEHALKTLATSKEGLMDYLTHAETDTYFIAALALRTQILPLTKILTNLAGNSWARTLT GTRAERNEYILLHEFHNQKYICPDKQPLRGRGRFDEENQEEDGGEGQKKDKYKGGLVF EPEKGLYDKFVLVMDFNSLYPSIIQEYNICFTTVDRTSVSGDEEGVPPVPTVKEEAIL PKLIRRLVERRKVVKGLMKSPNATPENIATWDIKQLALKLTANSMYGCLGFTKSRFYA RPLAVLTTFKGREILRSTKELAESLNLQVIYGDTDSVMINANVDNMRDALKVGSEFKN QVNKRYQRLEIDIDNIFRRILLQAKKKYAAINMVEVNGKYIDKMEVKGLDMKRREYCP LSREVSTRILDEILSGEDTEVSVQRIHAYLREISGKMREQAIPPAKYIILTQLGKAPK EYPNADNMPQVQVALRELARGKTLRKGDVVSYIITGDSKTTSEPAAKRAYTPQDVLKP DSGLTPDVEWYLGKQIFPPVERLCANIVGTSTAQLADNLGLDVRRYVNNGASSSSSSG GKHDHEIHPLESQIPDAVRFRDCARLTLRCRSCKASAPFEGLVGSADRVTPSGVSCPS CKAILPTLSIVAQVESAVRAQTARYYEGWLVCDDSSCGNRTRQMSVYGSRCLGPKGLG RGCTGRMRYEMSERDMYNQLLYFASLWDVDRALKRVSASADGGGGGGGGEALPPGELR DNVVALADHNRTRFSTVKGVVEKYLEKCGRQWVAMDTLFSKLGLMVA MYCTH_2303507 MFVCRGCLRSLTSSRGTRIRSSRLLSHPQIATTSVSGRRAYTAN GPSLDQRRAVEDAIRAAQQHPSPKESKPSGAQSPGDNDGDLAYDNVNTKGDEYGKQKY EKKLEASVRKVLRLTTDPYHIAQHVAKVLEKGRFDEALMTTRMASRNNKVEVSWNHLI DYLMKNHRLHAAVKLYNEMKKRAQIPNAKTYTIIFRGCAESPHPKLAVSEATRIYNFM IKYGPLKPNTIHMNAVLEVCARAGDLESLFTVLATCNGSMRSPDAHTYTIVLNALKYD TSKVGSANLGLIDAEVKREIQKNIHRARAIWSDVIANWRSAKMIIDEPLVTAMGRVLA MGDYKDNESVLELLEQTMKIPRFDKPNVKLPDVSADAGADAAKLPVPEGQTVEAGNMS PKDRKALANSRADKSPLYAKPGNKTLSLIFNVLAATRRTSSAGKYWSYFTNVLKVTPD QYSYNWYLRVLTTGHASGQVAELVASMPTTLLTPLTFRRGFTACIRDNLNRHAFKHAC RIFEVMITTQRYPDALAMRLFLQVARGSTRHFYESSPKQQQQQEQQQKQQERSDHHQQ QQQQQQQQQTPGLPEPQKEGALTGKAGHGAQIITALSYMWEPFRILTGSLSYPEAATR SPQEELDRKRGPMQEISATARRMIAGIDRVTNEEGMADREAVKLWRARRIVLQKLLER YMRIMYPGGSAAQEEKERRTVLEEEERYERSSPRQAAGEASSSP MYCTH_2303508 MQPAPQTPKRHQLVSPDRSPPSSPAEIIVSPSTGGSEADPSSPS SLTLSGSTIDSSPVVTPQSPSGSASPSQASVAAAEIDDVVESDRDEDEENLEEAIGGQ YVGARGWANEGQPRFVERGRRGVVVDELVRGMARTRVVSPAGGLV MYCTH_2059769 MSSSRDFVGLAEQASLQTSRSRQSITASLRYKASYFLSRGGTIN TTTSNDNDNNSTTAYNNPQTFQPHPLKGTRGWFRSVGARFHRHSHATLESSESSHDIQ LELEENSHITNLPSSSETTSSRSQPARRRPFRGRLRLHSLPARFRRRGDSRFSRPSSL SDSDKENFLAMTVPPARSRMVSNAGSWSSFRSGVQRAVRGKSGLAAHLSIKMLKQESR SGGWQLSLLRGPFLTQSVFRRHLHTMHTQHLC MYCTH_2303512 MATNGNNSGKVAVDLERMIHEARDRRKNEELAAKIFGKGRRSST PASKATAAGSLASRAGVKKQRAEPATRPRHSTGNIDGEWTHDLHETQARGPKAGGKAS GSGAGSLAARITDPNAPLTGPASHKRQTRRAAQVAQALIRSELQQSQGQQRRADETAP INGAIPTEPRAMAKPATTFNKGITIRGLAGPFVVMAQNFAPGTTAADIESAMTPVGGI ITSCRLVKQHPIVIAEIVFESKEGADNVIATFNNQTIGNTPSIPPTQTATRPPPDNDV VMDGSYGFDDPMDADLNTGRQPPTGPAAGVGGGLYSDSLVSANRRGRGFSRAGRFGGR MYCTH_2303514 MEQWQAYNDPAGAGGPRRYNGSTGNQMSPRDFGSSGQNPAQQPP AGFKYDNYQGGLNPHQTTSSAASPMSSPHLRDGNGDVPMQDSHDSYGSLSTSAKYPMR PHHQHHLSSGRTANLQQEPSAAAQRYSPMEVMSPTSPYGPKTASSGQFSQQPAQRQSP TRSSDYAPQQSPYYGSRQAAPQLPPINQYANNQETYSPSAMTPMDGSYADPKSPKRAP PQSATHDRGPVPEFRRIRAPTDLKPKVNDQPPFRRANPEGGFISPLQALTVQLPATYR ICNPAFKYESSRNPRRVLTKPSKGVKNDGYDNEDSDYILYVNDILGSEEAGHKNRYLI LDVLGQGTFGQVVKCQNMKTQEVVAVKVIKNRTAYFNQSMMEVSVLDLLNTKLDKNDD HHLLRLKDTFIHRQHLCLVFELLSVNLYELIKQNQFRGLSTTLVRVFAQQLLNGLALL NKARLIHCDLKPENILLKNLESPIIKIIDFGSACDERQTVYTYIQSRFYRSPEVLLGL PYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQVSRIVEMLGNPPNWMIEMGKQAGEF FEKRQDEFGRRTYHLKSMEQYSREHGVKEQPSKKYFQANTLPEIIKSYPMPRKNMKPS EIEREMNNRIAFIDFVRGLLTINPLERWSPQQAKLHPFITQQKFTGQFVPPMNLKSSA LNRSPAPGTQQQLQAEALSKQRAQAAQAQAQASTAAKGAYGSMGPVQYQQQGHAQQQP LYGSNNMYTPTNTHPGAPPPYSGQQGGYGQMGMGQTGVQMPPANYTQSNMYAQQNRPR QRASTMEQQSGIPASIQRVASHLDPNQPIRLQPSPAYYPPPPEGLAGMDHQTSNRQPR RGSRAQQTGRGNRDFIRNLEERTLEEGFMGSGQSPWH MYCTH_2303522 MADNGPPTTSGQLPPPPQPNAGAPGYENGQNSGSNPSHIPPPPL HIPQNTNPIPTAITSPMGGGGDKSGIMSPGSGAPFGRRAAPEPNKRALYVGGLDPRVT EDVLRQIFETTGHVQSVKIIPDKNAKGYNYGFVEYDDPGAAERAMQTLNGRRVHQSEI RVNWAYQSNNSNKEDTSNHFHIFVGDLSNEVNDEVLFQAFSAFGSISEARVMWDMKTG RSRGYGFVAFRERADAEKALSSMDGEWLGSRAIRCNWANQKGQPSIAQQQAMQQMGMT PTTPYGHHHFPTHGVHSYDMVVNQTPAWQTTCYVGNLTPYTTQTDIVPLFQNFGFVVE SRFQADRGFAFIKMDTHENAAMAICQLNGYNVNGRPLKCSWGKDKTPNHHQQFDPHQP YSPQSAQTPGYPGTPSTYFNQYGGGRCSREALAPGSVANVCTGSYGPGQQPGYAGPQA QSPAAYGAQPMGYSGPPSAGGYGRGQQSGAQWNQPPPGQSFNNGFSGYQG MYCTH_2303524 MSVRRQIDRLPNVVNDVLVTTAKAFKAARRDGKANPAAAAAAIE SRIPDAVERFNAILDDVESDILLAKAVLERDLKVVRAKRQQPPPGQKAVAPPAPMAVD LESPKMAAKEPVTGLPGPPPSGTPTSGGQANKPVAPFPNMGFESTTPEAAGVPSPKAV PQPNISKNLVRPGGTALAAAAAARPASAPPKKDVKVSPPQTNRPGGVATAPQTPLNPS AQQVKPSSTPVGPNRQTPNLTPGNAGGVAAPVSAAPVSAAPALTAPASTAPASSAPAP TTPVPTAPAPTASAAGNGSLFTDMTFSVAPPLNDSQPQKPAPQPQRRESQQQSAPPRT DDANAGAESVPAPKAEQSTGAPADVASTDAGVRDAKNAEDNSMANIDDSIDGLFDLGP GGMDSMDMEYDLSNGDNSNFNDMYFGTGDNSGGSGEFDDAFFNLNG MYCTH_94336 MMVLLRRLVAALGVLAPLVTAATLPALPLHSSGRWILDANNKRV KLRCVNWAAHMETNIPEGLQHRPMDAIADWIAAQGFNCVRLTYSIDHALDPSLPVSTS FTTNAASATGVSAAEMAALFGRVAANNPWITPGETTTRDVLAAAVDRLYARGVLTVLD NHVSRASWCCSLTDGNGWWDEAAGYNPWNSRFFDTRAWLAGLEAMAAWARGHPGVVAM SLRNELREFPLLQDVDPARPDWYAFVGRAGAVVHAANPDVLVVVGGVQSSTDLSHLRL PGGGGMLDTSAWAGKHVWEMHAYSFTVTFPDVFGSCDVVRAEYGALSGFVLEQDRPYT GPLILSEFGVGMEGGDKDGLSDEDDRYLRCLVSYMENNDADWAVWAVQGSYYVRDGQV DYDETWGLMNHNWTGWRNPAFPAKLGNMWNMTQEP MYCTH_2303528 MAQTQAQTQPATLTAARDPPPADEQTSRAIPVPPQTNPPEARNR GRLAVDTYSPVNQNGSFEFDRVLKSGYVQKRTQKTKAWRTIYLVLRPNALSVYKSDKE EKLRRKIYLSDLTAVTLLKDPKNKRPNVFGLFSPSKNFHFQAPTAKDADEWVDLIRQE ARIEEEEEELFLASPGARQSSYFPAAEVDNAGSNRALASPERFLSSSPESADPPARGL DKPYARRPSHLDSSGLSNAELASHSDFSDSDMHRVPGASFESLAARQPSTSLGPSRQS LGAFNTGRLSGTQPEADPDRVIWQGWMWFLRSKGGVRQWKKSWGVLRPRNLILYKDEA ESSVLFLLYMSSIVNVVETDPLSRTKKHCMQIITDEKSYRFCTHDEEALVQCLGAFKS LLAKRRELEAKAGNSHPIATATVTATATSTAAPPEARPHPA MYCTH_2303529 MADSNAARLSEEVLQELSNTEYACSNLQPLSGGTANFIFKGTLI QPLANGTKEVAVKHGEGYVASVPGFRIPTTRCRAEVECLKSIGGLALTKGPYAVRAPK LYYFNPDTNTQIQEYLPGALSLKDYALRHFSSPDPSRKPLCLELGRSLGAWLREFHAW ASLPEQAELREELKSNEPMQKIKHMANYQTLVGTVSNFPSILGEAQETFEKIKDATAK ELDRPDLQIIHGDFWTGNALLPDRPLENGDQLPVFIVDWEMCQFGLPPLDLGQMIAEL YELSLFKNMDEGKWLIEGFTAGYGCVDDDFALRTALHVGTHLVAWGSRVAGWGSEAQV QHVVGLGKDIILRAWDKDRVWFEGGDLACLFTKH MYCTH_2303532 MAGSNSTAGSLTLTRPCVKCRAQEATLDSRSQPVCRDCFTKFIS AKCIKQIGILGKETRPPPSATNGPPTGTRRYLLGLSLGVSSTVLLHLLNENVEFQLAR GRSAPFELTVVHIDDDTSLDHDGHGHGHPSSRSTSPETVLARYRARYPRFSFHSIPLS SAIPKNHHHPESPTGPEHPADANDGGEGNKGGKCGANAEEAPPPPSPPPPPPSATSAA TSAIAAAIAAAAAASSTTTAASRSDVTRLLTRRALLAQARARGCQALLLGHSTTALAE LTLAEAAKGRGFAVPWLVGDGPAAGAAAGPGTGSENGSENGSENNGRGEVLLVCHPLR DALRKELVIYAGLVDPPLTDLLGGSSSSSGSSAEGSSSENGGPSAAAASSSPSPSGAA SAVVSHRDLSIEEVMRRYFAEVEESYPSVVANVARTTGKLVRAGEDAVGGQWCGLCGM PLDEDGDERWRGELGIRERTGSVPELLGERLCYGCQRSTGG MYCTH_2303534 MSTTAGAFIAGGIAACGAVTATHPFETVKIRMQLQGELQDKGHQ PHHYRGPIHGVSVIVRNEGLRGIYRGIGCAYIYQILLNGCRLGFYEPMRNALSTLIFK DGKTQSLGINMFCGAASGVMGAAAGSPFFLVKTRLQSFSPFLPVGTQHRYRNALDGMT QIYRTEGVRGLYRGVGAAMIRTGFGSSVQLPTYFFAKRRLMRHLGMEEGAPLHLTSST VSGFVVCVVMHPPDTIMSRMYNQNGNLYAGVFDCLAKTIRTEGIFAIYKGFLPHLARI LPHTILTLTLAEQTNKLIRKLENRILPSTALKTV MYCTH_2303536 MRDLPTTFSDAIHLSRALGIPYLWIDSLCIIQDDKADWNREAEC MADVYGNATLTFSADAAPSGEDGLFQPVSRRRVPAAVKYCCPSSPAGDEDEPNYVYGR RIFVHGRYTSAEKVHSITNAPPWVYEPLHLRAWTFQEYLLSRRIVHFVTGELLWDCRR TEGCECQIQEVLTERPQFHDLLRTSWRIHGTVWWYFIETLSRRGMTYPSDSLPALAGI AKAVSASWRHNDCYIAGHWKSELPRSLLWRRGGQLHHPGAHNYGGTNVASRRQARYVA PSWAWPSVVGPVYHDNVYTDPPDVRERWVSSYDYTHIVCQVLNVSYELASSNPYGHLR SGSIVIRGMTLMLHGCVDVEDSEHKGKQGRLHVRFIRDEWQPGQAASPEDVLYLPIVG NCYRKDYIHSSRPPKLHRVVQTKGLALKAAAGQDDTFVRIGFLRVYFYRRRQAVWKDR RERKRPRAEEILKTEFQLPVRTVTII MYCTH_116006 MASTPMDLDDAPTSAATPSLNIARTLGANVPSTTAVSEVIPLYR PTKLFQRDDIKDGKPRPYVLSIDFDDPGELCMTSESDETIQIYNVKDGRHDKSLLSKK YGVKLAKFTHTSSSIIYASTQQNDAIRYLATHDNSFIRYFEGHEGAVTNLALHPGSDN FISCSVDNTVRIWNIGTKQWIGKLLLNTPYLSAWDPSGNVFAVASPASGSILLYDYRK YEKGPFSVFDVLKARGPADAEAAFRGWTKLEFSNDGKHLLLGSKGDGHFLLDAFDGSL KAYLKKPGASTRRLAPGETDGGNVESSGECCFAPDGRYVLSGAKSDLLVWDTLMTPGS DKVLEPAHVLEEKREMAVVAYNPRYNMIATADQELMFWLPDPNA MYCTH_2060145 MPYYAKSEDWLHQSSLLLQARPSTTRITTRYHLKPARRVPKLKN SDQDAAGQKAQSPETQEKPPRGHLVLKTYDPHSGVTLKYKTSKAAEVTRLIQMLGTLG RHMAAVPITEKAEDETMADAPAPTEGEGTGSGVQTPIGAQAQQQQQQAGGGGGKGKKK KGGKR MYCTH_68292 MAAQVTPSKQATSAIEALKMESPVKKLDFSSSNKENASFDADLA TLEAEIDANHQKSVMDKKEAPKPSVAPTIKPEEADEPLLQENPQRFVLFPIKYHEIWQ MYKKAEASFWTAEEIDLSKDLHDWNNRLNDDEKYFISHILAFFAASDGIVNENLVERF SGEVQVPEARCFYGFQIMMENIHSETYSLLIDTYIKEPAQRSHLFNAIETIPAIRKKA DWALRWIADKKSTFAQRLVAFAAVEGIFFSGAFASIFWLKKRGLMPGLTFSNELISRD EGLHTDFACLLFSHLNHRPSKQVIEDIIVDAVRIEQEFLTEALPCALLGMNANLMKQY IEFVADRLLLALGNEKVYRSTNPFDFMENISLGGKTNFFEKRVGDYQKAGVMASTKKF VQEDSDEPKGENGGDFTFDDDF MYCTH_2303545 MEKLRTVCKRAHHLDHQFHCCCFGASLGWSAILGLIPVIGDVLE LILSVLLVWEASRIYGGLPSNKRRLMYLYIALDFLVGFIPVIGDFFDVGYRANTRIAW VLNDHLMDKAIKRVRAETGQPSGINGEKDRDLEQGIVRHVESFTAPMTVPPARTIPPG RNLTGPHDPRNPYRMQGRRH MYCTH_2303547 MSLRSFCLRIFQHCPLLAPFSAENHMRAFEEFMQYKTRVPVRGA ILLNEAMDSTVLVKGWKKGANWSFPRGKINKDEDDLDCAIREVYEETGFDLRQAGLVP KDDEVKYIQISMREQQIRLYIFRNVPMDTVFEPKTRKEISKVEWYKLSELPAFRKKGN SSHDNAAAASNANKFYMVAPFLVPLKKWVLQQKKKDASRAAASSSHQAIHPPAEEPLT EDDIGTHVEPVPGPSNGTPAIETMEGATRELQRLLKVQPPTQGLQMSPSSSTASKGEA LMALLRKSAEPQQAPQQPHHPHSQTSNTAPDLAHTSGPGAHAPHHHGSTYGQPAPNYQ PPSNFPVTPSSMQNTAPAYAGQDSAHAHDMSQTYGRNPFISSTQPQRSEPVLLHPQPL PPQVQQSVLTRGILPTPVLLDAVGQGGQHGQQNGQQSYQRGPHAPAGGQYNQPGAPSP YTVGANDSYATQQQTPKPAQLTDHAMALLNTFKYGTRDGGEHKAHGSAPQNPASVSVQ QNQYHSASWANAASTTQASNPAAQLLPHPMPPVTAAGTSPRAIQPSDPQAGSRFPQPN DTHRSALLEMFKKAGPRSPLSNEIKMQPGSSQQSPGTTRHNDTGSPGYKATSAAELLA SAPGANHTPGRTDSELNRPYRPVQILSRPKQGEHSNSQDFASAQAQIQRLQQRLSPMG STWQDAGNTGRQGMSSPRDRAFSHYMEQEAKRSPQPNYAAAHAASLPYASQSPSSTHF ASPFQQQQQFQQSIGMQQRRKDSNSEQKQKLLSLFSKGQQQQQQSQQASPTGFTVEAK GKGKETTVFEQPRSGSTPRSRVASFASAGANEPSGTGGSGQTSRRGSQTPISPADRNF LLSYLESVTNAGR MYCTH_2303549 MTSPEGNTVTIGREYFNTLLRRAEYNSKPLESTGNFASVVISRA EYDNLVLIARQYGNLRRNLLRGGVGEETVDLLSQDDETIRRAPTSAANQQADPNEDGG ARLDSPTPDPSAAYAQQKDTQHSPRHGRSAWADSDVDEADNDDENGASEAGSRPEDRP GARYTKPQGQRPQFERQCARTLQLSNLAEGTTHADIVNAVRGGMLLDIFLRSHDRSAT VSFLNSEDAKKFYEHVRRHDLYIRNKRVEVRWNDRQFILQGHVANKISMGASRNLVIQ GYDNRHTEDVIRGDLDHIHTLVVVKIEFVGSSCYIGLNSVHNAIYARQCMLTRLRYKG KKISFDVDECAQPYPQPAPKPRKEVAPPRKKLARVPNRFQLLSPDDGDDDEIAATFGT KNAVGITA MYCTH_2303558 MKAALSAGHLAVALLSLTPAVSALGWPRWLPELDTLVVRQDDSQ DGTYHRSDTLYVYVHACSSNTHSADSSSPTPTPTPSKSASDNSDDEGSSTTSRDTSDP ISTNLNTGGITSGTRTAKETGKSTRSSAPKKTEFDPQDPVGSVVMVTPAATDGYQLYK IGDYVTWGWNYTDVQATPTGIDLYLKCSKVPQPWTLTQNMSYSTVGSYTWDTEQFQKS HVADPLLTEQYTLVIADADGGISATPEPGYLAPFSGFMFGLYEPQPYSDTGDGWQCAS CNGATSGLDNRAVGVAVVMSVVTVLSFTWFVTGFGAFF MYCTH_2303559 MPEPFLASEVKTLLRQLASGVAYLHDKWILHRDLKTSNLLLNNR GQLKIADFGMARYVGDPPPKLTQLVVTLWYRAPELLLGASRYTGAIDMWSVGCIFGEL LTREPLLQGRNEVDELTKIFELCGVPTEESWPSFRRLPNARGLRLPSGGKATGSAIRA KFPLLTAAGVSLLNSLLALDPDKRPTAKEMLEHEYFKQDPKPKQEAMFPTFPSKAGQE RRRRRETPNAPARGQQAADLGTVDFSGIFSGREREEKGGGFSLRMV MYCTH_2303561 MAGRFVRASKYRHVFGKPTKKEFCYDNLHISRNAWDTNLVKVNP EYLSVNWESGGGGAFAVIPLNERGKLPDQIPLFRGHTAAVLDTDWCPHNDRLIASASD DGKVFIWEVPQGFTLYTDAEEITDVSPVSKLAGHSRKVGHVLFNPAAENILASSSGDL TIKLWDIGTGQAGLTLKHPDVVQSLSWSANGAMLVTTSRDKKLRVWDVRQEKPVHEYP GHEGAKNSRAVWMGEHNRIATTGFSRMSERQIGLWEPGRKDPIGGFTSLDSISGVCMP FWDEGSNCLYLAGKGDGNIRYFEYENDKFEFLSEYKSADPQRGIAFLPRRGINVHENE VMRAYKTVNDTYIEPISFTVPRRAETFQSDIYPPATGLKPAMSAKEWFDGKTAVSPKI DLESVYEGKAPVEVPADYKPSPAAAPTPAQAPAPAPKKEPEPAPTPVRAPATVADQKT SMSAMANKFQDVEAVEDEDETSSFEEISRPAPRTTLPVRSPAKPEPIATNAPPPQAKP SSPVKSSEPSAAPATRPAASASPASPALEASLAQIKQLIEQQGQVISAQTEKITAQTQ LISRLAAEVETLKKRVGTGTQDQSERIRQLELELEAARS MYCTH_2303565 MNSKPAPEGLPGRHEAGFVGEPPRPKSKREEKHETTRRYEVHAQ VAAAQVGDILRVLLLFRFINALCVRTFFQPDEYFQALEPAWRVAFGADSGAWLTWEWQ HQLRSSLHPAIFGLAYKAAESLMSSLSLFPPFKALMLVALPRTLQAVFAALADFYTWK LAMDIYGRQSNAPWAALWMTVLNPWQWYCSTRTFSNSLETTLTIAALCYWPWEVLIDT KASRSQPLQQEGRLSSLRISLVLAAVAVLLRPTNLLIWLVVSGLSLIRLTPLGNSPLQ TSTIVILLRESIICGATVLAVSLISDRLYFGFWTFPPYKWFYFNISQSLAVFYGRMPW HYYVSQGVPLLTTTFLPFTIVGLYKATSSTNTLQSRILKTLNLSVLTMITILSFISHK EVRFIYPLLPMLHILSAPYFTAFFTQPASSSAAPSSDGPVSLRRKLTLANLISLNILL AGYLSLFHQPAPLSVLSFLRTEFERIHPDALDIDVPASPQGGKGEKELFALFLTPCHS TPWRSHLVYPALRARALTCEPPLHIARGTKERETYLDEADRFYLKDADGMYGVRFLGE EMWPLAAGNESTGTAKRRVGEVPRYIVGFEGIEPVLRAFFEHGNDGKEAPGKEMGVTL TRVWSAWNGAFNEDWRRRGRLVVWDTGLYQGSRGEENREEEGRVVDEL MYCTH_2303566 MAPHADKDNSPVRGANTASSKDRYHAASTKSAIAAENEFAAHNY HPLPVVFARAQGVHVWDPEGKHYLDFLSAYSAVNQGHCHPELIKALTEQAGRLTLSSR AFHNDVFPVWAEKVRQLFGYDMVLPMNTGAEAVETAVKIARKWAYKVKGVAPGKALVF STSNNFHGRTMTAVSLSVDPESRGNYGPYLPNVGAINPSTGAPIRYNNVADLEAVLDA HGRDTAAFIVEPIQGEAGVVVPDDDYLARVHELCRRHNVLLVCDEIQTGIARTGRMLC SEWAGIRPDMVTLGKAISGGMYPVSCVLADRAVMGVIEPGTHGSTYGGNPLGCAVSIR ALELVEEEGLVEKADRLGRIFREGVEAFQSPIVQTVRGKGLLNAVVIDESRASGRTAW DLCLLLKDKGVLAKPTHGNIIRFAPPLVITEEELRGAIKTIGEALAELPTAKVTEGH MYCTH_2303567 MAERTSSSRRPRPASDEAIGNFVIDREIGKGSFAQVYSGRHKDT GALVAIKSVELSRLNKKLKENLYGEIKILRRLRHPHIVALHDCVESGTHINLIMEYCE LGDLSLFIKKRDKLITNSATHELARKYPVAPNSGLNEVVIRHFLKQLTSAIKFLREAN LIHRDVKPQNLLLLPSPQFREANKMQKQILSASHDSLTPAAGLPSLPMLKLADFGFAR VLPSTSLAETLCGSPLYMAPEILRYERYDAKADLWSVGTVLFEMATGKPPFRAGNHVE LLRKIEASEDVIRFSKDCLVSAEIKGLIRALLKRNPVERISFEDYFSHPVITGPIPGL VEDDIPKQAEKPAPAETRGPSGVDEGATLSRRTSLRRYPPPPPAEHDGREPGVSPSPK PRRSSPLATPIEPKPNPLEQVSSPRLSYSPRQEGEEGLGIRRPAVQPSTSAPVRPVTY VDRSRRYSNASVRSPAREPPAQEPAGRARPKSAASRPLTEEEKAAQDVAFERDYIFVD KTAVEVNALADQISLYPQQAQSPKSGQIVRRATQQGAPTSTTGAVPSRSSKSPQGRND HYRKPSYDKSLSGSPGSTTSVISRAIQDASLRLFGFKVPPQMLSKGQSPPQIYSPFPA YPTPSVPAGLIMDGKQSTPVDEDSRVAQCIEEYATRSDVVYGFAEVKYKQLVPLAPSA EHGLGGVLADKMGEEDEGLTTDAIVSISEEALVLYVKALSLLAKAMDIASLWWSRKNR AESSNSVHPATRDSVNSQALALKINAAVQWIRSRFNEVLEKAEIVRLKLIEAQKQLPE EHPSHPSNHPAETGAVSGSGTEGVFLSAGISAEKLMYDRAVEMSRTAAINEIASEDLP GCEISYVTAIRMLEAVLDSDDDHLPKRRVSSSSSKDDKSVAAQDAPDGMSSDDKQTVQ KMVQMINGRLASLRRRMRAIAAASKSQQQQQQQQQQQQQQQQQQQQQFVSRPRSGDVT PRSVPV MYCTH_2060907 MVSARDPLVAPPSRVRENNNGNADPPGDSGSADDETTRLLAAWR ISPETHDHILRTRILPAELDPFLPEVGGKSTRPRAILILGQTGAGKTHFTPRLLSALR QQQQEEGGGGGGGGDDGGGGGEKRPILHLIADTYKRYHPHYAACLASARHAGLASRVA GPAAARWLLAVCERAADARADPVVVEAAARRPDEFRAVARAFAARDYAVRVAVLAVPA ALSRLGIAQEEEQKEGHGDRGMPVRFTPKAVHDASFEGVEAVVGWLDEDGEAGGGELL DRIVMVRRGGEVVYGNERAENGWVKEAAALAALRRERARGLTDEERKTAEEGIRMLKS LGDPKADREVEEIEELMAGLGIGDRTVSEMMPFDAADFVKPADLGRDDIHP MYCTH_2303570 MSELKHPSDEEDTKYPAAGKVAVGGDAARHYDSAPGSEEVVCPP HTTDRRITTKIDAYIIPFVSVLYLMAFLDRVNIGNARAFGLEEELHMGGVEYNTALTI FFVPYIVFEIPSNILLKHFTPRVWLSICCIGFGSVTVFQGLVQNYSGLLATRFFLGAF ECVLFPGCFYLIGSWYRRAEAQKRFSLFFSSTSLAGAFGGLLASAIGKMDHIRNYRGW RWIFLLEGCFTVLLGVIFLFTFPSFPEQATWLRDDEREYVKARLRASQGASGAERKIK LRDVKDVMSDYKIWLGGFMYFGLIVPAYSYAYFAPTIIQSYKYDPITTQLRSVPPWAV SFGFSMIVAILSDWAKHRFSFIIGSICIAISGFAVLLSVHDNLQVQYAALFLTCMGTY SAMPVIVCWFNMNLGGHHRRAIGSAWQIGFGNIGGIIATYSFVKGDAPFYRKGYAICL SFICLSVLSCFAYAAAITWENKKRSKQVHDLNLTEAQKLDLGDLNPEFRYML MYCTH_2303573 MTPGIQPPMSQQQPASQQQQQPPPQQQQQQQQQQQQQQQQQQPR PNAPATPNTPNTIAASQAQSAVSPPSKRDLKSWWKGFKLPSKHQESQEPRPQGIFGVP LRQSITYANVAISLVDEDGKSYIYGYVPIVVAKCGVFLKERATEIEGIFRLSGSEKRI KELKNIFDSPDRYGKGLVWDGYTVHDAANVLRRYLNDLPEPVVPLDLYEKFREPLRGA TKPGNGEAEGPQLVEGFDMDAAIAKYQRLITELPPLNRQLLLYILDLLAVFAAKSDQN RMTSQNLAAIFQPGMLSHPAHAMAPEEYRLNQCVIIFLIENQDHFLIGMQGTAADEKT VEEVQKGTPVLNAPPTPNTKTGLVRSASTGSVGPESVAKEGSIRRNKSTSSRRSFHSN GCPSPASPALATTPTAGLARSNTLPAKKSPALQSGRFASRNEPHVAPLTPVAAPATTV VSSPGVVEEIATPPEETEPSASAPTAPSSSQPGLAIPGAKSQDKLLEPPTPDATTPSK ERKLPTLFQRMASSEGDGRQPNKLRKKRIPGSANPSAHSSTASLSHSATASPNAETAN PLEAVRPIAGAEESATTQPADTSSDLTPRPSHAVPNNVKSDTDPNSRASSGSTESGRP EVSTAGAHPSPGNVTLGGTNADTSHSGYANADTLHPSSAQLPLQHKKSPPTSLHSSFN ETSDLDQVDEPVAPPAEAPVPDTPNEKEKKRRWRLSRKKEDASPPSYPPLAAQPPIGS NATASASGTSVNSSGKPGHSTAGDTSDRTTINTEAPLTEVSSKESRDESNKISSWIKN KYREHKENVEQRRNKSPPAGERAVSIGSSLLSSRGKSLDLKRTEEEKQPVPQPVEAKP PAPPQSGEAAPIPNQTSQSHTQPPPPQPLTTTTTTTTATTPPPPQSQQPQEPKAQ MYCTH_81466 MQLNTLALTLAAAVSSVAAYPITGDDVNCRTGPGTSFKSVKTYP KGTDVKLSCQTYGEVIFGNSIWDKTQDGCYVSDYYVKTGSNNMVTGECPDNGNGSGGS VYQGKINRTEIISRAEYWTKQNVPYSMEKTYPDPQGRYYRTDCSGLVSMALHAMAPGY STVTLPQIAVAISWDDLKAGDFIGTLGAGTGGSGGHVTVFQSWVDDAHTQYWSLECAN KEKGCLRQKRNVKWKVGSNTAKPYRYTKVIN MYCTH_2303577 MTTKEHEAGEEEQTFLPKAPSSDDVSAEFGPEVRGRGASGPRKR GVVWWLRLFLDIAMAGTIAYLLVAKPFVVSRETLRRTPVPRFPRKIYTFRNDPKYARE DMWYNETETLRTLHNWIGLSSESRGYVVVKDASRYDLPEPYTVALDRHNDGPGYMMTV FHQLHCLSYLAEHWQRGYAGFNLTEEVAHHTAHCFNYLRQGIMCSADTTLEGKTGAGP GEGSEHECKDYDAVLKWANEHSAMKWRNALLPDTSIL MYCTH_2303579 MDTGLTASEQRELETRLQKRQVKEFMTVFGNLVDNCFNACVDDF TSKALSGRESGCISRCVTKSMTTQTRLGERFAELNAAMTAEMQKR MYCTH_2303581 MATLTDRSYFPPLGECLSGNKIILSWRLVATALEDLACDRLRSA AVSAFLRDGYVHQLLREPTKTFAPPTNETKLDFETKTGAINVVPSPNDPYNLDTIKDD ARWLSRNVNINEVAALRVVIIEYQSRAHSHLTGPLSTQDVANVQEAAGVGDAQASAIV ALLNVTTVADADSAWADFESETTRRRRLLATYLSERRSFLAAVDALLAFLLHSRAPGT GVELDPLRNAVLQGAFGFDQNAAKPDTTQLDALAPTYIRTLEGCFDRMQMAPESLDNQ MLTEQFEVDWIRTALTEAIHAMSLIFQILDLKASQFAAPALVTQWFALMDTCEFFEPV PRGHELIAELLMPLHSLVAAISLKLLNVDRTLSYLDQDVDLLEEEEPYLASSDNLAQM HTTIAAAASAGLISTMPVVFAWSLILHQMHVGYQERAERRDLLQNQRAQAGFELEYEP SGRQRRNSAGSIVSIEASSYDVFLVSQQLERNIEPAENMARIATGRGQVYELMADMAV CLGSGQLAAFRPVLGARARLTFQDLLKRSAHYVGYQAEPVSCLLSILSGGSQYWDISA EAPSNEKSALDIYTRMLSDDTLNVQYASQSRNRFPYEFLPFASMCRILSAALVSDNES SELITGLLVKNPSLTLNWDPRWDRSYELVFEEENTNSFRLTKDIDLFDAASKSNRRIL PEEKCTISRGTFGRFVTDVGRVAKLEFEHSTLALFGKRLEVNLMAGAYDTALGYLSAD ELIEGISLLATVLRAETLRSSKTDPDRGLRILTEASRLLSRGRDIMNVVCDTLDSLVE EELADLDGPKTAALSSCLQFLHAALPVCPGRVWAYMARCPLINTDTRSGRLSRITANL DMLAERYDLLLSAVKLFSSLVDSAKTSAVQRRSGISVNSRAKGEENPWIGASDKIVSR VTLSIAQTSVDVFENSATWRFPSEVDRSVMIRDVVGIMHKLMLYTHSVGSTDTPRSLT GPLAPAADYVVESFLSSSSSSLRFQPLLATLLAAFQLPDTTIYQRRAQIVSERLTTVL EFATILLRVADYLNKASAGIQTQLFKSACVIARLPAIRHSFRIPAISLLSALVESAGK SSGEPPSLLGYLGPQVSRSFIQIAAQLDKPFDRVAEVASTWRFFSTILRNRQQWMANC LLTGKTPREALKGEAKISQLTAGSVLSTALEKLRAIKDISSQELLAVLDFFTSAQNYW PWTIFAMQKDKTFLQELRAYVRDLKAPAVVSKANPAEACYQARIAAYIAETFAMQLYH LRQMRQEQTFAAEVVNDLDYFLRDGVAVSDYNSSLHANFSRNFAKQYQGFSVDDFKRT LLVPRDLGSQYYYALDVAESMLSYDAGWAGPRQNGFRREMEAANLNLSLVEAEVALFH AWEYLLLELSICLLPKHQSVARQMLQVAEQCLEANQRPQPPENIFVVLAHSRANLGLT LLQRLADYSLLPKDISQLLSLVSATINGVDNPWSKDQISYFRTLLKVLFVVLRGTKHS NNAAPQKPTAESPVAVTQLVLTTLDRVVARSFRNLAALVHEPDAATTPEDLALITAIL QACLSVPGIEQCQLQVLNIMSSHNVLQVATSLFSWSDRLAEKGDPIYGELALLLLLEL SALPALAEQLACDGLLGHLTSANLAGFMRRANVSPFTDNAGAARCYAIWAKGILPLLL NILGALGATIAPEVAFVLNQFPNLLRSSVDRLEAPGLSRTVPLSSRDAPGAGPHYFVA LVALSEVHSLALLTRVLAALRSGNARDIPEVVWDSGAVLENVEFWLASRKVLRERLLP LNPREAEWRGMKASEGSGCETKLEEKAVGLLEGIRDVLAEEEE MYCTH_2303583 MPAASDIVDYSPHHPDPSPLIPTATNLILIDNYDSFTWNVYQYL VLEGANVTVFRNDKITLEELIAKKPTQLVISPGPGHPNTDSGISRDAIKYFAGKIPIF GVCMGQQCIFDVYGGDVSFAGEILHGKTSPLSHDSKGVYAGLPQGLPVTRYHSLAGTH VTLPECLEVTSWIAKEDGSKGVIMGVRHKEYTIEGVQFHPESILSAEGRVMLRNFLHL QGGTWAENERLQRATEGSKKASSAPDGDAKTAAKPKNNILQKIYAHRRAAVAAQKEIP SQRPSDLQAAYDLNLAPPRISLVERLRNSPFDVALAAEIKRASPSKGIFALDIDAPTQ ARKYALAGASVISVLTEPEWFKGSIEDLRAVRRVLDGMPNRPAVLRKEFIFEEYQILE ARLAGADTVLLIVKMLEPEVLERLYKYSLSLGMEPLVEVQNSEEMAIAIKLGAKVIGV NNRNLESFEVDLGTTGRLRSMVPKDTLLLALSGINSHQDVLDCKRDGVNGILVGEAIM RAPDATKFIRELCAGPDAPAPESSSSAKPLLVKICGTRSAEAAIEAVKAGADLVGMIL VPGTKRCVSHETALAISEAVHSSKKAAPAATEAVHVPQTATDFFALTGETLRKRAPLL VGVFMNQPLAEVLEKQRLYNLDVVQLHGDEPLEWARLIPVPVIRKFGPGQVGLGTRGY HAVPLLDSGAGSGQLLDVDAVKGALERDQGLSFLLAGGLGPENVAQAVAALGPLAERV LGVDVSSGVEADRHQSLDKIREFVKAAKAIR MYCTH_2117942 MRSRKEKPIFRGLTIAAAGDLGGSAQWTDANAARWVGLREGRFV REMSDEVTHVVCSGEEFRKGRGLVKMALKRPKTCQIVTLDWLEDSMLRGKRLDEEPYS HLRALRRERERERKRLMVIKGLEKAVKEVNPQLYHLYRDHTFFQYQVVITRDNEEAGI QGERYILSIFESNNSKPRMYWFVAKYYKKKGDAQPKIHRPSHAPGVFSREFALFESFF RIKTGIPWAQRLVKAGTTDKSFFQYQPPTGGKPVG MYCTH_2303587 MARLMPGITATSIPRRADPLTDLTLLLSRLQRTILHADAEREER LRESEFEREKAQANINYARSLLTKLEQETLAVKIHSRRQEVQADLVRKREVLEQLSER LSDLAEFAAAGHAGNGEDEDSSDGEDILADIIVTPSESMESTKSPGIVPEESNEVPEE VSEPIPTALDSPPPPPQTNEQSDTPSQPEQLPPSTTVHPPSLSSQPPPPPPPPPTTTT TTTTTATTTSQTLRARQTEPPAPSTPSPAAATTSSALFGDGKRRSSAGATTTAISTTE AILDHQRAEQDALSESILKLASELKASSRAFSASLEEDREVVERAGRGLSRTGQQLEG VTRRMGLLQRMTEGEGWWGRMRLYAMVYGLMVVLVLVVFVLPKLRF MYCTH_2303590 MRMCLTSDLGGYYTGALEEGRDQFGLKGDFVTSPEISQVFGELC GIWYVAEWIAQGRQSKGVELIEVGPGRGTLMDDMLRTIQRFPAMASSIDAVYMVEASP ELRVAQKNLLCGEDAPMTESKAGYHSVCKYNALPIVWTETIKSIPIAPEKMPFIMAHE FFDALPIHAFELVYVPATQTATPSPTDTAAPSTKTSHPTLQWREMLVSPTPPGSTHES LHTPASQSRDTPPPDFQLTLAKAPTRHSLYLPELSPRYRALKTTVGAGALLEICPDAS LYAADFAARIGGSPQHPKPKPSGAALILDYGPGDGTVPTNSLRGIRRHRRVSPFAEPG LTDLSADVDFAALAESATRASEGVEVHGPVSQADFLEWMGIRARVDMLVRAIKEAPGG GGAAAAAIDKDKRVEDVRKAQARLVDRGPGGMGKVYKALAIVPENEGRRRPVGFGGDV VV MYCTH_2303593 MRRWASSLLLLLLSLWTAAALEIKLDQTDNQRCAGMYSKSSWGG PVDPYIHVKFTGVGKDQGDDPMVSLLIFDWKDQDFLNVLPDGEDRAAICQPEYVEAGL CNETDIGKFLVSPNATDQSVNVILTKAVHLKDSAPIKYPITKTGYFCVVTEKFGATTY EAIVEFRNAYGELPATQIPKLPFYGGITIAYALVLVYVRRTAGPGHGLTTRRFWGFLY FQHRSDILAVQNYITAILIFLVVEMLMTWGFYDYLNRHGSNVGSQVLLVVVAILNAAR NSFSFFLLLIVCMGYGVVKHTLGRTMIYVRWLAIAHFVFGIVYAITSLVVNPDTAGPL VLLVVLPLAGTLTAFYVWTLNSLNWTLKDLRERKQHVKETMYRKLWWCILISIIVIFA FFFFNSFSFASASDPDYVPFHWKTRWFVLDGWLNLVYFADVAFIAYVWRPTANNRRFA MSDEIAQEDDGTFEIANLDIGNPDESDDEDEEAKIGSGKQQGQNGGQAQSSAAGGQAQ QSAQQQQQRSSQPSGAASSAARKPSQPVSRESLDGETIFAVGEDGKFSDEEDDDNEEE SGQLVKGRK MYCTH_2303595 MGKGTDKLYINHSEWSSTDAYSASVGANARKQGGGAAHASFKRL PFHFCAASLQPFKNPVCTPQGTIFDVEVIGAWLEKHKTNPVNGEPLAAKDLIRLNFAR NADTAAQDDRNGAPAPTDGKGDFIDPVTFKVFTDNTHIVAIRHGNYANVFAWETVERM NIKAKMWRDLVDDVEFTRKDIITLQDPQNAASRDLGQFRYLQEGQDAPLLTKEQAEQR EQGGINVGALGRLGDKVLRAKEAVERARQARATGGDVNRITKSLANNPSSKTSSSSTT TTTAAANPASRAPSAIQEKKLAPNAAIYTTGRTAASFTSTGLTPSTSTERAILSDEEW MLRPRRIRHKGYARVETNLGALTVELHTETAPKAVWNFVRLAQKGYYRGVRFHRNIRN FMIQGGDPTGTGRGGQSIWGRAFADELEGPHVHDGRGVVSMANKGKNTNSSQFFITYR PAKHLDRKHTVFGRVVEGADSTLDKMEAVPVEEGTNRPLQDIVIQEVVVLIDPFEEFL KEKNKKEEEDKSREEARRLGGNEDDRTTWTGKRIRADGAVVGDEKAKGVGKYLKDAIA AAAAAQQDDGGGAESVETWEEPAKKKVKMGGGFGNFDSW MYCTH_2303596 MVYCGKPSKGCSNCRERKIRCDQKEPGCGQCEKRRQRCPGYRNL VDLMFRDESSHVIKKAKARARKRANLATSPPTPPPDSCCLSATPESRTRHLSLVVPPT PACSSSADRDGDHNDDSTSMLMSPESGSWPVTPPMAQLYNIPATCQEDGFAYFFSRYV TADETVSCQRFDFLREVWKPSHDAHKDGVLASMTAVGLMGLASTTQSPCLMDAARKSY CTALRLTKHALQDPTEAVKDSTMLSVLILGVFEIMAENTQKVITCRAFQEHVKGAAAL ARMRGPAQFRTEAGRRMFSMLCERVAVSCAQRNEPMPEFLIELGNEMLRTAENEKPGS QLMELKWKVLKLRYEMKSGFLSDPATIVDRLLSIDEEFENLIAELQPHWKYRILKVKQ DHPAVFGGICHRYTSIQHANAWNHIRTTRILILETILAEIWRDFSSFPPTLDSARYLD IFHKARRKLKHIVLDVAASVPQQLGLMNSADGSIGRGDRDSDGDDDCESAAPIATVEI VSTPSPPVSPSARSSDSASTSGLSDPLSATGPRRRPGGGLTIIDVVKGRDAEDEAERY MLLVSATSTIVWPLFVAGMSTVCSADMAAYAVGRLRTLYMETGIRQADAVANMLEEHD MVAGLEVPVAGAEDGAGVQAGGGPPWVDMVGLGGSGHEADTGPGMGQDYDAMRPMPLT SPGMTKPDSDLVWV MYCTH_50254 LIIITGLPTSGKTTRARQLYDYLSTRLTSQSSSQHQQQQEQQQQ QKNPSPNPSSSPRPPYRLHLISDSTLSIPRTVYDLSPASLPAHVRSANASEKDARAAI YGAVKRVLSPRDIVILDGLNYIKGWRYQLYCEAKNVRTGSCVLQIGAGVERARRVNEA RLARKRTADLEEGGSGDGDDEEPYEPSNWENLVFRYEEPNAMNRWDSPLFTLIWEDDE AQTRAVFDKIWDAIAGEGRKPVKPNQSTVQRDKDPGGDYLYVLERETQDIVKKILERQ PDEGGGTVALPRASNPAAGVQEAEGESDLVVELPGKKVGLPQLQRYRRAFVALNRGGI GLEAVGKLAAERLRESFVGYLNDAFEKDG MYCTH_2303597 MSTDYAYDEEGVLWPFFVFTLALIVTIPLTFLLVKRSRDPAASF PRIQTSFKHAHTDTVDSLRKQERRKDRKIWLLFAVIAGWAVMGYMLYLIQTTDAPTHK IWNPYEILNLPETATEKQIKSTYKKLSLRLHPDKAKPDPSKNETIEDLTARYVEISKA YQALTDEEIRNNYIQYGNPDGKQGYSINIALPKVIVSDGNGKYVVLLYSALFGVLLPY LVGSWWYGTLRRSKEGVLMESANRIFREYRDNIDEGGVVTALSTGKEFEELFKGDKAE SGLSKVESRILAEGELTPLVGGLSVKDKETLEDLDNGPRRKTLALLWAYLGRIELDDA ELNRAKFAVAPIAHSLNKAFNAIALAYMNTGPLLASYYTSQLLIQALPPKSSPLLQLP YFTPAVVRAVEGDSRIHTSVQDFMDRPDAKRRSLVVGKGLLSEEQYREAVSVAKQLPF LRVAKAYFKVTGERFIVPSSLVTLVVKGRFVPPGSEKVPDIDPNDLEDVDPAEDDLEA ISGRKTQRLVGKDEKTGKPIYESVEAEHIPAPLAAAPFFARDHSPRWHVFLTDSKQGR VAVPPFTFAQFDRPIFEADGRTPTFAMQTLKAQFQAPPQAGHYTFVMHVVCDSYVGFD TKMEVTLIVEEASKAAAMAAEDEISEPDEDSIAGIMHAAKGGQTKKRQPASDDDEDSD EESGTDDDDDDTSVTNTDTEPEN MYCTH_2303602 MSVPSTYKPSPLGYGTGSARSSPFRRPQSPASAAPLRQTTPSAT PTKEGFSEVRAKFMGSSVTSPTPQEPRTSRGHATVEDGLNTHVLEQRSIPRPTTSNSV GHGNALSQLQPSQVRTMREAFQILDRDSDGVVTREDVADMLNQLGLPSSAGDISHFFP PSAPQTMTMALFLNSIASSLAALSPSSELLSAFSAFDDDDSGQIDVAELRDALLHTAP EPGQEPLTALDVEKVIAGFTGRRAFSKHTAAGLGKRGEVFRYQDFVNTIAGGNGTAAQ APHDSEDE MYCTH_2303605 MATSQFAPNLLKRTNSAVGYSNGTALQHRRSLTTIPSSRPTRSP QPPEMDQTSDPSFKGKAPSTRTGASSQHSSLSASAQPRSDAALRRPRKLRSQYPRGSS ENHVEYILVASFDIDRGPVMEHQYPVAITGDEHMLAELMLPDQAHVRNQDWTIFFLHK DTSQEEDDAERRAKEERRARRRRKRDREKGIIHESDDEGDENGGLDDDDDDDDDDDDD WDDDLSTDSEPESSEGPPLVYVLNLVNTKQDKTVKRGAVVKAMAICTRHPFLHIYKPL LLLALDEYFKAPVPETLAMLYNAVNEMDLSLMPKLNWLEKHLLQSSDNKDLFVEKFEQ MIEDRIAGTSGADVGQPLDASNAPPPRPTIFRSGSKAHIEGHAAYVVPRDTHEFESKV MYKGIPIPVKVPVAVMPEIVGDFSLIKLIQNFSDPHSKSPQTFPLHPHLTTNGPNTHP IIVLVNALLTQKRIIFLGHNMPSGEVAEAVLAACALASGGILRGFTRYAFPYTDLTKV DDLLKVPGFIAGVTNPTFEHHPEWWDLLCDLSTGKMKISSKIEAAPITEGLVYFQQQN PAYANLVHSSSSSGSSSNDLTGDAAFMAEILRCITTRAGERVIRAKWRAWVVKFTRIA AAFEESVYGASALYIGTDEQDANLSAAAHGHGYVWVDEATKAKELAGNVTRIEGWRNT RSYYSFIQDVARLHHVRPLKGIDLAHLHDRLRTQRLTPEQSKEIYHTLAKYVHSYDEI CMLLSVAPESQAGLFYIALGLFHKDRDVRFKTAELLERVAEHEAGQHWWRVLSRFEKL AYVRIKREAEAEMKAKLEREEKDAFGRDIGDRRIS MYCTH_2138853 MAFETKATIASFGGKLFKLSHQSEETTTPMAVNLYLPPQSFASD PPPRVPVLFYLSGLTCTPENCSEKGFFQHRASQLGLAVVYPDTSPRGLGHPGETDSWD FGAGAGFYVDATRDPWRRGYRMESYVARELPRALFGDPQYGRFLDPDRVSITGHSMGG HGALTLYLKNPGLYKSVSAFAPIANPSRCPWGEKAFSGYLGPDRDEWKKHDATELIRA WKGGDLKALVDVGTADNFYKQGQLLPENLEKAAKEAGVEGLTVRYHEGYDHSYYFMAT FSDDHVNHAAKHLGLL MYCTH_115983 MLFSATIAGFLLAFLAQGSPVPTEHNKIGGVLICNDPNGQGHCE YAVYELEKCYNLPPALVNNAATFAPDDAPFYCYPYLTACGGVCKSPTGCTMGAVSSDY EHKFNLTEVGWNKYITSFDCHLNKTGDATSQ MYCTH_107796 MRPALSLVALAASAMAAHIPIRGERGLADRSDGYGHHKPDDAYA YGGEKHDDKKHGNGYYALPRPDGYGYGKPGDVCKSGKPDDPYEIGKKPTGKKPDNSNE SESGSDSGESDSEDESSKDPKYPDQDDKSGDKFKDGNYADKYDDKFKDGKYDDKHDDK YGESDSGSGESDSEDESSKDPKYHDQDNKHDDKYGDKHDDKHGDKHDDKHDDKHDDKH DDKHDDKHDDKHDDKHEDKHEDLKRDLSDKHEDSYGKDDKKDGDSYGKDDKKDDKEDS YGKDDKKDDKEDSYGKDDKDDKEDSYGKDDKKEEDSYGKDDKKEEDSYGKDDKKDDKK DEDSYGKDDKKDDKKDEDSYGKDDKKDDKEDPYGKDDKKDDKEDPYGKDNKKDDKEDS YGKDDKKDDKEDSYGKDDKKDSYGKDDKKDDKKDDKKDEDSYDKDDKKDSYGKDDKQK DDKDLYSGKKDSYKDDKKEHEKEDSKGEESGSEEEESGSEDEKGLLGDDFDIFNDDAE ESEDKKKKSEKDDKKKHEKEDSEVEESGSEDEKGLLGDDFDIFNDDGEESEDKEKKSE RDDKKGKKEGSEDEESGSEESGSEDEKGLLGDDLNIFDEDDIDIFSDNGKESKDGEES ENEKKKSEKNDKKDKYKDDKNKDVYKDDKKDTFKDDKKDKYKDDKKEDKEGSSEDEEG GSSEDEKGLFGDDLDLFGDDDFDLFNDDDEKSEDEKSKKDKKKDSNKDDKKDIYKDDK KDKYKDDKKDKYKDDKKDKEEKEDSSEDEKGSASEDEKGSASEDEESDGLFGDDKEES GEEDESKDDAWLELRSLNDRTYDDDDGDDDEYGYGEDESPEEDYETVTTEVIATYTTV CPVTETYTKPGHTYTSTYTTTSTVKTAVPTTIVITKTEPPVTKTAGEVVYSTRTKLHP VTETKVVGGSTVEITWTSTSTVVTKVRQTETIYTTSVATEYETTDVYTTISCPVTTYT TVSKGSTIEVTKTNTFTVTNTVPHHKPHHTPSKNATTSSYPTYAPPPIVTAGADSLVV NGGKAVALLAGMFGAVVLF MYCTH_2303613 MSSLRILLIGNGGREHALAWKLAQSPLVESIIAVPGNGGTARLP KTTNNTSVAADDYPGLVDLARKHGVNLVVPGPEAPLVDGVEGFFREAGIPVFGPSKEA ARMEGSKTFSKDFMKKHNIPTAAYENFSDYDKACQYLKSIKHNVVIKATGLAAGKGVI IPQNQEEALKGLKEIMLDKSFGSAGDEVVIEEFLTGDELSILSFCDGTTIKSLPPAQD HKRIGEGDTGLNTGGMGCYAPTKLATPQLIARIEREILQPTIDGMRKDGFPFRGCLFT GLMITPDGAPKVLEYNVRFGDPETQTVLPLLKSDLAKIMYACAGPVPYLEDVQVKVSS KFSTTVVVAAPGYPESYPKNIPMRVANPPEGIHLFHAGTKLSGEGDALLTSGGRVIAA TATGDSLRAAVDKAYEGVKLINFDGMYYRRDIAHRAFRGTRAADKLTYAQSGVSIDAG NELVARIKAAVATTRRPGADAIIGGFGGEVDLSAAGHPGAPIIVGAIDGVGTKLIIAQ KMNKHDTVGIDLVAMNVNDLVVQGAEPFMFLDYYGCSRLDVSVATSFVEGVAKGCIAA GCALVGGETAEMPDLYTGDDYDAAGAAIGVMRASQRLPRKDAMAEGDVLLGLASSGVH SNGFSLVRRIVANRGLQYTDPCPWDPAARSLGEALLTPTRIYVKPLLAALAQTGDAIK GMAHITGGGLTENVPRMLPAHLAGEIDVGSWEVPAVFRWLAQDVEPAEMARAFNNGLG MVLAVEASRAEEVARVLEGAGEKVWKVGRLVKRGPEGCVLRGLEAWA MYCTH_2303614 MADFLLYVGYFLAGYTALTLFFYMLSAIIPKSAFVARALASYLS LVISSLYGVVISVVLRASGRQQLAQWAVARSFKYLMGFSTGVTFEVEDPKGHLKNVRP AVFIGNHQTELDVLMLGCMFPKYCSVTAKASLKKVPFLGWFMALSGSVFLDRANSKDA RQALQGAANEIRDKRQSVYIFPEGTRSYAKEPMLLPFKKGAFHLAVQAQVPIVPVVVA NYSHVLWIKGLVFNAGKIPCKVLDPIPTTGLTAADVDELTRSTRNLMLNELYALTEKA RGQPVTKVEADGDNGVAKATGTDAKPAA MYCTH_2303617 MAASRRGQPPLSVRLAPPSAALADLPPISALFLIDFDVKAGYTI IWKRAVPGLELEGAVEYKSLPSGLHTVTDDLIYFVHDGSHAGLSAFVNTPTDEEETRH ARMISVGVLVPLSHGRLGRAWRHAEGLKDLAAKLAADRKQTQLLEQYWSRNAASETTA PQPLKDIPLESPLLGIKTARPGLGKGHARNRSASDGTVLIPPGHCLSPFHPAWSLTSL LDTFGPLVFPIHRAALLRKRILISCHAPVHEVCNFVYDISVLSNIPLSVCDVIDPAAP VQRLRPLFCVGVHDISYLLESQVATKRGGPTVDPDDYPSNSEDSSSGWVACTTDSILA MKEGLWDMLITMPPPYSSNAKQRVWPTVECPKGVPVKATQRDLRRFRSLCLGLARLAP HPPSPKPRSSHSEASDSPTPALRLSQTAGSRSGTAAEDTDAIVEPVTWAALAYNGFMW WASAGEKRHSDELDEQTHDASLLADLIPPVSPAVQQQQQQQPRERRPSLTAAAAPSGS GMIPSLAPLTGRLSDEAEEEQDGDDGSEAQARIELAVVAYFHRLTTTILSVLADIVDS TDDDDLLDLDLNDGHEAYTSEYDSPVGAAASSSGAGDRGSSSRRKTGQRRREEEEEEE EEEEEARLLGATARAAAAAAGSGDERNRMGWVRVDSDALAEMGLDVWSQADADFVREV SVRYFNRRAHVETKGVEVCGLRVC MYCTH_2303618 MLLGVLAQVDRAAAPFAPAARETSAAQQQQEAAAAAAMTTARAT ATGARSGGSGGAVAVAVETNRNGNAETDTAMDVDVGVAVSREEVMASIERDAGPRTST STPTPRLTSGSDHTDPAGKAHPRSASLPINTLPTHRISHSSETPTAKTEPASKESSTN PDPAAIKPKKKKAGKGDEFDDIFGGLDSNSKKPKKKKRKKGDEFDDIFSGL MYCTH_2303620 MSMYPGHRGMGGVPPANGGAGRLNELLDQIRAEFESHIRQTETY EHQIQAQVQEMQMIREKVYQMEQAQMGLKQKYEEEIAMLRRQLENRGGPPGPMNPPPQ HVGPPQPPPQIGNPGNNTVIGAILSGQVTQGGLAPPPHPQQQEQQPSHLPPAPPGLQG PPPPPPPPSQQPPFQQQYPQGPAPGGFPPQPPQSTASPGPGNKRAIGRPPAAGPATPQ INTPVPYNGPGQSPQVPTHPTPDHTRMAQHPAPPPAHTNALGDLELERLPNHIKKVRD DWWAIFNQSVPRVLDVDLVHTLQHESVVCCVRFSHDGKYVATGCNRSAQIYDVNTGEK ICVLQDESIDLNGDLYIRSVCFSPDGKYLATGAEDKLIRVWDIAARQIRTTFAGHDQD IYSLDFARDGRTIASGSGDRTVRIWDLETGSCNLTLTIEDGVTTVAISPDTKLVAAGS LDKSVRVWDVKMGYLLERLEGPDGHKDSVYSVAFSPNARELVSGSLDKTIKMWELTTS RQIGHGQQPPLKGGRCIKTFEGHRDFVLSVALTPDSEWVLSGSKDRGVQFWDPRTGHT QLMLQGHKNSVISVAPSPVASPTGGFFATGSGDMRARIWSYTRLDRRP MYCTH_2315050 MDYLLYSLTFLAFLFATILYLTRARWVPPFQDRLHKAHFPGSAY LYSHLGPGSFEDDIEAGLSSSNFDLTGNVEGGDSRSGLDDTAKREILRIMKKRRLKFD EARKVYMEQRFKENGIGADGRPRDPKFVSFS MYCTH_2315051 MSNVSADLIWEVSRLQNSYLVKTKTGQFSRDPLNLTNLHSRKYA GFANDKAIGISPNEKGGVKVISKKPAATNKPASSLYTVTYGGNKTSRKTYRAVANQAA KNGYRPDLRAAAVARASAIRRSQRPVKPEPERKLRGNAAKKAAAAKQ MYCTH_2315052 MVKAVVAGASGGIGQPLSLLLKLSPLVSELALYDVVNTPGVATD LSHISSNAKTTGYLPANDGAKTAFKDADIIIIPAGIPRKPGMTRDDLFNINAGIVKGL IEVAAEVAPKAFILVISNPVNSTVPISAEVLKAKGVFNPQRLFGVTTLDIVRAETFVA EIAGKSNPQELTVPVVGGHSGETIVPLFSKIAPSVTIPDDKYDALVNRVQFGGDEVVK AKDGAGSATLSMAYAGYRFAEKLLKAAAGVKGLVEPSYVYLPGIPGGKEIAEKTGVEF FSVPIELGPNGAEKAVDILGDITDKEKKLLEAAVSGLKRNIKKGIDFAHNPPQK MYCTH_2091974 MSFDPVMPPYNASDPAARFLSASCLDFLLIELVPMAYRVTNELG AAAREQEGLLQGGSSGSAPAGAGAGAGTGTGTETTVMDEQEEREAVFWRLERIGYRVG QGLVESRFSRDRPRFNDTLDVIKFLCKDLWTIVFRKQVDNLKTNHRGVYVLTDNSFRP FSRMSAEVGSQAVIRAQPFLWFPCGIVRGALAALGITATVHAETTELPGAVFQIKTVS TKP MYCTH_2138861 MDDKAAEVQALVRTIQVPAISKATLQSICAVNGIPKTGNKSELQ RRIIEQINSCSDRRDWARLHEIRESIVNRAPIFVTPALVSQTQNQPQSHSRPHSQSPL SHLQLRSQPPSHSSYYQAAPPPTATASAMGSYSQPGYGAFDGLLAQHPPPPPYQQPPN GSTGGRMRPNAGLVPPTIAYKPSPFYELKYQVGDVRTLEIMTAHRNSERISVKVQDHP ALQLCLTDPSMRVMVMCTAGNVGPQDIAFPYQCELKVNGGDIKANLRGLKNKPGSTRP VDITDALRFRPASYPNNVELTYALTQKKFYLALVVCKAISIETLVSQIQKKIRKESVI AEITKSAGDPDVIATSQNLSLKCPLSYMRLKLPCRGISCNHIQCFDATSYLQLQEQGP QWLCPICNKPAPFEQLAIDEYARQILTQTPESVEQVTIEPNGEWAVPGAKKEVTPDHE TSYLDDDDVVVSEEVTKTARRASTGPSQAAAPTPHLATPTSVASRDASAAPRSSNKRP APEVIDLTLSDDDEPPRPVKRPNQGFGLPSGGS MYCTH_2315056 MAKSARSRVVKENNRRLKQNVFGPVEAARAERLSAKLIALATAP KPQKDIEMNEEPANETKEVAAKEDTMDVEDAKPASKKLSNKRKVEKRRRKKSSIVFPM RGPKRNKK MYCTH_2303639 MPRLKAITTGSIPSFLDVVLNLDEGDESSSLASQDTWLAQMKAK GTGKLVMYGDDTWLKLFPGTFDRAEGTTSFFVSDFTEVDNNVTRHVAGELKNDDWNTM ILHYLGLDHIGHKGGPRSPHMLAKQREMDGIIRQVYTAMESESHLRSTLFVVCGDHGM NDAGNHGASSAGETSPALVFIAPKLRALGRKLQSPVPEDESFQYYGTVEQSDLAPTLA ALLGFPVPKNNLGALISDFLPFWPNTRDQVQLLMRNARQIKEIVLATFGPQIFETDPR VDGLSEPNPDYQELAHTWRDLAERYGQADAELIPSINKWLHHAQEVLGSMASNYDMSR LFLGQAAAVASLVAAVIAAVRSANDRAASFMPLSGVVLAYGIMMFASSYVEEEHHFWY WATTAWFAYLGVRGFNRGNTSARLQTISCTALILATRIIRGWNQTGQKFAGGPDIVKT YLNTNPALLWYLVSATYLWVHQNMVYGLSGLPIWLNYTIATGLVLAAFTFKVAFTLED APELVASFARYALRLNFTQDASLIARARAVFIGLALVTAVTTLFMFARRRVSLGQSGS STLLTLYTLLSLTQSRPQNIPLYLLFNAQYLVLSSPPLSGALSPAELSTTSLLLQHAA FFALGGTNSIASVDLSSAYNGVASFDVAAVGVLTFVGNWAGSVWWALATYVMLLQRRE AAAGGGRGGGGVVGTWRAHVGVLTLFVAGSVVAVMAACTALRTHLFVWTVFSPKYLYC VAWSLGQHLVVNVLVGGVFYWLGVVEGGK MYCTH_50142 MPPRASTRSTRSSTRASTASRASSTVNTDVPDEGPDNALRTQIA SVFREAQRTTATHRKLVVTLRKIQEACCYEPTSVKKSASTAAATAADFDEDDFNAEFV RCVLRVMPIKKSESVGEKTIRFIGLFLRHAVDKDNAAVGELDPDASTMPETPGTRLTA HLVETILPLMTAKDKFVRYRSTQLISHVVNSLDAIDDDLFQKLRAGLLKRIRDKEAMV RAQAVLGLGRLAGNQGDAEPDSDDSDDDGSSGLLERLLEVLQNDPSADVRRSLLVNLP ILPSTLPYLLERARDQDAATRRAVYSRLLPALGDFRHLSLSMREKLLRWGLRDRDENV RKAAARLFRERWIEDCAGTQPPAETGQPAEASPPNLDALLELLERIDVVNSGGENGVA LEAMRGFWEGRPDYREAMIFDDNFFETLSAESVFVVRTFNDFCRNEGNGKFESLVEEK LPEVTKVAFYLERYIKVLIDAIKRAEEQEVEDEEEEEDTAEQEFIVEQLLHIALTLDY SDEVGRRKMFSLLRQTLSIPELPEEVTKLTVAALRDICAPDAAGEKEFCSIVLEAVAD VHDTIVDDVPENDDESFHSARSEVSGDTTPTRSGKSKTPELSEEEAAQKAIKEIMINM KCLHIVQCMLQNVSGDLQQNDHLVAMLNNLVVPAVRSHEAPVRERGLVCLGLCSLLDR SLAEENLTLFMHFFTKGHTELQITALHILTDILNVHGAQLLSSNPALLKVYVKALRSG AKHPEVQAAATVAVSKLLLGRVVSDHDVSAELLKTLVIAYFEPASSGNQSVRQALNYF LPVFCYSRAENQDLMRCIALDALHTLYNVREGFDDDDADIDDEMVSLSTIAACLADWT DPRKCYTPPSAGADGLGTDAAAEKRHVNADVHLDFAHDIIQRLGGNAPREEKKIIAAL LGKLYVSPASSEDKTREVYAAICDAVDNQLVSDTASRNALYKIHVSLGKIVNSLDAAA AASEAGAAGAGAPGGKYRRSVSRASSVGLAPSEDRTVVQPDVKIKEEEMEDEDMVSDT GTAVHRSNDQSLVSEALSEDGGEG MYCTH_2303648 MGNSDKKRQREAEEAPAGPGESAAQTPDPPSKKARLDSHRQLFV RSLPPDATSESLVEFFSQHYPVKHATVVLDPKTKTSRGYGFVSFADPEDAAEAKEKLK NELFHGRRLQLDIALPRLRDASKVDPEVVSKTIEEKRKREAELELARKPPKLIIRNLP WSIKKPEQLAKLFQSFGKVKFVDLPNDKGKLAGFGFVTLRGRKNAERAMEAINGKVVD GRTLAVDWAVDKQTWEKLKDAADQDEAKAKSPKEKKSEKAKSDKKEEDEDDDPNMTQE DRDLVNFFKNYGDNLEDEEEEDDQESEEDDEEKSHGEEDQDGDSESGEEEEEDQEEDE DSESDVEESDDSDDEEDKKKPKKPLTDNSTTIFIRNLPYSTTDETLKAHFTRFGRVRY ARVVMDRTTEKPAGTGFVCFVREEDFKACLKGAPRHKPAPMLAKHSVLQDETADPEGN YTLDGRVLQVTQAVSKDEAARLAEESKGRRKEDKRRLFLLSEGAITPKSPLYSKLTPA EIKMREASAKQRKKLIESNPSLHLSLTRLAVRNIPHSLSSKDLKALARRAIVEFAKDV KEGRRLPISKEERERGGEADREAERRRREKGKGVVRQAKIVFETAQGSKVDEKTGGGR SRGYGFIEYWSHRRALMGLRYLNGHPLKNEAGKTPRLIVEFAIENAKVVQRRRQQEEK SRMAKEQKAAGGAGKDGKGKVKGKGNDKKEKGMEQKDGSGRSKGKGSKGRDGKDGKGG KPGKGAKPGKGGKKSAAEAKAGVPPAKQGKKKADEKLALRTKIIARKRMMRKKKAAMR AGKR MYCTH_2315057 MTKTEDGDLYNNNNIRGKNNNNNKNSSSRKSSESNPFIRFKQNV DSSIHSALSTLRGSAASAGAGAGAADHSSRDPDNATSTTMATPTRTTMTTTTTTASTT GTDTSLSMLTLSEQHLESRIALLAGGTPAEATLAWRLFLTRSAYSPLRLEHDPELGRP GRKPVPRDVDLSSSSSAPPPPSSSSSSSSRDWDRDSWPWDVFDASRGAAGGGGGGGGS EFGWLEAFEDLMRVSSGLELSDLGRRRQQHHHHVGFLGGGWGGLDHFFHPLPWSWHDR GGMVGFPGGWWGGMREVAWLERMRSRGLTEVLFPVYDPGMGYQSPRTMAEWVERRRAE EKREKAAGRAWEELLGEGRRAIEEADSWVEEAKRDMGKEIDSWRDVADEKGSSFFDGI GGVVKTLGKVLEDEVKSLQRFGKERATKQKDDDAVENQKTPETENDLYSVIQSAFHES ERSLSNFFKSISEARRSGTLAEPKPASPPRVDTTEVVEDGITKKTTKKEFVDEHGNAH SKTETTWTDDKGNVIMRQVHSSVGRTDRWEKTITPAPAKHDTETSQEPKEPPKEPPKE GGWFWK MYCTH_2091985 MATSQPSQPLQPTTPGQTSRPDADAVLDVASYNRGDFELPVVTV HPSQHDGVRSTVFQRLGRPHDYDLGRLGRLPFEIVSLICLELDVSSAVRFSHVNRTAR EVLASIREFRHLGAHALECLCVLLRTGLAPHVGILDVYSALTTQHCALCGSFAGFCFL PTATRCCLTCVASAPATQMSYLSRVADAAGCSRTDLERRLPVLHTLPDRRRCIVAAQH ALQSLREAGLEDPEEAISRWPDSLTLRFQAATSLPFLDRATGQAEPGVSCKGCQIAFE SDFSDDHLELRDRFYSKSGFLEHFDDCEPAQKLWSSSQQGTAPVEEPQWTGLGRTFTA PCGRRHSLARSEPGGAMRSWLPPPGLAHRSLPSPFPSSLTALSSDDDDSPEGELQNWS SLIGIVTAICGNVLIALALNVQRYAHIRLHRKRARIRERARQATRHAGAGSQGRGSGQ YGAAISSSRASNGTFNGALDRYVGARYNAEEDARDSSETEPLAQSFHSDGSDGGDGDG DNGDYSNFDTPSKISTSYLKDPYWWLGQVLITIGEMGNFLAYGFAPASIVSPLGVVAL VSNCVIAPIFFKEVFRQRDFWGVIIATTGAVTVVLSAETEETKLGPHEVWDAITTMEF EIYMAVSCALIVLLMCLSPRYGHKTILIDLGLVGLFGGYTALSTKGISSMLSSTLFGA FATPVTYVLVFVLLFTAIMQVRYVNKALQRFDSTQVIPVQFVLFTLSVIIGSAVLYRD FERTTAQQAVKFIGGCLFTFFGVFLITSGRPRQDDDEEPALSDAEGIEETIGLAEQDR ASPPTPPQPRRRRAASRADGSSRASRVSFSDVDEHISDQRTPRSTPSLRKHFVDSRQY QPHFEDEDDDEQALLLSNPWQE MYCTH_2303653 MGLFRRNTGGSAAAGSSSSSAPVSPRGLGRKSTERANAPAMCRT STAGTVGSETTAENRLRRRSSTFSQYSFSEAQQDFQEETLDPGPNPKSTSRRWKSWLP VMFALVPPFAGLIFKNGTAFFSDLILLCLASIFLHWSVTGPWRWYDAAQQVREDQEGA LEESFDSPKGKAPAKSDSESESWRDTPQAAEARQERARQLERALIRLRIFEVLALGAC FIAPAIATYFLYSVRYLLSRRSEGVIANVNIGIFLLAAEVTPLSHSIKLILAHTLHLQ RIVHSNPYRTVRMTPSRYRALLARVKELEEQMLELAERKPCEGCDCGDAQKQQAQARQ MREQVTRDVRAAVGPDIDSVIRAVRRYEKKTSTLTSETDQRLAELRRSLDDVIALSAV VARKKAEGWGILGKLAGGGWFVATLPVTATVATTSTVMSPVLRGAKWLAREGKQWLDE LDDAHEGGDRDGRHQQRNDQVRDQPVKQRPVAGGSSLVPRSGTPTLRYA MYCTH_2303656 MAPPEDAADGFTPDLERGPAPLNQRHSTASGISGIGSVISSSDS SIMGDPDQPPDHGEEWGPQHPCYPHLNPHVPIDSPEYANTRIIRVRRDWLLEGDLAPT FSNLYPDILDPAGVSEQEFRRVIDKLNTELIQIFNPYSWRNILDNALGLATGWLWDDL GFTSAKMRLRKLEQWIEQWNSEMEKTVGSEEGVIPPKIVPLRKTGYMTVWPPNSASGV RMLTVAA MYCTH_2303657 MSSPPSRLRAVLGHLLPTHSSTVSKDGNAPPPHLSHLSPTFFLE RAAAIEPRAEAVYHVTANGAILRRSYAELADRARGLAYYLRKKGYRRVGILAPNTPAF LESIFGVVAAGGVLVPVNYRLKEEDVRYILEFAEVDCVIVDKEFEGLLGGFRRAKPGV EVIVDLDTDATEGELCGPFDEAVLEGLRYDRDTGNKGWTDLVSQTVANEDDMLAIPFT SGTTSKPKGVIYTHRGAYLAAMANIVESGLNVPDGRCKYLWTLPMFHAVGWTFPWSVC AVRGTHVCLRKVDYALIWRLLKEEGVTHFNAAPTVNTLLCAHKDAEVLPRPVRVTVAA SPPTAHLFEQMTNLNLLPVHVYGLTETYGPITRGYLLPEWDSLPRHEKFANMARQGHG FLTALPARVIKADQPEGVLIDVARDGKEMGEIVFSGNICCKGYYKDPEATRKLFAGGV LHSGDLAVWHPDGSIQIQDRAKDIIISGGENISSVALESMLVEHPAILEAGVVAVPDS HWGERPKAYVTVKEGSSTTLRGQEVIEWARNQSAISKFMVPREVEIVRELPKTSTGKI KKNVLREWAKKGTPREVLVG MYCTH_115968 MSLPKDFKWGFATASYQIEGSVNEDGRGPSIWDTFCAIPGKIAD GSSGAVACDSYKRTKEDIELLKSIGAKAYRFSIAWSRVIPLGGRNDPINQKGLDHYVK FVDDLVEAGIEPFITLSHWDLPDALEKRYGGYLNKEEFAADFENYARVMFKAIPKCKH WITFNEPWCTSILGYNTGYFAPGRTSDRSKSPVGDSAREPWIVGHNILIAHGRAVKAY REDFKPTQGGEIGITLNGDATLPWDPEDPADVEACDRKIEFAISWFADPIYFGEYPAS MRKQLGDRLPKFTAEEVALVKGSNDFYGMNHYTANYIKHKKGVPPEDDFLGNLETLFY NKNADCIGPETQSFWLRPHPQGFRDLLNWLSKRYGYPKIYVTENGTSLKGENDMPLEQ ILEDDFRVKYFHDYVHAMAKASAEDGVNVQGYLAWSLMDNFEWAEGYETRFGVTYVDY ANDQKRYPKKSAKSLKPLFDSLIRKE MYCTH_5082 MSFGRPLRGGCHCGRNIYVVQFPKDLDAANLVQVLFDSRPSHRS ILATPLPAYLRVPLRYYRALTVPYHDDETNSQIHRVYQPAGEEHAMRHFCGFCGTPLS YWSESPRSEADFIRLTLGSLLHEDLRDLEEWGLVPDPDSASGTGTPLEQEDEAAEGNR GKSGEGKTRTDAATGAEGEREVGEAGGNVWGRVGVLPWFETLTEGSRLGTTLRRARGG GTDPTERVRIEWEIAEWSAEDEKGDESPRKRKLDEVEDAVEAERTVGVRVQ MYCTH_2303662 MAAIESFDNIYLDLSREHGKCRFAENGLGWKPAGGGDTFTLDAS NIGGAQWSRAARGYEVKILQRNTGVIQLDGFKLEDYERLSKIFKNWYSANLENKEHSL RGWNWGKAEFGKAELTFNVQNRPAFEIPYSEISNTNLAGRNEIAVEFSVADTGKSNGQ NGATPGKGRKAAAGKDQLTEMRFYIPGTTTRKEAEGGEAGSDVDEEEKNAVTLFYDTL IEKAEIGETAGDTIATFLDVLHLTPRGRFDIDMYDASFRLRGKTYDYKIQYEAIKKFM VLPKPDDTHFMLCIGLDPPLRQGQTRYPFLVMQFKQDEEVTLDLNLSEEELNGKYKDR LQPHYEQPIHQVVAYIFKGLANKKITAPAKDFTTHRQQYGIKCSIKASEGFLYCLEKA FMFVPKPATYISYEQTQSITFSRVSGAVSALSTFDITVHMKSGAGSSQFSNINREDLK ALEDFFKLKGLRVKNEIDEESTLMAAALRDEAMASSDEEVVGAKADRGSADEDEESID EDFQAESESDVAEEYDSNHESDGSGSDESDVDNEVDDEEDEDLDDEEEEEEEERPKKK KKTG MYCTH_2303666 MDAQIENAIEIAWDPASDQALKGQAFEFLNQLRTDSQAWQVCTA LFSRTPRASPVVRLVCLEIVNNAVHSQALDGASLGFLKQSLLDYVGRIYGGDAQDEVD PPHLQNKLTQTLTYLFVSLYKEGWETFISDFLALAQKDNNLPGVVMYLRVLGSIHDEI ADLMLSRPDNETRRNNELKDLIRERDMRKVAQSWQDLLARYSNQNDAVVETTLKIIGK WVSWIDIHLVINQDMINLILPLVGRTHAAGNEDKVRNAAVDTFTEIVAKKMKASDKIE MINFLNLREIVTQLLASPPLHEWKGTPRYDTDLAEAVAKLVNTIMTDVVRVLEDNKVD TDTRAKAEQLLRDFLPSSLRLFSDEYDEVCSTVIPSLTDLLTFLRKVGQLPATYSEML PSILNAIVLKMRYDETSNWGNEDEQTDEAEFQELRKKLQILQKSVASVDENLCIEFLS NLVANMFSTLEQQGSQMDWRDLDLALHEIYQFGELALPNTGLAQKSQPNSLAAERLAV MMSKMVESGVANYPHPAILLQYMEICTRYYSFFEDQQRYIPQVLENFVRLVHHDHVRI RTRSWYLFHRFVKTLRAQVGNVAKTVIESISDLLPIKAEVPGNDADDDMSSDESDHSA DAVFNSQLYLYEAVGCISSTSATPPSDQALYARSVMEPLFSDMSLHMERAKNGDAQAI LQVHHIIMALGTLANGFAEASTTQPAKRPQPPEAVSNEFARAAEAILIALNQLNASDD IRTACRSAFSRLLGVLGAAVLPQLPQWIEGLLSRSSSKDEMAMFLRLLEQVVYNFKTE IYNILDVLLTPLLQRVFSGLSEPISGTDDEIQLQELRREYVSFVQVILANDLGGVLVS AANQGTFESLVSSIINIAKTLTHGNFVPSRIAFNVLGRMASQWGGPDVATIGDNPSTT GAAASPSIPGFDQFMLEHFHGLCWTVLQDSAFRPNTDAQSRQILSEIVGIQQILYVKT GEAFVNHIQGVTFPQLGIDGTEYLRVLTTSREKKPVVNWLLNLLKGRR MYCTH_88099 MSAGSCMRLITPAVLADARRRDAPYHHHHHGGLCRSDVPVTARA ARVFMSNSSGTLRVATVTEAIQTKGTPTGTHMALG MYCTH_2303668 MASPPKSAFEFLDFVNASPTPYHAVASAAALLDAAGFTKIQERD NWASTVKPGGKYYTTRNGSSVVAFAVGAQWKPGNPIGMVGAHTDSPCLRVKPVSKRTA NGYLQVGVETYGGGIWHSWFDRDLSVAGRVLVREGEGSFVQKLVKVDKPILRIPTLAV HLHRQSNFDPNKEDELLPIAGLAEAELNKTAEPDAAGEAAGGESDFEPLRALPERHHP AFLSLVAQQAGVDVSRIVDFELVLYDTQKSCLGGLRDELIFSPRLDNLNSTFCSIKGL ISSVRSIPLDHDASIRLVACFDHEEIGSLSAHGADSNLLPAVLRRLSVLPGASSSSSS SSSSSPESASQSASSDVAASTAFEQTLATSFLLSADMSHAVHPNYAAKYERNHTPALN GGPVIKINANQRYATNSPGIVLVQEVARRARVPLQLFVVKNDSPCGSTIGPMLSAKLG VRTLDLGNPQLSMHSIRETGGSADVESAIRLFESFLGHYGELERKILVD MYCTH_2303669 MSSFFSLVSLFLIFPCPFPWLSYLYTCATARLRQFPLGKQHGAL ELSPASLKQCGPCS MYCTH_2303672 MAANIPQMVANGQMLMLQQQQQQQQQQQQQQQQQQQSSRDKQLQ NLVSTHLMQSMSLAPINSWQSGVSIGDRFAKTINLVSNTLLAYPSPDWQKFALTAIEH EKKLFLQSPDRQSYDHAMAQKTAEMVKRRQENATELQNHVNNDAARQAQLQAAQQRQQ QMMLNQMAARGLGQPPQHGFQPMQNPMQVPVMPQQPQQLGMGMPTPGMLQNRPDQRQF PMQMGQARQPGVPQVDPLGQLSQQDRARVNAVAMRLMGNTDEHTKNNIRQQMQQRMTA AQLQEYAAQGKDPVFIYFQNQALMHLRAQAQRNLMQQRAGMPANMMRQAPNQGPMNPA LMGNLARQAVMPDGQAFPPNMEDIRNEQQMGLLAQQAGQTVVPASTAPGRNATPAAMT GMPPQARPGNQQGPNQTPRPTQVQPFGMPDATAAQVQAQQGVRAVPGRPMPGQPGAMA APTAPPQASQSPAMNTLNAPMRQPPIPMGQGNGHPVSQGNPPMAATLNPQFNHQNNTR PPSLQGNMTNTAMAGMVPNLGPDGAMRNFYAQLQQDRSGTSAFQGPKPGLMPGIPGPS PAGPMGGPNQAGVVDASQKANGHMQPTTQPGLGQQQPKPVPSEADQRFNAFAQSPQGR AAMSNMDVPPQILAQLRGIVPPETRKWSQLRQFLQANPGVVPAPLINRLGYWQTVQFK QAWEKRQQAAAAVAPQGPNGPLPQQPGFQPPPLPPGMSYPPNISQVSRQEIEGVRRNP QFANMSDEVLIEVVRKYKRDLWAKRAWEQHRQSQQARVNINLAGGVQKPGALMAQAPA GQQGAVSAAPQPTTSMGVQQQTTQPPQPKPAAAPVSEAPAAPAKGARQQPLNPSPATV AKSLKRPNPEDPNDVAGQPGGAAQRPAPQSETRPPSAASKPTVEQLMKLSPEQLAKIP QEQLARLPPDQQKAIAMRIRQAMPPVPPELYARLKNLATEGHRLALDEVRQQEAQPQQ GARITMSSPMFGETRLKLTQIAEKIKWFRGPVIGKWYSYTKDDSRARMFFKIRFKVSR QFVDGDQMSQLPESLTITKDELDQYMNMLESMVQDIEMLKRSAGQSGAGAGVQVPPQP SPLSAANLEKQTQALKQAQNRTATKAAQPPAAPTTSQPPFQFGMQKSSPAGNPEYLSE QRITQANLVIPPARKKAKTGAGQASPPMAQQQTPNASSPQVGTPSPAAARKPEPPKLR CPEPGCEINSIGFLTEEALNTHRQEEHVKPFENPHGFLQEQMAAALGLDAQGNPKASP KPGAQSVSTPAATPMSISRSKQGQKPAATPMSRAGSMQRQGSAAGAKPGENAGTPGRN LAAKQGAGTPQIPTAEDPWMSSTVDPQDLFSGLSSSLEAVTGTLVPDFGTYRSLTPND TPESSKDSGTSEATSDIAETAALDIDLQVQPLDNDLLYDMTNINMENFGSPSMDMDMD LFTNESMMFPLDDLQNDFSKPFRVDRELYSTEV MYCTH_2303678 MDASSPVKRRVLGALDPNACSPNKARRHEGGKQQLFTPARHLSP VKVKSVAPRQELSVGGASPTTRVSSASPSSSPSPSPFSSLSSSQSLVPDTPERETGVE SRKRRSPNPSAINPPTSGMAAAAEAEAAEGGEPAAKRACLDGMREDGQSPPSSTSTTR TTGTTRHRSASPDTPSVFDNSAVDNSQLTILTEPDATGPNPNPAPVPAPRARPRLTRE QAREKAEILRLRLGLASYKVRTGQTDVPLDRLEARLAGDARHAGGSSASSFHGQTQAQ GQSTHHGPTTSVAASFPPPGATAAAAAAQREHRRRLPGAPVRRASVGTERGHYSRPAP PSRSQLLEEVRLALARETEQGQDRQHDDRHQNRHDRYDHHHQLHHDYRYSHMASHQYQ HHHHHQRRQSEALLDTRHDPERYRYASNPADRRPRTATDVPGSSLVSSSLSSSSSSSS SSAAAWLPSSSSRRRAASFAGNGGYLRKEENADENRRREEYEEDMDSRGGAASGLLSL ARS MYCTH_2138875 MDKEQRQDKDKRRAVPKFGSFKPNPTPEPAPTARQTEEEIQSYK DRQGRADKDSRSRDRGRDRREADRGHRRSSRERGRDRERHRSRGDPERDRERDRERER KREKDSERGRHRSPVLSSNDLFVMDKRGDPLIVQYGSNDRSQVPVYHRFGGGRVIGSP GFLIIHRDGVREQFSIRLPGEGSGSGSAFRDKALVAAAARLHPRRIKPEPEPAHSARP PSEAFIPLSPPRKRKRHHNGHDEDGTHPPSPPDYRSTYGKARQDNLPSDSDSDSDSDS SPSRSPSPEPEPDLPGPRARAADLSRRVKTHPSDIPAWLELVALQDSLFASASSSFSS PSSPSSAIPDILGGRTAEEARSLAELKLSLYQEALPHAVSPQDRETLLLGMMREGARV WEEGVLKKKWEEVGSPDAGFGLWKARLNYETGRVAGFAVEQVRDMIVGKLKALGRELE RVAAAGREGEEELCRQVVYVFLRLTRLLHDAGFAELAVAAWQALLEMTFCRPPAEYAA EAALTSFADFWEGEVARIGEDGARGWRHFVEAGEGMVDPPEPKSDKPSVVRGTMDPLK AWALMEKQGAEKARMPARTLDEGTEDDPFRVVLFSDIKEFLVWFPSPVVPQVKPLLAD AFLVFCGLPPAGLSGEPFLALLRDPFVAATGQGLDLGLGKEEAGTTTRDLTRHGPEFI QHGGSLAISAQLLFCKSSKFRYLDEWSRIYEAADKQVDLSWVLRTIGYLVRDCGMEAL ATYYLAMEWLNDDSARARKVAKGLLKQYSSNIQLYNAYALVEWANGNAEVSHKVFSSA TGLVQPLSSDGQLLWNTWAWTHLESGQKDLALARLCSSVDKEFRGPIPSPALLLTAKS QIASTRDYSLSSRQLGTAAQYAESLMLLEYLSAEGGSEPTSETQGNISAALSTIHAFS HELQSRNLHDTPHHERLLQSAACLLYHHATHGPYRPSYVRDQLQTFVHLFPHNTLFLS LFAWSQPPLRIDDPVRAVLRTRALAGRPLRPPHDRLGTRRFAIHHEARAGAGTAHSVR AAFEAALDDGDDNACRGSAELWIRYLRFCCSRRAELGRGRAREVFYRAIGACPGAKEV YMEAFGEGMAGMLSEAELRAVVETMAEKGLRVHVDLDDFLQGRRGEK MYCTH_2303682 MTGRRHGARLPEIVAAGNAAGIDDNTPAASSAEPQGYLSLPSSP TAIRRPRSNMSRQGSNTEPPDERSPLLGGGNRTSRIRIHSAHGSPRVPALSRNQSYAD SPRSIRHHSRGNSWGTRLMNAFSERQESSMADSKGSLYPEDRVWYDQFTSTDWVHDSI ADAYRVKALRSRKDFWGRVYVLFDGAQGWLLSALVGFIVAVLAYVVNVSEATVFDFKD GYCARGWLISEKKCCPHGPCTDWRDWGEVLQGFPFGERWTEYVVYIVAVITLASLSCL LTLTTKTVVPSAYRLTTLDENLAAEAPAPQPEDGANGAAVSPRRLQEKREQPPMIYYS AAGSGVAEVRVILSGFVLHGFLGLKTLIVKTLSLILSVASGLSLGKEGPYVHIASCVG NIACRLFSKYDRNDAKRREVLSAAAAAGVAVAFGAPLGGVLFGLEEVAYFFPAKTLFR TFFCCITAALTLKFLNPYGTHKIVMFQVRYLVDWEYFEILSFITVGVFGGAAGALFIK ASKHWAKTFRRIPVIKAHPLLEVALVALVTGVLGYWNTLTKLPVSKLLYNLAAPCDDR DNNLEDLGLCPEEIGDIPPILMNLFSAFLIKGVLTIITFGIKLPAGIYVPSMVVGGLM GRLVGHVVQWVVMATPDWSIWGTCAKMPNATCIQPGVYGLIAAGSTMCGVTRLSVTLA VILFELTGSLDYVLPFSLAILVAKWTADAIEPLSIYDLLTNMNSYPFLNNKHKPIFTS DLADIVPRVRKERIIDITNSPVVPAPSLRAKLELLHKAGELDGGLPIIRDGVLVGLIP APDLEYALDNLRDEASSLCLMAPVPRIDDSDDGSPDPTDFTPYIDPAPVALDIRSPMD LVYECFVKLGLRYICVLRDGKYAGMTHKKTFVKYMRELEEKEGYE MYCTH_2303685 MGSGATQQTRGHAGHGHGHHHHHHHGLHHHHHHDNVYLTSTNKS DAGVRITRIGLYSNLGMAIAKGAGGYVFNSQSMIADAWHSLTDLASDVLTLATVSWSL RPPTDKFPHGFGKVESLGSLGVSSMLLFGGLFMCISSCESLYAHFFLDPESARALLEH GHGHSHAHHHHHGHGHDHAAGAPSLHAAWLAAGTVAIKEWLYHATMKVARERKSSVLA SNAVHHRVDSLTGIVALLAILGANLLRDATWLDPVGGLFISLLVIRAGFSNTLAALYE LADRSIDEEVRASVAKQVCKGLASLNDNHYDDHDHDHDHDADQHRSNSSSSVRGDDVE LREVTGVKSGQNYLVDVELAVPGHWTVEDVRAVEEAVRARVGARVRGVRRLRVRFVPR SSDPAAPPGLFDEFIPANVSPRSNPEPEPEVEEEDDEREEEEEGSGHGKGHKDL MYCTH_81379 MKKPLMRIPYTDILPAPNIIPHTARSLPGAIAALQEFLHAPSPR DLPRSTVVLTGAGLSVASGLADYRGPNGTYRVNKTYRPIYYHEFLTSHEARKRYWARS FLGWTTLRNAQPNPGHYAVRDLGRLGLVSAVVTQNVDSFHPRAHPDIPTLELHGYLRS TVCTSCRTEMPRDGFQAELARLNPVWDAFLQEALATGALETEDPHERRARGFRVNPDG DVELPQAPYTTFRYPACPKCLSDPPLLADGSRAAVEVDNDGAWSPTSKAGILKPAVVM FGESIADGVKKAAEEAIDGAGKLLVLATSMATYSAWRLAKRAKDRGMPVAIVNIGGVR GEEAFFAGLDPDQTGAQGVRLEMSTETLLPALVRELRQQPILQNAEPSSAEAASFLEN DAAVFKNMLQ MYCTH_2117988 MDTPLSQADAVRGAVSSVATCTPATSALLKTLLLPKDSETPTVD DANTRPAAKTANSARSRTNTVTRAPSKRARAAAAPAPDEGLSAREKAALATHVVNATL KALGEAAKPSSAAAPRPAQEDDLVKTATKNAIRRSSSAPMSPLQPRSLNRQASSPVAA AKHARSPSKSSSTTNLLSTVECARVALAALRQLPASGKITLPDLQLESGMSALVGRLI NLNLQEQAVKELRILKRRLEGPSASEAKKAGKSANSDCKNTARLFSDLLDFGSVKASG ARLLLIIATQIQVLRVLAISKKPSAIEAALPYLRQDQASSPINLLLAAAREDGADVSK IARQTETLAQCLLSLTPSVSSKEDGTAQEARLSISPASALELQALALESRLHWWGLAK HKGDAEKNIMVPLYRCLGAYMRRTSETPRSSYVVCSEIFNRIRRQLEAHGFHPAKGSR QPFAGICQTLATLARDAQQMSDAIMWATKLREAMDPAAESIAKTCAVAAQLLSLHLKQ PAKYLSDDQLLKEVVAGIQGPLRGDTAELDELLVNICAVRKGAMHYLLTLARNGDSVS QPRPETKELLETFILQCPRFCLRWLGKPPGPQGSTKDYLRYDQRRQLMLQYFPHVLDS AFITIKTCLDQSRLAWDLMDSILGDCNTLLEYTGSNSATSDPSASYYVKISHFYYLQY SCLRQQSTDPKDVAPLRALRRSIECVKHRSSGEKEKARLTLKLERMAELCRTLGRVEE ALGALQAIRTSLVEDGVLTSVAKALETKAPAVAWAADEKAEGLSRALLAISKMEHVWI DWTVDLDETERAAALEHRLRFVLLREGSKEGAVSPEHPVVDALLRIYIPTKYPIRRLR VLLLLLCSALGNLDKAPELLSVAKDAAQVEASGDLGEDSGLVGFLPHLKALHNSLSAA VDAYRDHQALDACISTWRSIIASCQDKAALETVIDDVPGLLEYLQSVADFLRMKGRDT TLAAVLELAASISRAVEGSKAEEVIQHSSCLALQYTNLGQSLRAEQVFQEVQELISDE LRSEVLASFHLAFAEHFLALSSIRQAEDHLAQAQLAFNVDAAASQKHNRAERRRLVAY ACYLQSLIALERGDSHHALAYSRDSVRTLFQDWAKLESRLVPRTLPEPNRADDQTIDV SAVTIEGDTLQQSPGPEFWRLFHCLYRNVFRLSSMYAHLGMFQETMYYAEQALKMAKT VNSEFYNAECAAWIGSVSWRAANATKSLEMLQEAAALLPDDNRSYSSAALACQIGSMY LSQNNMEGAKLLLERAEAIAHNLAASPAAEQRADTAAVETEMAKLSITKKPTRGGGGG GGRGGRKAAVAKTPGRKAAKGAIRTKATAPVPEPPVVVVAEEDAQLAKLRASILVQKA VSMLRQKEWAAAQAALIEATAASKASGLLPVRQVTMASCLLGMSMEQMAQDPVFSVIQ DSTISFPALSGSSEKPSPVRPKASSPKKSRAAAASQGQAVREAAPGLYVDNLRQAHDY LLEAHSVAALSGDASLIHRISGMLQNVSLYLTATSAKAKAAVHSAQTSYSVELARNLT WRRERKALLQEKNANKSDGLQWPATLQSASTRRSSLGFTLDLHKIQRDYIDIVPRSWN VISISLSEGGHDLCITKLQAGQNPFVIRLPLERASSRDADSDVFDFQQGRAELLEIIK QINKTCHDSRDMTVKGAKAAWWAEREALDERLKELLDNIETIWLGGFRGIFSQHVRRP ELLARFQKDFLTILDKHLPSRRQVRGKKTTKPEQTAKATLDPNVLELFIGLGDATEPG RDFDDELTDLLYFVVDILQFHGERNAYDEIDFDSMVVETMDALNAYHSAAHAAAAEDA PANLHTILVLDKALHVFPWESLPCMWGLAVSRVPSLACLRRLILDCRRPGEREGRPDG HHASVSAGGTYILNPSGDLTSTQATFSRPLAAHLPSPAWRSLTGRPPTEQEFATALAE SQVLLYFGHGSGAQYIRGRTIRRLDRCAAAVLLMGCSSAALADHGDFEPAGPVWNYMM AGCPAVVGTLWDVTDRDVDRFAAGLVEDLVEAVTRARGRCKFRFVTAAAAVVYGIPVY VER MYCTH_2060549 KGTYNTRNSLVVTDPTTTRALSSLTRAERTGCRAFCWVWSYVPA ASRICDYMLES MYCTH_2062645 GPQGQKGSYCNTYNTRNSLVVTDPTTTRALSSLTRAERTGCRAF CWVWSYVLAAR MYCTH_49795 MRSTDWATPLAAAPSAVGTMAILLKTASSDAAAGLPVKSRDVVG DRGEVVSQLPYDLLHSNLQSCSDIGRSAFTEGRSTMDYVHKAAISIIRNGGKVESILE MLENIEDARVNLKPEMQSVKRTAEISLERVRSLTENFQYWYWMICCLKNNVLESRGDR TPPPPPFFSPSPSKRSRQELPPSNANKVCFPAGTVQAKSSRQLSDLKEQVNGLVAFFK SILEEVSATVDNDVEDFLATIERGVQTFGDTNIISNINLRKPAQRRIRNTALQIQGSF SGIRDITGTYVAISDRYIQPAINKMEALSATKGDEWDAKSEEFVRWCRQSMREIEEIT RAAADGLESNMTERVSYLQHAIESTA MYCTH_94266 MSDPSLYTVGWICAIEAEYVAAQSFLDEEHDGPESVATRDNNDY TLGRMGRHNVVIAVLPDGEYGLTSAATVARDMVHSFPNIRVGLMVGIGGGAPSAKHNI RLGDIVVSSPGDGEGGVFQYDFGKAVQNKKFEVTRRLDQPPQVVRTAVSGLKAQYRRK GHKLAEAVDGVLANNTRLKATHARPDPKSDILYQSGYVHSDPNRDCAQICNASWYQKV RTPRSVQEDNPAVHYGLIASANQVMKDASVRDSLIAEKDVLCFEMEAAGLMNHFPCLV VRGICDYSDSHKNKAWQGYAAMAAAAYTKDLLARIAPNKIEAERKIKDALADVLNGVE RVEEGVKAVGLKLDQQEDGAILDWVAPGDWHRRQHTDYLNQRQPGTGEWLLTSDCFRD WLAAESQTLFCVGIPGAGKTILTSVVAQHLQSGAPARMAAAGAVGVALVYCNVELQHE QGAEHLLSSMLKQLTQGLRPLPECVRKLYENHKNCGTQPSLADISGCLREVAKGYARS FVLVDALDAYRPRGSHARERLVSELFGLQRDCGTNLFFTSRRIPEIEDLFSKAPGVVS LEIEAHKGDIERFLDGNMSQLPKFVDRRPDLQKAIKEAIVTAVCGMFLLAKLWLDALA KMHSPSELKSTLETLKGGAEACERAYEETMERRIEDEQFARRVLSWIVCAKRPLTTIE LKHALAVRSSDTSLDGENVPDTDDIVSCCAGLVTVDKDSDVIRLVHRSAYEYFRRQDS RARWFADAEARIAEICVTYLSFDAFKEGFCPSDQEFEARVRSHPFYSYTARYWGYHVR ECQQLGEKVDVNSKNGTGSVGRTPLAEAQKWSDRSASHLRTAKLLAERGGISKEFNES VGLDFDLSFFDKLRADTDSETDSDDSDMSEEQGGDDDADD MYCTH_2303691 MPPRVDRNLAKTRPSRLPNSIYGGRRFEPRSSSIALSFSGAKRH GPSSARRWIWYWLGGAGIAILHGYDGANPVHSGRDSAAEEALASWPKERKTFMAEFRS GPIW MYCTH_2303692 MAKANSQKAACDRCRGQKLRCIWDPKEPQCQRCARANAVCTIPP PRPMGRPPRQYRSQSSSSHSRGQTQSLYSWSEENATSTAPVSDEDTDIAMNSANVLSD PLDFLPWFPEPDGLSLSAPRGTFPTPVTAPAPIPNGTPNVNGTRPFGQQNEIFASPLN NGGKPAEGNQSNHSGVDEADQMDYTTVENGGPGDDQIQLLTELCELNVALFQHPLHRE KDKAGLRTMLASHSENARPQQPAEAPSADSSSNGSNGSSVIELNARELRTGNLFEMTC RLMDIVTRIRAQEEAAAQGQERYDRSTALIALSCYTRLDVLYSRALDILVRIRNNGPI PEGSHHLMPELVIDGFSMKRCLDLQLNFLIYLHGQARERIRSCIRSAEGTARVGRDRR DSARQGSPAPARFDL MYCTH_2303693 MHPKRTYFLAPTRDQAPTGPIALGNLIRSPREPDFPLNDPNSPT VRRLQSAATVVPEADATRSAHAARSLQPTVFLSFLSGLLGQNPVELSVAYSTAGGAAY RIPRLETRTIHPSAADVAALFAEPAVQAALRDSRFTANLYLVTGLQIATHGAEYLVSG ARARGVRLHFLTDLITTAAGGVPVGAGVGAEATEAMEGRSAGRIEGPFVLAYSLREVL YRRKKVTGQRRPRIEGDLYADGERKVRIIKREEEEEEEEEEEEEGQVESFEAELAGLK DEDPELPEYWDLIDVETGVDLDGAECQIVRVAADKHDGDDDLDED MYCTH_2303695 MLQIRKAQTVPIPVGRSERRQIEALPTFAPGTLIFLNHDPASEG RLSASATSLVVQETRARSSSTGRALPESASLREPYDKEHRESRSSPPTKSSDRDLASE STPSEDTLGEQRSKTLEESGPKPVLVNARKQDTEELGSGVDNPILIPSGVNPGPPKES EPKSSVVNKGSISDATQQLVDDTSVDIWFGDIEKINEEILEPYRRQACKRVKVGILDT GIDMKNTAFHKAEVRQRIKKRVDFCDPNGKGTGRDKCGHGTHCAALINRIAPAADIYV GRVAIDFDSGVDENVVAKAITIALGSRGPGDASNNWDVDILSLSLGFQHYSEAIDTAL RTSTRKGKIVLAAASNNGTLRAMAYPAWDPDVIPINSANARGRPSDFNPPAVPGRTLT ILGENVPSAWITTTPTATTTASTTDMSGPDDAGAPEAAAAAVDLAATRRMSGTSVATP IAAGLVALLFEFAMVEVPNDPVTQATLNDVLPNIKRQTGLNALLMGKAARTGDFHNIV PMNLLNPNLTLGENAAVIKGVLARWFGFRRPIKGTDGV MYCTH_68164 MSSAQAKSEPIAIIGSGCRFPGSASSPSKLWDLLSKPRDVLSEI PRSRFDPHGFYNPVGDTSGHSNVLHSYVLDEDVRAWDADFFNISANEAAAIDPQQRLL METVYEALEAAGQRIGDLRGSDTAVYVGLMGEEYSSIQGRELDMMPTYHATGTARSIV SNRISYFFDWHGPSMTIDTACSSSLVAVHQCVQQLRAGHSRMAVAAGTNLLLGPEPYI SESTFHMLSPRGRSHMWDAEADGYGRGDGVAAVVLKKLSDAIADGDPIECIIRETGVN QDGRTGGITVPSPDAQVALIEDTYRRAGLDLSSPSDRPQYFEAHGTGTATGDPLEAEA IHRAIGSRLGPGEKLYVGSVKTIIGHTEGTAGIAGLMKASLALQNRLIPPNLLFKTLN PRIEPFYKGLEVPVKAREWPEGAPKRASVNSFGFGGTNAHAILERYEPPTALPRAEMG IVARVWTFTFSAASKASLSQALRNTADFLGQSPNISARDLAYTLNSRRSTFPYRVAYV ARDVEGLRKRVLDSVGSPEWETQAVVRPPNRPMKILGIFTGQGAQWPGMGKQLLEASP FAQARIQELELALATLPAADRPSWSLREELVAEGSKSKLHLAEYAQPLCTALQILLVD LLTAANVKFSAVVGHSSGEIGAAYAAGVLSARDAIVTAYYRGVHTKLAGGPDGQRGAM LAVGTSPEDAEDFVSLPRFEGRIGIAACNSPTSVTLSGDADAIEEAKEVFEDESKFAR ALRVDKAYHSHHMRPCSDPYVASLRKAGVTANKPRDGCKWYSSVHDGAVLTGHANEEL DSVYWARNMAQTVLFSTAVESACAEEQYTIAIEVGPHGTLKGPALDTMKAVTKSVPAY ISCLSRNSDSSEAFANAIGQIWANAADGALDLDKYHITAHGLSGARPSIIKDLPTYPW DNKRVFWNESRRSRALRLRTEPGHPLLGILSPDSNDTDLSWHTVLRLSNLPWISGHQL QGQTVFPAAGYVALAAEAAKQLAKAAAAGPLAKIELKDLDIGKAIAFESDKAGIELVF SLHIDKATEIDGHRVFETSFFARSVTATAESTDAALNASGRMQVTVAGDGAAEPLESL PAQEAGPAAMTEVDDSLFYSELKQLGYGYSGAFRALHSMTRKSDFGRGRISKVDAASM HPSEKDLLVHPGYLDAAFQAMFLAYSYPGDGRIWSLHVPVSIKSIRIDAARCRANSDD YLSFDAAINAAESLSGKIDLSGDVDIFSGDGRTGLIQVENIRLIPFAPATESQDTQMF YVNTWNTASPDGAIAAGDNRASQEDNELGWLLERVAHFYLRNLAESIRPDEEAGARWH HKKLLEFARQSVALARGGRQRFFKKEWLRDTAETLEPLMDAFGDRVDVQLLRNVGEHL ADAVRGQGDILTHMTKDGLLDRWYEESLGLGEYTGFLAEVVGQITHVNPHVRILEVGG RSGNATKKILKRASHAFSHYTFTDVSPDAVEAAKSLFPDYQGRMSFQVLELGADLAEQ GFQAHSYDLVIGVLALHTWPQDPEAYLSNLHQLLKPGGYLALLEATSGATVRLPAMMG GLEGWWPAKNRGRGSTKRLSAAEWHAALVQAGFSGIETSTPELDVLPRPYSVLVSRAT NEHIDLLLEPSSKNDASALSHIDELVIVSGRSLVSVRLAEKMRRLLELHSERITVVPA AQDLASLDLSARPTVLYLADLDEPVFTRYTPEAHDGLKKLWTTAQTVLWATRGARRDN PHAIMSLGLGRAMMVEQAHAKINVQFIDFAVDARLDAHMLVDELLRLQILGRLARESA DFVWVKEPEFEVDAEGRKWVPRIVPHQATNDRYNSSRRQIVAEADPAKEVVEVVESHD DNNGSQNRALIRVLAPEARRTDGEEVTRVRVLYSATVPLRPSSSQALYPFIGLDTATD APVVALAKSIASIVEVPASSVVPYNYPLDEAPSRLRAITSHLLASLICSEAATVDGTT LLVDPDDDLAESVAKLAGEKRLSVTSVTTSPASKRAYPGVSVSAHASSRTIRRALPPR IASVIDFTKPSSWRGNFVAQIKALLPDAARFEKASSFQARNFSTTALAALCQEAVHLA PTNLSKSGAVSADAYVADPQSSAASVIDWTASSSVSVSVKPSDALPIFRGDRTYVLFG LAGAGGLGLPLAEYMVSLGARYIVLTSRRPSVDPHLIAEYAAKGVQIKAVKNDITDEN DVRSLIADLRSSWPPIAGVANGANVLNDMSFEDMTFDDMNKVLRPKVEGTRILDQIFY DDPLDFFIGFSSISIVLGRAGQSNYDTANIYMLGLASQRRARGLNASVIDIGPIAGVG LMARDVSENVMNLLVSHGYRKMSGRDFLATFTNGILMGRVDSREPEELITGLTVHPKK GKFQPTWVDNARFSHLLLNTDGASGASSEGSAQVESLEDLLKRARSPGDVGRVLRVAV LNKLQNILSLSDELVNNPDALLQQGTSALGLDSLLAVELRTWMRSELGVDIPVLKILS DTPVQGLVDYAVENLPAEYVPNLDPNGKDAITEESLTAPKAKEEHPKPVAASVPPAAP PVATTTKPNGSPTLPSVPSSASETEAPTPTGSSSPASSVADPTESTSLVAPPSSQPVS LSPSLTISQSPAIEKVLPMSFGQSRFWVMTQIVQDPTAFNISCDVEIQSEIDVRALSR AVEMVGARHEALRTCFFHEDDQPMQAILKESPLRLETVSASVSDIDRLFQEVHNTVYD LSKGETMRAMLVSTSRTHHHLLVGYHHINMDSSSFVVFVADMIKIYAGQKLSPPRMQY SDFSKYQVEQLSKGNWDSLIAYWSNEFARLPDPLPILNVSPNTSRPRPNLTTYENRSI ETRVSASVARQVKSACRKLKVTPFHIYTTILQITLARLAATDDICIGIADANRADVGA TDSIGNFLNLIPLRLPTDLNLPFSSLVKATKNKVLHGLANSAVPFDVIMEKVGVQRSS THSPLFQAFIDYRYVTEKLPFGKGHLEGKRYIVSKTPYDVMIEMIDTPTGEASLKLLT QEALYTPEESQTIMGCYTTLLDAFTKDTELAAGQPQMFDAGEVNRALQLGKGEFLDLQ HPTILTEVDETAAQQPGAVALRDSQGNSLSWSDLKLRSVAIGQSLVNLGLPARSRIGV FQEPDVDWVCSMLGVWRAEKTYVPLETTQGVRRLADVAKEAKLAAVLVHDPTVPLVSQ LALDDTVKVINVSTLPFNRLAATSFVSNHKPSDEAMIIYTSGSTGVPKGISIAHRVVV NAARSFLHRWPMSPQTVLQQTPLSFDVSWWGAIIALATKGTVVVAGPEARRDPRALTD LIVSKKVTFTFAVPSESVAWLQGGDLAALRSSAWTWHCSGGEPYSQNLINHLRLLDKP DLRAINIYGPTETMIPNAYEVLYRSFSASDMPVPIGRVMPNYTARVVDAQGHPVPAGI PGQLLFAGAGIADGYVGNPELTAERFPEDDLAPAEFVKQGWKLVHHSGDSGYLRESDG QFILQARINGDTQVKLRGLRIDMLDIEAQLLSTAKGLVSDAVVHVRKPEPNNSSADFL AAHVVLSEEGRARYATPAEQETFLDKVVAELRVPDYMRPAVIVAVTSLPLTHHGKVDR KAVAKLPLTRALGKATETPVGAAAAVDLGGNENLNKMKDLWLNVLGESVHAHTLGPNS DFFLVGGNSLLLIRVQGDLRKQYGLDVPLTQLFQRNTLAQMASLLDGKDRNNASATSG IDWPREIKLQPALSHLRAPASPMPKSGLVIALTGASGFLGLELVRQLVRLPEVKTVHA LAVRSQSKLAQVSSPKLVIHPGDLSKPQLGLTDTAATEVFKTSHAVIHNGADVSFLKA YGSVRRTNLESTKDIVKLALQHGNVRHLHYISTAGIATMLGHDLYEESLGAFPPTSSP EGYVLSKWASELYLERASAVTGLPVTIHRPTAIVGENAPHLDVMSNILHYSRQMATVP SMSALEGTFQFVPVEDVA MYCTH_50128 KAGDWDRFAETYKQITESTNIKPVGAILDRLNALWPFKDATGFL DNGCGPGTIMARLIDVYGTSLPPSCSLLCTDYSPAMIKQVEAAREKQEPASLWARVDA RVLDALDLRGIGDNSLSHVAAGLLYNLTTDPHKCLTECRRVLQPNGVLAASAWEGNEW LEMMQVLPIIKPELKSAVKAKWTSVSAMKADLAAAGFRDVEVESVPVQVPFESHDLFV DTLLTYQPRMTALLRDFTEQEKATLRKLLIEEMKVYCPTEPGALNGVVLVATGCK MYCTH_115957 MPVPLLDASQVRAGPEARKSFLRDLIQSFQDYGFVRLTNHGIPP ARVKEMFELAGELFSLDTETKLGFANIADGSPQRGYSAIGVEKTASLHGKLIGRNVDE RLTDAREHFDCGSPLDEEYRNRWPQSESLGYFRKEMEAFYFEMEQVTAELLSWLEEGL GVPRGTFNNLVTHEKNASELRLNHYPPVPASQLLSGQVSRIWPHFDLGVITLLFTSGV GGLEVEDRKAPGPQTFIPVEAETQDELIVNISETLQRWTDDKLPAGLHRVSTPKELEE RIKTDSSVEIPRRYSIAYLCKADRHASVGTLPAFQTEEKPHYQEMTAAEYHRQRLLTA Y MYCTH_2303701 MQSLSETVLTDDVGDRACSVEFVVGDNVGAARRADVVILSCHPH QGAEVLGMPGMREALSGKLLVSMLGGVSVAKLEEGLYGNPRRSESPGLRPCHILQAIP NVAAARQKSVTVVGGQSASIPGEVLELGHEVLRRIGTPVPVSTNQMPAATALCASGTA FFSWFLEAMVDAAVAQGIERSKATHLAALTMAGAAELVVSGQDPAAVTARVTTPGGAT ARGLATLERGEAKETVVKALQATTSKICS MYCTH_2303702 MARINRQTAYQKADEIEFSTSSADPLAAWRISGIKGPVWEQWYF DSVADDGKSSVVLTIARDASYALLGRGTLRVELDVTFDDGSHYNNVDWISEAIVEDRS GPKNTGTVDGSWTAPNKAYRYQIAADGSAAKVEIATPEVQGHFTLAALSPPLYPEGET QEELKASGKTATTELLPKIHLVQVMPTATFEADLVVRDRLVRFRGIGGHMHAWAAGSW FDTTLGWRVTRGVAGPYSVTLMEYTDMDGVVHSSGFVARDGKKLFGAKEVYATPRSSS ALQRALRYTGAGKDERKPKHTVRWTPTYNTGFAGRFGDSSTGAILRFAAAEGEEYQFE LVHRRKAFEYLFGSSDTGLTAFLGEIKGGKVGEEVYRGVQFSDVCVLPQGITKVYFFI CMLIAVLTFGYINILETNT MYCTH_2138881 MERIPDTMTAVVFDGPHNISVQQRPTPKIQDDGDIIVKVQAAGL CGSDLHLLRGLEKTKTTGFIMGHEFSGTVVAAGSGVKTVSVGDKIVSPFTVSCGACFY CKNGFSSRCVHCLVFGTEGLAGAQAQFVRVPRADGTVMKAPPEISDDALVLMADVFPT GFFGAKNAFAGLPRTQRPSDAVAVVIGCGPVGLSAIVSALEYKPRHLFAIDAVESRLR VAKYLGAEPLNVADGQENIVKRIGELTEGRGADVVVEAVGLSPALRTAYDIVRPFGSI SSIGVHNSSMPFTATEGYDKNVKIQMGRCPVRSIFPEALPVLAKAQHRFGFMFEKLVP LSEAVESYALFSEMQVQKVIFKPWQRFERENRNTRL MYCTH_2303707 MTKIFATGVTGYLGGDAVYAILQAHPEYEISCLVRDKAKGALVS EAHPSLRIVYGSLDDGDLLEEEAANTDIVCNFAHATHEPSVQALARGLARRTRPGPGF LIHTMGSGTVIYDDVVKGRYGQGSDKVFNDLEGLAEVLSVPDFAKARGAENAVRQVGI QLPNQVKTAVVSTGSAYGLGRGILKYRPTAIHELVRATLERKRAIVVGEGKSAWTNVH VHDLSELYLKLVEHAAAGGEETGSGPAVWGGSEGFYFAEGGEHVWSELARSVSAEAAA QGFLTQVEEPESIEPTEASKIMPMGQFFWGCNARVEGRRARQALNWQPHHPSLKEELG SIIATEAKKLGLV MYCTH_81364 MTTFSIFGLERPAVLALSIAVGASYVIYLVTITIHRLFFHRISH IPGPKLAALTYYYQSYYDFFPHQGQFIFKLDELHRKYGPIVRIGPDEVHVNDAKFYKE MYGSSTHKRNKSPIWYWMHGLGAVGDQSMFITLDHDHHRLRKAGLGTYFSKRKVQELE PRVKEKVLLLRQRLLERAGGAPVNLKDAFGAMALDIITQYCFNRCFGALDRPDLGREM NKLMGVGVKINPFARTFPTLARTVIKLPRWALKWSGLVSTTAEFLDLADRLSAEARNE AIRDLASGKYSQTDDADSRTVLHSMMRSDVLPEHEKTEKRLQADGMTLIAAGFDTTSR TLTVIFYHLLTKDHIRARVLDEIRTLMPTPTSPLPTVAQLEQLRYLTCVIHEGTRLAH GVAGRLVRIAPEEDLEYYSPFDGRRYTIPRGTTFGQSSYLVHTDESIYPNPAEFDPDR YWTDDGRPTDAQRYLVPFGKGTRMCVGINLAWAELYLTIAALIGTVDMRIAPGTTEHD VTMVQDLFVGVLPENPGVRVNVVGHLQA MYCTH_2303709 MYPFFTYSPNRHYFEYKWYNLELLRLLGAAPYGGCDAAEFLELV ASLIPNDADDWNRKFLALAERTQSWAEEMQRNGHLSAARGAFLRASNYFRCAQYLFPI MPAEEQPYFLSLYHRSISNFEQATKLMEHDVRRVRIPFQPPEYRAPLLRLPGWLHLPA PSRRLKGRKTPVLICIGGADSTQEELYFLHVAEGPGLGYAVLTFDGPGQGLVLREDGV PLRPDGEIVVEAVLDFLASYAEEHPEADLDLDAVAVTGQSLGGYLALRGAADARIKAC VAVDPVYDFYDLAMSRMPRWFMWPWERNYMGDGFVDFAVREHAKLDVATKYTFAQGCH MFGSSNPAQMIRDMKQYTFRLDRTVTADNKNRGRANRDYLEWVRCPVLVTGAGGDPKL FLAEMSTSAIMRNLVNVPEGQKELWVPKAWSEGGAQAKSGAWPLLQYRTFKFLDEKLG IQRPSSPKRVYENGYENGYTNGFVKNGYENGFVTNGYH MYCTH_50920 MSEGAGTGTGSAAESSEAAAKKGDEAGEGDATPPKTSHSLRFWG IVASLAFTALCSSIEGTIITSALPTISAELGGDSSFIWVPNGYFLATMVMLPLMAQAA NLFGRRWLTLISVAMFTLGSGICGGANSPAMLIGGRVVQGLGGGGIALMINIVLTDLV PLRERGKYMAIVQMVSAVGAALGPFLGGLLTERSTWRWVFYINLPIGGTSLVALFFFL RVATPPGGTWVEKLKRIDFIGNAIFIAATVSVLIGVTWGGAVYPWKSANVIVPLVLGF VGIGLFLAYEWTLAKNPSLPRGAIVERTAATVLAVTFLTTLCTYWAFYFMPIYFQGVK AKSAFWSGVDALPLFAGLFPFAIIGGILLSKFGRYKPLHLVGMAIVTVSFGLFSILDR NSSTAAWACFQLLCAIGSGLMIAILLPAMQAPLDESLVAASTGVWTFVRAFSTVWGVT IPAAIFDNEVAKKAAASLTDQSLVGYLTGGKAYQFATQEFLDSIRDPASRSEVIDIFT SSIKIVWYVGTAFAGLGWLLVWLEKEVTLRSKLNTKFGIEEKKKQEEDEEKAKNEGEN KDGEESKAADGAKRAELSA MYCTH_48716 MGSLQDLPPLPTTQTAVITTAEGRHAVARDRAVPACGPTSVLVR VRAVALNPTDHKTPARVKTGGLTAGCDFAGEVVAVGARANDEPGDAAACAGIPRRWAP GDRVLGVVYGSNPGAPAWGAFAEYVEADPVMLCRLPDGWDWETAASVGGSVHGSVALC LFGEGKMGLDMGGKKDLQKVVLVYGGSTACGTMALQILRLAGYIPIATCSARNAGLVT AYGAAATFDYNLETCADEIKEYTKSALWYALDCIGTAQSAALCYAALGRAGGRYVALE KYPDSVAALRKVVKPTWVMGPVMFGRDLQLGEGYSQPADPSARAFARIWYPLAEALLG SGALKNHPVKVVAPEGREEWPEAVIRGLGGLRDGKVSAQKLTVTIAA MYCTH_107763 MATDAPPSYDSIISKVDNNIKQDPTVEGLKRAFDSLDDEEKTIL AAREHEPIELNPEQLKQFHQGFSEGFSQVQEHLEWKAANCAEQCKKVATDFLNITDKL SSISSLDGSEESQKLVSDFKSLEKEAEDLEKEAQGIHDSFSNFLADIYEYTARFDSWA QKKEGSLNDKITQLNKDIQDLKKEISKITTAMIAIGAVAGFALPALAVGAAVAGPFAP LVIGIAIFAAVGTVASVTALAFKKSSLDHEIEAKEDEKKKAQEQLGKIQKARTELKDL GKSLDDDVNHIVGVINTAWKYCQADAVEIRRWLEQGQKDADLPPYMAIQLGKADGIYS VVGRYLRKYADALANTSGSS MYCTH_2062976 TYNTRNSLVVTDPTTTRALSSLTRAERTGCRAFCWVWSYVLGAS EKCHYIVQQR MYCTH_2118002 MASGTTCVKEKPIDSTGESPSEGGTASDSNLEANLPAKTGNEAA KSDPNASPRQIHGILWVLVVIAILSSIFLYSLDNTVVADITPAAVNAFGDVLKLPWLS VGFLLGGAAVVLPFGRLYGLFDAKWLYILSSILFNVGSAICGAAPSMDALIVGRVLAG MGGNGMYLGVMTLLSVNTSDRERPGYLSFVGLVWGIGTVLGPVVGGAFVESPATWRWA FYINLCVAGLFAPVYLFWIPSFKPRAGTKSLSLVREFDIIGTVLSMGAITALIMATNL GGALHEWNSGQIIALFIVSIALFILFALQQTFTVFTTLTTRIFPVQFTKNWNAVLLFC LAAAVNTAGFVPIYYVPLYFQFTRGDSAIQAAVRLLPLIFVLSATILANGHLMSRFSY FQPWYIFGSALTLVGGVLLSRITTDTPEGQIYGFEILTGVGTGCFIQAGYAVIQAVTP PAEMAYAISYMMLAQLSGIALGLAIAGAVFVNGAISRLAAVLPGVSREQLQLAVSGTS GAYLSSLSPEVRAAATDAIVLALREVFIPVYVAAAFSLVLSVLFTKRKMFNTVAIAA MYCTH_2303718 MGEPGLDGIVLDIPSGIGPAHSGSSDPHRASKPPRKDASNTLGS YLSAALAQSNNPLTQGQARTVPETIPSNATETTTAQELPTMLALDAAPPSPGQFGVLS GVSPAPVPISQKSSPPCSCLSDLVRVVQQLDDDEFRITTLSLDQVLHLQKWLVFQCCK PLDCPKCLDLPTIHTVRLIVCDRLTEMFECIHSRIKRAGAILASQQGSDSGSHSISSS SLLSSSSSSSSSSSSSSSDSLRSVPQPPPWSAAVGSGPLPAQLFCRSSGRAANTVACN PLMFSEDFRSRYSDEEQVHMIRALLRLQTRDFRKLLLRLERACEGARNQARRSKIESM MARLSKAEADIEDALRVVLHVWSTG MYCTH_2126499 MASWLISSLLTAASLALLVLPIDASPSPAHLRSSITFRDNSSTV PVVRVLNGSRRPCFTVSQPLNSSWEGVRNATAYPKHCIGYGGDNVGYELSEDCLYLNV LDDLHRALNSITTTAVDGVPLAHRFPPILDGDFVADYPSKQLREGASPECAR MYCTH_101972 MLVTAFLILGYAAADAAAKWDGTRFAWYTTDAGDDFKSTLPIGN GRLAAAVYGTGTEKLVLNENSVWSGPWLDRANPNSKDAVPKIREMLISGNITGAGQAA LDNMAGNPISPRAYHPLVNLGIDFGHGSGISDYTRWLDTFQGTAAVNYTYHGTSYSRE YVASYPHGVLAFRLSADQPGKLNANFSLSRSQWVLSRRASVSDGEGGHTVALSADSGQ PSDAITFWSEARIVNSGGNATSDGTTVFITGADTVDVFFDAETSYRHPDADAAQRELK RKLDAAVAAGYPAVRDGAVEDFSSLMGRVRLDLGSSGSAGEQPVPTRLSNFRQDPDAD PELMTLVFNFGRHLLAASSRDTGPRSLPANLQGIWNDDYDPPWQSKYTININIEMNYW PALVTNLAETHKPLFDLIDMAIPRGRDVARTMYGCERGFVLHHNTDLWGDAAPVDRGT PYTVWPMGAAWLATHAMEHYRFTRNRTFLAEVAWPVLRETARFYHCYLFEWDSYWTTG PSLSPEHSFIVPPGMTTAGAAEGLDISPEMDNQLLHQLFTDVTEACARLGLFSSSSSD DDDDDAETCTTTAETYLPRIRPPAVHPTTGRIQEWRSPEYADTEPGHRHFSPLWGLYP GRQLLLTRAGSGSGSSASGSDSASANLTTAAAAALLDHRMESGSGSTGWSRAWAAALY ARVPGRGRDAWRHARQLVATFLLGNLWNSDSGGDSVFQIDGNFGFVAALAEMLLQSHE TAPASMRGSPGNNNRRTGVRQGEQQQQEEEEEKEVFVVHLLPALPGDEVPDGRVDGLV ARGGFVVRELVWAGGKFARASVLAQNGVSKTTAFWN MYCTH_2060248 MARELPDDAKYALGRMKAQIDQLQEAISQMREKNAEFEIRLQTE AQLRREMEMWWWADKFSKEHEKSPRSPRIRINSPELLRICFQIENELNPGHSRSYRDV QELKPMVMIQPFKTLVYNAERIRGCYRQLDENLKRSPNARATKGLDMQPEPGTEPKRH QDEQNIRNLAQPASKRQDPVVAPSLFSSIEDERRARDHLRCLLEFIDGDLKERTEHIR GGNCSRVTFGELWLLFQPGDIVLWNSAPQVALVLGTVDPGHKATKPFRGRGLRRRDKK KEEDDEDDCFVIMCVSIEFDGVQLGPSSSMVKIPRFEGEKSIRSLPIYPFAYSSAPNA REAYIARGKKFLEMTKIRHMYYTGQTVDPTTMYVDSQVVIDVEEAFHQWPQWRPDIEN LVGIDIE MYCTH_2040766 GQGLVILLHGAPGVGKTSTAGMYKECVAEQFNKPLFHITCGDLG VSASDVESALERSFALASRWDCILLLDEADVFLSARIFLRVLEYYSGCLFLTTNRVGD FDEALTSRIHLSLYYPPLNLVSTQRVVSLNLQRIEKGLKQKNIELHADMVSIGGFIAN YWREHPRARWNGRQIRNACRTALALAEFEATKMGSPQLAEQSSSSPQLVSLEARHFKQ VADAYLDFTEYLKDIYGVHADERAKENFLRA MYCTH_2303722 MSSSDAQAGVENFPSIELEFSPTTAGETEAHLAQPDPTLEGPNE TLDSITAAHDMADTAHFGDFQFPYQDTLQDSPMNGLGPSFDDHGPDVFRTPQANPTSP VQDRQDSVASITVHSSAYGGANAQRPSPEGSDNSTPGSNGNGSNPLEDPLSDEFGLGT GGVADGTDLGGKKGEKSDSAPAWSELKTKAGKERKRLPLACIACRRKKIRCSGEKPAC KHCLRSRIPCVYKVTARKAAPRTDYMAMLDKRLKRMEERIIKIVPKAEQDALAASVTR AVVKPAIPGTLPGGGKATAKKRGADEAFGADLDHWARAPSRSRLDGPNKPSTILVQEA EESKLLLEGGDALPSKDIQEHLAEVFFENIYGQAYHILHKPSFMRKLKAGTLPPVLIL SVCAIAARFSNHPKLNTYPNFLRGEEWASTARDILTKRYEWPNITILTCLLILGLHEF GTCHGGRSWALGGQAIRMAFALQLHKDLEHDPLRIPGKTQLSFIDREIRRRTMWACFL MDRFNSSGTDRPTFIREETLKIPLPIKEKNFQYDLPGPTETLSGQVTEPVSEDNPNEA RDNMGVAAWMIKAIALWGRIISYLNQGGKELDPHPMWSPDSEYSKLLKQTEDFINELP ESLAYTPENLKVHETDNMANQFLFLHISIQQNILFMNRFAVSSPSGHSLQDVPKSFVT KAGAKAFAAANRISELLKDAESYFITAPFTGYCAFLSSTVHIFGIFSGNPSMEATSKR NLATNVRFLSKMKRYWGMFHWMSENLREQYRTCADAARQGNPASENAASPIFQYGDWF DRYPHGVSQSDFLDPATYKKKEKGEDAVLEQKPELHTVEEYFTSLSPQSAENAAAANG ANGANRPGQPNASQLKRKSVVRKASTTSRGSGPGSDQGLEPLQTDFARATAEQLRAAR LHQRSFSGAAPNGGAQTSGAPPGHFNPLAVAGNGPGPYHPALSPISPVPFSHPHHHPH APPQAFFPPDPFAMPLTATHHGMSRLDRQLVFGAYGGHSHAVDHPSLAGSGLAGWADG NNPAAAAAALAAAEVRDGHPHGAAHGRHPGHPGHGHHQHPADAALHAAFGEPSSAWFM PFNMEPPELGPEGLGGIGGGLDGFATMFGGGGAAGAGMHHH MYCTH_2303724 MAPAPVKGAKNPEAESQDDKPVPKKSLFEDSDSSGSEDDQEDGG ATLGTSAELKINEEYARRFEHNKKREELHRLQEKYKSSGLGDEESEESSEDETEDDDA ELITEELDAEISATLQAIKNKDPKIYDKNAVFYKPFDPDALPKKKEEKPMYLRDYHRE RYLSGDIGADDDNGSAPNAPRTYAQEQDDIKKSIISEINAAAAAADEEEWSDDDAFIK PVKKDEAPAENGVHPSRKPNITLTEEDVANADKNPEEFLNKFIASRAWVPEDGGSKWQ AFESDEGEDNGDLAEEFEHAYNMRFEDPEKSNEFLKTYSRSLAAARSVRKEELTGRKK KRALEKERKEAEKREREAERARLRRLKIEEASEKLAKIKKAAGMRGQKLTDEEWLKFL DDAWDDDKWEEEMKKRFGDDYYAEPDDMSLSEEEEDSEDEGGKKKKKKKKPKKPKWDD DIDINDIVPDFEDSAKPAITLSDEDAGAAEQEEDDGEATSDGEEDSDGERPAKKRKTS KEIKKERIRAKREARAELAKIEALVDTKMELENPRALQSLGKKATGGFRYRETSPQSF GLTARDILLAPSDAALNEFAGLKKLATFRDEEKKRRDRKKLGKKARLRKWRRETFGKE YEHTGPTFGFEALLANDNTDAKGKGKGKGTGANAVAAGSGADKKKGAAEGKPEPVNGI IEGERKKKRKRSKKKKSSAAMELDE MYCTH_2303725 MADSNAPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENKEPT IGAAFLTQKCNLPTRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDLTKPTSLIK AKHWVAELQRQASPGIVIALVGNKLDLADDGAGSGGDAEDAGGEESGNARKISTEEAK TYAEEEGLLFFETSAKTGYNVTEVFTAIANAIPETSLKTARGPGASHAAARTEEQRVN LNGPRDPNAKEGCAC MYCTH_2038181 ASLTLTEAQVELINSLPQDDIPAKLRCAICSKLAINAFRLPCCE QAICESCQSTLPASCPVCEHSPLSADDCKPHKALRTTIKVFLRTEEKKRESNRPKGAA PTPITPVDPSPVTAAAPAIPERPLSGEATQAGEDRQAPVGEPSAD MYCTH_2303730 MDPMTMQMYMNGGFQGMGMNGMNMNMGMGGYGGEPDNWNGQQSW NVGQDNYNHPSASGMGNGDYGSFNSGFQTGFNQGNYGHQNQFNDYRRNQFGFRGRGRG RGYGYGYGRGGYQHGYGGGGNWNEQGYGGHQQYQNGQGDYQAAGGEANADGNVDEFGR SLRGDNAQGASGEQGQGAGEGVPRIGNREQGNDGGDGRGSLPPPQPNPDVPPNAPKGP KAMLQGLPNTSYKHLQARGWVDDTKPTTPTGAAAPGFDRGRSRSNSVLGSHHNRYHNE SQHERSRERDHSGRDRHRERGRDRDMDTSRSRSRTRSRSRSHSRSRSRSRSYDRKEQR RSRRQRSYSPSGDEEDESGDERRHHRSSHRRKHSSYGNDERESKPRRDEHQEEGRSRS ASPPADDSRRSYRSSRKERDSEKRRDRDREKDREKDREKDREKDRDGHRHRSHRSHRD REHDRDRDRDRDREKERDRTRDRDRDRDRDRDRDRDRDRDRDRDRDRDRDRERDRDRD RKDRHRDRDRDRDRDRERERDRDRDRESRRDREKDKDRHHRSSKTTAADGEDEQPPSA LDNTESSQHPRHPSIGSRGALGLEIKGAASAGTSTAAAAAAAAGAVDGTRRSSLATAT PATGATDVHAAERAARDRERLLRETRRMASLTSLAAAAGGASGLKRSRESRDGGGGGG GVGDEERKSSRRKGRRGEVIVGGDAVVADGEAEEERMRRLEEERERARYE MYCTH_2303731 MRPLTGDDASARSRTSDRTSDPDPLSTFRLPAAPQMTFTLGDES TIGPSSQGPTIPSRRAKEHGAVPHTEQHPEHPEHSKPRIPSHLDFERTKEPATTTRPP GSKGGTIDTLRQEGYDLSQPVSPLPRSTDTPNLSRPLTPVFLTTSGPASALSSVSSRR NSLCLSEDLPSCPPSMRDGDVAVEDDDDDNDDDNNNNNNNNNNNNNNNNNNNNNNGNG DQEGMNAEKQQQQQHGGGSADEGDISNIQGNDDDAGGGGDTSCEISPSMVDSGSAPQL IMPSIKMPSRRPFTDDGKRMGRLKVLIAGDSGVGKTSLIKAIVQSCDHIVHVDPINPS PSSSSVVMGRSGLTGAAGGVGSARQKAGSRRQSARESAQGTTQITEIYASTKPYPEWW SEVDDLRVLRRRKSLGDSVLDRNICFVDTPGYGSGSSSMDTITPVSQYIEAHLQRISS NSLSDGDLLNLLGGEGGVQVDAVFYLVSNRKMLAYPC MYCTH_2303733 MSADQIAASKAQIHGQLKEADIRLFSFSLPSSPTDADKQGVYAV SNATGSDHDTMDASLLMSPDYVQPLAPSELCTLVADVFSPGGVARLRHAVARKYVQWR ASSGQSRTSSSLFSTPSLSRHNQASPLSLSLSLQRTSEAGTSNSYALARLADHAQREE RLAQVRLANWAADLQRSLAREREEYASLARKERALWLAERMGECVRDGDLGSLVVSER SRSRLGVATDASNRDEEGRTRAPRRQRRGRAGQGDAKEDYCHHSSHRHCHHHHQQQQQ QQQQKRQQQSQQHKQDPLGLLEVADELRHKGLVALELLGGIGVLGGLALWVTRNYLHL QPYGWLAAEWERFWYGQR MYCTH_111645 MPSPLPISVPGNTQPSKLAGSSPASPAALPSPFRPRANKKMSIA QTYFLAHKARAKLSSEAARPDHNLRLLVGHANLLDSLMLELADAEREQESWFNQSVRG ASHTSSEERRIQWADTIVEEPEQDWRREDAESVSDSDSDSDSDFDDDEDIEMADAVPL SRIPSHTMLPHKAAYPPSVAEEEDEDDGMDEDEEEDLAELALRRTPSNSASSSSPPEL EDDSDDSIEDESMPPSPPTPLIPTFEGSQKESTDTPTTIDQGDKAAFYEEGYYLPPRN QARLISAISVY MYCTH_2303736 MRSSRTVLASAGAAAAAAAAVSHNPASRACRSCFTCLTRLPVHQ RRAFSRSAPRHAAPSSPSPAGLVELTSRKLISVSGPDAAKYLQGVITANLTPGYAGSS PTSEHIRTDSGFYAAFLTAQGRILHDVFIYRDLRDTSHPPGHSWLVEVDAAEADRLQK HIKRYKLRAKFDIRVLDDGEGRVWHAWDDNSASPSPITTLTSSSSSSSPTTTIITAPD TRAPNLGHRILTFSPPSSSSSSSSSFSSSSSSPLPQIAADGRFPLLPEAAYTLRRYLH GVPEGQAELLHGQALPHESNLDLTGAVDFRKGCYVGQELTIRTEHRGVVRKRVLPCLL YPDHDGGGSAPEITTTTTTSSSAYYSFYRPEIAPGLSADMVPPEASIGRVGRKGRSAG KWLRGVGNVGLALCRLEIMTDVALPGETGGPAFAEAEDEFVVGLGGGDGSDGGDGGDG NETAAPKVRIKALVPDWLRKGLADKERH MYCTH_2126511 MMADQDLIAYARDAASRGDDLFALLATDATASESDIRRAFRRKA LTAHPDKAGDAYDPALYERLERARDVLLNPEAREAYDSGMRAALQKKMHLEQMNEKRR RLVEDLERREAEAKRARTAEAQPQALDAERRAMAARGRAKMEEMRRLREEAEARERLA REKEERAGAPSGSTAAEKPETAGTSQNDNNNNNDDDDYDERIADLERRLKEAQQRKAE KKQRKEERRAERKGRKEKQAAAATPTLAPGGSPPPPERSIHNNNNTTTTNNNNPPPPP PPPTAAEPQADQDKVPPGPKPDASDPPKASDRFASTLARLRAAQAKKEEEKRRKAEQA AAATAAATAATTTTAQ MYCTH_81347 MEKAAVQQDGLLIPLIDFSKFLNGSPSQKAETAKAVLAGFQTAG FIYLSNIPIPASTVTQAFDTSAKFFRLPDSVKESLSWTTPEANRGYSAPGREKVTQLK SAADVSALRTSIPDIKETFEIGRDDEPAYPNRWPPESDPESGSGPGHGEITGFRQIMT SFFDALSELHTSVMRAIALGMDLPETFFDRFVCDRDNTLRLLHYPAVRKELFQISPGQ VRAGEHSDYGTLTFLFQDDRGGLQVQSPSGKFIDATPMEGTCVVNAGDLLARWSNDTI KSTVHRVVEPPRKEGEAQNGEVYPDRYSIGFFCNPNFKDYIEAIPGTYVTEADKKYEG ITSGEYLVQRLKATY MYCTH_2303738 MDDHSSKHRLVDIHTHMYPPEYVDILSSRTTIPLVRTFPSSPDP RLILLESEIPSLERALADPDAPLPGRPLTKHFTSLPAKLAFMARHGIDTSVLSLANPW LDFLPATEEAARTARRINDSFEAMCAAHPGRLFFFGTLPLTAPPPAILESVAHLAALP HCRGVVLGTGGLAGGGMDDPALLPVLRALADAGLPVFLHPHYGLPNAVFGPRSASGEY GHVLPLALGFPMETTVAVARMFLAGVFDAVPRLEMILAHSGGTLPFLAGRLESCVAHD AALVGKNHAGAGAEKGRRRRRTVWEVLREQIYLDAVIYSEVGLKAAAEASGGAERLMF GTDHPFFPPVGEGADAEGEWESVRLNAEAVNKALGDGSEQAAMVMGGNAVRVLRLYDD A MYCTH_115944 MPILIGRRMGRSYTLDSVSPRKRRRTLSTDSDDGEPKVIKSVIN VPSRIKRKVQAENKSAEAARPSDPEPEPTSRVTAPLDANTTFESLGLRPWLVQSLANM AIKRPTAIQRESIPMLLKGRDCIGGSRTGSGKTVAFAVPILQKWAENPSAIFAVILTP TRELALQIYEQIKAISAPHSLKAILVTGGADMRSQAIALAQRPHVVIATPGRLADHIR TSGEDTICGLRRVRFVVLDEADRLLAANGPGSMLPDVEECLGALPPPAERQTLLFTAT ITPEVMALKSMPRPPGRDPVFVCEVDTENLAIPPTLNQMHLQVPVTHREHYLHIFLLT PANVEKSVIIFCNRTTTADFLHHLLRLLDHRVTSLHSRLPQRQRIDNLARFRASAARI LVATDVAARGLDIPEVKLVINYDIPRDPDDYIHRVGRTARAGRKGDAVTFVGQRDVEL VLAIEKRVGRQMEAWHEEGVNLETRVVRDALKLVGEKKREALLELEEQREVGGKRKRG KQKLRLN MYCTH_81343 MAAPQNQSSDSPAAMPSHPALGIPQHNTSSQVPTMPAAPPLLRP AIPGSRPGRAGPRLGLAIPPSPNAKPVSNPGAPAQRPALPTLHLATPMGSNVVPQEQT QPLKPSLSLQSASGGSESSAAHSRSGSFGPLDGRASNPTSAGSQFSALSFASQFGIGR QTGDPVSAVGSLYSNASESMDMDRDGSLHGLESFDEATLEKARTADVEDLDDDGWRIV SMEKRIIELGNLGEGAGGAVTKCKLRGGNTVFALKVITTNPDPDAKRQIIRELGFNKG CASQHICRYYGAFVDPATATISIAMEFCEGGSLDSIYKEVKKLGGRTGEKVLGKIAEG VLHGLTYLHSKKIIHRDIKPSNILLCRNGDVKLCDFGVSGEFGTKGDASTFIGTSYYM APERITGQSYTITSDVWSTGITLLEVAQHRFPFPADGTEMQPRATPLDLLTYIVKHPT PKLKDEPEANIYWSSNFKYFIDCCLEKEPARRASPWRMLEHPWMVEMAAKRVNMRRYL AQVWGWDENEPQASQKA MYCTH_2060093 MSQRPGTHVPAVRVETEKTLGITQRSAAINFAWTGDIRKRYTDF LVNEIRKDGTVLHLRDFEEEVIPPQADNKTDNKPKVQPISEDDRRAVVELLGEAVAQK LIELDERVQAKEPLAPNERFVVFDPVTDRAKRGAIHQEIRRIFNSRFETVANTDGVIT ATASKWALNSRATGQNRGGRRDNNNRNRDQAREFAEAGGEYLHFTLYKENKDTMDAVN TIARLLKIKASNFGFAGTKDRRAGTVQRISVYRQKASNLIWLNSRIPNVKVGDFSYSK EPLQLGQHGGNEFVITLKNCQPLAGDNCSLIQRMKMIQQAVECGLAYLKHHGYINYYG LQRFGTYTIGTHMLGMKILKGDYEGFIEDILHVDEDLLKEVLSNQPQTYGTGKDQQNT RDDLNRARGITAWKTTKKADKALEFLPKRFSSEIAIIRHLGKNPKDFTGAVLSITRGM RMMYVHAYQSYVWNFVATRRWSKYGAKVIEGDLVLVTGSRRRDRSDDDEFNPYDDNDD DNIYAQAHALTAADVASGKYTIFDVVLPTPGYDVSYPRNDIGDYYVEFMGRPENGSLN PFDMRRKQREFSLSGNYRPLIGRLIGNPQYAVRAYREDTEQMYPTDLDYALHKKNAER LAKDAAKTSTASAPQPHADPAVRSWAHFSKNPAMYDNALDTASRRRKAEDDPPSVGLP VTNETWVQTAVDGNAKRVKVARHQQQLETQTEADVPPADVPATGPTMDADEPQEDAIR TPLAGGDGAPLHDDAQKIPPSHIITDGPFPMIPLPKGAIPTTGIRGVSDTYYAETGKI PYFGPLPAGFQMQPVGGDTSAGSVLQSADKSAPSGTNNVHNPTNKADNQVSSSMADPA ATSTVNAQAEDKMGWYGTNLPKVPTSTVANTIDGQANGGNMALVKVGEPGDATSDAEV INGVPVPKLRDASDNPLSSVDAGIDEGSIDPKADKIAVILKFQLKASNYATIVLRELM GTTVEELAH MYCTH_2303748 MAYFEQQRWVTVQEKTFTKWLNTKLVVRNLEVKDLVRDLSDGVL LIHLLECLSNESLGRYAAKPKLRVQRFENANKALDFIKSRGIQMTNIGAEDVVDGNRK IILGLIWTLILRFTINDINEEGMTAKEGLLLWCQRKTACYDEVDVRDFSASWNDGLAF CALLDIHRPDLIDYDKLDKSDHRGNMQMAFDIAHKEIGIPKLLDVEDVCDVAKPDERS LMTYIAYWFHAFSQMEKVENAGRRVEKFVNNMRGAWDMQSAYERRMAALLKAIREQML SWQQAKFEGTYADAKAQAADFAAYKKGRKREWVAEKSELATLLGNIKTKLGTYRLRPY EPPAELRLEVLDQEWANLTKAEMARGQLINETIRDIKNALRKSFADKANDFALALNTI QLAISGLEGDVEDQLHHVRKLADNLPPLDAFLKTIAAVDAKCQEANIEENDFTTYTYD ELCYELSLVQSSVSKKLSFLENQMVARNMTNLTPIQLEEFESVFRHFDRDDTNTLSEL EFSAALASLGLIFSEDEMHDYFVETSGGRDRVTFEQFIRFMVDVTEDQNTAEQVFQSF REVADGKPYVTEMDLRHSLVPDDVIEKLVEIMPVHNGPDMQADRGQPQYDYIAFMDRM IGDPEVTGSGTGRASPSKGFVNGAH MYCTH_2303750 MRSSLTFGGSSMASNSNPAQRPNPLRPVRSFRVERSPSPEPTTR PKRASTFEAGPLPASSSVRTASGSHVPQGDGHEPPDTFVSRISEEAIEPPRASVDLED LPIELISLTDRFIDSLSAKVHPTPPNIDNLSRLFQEFYATASSHIQTHVETLATLQKR EDAPPPSSRASAASLLRAKAASLGSKEKPKASPSKPDSDQQLLTAEEYASRKKARRAL EQKKSLLEEAVERRLCEGIYSKIYRHRSTQDEAQDAKLRSKTAALAVVGIGPVDLGVE LGTAPNDPETTARKQEEVKEWLEQARKELVLMSQSKYPLGKLNHLKAAHKNIIDTLSH FHPSSSADELMPMLIYTLITMPPEHLSVISDLNFIQRFRWGLKLTGEAAYCITTLEAT ISFLETVDLSTLRADETPTGPLKNPGSSPAQKEDTFPPAFSPTSSGPAAISSNPSSQS ASSSFNAALKQSQSPSPAGFRATVNELRARRLSDLVRNTPTPAQTFGAASDAVLNTAD QSLKTIGASLGESYKFLLGKLRERAPDALLKDDGGAVIVPKTLEDARKLIGTPPLPGP EEDATRTPQLSLRSPSPEPESERPPLLSFISGTARKVSRDHSADSARSAGSSSRRGGC SSEADHHPSASSTTQVTATGSSPVITSPPIIDSMRSLGNSLNPMARLSAGIGGFRGFG RSSAAANTAVAASAAAGAPTPPAKDGHGVGRDGGDLATAFPDLAAVLPPREQPKISPP NKRFLELQNAADLKLGEVFELLKDYKRLAGALKDMGAFKE MYCTH_2303752 MFPKRREAGAACTSAGLVTARLLLSFLALPTFPVAAAGHAPSDA QLPLAIEVGPVAPLIPEPPAPAEHTFTLRHIFHHGTYKHPHLHRKQDVTQPKADVWLP AEDGYEAQRIGPLRARSNPLRIQRLVDRRPSVVDPIVAESRKQGFVSVLSPSAWTIDD VPGPNVTDKDTVLTLALMAADAYVEKPGEADWEDVGAPFNRSLDFGWEGDGLRGHVFA DETNSTIVIGLKGTSVAVFDGEGTTTNDKVNDNLFFSCCCAQQGPWTWQQVCDCATGT YTCNNTCVTQALRDENRYYYAARELYANVTEIYPNSNVWITGHSLGGAVSSFLGLTYG VPVVTFQAVPDALPARRLGLPVPPGADPDAPQEREYTGAFHFGHTADPVYIGTCNGAT ASCSLAGYAFEAACHTGRECVYDTVGDLGWRVGIGTHRIKSVIRDVILKYDSVPECKF TPECRDCGNWKMYESNGTESTMTSSVPTTTSKTRTRTETCKTPGWWGCLDETTTTTGT ETSEVTTSTSSTTTCHTP MYCTH_2303754 MTDSPQPATPPVLAPNLEAQLMCSICTELLYQPLTLLDCLHTFC GACLKEWFRFQADRVESAPGPPPPPSVPVFTCPSCRAGVRDTKHDARVFSLLDMFLAL NPARARCEEDRRDMDARYSRGEPVLPRVRRLEDRTEEERLADEEDRRVIDEVRALSLR EATERAAAAAGASASASASAVGGRSTGRGRSTGRGRRSREASRVRGSGERDEMGGSGR RAHSVAMRSDGGGERETEGAMRRRRRGGSSETRQRPQQVEHQSSLRNLISADTMDARD IEREVEEFARQIQEEGLLDGLDLDNLDLENNDELSRKITEAYRRRQRERRRDGGRRSN ASAHSHRSDATAPRPRSRADDDSRPTSRHAAHSRAPSAGGGEERGRYPPSSSAHLDVQ EPTRRRRGSSSGRSATLPVVPTQTDVRVGSRAQTDLSSRSRPVTSDAHVRRPSAGIEA RSASSPTSATVSGSRADSPANRGLPFSARAAAGSGAQPEARSTSTSSRLRSSDQPAAA PEVGVESPTPGSVSSPISPTVASPPASSRRPQPPRYKEPLINCITCHKEHIEYEVHYN CSICHDGDWNICLDCWRRRKGCLHWFGFGSAARARWEKHNLTNLPTPPPHVLLAHRYL PPKQPLSRAEGRRALTTENPLDRLQTGTFCSRCSAWTNDCYWRCDVCNDGEWGFCTDC VDQGNACSHPLLPLAYQPPPPRLSSSSASSPSSSSPSPSAPPASSAPSNNNSHDAAVA PVPASTPTGSFHPLSAPASPSCSTCRTPIPLTDSHFHCYACPSAPDQRQGSYKLCLPC YGALVSSGSVAPENGPTGWRRCPRGHRMAVVGFVPDERQRGLWRRVVQRDLVGGRRAK FEDLGSAAPPGLRVATWRDAAGRKFERLFSADVSASTTGAAAALGDGRFAKVFPPDGG TGHRAVAGWGWTPAAGVEDELLFPRGAEILEVEDVNGEWFHGFYMGAQGLFPAPYVRL VGR MYCTH_2303755 MNVAAARVSALRGLRPVASRFQPSTLPQIARSFSASARRSYEYI EVSEPRPGVGQVTLNRPKALNALCSPLIGELNTALREFQASPTVAAVVLTGSERAFAA GADIKEMAPLTFSEAYSKSFIESWSDLTTALKKPLIAAVSGHALGGGCELALMADILY CTATANFGQPEIKLGTIPGAGGSQRLTRAVGKSKAMELILTGKSFTGEEAERWGVAAR VFPTYEALLEGALKTAETIAGYSKVAVQAAKEVVNKSQDLALRDGVEYERRVFHALFG SQDQKIGMKAFAEKKKPEWSHQ MYCTH_2315074 MDVPDEPQTPFGTFTAQTNKLQRQYQALLDQSTPYVLYRWIGTG AALFIFFLRVFLAQGWYIVAYALGIYLLNLFLAFLQPKFDPSNDALDNDMEDGAIGTL PTKQDEEFRPFIRRLPEFKFWHSATRAIAISFLCTWFEIFNVPVFWPVLVMYWFMLFI LTMRKQIQHMIKYRYVPFTVGKARYNKSSS MYCTH_2303763 MSSSQSRSGGERMIHQDYIARIRYSNALPPPPIPPKLLDIPNTG LASGQYTAPGFASRLAREQPLNIEADAELGMPLDLVGMPGVFDGDESSIQAPAQPPPV HPHDRPLLRPLSTLGKPKLGDTAVSFLRRTEYISSVTTKPKPADHVFLRASSGNTPKR PEKRKASPEPDKGTPAWIKRRIEKSFEAAAANLADRTRVKHPSKRNLKVVDALPLLPD LEAFPDSGAYVTVKFSTNPVNSSDSYDTRLLSGIFKPIERTAAEEQIYEAARAAWEAD PTLPKPSQMMNYDLFLPADTLTGENFRKKFDVDNPDRDSHALYTSSEAGGSFRFPRVR AYETAQEKEMDHDNKYDEEVILAFRDDEAASKGRVDDSAQKAVYYYPVMQRTTIRNQR TKNIARTIGIADEEETHLDELHVRIDDPSDELKAELARYKHQPVGDLSDEDEGGEEEG GEEEYAERTGEQEPDEEAEGEREDGDEEERAGRREEDSDRGSRSASPAAANGGPNHEE QQSDVDQDADADGDEDE MYCTH_115935 MSRLIHTAQTKAIPAAGAVKRPRNSFTSRPADLSSNGHFDEVKR TRFDYFAHQPVWTHSRMSCPPESPARILEEEDQFDDIAKYAIGDLGFVSNWTAMSDIC LSPSLSSTYGFFDRPNAYGVVHDLFPIFSQSKISSYADILYPSPWYWADKVPYSAAKD PAWDKKQDRLYWRGSTTGGYSRDGGWRRQHRQRFVQKINAPDKAKILTPPSSLPPPPS PSSSSTPTKPTTKKQQEQQQQQQQQQQQSRPPQQEQEQKQEEQQQKEQQQQQQQQQQQ RQERETQQQEQQQQSAPPPPEQQQQQKQKQQQQQHDEQQQQQQQQQSAPPPPEQQQQH NEQQQQQQQQQGEEEEEEQQRPQHQQQQQQQSYEPEQWTVREVPRGNYKSLLDVYFSH VGQCDPGDCDAQRAFFEVKDYAKQEDALEYKHVLDMDGNAFSGRFYALLRSRSLVYKW AIFREWHYEWLRPWVHFVPLSLHGEEWLETVRFFNSSGRASSEGGSEDAEVPKERKEG AEEAERMAQRGRDWAGKVLRNEDLEVWFFRLLLEYGRVIDDDRENIGYAG MYCTH_2303766 MVRTSVLHDALNSINNAEKAGKRQVLIRPSSKVIVKFLRVMQRH GYIGEFEEVDNHRSGKIVVQLNGRLNKCGVISPRYNVRLAELEKWVVKLLPARQFGFV MLTTSAGIMDHEEARRKHVAGKIIGFFY MYCTH_2315077 MSDVEENNAPVQEEVEVSADAAKGQMSVLDALKGVLKLSLMHDG LARGLREASKALDRRQAHMCVLNESCEEEAYKKLVIALCSEHKIPLIKVPDGKQLGEW AGLCVLDREGNARKVVNCSCVVVKDWGEESQERSILLNYFQSEA MYCTH_2303773 MGKSKESKSAGAKGGSGGKADKADKSNKKGGGSNSKAEGGDAKA TKLKGTTINVRHILCEKFTKSEEAINRLKNGEKFDVVAREMSEDKAKAG MYCTH_2303774 MYPEDTRVGSVSEIQLPSVPPGDENVRADLGPAGASRASRATNH LTHHHRASPPQANFGPPALLELSDSARESLAKHGDFGPEADQLSFFLELALVEEVKGT PSLDFETVRISRLDKLVADLTVCGEGPFNLAPRFVHDVVAAGKLERKWRARFRVDYLM IDEIRLRELATRWRTPAEPSRNESSSPHLWPTTAAVARPIVQPGREVTFGPGSYVTSI RQCPCVPSPVS MYCTH_2118030 MSTSNPPPTEDRAASVQKIQTLLSAKDDTSRFVGLALLKSVLDN TPELRNEQVITDLWASIPPKFLARLIKSGIGQQKQSDESRKESNDMLDLAVSVLHTFT ALLPESAKQDPKLVDRIPQLVACLVHCSDETTRLTLEALVSLVSRPDGARVFTTAVED LAPLTEIAAKQPLALDTLLFAWLNAAMAATTDKSSLRSKIDSTVGSLVASFKGTDAVT LLSFLANLLPRLNPEALPSNPKWLPDLGTFIRNLVASRPTSAGRAAFTNLSAALLELY PFHAPQLLFADQDTAGKSSSSSSPFSYLLINLILVDLRASLPTLLSQLNTPEYPPTAY RLTSAFNVISHFIGYLLRALDAPDSSSAPFSLAISPDRLLSLRKSLTETLSLTTEYLR DRWDASVAGAMGLHPEARTGAAVAPATGATHFTLAWDSIGTDVAADDPLVLAAVRALA LWAREDDGELLRREVGGLCDMLVDLYRESGSNRGGGGGGGGGGGGNGQQQQQQQQRGR RLDFRRAVLVAFEGVAADRKGRESVLSNGGWEVLVGDLEGILQASSAADDEDEAARGI EIVRVLMQLAETERPGPREAWMDLVTHVAAWDVPEAKQSPVTEECQVAVLQLVTTLLV NTHPGVQKRYVHSTSAVLGIAHQLQEKVKGDRALEEALDDVVGALITLR MYCTH_2303780 MDEPVPRHIDIADVDGIGKRSFAQIGLIKNSDYAIKIASNNTHQ HHEREKEVYERLGQHTRILQYYGEVLIHSIERTQRGLLLQHHRNGTLDKSIRR MYCTH_2303781 MAPTNAMNNWASSAGPSNSRPSRTSEDRGHGNAHSRPYEHGDDE SDELDLLGDDPDPSNAAAADDGDPTAILRDDPLQTDLSSPLTFKRRQKQSHFLSQPGR ILNRLVGRHYFSSSPRNSQDLPRPLPRTTRPATPGTTTDPSVNLNLNTLLPSNDNPDQ TAAPHYKDLASAHPHHPHDWYAEGPGRRVGYEDLTAIDWIFEYTKERQRQRALRARTA ALHVPLLGHLQRLLDASQVWVVLLLSGVAVGALAAAIDVATDWLGDVKYGFCSGDVDG GRFYLGRTACCLGYDEGSQCRGWRRWGQVLGAREGGATWFAEGAVYLCLAVTFALSSA VLVKEYGIYARHSGIPEIKTVLGGFVIRRFLGVWTLITKSLGLVLAVASGMWLGKEGP LVHVACCCANIFTKLFPSINNNEARKREVLSAAAASGISVAFGSPIGGVLFSLEQLSY YFPDKTMWQSFVCAMTAAMVLQAFDPFRSGKLVLYQVTYTSNWHGFELVPFVLLGVLG GVYGGLFIKANMAVARWRKSTPWLPGPVAQVVIVAILTALINYPNRYMRSQNSELVSD LFSQCSEMLDDQFGLCKTGAASAANILLLLLAAILSFGLAAVTFGLQIPAGIILPSMA IGALTGRAVGIIMEIWQTNHPKFIAFHSCEPDIPCITPGTYAIIGAAAALAGVTRLTV SIVVIMFELTGALTYVLPIMIAVMISKWVGDAFSRRGIYESWIHFDEYPFLDLSEETT PIPDMPASQIMTRVEDLVVLTATGHTIASLSSILDAHPYRGFPVVSDPRDAILLGYIS RAELAYTLHAATRPPRSLPPETEAFFAHQPMADPRTTLDLRPWMDQTPLTLPSGCRLQ LAVSYFQKLGLRYVLFVDRGVLQGLLTKKDVWYVLNGAEETRRTSGTAGAGDGGVGAG RGGAHLGLAREHGETEEEGEESAGLLRAVGLAEETGLASPGVDRGSIL MYCTH_2109943 MSVRQAAVGSLLAFPYEPWTLSCGMVVEILDGASDLRSRTAQTA VSADGTQTAFLKLYDWRYATQLRDDKEMGPWAQVCAAAGREPFSSRDGGRLGRRPKRG FLAYQSRAMYRSETSVYGRLEENCRGEPLASRLVAAVELDMAPPELEDHCREHFQVKG ILLEYIANGFGFTLSELSFRAPPESWQVVVEQAVKIARALGDYGVLNKDVRPNNFMVR PRRGSHGGDADGGPSGEYRVFVIDFGQSRLHRDDESDLDWGRAKWRQDEEGAVGLVMQ NRLRMLGKEIMYTPSQRYRVFAERENDE MYCTH_2126533 MSNYKEILKKGWHPEKDGTTLKGQVKSLIGRGDDNTRRSYHVAT PLNSLRDPASFGPPPKRNSNVVIGATSPATSSSSSPASQTGPAVAPRTAAAPEPASEE PPPQPNPWRLDTTGLSTAHLPPPPTRKDGTDGRSPPAPPPAPGGGGAKAPPSLPPRLP PRSGTASPATPSPPLPPKPQASATTTTSSSTPSPALPARPQASSPTTGPAQGYLNQSA VNRLGAAGISVPGLGITPSYPNTSSSTAAAAPTQAPATASPPKPPRPQPSHMSELQSR FGQLRTTADNAERHGEQIAGASRAVGALQQQQQQFGGGAAAAAGGGGPSGLAAVAGKK KPPPPPPKKKDIQIPGFGAPGQKQEQGRELDHNKRGIGGGGQDDEPPPIPLATKPQF MYCTH_2315082 MIIYKDIISGDELFSDSFDVKEVDGVVYEVDGAFITVKKGADVD IGANPSAEEQDEGVEDGEERVINVVHSHLLQSTSFDKKSYLTHLKGYMKTVKTKLQEA GKSEEEVKDFESKASAYAKKIVANFKDYEFFTGESMNIDGMVVLLNYREDGTTPYFTY WKHGLKEEKV MYCTH_2092086 MMANATIASDLPPLPVYEVRPMPDLLPFISDFWLSTIIPHVAYW IVSLIFHVIDINDLFPQYRLHTPEEITQRNLASRYEVARDVIIEQIIQMTSSAILSMT EPRQMTGMEDYEVAVWATRIRLAQRALPTILAALGLNAAAISKNMAASHPFIAGALAG GHYPFLTTTLDDVTGTAVPAFATWELLLAKAIYWVIIPAFQFWVATCVLDTWQYFWHR AMHVNKWMYTHWHARHHRLYVPYAYGALYNHPVEGFVLDTLGAGIAYKVARLTPRSGM VFFVFGALKTVDDHCGYALPWDPLQRITSNNAAYHDIHHQSWGIKTNFSQPFFTMWDR LLGTMWKGDTTLKYERARAAAAGKALKKQAGTGVSKRVNGKAEAKSDAK MYCTH_2303791 MVRPYDPTLTETAVRVDPRDSREYAGNQEASPLYDICHHPEKYT NVRYFDREMVVLDDANAKSPDHVILMPRDTSIKEMACLKTKHLPLLYRFRDQAHREIE RMMRVDPGRIPMFRVGFHTIPSLFPLHCHVHDCSLSTDKMFHARHWKVNFSNMFVALD RVIEEIERTGRIEVDADAYRHDWRTRPIRCPVCPGRQPQWEADITELAAHWRRHVDEW KSGRAPLPPNVTPARLWEPSYPVILTTRRRSGFLGLLGDELDHLKAEFPGLEIHSYYT DEWPRIPKYVLDKTTVYLSAAELPPPGYSLPRLEWVHLGSSGLDLLAAHPYNTHHHRG LRVTSSTGSGSEAVAEWVLMNTMFLTRRMGAALRNQAGREWAPRALTGFRTISQLSVG IVGFGSIGRHVAERFLALGARKVTAVNTTGPPLSSSSSSSPCGYSRLGEVTVLPLLQR GGDGKPEARGGGGDDDDEKDGLREFLRDQDVLVLAAPLTPETRGLIGGAELAALPLGA VVLNVARGPLLDERALAERLRSGHLAGAAIDVADQEPLDAASPLWDVPNLVITPHVSA FHSKYNQNMLAIFEHNLRAHLCHRPVTEMRNVVQLPVPSEPSPLGSKSNAAPKRPTKT AYAVKESSSKN MYCTH_2079474 MDPNTTLYTFMLRTPPSVKTVNLIGSWDNFTRGYTMERDSRRDR GQWKGCYAFKDITCEGDAGSVPKRNGGLKMGHTYYYYYELDGACETHDPSQPSTNTCP YLPGQTVNTLYIPVEQSGRKRSASMTSLNEADFKTMDPTSKFVTPRPAPTPPEPVRRL GSAPLWMQQHQKRPSRSPSPSSRWHFSTARKLFSRKPSSCSLRDVITQAPQAEDERSL RSEGNRSRDMSPESLRRFLVDDAAPAEAEHEDNSRPAIDIPEDIAEENEDDDNFATSA VSETMPFTGLSPPPPRSLSPAGSTISTLNKKKEEAVPPGAQNSHTAVPSAPTRQPPLL PTISTARAYVPHPGEFPLSALACQPFPDSPDSGSPPGFCLSEAEEEEEEEEDLDVDMD MDMAEKGRDGALASSTAGAVGHPKSPLVARNIAATLSTYSLPRTAGTDAGKLVAAVGG TGSTGTGTGTGDATTTTTNIPPVSSNDERLASSTAADAVSGPLLLTSPIPDAGLEDLV TELGWMVETIGRF MYCTH_2303798 MATTTTTAVRELGAVGNVCLRCQLRQLVTSRARMRRGISSLSSA AVVEDGHADMQPQKEDGTPPVSPVPSAPLHPIGSRRSSSVAMFQSIVQNQTQMPATPG VSAGGTASIELVKDVARIQTMMEREGATLAQAYAYFEEVVHPQLTKEGSGVPQIVKNQ IAAVLLNRLALEKPRDFESNELPSVTRITEIMIELDVLRPSAWATLVLWLVQHIYRQD TVPDAYASLKDYETAMARRDALLRDLLGAWRAFCAQSVAVKEGSPDNASEEEEGKPKS ERAGTQPQQRTTLQKAFGSMFPQYLVPSLLRPTFAAFATYKLLTDTFNPARATSGDAA PFLQMMKRLIFRTRPPRLEDFKPIFDTFPDLPRFVWPKKRGKDEVKAFLRPISGQTFS QRKNSIHRQLGNAVKSRDLEMVKKAWLELWGDAPVPDAARISELVQWPDLFDYFILAY TMMRRPQQAIEVWNSMERIGIKATTKTWTAMLNGCAKANNARGIKTVWDKLLASGLRL DAAIWTARIHGLFACREPQAGLRALDEMAKYWDARDDPRYAAVAVKPAVEAVNAAVSG LLRLNREADATRVLAWASKRGINPDIYTFNILLRPLVRRGDMKGIDEVFATMRDANVH ADVATFTVLLESTLSNIADLPPAQVISLVERILAAMKSSGVEINMQTYAKILYLLLRE GDRAEEPVKAVLAHIWRRGLELTSHIYTMLAEHYFSRDPPDAAAVTALIENRRLHDNK GIDPVFWDRVIKGYCQAGEVRRALDIFDRHFVGEGTITFGTLYDLLRPLVEAGDMEAA MRVVEAARKIGKAEEAAGHHGGSEGKRFWRHRFWHLAYEHGLMGGPLVERFRKANV MYCTH_2303799 MTSLVPRPPYTDEELRKLYPEGLELQLVQILMRHGERTPVSARF QNAGLKPWWPYCASARQMRSVVLAASNATTGNHAFDTLEWKRRLETFGDDDGPVLARG PNAERDDICDMGSLTDLGRQSTYNLGLRLRKHYVDRLAFLPPTISSADFLYLRSTPVP RALESLQQTFSALYPPSAREPGPDGKFPTPTILTRVPGDETLYPNDGNCRRFAALSRA FAQRAADRWNNTPDMEYLQKIYGKWMPPGSKVAVDSRPRLSGIMDTVNATLAHGPETR LPDEFYDEKARAIMEKIGVDEWFAGYKESREYRMLGIGGLMADIVGRMVGTVEQQPRP GGGRIRFGLSGCHDTTLAGVLASLGAYNTDRWPPFTSHIAIELFRESKAASAPPSEPA KGNWWASILGSPPAPASIGRRPMTELTAAEREKLNGYYVRIRYNDEPVTVPACKLPGN HLAGDESFCTLAAFKSVVDKFTPKNWKEQCRMNRDQPALPTGEPEWAGY MYCTH_2109950 MASNTDSHPEPAPPSTEPDTGRGAKEQAQEPAQTQTQTRAQAGP ELVTEAESQRIDALLARYLALLDEYAALRTRLGDLQAAVFRDLARANFAAERGVRYYG RDYYDERMQAVRRVRVRMPVPVPVPVPAQTQARARARGSSGGGAGGGEEGSSGDVDLE EDNRAVTNGRADGAEKAEAAATAGLGGEEEGARAVNGASGGEGEGGREEEGGEKGGGW TGPVFSVEVYPPPGGEPEDSESAVKRPGQEEESGPDGKGASGLVGKEAGKGEADGDGD GDGDGEGDKYEKRWGGGGSTITATTTATTTATTATTATTTPEEGDEGTKKKPKPKPAD PLRWFGILTPLPLRQAQSHSIKAVEEIIPRLATLSAEMAGVELEVRRARKRRAKAEKA EEKRLAELGDMMAKVNVGASMT MYCTH_2303801 MVLGTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VHHHCPGVPCLIVGTQVDLRDDPQVVQKLAKQKMAPVRKEDGERMARELGAVKYVECS ALTQYKLKDVFDEAIVAALEPPPPKNSKGNKHRCQIL MYCTH_2303804 MAAPQDLADRTKDASDLKCYIDPTSGKDDASADGSEAKPYQSLY YALLQHLDKPAPVYLTRSAAPKEGSDGGAAAAPAWEEPAKSAMKKALGRVDAYKKKLA KEQASARQEEEERKQRLKNLEEAKKIVLKEDPSLPPAVRIKINNKSVELGDGKTKKGT RVKVYGRIHELRVQKTTTFITLKDGYGYLSCILPAGDLTKNYDALMFALETALVVYGE MRAVPEGVKAPDNRELHVDYYKVLGAAPSDLDAITNKVSKEQDPWDAQMLDNRHLVLR GENASSVLKLREFVELAFIDTYREMEFRKVSPPAMVQTQVEGGATLFKYDYYGEEAYL TQSSQLYLETVMQSLGNVYCIEKSFRAEKSLTRRHLSEYTHVEAELDFIEFNDLLDHL EEIISRVVDKVLAEETMRGYMKSLNPNFQKPSRPFLRMKYEEAIEWLNKQDPPILNEE GKPHVFGDDIAEAAERRMTDIINRPILLTHFPVEIKAFYMKKDPQDPRVTESVDVLMP GVGEIVGGSMRMDNYDELIEAYKKNGISHEPYYWYTDQRKYGSSPHGGYGLGLERFLA WMANQHTVRTCSLYPRFMGRCKP MYCTH_2303808 MQDMPGHQAGPSAPGRFGHQSKPSNSDTGFSHSAFTSNISGFAD RHPRRGNIPTINTQPIQQHHHQGGPANGADLTTPGTAFDMQFTPLLPSQLLLGSPFQP GTPAAFATPHFQNVNNFQQAQQQGLQQQANGPSSPVQQNISPQVYQSIVSPSAYGAPQ FYTPQSPTGSFNNVGGQLPVSLQPTSPVSMGAGMVTGTSRTVYLGNIPPDTSAEEILG HVRSGQIESVRLLPDKNCAFISFLDASSATHFHSDAILKKLCIKGQDIKIGWGKPSQV PTSVALAVQQSGASRNVYLGNLPEDITEEELREDLGKFGPIDTVKIVREKNIAFVHFL SIANAIKAVTQLPQEPKWQAPRRVYYGKDRCAYVSKTQQQNAAQYLGIAPGYAHMLTG ADRDLISSALAQQSVAAAAVATTAGGIGNLGNRTIYLGNIHPETTIEEICNVVRGGLL HHIRYIPDKHICFVTFIDPTAAASFYALSNLQGLMIHNRRLKIGWGKHSGALPPAIAL AVSGGASRNVYIGNLDETWTEERLRQDFSEYGEIELVNTLREKSCAFVNFTNIANAIK AIEAVRSKEEYKKFKVNFGKDRCGNPPRQLQQQSPRGDSPAANGTTPNGNSPTGGSAA TAAAAALFNTTTNPLTMYLQHVSQQAQQQAQQQAQQQQQQQQQQQQQQQQQNHVLAAQ QAALFGTAASSPNEPGLTLEVPQGPVSGHQQSASISNGYVSNPSTSGATTIGGLLAPG NPRASHNRAVSLPVFAPFENGGASPNSLHGSVGGEPGEPRRGHQYQASFGGMGAGFGL AIQGNLNGWVEEEVAN MYCTH_2303810 MASRSDTELLTEHFGYPPVSLLDEIINSVNFLAERALNSIEQGL LNAPPASLGFRPSRQRRPPRGPRSAADDNKNNDDDDDDDEEALARQRHRDEVESGTHQ LETLLWASIDKNFDRFEIYVMRNILCLKQTEMDWLRLAHYDGLVFPPPGEEENGGGGE GEGEGAGREGGGENNNDDDDDEKLSVESINRLRRRLQASQRLNCMLVAERARNAALLG EMRRLVGVLPGQGVKVESGQSSAGGGGGGGGGGGGGSGGGDQQQQQQQQQQQQQKPPF GFVHEKGDLTIGDAETPLSTTTAFLLSQLQSLRELSMALRGVMARLAAGDGAVQEEEE EEEEEEDDREGGDGKGQKKKKKKSWRRERLEYVETATKRHLENVRGLELGKNGEVRDG EWDGGGRSLARGEVESLERVADMLGGGRREDEDQDQDQDQDRMDES MYCTH_2303811 MAADEDERKSSSSELSDIESTNISSDGDSDAGEPQQVEWLVTSR AKRSTAGNRLKAMLANEEPAAEDSDLELLFAEDEDDAGFTDDAPEDASDVLMDSSSDD EDKEDNGDELEGEKELERQARAKRAAERKRKAQDAIPARFRKKVRIEQPAPRPPRPKK KSERLSWLPSPADMPTRASERRTTKMSKQQLHEKMISDELRRKKQLERMERKAKQLEA MKKPPMTQAERLAEAAIVEKRNSKSLNRWEEAEKQREEERLRKIAALHSRKLDGPVIT FWSGIQELQEGQDKHVGNLVSMEEKAPRKKRQSAAAVALAAKEAEASTPATPTEKPGE KTLETPNLKTEQTDSPAPAVAQPAPPVASPAASAQEAPAIAALAPISAPTSTPISKPA PTPPQPAPAPPPAVSPPASALVPPQVPATTPASAPVQTPAPVHAPATGPVRAPTIAQT PAPAPAPVPAPPPVSAPTTGPVQPPAPAPVSTPMAPPPIPPPPPDTRTGNSGVLVAPV LAPPPGISPSMGGQMPMLGLPPGVKPFLAAPNTTPSPSPLSMPPPAPAAAPGPQPTDP PAASTPKPKVSVPPPPVPPTPAPTTPSQTPQTQLKSPSTKPPDTPQEPPAAASDPPRE GKVTRSCIILQNFDEQAIKDKQVQTQILFGRKMNKLAKPAHPPLCVITNQPAKYRDPK TGLPYYNSYAYREIQRVYRGDYKWSRLLGAWVGRGDYAARGVPEWFLDPSKAKPAEPT EPSEQATKPAAGPTAEPVLAPVDGAVELKTEEKEGRPQDNPQNEARLEPVDGVKEPGD GAKGTETAAPSVPQPAGA MYCTH_2303813 MFRHGARNFATSARRLAVAAAAAAAAEPTQHLIAVSKAQGIAKG LTGAIGNTPLIRLNRLSEQTGCEILGKAEFMNPGGSVKDRAALYVVRDAEERGLLRPG GTVVEGTAGNTGIGLAHVCRSRGYKLVIYMPDTQSQGKIDLLRLLGAEVYPVPAVAFD NPQNYNHQARRHAERLDNAVWTNQFDNTANRRAHIETTGPEIWNQTAGKVDAFTCATG TAGTLAGITRYLKEVSGGRVKSFLADPPGSVLHSYVSSGGKLIERTGSSITEGIGQGR ITDNLAPDVGLLDGSLFISDEKSIEMVYRCLDEEGLYLGASSALNVVAAKEVAEKLGK GHTVVTILADGAYRYADRLFSRKWLESKNLLSAIPEHLQKYIVLP MYCTH_2303816 MLTTTTGPSALRAAGGRTWLPISHGAAALQHRCLSTSSAYRAAR IISFGETSTPELSQVLDDYREKVILPTYLSQEQRRKIYNPRIKHILQNDPITMEIDGV VHKFRYRSMVADLPSTRGTLKQIISLLKTPADFQNIPPFLEGCVRARRKIEPVDYIRL VRLAALNGQLQMIMDCVKAADKTGFKLDNSHVINELLAYIQRPAILGGFDEAKTKAAL KQVRLVLNILESDEEHHRPGAKTAGAFPYYRDPQVLGARLHMAAARAVHHRGGKDEDG RVARYAEELVALWPENAGLLDLQPDRAYRKRDGIMRYMLDRNTYLFMASPVLNGLTLA AQVVDPALAMQLQNRADAVDSEVKAALASPLRKKGGRGEQLYNAIFNPQAQAEEAEE MYCTH_2303817 MNVAPLARRPLGCLKASLRQARQQKMVFARCMATETAQAQTTST PPPTPPSAPEHGFFALKDRKTKTLRTAFAVYPSTVAAGSKSLTPPPANALQALHEAQI KKMDPTGARTALFAKTREAAKVGDVLMVTHRRGGEPFAGVLLSIRRRGIDTAILLRNH LGKVGVEMWFKIYNKNVAGIEIVKRRNKRARRARLTYMRKPKHDMGSVEELVFAWKRS RKVFSSGKAAGAAGGASKKATDSKKK MYCTH_2303819 MGRFKKALVGQLKALRPAFLSTKPHFHFISVHYFYVVGLALLGS VIVYGAGRGNIAYIDALFLASCSSTQAGLNTVDLNLLNTFQQVVLYLWPMMANPITIN SFVVFLRLYWFEKRFQHIAREARLRRGTVSKAKTAPKANNADLERGVNGRKITVMLNG ARSRITNDGMLLEGTQQPSDEQRLDLASPAARQDGAEASDEASEPRRPEIKFARTVTK SDGLGEDPIKLPPVVRPDHEHIAFVERQRKGDDEVLRIPNPRDAERGMRPKRVEAGDE EEADVPPRSAAAAAAAERYAEPLGNRADSRQQAITIEEPDRNKLQQYDSDDLIETARG PRHVFSFLKLPRPRSLSRMTGRFQSSEPGPSGTARPGAQRRQSIQSIRTAFSRDRVEG TPYLSWEPTVGRNSAFPDLTEEQREELGGIEYRSLKTLALILTGYFWIFAAIGVIGLA PWIAESERYGKIVDQAGVSRAWWAFFTASSAFMDLGFTLTPDSMNSFNTAVWPLLLMA FLIVIGNTGFPIMLRFIIWVMSHLVPVGTGVYEELRFLLDHPRRCFTLLFPSGATWWL FWLLVIMNGLDLMFFIVLDLGSGPVVDLPAGLKVLNGLFEAVSTRTAGFSCVNLAALH PAVQFSYMVMMYISVFPIAISVRRTNVYEEKSIGVYSTSDDEEQPGHSDLSYVGSHLR RQLSFDLWYIVLGFFILNISEGDKLMANEFSSFAVLFEVVSAYGTVGLSLGYPGINAS LSAKFSVVGKLVIIAMMIRGRHRGLPYALDRAILLPSESLNAREAADADARMNRIHSH ASGATGARGSSLARRRSMTVDRGNIIASLLHPGPAVPAEPPLPEMHRAKSTEPPAGSG APAADDQETYATRVSSRRTEPGASRRVDSGLPTQRTRTASGDD MYCTH_2303821 MPPKRKAPPGQAGAAKAGRASAMSTPGPATPRSLDSSVMSDGDE DFDEDVSEEQREREAMLSKEADEFVSKFTLGGKRLQGQKDDIGREVRRYDAASPFFRK RDFSHLPLKPDHQNRPLWIDPDSCTIVLERFNPLAEQATDFLITIAEPKSRPTFLHEY ALTPHSLYAAVSVGLRPKDIINTLERFLKTSLPDIVRKKIEDHTRSFGKVKLVLKHNK YYVESADAEVLQTLLKDKVIGALRVQGSGDITTSYAPTMGGLVIPGTQNAAGVHQADL KPGEKKPGEGSNVPNEADLFTALNEEDDDDDKEAVHSFEISDANVETVQKRCLDIGYP ILEEYDFRNDDVNPNLEIDLRPNTQIRPYQEKSLSKMFGNGRAKSGIIVLPCGAGKTL VGITAACTIKKGVIVLCTSSMSVVQWRQEFLKWSNINPDDIAIFTAESKQKFSGSTGI IVTTYSMVTNSRERSHDSKKMMDFLRGREWGLMLLDEVHVVPAEMFRRVISSIKSHSK LGLTATLLREDDKISHLNFLIGPKLYEANWMELSQQGHIAKVQCAEVWCPMPTEFYDE YLRANARMKRTLYAMNPRKFQACQYLINYHEARGDKIIVFSDELYSLKQYALKLKKVF IYGGTSQAERMQVLENFQHNPEVNTLFLSKIGDTSLDLPEATCLIQISSHFGSRRQEA QRLGRILRAKRRNDEGFNAFFYSLVSKDTQEMYYSSKRQAFLVDQGYAFKVITQLANI EKTPDLAFATPQECRELLQRTLVDNERGVEDDVETDDLFGKTGRSRGAGSGNGVKKPG NGVRRTAGMLSELAGGQDMAYIEQNKAANKALKAKAKKGAGSEGQSKFFKSIQREKEK ARARAGL MYCTH_2133968 MASGYDRALSVFSPDGHVFQVEYAGEAVKRGTCAVGVKGKDVVV LGCEKRSAMKLQDTRITPSKIGLLDTHVCLAFAGLNADARILVDKARLEAQSHRLNLE DPVTVEYITKYVAGVQQRYTQSGGVRPFGISTLIVGFDKGSDTPRLYQTEPSGIYSAW KANAIGRSSKTVREFLERNYKEDMDREATVRLTIKSLLEVVQTGAKNIEIAIMAPGKP IEMLPVEDIENYVKNIEQEKQEEAAKKKTGRTPGTGSAAILTRGTQGESSEQ MYCTH_2303826 MAARQQLTPVLRRLKLTRASCPSISRQCALRRSLSPPARCFSNS RRQQFQKTSPAAAVQGKLTSETYPDLKRDSRFAQLTEEHVAYFRQLLGSESAVIDGVT RSDAADDIEPFNTDWMRKYRGHCRLVLKPGSTEEVSQILKYCNDNMLAVVPQGGNTGL VGGSVPVFDEIVINMGRMNKILEFDEVSGTLVAEAGCILEVADQFLASKGYIFPLDLG AKGSCQIGGNVSTNAGGLRLLRYGSLHGNVLGIEAVLADGTVVDDLCKLRKNNTGYDL KQLFIGAEGTIGIITKVSILCPQRSPAQNVAFFGLESFEQVQRAFREAKGQLSEILSA FELMDESSQALVRQVTGNKRPLEGEYPFYCLIETSGSNADHDSEKLQAFLEDVMEKGI VADGTLAQDETQIRSLWAWREGIPEALSHLGGTYKYDVSIPLRELYQLVEDTRARVEA AGLIGDTDEFPVRAVVGYGHMGDANLHLNVSTRRFDERVEKVLEPFVYEWIAERQGSI SAEHGLGLMKKKFIGYSRNPTMVGLMKNIKTTFDPNGILNPYKYL MYCTH_2303828 MVGVPGKYKGCETCRLRRVKCDNQRPHCRKCLDGGRICAGYERE TVFIIGTLDDRGRCSSHPPRVVNKASGTGGGSTGGSSSGSGSGSKKARSRSSTLVTKE SVGGGGGGDEGKESGTVVEVVVDGEPRPAWDDLVQLDCRRPRQRRGRYSTQLAGLGTD LAGVVVRESGGGGSCLSLPAYGTPDVQLGMGTEELRLGPRCLVHLAAPDQGQGVAHSV CMFLYQHGNDPYFANQPHWKDPFVQSDNVLRAAPEQFRSFPAHHFFARFYRPNAIMTA LLNRTPTFLAESQWLSVPFEIHPKAPLDRLFDNLAVLASLLPRADHVLSQEPTFARRL MAQELLNDCLDLEMEMGRWYTSLQHPSMSGSAGGGAGGKPLFWLSDSTVTRVNPPFNP LIFRDNHTALALSYYWAALVLFYPTIWRLYFAAVIDAVVVMDTTTTSNPPSYLPHHQP HQHQYQQHQYQQQQQQQLPQSTTPSMFTPLPIPPRLQDLDPMRYSLPQVRQIAGNVCR ALDFLLLVLLPLPLLPPEGNKNSSSSSNNSNNTPVPLPGWAQPDLLWLPLLAVARLFR ELGGIVSAQPGGASQAGAGMGMGMQMGVGMGLGMGAGLDMGLMDASSGDGGGGGGGEG RLVEEMWCDGLRERLLGRVGEMREVVAGRRWFDVASL MYCTH_2303831 MARKQATLGKFFGQANGAKGKPAPAQQTKLRFSTKAGDEKKEND EEVVPKKEEVASEVEDNESVGSDKGTGKGDIEGIIRANNAGSTENSKKRGRPARPAVA AKESKADVEEDAEVAAPASKRPRRGRKVVVDEDEDDVEMEDAPEPEPKKATKASSAAR TKSPKGKDTEPEVAAKTEAEEDTPESSSSEVEEEEMQDEEEKPEVAAKARQKVQATLK SDVKHPYPDWKEGDPVPYAALCKTFSLIELTTKRLEILAHCSLFLRQVLRLTPDDLLP TVLLMVNKLAPDFAGIELGIGESLIMKAIGESTGRSLAVIKQDQKEIGDLGLVAVKSR STQPTMFKPKPLTVRGVHKGLMGIATVTGNGAQGRKVDGIKKLLSAADANGTGKVDIT KDKGGPSEAKYIVRFLEGKLRLGLAEKTVIVALAQAVVAHEAYQKGTVPSTSDLEKGE SILKTVYSELPSYDIIIPAMVKYGIENLREHCKLRPGVPLKPMLAKPTKAITEVLDRF EGQTFTCEYKYDGERAQIHYVAKDTDEQLSQSAMGATKEVGKGVAAIFSRNSEDLSKK YPDILAKLPTWVKEDTKSFVLDCESVAWDVKEKKVLPFQQLMTRKKKDVKVEDVKVTV CVFAFDLLYLNGEAVVKKSLRERRELLQQAFQPVEGEFAFATYMNGQELDEIQAFLDE SVKASCEGLMVKMLDGEESGYEPSKRSRNWLKIKKDYLSGIGDSLDLVVLGAYYGKGK RTSVYGAFLLACYNPGTDTYETVCNIGTGFSEAVLEELHKSLSEIVIDRPKPFYSHSS GSQHQPDVWFEPRYVWEVRTADLTLSPRYKAGMKEGVDPSGEKGISLRFPRFIKVRDD KKPDEATTSRQVAEMYRKQESVAKSKGPAVDDDFEY MYCTH_2303832 MFSRAVRISRAVPIRAAQRAQAAPIAAARRTVTTNAASAQVDKT SIPESDDEPFHIRLSDESFETYELDPPPYTLEVTKKELKDMYRDMVVVRQMEMAADRL YKEKKIRGFCHLSTGQEAVAVGIEHAINKSDDVITSYRCHGFAYMRGGTVRSIIGELL GRREGIAYGKGGSMHMFAKGFYGGNGIVGAQVPVGAGLAFAQKYTGGKKATIILYGDG ASNQGQVFEAFNMAKLWNLPALFGCENNKYGMGTSAARSSALTDYYKRGQYIPGLKIN GMDVLAVKAAVQYGKQWTEQDNGPLVLEYVTYRYGGHSMSDPGTTYRTREEIQRMRST HDPIAGLKQHILDWGVAKEDELKAIDKEARNHVNEEVAAAEAMPFPEPTAKILFEDIY VRGTEPQFIRGRTPDEVYYFH MYCTH_2303838 MPPYNRPLSFSERRGAVFNEELSLNTHNVKLSQQRPRGPPTPSM STPAADFEQVTGSPPPPPTPAASPGPSHSKLNWSNAKEDEEAYLSGLRKYFMQCNSGQ RTRLLADLLNLCTSAQLSFVHQFVSPLLKKDPFTTLPDELCLRILSFIDDPKVLARAS QVSRRWRDLLSDDMTWKNLCVKHDYQRRLSEVDRLNPPTSPARTEAYSFGHREDDSTS HDFAGALTSTSLPATFDGKSNSRPVLRSYKSHFKQRYLVDAAWRSGGRNLTRNITQDG GVVTSLHLTSKYIIVALDNAKIHVFDTEGNALRTLQGHVMGVWAMVPWDDILVSGGCD RDVRVWDLSTGACKHTLRGHTSTVRCLKMSDANTAISGSRDTTLRIWDIRTGLCKNVL VGHQASVRCLEIKGDIVVSGSYDATAKVWSISEGRCLHTLTGHYSHIYAIAFDGQRVA TGSLDTSVRIWNVQTGECLAILQGHTSLVGQLQMRGGTLVTGGSDGSVRVWSLERFCA IHRLAAHDNSVTSLQFDDTRIVSGGSDGRVKVWDLKTGNLVRELVTQSDAVWRVAFED EKCVAMALRNSRTVMEVWSFSPPEELLNEQSMLPPKRRLDAPVPDRPLSAFSLDYRGP RSTGGAQDIDMPDAGPSTAPLQQSGPTFFQDA MYCTH_2303843 MMAGLFFLIVTSVVMTVASFLAGALPLSLSLAQSQLRLIASLGA GLLVGSCLVVILPEGIEALAAASEHSHDPAQPMPRLPGLVLREGDEPAENTGELPAFS IGFALVLGFALMFLVDRLPRHATERFRAAPTSRHVSLEDLAGSSVSGEGESEGFLDSL APLPKQTRGLATTLGLVIHAAADGIAMGASATTSNLNVGLIIFLAILVHKAPAAFGLT SILLKQGLTKRAARVHLMVFSLAAPAGALATYFLVSLAGGANLEGESAQWWTGMLLLF SAGTFLYVAMHAMREEENNPAGYDHSSSSNGYSESGGSAPRRRAKLELRDTLATVVGF MLPLLTRFGHHHH MYCTH_107723 MSSDEAWSTSSNDALLLSLVTPSATGVKTIGHSFHPKFTYSLFG DNEEIFGYKDLEINLRYNASDMRPNLSVTYSKKFPGVGDTEATDINGVLREILPDVAF QSKKDFETAVNGLRKDWTPPGKLITTFRSHGNTFEVWKGTLADPAVKQVARRIQILAP LFIEGGTAINVDDSDADRWTVFFLYQKKATPSDASGNPYVFAGYSTVYRFFHFRPLTP PVTPSESEVEKAMLAQDFDLSQLPCRSRISQFIILPPFQGKGLGSRLYSCVFKEYIQH PQTVEITVEDPNEAFDDLRDIANLHYLRQLPEFQALRINTDVAIPKVGAAPNNIVDQA AYDAVRAKAKMAPRQFARVLEMHLMSQLPEPVRPGISPEKQAAKATKEQEHEYRLWRL ILKKRIYKHNKDALGELEIPERIAKLEETVSSVEFDYARLLIKAEEQLKNMAEDERKD EAAAAAAAAAAAAGPNGSPANGKRKAADDTQEQASKKARVEDE MYCTH_2109970 MSATPVGSSSPELTMAVLGCGTMGIAILSGILSSLDEIQDANAK PASSGTSTPLYETSATTRLPSRFIACVRRPESAKKLKNTFARHLSAVEIAQNDNVASV QRADVILLACKPYMVKEVLSEPGMAAALEGKLLISILAGVTETQIATTLAEANGGGSA PAGCRVVRAMPNTASLIRESMTVIGISNPPLDPDTLGLVTWIFKSIGDVVYLPPSTMD VCTALCGSGPAFFALMLEAAIDGAVAMGLPRAEAQKMAAQTMKGAASLVLNGDHPALL RDKVSTPGGCTIGGLLVLEEGRVRGTVARAVREATVVASQLGQGVQGVNGTRFPGTQL Q MYCTH_2303849 MADPFEVRMRFTNQLRQLNASVTSAQKAAQYALKYRDMAEDLHS CILEQLERNNMNTRANIMYFIEHFLDMANKDGYDDYVRMMQRDIIRVVDAVAPDDGSG AANVKVVRKVLHALQNKSFLDAQAVTEIEEVLKERDASAQELAMSPPPLNGDGMPDNL GDMPPSRTLPPYDKRGHAPPKLDKKQIEQRIEEDRERHKRQRENIWAVPPGKNAEMEK LWEETSDLGEDDHRMGEEEWAEWKAEFEARRCPHRKDDANGAH MYCTH_2126562 MSLSCRYAAQCCARQLRASSAAPIRASSSLLQQRMTRRYNSTEA ASSSSSSTTNPKISAIVDQISQLTLLETADLVASLKSRLNIPDLPVGGFAAAPAAAPA AAAPAEEEEAAPAAAEKTLFTLKLQSFDAAAKPKIIKEIKNLLGLSLVDSKKFVESAP KQMKESVPKDEAEKIVATMKELGAVVVME MYCTH_2303853 MATIDLSRQRASLLGDAAAMQVLPRELLLIIQDHNSTQLLEAVA NAALAAPAATDRILAHFESVSADICARWILSRPRLDVSVLASFARILPFSPSLSVFLI SHLRDGVGGQAEGGSNARVLEALDLVSFQDADIPVVLLSLWRLNNFDKRTFSPLSKPS QLQSLFAHRDPTVRYLAIRVFSQLHDASDQKLEAMLAKHIPKGTSLVADLDGRRVDYT FLSLYEDARNQETRRLRNSLQADGSIAPESAQPRIPPQNLTPLVVKYGKTVLPRPLGP VNTPSALALTPTTVENLESLGALLQRPGPILLHGLSGAGKTSLVHEVARELGKQKEMV TLHLNEQTDAKMLLGLYTTDSKPGSFQWRPGVLTTAVKEGRWVLVEDLDRAPTEVMST LLPLIERGELLIPGRGERIQASSGFRIFATVRTRLGMNDRENLPNLIGLRLWHLLHVK ALPRDDLKEVINGRYPLLHKYIPGVLAVFDQLVACTSGSTRLSLGRTALDRPIGTRDL LKWCSRLDDVLRAAGCKTGDEPITDTTRDRMFLEAVDCFVSSMHEPSARKILITAIAK EMHLSPERVQHYLTSYIPDLEDTETRLVIGRASFVKQRRTSRVSKSKRPFATTVHAKR LLEQISVAVKHREPLLLVGETGIGKTTVVQQLAESLGHRLVAVNLSQQSEASDLLGGF KPVSSQSLAMPLKEEFDDLLEKTGVSVEKNREYLERISKRFAKGRWKEVSKEWRKAPK MFEAILAKLESSQPRTETADGQPAKRRKTESSKLQRLLDLKPRWEMFSQSLDQFDRQI ASGSAGFAFAFVEGKIVKAARNGDWVLLDEINLASPDTLESIAGLFQPSPSLLLSETG EIERIQAHPNFRVFAAMNPATDVGKRDLPLGIRSRFTEIYVGSPDRDKKDLLTIIKTY LKGNNSSIDRLADDVADLYLEIKKRAELKLLVDQANEVPHFSLRTLTRVLTYANDVAP LYGLERALYEGFCMSFTTLLSEESERTVMPLIHQHLLKRPNILTVPPKKPTDGKKYVS FKNTNKDHHYWLLQGNEIPKEREDYIITPYVERNLLNLVRATSTRRYPILIQGPTSAG KTSMIEYLANYTGNKFVRINNHEHTDLQEYLGTYVSDSEGKLRFQEGVLVQAMREGSW IVLDELNLAPTDVLEALNRLLDDNRELLIPETQEIVRPAENFCLFATQNPPGLYGGRK VLSRAFRNRFLELHFDDIPESELETILQKRSRNTAPSDCRRIVAVYKQLTRLRQESRV FEQKNSFATLRDLFRWALREAETRQEIAEHGFMLLAERVRKPEERDEVRKVIEEVFKV KIDPDRLYDLEIAPELSNVRARNSQGVIWTRAMRRLYVLVKRAIKNNEPVLLVGETGC GKTTVCQLLAEFEKKELHIVNAHQNTETGDLIGSQRPVRNRGAILDALFRDLKEAASL LGREQDSLENLQEWYRSLGPEALNQLPESLRSKIRTGTTRSKALFEWSDGSLVHAMKE GAYFLLDEISLADDSVLERLNSVLEPHRSLLLAEKGITDSFVQATEGFQFFATMNPGG DFGKKELSPALRNRFTEVWVPAFTEVDDVHDIVVSKLDKRFKSRGGNKKHAKPISRII VEFASWFGKTFRPSSATAFSVRDILAWVEFMNTSQFPSAELALLHGAAMVFIDTIGAN PSALVAVDPREMASQRQMCLEHLSSLCGVDLTQAYFQEPQVTIDEKMLKIGDFSVDRS LTGGSIDAGHEFSVPTTKMNAMRVIRALQGTKPILLEGNPGVGKTTLVTALARACGRP LTRINLSDQTDLMDLFGTDVPVEGAEAGNFVWQNAPFLEAMQKGEWVLLDEMNLASQT VLEGLNACLDHRGEVYIAELDQVFKRHPDFKLFAAQNAHHQGGGRKGLPSSFVNRFIV VYSDVFTKQDLLHIIANKFCAIGSETQQRLIEFMSRLDDEVVNRRSFGALGSPWEFNL RDTLRWGDLLTSRNPLLADRKPDDYLDVVIRQRFRSERDREQVDKLFSEVFGRAPESH GLYHDVNPYFGQVGLATLKRNPLSQPTPFPAIDPVPRLKEIESIMISVEQDLPCILVG PSGSGKSALLAHVAALAGKSLVVFPLNADVDAMDLIGGFEQADPHREVQACLSRLRDA FQHQILLALPNPVPDAVLDLMAALSSLTGGADQYENILSLVETLQGGVSLPEDLTALL SDASEVLRKPLTLENPRFEWLDGVIVRAVETGAWLVLDNANLCSASVLDRLNSLLERP NGILSVNEHSGPGGEPRIIKPHPDFRIFLTVDPRYGELSRAMRNRSVEIYLDNLPAGT TAVERIAPLDATMHRFHAAANILDQQAEDGQLVPLAHDVLSLGDSNKLDAYLQASREA LAESSPSLIRSPAAIQNLSLVLSYIRSEDTNMLRQSLADLYSATPDKMLMPLHPLLNS PMVPLLEQGREGLAAWLASCYEFYLAIRGAEQAMEKQLGKVNVSKPSSLNRLQRSWVA DKVASLSRDSTVNAVRFLSSVLRAVKAFLCEKSGDHGSWKQRRAVLRRLLLFWKRTFE SLIVASFEEARFQAHLTQGSSFLQQSMSTLQTDDSSRKLLSTIYDFLERDFVVGFKLL SGLSMEVLWHQLRPDPIPDAQVLGQVLELERLAERFDSLRWRVDVNIATLRTIQDSMA RVYAVIRTGKGDAAGLVRDLQSEITSLEAKIGEHSTTHTPFFASSFEGLRQALVLHQV SQGKALEPGSSDVDVLASIPTASLMRLRCLKPTALKAVDCLLVQESSDVHPWEGSLSK SLLLRYDAASSASLNELRSLEVEMPIMGKALTNASEALATEPLAKVERLLLKLIDEVV AAHDESYRGLMMGAYENLLTPQKSNVVSHRELGAWLEHTSLLKGLSDNFPSHLSAVFD RCFSKSLLALAASANGFRPRSATTSVAWICFALGCVELFVPDKIFDPHHRAQVEAEEH QEHYQSLKAQIAALEAFELAFTGQRTNLRSQLLAEEVEGLGESPPVQKIYRPGGGELH SLQGEFNNVLNALIGNEVAATHLRSLVSPSNDGSEELALVEDNIKLLISRLTSRFGAY QDLTMPLVSFLRCVRMGLSLGRGVGLNEEVEKGNSQALVAVSPFLGGAIWKAETASLP LLSLEFLSFIQTVVAVEGLDKLPQTLRQALHESLGAFHEEWTKKLEADRKIQEAKTSL FRFKGSLEDQEEYDQEEFDQLFPDYTPDEEGVVKSKKPRRGGRDLSIMLAEAHEKIFL SAPEPQQSIKGLCAQVARRVAREKRESAVAEPGLDSLLLPATILVFDEQVKALGSNVD ASNYNFYTDANLAEVRKVLGLVNSIKQRFLELQDIDEIGHHQTLADVVQACDKVLEMA IDDPLARIIVAIERLYAHVYEWHEGGWASKAHKATALYEKLRDTICDWRRLELLSWSR LLDAELKKSYEDAKSWWFIAYGAVILEPCSILQQGHDLGDHAVKLLSILESYFTDATL GQFRARLDLLRQLKNQLDQMVRDEPALALVRDAIQNFITFYSRYERRVSETITAGRAP LDRSMKDVLLMFKWRDKNIEALRDSAHKSHHKLFKLVRKFRAVLEQPVRPIVEQGLPE EDHSDAITEGGAEHVGARLDQSAIAFCQRALPNITSHPHWARMSNLPAVLKAMSKHGS LPSTAINAAETLDSYVTDLSSSISALKKETPPTLTDENKELVRHLKTRKVTLYSETLK TLRAMGFSRNLGTNILARQSSTAVILVGSGIVPDIDGATPGAIEYFYHKTLDLAPRFR AAASGHSEDLSREVVHRSIGYLEGVLHVMFRQRQFLARAAAEERGLSGAVQAVGNLSA GGDNSFRTQSRSANHAQVVRWLVQVLRVGIDLLDVHGKLGGADNATVRGTLQGWVEVF TKLDAAHDRLPRLPGGFNSYAGEKLQGDVERELDSLRAALVEIAQARPDLAFIVRQIQ PWTSIQATEVANGVLSSDHITDVADAALTLSSKILVALQNFQKAVQTLPQTTEDPSWL LNYGDGLQISIEALRMSRITSEVNALIHRLGGLSSPETSTTSSALLRLLQPVLAQYST ICTRNLKQFSALHRATCRLGYHLASSFVQIASQGFCTPQEKSDEKSGESGNVESGTGL GEGEGAEDISKDIKPDEDLSELAQDPNNNAQADIEENKDAVDMGEDELEGELGSVGGD EEEEDEKKDRDEDGEEEEEEMDEQAGDVDDLDPTAVDEKMWDGSGEDDAEKDQMGDQE KGKKDDDQSAAAEGKKNEQKGDDQDEGNAPPDEVGEEQGDEDAEMEAGQEEEGMPQEE LNRQDQNVQENETLALPDDMDLEIDDGGEESGEDDDDDDLDALSDVEEPEEKQADVPE DASQSGDEEKGEEEEHRKDEDIPEGEAEQEEEIDAAGDREEEMDVDMEEQGKEEEQGE EEGQDDEKDEKKRPVPDNGTSADQDDAAPSDVRNGGGQAEDANMQDEEVDNKAGQREQ GALGKQSAEEDKAPGSKGALSNMDQEQGPSEETRDAESNNAQPFKKLGDALERWYRNQ REIQAASESQEKTERSPEDMARAEFQHLQDETAEADTQALGTATNEEARPMDDAMAVD TEMDEADNQIVPPEDEQEEQGGDVEMEDTEPAEPQDVSKHEREDGRSGVATRKGAYDT GDADEGPRAEAPEDVEDEQIEETSSQLLATRITGEDEEAVPLRDYDEALEMWSDFQNK TQPLSQSLSSQLRLILTPTQSTKLSGSFRTGKRLNIKKIIPYIASSYKRDKIWMRRAI PSKRAYQILLCVDDSSSMSDENRSSSGRLALESLVMVARALTVLEAGQIGVLGFGTDV FVAHALTDPPFTSQDAGARVLQRFTFRQEGTDMVRLLRKTIDHFREARLVQASGGGGG GGGEDLWQLALILSDGLVQSRDHARLRPLLREAMEQRVMVVFIVMDDARENRKGHSVL ELKEARFGPDGVPVIHRYLDSFPFPYYLIVHHLEDLPGALAALLRTWFAEVNS MYCTH_2126564 MPSKRKRDILEGFDPNKSDSEDENFDPTEVRPTSRRSAKKSRSS KSKGRRKRSNRYRGSDIDDDDEVSDSEDESFGEYEDEQDDEDEDLPVGATGRRMRRAA VKALSYKESSEGEEDEDEDSAKDGDGDEEEAKETSPKKPAGRPSRIVVLKTSQSARQA AKRGNEEPAPNPPPTRRTRARTEEVEEPFLELSNSGRHAQPARASRSRSPEAVARTAR TTRGGKGLKNPPPIEEATQETETKEDPDQAMESPDELALTKREDEPDNQDEEGGAERG GEEEAAMEDKQEDQPEGEGPAAEEEDDDDAPYTRRTRASRATAAASAAAEESNAEPPT TRAGGRRLRQRSGLRSKKGTQEPSSDFEPGDESGEGDDSGSEASKNGDASENESTPTP RGRGNRARSRRSRRKKDDSGDEDIELDRNEMAEELEELRESSRSRPRRSRRRSPSIQY EEPISKKRRTKPVDYSIPAIDPAVLEAEDDDEPVATPARNRRSGKGGSSSAWERTLNT TYGPFGGGGGPGSLLGGPWGTGATGGVDSDSSDDEMVQRSGIGGGVGMTPTTAAPAVG LYNPPVGQTHNADGVGGIGGGTPQVGKVKNQKAFADADPLGVDMSVDFSKVGGLQSHI DQLKEMVQLPLLYPELFLKFHVTPPRGVLFHGPPGTGKTLLARALANSVGSGGRKISF YMRKGADALSKWVGEAEKQLRLLFEEARRTQPSIIFFDEIDGLAPVRSSKQEQIHASI VSTLLALMDGMDGRGQVIVIGATNRPDNIDPALRRPGRFDREFYFPLPDLEARRAIID IHTKDWGISDEFKNSLAENTKGYGGADLRALCTEAALNAIQRTYPQIYSSKEKLVVDP DKITIHASDFMLSIKKMIPSSERSTSSAASPLPRVVEPLLRNQYRAILRVLDNILPRP KKTTALEEAMYEPFEDADHGFGREAMHQEFERSRIFRPRLLISGVPGMGQNYLASAIL HHLEGVHVQTMDLATLLGDGRPMEQVIVSRFTEVKRHKPSVIFIPGVDIWWSSLTEAA ITTFTTLLRSIPPSDPILLLGTAECPPEQLAPEILKELFGFSKKNRAVVERPERENRL EFFENIISHLKKSPTEFPDPASRKKRVLEDLPVAPPPPPRTLTAEEIKAQRKADLRAL NHLKIRLQPIMDQINRKYRKFRQPVIPFSQIAYLFEEADPNYVWPDIGNAEKRPYEIA KDAEGTEGIRETATGKFFYNLETTTIEERLANGYYARPIDFYKDINKLYLDAKNIGDR DRTLKANELRTNVEVDVDEIAQALAAQGMRFDEIYERQLQRAREAEEKARKKKALQPV VDMIQSEAHGDVDSDSQGPVGIGLPLTQGVRTTTAARFQPIMSPESKGHGASTDSHRL TNGTSGPSQADGEDVQMGGLDDDTQPTGPRPDLVSPLQWPHLGSRLPLGDSTRATAGT QFSQRSAVTSIPPGVSPSAILNEASTTKTSDLSTSRGANNWSTQRSDTQRTNGVAHNQ DESSLLLDTQLHTQQPGQSQSGQFIGPLSQSSSSGKEWPHSQADAMAKGMLQPRNGMG IMGPPPGSGVGSIRVPEDNGPPSSQPQPRRPSAASLGNILNEDRSSNHASHLGSGASN STGPGAHTPKSGSGPSSGGSGSDSKAPSGSAHASVGRGSGANSLHQSGSTNPSQQPVI YEGSLYEFLQTLADRTSGCSVEQLEQIYRELMDEIWKTRHEWNRMTVLNRLISVFNDT IGDIELVQGALVDSQKQKGGKEAAVAGEDGGGEVLDGVDGVGGVEGSQSQSQSQGNAA EEPWFYLK MYCTH_2303859 MALHSSAHRTVDYTAREERSKSVDTVLNHFLAVIDPRTGEVEVV QAKKMVVRGTVRSKQAPSEAMQVSNARPTHSEMRMELGETFGTKKAKKAIQAVAENAM LAAKSRGKLGEDDRALVDTIRDSSQHMATREELQAVVDMARPVPRGNFDADEIQDVYV PAQIIGAEVLNAVPVMDWQEKVRKLEPVQVPARFVAHRIVRVAGNEDDVQRLKLLRYL LWVITFWSITRQGRERGTRSIARRDDLREALAPAPEVVIENIRRKFSDNGVMRKTHID LLMTHCCVFASIIDNFEVNTLDLREDLKLEQKQLNQYFMEIGARIKQTKSGDKVNHIA KLALPLQFPKMRQPAKRR MYCTH_2133976 KFSSRSYIQDESEVEKEARSPPQAQEKKDEGAVQINAQRHSTST SPRHQIGHPVG MYCTH_2303861 MDRTIGNGGHAVVFLATELETGKHVVCKVHDIGRHSRTSKEVER IRQEASLLSTLDHPNILSIRAAFETEQTIYVITELATGGDIFSLLLRYQTLGEWVIRS IIRQVLRGVAYIHSKGVAHRDIKPENILCGVTPQVPYRIMLSDFGDSGICGFGRLKSA VGTRFYRPP MYCTH_2303862 MLTLQLFLGYQELPNLDSTVFRNQRDVDRYLGLIFTSLEPRGRI SDAAQRFVCGCLAYDSGKRPTARQAFYHDWLQSPASDRRTFKRLEAANVLSWRPQRVK YPVIENLTNKVCGRDCRDHPNRVKEGNNNEVSPHFMTSVPSGEDVRKEDKKDDESMRA HGGGGPTAW MYCTH_2303864 MKRFQLMQRVNVEGLYGVVQEALPHLKARAGGSAESAGERRGAR SIVVCPPIYSRFFRGKTAYAIGKVGMSVLVKGLGMDFERERLVEQGLGIAGLWPAVAI ESAATEQFTSKDPSYAKDLRKPTIFSDAVLAMLRVPAAVVNGQLELDEDFLRKQAGIT DFSKYSVVPGATPRRIMPAELPDLTVKEQDDEGMRVDSSRL MYCTH_2303867 MSTDPIPDDEDYVSEEDSDFAPDDAPAEESCVSDDDEDEPADAE QPIPAKRKREGGDDAAEDAGFENSGDEAIIEKGKKRLKKSKKQDDEQADEDEGGEGGL IKTRRMRAAEKAEKRTAVASGPVTIDVDALWAQMISEPVVGRRSLETAAAAQPRADGN KPPSQPQSTPSKPDESDLIRIKRTYNFAGKVHTEEKLVPRDSAEAKLYLAEKGADAAS TDDDPAATQKRMPRKAFRSVFEPVAAENLSQRSDLNLAMSERLKARERAKEAEAKKLN TVEKSRMDWAGFVDREGIKDELELAGKSKHSFAARQEFLARSEAIREEEARRLRMAGR A MYCTH_81258 MSSTSVLTPEEKEHFLTHGWIKISNAFTREQAEEVTANMWTRLG MDPNDQSTWTRLRTNMPGHRKFDPAVFAPRAWAAICELCGGEDRIDPASREWRDSLIV NLGDAKHDGKPIPPQDLPDWHVDGDFFVHYLDSREQALLVTPIFTDIVPNGGGTIICP EAIPKIAKHLYDHPEGVSPRMTPRGEPGFEKGQHEDLSFFRNVAKSCSNFVEATGQVG DVYLMHPLMLHAPSSNALRRVRIITNPAVSLKTEHCFDREDGNYSLVEQVTLRALGKD RLPGWKATGPREARVPERVRIQEKMKQEELKRLAELEAKKAQQAKEGQQTVSAAA MYCTH_2303871 MSDSENDDYRVEEEPVLGSDDPLRVFVPKSFGKTTKEANVAAQI EQTRRQVEKPAAPKQKRPASDSDDRDDSDSDDSESDEEDVAEKFPVTHEMVLKTHERA VTSIALDPAGSRMVTGSLDGKVNFHDFPAMTPTTLRAFKSIDPWETKKSAPADSHAIQ HLEFSRHSGSVFLCVTAHPQAKIMSRDGGIVTEFVKGDMYLRDMNNTKGHVGEVTSGT WHPADPNFCVTAGSDSTLRIWDVNNKRSQKDVIVFKSKAAGSAGRTRMTAVAWGASAQ GNSPVLVSAALDGSLVMYSGNGPFTRPAAEIKDAHRPDTWTGGIDISADGRMVVTRGG DGLIKLWDTRKFKQPLVKVDHPSTSDRYPTTNIKYSPDSRYIITGSASGHLHFLNPAN LRPEHVTPITPGIPLITVNWHPKINQILTGSANAETRVLYNPSLSTRGALEVMSRAPK KRHVDDDPAFTMDQSQLGLSPDAIVTPGALPSAKRGAGGVSASGKTSRDRYRPQVQQI TPFMRSQPDEKHIEENIPLSRMLHEDPREALLKYAEVAKKDPVFTSAWAKTQPVTQYA ELSDEEDEAKEGRDAKRVKR MYCTH_115909 MASVQMPPAVQPGSAIPAGMTQQQAQEVYMRFQQMKKQGVPSND PEFIKTQSILAALQRHSELRKQQLQMQQQMQQQQQQQQQQQQQAMQNGANGIVNGTQP GRPGQPATTQTTMPPSTTSLGASALPATSGAPAANTTTAAPAQGQASQFTQAQLNLLW TQIKAFRMLGKNAGVPIQMQRAIFEHRARRRMSLAKQGTQSPTTANPPSDAPSQDGPK PGPNGADAQAPSVPQPKSFKTVKSPYDGGLVRQTISYIDHGRRKNRLIIPGIFPTGID FEQLRADREKIVFNRMSARYAELKSLPGNLAHWDASQDSLVADDTAKRKAIIEMKKLA LYSKQRALRDRIGKQMMHYDNLAMTTNRAAYRRMKKQNVREARVTEKLEKQQRDAREN RERKRHIDFLQAVYNHRNEVLNAGQVQRSKTQRLSRLMYAHHFNIEKEEQKRIERTAK QRLQALKANDEEAYLKLLDQAKDTRITHLLRQTDGFLKQLASSVRAQQRQAAERYGEQ IDIPPDESDIDEDDEESGRKIDYYAVAHRIKEEVTEQASILVGGTLKEYQLKGLQWML SLYNNNLNGILADEMGLGKTIQTISLITYLIEKKHQQGPYLVIVPLSTLTNWNLEFDK WAPSVAKVVYKGPPNARKMQQEKIRQGKFQVLLTTYEYIIKDRPLLSKIKWFHMIIDE GHRMKNANSKLSATIQQYYSTRFRLILTGTPLQNNLAELWAMLNFVLPNIFKSAKTFD EWFNTPFANTGGQDKMELTEEEQILVIRRLHKVLRPFLLRRLKKDVEKDLPDKTEKVI KCKFSALQARLYKQMVTHQKIAVSDANGGKTGARGLSNMIMQLRKLCNHPFVFDEVEN QMNPANVSNDLLWRTAGKFELLDRILPKYKATGHRVLMFFQMTAIMDIMEDFLRFRGL HYLRLDGTTKSEDRSELLRQFNQPDSPYFMFLLSTRAGGLGLNLQTADTVIIYDSDWN PHQDLQAQDRAHRIGQKNEVRILRLISSASVEEKILERARFKLDMDGKVIQAGRFDNK SSETDRDAMLRTLLETADMAESGEQEEMDDDELNMILARNEEELAIFQKLDEERSRDP IYGTAPGCQGVPRLMTEDELPDIYLNEGNPVEEEVEMALGRGARERTKVKYDDGLTEE QWLMAVDDDEDTPEAAAARKAARREKRELNKLRRKGLLTGSMENSPAASRASTEDAET PVKKRGRKPGSKNQDKRKAEEGDDEPPAKKRRGPQGRPRAVGLNGSDNRLAPEVREKL QKSLKRIFDGLMNLEVDDDEPQENPDGDDDGPPKRLIIGPFVKLPPKRDWGDYYLIIA NPICMNDIQKKIKREEYQSLGDMRKDLDLMVSNCRTFNEESSGICQDVNLIETYFKEQ FEKELSENPDLRALEDPSAAAAAGSATKDGSVAPSTAATDGTPQPTPSGPTRIKLVSN SSSAGAGASASNGGGSQANGGSGGAQSDEE MYCTH_2303876 MDSSAVDNDTRGWILSIFSGLACILGASVVCIDLPIRLIPSKRH FRIQESNAFLASSLSLSFGVMLFSALYSMLPSAMRYLAKDDWDEHTAGFLMMGCFIGG FIGIQLVSRILHQYMPSHVVDCDHTHENLADEELGQGNHHHHQHHHHHQSLSKVSSHQ AGDDAPHMVEMTDAVTESTPLIPPVSQAPINGHIHTEVLDGDAAPAAEAVTHFDGSQR RALTQIRPPLADVRTRVMSFFKDTKANCDEDGPCYGYSDPCGQECFKHIGTRSALSRT ATSLTENGDSGLESAVSTPRYRIVRTPSHPHDGDHHHYHHNAHVHTHSHDGDLECPED TEAQHHHHVPTNAFLSIGLQTVIAIALHKFPEGFITYATNHASPSLGFSVFMALFVHN IAEGFAMALPLYMALGSRVKAIFWSSLLGGFSQPLGATVAFAWFKLAKNTNLDIDSTA YACLFAVTAGIMVSVALQLFVESLSLNHNRNLSIFFAFLGMTLLGVSNALVSH MYCTH_51411 MSKATIAVIAAVSAGAGAAATAAMYSLRKSETTATTTTSATTYG FPGPVADLASRQALISSYDRRTRNPHWVAEHITPASLNMRDGDRKNSNFLEDPAIPEK FQAKLKDYFRSGYDRGHQVPAADCKWSQTAMDETFYLSNMCPQVGDGFNRDYWAHLED FCRRLTQRYPSVRIVTGPLYLPKRDPQDNKWYVKYEVIGNPPNVAVPTHFYKVIYAEE QTAPGGKVALAAFVLPNAVIPNDKPLADFEVPLEAVERATGLEFATKLPPSRRKRLCA ETSCSIIVKEYAERQKAFAKK MYCTH_49818 MAHEGRDHGDRGFGFGGGGVGGHDGPPPRVRGRRPVTDYGATIV HWMRHRQPRYKGSFAGETERPSISYIVDMLPPHARTTSAADSVPSRHLHSSLNKIKHP INVVRWTPEGRRLLTASSSGEFTLWNGTGFNFETIMQAHDSAIRALAYSHSDDWLVSA DHDGIIKYWQPNFNNVESIRGHTDPIRDLAFSPTDVKFVTASDDSTLRIFDFAAGAAE STLTGHGWDAKSCDWHPTKGLIVSGSKDHLVKLWDPRTGRCLTTLHGHKNTITKTLFE RVRGQCLATSARDQTARVFDLRMMRDICLLRGHDKDISTLTWHPIHPNLLSTGGSEGS LFHYLLDEPNTPPGHSASVAPYDAADPASAPAQTIYPAHRIPYAHDFAIWSLDWHPLG HILASGSNDRITRFWARARPGEADNFNDRYHIGEAAAEAQGTWDRRGHRHMRQVEEEQ ELEDEMDGLVDQKMPIKQPPGSLPGLGTAASSSSAAAAAAAAAAAAGGAIPGLPGLVP PPPPPPPPGTVIPGMAGPNGNGSGSGSGSSAAQLPFPLPPPPPPPAIASMLVGDGKNP PDFAAIAEMMKKHGIVPPPPPPPPPGRVLPPGLIPPPPPPPGFPLPHGFPPPPPHLAA GAAAGAGAGGDQHGSGGRRRAPLPSQEESLRMEQSKGNYTRVR MYCTH_2303878 MDADASKTVAEATGVEGPRDGTSTRAVNRRSGAPVTLEPSDPHA AARDTITTTTQPNGITNGSADANPRRPIRSKYRHVYAVHSKSKPSCLSHDATETPSFI GFRNLMVIVLVAANLRLVIENIQKYGVLICIRCHDFHPNDVRLGLALYVLIPCHLMIA YLIELVAAANARRSRAKSQKRDGSSSPTEDESRRFLRTWRIIRIAHTINVSAALAITS YVVYYYIHHPLIGTLSELHAIIVWLKTASYALTNRDLRHTFLHPAKGELDALPDIYAQ CPYPANITFSNLAYFWWAPTLVYQPAYPRTDRIRWVFVAKRVGEVACLSAFIWFASAQ YATPVLRNSLDKIATLDYMSILERLLKLSTISLVIWLAGFFALFQSFLNALAEVMRFG DREFYEAWWNSESLGTYWRTWNKPVYQFFHRHVYSPMRSRGWSQFMSSTTVFFLSAIL HELLVGVPTHNLIGVAFLGMFLQLPLIMLTARLERLKSANGRLLGNVIFWVSFTIFGQ PFAALMYFYAWQAKYGSVSKIPPAAHGATCPVAA MYCTH_2303882 MNDASRPLPWSPDRFSRHQRGEHDDDDAAAADAAMLPYLRHQPK SLSGIAARSFCLGIAFAVGVTGTVAILVLTASPLWRLPFFLAALALFHFLEFWTTAAY NTRAADVHSFLLTSNWPAYAIAHSFASLECLLTSLLWPPAGGGGGGGVLARRGAVPLV GAGLALVVLGQAVRSVAMIHAGQSFNHVVQYRRRSGHVLVTTGVYGLLRHPSYFGFFW WALGTQIVMGNLLSLVGYAVVLWKFFSSRIRIEEDYLVAFFGQEYVEYRKRVPTWIPF VP MYCTH_2303885 MEAPSRVRRDIPADYTVPPFPSLFWPPQSAKIILYELDEMWKFT LFWTLILYGLFHLGAVGVAMLMQGGKRMSSWKYLWLVPLVYALIAGAEALIAGTLVGL IVGASYLVGGFYMSTWIPFVWGWVNVLVLIVSSFRIQGGL MYCTH_2303887 MSIDRLLTTVLQLYQDVHDDERTEQIYGSTALLLTNLSNPLNLS LLTSQLLIAPAIWGRRDGMRTCYRIISIFNTAAIHVRRNELEREQSRYKNNKSKNNND NNIQPARTGGGGLGSDAWAAAVLKGADDQSGRWQHLLVFSGVLMGMEGGQRRSLSRSM RGTVERAVVTATNLAIRNRAPEPPAPSGPVALALNYAFPLLSESSRAGLDCDALVPIA LRAMLGGDGLQDGVLLTSMDQDVRQAEDKFMWLESSPSFLHLRQLEQRPLVAGLGPLS TLLSYAVRHARDSAVVLQLQDDLVAFTSGLLQYWQTNKLCELDASEETIFLTQETLQT TWPALWQFLKRIMYASVAILHSIVARSLLDRRLKHHTVEPTVATKTLHALRNLYFISS RNGSDAFQVYTFTYLTSLDILSRYGPACAAFLRSVLPPSSASGIPPHPLHRTLDLFYL NTAEHLPLNLPPQDCEALIIAPATPYLTTPPSLFSSSSSSSSSAPSALVPLFEAAHSA VLAVLSCPHNAPLTTRVVPFYADALFSSFPARISPRQFRLAFRTILQILSPPFAVSWE QVELGECLLEMVRYRVRDAGRDILPPAPPPASSSSSSSSSSSSSSASGDAGQQRGAER EEASEQSTLVLTLIDALPFLRMDIFEEWLGLVAAAVHEVVGERLKEAVKRRFWEVLGS GEMDVEHAAVAAAWWGTKGGREAVLFGRGIAPHGARQGQGREEPFVMSGAIVKEGRES RL MYCTH_2062613 SLSFRKHQTLQRHVRVAHLGLAAFVCAAEEGCRAGFDTAGALRR HVEREHGEVRFWCEECAGDGAGDGDVRRVGFRTLPQLQAHMRKEHVDCLFCDVRCGSQ ADLERHVDMYHSGTTVEDRKTVGCTWEGCGKKFTRVSNLNTHIRTAHEGLRFVCGQVD TYQTDDIADWNWREEGCGQTFVSKLKLEEHVRYVHLGRKRPPNQYTVKSARPGEEDEM SAAVPTRTIPCSVEGCGAKFVRHADLDKHLQKNHPISQEDVIDPRLQEADGNREQFWI ESAIPAVEEPSFDSDWAEMRRLIDLDALVDAKE MYCTH_2138933 MSDYGADDDREPLNDEPAFDEDPDEYYEPEPEPADEDEAAREAE QDGEHQDADHVIASGDPNATANVGKGSEKSHKDKKIPNDQRTTTPFMTKYEKARILGT RALQISMNAPVLVDLEGETDPLQIAIKELREKKIPLIVRRYLPDGYYEDWTCEELLQ MYCTH_94171 MAPFKMVAAAAALALVGHVQATEIPLPPCLDPFQPFVYSGCFGE SAGTQLLPFRPPLDQQEGTVEKCVATCKGNGYRYAGLVYYGVCYCGQTVNGPQVDDSQ CDLPCNGNKSETCGGNGHFSVYSDPTFLPVDHVTVADYEPLGCWTDDSSLGRALTYRQ VQLDSATLTTEKRLQACRDGGFPFAGTEYSGECYCGVVIGNDTYAAPADECDMPCNGN ADETCGGRSRLNLYVAEELGSLQPCGYQPSVSSSTSLPPSSTVTSTTSSSTSSSTAPP ETSTITSTSTTPATSTTSTSSSACVSTTVVPPQCEYKCGKWCSSPLPDWNDPKTCKNA WSSCHVQVASCFKQAGWPDVLDCFEFSQWCADIAKYCDSNPRGGCRKDDFWGKKPPKG GAHPSTTITVTATCAPATGTEPPATSTKPPASSTTTRCPIPTPTNICIQPSSPLYGYG PGKPVGGIDMPVVSCNDLPNEWAQFPFKGYTDRDSRNCRKYQRNQCTNVCADACKEQY EDCVNVYAEGCKRKPHGRRDVGYFEFRGSVEKRTFGWNDDFSGAVNKCKAQYSDCLRV NRGVTGAGKCTKPCGW MYCTH_68011 MVLLVELAVEQKKGKLAKDALYQYKNIAQNTNVGTIELVLKKFI ELAAEKVTAAQQKADEVQSSIEATSSVDDLEASETPESILLATVSGEQSKDRTDRAIV TPWLKFLWEAYRTVLDILRNNARLELLYQSTAMQAFDFCLKYTRKTEFRRLCELLRNH VQTAAKYSAQMHAINLNDPDTLQRHLETRFQQLNVAVELELWQEAFRSVEDIHTLLSL SKRPPKNVMMANYYEKLTRIFLVGENYLFHAAAWARYYNLLRQSAAMMAAGQSKKSDN PPASEADLQKAATFVVLSALSIPVISTSRSRGAMVDFDEARKNKNSRLTHLLGLSQAP TRATLFRDALSKAVLRRASPQIRDLYTILEVDFHPLSICQKISPILALVGADEEMQKY IVPLQQVILTRLFQQLSQVYETVDLDFVESLAQFPEPFQVDRATIEKFIMNGNKKGDL AIRMDHATGVLSFDVDVFSSAKAVHAGSAAGSAESETGSVQRLQSTPSQIVRSQLTRL AEVLYTTCRYIDPSFNAAQIKARDAALARAKAGAEKEHLEILARKDVIQKRKDKASEL QAQREKENARKKMLQEQALQQAEAQRLAEEQRIREQKRLASEREQIKKREVENMLKDM KLDDVELEDLDNLDSNKIRMIKLQQLEREKNTIAEKLRLTGKRLDHLERAYRKEEAKK LPEDYAKQRERDLAAYELMKAQTLKEAEIKHKEDLELKHRLSRLMPYYEAFRADLHER RRDMFEKRRRDAERELEKAVNQRRKEYRERKLREKREREEKERALREAEERAEREREE ERKRQEARKEELARIRKEREEERERAREAQARQQQREEEAMARRRAEKAAAAAAAAMP IREREPIASAASGAGGPPRLTIGGKTPSWREREAAKAAAAAAGASAGPAAPPAMERTD SSDRAGGPPRLALAGNKPSWREREAAKAAAAAAAAAGGSAPPERGSLPPRVPSGRGVP LDRVGSGRGGERDNGPPPEPLKPSGAPGKYVPKFRREG MYCTH_2315098 MPQYTSRDVGDPSQIKKTKQSMADLKLRRLTELNSRLREDLERE RIPVSQAAKSIIAYCNSTRDYMVPSVWGPVPKGEDPYAPQQSGGCCIVM MYCTH_2303896 MAETPQSSSSDPRLPRVTIRFCTQCKWMLRAAYYAQELLSTFSL SLGEVALQPATGGVFTVEITTTSAAAAAPSQTQAETTATATTTTVVLWDRKADGGFPE TKELKRRVRDVVQPGRDLGHVDRDYHHHGRDAGKQQQQQQHGDGGAGEGVSAAAAAAA AAAAGGSSDGGDGDGDHHRGREEVKGEGGNEGGGGGRRARREGTAGAGCGIDGKENRC EDCK MYCTH_2315100 MADQHDVDLDSIIDRLLEVRGSRPGKQVQLLEAEIRYLCTKARE IFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLP IAAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSE NDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKQKFGRGR MYCTH_2303901 MQYSSAAAVAAAVVQSEGYKPREERGWEEFHPNLDIEATFMIYQ ADEVDGVIKSVPPTPAAQASADPVDGTGTPTKEANPGASHEANGTPSGRDKQPLGASL AEAATPSRRRSARPARESFSLYATRSLDSGGLPKIPKVLPISGQSSKERLDLKQPQYR RTNRIALFESKTFGQARYVDRSMMNVGYQESDQFIRPERGLIKATDANMEEEVDPTKA DGDAVQHSAGAVGRVEYDMDEQDDMWLEKLNEQRKASDLDPITREIFEITITKIEKEW HALEKRIPKPNPKPPQTHRPRSSSAAAVNGEPQAGEEQDSKCAVCDDGDCENTNAIVF CDGCDLAVHQECYGVPFIPEGQWLCRKCQLIGRGIPTCIFCPNTDGAFKQTNSSKWAH LLCAMWIPEISLGNHTFMEPVMEVEKVPKTRWRLTCYICNQRMGACIQCSNKSCYQAF HVTCARRCRLYLKMKNSQGALAVLDGTLPLKAFCDKHCPPDYAEQHNVAQATKEAKRF YKRTMKGRIWADSQASALQLAATHRNARTEHPPDESQITGAKVSAVLADNKKKGQPPK NVWKLPSGAPIIPQAVFDLVESALARFPIRKRKDFVGEACKYWTLKREARRGAALLKR LQLQMETFSSMELTRRNFAAMGPSGKARLDRRVEFARGLVAELDKLTELCKLVEERER LKLEMAELEAEMVNTCYFPIYKLLLPVLEKAFSLDKNVFRQGLQELQAKMDRRYYVTT LPFTQDLCRAINEGINNPPESVVQNSGRPVEASPSKHNNYAEIGARRRLGKRIVKSLQ PYLETALRAEAEITDQPHDVLRKELEGMLEASVEVRQQLPVASITVSPDEDAAAGRQG QVDVHMADAPAEGQIIVADQSGGEEDAEGEPDDDLVSPDRDNIQVGGYDEPTPRPNGV LSAAHSVSGEPQPDTTTPHLANGVTSLDKSSTSPPSLPGTSYSTLPPPAGATAPPSHH QPDTTTTTTTSSSHPLTPPRSNTGSASTASFNVTQNSNNNDNKDNDNDNNNNNNNNDN GASTTTSTTGNTCAVTNTNTNTNFLTHGGIPWYLAGFELRGTTAVQEQWTPGREAVRS LSEELTDMDDEALRDLEFDVDEERTITIRGDGVYGAGVGGADDGGGGGGGGGGNDGGG DDGEEDDGDEDGAKDVDGENAHHNGGGDGDGDDSGGSEEGKKRNGSGDDTNGVARTPP SPQLPQPPPQPQPKPPPPPRSQPAGAGVGNAKKAVAAAGSSSPRKRTRSEVAAAAAAA SRSLRRGVRSSSRKK MYCTH_2303903 MDSEDGEIFIKQLASFVRTHEKALANALQFKRQNAPRHGASQSV SSIPTGTVPPSPTVPERPSTAGSASSTLAAALSLGTLNFTSHSVKSAKLALTPHHLFY LLSRFEELGIPVGPMKVRLENLHDSNVSANYVSFLGQSQRSKNRGSDVGSIRSVSSIR TVMSSMSALWASFSIGSSISAARTEKQKAAIQADLKYLYSAFTKIPCLRLAPDWRARL IKGYEEFPFDSAVPLYAFKNVQALEVCDIDFRQFFGWDRLADQLRSLTLKRASIDDPT DILIDIVLDDMDRRRRRTSKGHTSPSRPWPRASSPRRSPTASNNELAKSAPIPGLSEP RKSTVDLQVGSLNSEGGGDQSGSGGPESRRPSVARIDSEELNSPSKDDGRTRSHSPRR PGSSRNPSANVRGSNKIRRSGSGSSHSSLSDSWHNSRGSSSNLLPAGVLPASKWRFLK HLSLADNSLTAIPPGSLNPLANTLNSLDLSSNLFTQIPDSLASLTALRALNLAHCMIE SLHSLTRNPLPAISALNLRANRLQSIAGIEKLLPLERLDLRDNRLSDPMELARLTGIP DIREIWVEGNPFTRTHRDYRITIFNLFRRTPGYTEDIMIDATGPSYAEKRYLAERAEI PPAVPVVKPEPPEIPAVDVSRPAIIYDAPHKEPAVLRKERPLPKTVTSEVNTNSTRRR RAPKRRIVDLATNDNPIPYARPVDRHSDAVHNNTDAGDHYRTSQPAGTPETTQDAVAE TSVHNKADVAREVPRIDTNVVPQLPSMFGSPGNKAHWDVGGELYRQRIEDIRNKVGNG YLSVLSEEGWDASSRPSELHQEAEFSAPSPSINSDPTMTRPNMQAIPSGGAFA MYCTH_2303908 MASFPRGFGPRLLLLVRQQAPCDCAATQARQLLRARFSTSRLLQ KAVKTARPAAAPKTTPNAASKPTLPKASSAAVPGTTVSAAPAQAARPSSYAEQLALRG RTLLYESPSHFWFRAGCFSSATFCVSYTVYQYWTVILHPPEGLMWWIPHAFGAILVFM AGMGAYFVMGAGRIVRSIEAVPAAAVAKQLASGTTATTSPIYIEVATRRMAPFMPPKK HLLPPEEVQLPFRMYSVFSAARAPELPVGQRPMGLAERVRAERAAREARLAERKYTMD HILTAPFRDARKAFGTAWSGIKRSFHREGFAKIRLGKQEYKMDVTGGWALDDGRAMDR LLPIRPNAMRTR MYCTH_2303910 MATFVTACRMSARLAARKVQHDAVRGFRTSAAVLAAQNFTMPAL SPTMTEGNIAAWRVKEGEKFSAGDVLLEIETDKATMDVEAQEDGILVKVIQGEGSKGV QVGTRIAVIAEEGDDISSLQIPPDETPQAAKAAEAPKTQAPAPATPASPEPESTPATS PPKTPVKPGGKTLNKSYPLLPSVIHLLKENGLDESAVSGITPTGPNGRLLKGDVLAFL GKINANTPAKVSARFEKASHLDLSNIKVAKAPEPKKPAKEAAPASPPPPPPKSVVTLP VSLEAVMEAQKKIHKSLGVFLPLSTFVERASELANDELPLPADYQPTADELFDQVLGL DKVGGRKASRGSYVPQVASLPSASAVFASTPNKTKEQVDILDILTSKPKPAAKKTTVL PGQPAGTNLFSLQVPKAEERRAQVFLERVKIVLENEPGRLVL MYCTH_2060682 MPTATPAAGWAWEGAAVDKTPFPGPNAESVSQQRVLLSAFRYWQ HLMKVGEDYTPAEGRLVPMPHSVAAGAREALQKLCTICSGELPAALLPFAESVEVTSA TENGDEVHFPTPLREQEAAAAIKALEACAAAAIAKLRYGTESKRIRIDADRVSAFLMS AYLTTLDGMDKSDPRIKDRIPDTDLNKAQSVLYRRMSANLYGTKNPGEYFHIHGSLDA DVTLEMLGLPKENPEMTDYHAIIDYIESAVKEHTAAELDALNLAHRQAGIQALTWAQF QATPHGKALLDLPPLTVRPNPADAHVTPPVPFPSTTAAVSSSPGGRRPRQALAGIKVL ELCRIIAGPTIGRSLAAHGAQVLKVTPPHLPDVPFFQLDVNAGKRAVHLDLRPSCPAD RAAFAALLADADVLIDGYRPGALARLGYGPDQLGRLARARGRGFVYVAEDCFGGADLE PERGAEWAGRPGWQQVADCVTGAAWAQGAFMGLDEPVVPPFPMSDYGTGALGSAAALA GLYRRAAEGGSWVCRTSLVQYDVFLMGLGLLPAEEQERLRRRHADEAGFFALRHSDSV DEVGRRALASMRLAVPHLFADGGRAVMQEAWSEPFRGVLRWPREAVEIDGLRVGHVRT ARPNGWDKEVVSWEGWEEEEEEIIVGEDDDDNDEEEEDDDDDDDDGEAEKLNP MYCTH_2126592 MPIFNLRPAVFATRGLPRDDGTHANLDQLKHHCVHRFFPRFRWA PAVLEETQSATWATKGFGLSFRAAVTPADQPPSSGGGGGMLLDVAEDGKEAALLLHEC YLTFTYPHSVAVTVPKFPAEGLNKVQTTGRPGGSEDGRGIVPTIRFHLTDLARWISGQ EKMSRLLLATPSGNTYRFPPVTISTNGLPTSVTEVPRYGTSYYRGNELSFHILQSGGV GSDLVDRVIKAQRLTAVFLAGDKVHRSATRDSALTFTTPRRTEKPLDALRYPIAPRGG GGEAPWEHVV MYCTH_2303918 MQAWSQPRKSEQQPGDASMSGMMTSQPPLSMPAQDHTRQGFLRN PKKTPSRNNMKGLPEETSIYTETRMLQDQTGRLLYIGDASTLSILQLTRIIIENTAGS DIGSPFIDDPKRHRILESIIDFPDDVRIPSPLPDKETADVLIASYFTNTCGLVEILDK ASFLRSVEECYRDPPSSGNDFLCNLYLVLAIGLLLATPPPGSHEEMVVQRQLAAQPNR AELFFRSARSMCDPAAGFEDADFWSIQALALMTIYMLVNSKRNRAYAYLGMAVRSAFA LGLHREETMNDFIFTPAQMRVRRTLWKTLFILDRFLAATLGRPTAISEDDCSCKILPD DNAADIPSMVGSEADQIHARCLDACVESAHIIGVTLKVFSRRKISTARVQEIVDMSKN WDQASHTQPYRRHSGGGSADPAHRVASLHVGLFSLHSLILLTRQLFVMHNWMLVEERS GIKKSAQIRESSMARFSEACVIASYRTIRLARSAWSDGYLPRRNPFVIYFVFAASLVI LMNQFSSLYYTSEYNKTMRDALDIVAYCAESDSQAQRVLDIITRFSQVVDKWTENHSH SAPKLSDDFSCLYSQPSHSGTASGHMPPGPALDTGFHVRTSPQTASGPDPGLLTPPSI PKVPILDVLSAQAPSAALEARASGMSPPHASIPPATLLRNRPSVLAHSSLATTELLGG NFEFDIDGLWYSCTNYLPPVPSVAPGISSLALQFPPPVIGAPTEPYDKPVF MYCTH_2303921 MSDDRRNETQLLCDIVGLESLVDEITSKMLGTSNSETPSAILGP FYRHDAPLLPNGSSIVRNLSPAVSWYDQAVADSALITGRVLASSGAPIKGAIVDVWHT APNGLYEQQDESQPDMNCRGRFQTDAEGRFAFYALRPVAYPIPNDGPAGKLLNLLDRH PYRPGHIHVIVSAPGFRALTTQLYDDRDKYISKDAVFAVKDELVVKFVPREGDPNARW SLKYDFVLGRG MYCTH_2126595 MTVDIYENPCVARMKHGHATPPGLPECRTTSHGSTRTVAGQAAK QVLSTDPGRRCAAYTEGTVICICLDPGHIWNTDVGTNIFWKRDTVSNRRGGLVEIQLD ANIGVPMSPDIAYRPFHLIKQQSAGAKCLGPEQDPAQPSASQETPVSSDSSGQGTRWV VVFSFLAFLVPARTAPIAAA MYCTH_2303924 MAKASSSTSSDVPLQPLTSSGARREDSMADSTREPSISRPGRSR SPTRPSSPESPLAHLRARIPLFSPSHHRGDDGGHLDNARSDSGSEYELLLDPNLPADY SQRHQPIPRHSLSSVDHHHHHHHHDGTGPKISLDSEDLDPLVSGGEEGEDGDDHEDEV EDSPYPEVRAAVHPYDDPTLPCNTVRAWTIGLALIFLGASMNTLFSLRSPNISLGALI AQVIAWPLGRGWARFVPDKEVRMPLPWFGKGTRMGLGIKRVRLALNPGPFNIKEHAIV VVMASVSFSVAYATDIILAQKVFYKQDFGLLWQLFLVVSTQSLGYGIAGMMRRFLVYP ASMIWPGNLVSVTLMNAMYESTEDRDPTIIGESMPRYRWFALVTAGSFIYYFIPGFLA QFLSSFAFMTWLAPESPVVNQLFGYTTGLSLLPITFDWTQISGFVGSPLIPPWHAIAN TMIGVVLFFVVLASVLHYGGAWYSQYLPMSDSNTYDNTGKPYDVSRILSADYTLDVEA YNNYSPLFLSTTFAISYGLSFAAIASLIVYTYLHHGKTIWRQYKSSTTEKPDIHMKLM RRYKEAPTWWYMSLFFVMLILGFVTVLAWPTNMTWWAFLLAVLISFVFSLPIGIIQAV TNNQIGLNVLTEFVYGYIQPGRPLALMIFKTFGYITMSQALTFVSDLKFGHYMKIPPR TMFMAQVVATTFSCFIQVMVLNYALKTIPNVCEPTQPDHFTCPGGRVFFSASVIWGLI GPARIFSPGQIYSSLFICFFLGAVTPIVIYLLAKRRPKSFLRYLMAPVIFGGAGAIPP ATPLNYLSWGIVGYVFQYLIKKRHFGWWSRLNFLTSSGLDLGLALSTLFIFFAFTLRE VEPPRWWGNEVIKETMDYRGEAVQVVMAPGETFGPAAWG MYCTH_2303929 MRRVVVTGLGAVTPLGVGVRRTWRRLLASESGLVSVADRGRDAA ERARWRELTSTVAGVVPTAAAVTATAADNDHPALSRGRDDGLWRPADWLDAADERRMS TFAQYAVAAADMALRDAGWRPAGDEEREMTGVCLGSGIGNLDELYATSLAFNQGGYKK VSPLFVPKILINLAAGHIAMRYGLRGPNHAATTACTTGAHSIGDAARFIAFGDADVMV AGGAESCIHPLTFAGFGRSRSLSTAYNHDPPASCRPFDADRAGFVVGEGAAVVVLEEL EHARRRGATVLAELKGYGCSGDAYHMTAPREDGSGALSSMKRALKNAGIRPAQVDYIN AHATGTQVGDAAEAAAIRSLMLGEEGVEDESRVTVSSTKGAIGHLLGGAGAIEAIFSI LAIAENAVPPTLNLNKPDVGARFNFVPHQAQQKNVRVALSNSFGFGGTNASLVFSRLD MYCTH_2034929 SSYFIYKATETHYKACAAQADYAIEPADRKSGKLRTTADGEEIG VSKGGPWHQDLGLLPTFSTWAHVTMLHMYLIVVRLRCLDRDAQQAWQAQLVNHFFYHA EAKMEDVHELTSRTIRQTYLKDLFVQWRGLILAYDEGIVKGDAVLASALWRNLFKARE DVDARALAAVVAWMRASLKQLGEMTDEEVEL MYCTH_2303933 MPAANPLLPELTLIVAATQQMGIGRNGTLPWTGLRKEMAYFARV TKRLPPAPSQHPHQQQQHPHQQQQHPHQQQQHPHQQQQQSTGDGQQQQQQLVQNAVIM GRKTWESIPERFRPLPGRWNVVISRKAAAAAARGGGSLGAPGGEENPVMAAGLEEALR YLGGRPGVGRVFVIGGAQIYRAALETAQARRVLLTRVRTEFECDTFFPLRLDEANADA DAAAAAADADADADADAVIATAAAAAAKGWRRSGQEEMDAWVGEEVPRGVQAEAGTEY EFEMWERVD MYCTH_2303934 MPDAVKAAHYIQQLDDARCNENWDAVPELIRKVRKHAPDRVCLA LTAEIEHSIAKANLKPTPSARTASAEGNARPPSSSTAPAGGLEAANHLPDLFTAIDAE AAHPEDRFQARVCAGWLLWVLREYPAALARLPRTLHDKGGATTTTTTDNINNNNNNNA SPDGDDHAADDDGEKPPSEWTRVCGLKAAYLRANCLARDGQREGALDAFEAALPGLSA VWAAGPADARPQTRYWAELFLTECCMLAAQALREGARSLTDPNCLACFRAWARYWAAG KGGPSPGGYGFRGSVPRRQVWGEYYSALSGILQADLPFPTGGSTVADAVAGGGGSENS ARVRLRTELKKVEAIYQGLLYSETKFPRADEERTEVEDFVRRLMQNWEVLNGRGWKEH ELGAGGRDALCHATLDSLYGAAAKTYHSTAILRHMFTVHLAVAEFDLAFKAFDSWLEL VKKGKARVKKTGHREPALDGNATVFETISTCIAALCRFGGREAAEKAHKLAEELAGLV AEEEEKEEGGRREPDNADPLDEAVPPATLAVAWQSIGLARAQWARMTFESDSRATLQE KAIQCLRKSLSPAYGNGLDARGVFALGMLYAEQRKLPASIEIVKTALLAERLPGTGRE ELRLGPYWRERSLIPLWHLLALMLSARQEFVMAARACEGAVEQFKDPHVLFGSRQLTG GYRSEHLKEAGIKDRGGDGLVDEMDDYEKEGILQIKMTQLAILEVIEGPAVAVNASTE LLTLFPRLFGDLDQKVELSRAEPPKTSGTMRSLRGSVFGGKSDEARLKQQGPASDEGK PATTPPRPRTTQSVATTGQSSVNDPADPFSSRRSTKSEDMKRSRNSLRKRDRSGSRQR AFSTSSPPGPPPLEGDKSFAAFDERNLPSHSAPKQTAEPPREDLRRSTPRSRAGTASQ AEVRTGQVVGTFSQLLPFVTFSPDHGRRRRKAILTKVWLVIAGFYRRAGLLDDAGQAI SEAQRAAEELEADVMNEASGAMSVRQAGWGMEKSSEEVIADVWAEKGNLWLARGRPYQ ARADFETALTHFPDHPGAIVGLSNILLDIHTEKLLPPPAVPGLDLGRLGLADDDGVFP STPGGAAITTDPATTRANINRADKFPALPSEPLGLGRASPESKAKPAEALVNGHSASK QQQQQQGAAATSTPSLLGPPLPPPHEASSLPLNDRLAARDRAFGLLSGLTKLGSGWNH SEAWFTLARAYEESGQLEKARDALWWCIELEDGRGVREWDVVRGGYVL MYCTH_2303936 MAAPSELKVLCRRLTSAPADDLPRLCPLLVSHVLRCGDPLSAPA DAKAKDKSSETPVLVHKLRTHISTLLTGKSSSGRFAAVCLIKAVIDVGGWESLRASGP WIRGLIGLLQKPDPLASKELCIVTLTKIYVLLQGYQTLVREMATPTLPDFVSACLRLI SPPASGKPLKAPAVFIDTVACSLSKLVVLYPTTLRPFAVQMKAALKAYVAPTPSDGVY PVPQNLRESARRLFVLLPYTAPKNGSSDEWAKAIQSTILDCHATVDQVFRAVVESWES ATGYRGGPLGTGPDPSGGSDEGDGLPSWVGVQAGAERLVGLLDFLAEYFNSPTKAPVS VPLGELLDLTARITLVTPPSGTEDSIETNPAIGRDEKAELWSVLPDIHIAVLRFHRAL IRRLRENSVPLATDILDQTVRVSTASRHIPAMREAAYALASQLLHLAGPTLPKLTVDS LAPLIQSTCRDILLSTGHLEPTPPSPSSTSNIANLTKQQTHQPPRNHAPSTNADAYLT APASSSPSSSSSLLAPSLSTPSHLTATASALLRVFFTHLPQTALSPDLRSLLDRTAIL SHDRDAMLASCLHPYRDSRGRYYPSILPFLVRRFPRELGVEVLRSNLVRAGAGAGAGG AAYQGMAVGEGLEELLLDREERGTGAEKDREGGAEDGAQREGKDGAENGGQKAKVVGH GDGGGGGGWGGDAMDVDSGSAGAAPPRSANPFAVVTSAAAAGEEKREVPVRTASPLKR KSEVFEADTGKPPKRLDTGKAAAAPQVAVAPPTKQGEEKEGSSDDEGDSEGSVQIDMT LEDDEEEEEDEDE MYCTH_2303937 MPSSPVPDEAKGLPPEPQADPATEHAKNGPGKAPEPAETEPQKS ESSSRADGAPSHSDSHSEGEYSGSDTSERREPETGNEAQPSLPNEPLPGGDGGDGGDS TAPPLPNEPLPSDPAAPPLPAEPVPDPEDDGWEYHWNPNDQSYWFYNRFTGVWQKENP RVPTDSSAAAAAAATATASTTTPTVAADGTVLSNPASIAGGYNPAIHGDYDENAWYAQ ALRAQSAAATTTATTAALHGGDAYATAAYFNRHTGRWQAPDQGPERHSDEAKSRRQMR AYFDVDAAANMHDGRSLKAERAGIKPSRAELRMFKEKRRAKKEEKRRAWLRD MYCTH_2303939 MGPIRRILCLPTSLSSLVFFLVSATAPGIAAAGKDPALTDDSQC GCYLNKGNSSTYFTYHRFFDFRSLPQYAGVPAVIQDAESSPDADPTSDYFKRDEWSSF WLLGSWNNSNGARPDATVTMVNSPNNVYIEANTEPDPSSQTYLTLRTQRLSDFQTAAE IESASSGFKYLSLRMRARTVGAAGAITAVFTYRGSDMLADVQESDLEIRTADKRNLVH YTNQPAYTDDGDVVPEATRKATMPHGLDWTAWAIHRLDWTPGLTTWYVDDVEVARIAF QAPRDESNIILNAWSDGGRWTGNMTRFDAAYLQVQWLELLYNSTDPDETKRSRRRQQQ DGDGCNAVCSIDETPELGTPVLLWKNGPSQVNGAGGRSVSTGSVPTWGVVVMVLFAME LLI MYCTH_2303940 MSKPGWAPGYSDLPEPIDQHQNYPEVVAHDLPYPATTFYEQDKH LAHSDHATAQGYPFTPASQPTTVPSEPEGRVLGLRRRTCIWLAAICGVLVVIGIGLGV GLGLGLRSSGSSDQEGAPTAASTPSPSLVPDAGTTNSTNNLFEGSSLAATNYTDPDGY VHLYVFFQAANKELTVSKWDSQNETWATLSISKMLSSTGVNLEPIPASPIAAYTYLNP TFQTRVYFLTAGNSIREIITSEDPSLTSNWRQGILGSSKLITAGQGSKLAALRPQCGT GEDCQFYYPSMAMAYQGDDGVIALSRADDWKPMDIQFGPAEPGAVIGLASVMRDNITD VGWSLFFDEDGTLQEFNSELLLSQWTRGKSTGFAPEAGSGSPNIASFSYDLVNIMIVD VDPDGDLEVRTWDTQSWSDLQPPNLVPSDGAPESPKFSAVAGNSQRRVFGIADGVIHQ WEFFSLSPLQWSYRGMVPTEVKT MYCTH_2303945 MASSAATPAAAAAAAVKKPKLHGRAFYESIGSPKYIVAPMVDQS EFAWRMLSRSFLPESERSSLLAYSPMFHARLFTESQKYRDQHFQPTKPDSNELFLDGN PAIDRPFFVQFCANDPQALLSAAKLVAPYCDAVDLNLGCPQNIAKKGRYGSFLQEDQE LIHQLIKTLHENLEIPVTAKIRILETKEATLKYAQNVLSAGASILTVHGRLREQKGHL TGLADWEMIRYLRDNLPPETVLFANGNILQHEDLEKCLAATGADGVMSAEGNLSNPGI FAPPPPVGQEPRGYWRGKDGKGGWRVDEVFRRYLDIIHQYVLRKPPPPRRPLFVPGDD TAWMDEEIVLPCVDGPEARPKKRRSEVGKENPALLAANFIGMQPHLFHLLRHLVSRHH DVRDALAKTRLGEMDMYEAIYKMVEKKVAEGLLEYERTGGKSVEEDTPPPLGEGEEED DPDSSARAVRECKRPWWVVQPIVRPLPKEALARGAVQMSKKERKRMAAELEEKEEADA KRRKEAVGMSLLPRR MYCTH_2303946 MYHGGSGATQQYHRRGTSNHNPAASWQQSRTQRTTSSPAVPTMQ SLDYLQPAVARPWYSASASMTNLPSTANYGSQMGVGTRDDSGLPVPGTTRPSTSPRVS QSSNGSTTPQSSLAPAAPLRTAPERYRRSTLRGDSTGTATGAATSAQLRVPHSAVSNR PNSFVGSASGSAIDDAGFSHNQPHGEFRRVRRRSMPALDSPGFPISQTPHELKQLAES NHPRNADSDGKVGKTGNSQKTGDKTSNDEQAGNGRIAEANASPSSSANRNGSDAAASP TMSPSPDPAGAGAAGQDSSRVVSIPPRTSSTDAAHAKRAPTPSPLSKPVTMDTAGESD QVADAPGSATQEPASPPRSESPAAKQLAAINEKRGKSKSKTSRLRRAFSFGSAAEFRK AANDSEAADNGAGKLHKDRTADDALDEEQARIAERQEAAGIGNNIYSGGRFFHGSTDN LSISSTASSASIMIRKMGRGMKKGTRSLVGLFRPKSIVGTPAADEPPVAATQSSVSMI TAEAERERVNVSADPKAQGGGTGFPRLERNSIDAAKVPVVEAERAGSSETDSTKARKS IVGGEKERAEVLAAVRKGILKNRTGSSSPSPRPSDTRGSAFDLPSVPSVTDSPNSSAP STPNEDSQGHRRPGAVTIGSEDYFVSALRLRQDNNNNNNSNNKATPGTPQSTKRCTTF SPRIVFFETWPSQEYDRRGDIATCNRLTPMLAQQIKEELNSFKMEMEVHENSKIYTHF F MYCTH_2303950 MASSDSETSARRRYSPDDDRSPSPVDRRRSPSPRRGRSLSRDSR ASRDYDRGVSPAPRDASRSPSRDRSPSARSPSPPPPRRRSPSPRDRSRPRSHDRSPAR SPPRRRYPPPARRDADRYRPPKKERTPPPAAPAKTEEEKLADARAEYQKLLNMRSQGV YLPPHKLRALQAAITDKSTKEYQRMAWDALKKSINGLVNKVNTANIKYVVPELFGENL IRGRGLFCQSLLKAQHASLPFTPIYACLAAICNTKLPQVGELLVKRLIMRFRKAFKRN DKAVCLSSTMFIAHLVNHQVVHETLAAQILLLLLAKPTDDSVEIAVGLMREVGLFLEE MSPRTTNAVFDQFRNILHEADIDRRTQYMIEVLFQVRKDRYKDNPVIREELDLIEEED QITHRIGLDEDIDTQDGLNVFKYDPDWEANEEEYKKLKAEILGEGSDEEEDDDEEEEE ESDEDEEDEEQKALEIKDQSNADLVNLRRTIYLTIQSSADPEEAAHKLMKLRLPPGQE PELVSMIVESCAQEKVYLKFMGLLGERFARINRMWMELFEESFMKYYSTIHRYETNKL RNIARFFSHLLASDAIGWHVFSVIRLTQEDTTSASRVYIKILFEDLQENMGTAKLKAR MSDEALQPSFQGIFPHDTAANLRFAINYFTAIKMGFLTDEMRNYLANMPKPTLPPPVH DSDSDSVSSYSSYSSYSSRSRSPSRSPSRSRSRTPRRAIDRGRGRGRSVSRTPPRRGR SYSRSRSYTRSISTGRSPSRSPSLSRSRSRSRSRSRSPVRRRAAARGRRSISRTPSRS PSPSRTRDGRGRGRGRSYSRSPSPWSASRSRSRSLSRSPSPARRRGRSYSRSVSRSRS PSRSRSPPRSPSPVAGPAGAAGKRRRGGSYSPSPPPARRQRRYSRSRSRSVERGGGVP GGAGGGGGGRGRGDSYSRSLSRSRTPSRSPLPPPSPSPRGAAGNGRGGGDRRRGGGGA PGRGGGYWEDDRDGYRNGGYRDRGRGRGRSRSPRSPARSPAGPSGGKRRRYYSDSRSR SPGPSKRGRAD MYCTH_2315106 MLDKLVGLAMLVAASVVFLYYTIWTLLMPFVDADHPLQNFFPPR VWAIRIPVILILLGSAVVGSFLSVVMIRSNRKKAAKAKAAAAKKKA MYCTH_2303954 MAGSPGNLISLAGESPPTAPSSYEDTRGIRSGWSSPRPAGGYYP SSASPPTAGRRPLSFHMENQYSPPDPHLQLAAAATRRSSMHSHYAQLRAASNPPLPHQ PQPHFYGAPAVDLDLQPQTGMKAGERGYYFGFDTLSPQDTDRVPGKDAVVLAGYEGGL EVFSVGKRGLESAAGLKGLRGGVYHAKILPWTPESSGLYPLVAVVIHGPVFPPPAPEG AADGDYDAVSAERSEAMSNASPDPATRNLGNRVAPGFVDSYQTTVEVFSLKTGRRVSV LLDAPKVSLKSPITSPGFKAPPPTGAFHIHADGGNVVVSSGVTGECWIYRQAPAANEH PFHFRCHGKLWTTLQQPPKGGEAAQESERSRSPAPSRPRPQVAILSVSGRWIAYCPAA PSSQIALRALVPVPTSGRAPGLASVTPPQLPTANVDLDLPLTESVMNRIMRDATQELI QGAKWVGKQGWQAWNNYWNPQPNQPQQRSPILAPQSWGGTGATRADSSQFPPTHGTVA QPIAKEPGLVSILDLETLSSSVNPHPIATFAIPHGCSFLSFSPTGLSLFSASSKGDVQ SVWDLMRIQLTKSSPLQAAGSPSGGPRVRQVAQFSRMTVARIVDVAWTRPNGERLAMV TERGTVHLLDLPSSAFTWPPPRRRRGQQAQAAASEGPTTSAVSIASNALSSVREVARP LINRQRRSNPTTPATTGAGLGEYATHGGKVIAASISHSLGKTGNAINQLRHTGENRVS LPSSSSLPRSACVIWVSGKRAHSLFVLGNGLVRTFPAKSRRALSGTSDKRAPRLSRYA DFQVPLLPDHVLSPLVKHILDPDEFLDVENLDAADNTLVLNQPRLRTRVQDLSAESSI PQAEIESSAPYQPFHTDRRVALYELGPAQQEADLTAPMAATSLDETPQESTPRRKKGS RQAQAQDTPAASSKPSGPWVFGQPIQATRLDLGVPQLLDDDPFSLALDDSRALPASAM ERILQRTGDDDAQIVVTTRRRRGAASLQDEDGFFEDDCEVLDFADQRV MYCTH_2019141 EVVPGGESGYEPWYPSNVAIESFVQEFITRFYQISDDPGRDEEW VNCFDAGATVMIGNEVARGKQEIRKMRKRMWKDVEARKHQVAEVFPGSFSAEVAAMHE AEYMLFGAVAYRMKDGKGDAVARWAGHAQLKRDSVTAPWRMVFYRVYLQQ MYCTH_115886 MTTDAILRPDMDEAVSPRQQAPPIEQAMQPMGQLNNPLNHLDLR ADPDAQATVSDFLDFTEHLPADITRSLTLIGKLGESYVDSSVNVHKLSTAWGRLPDTP PADQPSPVDLRAEIAENLQHALNSRIFSLSEAQRMTENVLRHHARAKTILAKLSTMQE NYTPAEEHKSPVATKSPQLSRASKAVSRADEKKVRRPRVPRITVPGEVLAPYDLDYDA YTTGTDSSSSSSEEDEEAVTPAPPARIRVVKGASRTSRPGRPPRSGTSTAPNSSGPLL STSAAIAQLPPPPQNPVIGSPDAPWGQLTPYELAKLRKRMKKNAAWTPSDTMVARELN MLGRGVEAFRAAKKKAEEEGRVFEGKLPVPDIDPDTGQARMPAGALTLEALTSDEKTL SNRGMKLNEAKKLKREMLAKMAAEEAEESARRFDAIARTLMSDPNQANAPATKAKAQR KRKRDSAPETETEKLETAEGQAQRPQLKRTKTETPVPPPVLTPGGSQLAHETPAQPLQ RNSTAGVLHSTTPIPVPIHGQEQSITARSAVSVPSTTSPASSNAGGTVAPSPAPVKPP AAETPIPPPVISPRKSTTPIVPPTRETRKTQAARAQEQQQQQQQQQQQQQQQLEARIA QVAGAISRSASPATVPKPEPDAPSATTTVTRHAASRGKAASQEPQPSLAADRPRRAST ARNTPAPELFFFSSSS MYCTH_2303957 MYEHEQIMTFDEPMMLGSSSTPGSGSVRKRKSIRSDDSLSLHGP MEVDGSVKSMASISMAGDFSVRDRIEAYGNLEIDGTLSCGGKVKSMGNVRVRGQVVCM MYCTH_2143673 MARVKEAAAEAANSVAEGTAAAAAEAAAHAAVPPSPKRVARLPG PVRFALAVVLSFALSSLGRLFVDHCSNNEIGGIAGEGISRKELSILAAWKLFGLALGW WYDYDGFDLAALALLSHGPVTFLISVFYGIRAITAGAYLAVDVVSAFVPFLLLRRLSG AHAAAPGVPNRDIVADRGIQVLTSLHSALVYSVVLFLAGRFVLPNTLVLYFEGIPTIQ PAADAPLLGFGSPTTQLLSLLFGLAARTFIFAPLVTTPTTAEDRKNAEFDPVSASLGQ TVAWNLWGYTTRTKVSLIRTAVAMLFTAVGTYLDTVLVISGVEPYGAAVYAGVWVIAT LVTGLSLRYVGSI MYCTH_2138951 MASSKEIQQTSRPLSHRPSISSTSVPGGLTLSRQSHSRNNSHSL LGSALNGSHRITRRKSMTNTGANVAAVAAALQESGDMAMPLPISVNSRRNTISKSGLS RSAIVGSLPSPPASLPTHRFAGGHGAANGQESAIDDELNDMSGDDAESTFRKARVRRA SDGQPLVKEGGRKSNRPELRCEKCGKGYKHSSCLNKHLWEHTPEWSYTSKLLISKHQQ VQLLEAASVLVAMNNSAGNNPAAAPANTTPPDSARDFSSDRDSASPAASGYSEQPDRG SADTTPPPQLDAIHPANASYNGFGKRNCIGNGLSRSYQTGPYASSAAGSLPRGSGFGH FRQLSQDQRPTSSGRNATGQDDRELAAAVELLSCSFNSNGGSRTAQVPPDAPPVPPLP AQYLDQDILSGTSFINSFPSRAPESFTRGEIRRTDRADTKMEESGESVMGDDDEDMRS RARSDEDDDGVFGRMEE MYCTH_2303964 MSSDVFRRVGRGGAGNFYSKQDIQAVEKATETDLEAQSQPHADQ SLARTRTIGTGCGTDTGPSYARTGRGGAGNFTFPTISSSSSSSSAAADADAQQRGDQE RAEADRTETAAAVTASLAAKSTAGGLSGRGGAGNWTAGSGGGGDRGVQEEARKTVEEL ERRVLDEVAGGLPPPAKAYTRSRR MYCTH_2303965 MSSALAIGAGVAVAAFLVRFPVLPQSSYNPSNHSKAKRSARKAN HSLFSSAKQGRAGLVAWRRSRGGVGALGKAFYKGGFEPRMTKREAALILSLNESGITK EKVRKAHRTLMLLNHPDRGGSPYLASKVNEAKEFLEKNT MYCTH_101870 MADEDFLRYLREISGEDAAVWDGFDPSSWRHALEESAVEGLEGA ESADGASSPLQDLAYSSDDSAEMILNYHKLTAAERARQNHGVSKLSKARQLASEEVAF PHKLAELEAVKWEETGLGLGGRSADGELFVPWPLVESYPDMFVGKANSVRAAPLFTLD ALHEKRVWDLYYIHCPEDMQMDPVIFVPTYQFQHLLDVINAKLETRFTIPPGSNKERF MMSFGTEKSPLPRFLGRSDSAQTFKALCGAIPEPHPDDDLSKVPQLGVEEFRKLLKRT RADRKKAKRSDRNRLKRIEAHKAWGRSIKRVQRYLGLRARAGDGMAARLTESDFSRLI INEPEQFVLFVAIDIEAWEQDQNLITEIGIAVLDTTEVKDIAPGEGCQNWFPLIEARH IRVKENSWATNSRFVRGCADHFSFGTTEFVRESDIAQLLKGVIDDATFVDRVDGTKKP RPVVLVFHESSSDIKYLKSVSYYVEAARNVIEVIDTRTMHQHLVRSNDSASLANVLGH LGIPCQYLHNAGNDAVYTLQAMIGLAAKKLEASLQRRRDKTEGHIPYAEFKQKEGWTS GEDTDGGYAVGLLDAQLGWGSE MYCTH_2118116 MADKEATVYIVDLGESMADCHNGRDESDLDFSMRYVWDKISTTV AASRKTWTVGVVGLNTEETVNAQHSEGLEGYEHISVLQGIGPMTMSSLRDLRSMILSS RTHGGDAISAIVVALTMIEDFTKKLKYNRKIILVTNGESPIDDESSEEVANRLNELNV ELVVVGVDFDDPDYGYKEEDKSRGKANNEKILRKLVEQCNSGVFGTMQQAVEELAIPR IKPVRPFKAYDGPLTLGDPQKYQSALSIHVERYFKTKRAVPPTASTVVTNPERGGPSQ PQAPGEDIVMGGTEFSGVKHMRTYTVDDPDAPGGKRDVDFEELAKGYQYGRTVVPFSE SDFSVTKLETKKSFTIIGFIPFSSYSQFINMGETGVVVAQKHNEEAELGLSALIHALH ELESYAVARYVQKDGTQPQILLLKPNPALEDDFECLYDVPLPFAEDVRSYQFPPLDKV LTVTGNVIKEHRLLPSEDLKQAMSDFVDAMDLTGFDVDEEGKPVEYAPIDELYNPVIH RLNQAIRARAVDPDSPIGPPAEILLRFSKPPEKLLDKAKAEIDALIDAAEVKKVPAKA QGRRGRKEPVKPLSGLDIDALLGENKRTTISSDNAVPEFKQILATASDDATIESAAKQ MGEIIRKLIRDSFAGLNYARAAENLRVMREELIALEVPGLYNKFLTGLKKSILSGELD GDRREMWFKHIIGGHLGLISRDESEVSEVTAEEARAYKE MYCTH_2092214 MGFFSPAPYHILSYGILLGATVFHTFIGGIISFRVLPRPQFGAL MAKIFPVYFAMQAGLPAALALTYPGSRNPFGVAGGLAGVLDPSNRWTVLAPLASALLC AVGNLVAVGPQTTRVMEERRQQERKDGKKAYDAPPHSREMQVLNRRFSMLHGISSLLN LGTLVATVVYGVTLSSRLS MYCTH_2303980 MALPVVHTLEECADFSRAVKPFIPQLYDLPAKLLDVFAGHETLR QLYTETNPVISGFAISVFLGAVFLVVAEINRNYSQVDRCWSLLPTLYIAHFDAWARLT GLPSRRIDAALLFSTIWSIRLTYNYWRKGGYGIGHEDYRWEIIRQQVPKVVFHIFNWT FISFIQSILLFAIAAPVYTILLASTIEPDLSSADIASVAVELGLILIEYIADEQQWVY QSAKKQYKDSAKVPRGFEQADLDRGFVTSGLWAYSRHPNFAAEQSIWFVLYQWSCYAS KTLYNWAGAGPSFLILLFQGSTWLTELITAGKYSEYRDYQKSVGMFTPKGLSPYKGPG VKVPKVIRTSELAKMQEQKEKKKQKQK MYCTH_2303986 MTRVLCVAEKPSIAKAVAGHLSGGHYQTSNTRDAYIKNYTFDFD FGPPWGHCSVTMTAVKGHLTTVEFPGDYKQWEYPPPDRLFDAPIMTVVSSDSKSIAKN IEDQARHASALVIWTDCDREGEHIGSEIRDAARKGNARIQVKRARFSNVERAHILSAA RRLTALDDRQVDAVSARIELDLRIGYAFTRFLTLNLRPLGGPLSNLTLSYGSCQFPTL GFVVDRYFRVKNFVPEPFWGIKVMHERDGIQVGFNWSRHRLFDRMSVVILYERCLAAR TAKVTKVQEKPTKKWKPLPLTTVELQKMATRFLRMSGQQAMTVAEKLYNKGFISYPRT ETDRFDKGMDLRALVRKQTQDNRWGSFAQALVDGAFQQPRQGRHDDKAHPPIHPITYA APAALDDNEKRVYELVTRRFLACCSEDARGMATDVEILYGDETFTAHGVIVLERNYLD VYPYENWTGTAQLPRFTVGERFEPTEAMVTEGKTSPPGYLTEADLIALMDANGIGTDA TMAEHIEKIQERQYVQTVVRRGGGNQGAAAADDDDGEADPPPAARGGRGRARGRGSRG GAARGGRGGGGGGVREFIPTSLGVALIEGFDRMHFETSLGKPFLRKEMELKMKAICEG RTTKQVVLHESLSEYRQVYEQSRAELNILKAACRQYVFQQQNGA MYCTH_2303987 MASAVRDIAMTSGRDNLAAVAARSVPVATNPTDLPTPPNSISPS LPPHGLKAQLQRARLDSIDSDLDLLDGRGEHDAGLGSPPHDSAGAITPAMLAKFHLPE ILLNHGPLPIRHIMGYLTTSVPGFAGIAPAKARRLVVAALEGKGSGNDGGGRDGDVEF LKVGWGRWDAKRRGQPPRDSLSRQASPGAHPNSIPIIKTPGWDSERSRLGANLGSSSG GFSAAFSHDDRFMDTDMDMMDHEADKMSLDGSGSASCSEAPDDDDMPRHNDDPEDATD DEDWAAVGAAALRASSYQARFNQQSPFNSIHPFGGGIRSFSAGMARPPQLNAPPRNLN VPSTSGVVAADAQEREAVEALLRLGSM MYCTH_107689 MEAPNRNRSPQTDSSSPSALTDEREPPRGPLLQRRQQLTEALAR SPYDLILYLERAVVHSDLAYPDLAAGDAYRALLLADEVRDESFEYHDQAKESLRGYRT APCPEVLNHGSLVEDLDQIVDGQGVEAAGECNILANIASVRCFQILSLSLLLCGCLKS ALEFCERGLKMVPHNRELHEIKDYIEQVARRRLRKGPDEPIEIHMLPDRGVVRREVYP WNTHEPDRFCADSLSFLNSKLAKIAPKCEVKVSSLPVLLDGASNTDQYDIIPTCNQLG LFAKQDIGPGEPVLEEYSLLTANNRHKESTCDACGTELPPLQSDSKAVSCPECHDTIF CDEFCFAKAQELYHPAVCEKDVDSIAKDPDPSVIDESLYLLLLARLLAMSTHQDIHPL EVPEIKYIWGDFVSVALNDVDGSITDEPPPEWTLPFSFKYNVETPLHILEKMDIDIYA TLDRHDLWVFNTAYAKFRGTASARKNPRDGRPDVAAVHPFWCLANHDCNPNVTWEWGG RMLLWARETRVVGNQPGGIRAGEEILNHYCDVNLPVQQRREWAQGSLGGWCMCQRCRE EAAAAVATAAGEPREEVNGNGAS MYCTH_111604 MSKPKYLTGDSAAINEFIDRFDVFLLDCDGVIWSGDHVFEGVPE TLELLRSRGKKIVFVTNNSTKSREDYLKKFTSLGIPSNVEEIFGSAYSSAIYISRILK LQPPKNKVFVIGEAGIEHELRSENIPFIGGTDPALRRDITEQDRQGLADGSLLDPDVG CVLAGLDLHINYLKLAHALQYIRRGAVFLATNTDSTFPSHHTVFPGAGSISAPLAYMT GRQPLALGKPSQAMLDAIEGKFQLDRARACMVGDRLDTDIKFGIEGRLGGTLAVLTGV NRPADWEADDAVAVPAFYVDKLSDLRAAAEQ MYCTH_2315114 MGGQANLYSFPTVDALAPALRAYVIHCQDAGIARHGVFKVAVSG GSLPKTLAQALLASATSEADKVRWDKWEIFFADERAVPLDHADSNYGLLRAELLDKLP ADGPRPAVHPIDPSLLDDTQELADGYEQELVKSFAGRDSVRLPIFDLILLGCGPDGHT CSLFPGHELLRETAAWVAPIEDSPKPPPRRVTLTLPVVTHAVKVAFVATGAGKKEVMK EIFEQGNGLPCALVNEGCGERCSWFVDNAAVEGVTYPRRPFSL MYCTH_2118124 MRLCWYGSLGQRGPRRFGSQNAIDAAATGPSPSETRYLQPRLDF DIRAAARSAIEAMNKKFYSSAQAIWSPGDPWWLSGVALTAVIDYMRKTGTGDYLGQVE NIIRVQRTQHPQNGGEFRADSTDDTGWWGLAMIRMYDLTGNSTYLNIATEDETFMYKY WTSFPCGGGIYVDTKTKTYKNAIANELYIKLAASLHNRIANDTKYLSRAETAWTWFQR SGMIKGDNLINDGLAASSNGACYNNRLPVWTYNQGVILGALVELYRATSSESYLTSAR AIADAVLSNDGSTNPQLSSPYDGEGGGGVLTEASCKPDEPDGCNHDQQVFKGVLAYNL AELDAAVPDGSRPYRAYLERNAQSAYVNAREQATDLYDVGWAGPPFRNSTIGKQASAV GLLVAVV MYCTH_2303995 MAAVAKPRDSPLPSLPPKLTGPPLRSIPRRPVAAPVTSTSALSP TPSPAAASPNPLPSPGGSISSLLSAYSDHTDDEPLSASADSPEGILDPKSDYSVVSPN LDVQKGSAVAGSPTRGLPSLPSDQDAQKQEPRGRVFEGDDKELPPPPPLKDSQRSLSR PRTPPDLQQQITQPSASTDAGSPSGKGSPQQGELWRRRSLKADKNLVVPDLKLVSSHG STAASAQNSSQSSYSGQSGLESQPLPPPPPKSNPEPSKPAPAQRPPPRGANGGLPGRN IRPARSEEPAAQGEGSMGQEGSRVKENLETVKRGRSGEEELSSQGTGPAATVSSAASA AVSSASAARLPTPEHGVNDAHSPLPDTAVSPMSPASSPRPAGETKPITRKAVGAPGAQ LRHAKSSQSLAPGPEGTGLGVRSPAGLPMSPRPDVNQIQKQTQPPAPPGVDNSKASSQ EQILPVSPTPDRDQTSSQKHYIPYSPPADQNKASAPAQPAAPSPQSDEVARSSPAAPT GASSGNTSTQFAARQTPYPQDPIREPIRPRAFSETESIETVKPRQQYEIPPVLIDSFP SADSTSTLGGGELLPLREPDPNEPDHTDHPGAARFPRGWYTPLPADTIPDARPLTQRH YDCLTQHRYMTANRQRTNPVACRTCGHKDRNAECYICSACYLNVCSGCVAVIRRCKGD LRAVLKEVEKGGSRGSRVSGGNGERNVGQY MYCTH_2303996 MSSTTSTSALSRTRSLRKPTKTETTGSSGEARNVSPSRLPVKGG QDHPGVATTGATRTTRNATIGPGATRSNRPVSGVFARISSTTSTSSRPREEDSPGGPK TSSPPGRPTRAASICQSSASTRLSSGVPAARPTTSSGVPASRRTASGAGPGIRHTRAK SSVTTLSSTTTLRPPPQTCATTSTSTAVLPDRSRPPLTSLTRRSPASGATPPSPTATT SSRRPLSTSSLPGPPKLSQPGKQLGKPLPRPPSQSSSTTTTTTTTTTTTTTTTSNSTA TPTKTTANNNNNNNNNKPAAAATTRPAFTTHQQSFTPLKPPAPKPPTAAFLAPPSPSK LPANVALSAETARLQAELLQLHLLHRAADGTRRAWADSARAALAARFDALRAQADAAA AAECALEEARAVAVLREWGGAPPTGASLEEKIAGLDEVLTGLWGVGEPGGKYERVVRG FEGWAGQVERVVESRRNRGRLHPSRRTSREQSDGREDGGEEDEEQQQRRRQRQQQEKE EEGEEMEDIFIPAPSAAWKAEHAALLRRVEEWRRKLHELGDAPPPEEGEPPSSLGRIL AGCRALADGMLAELELMEQIEREAAADEMAWVKEMNRLDSDVADEGATPRPTAGAIWR VL MYCTH_81165 MSAIPQPTQAPPAQEDNSSNNNNNNNNNDATAPAPAPAPAAAAP ASATSNPASTDNTPAPSTGAAGSASSSSGQNDTFPCHWEGCKEVCQTPEALYEHICER HVGRKSTNNLNLTCKWNQCRTTTVKRDHITSHIRVHVPLKPHKCDFCGKSFKRPQDLK KHVKTHADDSVLVAGRGPQDQPGGMNPGYRPHPGNKPPSGFYDHNGHMRATNTGHFGH PHQNGQPSYYGQQQPPPQPTYHTPMYYSHPMGGPRTDYMGHQPQRFNEARKPDFETLN EFFGSIKRAQIDPRSYSQIGRSLMPLHATLGLHAGGGLAAEYMPQAPHTLGGVGGVSH GPLTQHYYLPPMPNLRTKEDLQQIDHMLEQMQATIYDNSGSPNTQYAPVDMRHSPAYS SRPAVDGYAVSAAQVMSPVSAPTHSDGGTPAVTPPSSTLSYTSGHSPTASSAGMSPSS RHSSTSVSYPSLPSRPNLPYPSSAGLGSTFAHNEQRRLSGGMLQSPSGARRDGNQTPT PKALDGAAVSSPSEGSEGGDNETYDEWLHNVRAVEFLRNYVRQRLERHDYDSDSDDGR VDNSRIDPALVDRSRDRVNYPSLPPVQ MYCTH_2304000 MIWDRRAMSRHNVNPSYSDAIEHHGLPWGGALWLDRAVQKETTP SDSGSSFIRALRFCRDHAGLLAVLSRTGQLRVLSTRREYVDPEVRVDGSPELLEVRRS YELDPLYTEPSRKSDRIVSFDWITMSSPVLQPRVLVLRAGGTFDVLQKPSFTSEYPFK LIPWQPPHRGQTDGTNYRELMQFEPTQAREIYGPFLTEQALADVPLFGPQKANIKELV ERSLTSGLPDRRLLSEEANDSSLLFDGASSIADQLKALRLAAKQGKEQPDDMLLSQLE RHEKLLTDTRDMANLSSKEQFVLDNAMLLRAQEGYRFDPVKNQKIVADDPWLTDVWAW VAGAETAAADGGMMSDPLDISYMGVHTVWTNNLGSKPQMRLSDDAPPPDEAGWERCLN AINKKLGIPKVGGAVETKRRHHRELCLEICSFGRSFDIEFERAVSTSAPGRESTWYTM VAAQALFRGDTNGAVQVLKRASSEHPELLFVSLALQLIGKGGDDDTKTALDFDERVAC KTDPYLRAISAIIATGDWAVIAGQKTLPLRDRCYVAVRYFSDDALTAWLEDELAAAIE TGNIEGIVLTGITDSLVDILARYVHKFNDTQTAALLLSICAPRFIDDIRATAIRNAYR HYLQRHRAFFFRAKFDVESTLRSKHHGRPTLPLPARQIGLRCVYCDVEFKTESLAPRS ATGPGSRTGSSGIPSFMTAAAAAAAAATPSSTTSTSRTEARASRQQANPYTEKMVASG ISCPNCKQHLPRCVVCLEVVGMPRSDRPEQNADPDVRRTARFPTFCVACGHVLHLDHA RQWFARHRECPVPECRCLCNTRVNEELEYS MYCTH_2304003 MGNKRARSDTEGQPHEDAARKRMKPNRPHKKKQKKPVDMESLAA IKKRARAIERLLSRDNLKIPADKQNELERELAAHKQRIEEARRKKERSTMIKKYHMVR FFERKKAMRFVKQLERKVAQATDPDEVAQLKADLHVAQVDMDYARYFPFMEPYVSLYA AAAATDRDETNAAAHYLRTPRPPMWELIEKTREEGQAALEKLQNRWPQANARSDTPPR SPAKQAANKQHSARKGKKGAQEPGSKGSRSGSTKVEQKHSRKNKDEDGDGDSDDSSDS GGFFEED MYCTH_2304004 MVTEETTAATGAAANSSILDEPVIYRDLKAWIEEQERNPKPLSP LQQKAISILLGPQEPDIGSRDWVSLLNLFNQAHGSGSSFTDRPAPGQKWLCQCVFRLN PYSAPMTFPGPEAGFVPDEKGTPAAPSFGRKKDAKQYAAKCCVEWLMKAGYMPSDGVS VESPKNKVRPAAAAAAVAVAAAAATRQASPTKAGTAAQAKPTAGNDGEDDDEPPVTKR VEELCRTLGLIVPQYKITPSAIATTTTPAAAADVDPSQTVGQQQQKQQQNPQHGFFDG YADFGPDSGIKVPEGLGRVTNVYGRKGARNRVAEEVLAWLRAEQGRREVEVEEMLSCA T MYCTH_2304008 MRNHILTAQRLSSAAVATNSGSCTFLATTRTSRPLSTTVPTWAS KPRPSPESGQKLKRPFPITRNTSVIGRPTSTATSGAYAMDPTSADVVDDAIADQLEDK AVSSASLRHGGRRGRDGGRGGERGRGRREVDLSKALSRLLRHQATNAGIELDREGFAR LDKVLQWPPLRSLAPTFPEILDAVHNSEKKRFAIKPNPATNPDLDETSTEPSHWLIRA NQGHSIKLDSEHLLRPLALPSPGGGGLPEGAVAVPPVVVHGTYFAYWPAIVASGGLRP MGRNHVHFSTGLPEDTDAGVISGMRRDAELLVYVDVAASLRDRDGGGIRWWMSDNGVV LTEGDADGLVPLRYFKEVVGRRQGVGLLWKDGEKVADLPEGLKVRAPQGKRGGSGGGG GGSEAGRRGKGRGRG MYCTH_2304011 MASTRFAASSWALSSLDYSSTNPQPLEPTPTNNETHDNNILDAH RQNHNSSKLPAFRFADLRKDRISLPSLHQRTPLAPVLSQTVAVDPPEPTRRIPESSHS NSLHRASSTPSLPDRPAALESNQNGAAAPRTRSFKIRFSSPESASANAPVDSKRPVSF PETQKHPSGTSATQSQPAAVAAPAIKRRLTESAIQQSAQGQWELPLPKNLESVKLDDE KSYPPVSYKLPTTASADSTSSGRAVVPPIIRSSRSSGSRKSVILDMPTRHTSGDSYQG EAPDNNSQRDRALRALEGQRDEDISHLTPAESGEMTTTTDNDNTADIFMKIAGEDPAP RASERQEAHPEPGSTSRIVRVSHRRPFSTSSPGQDTASSPPRLSRRLSDQRESSRSHQ VADNQTPPQSLSRESSYRTPGRESLPPIATSAESSSRSQLGRNSSRPSPITPRQISFK DSFAESSSAYQRRRQSLTENNNVPSPRTAQYRSSNLAVTQPRTYHSSPLVPKSTNVLA DGQQHPSSSEANHAVEGTESSSSTAAQSSVWDELDDLKSRIQRLELTGKMPSSVGGGV SRSSSDERPRTATTNATTVSASPKRDPKAAGSSQAEGNNNLPASREARPLLLSALSKT KSLVSPEVFNAIESAATDALALSSMIGPVGQPGPISSSASAVGGYSGGVTDRQLRKKA ESICRSLTELCLALADSTGPKKQLQPAAAAASEQETVVSPTSHLAVGDTPPSQRRPSA IAETIAKGSTSPRAPSSLEQKRKTMLASISVPVPTTRYVAAPATPLESTAGRKSSLLL SRIRRLATEEPEETQTQMQAQSSQAGRRSSLLLRSRRNGSEEPEENREGRRTSLLLRT RKTTSDTEEEPRARVPSRAVTEVNNFHPISRDTSMPAASVQTAAPEGPATPSALPRRR MIPLAIATRLASAVPSASTPTTPARRYLERTSVQERSTPQERGAYQERGSYLERSAQQ ERAINTLAERLAEERGQQQQRQLSLGHPTMLNRSGSLGRRTRETGIPSLRSGLSG MYCTH_2304014 MSVYQVDSGIADMDQSNPVTIVNPKLVMEGAKMDGASQPGGMQP GALPSESIHRHNDSTSTQTTESADSSPTTTLSIADSSDLSDPSPSSSPDSPVNLAQLQ SFAPTSIGGLSGMARLTVSDPNRDRPMTSPGPRRLKNMKGLSIQPPSTSSSLISEPAS PSFIKPKIAPMKRKPSQLSLKTNSSDLITRTTLEVPNSPTVAPILQRRALKHSMSTPQ MLSGLRSATFGPAGGMTIPTVLERNETGLSSFFRPSRPADGAGGSSAIREEESPIRTQ IANRIPFDSEPYHEIENNEDQKSPGYPDGPIAIYGDNVYLYLEPTAEEAARFDVVINV AREVSNPFKTASANRQSPETKERSAEESPVPETAATTDSFATAFEYLPDNASGDTPTT PKAQPLKEPEYIHMPWDHNTDISKDLMTLCETIDKRTKEGKKVLVHCQQGASRSASLI IAYGIYQNPELSVNDAYYAAQGKSRWISPNMKLMYCLQDFQKEVAKRRLAPGAGSKVR PGRSPTHRATMSADNIEVASKEPLTAPLPADGPTSNSVSRDKSPNRARGNSTPNRGEP ISPGPSSAPSSFSWSEKEDESDPGKYGRFNLDSLVAPKPTELAPPPSLSISRTPPSPG LGGFTLSKPPPSPGFGSFSLSKPPSSPGLGGFTISPARPPSPKPTSLSLPKPPPSPKL APPELSRPPMSPGFPPSDPFSKPPPSPGFGAHRFGSKQGTLGFTSLNLAAPPKPAVTK EPPAPERPVAVVPSFSDVEALMSPRAEAMTNNPIHQSLSELTGVQFVEIPPTPREGLF SPRETMFPRADPRSPPTKGETPIVRSIDEML MYCTH_2138963 MARTKQATPLRLEPSSEYYSGKHDRTPQIREASATQTMAKMANG KVNGQAPLKDPAPRAEAKTPAATAATGAKKEAGIVTLIIDVAGIYASFLTWAYLQEKL TTTTYGPASSPERFKFPVFLLTIQSLFAFLAGKLFSVATTPRGQPAPPLIPNRQILFP LLLVAFTNALAAPFGYAALAHIDYITYILAKSCKLLPVMFLHITVFRKRYPLYKYLVV AAVTCGVAVFTLHSGSSRARHGAAPSHSGQTAWGMLLLGINLLFDGLTNSTQDYIFQN WRAYTGPQMMAANNLLGSVLTGGYLLLSPWLVTTPVGEWFGMDLTGGGAGELKAALGF LARHPAVWRDVLGFALCGCVGQVFIFHTLSTFSSVLLVTVTVTRKMFTMILSVIAFGH RLSQMQWLGVALVFGGIGVEAQIARREKLAKEAAKKAKTQ MYCTH_2304021 MSAPKDTNPPNGSDLPEGMEQLNLGENEQRLGPDGEPAPKTDEE YAQTQLTLRAIVSSKEAGVIIGKGGKNVADLREETGVKAGVSKVVQGVYDRVLTISGG CEAISRAYSVVARSLLEGAPTMGMGGVIQNNGTHPIKLLISHNQMGTIIGRQGLKIKY IQDVSGVRMVAQKEMLPQSTERIVEVQGTPEGIQRAVWEICKCLVDDWQRGTGTVLYN PVVRTQGVGAPGISGGSSYMQDRAPYGGSRVTRTGNGADFSGGGSRPYTRRSDSDPAA RGPPTHDENGEEIQTQNISIPADMVGCIIGRAGSKISEIRKTSGARISIAKAPHDETG ERMFTIMGSAKANETALFLLYENLEAEKMRRQQQAAQASE MYCTH_2304022 MADSESIHDVPATPPSTTVSSTTVSDRAPTGEGGVGNRPEPQLK KRDRLLRGLQRMSSSPSLNRSGRSRSASSPYSLSGTLSCASLASTSSPFGQPSVSSYF SQPSGAASSSAQTSIPTSPIAERPGQEAREALESVLAARPVGCATPARPTISLPPNVR KKTTTFNLWADMPRELKIYVLSFLRPKELVRISRVSREFHQMCFDGQLWTCLDASEFY REIPAESLANIIVSAGPFVKDLNLRGCLQMEHYQRAEVMVKACRNLINATLEGCRNFK RSTLHSLLKANAKLAHLNLTGLPAVNNATCKIVANSCPQLETFNVSWCKHMDARGIKF VVEACPKLKDLRAGEIKGFHNPDVAEALFRTNNLERLVLAGCDDLTDTALQIMMHGSD PETDYLTGRPMVPPRKLRHLDLTRCLRLTDAGVKALGYAVPNLEGLQLSGVTNLTDAA LEPILASAPRLTHLELEDIAQLTNSLLTQHLAKSPCTARLQHLSVSYCENLSDVGMLP VIRACTRLRSVYMDNTRVSDLVLAEAAAMVRRRCPRPASKGALPTVSLSLVVYDCPNI TWTGVREVLSRNTEAPCPPAAVVRDDHDGKDEEVLTSSAAVTSTHTLDSDSVVPGDGH HGRPAFAVPEKDRLLPAEIITLKCFHGWQMTVDEHTKRVLRGDGPAARRLERKWADFM QANEEAGAGGAGGWRRRRRAREAQMAHDEEGGDGGMGAAGANDAAAWGGRRRRARTTG CTVM MYCTH_2304023 MVMAPTRNRRLARPAIATLSRKWQFAVEPLVFRAVRIKSIELDE SSRPSSRHPIPATPPKLVEEPRVGRCCAPGA MYCTH_2304027 MAGGDTQHGAEAPGRERLAPTLQIPKNRSTGNLGTVADDDRAGR PRIRFSFDAGAAAVEHETMTSSIVTDHDLGLGMSGLRRIRQQPNALRTPTMPLAGNRT PSLGDSARSASTSVSLDHSVSLSSYPASPTFADDLSRFPSESLHSFSFAHQSEDLIHS RQNVLKRSMEFMRDRMAWSASLNAGIASAQARATGDVAAQTMLDLLSRAHLVGAGNLP NTDPNLLTGPLTGPATTSNANVFEKGFAPRTSSPEPIDKTPLVSPASSAPPPAVVKDQ GPQRDASAPAAADSQPNPPPGENQGSESSSRTPTNESGTSGTTAKSSPPPSRRPSVLK RTMTDTDTAQVSVQQKLMDVMAQPYHAAEPLPQPQHRSSLPFSPAMNPPPSAVSTLHN HPLRVAPAAQAIFTTTSKPPWTIVTANDLACLLFGVTAAEIRKMGILEVVQEERRAWL VGKLQKGAGEDVGDVSEPEIAQPNPAVQTSKLLGGRSGGITAKLLSKPNSRSQTPKTG RRPATIHNGDPRPPKPGQGHRQNSKSRGVLLCGDVVPIQKRNGATGSASFWVIEKKAG LIWVVEEIHEDVAYVDVDEDGLVLKLSGALGPIWGNENLQPGQDIGLLIPRISRQGID PRFGELDYREIARKKYYTCRTSQGVNIPATIEQVKGSTQLRVSSFPHIAGIVVVCPEK LTIKSTNSVFCGALFGYEKPDGMSINHLVPNFDKILRILTERDGVHLVDGIVVPEHSF RKASAYLALEEGRPDAAAGFLRPESLPARHRDGSQLRIDIQMRVVTSEKQVTTHNDAV SENSDGESSAGEGSDKFVVSQTEMVYALWITYSRHLHASRTSKGVPSPQQPSGTATPL YQPSPGQTPAHTPLEMVSDSEESQKGEPEPRPPSLARQLKEAAATAAGKLTGSQKASE SQPPQPATPAKPAEPPHKKTIDDFIILEDMGQGAYGQVKLARYRSTGKTCVLKYVTKR RILVDTWTRDRRLGTVPLEIHVLDYLRRDGLRHPNIVEMEDFFEDSVNYYIEMAPHGL PGMDLFDYIELRTNMEEQECRSIFVQVARAVHHLHTKALVVHRDIKDENVILDGEGRI KLIDFGSAAYIKSGPFDVFVGTIDYAAPEVLAGKPYGGEEQDVWALGILLYTIIYKEN PFYSIDEIMDRDLRVPYTISDESIDLIRKMLNRDVQERYTIDQVLAHPWCRVETAV MYCTH_2134022 MASPNGTGSSNSDNRWAQFGFTTTPDERIGAGAPYTSPALQANL FFRVFLGLVSIFVTWVPARLLWRSGEFGGTVLCVMLLVLNLITVVNALIWRDDNVERW WAGQGWCDILTYTFFAMHTAFNICMFEIMRGLASKVALNRAVKLTRSERRRQRVISAL VIFTVPVIQVVLTYFVTSGRYNVSTLVGCGAVYYPNWVYLVFYILPTPVFAVGAAYMA ALAFYRYRKIEKATRDIARSQGGIAAARQDRVRKKLYFMTLFCIIVVLPLIMVLLFDN IVEGAPWSLPYDFDALHFGPDPFNVYFISFTTSDRMSFTALNIAYIGEVAGIVVFIPF GTTPEALNMYREMLLSLGLGYVFPRLKEEYVPHATRGTGSWFSWSSLSRSLRGKSVLR TSSTSTRHGGLLPTTEQVSLESRSGRGHSSSVAQREPRDFTMCELDPLPYPQGTVAAS STSQHNPWPDLSATEVDTTTAQIEAGLPPPTRDPFHILPMSYAMSPHPRFHTPSLSHC QTKGRRQQPQPQPQPQACGAATMTDFSNPSPSSSSSFLTPSRTTQDRDQYTTTFPIMT PAPTTEMASLPTTTNAATVGTSRTALALAQVDTRVWAADDHHHEHDDDAARDPPEQTG RAERAGKRERRRMWWRRKAGREDREVSEGVVLVETRIARQSAELGPAGEEAEEGEGEV CRPAPTLSLAPAPAPPLPPAPAPAPAPAGTDGDDN MYCTH_2304032 MSAFLRAASRAAVARPATVAAAAAARPFSSTGARPVARITIVGN LADSPELRASSTGREYLRYAVASNSGSGENRKTSWFNVSCFDEGPRRDFFQSLPKGTL VLLEGDVSISNYVDGEGKPRQGLNITQRNLDVLRRPYNPQVAEGGGAQQ MYCTH_2304034 MTKLWLPRGLSRPLRRPVRRNGTGISKRRTFATVGTDSRPFDVV VIGGGHAGSEACAAAARAGARTALITPSIDNLGVCSCNPSFGGIGKGTILREIDALDG LAGRIIDKAGVQFRVLNRRKGPAVWGPRAQIDRALYKKHMREELEAYPNLSIVLGRVS DIVIADNHDDPAADGAKSKITGVRLESGEVLPTSQVVITTGTFLGGEIHIGLEAYPSG RMGEQATFGLSKSLRDAGFQLGRLKTGTPPRIAKDSIEWDILEEQRGDEPPVPFSYLN DAVAVQDQLLCYATYTSPATHDIVRANLDKTIHIRETVKGPRYCPSLESKVIRFGDRE RHIVWLEPEGFDNDVIYPNGLSMTVPADAQLQLLRTIRGLEKAEMLQPGYGVEYDYVD PRSLKRTLETKAIRGLYLAGQINGTTGYEEAAGQGIIAGINAGRAARSLPGVSLSRAD GYIGVMIDDLVTKGVSEPYRMFTSRSEFRLSARADNADSRLTPLGREWGVVSDARWRR FRDDAQRRADLRRILRDTVLSAEAWRRLGVPVLTNESDGGGGKRRDGCEVLRLPGVLP EHLTSRIPGVNDAEFPARVRERVAIEVVYEPYVRMQAAEAARLRRDEDLRLPGDLDYS AIFGLSIVEKAALSRARPETIAQARRIEGVTPAGLVKLLGHVRRSQRRGPTPPPPPPR SEQQQQQQQREGAGAAAPAAALEGLDLTGAEDLETLDAKSRVADL MYCTH_2304036 MPLTSFLPSPSPKRDPAAAIRNIIPAVSNANLRENIYTIPNILT ASRLVAAPFIGYCILHDYHAAALSLFAYAGITDALDGWIARRWNLKTVVGTVIDPMAD KTLMTVLTVALAMKGALPLWLAVIILGRDVGLAISAIYYRWISLPPPKTFARYWDFSL PSAEVHPTQISKYNTALQLGLMGLTTLAPVIPAVDMSMPLGILQYVVATTTIWSGASY VYSKDAVRILTPQEVEEKKAEAKRAEDKKTDAR MYCTH_2304038 MALLDPPPPDYLLPGSEQCTDSVEQDAAYLVEAAAVARTLPRLV GCNGDARTLVRSGAVLVHGDEYKRWKDGRKWSDGRNLKNGFNIYRELATDPADCMTRE ELVGFFFFFSLPLLSLSLSLFLS MYCTH_2304039 MTGNRAIDINGGEDEDEALRIAIALSLGKEPEPPSSPRGRPGTD QVIDLTHDDDGHAGDSGKGSAGEGDDDVVILAAHGDVGRSGPRQKDETATASTAQQTT SVSVFSALGLDRRKMEEERLARLSKRKASSQVGDDGQPAARPAQRPRIADEEKGEVTS LEGRTSTGHGSSSPSSRPDLPLNPSRSSTCPGLHFPRGVVKKTWAYGQPRRGDDIKIE EVLQKQHLHLAVLSSYQWDEEWMLSKIDIARTKLILVAFAADEAQKEEMRSNVPRDRI RFCFPPMHGIGSMHSKLMLLKYENYLRIVVPTGNLMSFDWGETGTMENMVFILDLPKF ETAEGREAQKLNRFADQLFYFLRAQGLDEKLVDSLRNYDFTEAGRYEFVHTIPGSHTG DDALRTGYCGLGQSVNALVGTRSEPVELDLVCASLGAVNYGLLTSLYYACLGDPLREY EERASGSQRNRDAFTSRAISLVKEHMRIFFPSRETVLRSKGGKDGAGTICLLSKWWQA PTFPRELVRDCKSVRQGVLMHTKALYVRPCSPTSQQSGRCFAYVGSANLSESAWGRLS RDRASGKPKLTCRNWECGVLLCTDRTVEGSSGAGSDNLGVFDGCVPVPMEWPGRAISG EGGEGMAAKDKDARTPWFYAEG MYCTH_2304041 MEQQTAQLPPKKSTPARRRPMRPVNSPARKTYASENDMPSEATF PLEIAGPFTPRKSASNSSAPPSQPNQGKSKPRNGNKGRVKQVSSPGPGKQGRTTPPHS TTSKPIATAAFAGATFHASPAPSSLPIPSFLSKALDSPSVQETDHASREPSPPATDSE AAPTPQHRLLSANDARQESPLDIFFRADRAEKERARRASSANILGQRPIPLSPPSHVR SPAEPRTVPSGRFGTGTRRPVPQRNSSAGIPASELDGTPETVIGPALSKPYQERIREA ISNKKQGDAAQKPTPLQDQAAIDMSERLKQFLAIPSIRSGQQPSQAPETSPQGPTDAP PHPFSSGPQPPHPSGFLPAFSVGSQPPPSAAYHTPPPFATGTQHPVLAPSAPVSMTSP AVNGSRSADILHMEESLRRMLKLNLSPAPAGPQPTKYQSS MYCTH_2304045 MADVEMTDAPASVAVVKNKGAADGEGKEGKKRFEVKKWNAVALW AWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGICNHAFHFHCISRWLKAR QVCPLDNRDWEFQKYGR MYCTH_50532 MAEQLLDQARDLFEGQIDFEGQKLVEVLVNVALTIVGAIAFLVG YFLQDIKLAVYIGLIGTAAVFVLVVPPWPFYNRNPVKWLPVGGITPPANLVVDDKMFK MYCTH_2304051 MSDQEEVANSSGYSTPVPELDDHRNQTASVQRPDRTRRGTFDSL YGARVMAPGGATSEPRVRDFEEAVVDEDGADQSPTMRRARRPTIESASERSSSPPNSV KAFAEARKRERGLSISESKPERKPEEAELQRTASVASRHSYRSRQHTVGTVDAASLAT NKSAEEDVCFPLQDEQRGEQLHIDFDYLENFIRAENEARQAARRPSAARLFPDLRQEN ADAAAAAPTMTIDGDYLGTPFSSNEGYAEKADRLEAEEQAKVVQPPPVDHNRVSFFSS AWESTIHAADLEGLILPGEDIRGLFTFPKGETDGVWWLNINKPSEEEVRAICRAFGVH PLTVEDITTQESREKIELFPSYYFACFRSFCIERDEDSGEIEFVPFNIYVVVFREGTL SFSYANNSHASHVRKRIAMLKDYVALSSDWICYALIDDIVDSFAPVIGKLEADTDQIE DEVFIARTDDMAKFLRKIGTARKHTMALMRLLGGKADVLRGFTKRCNENYKVTPRMDI GLYLGDIQDHVVTMMNNLAHFEKMMSRAHSNYLAQISIDGITQGTNTNRVLSKITLLA SIIVPLNVVTGLFGMNVQVPWHDSDSLAPFFGILGCLLAFCAFCTYLAYRMENKWFSR MYCTH_2304053 MSSSDFSIEQSDLEKLSDKDKTELRQFFANEEQRSRIQSQTHEL TGICWKKCITSTIKSGALDKSEKTCLANCVDRFMDANLATMRHLASMRQQH MYCTH_2304055 MAPKDVKKGGASKAGKGAQAKKAAQAALKGVHSHKKTKVRTSTT FHRPKTLVLSRAPKYPRKSVPHEPRLDEHKVIIHPLNTEGALKKIEEQNTLVFIVDVK ANKAQIKQALKKLYDIDTVKINTLIRPDGSKKAFARLTADVDALDIAATKLGLV MYCTH_2304056 MAAKKKKGPLKNLAAGRPPVLHRPKSMSRTATKAIINKHHLLQK RKRQAIAKGDKAEVAIIDAEIEALGGLERYQEASLQGQRHDRGGDSSRVLMQWLKSTF SPQKPDSSRRLRMLEVGALSTQNACSKSGHFDIVRIDLKSQEEGILEQDFMERPLPRD ESERFDIISLSLVLNFVPEAKGRGDMLRRTTQFLRAPGRYIDSPGLAASFPSLFLVLP APCVTNSRYLDEERLVAIMESLGYTMAESKTSQRLVYYLWRRVRVCKGPGTVFPKKEV RSGSSRNNFAVVLS MYCTH_2143695 MAAAHKPRVVLLDIEGTVCPISFVKDVLFPYALSALPATLASEW STPQFAPYRDAFPPEHAATPEALLAHVRDLMSRDVKIAYLKSLQGYLWEAGYASGALK APLFPDVAPKIRDWASGGPEGVRVMIYSSGSVAAQKLLFRHTDDGSGGQADLTGLLSD YFDTVNAGPKTERSSYERIAAKYPEVPVGEWLFLSDNVREVEAAKEAGMQSYIVERPG NAPLAEEERARHRVVTSFEEL MYCTH_2304063 MECLRDNFHDGVLLNGRYRTISPLNHGSFGMVFMAKDTLTDQTV AIKCLTKKSAAPDADFEFAIDDKSEELALHGRLGSHPNIVNLNDSFETDAHVYLVLEF CSRGDLYEAIRSGHGPLETEHVRRFMLELVDAVEYMHSKGVYHRDIKPENIFLTQSGS MKLGDFGLATTDEWSYETTVGSDRYMSPEQYDSAGAGYSPAQADIWAIGICLLNILFS RNPFTTPTEADPLFLDFSRDKQSLFDVFPSMSQDTFEVIVQCMNLDPTKRSLAGARDA LLRVVSFTTLDEVLDDFCSTDRRVVASSNREPLRTPSIQSPQVDNGAFPWAKALQATP PKPIRQLSAIPDDEIYEEDLFSKSGATADWMSATAQTPSMASYMDSNLGTSAQSFALP SDFRPVLPAKATAKSDAPVAGSLPITMSKNRDQSTMSLLFGRKDAVSKSWSDLWDEEF EEEEQARQLQARKEMNSRTWSHESAAAASLAADNDDTPRQGLSPATKTATVANDENKI PSIDGALNHADEDSDVDGLFLYEAPPAKEEPQPQLPRNTALSSRRTDFDKWAALGERR RAHGSSPPRKVVNVSLRTGRPVEPTLPASSSAKGRGPFNNSHADSHHQPFSSVSGNHS THHNNNHYHYHHGRWSNNRDRAKECPRHKGRDRDWNWNFDWRKEKRPGFGYLEWVGNW QAARS MYCTH_115858 MASPNSRNNPPSWDRYERGGASRTGSVSSFGNKSFQSENEALLG RSVESAHDDGDWTQQLRRRRPSITNRLAALTDIGGVNSIRSFTRSWTRAASFPEVIPQ RPSFIFAPDQVPIAATPDSGVVSPYEPEQQHRRREHDVEAGPSPSLIRQQLEAALQAG RVDHGHRPQQQQLSSRTASPEPAGSTSPLLHRQSSRDSRDGELAPIFRVGSHGTTMSG VGSIFAIPPHLATPPLVGSYRSGASGMLMDYGTVRSDASSLSRGYKAAEDAGPAAAAA ALWREQQEAGGNVPDGELPPILVKEVEQDGKIVLAVEGQSTLPQTVFNSTNVLIGVGL LSLPMGFKYAGWICGMATLLLCALVTAWTARLLAKCMDLDPSLITFSDIAYISFGRNA RIVTSFLFTLELIAASVALIVLFADSLDLLFPGLLSVTGWKMICGLILMPLNFLPLRL LSFTSFIGIFSCFTIVLILILDGLMKPTSPGSLIEPAKTYLFPANWLTLPLSFGLLMS PWGGHGVFPNIYRDMRHPYKYARAVKTTFTFTYLLDATTAVTGLLMFGDSVRDEITSN ILLESSYPRALTALMCLCIGIIPLTKIPLNARPIVSTLELLLGLNRQTVAAEYDYPYS HGLVGRGMGFRGVMKVAVRVAVILMFLGIAVLFPAFDSIMAFMGSALCFTICVTLPIA FYLKLFGHEIPIREKMFAWAVMVISSLLSVVGTVWAFLPKSLIGAEPSTPEL MYCTH_2304071 MGQRTFATRGDRPSRMVLSDRVARGSRADDASKRSRDRDRPEGP WGGANRTVANIDPARRRGPASNRRDRKADTRDEPGQTRDKDESHFKALKMQRALATIS YRQRTAVKARISDVESFEQFDLLPSLKEAVYNEVLKGMVDVKPTPVQRLAIPALLGKP VGQNADAGRRPKRAEPKREEFLLAAETGSGKTLAYLLPIIQALKIAEADDDAVKAYNE RLEAERERRGGAPVSEWIDKFEPHPNHARPRAVVLVPTAELVDQVLATAKKISHVAKF KVRPVSASYSPVKIQRNMYSHGGIDMVVATPHLLAAMAESDPNILSRVHHLVIDEADS LLDRSFSPETTKIVERAMPSLKQLVLCSATIPLRLDNYLADHFPDIKRIATPNLHAIP RRVQLGVIDVSKDPYRNNKLLACADAIWTIGKDSSLQGDADEVVDIKRIMVFVNERET TQQVADYLVSKGIDAVALHRDTPEHRQSEMLASFTTREPMRATRDEIEAAKQAVLARA GPAAPRRHLPNTKVIVATDLASRGIDTLAVRHVVLYDVPHTTIDFIHRLGRAGRMGRR GRGIVLVGKNDRRDVVAEVKESMFRGQALI MYCTH_2304073 MTDDAGAMDDYMFRSYVTQFKYVHEETSYLEIFNYTDPSFNTCE EHRFRSDELQDEFGNFLHRRGAFAPPKLRPGVELVSGIRLILQQNAQHPETFTPCYLS LTHQAYEDMIRAWHLPFRAIEGSSVVGPFFWSAFDQDDDDPHLQIIFRKSDVRKKGKT RGWELMLSHSFRTHITTGYAKGTPSSDIVESIRHLRACAGQVMHPLLLPVIILSHDLS MKNDQKQRQARQWLRDLEAAVSMRQEVLEEESKYMRGPMIDLDLINMDLVECHSQVLW KRPQAYQEIVSAVRQAMDRFWSRAAADPAYGGRGGTVDKVHRSMLSRLDFYQAKLKGI ENYAHITLERLTIQRAALYNIIAQKESKLGLQMAGEQRRLAHAAKRDSTEMKVLSLLG AIFLPATYLASIFGMAFFNFVPDGGGGNNNNNNNGGPAEGSGDGGSKWNSVSPLLWIY FAITVPLTVVVVVCWCLWDRRRERRFEAEDADIEAGIEKMEAQIMATMRKRTMSKMRT WEVGKH MYCTH_2304075 MHALGFLRRKRTRDGAQGHSSSSNPTSPVTPTANGFDQHPVPRG SRSSAGSQSTRHSSATATQQQNRPAEAAAGQQEQEQEQAQEQKQEQEQEQAQEQPMNT LSPQQPPYAVAQQHTPSPGTDPQNVPRIANLINPPHNEGQADYQQLQGAPSAQYTSSN GGVMSSHPHQQQQYHHHLHHFNHHHHQEQQPQQQQTHEEQKQQQQQQQQQQQQQEQQQ QQQQRPTQQVVTTQGEGRVTKGKYSLEDFNILRTLGTGSFGRVHLVQSRHNHRFYAIK VLKKAQVVKMKQVEHTNDERRMLGEVKHPFLVTLWGTFQDARNLYMVMDFVEGGELFS LLRRSGRFPNPVAKFYAAEVTLALEYLHSRNIIYRDLKPENLLLDRHGHLKITDFGFA KRVPDKTWTLCGTPDYLAPEVVSNKGYNKSVDWWSLGILIYEMLCGYTPFWDSGSPMK IYENILRGKVKYPAYINPDAQDLLERLITADLTKRLGNLYGGSQDVKNHPWFAEVTWD RLARKDIDAPYSPPVRAGTGDASQFDRYPEEVEQYGAPGNDELGYLFQDF MYCTH_2304079 MLNLKSTLSALWLATLAWGTARAEEDNVKSIPLRTHSLSQPYLD SDMQSRWYDFGGDTIIRTDQYIRLTSDYPSQSGWLFSRVPLTATNWEVEVEFKIHGKN QLYGDGFAMWITKERGKIGPVFGSADRFEGLGIFFDTYKNNRPGVVFPYVMAMVGDGQ KSYDKDTDGKNSEFAGCSARGIRHASVPTKFRLTYFQDKYLKLELQYKSEGEWTLCFE TNQPPAIPQVAYLGFSAETGELSDNHDIISIEAKNLYHAQPTGGKSTPGSGRGKKVAS PKEGGSWTWFFTKIFLFFLVIGGGYVGYTAWRANNKRSHRF MYCTH_2061176 MDSDTVKKTIIRQALQATNTANARTLIENINEKCFERCVPKPGS SLSSGEQTCLTSCMEKYMAAWNEVNATYIRRIQQEMGNQNISNA MYCTH_2092294 MSKPGFSFGLKKAGPAKPAPAPRKPAPFGGGDDDGSGDESGPKN AAQVSELDVFNTSSEPSAPSDTPDRRKKPTSKPLKPPQPPVTAPEANQFTDLSSALTS RKYAAEAAEADPSIYDYDAAYDSFKAAKAAAKKAAENGGEAGGGPEKKPRYFQALLSA ADQRERDRQIAEERRLQRERAAEGDAFADKEKFVTEAYRRQQEENRRLREEEERREEE ERKRNAGRGLADFYKGMLERGEREHAALVRAAQEGVRPPAARDGSGEGGQEEEEEEEK SAADRAREINAKGGNVLINDEGEVVDKRQLLKGGLNVAAKKKAEVQREKERQAQAAAA SARAGAGAQPRGGVYAAGGKRAMRERQSKMLEAQLEESLKRSRQEAEEEAAKVQLASK SRKTEAEISSARERYLARKRAAEEAKKNGEVP MYCTH_2138981 MFELRRINVGFDFDVSMRGIHQAGGGVSGKTQTVRTVNGAVLAH LRQVYASHAGSATEWSPEKKAAFLKDIQHDTEAESAPELASGKAWDFNEFLRYMTSSI TNAVAPPHEEDLSWPLSSYFISSSHNTYLTGNQLSSDSSADAYKNVLLRGCRCIEIDV WDGDDSDDDGETSSSSSSSSSDDEETKEKRKSRFSKVTGKLPKSLTSRFEKTSLEKPG NTAAPTVVPTEAPSTTPPANPLQKVSSGKEPRVLHGYTLTKEVSFREVCVAIRDYAFA VTDTPLIASLEVHCSHEQQETMVAIMRETWGDFLLPEPKEDAKHLPSPAELRGKILVK VKYVPPDAEEEEEEALPGDNQTAKAKKPSKIIQALSKLGIYTRGVSFKSLTQPEATMP THIFSLSENGVMEVHEKSARELFEHNRRYLMRAYPSGLRIRSSNLDPAVFWRKGIQIV ALNWQNWDEGMMLNEGMFAGTSGYVLKPEGYRIDKPLPAPSTPENPQATAVKHYTLDL TVQVLAAQSLPLPPGDDNPSSFRPYVKVELHVEEPGERLDKDALPEDGREKEGEYKAK TKSRKGCDPDFDGQELRFEGVPGVVPELSFVRFLVRDDEVGRDSLAAWACVRVDRLRE GFRFVHLVDAEGVETEGAILVKVGLKLR MYCTH_50021 MATIADRRIERAKREVSIALAINALNAKQVYSIRQAAKLFNVPA STLCDRFTGKRKENKVSK MYCTH_2304085 MVGKVSDRVLLREGLERTDNGMRQTSWPEVAPINQKNYYTDYMK RDDQILSYRLQSEANRDRLVQNSKDRDRAMNAANANGEVPLPLDEAEEGPAPSTGTLD PSKIIVIHPGSQNLRIGFASDALPKTIPMTLATKYPQTESEMYEALPRRHFEGRTMEQ QHGEEWAKKYQKMCNDLKVDMRANKRKVLPNSKDLVINFNRRTEPEVISQHNDPLQIE WTDVNAPRENEPTSAVFIGQQALRVPDDSNPKYKLWWPIQHGRLNEDDYPTRAHLFND LETLLDRAFRWELGLKKKADWKQYSCVIIIPDLYDKRYVELLLHLCIELFEFNRVSFI QESMAATFGAGYTQACVVDVGAQKTSISCVEDGLCIEDSRINLKYGGYDVTETFIKMM LYDNFPYQEINLRRRYDFLLAEELKIKYCTLSQANISVQNFDFHLRAPNQPTRKYQFK FYDEVILSPMGFYDPSIFDNSAKLNGRRKLIDRSYNAYDVDIPDDPASAAQLAILALI RPSVTETTTTSFSGAPGDVATPSKERSQPFSFLSRNGDVTGTPGTSKAPSPAPDGAST PVPAPYIFGSSRDLNGSGSPAPSARNGGGATPAPANGTSQLPSTSFDGPTSQQPQVQE RSAISLAIERDSVLPIAPLDIAIMTSIQNAAKGDDKKVKELLGSIMVVGGGAKIPHFA PFLEEKLKLRRPDLADRILVSRSAREMDEQVVVWKGASVFAKLPTNDSWITGFEYKML GSRVLYHRVLWQY MYCTH_2304087 MPPVQVHTKSPINAAKASGVTPQTAAPGVAGPDVSGDATTTPIT TTTAAAAAASSAFHPSSNPPPTRTTPSLQQPPPPPQPGAVPRLPEATGAPAPPRNSTG DSPGAGGPAPPRAPTATAAPIPGVSYPPQMGRPPPQAGHNQRGTTAAPLGGGAYPGPG PGPGPGGYYYYQQNGSSASSAAAAAAAGYVPPYQGGGGGGSWGTGEDEEEGFFGSALK LAKAAGEKLSAAESEVWRRINGEGN MYCTH_48564 QQETQIPSFSFNDPGSQYDGNAAQLEPNPFAGMDGNVTLPPRTD SMMASHAAWTVPTPQGLRPRPATIHEGFSFCVGGGFEGLPAWDPSSLGAGATTTATAT ATATATATTTTTTTSDTMSSRPVSVHQDFYPATTMENGECGGRQGAIDDGLTVIGSDA WAQKPCDGNLEMSGLEPDMIGQAYTTDEAIPILDLRSFGPSMDGDSRALDGTNARRMS GSSFTLSTTGGLSEITSYEDFSAALSDAPSLSSDYPPPSNRTSMISSTHLSPVASPRM TPHSRSELVRTQSRGRASPSPRPGVRAVPYSVEGARNKRWSTGSYGTASSNRRPSPFV YHHPHDAFNLHARLSSSSAASPHHSSPTIAQGQLPLSFGNLQAAQQQHPFLYGPAPAF QHIQHNNHMLVPSQLPSNAFPPESSHRNPFENPPPPPVLSHGLFRMLQSNADPHSLGH GHYADLSDPPDLYASLHEEQIPPPPEDMNPEDQDLVPHEQELRFEGDLYTPRWVRGHG NKREGWCGICKPGRWLVLKNSAFWYDKSFTHGISAATGSPFQEPQETRRMDGNPDVWE GLCGSCNEWIALVSSKKKGTTWFRHAYKCHTHPKIKDAPKRKREGGGATTRVLPASTM AKPRTEPLTPQMMTPATSSTAVTPTPATATTAAARPEGQDSLQPSGREQPQAQPQHTP SSSSSPSSSQEVQQQDRQQAQETRLQPPPVPPPRPRALPPRSSSLMNPLVAAVGGVDG FPNMI MYCTH_2304098 MTADPLDSSSGDSAVQPPTPKRTPISPVFPSPVFETPKQNDGRL DESSGWTPRFAEEYSVFNATPGNLRGSRNPFPDFGPPTPYSSSTAQKRALSTDGLGLG VAVHVSESPSDPNLSLPPVEPSKVLRSSPVPRRSQTFDSSGAASENPESVEQAAKKAR RGTVVTEPAGQAVTPPPTARKGERKLAPKPDTAAMQNDQDYGQQAHFMASAQQQGMGS FVTTQGDMFGYPLPASTGPTPYTTQRPFWDADPGLAGMEIDFSSANGAGVFQPPTPQQ VPDPVDWARTNHLLPDQGGMAGHGDVSAGAHQASLGSQPPMSMLATSSADQSMFAASY PTPIDDPFGISDAGGAVNPGLLFSRPQSANMDASFNQPIPVPNPNPAMAHSGQMDSTG PSVSKNAEQRAELRRSASAKDPTPRKTDHRALASSPTKESGRPGLSRSSSENRGKKTL GRPALPPLAPRPRSQLVSNAGVNANKPVVSQPQRPSGRSSPSKSAHHHHHHHRLSSLS SIRETSGPEMRTQATFMIDANGRARVETTVVVVEEPSPSVAKRHGSYSVTSRQQWDSS DDDDSSSTDDEPIIIPSRNTSFALPDPRKPTTIHPFHNSQRSISDRSSTSYTSSHGPS REAGDSDGETVVHELTPTRRASGDALSELRKLRESRQKQSNSRPKRLGSSYYASHPST SPTTMSDSSLPTPTTDTRTRGIRCVCNRTGASRNELMIQCESCEMYVHGQCVSITERT IPSIYICAFCANTPNVRGGRPRENGRLPGTAGPLVSVTSPLAHKSLKSFR MYCTH_2304102 MATHNIVVFGGDHCGPEVVAEAVKVIKAIETHSPSAGKFNLQDH LLGGASIKVHNTPLTAEALAAAKSADAVLLGAIGGPEWGPSSPVRPEQGILQLRKELG TYGNLRPCSFASEALVESSPLKAEVCRGTDFVVVRELTGGIYFGDRTEDDGSGFALDT EPYSRAEIERIARLAGFLALAKNPPSKVWSLDKANVLATSRLWRKVVSEVFAKEFPQL ELGHQLIDSAAMLMVKNPRALNGVVITSNLFGDIISDEASVIPGSIGLLPSASLGGIP DGKSKCNGIYEPIHGSAPDISGKGIVNPIGTILSVAMMLRYSLNLPKEAAAVEAAVKL AIDNGTRTKDLGGNAGTAEMGDAVVAELVKLLKA MYCTH_2304104 MATCALCSSFSMTVQDFATVLVYLLLQYLAMNLFAYTLKPEDHV ERRPKPNHPVSQPPPPARQDEPQPPISTVTRAACLRYAQRLYPGATILEAEPQGRCSY TLFLPPDDRHSGTILQFRPPRHRIDLCITAAAETIFGNLVPHTEFHSVLTPCQCQCQY RFRGPRSSHCSPPSSSSSSSPSSSLSSSSSSSSSSHPHQHRSRSRSRSHEVSRPSHGP GGRCPHKHQDGASGTVVLYTHSLLPGTPLSTLLTRQQQQRRRREGGGGAQSPGLELVV RALARRYFAPSYRHALAPDSPRLPAAKRAVGWSLRRAVARLAGAAADGLPGAATAAAV AAVTAVGGWLEEVEHGLPWALTHGDLVGGGNLLVSAGGGGGGDDEGEKEEAGYDEDKD DGQEAPELTLTGLVDWAEGEWLPFGVGLYGLEEVLGRTVTDEETGSRRFEYFSDAERL RAAFWDELVREVPALAPGTELRERVEKARLLGLLLWSVTPRLFHSEERPR MYCTH_2304106 MAQNLVSTVITYHKPGTQPPLYVAGTFSDPPWQPQEMDHMARQD GEYDFKKEIRAEPRSRIQYKFRVGDGEWVLKDDGPTTTDSFGNTNHVLEVGTQEEAST TQAQDREGTRDRRESRGAGRLSYAKVAAKQFEPPAEQASAGRSRTGTPIFARTAAEVA DSSAELLHEEVPEREKPEGNRNRGEEAGRRNPDAAEPAPKVADASNKPEKRQVYLASL SHSPLGEVSCARQLTTQSTVVILEPPAGEGRPFRVLPQSEEFPDDQEGTIADKPPLFA HECAGMHEMDDELTEEEGGDAAQTPPPFEDIDPNSVDLNDPTLEHFPSSREDIMDRVQ KLKTGLPADHASFSCKPPSPVVNPSRRGTEDITGDFLLVNPQSASPTTRRASKNTPRG SIGSFSATASLHSISENEEAVEDEIDDRPAVVFSNPLKPRPKRLNIPASDEDERIALR ESVSLTALKSPRASVVSSEGSSPPSPKTVWKDGRPADLTSAEVELGKDKDRSIEEVKL HPTPQASDPGPGQTGPETRGEEAKKEDTGMTESPVSYAGVAATKSAGVTTKAEYTPGR KTSEGPGESKKTTSQGPRRLSYAEVAASKPAKAEAPSEGTPKGPKPPRAEHRPESGGG GRGKDRAAPHPSGPRRPSYAEVAASRLSPPPPPPPPPPPPSSDDASKAQPAPPTSSAG AESSASKPATTDTSAADRDQHEGGSTSKDLRKRAGGAGGGTSGPEPTRTSDSGSVGVP AVHPKYGADWVRAMFRLVFVDLVGGAVKRVLRLFGLDRLFGAGRGREGERDA MYCTH_2304108 MAGLPPHTIHVKRKRGNDEDAVEFLRLEESKRSRSSEEGGWVYQ LKQTIGTRTGPAVEPSSPGIPVIQTSRAGDENRRPKRPRQQGEPPVKDDATAPGAAPA PSAGPENTAPTTSHPAPDRIRRFHLSKSHSPQPAAGVSKKRAVPAVFVERGAKKHRET LKALIQEHGVAPTGQSKPVDSSRPPEPSEPRNGETPAVQQPSPVKYKRPGTRACTQAA KNKTLPPSMQQREDIDMDELARAMDSWTVEEINKNLDRVKAESTTSKYSPATSRFKPK APKLRYFERHPESVAAKQRGDAVSQAPSGTAAMSVDAAAEDTTDEEDYVLETYERVPA ERLREQAVPSHRVGLLVFDTEPDMVEFFYGTEGDSDDDLPEDEEDENAENYYTADYPE EELDWDDEFDRNPYTYTTQNDSDREEYDLRYFDDEDNWHDDEQYGSFARRSEEWRP MYCTH_2126672 MAPQQGLTGMEGVRNVLLYRVEMDGDPIPTIPDRFTQALPVKLP ASRPKYSIDDTRYHHVGIPVLLHEAGARVLDSAQYNVKRPDIEGEEEASALPVVFGVP YESGGFLPYWALRCLHMAPAIWQYHDPAGYETSVQRIVEQMPSECISFTSGQASKQAS KHLHKRGTNFNLNPIEIEQRKRAFRIAYMLDKDLCLRSGRPPAQDDNFRPNIMSFLEI WAAVYDTVSVRPNIISFLEIWAAVCDTVSGIAAIISLIPLARPSFARLRAYFRREPVP AQTQQEPEPAYINQTSR MYCTH_2304109 MSSLSIRPAERNVPLRQPMLPHGCQTLGSGDSLVIRTNQRLPPI SDLLRDVPLPPSPPSSQPPSQKQSYPTILPPMFPTPIPCSPTFFPGAFPTWSASPPRS RSASQQYTQFPPLSRPVDLRRASIPCADRDRDRQRRTTKQQARLRRGSTLSDPGPQPR QHHPPPNNSLTRRPEAAAIRQPAPAAPCETAAGPPKPKRNNQPFTFEQEAFVIYHRVE LGLCWAEVRDAFMARWPALKRSVGSLQCAYYRTNLELPVVTPDGLLVLVDPEEEAGEL AQMTPPASPGPISPSSSSFCLSSFSSSSSSPSGGLVDEGAKGGSESGGSSGRPREWYK LYRGVAYRTRMVKCRSARISLTERFPEELLDERNDWVREEHRVAASGAGEYTCIPFSI ISNHPPPPQPSFSPFPTISPPTVLSSFSSFSGIGSRSDRAQLKDGAGRERSGSLPERC GSAGG MYCTH_2304111 MLEVIYVTRHGFRSNWLVDPSNGNYTNYLRSPTGGAADPALTAH GVDQANELAARLLAVDPPIERVYSSLYYRCLQTVEPFVRKAQEAASSRAGGEPGHLRI RGETGLGEWYGSADFEHPVPASLGKLEELFPGLLDPSYSPAITPNRLGESIEELHDRV AAVMEAVIAECDRDGVRAILLCTHAAVIIALGRVLTGNMPDNFEVDDFKCFTCGLSAY RRRRQDLGAEERGVGSAMEGTAGRDADRRSEDGAVAGWKSGRGVSGGWDCELNSDCTH LRLGEERGWSFHGDESFKDTVTGHGMLDTTTRMPPTSNGERKAHGAQADSPRGKL MYCTH_2304112 MSADLFAVFGDASQASAPQRNQQPPGTTHPISTAKDDPFSFLSP TSPPSQPQGQQLSAQWSPFQQTTTGPPQANNGWGKSIGSLEALGASHQRTDPQDPGQE EEDDDGWGEFEVAQTSFPAQPPAPQSASIPPGAPATRTPAVEMLTNNLVDLGLESLAP EPRGRSPSLEKSAETRQRQKPAHNPDPNVLFDADFEAENVADDDDDDDFGEFETGAPA TTTSQTAPAKSAVDLLSLDPEPATVPAKKQAPGVTLSNGALQAGLTAYPGGLKASHDS MSSRGPEPTRQLKATPTMVPKTPRVANAAPPSPATARSAIEDDGFGNNWEESKDIPET KPTASKSQQTPNPKTTSQNPAVAGTPATDWEWQDWGGTENQVTRGGTATTTTPTTVTL AQPFPSVTTSEQQPPGPPPTNIPPPAILLSLFPSLLDLAQHALLKPLLTLPPSSPAYQ RVLAAEPTYDFLRGYLALAAVAARLITGRKHRWHRDKFLAQSMSVSAACGVGGQRGMK LACVDKAQTAHDDREAAEVAAAWKRGVGRLRAVVAGVNAARGAGGGAGRGVAALRVPE VAVGLAVTTAKGVPTAPRACVVCGLKRDERVAKVDWEVEDSFGEWWVEFWGHRECRNF WLEHEKKLRQR MYCTH_2304113 MRSLADARFRWEQTFKEGSSIAELQRAVKFNGPNSPCALGLRSI CWKAFLLWRNAPSEQWLELARKSRASYIDLCDQHLRFIRHPEQLAALTVDPLADDPDS PWNAVRRDEAVRAEILQDVRRLPDEPFYHEEPVQTMILDILFLYCKLNPGIGGYRQGM HELLAPIVWVVAQDAVDPATSTTDDPVDAMIAEMLDPAFVEHDAFALFSKLMESAGPF YDAESDAASDGQQKSTIIERSKYIHEVALMRVDEELATHLRNIEVLPQIFLIRWIRLL FGREFDFVPLLILWDTLFAYDPTLELIDLICVAMLLRIRWTLLGADYSAALQLMLKYP APAPPHGPHTFVDDAMYLRDHLDAAGGVTLIFKYTGKSPAKTSVTSPAPSRIPTPSFQ KFNSLRQRTLGARTPLSTSARILQQPGGVEALLQGAAKNMIERGEKLGINRAVRDAVG EFRRNIQGFQESRSPSRSSRGLFGENSPPSPDHSLTIKLMEKRNARLAAMLEESVTNL KFLASSGLEGEKEKHLETIEVAAAKIQFVKACLEDATLALPEEDLPAINTLTISSPTE VSPPTVALDTTPVVMTSSAVEETRTALSTPESNKVSIASPPNDSRVVPPVPQADEMDT DRHHDEEEDTLPPLKSEITNSPPPPPSPLPVAEVLPDSPPASTPIQPQSNGAIPTRST LAQSSFAWMLEPDTTASSPRPHSASFSSVRPPKITGGVTQRKRVNSSRERNAFLFGEV TTADGAVIGDGAPLSADHIFGLQPIRKAG MYCTH_2304115 MNFYAASNMPVYRAEQDARHKESKSAFGQVSKKSKILGLQSPQR DTAPSPPVRPVTSSEAVQYDTPSIDQLLDPAVQGPPSPESIRELGQRMKRASIHDKHQ SHQTTSSGSSSLRSLTSADRPSWENVLDGKTLSRKSSGRSTSSSMPSKERPESVQIFG KTIFSRRGKGRRESSAQSSSSSLYSTDVVGDALPSTQRSAPSRESTIPALFGLRRNTK QEPAAETQRKLNISGPYNFQHVAHTQREDALVLEHGSRSVFASESAQPHGHGGLKGAR ADEMHFAGFSSDSLPLDEEDALATAAPYEVRQTTSLTRKPSVVKKRSSRRVLSRSQSQ EQLRMGVPPPRPPRSPVDQSAPGGPVVPPRGPSRMFGRHERFDSIDRPQTSASFRSQQ QYADPGSPPATSYGYTPDMDVIHEHASSTTAMGPRDEANWPLPCPSSNASEHTLPHVP EEEEQLPAHSRKRSRASVASNSSLRGSQSVPLLRGLAARQNGEPTRRTSDASDTLGRF EMLAAQQALRKALIENQDALPREDWEDDIDYCYDHEAEANCDYEWSRPSLETCRDGET ATPVDDHGRGGDSSVASPAMLAPGQFDMPALSPASQVSSPTPREAITPTVVNNPKASN FSLPRVDSKNLLHVRKPSDASSFKESHGFTLSPSLLIPMDYQQQMMACEAERDEAPDF SFRHLDEHELSMDPSTLLLRYRTSASTTGTIESSSSAFDKHDSTVSTSTDYTRMTASV SSLEMDNCLPGAEPLQRFPSFESHGMIESKAAMPTLPESEEVIPPPARRPHFKSRGSE SNLGALTTDEPWAAKTKAPIPPRRGRARTTSLSTPPPPNQYALFPQVQLSGNRI MYCTH_67855 MPPKQAKQRPSGGAAAAAAASPRGRPPAAVTAAAARRNRPSDVQ PGDPIPQGKKRRYRPGTLALKEIRRYQSNTDLLMSKLPFARLVREIALQFQPSREELR WQSQAILALQESAEAFLVHLFEDTNLCAIHAKRVTIMQKDIQLARRIRGVWGGAGWI MYCTH_2304118 MDQLMGGEGAFPLETWFWEMPICTRWWTTATVLTSGLVQCKLVT PFQLFYSYRTVFQKSEYWRLLTTFLYFGPISIDLLFHVYFLQRYARLLEESSGRSPAY FSWLLVYAMTSLLLLSPFVSMPFLGHPLSSTLVYIWSRRNPDTLMSFLGLLVFRAPYL PWVLMGISFVLHGTVPKDEFMGVIIGHIWYFFNDVYPPLHGGSRPLDPPMWWRRLFEG RPREETAEGMENDVAVAGTEVPPPEVR MYCTH_2304122 MKAYYYDNLPGDQRLPHEDTSAGPLSPADLETVGVRYYHMPELA GVDALAAERGYKNRDEITVSPEKMGDAYESKVRMFFDEHLHEDEEIRYVRDGRGYFDV RDKADRWVRIALEKDDLIILPPGIYHRFTTDESNYIHAMRLFKEEPKWTPLNRSETVD QNPYRKEYVSQYLA MYCTH_2304124 MDVRSVQDTASVAGSDSSSSKMKLQLPPSCDPPQPGASVKPLVW VVFGGTGHMGRSLVKSALSHGDLVTTVGRVLETSPEAMAALNNETCLGQLCDVRDGAS VARVIDRTLERFGRIDCIANCSGYGVIGACEDQDEYDLRNQFETNFMGTLHIVQASLP YFRQQNAGRYLIFSSTSGALGVPGLGPYCATKYAVEGLIEAMLYEVDSFNIKATLVEP GLVRRDEPDSTTNPLPTWGHFLIKPASEPYSHATSPALHAKRMVQWLGDRQPTSAVKC AELVWQLAHCSYPPLRLLLGSYAIESIRDRLRSVTEELEDWKHLNFPPPPDAPGVEKG GDKNDREEKAEKEDREQGGEERREREEREEREEREEREEREEQDEMEQRENDDVMEGI TPDPKEAENT MYCTH_2304127 MSNKKNEDIEMRAAEGGNDFGGEKDPFLGRRSPVARPRGREPGF SAFLDKLNHSPGASILAYCLSSISMTVVNKYVVSGSEWNLNFFYLAVQSLVCTLAILV SRQTGLIKNLAPFDTNKARKWFPVSLLLVGMIYTGAKALQYLSVPVYTIFKNLTIIVI AYGEVLWFGGSVTPLTLLSFGLMVLSSVIAAWADIQAAIDGVGHSGETSAALATLNAG YAWMGMNVVCTSSYVLGTRKAIKKMNFKDYDSKFSEPASDGDALPGADPSGRLAMFYN NLLTIPVLIVCSLLVEDWSSENLARNFPAESRNKLMVGMVYSGLGAIFISYCSAWCIR VTSSTTYSMVGSLNKLPIAISGLIFFDAPITFGSVTAIFVGFISGLVYAWAKIRAKAQ DAGILPTTNKPTMSASAQSNRDAANS MYCTH_49425 MPAIANALRRLNPWHKGNHLTLEPWNLDGPPPPPRISISAAYGL LVLYALSYFVPFYLSKATRPSPALSRDAPSVIRARIRFVTLSCLACTVVTYQVLTRAG GTTPLEALHLLGLWPVALRDSLRVLFLTALLFAGPLYSCLIVERGWADWVRLQPLVEV WEEWTTWRNIVAGPVTEEVLFRAASIPLVLLARVPPPPTVFLTPVVFGLAHVHHYYEF RLTRPDVPAAASLLRSAFQLAYTTLFGAYATFLFLRTGSLAAVCAVHAFCNCMGLPQL WGRVDPPFDVAAGVPLSRRPRPSILWTVIYYVLLVAGAVLWYRNLWVLSESENALLPS SAFDASVPSSA MYCTH_2304130 MSAPPARHGQSTSLHERSNSQTSKPGIRLVPYSPPRLDPEDRAP SQASSRDRTSGSFQDQSSSNVSHTRRPSWRDEVTESRFGGQGSALSSPTVRRSSLVRP GDERVSGVKPLPNPSVFSPAGPTTPRLRSPSDVVSSQVAGHEALAARRTRADSSPSPS ASLGSATSQHRRSHSRRGTLRLAVHPNGTFSLVPEDPQHDASGSVAGSLTSPHSSYAS RSPSAQDRPSIDTWSNRRASTPLTGASTVVLDQSSSELPRSTPSSRRSSTTQLAEDPT SSSPWNYRLVGGLRKVPATPDNKGKQPLYGASSTSSSETQLAPLAEKTAPANDEKGDE SPTRSVVPKASFTTSVTAQTVETISEATNYKVYGPASAAQESSDSLAFNSETPPNWEI LGQSPAPASQSSSAAASQGGNENYVLHGPPSLSPSSSLATVARKPRPVYSQESLVVPP LRPKKRTSYEGFGYYKQRSRETLRSRTGSVQSLKSLSSIITGQDPTQVFLKTPAVLGL GASSTQRFSWSVPQTSESGASTSQAAHTLQAQTPMLQSQPHQWSSQLSTVMSESEPGS DPARSVSPLSEGSGGHHRRGSSTGWVSSMHSRQMASVSSSIAGQLDEAAATPGSDSLE RPQPSVARAGPSQIRMIRDQDEHGDGLADLEERPSRTGLSALFANGSGWNLHSNSSSR ANSFTSSIPAWARVYYGSGERRFLGRRPSFLTISESGDSRPPSSGFLGGSESPNTDNF PQTIFSPRKRPREVQPNVDQQPAPEQAPMETSPAPQTHEFNVFRSLRQKTSSIWSPHL QTDRRANRYSVWDPPSVSWSADSGIFGRRNAQVVLFILGFVLPLAWMVAALLPLPPNP DLESAQAENGKSKYSPTHPASRKQRYVLDEARYQSARWWRNLNRVMSIIGLLIIGAVI ALAVVGVRQGWGQPR MYCTH_2304133 MITFIHRPFVYLADKLGAGPDELKLIFSLLLSYPLAGVLKRVPD ARPAYKNLFSLSISIFILVGLFDLWDGLRTMLISAGGTYAIAKFLRGSRYMPWVGFVF LMGHMSINHIARQAANSPRSVDITGAQMVLVMKLSAFCWNVADGLLPEAELSDFQKDR RLTELPDLLDYAGFVFFFPSMLIGPAFDFAEYRRWLDTSMFEVPANVDPAKKPPTRRK RKIPRSGTPAMLKLVTGLAWIFAFLKLSAYFDPSVLVQDKFLSYSLPYRVLILHMVGF TARTKYYGVWTMSEGACILAGLGYNGVDPLTGKVSWDRLKNIDPWGVEFAQNTRGYLE AWNINTNKWLRNYVYLRVTPRGKKPGFRASLATFTTSAFWHGFYPGYYLSFVLASFIQ TVAKNMRRNFRPFFLDPKTGADLPSKKYYDVASWLTTQLTFSFAVVPFLILSFSDSLL AWSRLYFYAIIGTAGLMVFFASPAKTTLKKKIEQRNAKAGVSGPGTVSNGGDGKLSRS TSSDSLASRTPVMGITQDLGKEFDDAMSEIRSSEALKRK MYCTH_2304135 MPIISQAPPPQPALKRSRPSEPQSPTPSSSSQRHKKRRSSPSST GQRRDKRRREVKAVIDGVPNNPRVIEEDGDGGVTCETLLPQPKLIDRATLDWGFLSMQ APRSYSALTMGQGGMDLSEASTLLGSWDTPKPASTAVFSDAIMFNDCPSPSTMLPPVS PLENRSAQQETFGTMGNAGEGGAVDPPQYNPETEEENWDLVY MYCTH_2304137 MAQDVCPAGSEPSLSFTQGFILGQLSIVLLLAAFIKFFIFGESP SPEITASIRANERRSRTLAHKKSLLSLKESSTRQAAQQPTLNRKKSSILRPSPPTLTI GSILDKTYYKVDSHQPESLDWFNVLVAQTIAQFRSDAQHDDAILTSLTKALNGASRPD FVDEIRITELSLGEDFPIFSNCRIIPVDENGLSYPSGKAFDASKATREGARLQARMDV DLSDMITLGVETKLLLNYPKRLSAVLPVALAVSVVRFSGTLSISFIPSNPSENTPTKM TFTFLDDYRLDFSIRSLMGSRSRLQDVPKIAQLVESRLHRWFDERCVEPRFQEIALPS LWPRKRNTRGGDEAIADAERSLSKTKGMEVAKDLRMEARGEVEAEADVRVDRGHESLR YRRRPRPEDMFSVSGPMPGAMPDLETPT MYCTH_2304140 MDVVQAASGYVTKMVTAGESATGAPSAKMKILLLDRETLPFVST AVTQSALLNHEVYLMDRLDNPNREKMRHLRCLCFVRPHPDSIGLLIDELREPKYGEYH LFFSNVIKKSTLERLAEADDHEVVKLVQEYFLDYVVINQDFFSLNMSLPMHRLWGGNP DTWNTDALQRATDGVISVLLSLKKKPLIRYQKTSPLAKKLASEVRYYINQENQLFDFR KVDTPPILLILDRREDPITPLLMQWTYQAMVHHLLGIKNGRVDLSSVPDIRPELREIV LSQDQDPFFKKNMYLNFGDLGSNIKDYVEQYQAKTQNNAGIESIDDMKRFIEQYPEFR KLSGNVSKHVTLVSELSRRISAENLMEISELEQSIACNDNHAADLKKLQSQIQNPSIP ADNKVSLVALYALRYARHPSNALSMLTDLLVAAGGVPARKAALISQLLTYHNSLQQQS ASAASGITELFESAGLFSSASSRFKGLKGVENVYTQHSPLLETTLHQLIKGKLRETQY PFVEGGGTTRDKPQDVVVFIVGGATYEEAKTVAGINASSPGVRVVLGGTTVHNAATFL EEVEDAVGGWPEVGAGRRR MYCTH_2304142 MSSLIGGIYPPTLDDGVERDQLVQTIKDWTIANGLAVRPPPAFA DGEFEGALAISAPVTLFPSPFPKACFEEARAIQTKYNELYARISQDEEYLGSLVQEVA GGDDFIANLWKVHLRVKEEGYVQNLSLGLFRSDYMVHQDGDNLQIKQVEFNTIASSFG GLSTQTSLLHKHLAKTEYPLLKEPVSLPPADLPPNPSAEGLAAGLAAAFHAYGKSALG HQTCVLFLVQDEERNVFDQRHLEYALRSSGAEPIPVFRLPFSQILTHTTIPPSSPGRQ LLYHPPQNPARTFEVAVVYLRAGYGPADYPSQSAWEARYQIERSAAIACPSVLTQLAG MKKVQQVLATPEAVTSGPGALRRFVPDSDGDATYQALWKTFANIYPLDTSPAGLEARR LATDPEECKRFVMKPQREGGGNNFYRTAIPRRLSEIPESHWGSYILMELITPPPLVNT ILRNGKLEHGGVICELGIYGTCLWDQKTGAVLRNEESGYLLRTKGDQSEEGGVAAGYG CMDSCRLV MYCTH_2304143 MAGDDQSDPFLWEEDRVVQELCTANRSWKAPAAKRLPDPVALEA KLRECGVDGQSLLTYEDEFGFDQLWAALGVKKLPHQLSLKDAITQLRRRSKKYREWKA QQLAASQLLDEEEHDSAVKSHPYEPADLEHVGQAAPVSQPDHDNATPHPGAPVPGLAI PFQGVLSPTLSASVDRDLPTSQVLQTAQENTEEPPSKKRRIAPTNISNNTTGNSAFAI IPTEGDVVLRADLLSRDPVETILQEDESSGFLGPGILRPAQLFEPELLDAADLAEQDF VLLPRQLPAGRRIQVSAAMKRFLRASLADRLRMSAHGREEESEYGPSDDESVDSETWR EYQQEEAERAAMEAGKDADNERLLSKEEVAEAVRTAIQELETRWLIEKKPKYDLKALK TWQDARRNPNRVAFIESAKRELDHFASRIALYSKHIVDQPWTVKNDVQRKASGILEAS VFDKKRQAWLIDVVESPVRPPKPSTLPRPTPKRAEPSVVVDDEEVLTSDSDDLGSFIE NDDDIAPIIHEEMDIDSEPPEQQVPATASSDSTATGSPGSRAGARSSAARVLSSEQQA NNDLTSTVPAPRKAKSEPLSVPATPHRTRISAPEIIEIESSPSPTKQLLEVPGLDDLD SLEKIGEIGIEYWQKNEDPKRLVVAVLCDWSDTKRKMVYEVIKDHDHPEIWAKYIAPV LDTREDLSSAAAGLETFLICRLFDAYVSKSAKRSFSSVLRTITCNRIKREGNLFASFC ALLRRILPIFLRLVPQTPTQGVPQTPRNREQRSQTPAEAQESPDNPSASEESSSDDHT VPSTKKRRRVKRRDAQAAKVRSDVLKRNEELARRTRELRERLAQQGPDSNKHTRLIVN ETKESDDQALIYINDHIGSKIKDHQIEGVRFMWNQLVVESSVRQGCLLAHTMGLGKTM QVITLLVVIAESSASPDESVRSQIPEDLRESKTLILCPPSLLDNWHEEIHMWAPNGIL GPVYKMDSVIPASERMEMIQAWASSGGILILGYSMFTTLVKGTEGAGKLLLETPNIVV GDEAHYMKNPGSQRHQATANFRTMSRIAMTGSPLTNNVMDYYAMINWVAPNYLADIAE FRERYSNPIKEGLYADSEPSKKRKARKMLHVLKATVDPKVHRRDIEVLLNELPKKKEF IITLPLTKAQKRLYRRYIECVMEPNKQLMTGQAKAWSLVAKLGLVLAHPIIFKTVAES QKAEARKATAGGYKAQSPSSSSTTSATEQDDEDNIELPQDVLSQLLTTVALRDIEDYA LSNKILVLLRILDECKKVGDKVLVFSQSIPTLNYIETIFKRQRVVYQRLDGATPMSKR QDLVKKFNTDSETRVYLISTRAGGVGLNMYGANRVVIFDFRYTPAEEQQAIGRAYRLG QTKPVYVYWLTIGGTFEDTIHNNAVFKTQLASRVVDKKNPDPWSSRFSEYFMMPREVE QEDLSGSLGQDKVLDALVQCEQTGKLIRKITSTETFEREETYELTPEEQQEAEKDIEM ERLRLQNPEEFRRREQERLLQSRGMLGMQSQPPPDSYQPRPTDGESPSMSSDTDSRSN RIVKIKVPEHLRKTRNSEPPVTNVVSDVMSAQAQRNPSSCQKVDSHHQQQVLTLASAA TVNRPIPTTTQQASHVAIASEQTVSVHENPPSTHPTSAASTTAPDTVLTQDSKAAPQP ILAAGSHFKVQQAPPPPVPTSEPPLVGNLGTPSPTPTAVSEVDFPDLLTVHSTLCQEG RHVRHHPSDVISRVQAVWVREKIEKLPMMDKIQNVKRFSRNPRFAEAMLSGYMDPEQL ASMTRLEMDEISTTLNSLSEAEFRQRVWTTKADLNVCNTDTKSA MYCTH_2304147 MSKLQVLARRCPVMGKAMAIQSARIGHAAGFSTVAAKSGVSTFH GHEKTSKAKLHTSRSQEAQAVDASVFTGHEKVQLPPRLPNKQHVGASPAVATRPSASS TRAGKFDYEGFYSRELEKKHKDKSYRYFNNINRLAKEFPRAHMASKDEKVTVWCANDY LGMGRNPHVLKAMHETLDEYGAGAGGTRNISGHNQHAVDLERTIAKLHAQEAALVFSS CYVANDATLATLGSKMPDCVILSDSLNHASMIQGIRHSGARKIIFKHNDVQDLEEKLA SLPLHIPKIIAFESVYSMCGSVGPIEEICDLADKYGAITFLDEVHAVGMYGPHGAGVA EHLDYEAHLQGRPAGTIMERIDIITGTLGKAYGCVGGYIAGSAKLVDTVRSLAPGFIF TTSLPPAVMAGARVAIEYQMQHQGDRRLQQLHTRAVKEALQERDIPVIPNPSHIIPIL VGNAELAKQASDKLLHEHGIYVQSINYPTVPVGQERLRITPTPGHTKEFREELVNAIE TIWSELGIKRTSDWAAEGGFIGVGVPGAAPPEPLWTDEQLGIAEAARTLLQTAEAEQK ASPLTGGFTERLLEREMQSLRSASVSA MYCTH_2304154 MALWVDAPVAGTAKAPRGPRACTTCAKAKSRCIAGPKGQEKCER CHRLGKPCSSQTPAPARKRKRPRTTRVAELERRLEDLTALIESVQRQGPAAPSPPDLD QHSAPSRSPNRWADRVHHLRPLDLAETGDSIRTFRQNCWENPLGHIFPNHSIFGDDLE HQQSPASGVVPPAAAAVLPRPSSGYPSAQTTAASSIGSSTHQQPHPRSSEQHRSCPWP QDDEAEAFLRVYREHLNHLFPFVIVPPHLSSAELWKQRPFLWKVVMMGACRSDGRRQA ALGNELLREVTETAFMRPRKNLDLLQGLQMLIAWYHYNLDSFQMMNLLFLTMSITTSL GAVETKEIPDKEGYSSESLEQMRAFAGTYYLVTVTFTTNKRPDALMNNANAAYLATCC RALLSQMEYPTDELVVHLVRAQKLLQGISQGFAQRKAAPNEKRVPQVHFIHGLRERIR GVASALPPHIRANPTLRGHFLVAEILIYENSLEELYHCPFLRQSREAGPIPFSPARRT EDVSERVAMLWDCAHLVHAFLENRLPDEKTNKFPRVVCPTSPDLTYVFLTMIKLATVQ VPGWDLARARKEMQVDEFMARLLKRMEHAAENRKSETKGGLADGQPEHGQGDDESEDP FAKLARKVRNVRDLLRVLEYHDDYATSQVVRVYDPAPMTLVDATQDLMQDLGGGLWPD PTSGTPDWDTSAVGEAIDWVAIFNNYTMTESFNMA MYCTH_2304164 MSTYDKYQTPLSTRYASQEMMTIFSARERASTWRQLWVWLAEAE KELGLPIPDEAIEQMRANVIVSDKAFAVARDYEAKFRHDVMAHVHAFGEDAPAAAGHI HLGATSCYVTDNADLIFIKRALDLILPKLAKVIQNLQEFALKYKDMPTLGFTHYQPAQ LITVGKRAAQWIQELMMDLEDIETVRERLQFRGAQGTTGSQATFLELFNGDASKIVKL NEILCKKAGFPSTFAISTQTYTRKVDLRVANAVCALGATAERICSDIRHLANLKEMEE PFEKSQIGSSAMAYKRNPMRSERITALGRKLARLPANFTATFETQWFERTLDDSAIRR MDIPEMFLLADSILLALDNVTNGLVIYPNVIRSRIDQELPFMATESILMKLAQHGVSR QEAHEEIRVLSHQASDVVKQQGGRNDLLERIKKTEFFKPVWDEIDSLVDPKLFIGNSP KIVEDYCNGEVAAKLAKYKESLATASTAQLSI MYCTH_101800 MSGAAAAPSERPIEARQANTITVDLSQTYQRMDGFGFSLAFQRA NLITNMSDKTKQRELLDLLFNRTTGAGFSILRNGIGSSPNSNSDFMNTIAPNNPGSPN AEPQYMWDGKDSGQLWVSQQAVNLYGVKNIYADAWSAPGYMKTNGRDTNGGTLCGVPG AQCASGDWRQAYANYLVAYIGFYAEEGVNITHLGFLNEPDYSASYASMQSNGNQAADF IKILHPTLEAVGLGDRVRIVCCDSMGWNNQVSMVSQIRSAGAEDLLGTVTSHTYSGGP GGPMSSRAPVWLSEQCDLNGAWTTAWYSYGGAGEGLTWASNIYNAVVNANISGYLYWE GVQWPNPNTNEKLIRVDNTTNTYEVSSRLWAFANWSRYVRPGAVRVGVSGGGNGLRTA AFRNEDGTIAVIAISSGGSAANVSIKISGGPGAAAVQAFVSDNTRKCESTPATVAGDG TISGSVAARSITTFFILPESS MYCTH_2304176 MGKPQVDYRLYLVTDSTPAILGDRDLNEVVEASLKGGVTIVQLR EKKGDTAEIIAKAQKMQQVTRKYNVPLLINDRVDVALAVDCEGVHIGQDDMELSTARN LLGPDKIIGVTVSTVEEALKACEGGADYLGIGTVYATATKTNTKDIIGTAGVREILRR IAEAGYNTPAVCIGGINASNLQRVLYQCAAPNKVLNGVAIVSAIMAAADPEAATKNLA ALSRGPPAFTLDTSRDLSADADGKAVIALAAPVIRRIHDTTPLSHNMTNLVVQNFAAN VALAVGASPIMAGYGEEAADLCKLGGALVINMGSVDPSGLANYVKALKAYNLEGRPVV FDPVGAGATSLRREAVKMIMANGYLDVIKGNEGEIKTVFGNSKEQQRGVDSTSTLDAS QKAKLVRELASREKNVVLMTGKTDFVSDGVRTYAIDNGHEYLGMVTGTGCTLGTAISA AIASRQTDRLAAVVAAILHFEIAAELAAERPEVRGPGTFVPAFLDELYLIRQATARND LAWLARAKVRGVE MYCTH_2304177 MTTPPPERRFQRPAVFVCDIQEKFRPTIYEFDKVILTARKLLIA ATALKIPIFVTTQNRPRLGDTVAELQPFLAAAGALVQADVDKTRFSMWLDPISSHPHF ASSSPSSPSPSGCEVAIVGIESHICVTQTALDLLAAGHKVYILADGVSSSNREEVGIA LDRLRQAGAVVTTSESWIYECMGDAKIPEFKQIVGVVKDTVGDTRAALKGLLGSKM MYCTH_2092357 MDPVFVVTREELHDVQMELKRIHHVQLVHGERLRSLEKRQADDA ALKSVWNSPFPSVLGGTPQHGPVHMPATELIDDLDDEDQNLLGRLHLETDDEPIRRGA ASRANSVRFDESALHGANFGHGGRHSGDFGPSRPGSGFGGHQMERTYSHKSDGRHSSA GHSVHSMHSGISGRTSSLGLDSNFMIGGHEDDSALDMPEPPPVLYILGSPPSIIRCWL TTNFTSAGLLYAVVCTGSQKSTVEYSLLRELDLTNNIHRDVDGAHRITLPVFLAEARV TQSNSRSTSPGPHLPSITASFEVTGMDQQETPESRKAIRIFIGSHTLRLHGADILLSR NLMTLYGSDRDKLSIPFVRPEDDAVFKNLATTNLVSSKPKLNAAAPEFIAGEKSVNGS VPKSKEQNTSASKSAESGSEEVLSPTSPSNQPTKTGATSAASESGGEADKRAPEPGAP PLSSGKEPSGSTEPSRRESNPALRTPWRQTAAALADSSTPLSGYQPAARSRNMKVLKP TKSSSGSSTRTGSTHDPTPGTRPSADQRRKTQAEGGSGAVNRGSSKRAVSVSALPGLG EAKQAANGQEPTAKGPLVAPSKDNPLGSASAFSWIAPTKPKGPPAAD MYCTH_2304184 MLPPSQNSYPQIVLFGDSLFQGTADVSGGFSFQAALQTQVLRRF DVINRGFSGYNTSNALSILPQVFSPPTPGGPELKYLFILFGANDAAVPLPTNFQHVPL DKYKENLARIINHPIITAHKPKIFLVTPPPLDEIRVTVLDRANGHPSAARRTKVSAAY SEAVRQVAAEHPGVTLIDLHKALMDRAIEMTPGFDPKGPALGDPEGGVRGYLEHLLPD GLHLSTESYRIFYDLVRPHIGSEWAGTKDEDRVGYVLPDWRNAPWLEEDQHLRGKSL MYCTH_2304187 MFQPTCCTCASLLPAVPRVSSSSPSEKPVPDGRRLSCCGRIICG VCIHNNPRFSSYCPYCQTSGAPLPLSDRFEVRPRRSEAEAELQSESDHPPPYSAIAQT IKVKLNTTEPADPPPPYSPSSSSSSSPTISSSTPRPSSPKQPPAIDEKATAPAGYAIH HLRHPPHPHPDTLASISLRYGIPLPVLRRHNNLPSDADYLLAARNTVLIPAAYIIAAA GGGSAPDGTPASGEASLSPHPVEDAAERGRKKMIRRFMVACKEADYDAAVVYLEQSGY DFEEAVARHREDAEWERRNPLQAGQKKGMGRYRSSRSLLSRDSEGSRGGGRGSLLGWL KGRERASKDWNRG MYCTH_2304190 MATTSNMFLYSLTIQPPTTITQALLGQFSGTREQQIIIASGSRL SLLQPDPRQGKVNTLLSHDVFGIIRAIASFRLAGSHKDYIILATDSGRIAIIEYQPKT NRFSRIHLETFGKSGVRRVVPGQYLAADPKGRACLIASIEKNKLVYVLNRNAQAELTI SSPLEAHKPGVLVLSLVALDVGYANPVFAALEIDYTEADQDPTGEAAKETEAQLVYYE LDLGLNHVVRKWSDVVDPTSSLLFQVPGGNDGPSGVLVCGEENITYRHSNQEAFRVPI PRRRGATEDPQRKRTIVSGVMHKLKGSAGAFFFLVQTEDGDLMKVTLDMVEDNDGNPT GEVRRLKIKYFDTIPIAKSLCILKSGFLFAASEFGNHHFYQFEKLGDDDDEQEFTSDD FPTDPRASYNPVYFRPRPLENLVLVESIDSMNPLVDCKVANLTGEDAPQIYAVCGNRA RSTFRMLKHGLEVSEIVASELPGTPAAVWTTKLTKYDEYDGYIVLSFTNATLVLSIGE TVEEVTESGFLTSVPTLAVQQMGEEGLIQVHPKGIRHIVQGRVNEWPAPQHRSIVAAA TNENQVVIALSSGEIVYFEMDADGSLAEYDEKKEMSGTVTSLSIGKVPEGLRRSSFLA VGCDDCTVRILSLDPESTLEMKSIQALTSAPSSLSIMSMEDSTGGMTLYLHIGLHSGV YLRTVLDEVTGELTDTRQKFLGPKPTKLFQVSVQNQACVLALSSRPWLGYTDPITKNF VMTPLNYSELEYGWNFNSEQCLEGMVGIHANFLRIFTIDKLGETMIQKSIPLTYTPKR LVKHPEQPYFYTIESDNNTIPPELRAQLLAQSGAVNGDATVLPPEDFGYPRATGRWAS CISIVDPLGDEPKVLQRIDLEGNEAAVSAAVVPFASQEGESFLVVGTGKDMVLNPRKF TEGYIHVYRFHEDGRELEFIHKTKVEEPPLALIPFQGRLLAGIGKMLRVYDLGLRQLL RKAQGEVAPQLIVTLQTQGSRIIVGDVQQGVTYVVYKPESNKLLVFADDTINRWTTCT TMVDYESVAGGDKFGNVWILRCPERASQESDEPGSEIQLLHARKYLHGAPNRLDLMVH FYTQDLPTSIVKTNLVVGGQDVLVWSGIQGTVGVLIPFVSREDVDFFQSLESHMRAED PPLAGRDHLIYRGYYVPVKGVIDGDLCERFSLLPNDKKQMIAGELDRSVREIERKISD IRTRSAF MYCTH_2304195 MALPAELAARSALSRICTRCSVSLQSRNGLAIGFHRVSRQQRRP LSGRSSGTKQVGGIPASVAGRSFNTAPGRFSSGVARAESSSTVPRSPFQSKPVLAPDE LFHSFTNSPIPDIRRRAAFMRQHARCPHHDHQPGGGMAPAHVDFECPDCGIPVYCSKE HWADDYEAHLQICDTLRQVNEDDHDLRSGRHFPEFEYAGAQLEEALVNMSNWDTFLYT RQFNAINDDRSMRQATRLLTYPVTIGSILHELSPYNIKPGGRLTPEGIKSLSALRYTL HPPLTGAGTDVKKLRVEAPAVRIFVLGARAESSLPRDVWIQLAHLFPRTRIHLIFIGP ESMLNRDDEFPLPPRTPTNPFGAIVEDRVWPTLKISTIVDYYHTIHKTGYFYPYDPYF DCFVMFHPGLGHPASSHEWEESLPMLLETKAPIIVTGYTQEDMERDINWVNKTAAGEF DVLLEPGENRFRSLRWDLNDLDPQDVSAGNWGVWAFRGKRYETTRKSADD MYCTH_2304197 MTTTAAQPPPDQAPPARDPTPRPKASRTSSLVSESAPATKSRPS QQPHAPNEGAAKSQQPGLPRRGGRPASADPLSDKATAFLVRRILCPQQADKGKGSPDS IEGLLPPLTSRNDVDLQLYALIAIILREFVQSWYAKITADETFVAEIVHIIAHITRAL EQRVRTIDLESLLFDELPDLLDKHVTAYRIAHDPMAQAPVATDPREVYHSLCPLPALS PVPRPEDSKSVTAQAENEAAYRQLLVDGFLAVLLPTEDLQNDCLTALVGQILSELILG NAVADRLSQPWFIWELLIIAARVAGAGKGAEQQERSRRRSNTSSDVRTRLRQSVHALF YTCLQWCFFAVSFIRTVFTILMTSGSLPSRVSHGESAKGAAQRGTTHEAADPASPANL HPPSTPVLAFRCWSALSNLAELDIRMPWLRGAISLLQWIAVMRPGRVAGFDSALDR MYCTH_49020 MPAGNPTLVPPSSDVELRALRRRCASALWALVPKGVGRLYFGGG LLRANPVLTMSRVKTEGSADSKTAAVGKLDNNGKDTDRGKGRPSFSLSSKEDVAKEKA SAVETVPIQTTQAREQERSRSGSQPRSRRSAPVPRPKTGGQGSAAASADPPRPSPPLP PSKTSSASEEARTGMAPQDDENMSRQDRDHDHDDVHNDDNDDEEDDNNNNDDDEEILT EIEQCILDVFSDAYCNKHLVYGVLELILVRLLPELTEKVTLELWKERISV MYCTH_2304200 MHNHITPSVGVDQESHLSPNNTYAPENWVDMSSFQNQTTMPDYG TGYGFMPPITHGLPSESLGRMPPPPPPPQPLHQQSPATHTQLPMLMMPHPTWPSMLTN PNNYGPPHSAPPVPIPSITAPLKTSKLPAIQTTSQPRKTLTDEDRRAMCQYAEDHPTA KQTDIGARFGVERSTVSKVLRNKEKYLNSEERSSSPVKRSSKGKGANVEKALINYVQK AKKNGIAVTSEALKERALAFTSLASGDSLMEFTSSAWLEKFMSKHGIGSGKLTRRASE TNIPDSVRVSGSPALAPSQPQSAISPASPAGHLSPSPLSASKSDEEKENMNHLMGFAA DSTYRHSNSQSTTSLSSSFTDAATPAFSGSAISPTASFNFSPDPNVGAFLPADQGRQV PPHGAGFQRPRSQTFPTLDLEYLNQAQPTEPETPKYHVPSTAPSSALESSSSGPIFGF EQAVSPPQLRRSSSNSSIAGRSSATPVTSSAIGSSPGSPTQEDARRAADTLLSFITNA SGLVDQNEYMAVVRLTEKLRLHQSQVTKAAAHGMGGLSRIPEGDSEMPNAPPVMMKME STMSA MYCTH_2126704 MPVNSPAHGHGAFAAPIPECSSCSTQAVWAIRAAAASLSFQLPL RSLFNSHHTGQPLSRPASRPLEFSPWAPPLASYILPCSCPGILSSPVPVLVVSVACLR FLPFCHWLIVFCLLPAAPSLLSLHVAGVDRPHRSPHSEAFRTEEEGWGHQQSGDLGRG PFLLRRPGFADTLQLALPVERATCRTANPH MYCTH_2304202 MGKRSLSNYTVQYRVCICLYAVLRRAARAHVPTFSSPPGSVLSA QKTGKEYLVYDVASRKGRQGDHVQEGRSVTSHSKADSTLYYGYNGLTPGPVRRRQLDM GNKPGTNRYRVTHATTRRRASQLAVCQKTIQQLAAVVASATGMARSGAHVWPDFLASD LQLLSCRPTHTYIQDRTSCSGEEYYSVPWCFLATVLPVDAYTECSATGDIYPLTPALP SWGIIWGDKGKFGRKASTDTSIDLGWHAAEKSGTNGAALKTISIRQPATVVAWAI MYCTH_2304203 MATTIDKIKEIEAEMARTQKNKATSYHLGQLKAKLAKLKRELLT PSGGGGGGGGVGFDVARTGVASIGFIGFPSVGKSTLMSLLTGQHSEAAAYEFTTLTSV PGQVVYNGAPLQIIDLPGIIEGAKDGRGRGRQVIAVAKTCHLIFIVLDVNKPLHDKRI IENELEGFGIRLNKQPPNITFRKKDKGGLNISSTVPLTHIDHDEIRAVMSEYKISSAD ISIRCDATIDDLIDVLEAKSRSYIPAIYVLNKIDSISIEELDLLYRIPNSVPISSEHG WNIDELLEVMWEKLNLVRVYTKPKGKMPDYSAPVVLRANKCTVEDFCNQIHKSIVEQF KVAIVYGKSVKHQPQRVGLAHELADEDVVTIIKR MYCTH_2079758 MADADPSVTDASEHKPLPSKEKEEDEKRKQAEHEARARDAKRKE EEKRRLEEEAREKERLQREEESKKLAEAEARKREEEQRRKEEEERKRKRKEEEERQRR EEEERKAREEAQRRRLLEEQKKKREEEERRRKEEEEKRLKEEEERKRRQEEERLRREK LEREAAEEARRKREEEERKEQERRERALREEMERRRAAKEADQRRIRLEQERARLAKL PPVLRWLDGAVNPKLAEVAEKFSIMQGVRYDCIKPEANGTRDGREQWLLNTQVALLLG EKDLDLSRYTGWARIPASPVAKRAIWRLESDRYALTTPSLFELGEQLQGYYQGNDPRR LGYRTLESLRAEAWEKFAAMDMFFVKASDFLFIIPTIQHLRNVRLTMAYCELPENEMQ CASWTPRQKWRHDPDADGLGGFAPSNKHYINGELVSEDKPSLAEASPSPFRRQRLSRR GFGAVSRENSTSTRLSKEQGLDSPMVDAESPIMPNGVHASPSGAPSDAETTAVNGRRW PTLVTPTTNGAGNHPISPTSEAEATQARPLVNGTHDTVHNTD MYCTH_2304213 MDSSYASFHLPASLEACRIGSLPPAAYYIADFISEQEAETILRK VAQRPGTLHPRAPSTF MYCTH_2079764 MGWDGHWQYLLTTLSHATPAECCQAVFLLSAAGVLAVAVLPHDA KTLLVDYGARKARKPSADGRPQEVPNRGWLLSLIDTVTCWSQVPHSWFSSFYVVSLAC SVFWLVQYLCDGAVLRCVADSQAAASQRSATLGQVALGWFMMFLQSARREFEHWTIIK PSKSTMWVVHWLLGFLFYFTINLSVWVEGSHDAQSLLKMAAATPVFLFAWINQYWCHK HLAELKKYSLPTAGMFRHYICPHYTCECLLYLSIAIATAPRGVWLNRTMVCALLFVAT NLGVTAAGTRKWYGETFGIGSVANKWNMIPFIF MYCTH_2079768 MNSLRIARAALRARPAAIRAPLQRRGYAEAVSDKIKLSLSLPHQ SIYKSQDAVQVNIPAVSGDMGVLAHHVPSIEQLKPGIVEVIEESGTKRFFLSGGFAVV QPNSALSINAVEGYPLEDFSPEAVRAQIAEAQKVANGNGSEQDIAEAKIELEVLETLQ AHLK MYCTH_2304224 MDHHLSHDRATSNVLAWNITHSANVEPSVEEEAGADADEPPDCL TPMTSISTQFHRTPIRRGSEQHESLLTKALQSQSDEDAYESESLRRPRRRRSLTSNTS LASTVDLTCGTAITTPARTNSPSPRMPVVGFAPLAAATTTDASQNKTSDAAAPVKKRC ISFACAAKPRPDEKTPTRNVAQTDAAPKKTSIKFACPSQIVRATNVQQPDSRPRTPVP RETPSTPTGSCQENLRSSSTVRPLRSPTPRKTPGSPVSTRNKKWLTADSGDLQSECAR FHEFASDEPQEDDWIRRDHSSMQPKLTIDDTLKMENAIRKLGKEAEEEAELEEELDEE EDEEVDEADLIDDDDEEDDDDDDEDDLQDEDDDEDGDDEDDQGLDDVADTEDALASGA SDGYKTDNETGFAASDDEDDDLVLWTTRIGHYQSLSGTLSMTRRLSHSEKSDSSASSG RKSPQSRKKKRSKTRPVPFRSETPELPDSTDFVCGTLDEDKPLEEAYISCVAARRRDR HQPIPQDIDPSFPTSEPEDEVEELYKKGYGESDDHIWLHGELEDLDHDRDRRGRKKKG GVPSPKRCRSPPPKRRPSPAPKARGRSPRKLTDQRSPRLRSPAPSRGAFKSPIMSPLH EAEGVEFKSPAFRPGLTHTKSLPRAPGMFPHLKTRKSRTGNSTRETHVRGAIDIVKGL EQKRQRRREKYYQKYCNRARKEKTQTKRPPPGEGASRMRELGLIMAGKAAHGNYVLSI MYCTH_2079774 MWIINWFYDILSSLGLVNKHAKLLFLGLDNAGKTTLLHMLKNDR VAILQPTLHPTSEELSIGNVRFTTFDLGGHQQARRLWKDYFPEVNGVVFLVDAKDHER FPEAKAELDALLSMEELAKVPFVILGNKIDHPDAVSEDDLRHHLGLYQTTGKGKVPLE GIRPIEVFMCSVVMRQGYGDGIRWLSQYV MYCTH_2304227 MAGPNRDSVNGGSRDSPGISVPSETYSFPPEAFDWSRLPDVDTD FLPPEHLEAFIQALSAPDPIPQTPDDFAATSTYPLNSPGPHRDSTASFDIDATRRATR SSLSFHDEDRGSRDAARAAAAAAAAVADVAAPGPASSRQPTVGNRRPSSSSLFISARN DWAPVHERVRRDRKDAPPSTRKKKKKTRRKARTKDETREGYFYNLLKWPLLFIVGAWL LGLSVMYLATRMYISLYEQLISWRGQRERLRRAMRSTTRYQDWAAAAQRMDDFFGNGR WKEQDEFAYYDSKTVKRVLEEMKRCRRRAERARDGGEPDECRQTTEDLKVLIEACVKN NFVGIENPRLYSQTYYGTKNLVQNYIDEVERSIKFLIDTDQLSKEEKRVMFKRICANY GRTALCLSGGATFAYYHFGVVKALLEEDYLPDIITGTSGGALVAALVATRTNEELKEL LVPALAHRITACREPIAVWFRRWWKTGARFDSVDWARQCAWWTRGSMTFREAYERTGR ILNVSCVPSDPYSPTILCNYLTSPDCVIWSAVLASAAVPGILNPVVLMMKTRSGQLVP YSFGHKWKDGSLRTDIPVQALNLHFNVNFTIVSQVNPHINLFFFSSRGSVGQPVIHRR GRGWRGGYVGSALEQYLKLDLTKWLRVLRQLELLPRPLGQDWSMLWLQTFGGTVTIWP KVVMSDFPRILTDPDPARLARMIYEGQQSAFPKMKFVANRLRIERLVEKGRRESRLGS AGARPVVATAAAAGEPGAEAGAGREVRRLSMESILSEDDLRSLLRVRERKRDGGVGAA TETEEDETTDYEEEGGMVFDGDDAERQLRAAARMREEPKIATPMGLAGAEVH MYCTH_2304231 MRFALATVALAGAALANAVEEAQSTFTSYEYVTITSCGPEVTNC PARSTSTSAADLTTSTIYSTTVRTVSDVVVTETTAYTTVCPVTASESVSVPQESEAPA PTEEPSSSGSFVPAPPAESTTLVTVAPAPACPTTSVKTIKTSITTVIPTIIYETVEVP CATSAPSNPLPPSAGLPPSSSQPATTSTPPIPTAGAASFGAPAALAAAAGIFALLA MYCTH_2304236 MEKVHNWEYVKSKSRGRRSAAQRKAADGARASENCAVAVDADHS RPALATSHRPATAPTTGGDFLLFDDQEDAVGEDDDPHCLQYGGTDNAESYLPWTSPTT RLRDNELLIERFSQTYNGAQGNANAIPSTLGAGMDPVLPDLVLPGARYYPQGDDGNAN AVAIKVESPVKSLDTASPTKRKYQLFKDRSPQQNSTPASTQAREPNRGREAGAGQGPS ISLGYRPSVKRGDGSDGNGSRPKRAKLDPARDFTDTTMPDIFRHAHPDIYDRNRSDKY SPCHTVHREISTLIRHLSRPAHRLSVTGRAISSFDIEDPDFKHPRVGVCRNCWQTFHE RSDFDSHLSSPCQRVSKGKKEKWRVLYESFTPLAAGPNTQTGGELCGRPAEHPNDALS PAGIGNQEAARTPSTSVPSPVSPQLANPTPVGSGVERFVSADEHDRLQREHEALRERH QQLERMAQALLIKQLFQESMNLTTAAGPAVKPPLPIASSEKDCPATSAAASDRDNLVQ HMNSHSTDVDVHAFMEEMEDARQSLSRMNSGLSTASRSTIHRVPPSPPSRHADLPGFP SGDGETGRHAPLPSIPDSGYGTENRRGSLGDLAAAGAGQQPATPGSLIPPCTAESAAG GDGNNKDKAGGEAVLWGESPLQQTPRGYNGTLSPPHHGQPSFMTEHDMADMADYADDF YNIFYQDNMLHSRSSPPGFTFENPSQVE MYCTH_2304239 MHAEGHQIASHTWSHQNASQLTTTQFTNQMIWNEIALNSILGFF PTYMRPPYSICEKNCQDILSTLGYHVVYFDLDTEGYLHDDATEIQTSKDIWDDAIDGS DPSSDNFLQIEHDIHYQTVYNLTDYILTSLFANGYRAVTVGKCLGDPVENWYRQGPNG SITVPTTSVAPPESTSTMPTDPTRTTISVAPTHSGPSIDGTCGNGVTCAGTEFGSCCS VFGWCGDSYDHCSSEKGCQPEWGSCDGTPSTTTIPSSQTTFSTKTKTSSTKTGDPSTS SAEPTQTGLPVSTDGRCGPEVKQTCTGSEFGQCCSLSSKCTDNALSCIPLLGCQEDYG TCSSTLHDRV MYCTH_50125 CNHGQDVGPDCNDQPHVLGADSGRSGARGIAASKAWYSRIKDDA AKYPKFVKAAHRSPLCIPAEVDLERICGAA MYCTH_2304243 MAPPIPRTEVRLSYPLYALDFDPEDANRLIVGGGGGAARSGVGN KISVLDASHDAAPQIVSEIELGRDEDSVNTLVVGSRRNNALLLYAGINSAEEDLKKGK NEHFRVFAADLPSGAKEQPNPKIAEISRSALFSTTDTDAYQRLLRISGPVGAAATGSI GRSNDPQIAVFDMPAATSNSPAPQLRGKVELPKEAQDMDMMQVSDDEHQLVYCDNYDI YTLVVTKTATSGPHAVWSMPLDAATGAKDRPSLRCIRFLTPTFVLAVANIKSGGAVLQ GFRLPNRSDLGKEEKEGKARLAISAKLPKSIRRATSMAVRNLSPPASPFAKQDETQFV IAVTGQDSSITLYTLDHQSIGDINLISNLYPVTTFKEVHAGPISGLAFSSITPSATAG KQQPQQPPHIKLASIGSMANTCVVHSLPLRPRREQEQQQQQQRRRQQQQEKQQGAPGY TLALKPRRPSPASLLLTSALVFALLALLLQGVLEVKGLSRPVIGARSVTPVRWHSPGR FYGVAVEARAEAAAPSPLPVAGVLAEYHEAKKALQGKKTGAGAGAGEKKEDGEEGEEE EKTVVVVLHHVAEEEVVIRVEGHDAARHGEAKSWEELAEEQKQKWKAALKRAGQWGEE MGEAAFKGILFAEIPAAVGAMVA MYCTH_2304244 MEIELAAPNGRKWTQPLGLFINNEFVKSSNEQKIASINPTTEEE ICSVFAATADDVDKAVAAARKAFKDPSWRSLSGTERGALMVKLADLVAQHAETLATIE CLDNGKPYSVALKENVPEVINVLKYYAGYADKNFGQVIDVGPAKFAYTLKEPLGVCGQ IIPWNYPLDMAAWKLGPALCCGNTVVLKLAEQTPLSMLYVARLIKEAGFPPGVVNIIN GHGREAGAALVQHPGVDKIAFTGSTATGKEIMKMASATMKNITLETGGKSPLIVFEDA DLDLAAQWSHIGIMSNQGQICTATSRILVHEKVYDEFVGRFKAKVEEISVVGDPFEET TFQGPQVTRAQYDRVLSYIKSGQEEGATIVLGGEPLPQKGKGFFIAPTVFTNVRPEMK IFREEIFGPCVAIVKFDTEEEAIQLSNDTTYGLGAALFTKDLVRAHRVAREIEAGMVW INSSNDSDFRIPFGGVKQSGIGRELGEAGLAPYCNIKAVHVNMAA MYCTH_2304247 MIEPTASPSQAPSLGVALVALFCISVVVLLILRHYLPLRTTPAF LLVPVFFALWLPASMVLLVPIDLASSAMTDNIDARGIWLDERTLRVSWRITYWLTFAL TWFILPILGEYSDSGYREPKAKLLDSLRANAQYYGIVFGSGALGLIYVLISYGHFSES LKSTVMALAYCWGLILVIYLMGHGLVSIPRRLFRNANISGRLRRIQAQAPKIYEKMED SIVDVEDLELQVAELSRRKGGSASLFQDWIEELADMTNLPESPPGRASILRGSGNPSP LPNVITAKYLAELTRRLVRAKHARSRYVSEWNRLLEDAVKTQAILDSAASKKLDFGRA SPHAGFWDRHTIFTPYTRYLFHYHFVPYLQIALAAVLSLASVCIVWSELVKGLFPQLS VIRYSVVHHQVAGKGQVGFAGQVIAALWMVYMCAAALISITEVKVWRGRALVRRNTAH ESAFWYASQVARLSVPLTYNFMTFLGVIYRDTVFYDFLGQLINLTPLGKWFDYLFPAF ILLPVCATLFGLYGRVKRVVSFGVVDALDNEEEEDDVADRGSSAAFGAWREGRDLIER ELNGTLAAVRARRADVVNSSGGGNGRTGRSAPVLSIPRAGQTPTPFGTSPAAGSAATP SRSRRAQANTSTRTVGRAEPLPADEGDDENFFEALGHRVKNTIDTLDTPKWLQDLGQG IKKPKWMGGHEGQSGSGSHNVGRGGGADIRRWFGGGNDEGRIRL MYCTH_2304250 MMTWTRSSAAAMRSIRLLSLLKPAPSSRRRGRAGPILRFPNVSA PAPAQSTQNDAPAVQQSAFPGSPREPQLSLPQLSLPAVPDPLDAFLSLLDGHTSGDSV VSTQHSVCSASASGSAARSPEEDPDDAALEAELTDLWEAATSSEPPVNAQAEDRDGDT IRNEMEISPADMPGFRYAKSQTNSFIRLPRRIDHDLKLAHELGYYITLNRKTKVEVLY GHLELTLDEGKRLGQDIKKILQDSKLFPLVSEPTGTATATKKVLIKIALYLLIFKDWG RIWFGDKRNNAATRKYLWPRDSSILLAGFVMVLYRMYTNTKQKYLAMKRIQAAMKNAD SGDQTPLSPSSPAPSAESPKSAPGGAEPTAQGQSFFAALVSGAVAGKKRKLSEAILGL DDHHADAAEVPANARLKYHVYVKDKADGVDIAPPTTYRHTDYMIAHGAFSSIKAAFEA AGQDPVYEIMTPSGKRKIHSEADWDAAVLAIYNARRAGGVVEVEIFV MYCTH_81013 MAAGQEFQQWQVSEPYLETHCSLGEGPYYEPATNTLRFVDIIKK QLHTVSLAEGPSSLQTLQFDEPVTVTADIEGRDPQEALLVGAKQGLAVLDRKTGKYEY VTRFGDEKAERIRSNDGVVDPNGRFWLGTMTDFGQGPFQPEGSLYRFTAGAPAARTLS DLTIPNSVGFSPSGRTMYFTHSTAREVLAWDYDPSTGAHSNQRVFYRHDGPGEPDGFR VDTDGNLWHAVYGEARVLKISPDGRLVGEVRIPTRNATCCELVGAGELVITTAADDDG EADGESRRLGGAVFKVHVGAEGTPRFAYKLY MYCTH_49142 PKSECPRPLMLGDHLNVEKPLSVLRRRGPLSSTVDCSRGERILS NRTAGVGSEKEPTGESVIERSCRSQCQRHQDQKREREKAAMSQGSSASHRASRHSPRS ATTRPANTTSSPSIQRGHHTHEHDARAQPPRDSSGFPQTQAEGENRAPGTRGILERAG PQEPSAALTHPSMTGGTPQPGMGVRQYGTEGSPVHPYAYQAPGVTTPRPTSQSVPAST SPGGPPVPADQGSPQPAHPYPMAARRILTPKSPRTTSLSRAAMRTVEAQHLSGSMPSP IPRGAVLGYDPQAHGHGLPPIGSGPVAPAPTRPISGLSRSVSYPSLSYGLPPMSSAEQ PHPGSLKREHSGRPVLTGLPFPAPVQTTQPFGAPEVVGEGRWGPGLFSSISAARNISI TDGQPHLTIMPRDGEEIVVPVDVHQGSKQADQKRQRNAGASARFRQRKKEREREQQEE LHKLDNENRELQRRIEELAKRCQDLEADLDFYRNGRNRLREILSQMPGGKEWLGREPP SPAPRATAGGGAPPSEGSRVHSQQQPPPPPQDHSQAGYHHQTSFYQPLAHPLAHTHPR SSSYGGASAALEPPARRRRTDSEPQLPTSSYSLVGTSTTLPPITAPAPLGHPSPFGMP PSPHVTPPLGAARLPPLRFDQSRTPSTTPPPVLTAAPPPPSTMPPQRSGSPYVTTRRL PYETGWAVEPRPETEGGAR MYCTH_2304255 MESPRSPKRRRILASINPPEPRTEDGAPKVAKRDCTMARAGSTP LPDESRAKVDETGNSPPELRDDGAHLPRPTKLRFKSKASRSRRREEQQQEEDDDYDDE DADEDHDNHHHHHHRSDHHHRSDHHHHHHRHRHRPRSRTRSRARDRERRDRHEDRDDR SRAHGDDYEDDNHTREERREQQQQHHHHHHHHGRQHRRRRHHRHKHRSAEREQEEDDP FQDPPLSPNTAFRESLFDAMADDEGAAYWEAVYGQPIHIYGPPPGSGSGGGSGGGMLE RMTDDEYAAYVRRKMWEKTHEGLLEARARRERERRRAREEEEAARAVEREMERCLRRG EERRRRRGWRGRWERYLRRWREWEMGKEGKEEEGGGGGEGGEQFPGARRPAIPCWPVA VTDDDDAADAAEEGGEIRGEAVREFFVEGIGLDEVGEREFAARLKEERVRWHPDKVQQ RLGGQVDDRIMRNVTAVFQAIDALWNDTRKQHSG MYCTH_2304256 MRSAAFFLALASLALAQDTTTLTGVETTVTETTLTATSTSGGAS ASSLSSSISSALSSINSEASSRVSSITSGAGSAIESLSSELATATGSAASSLSSRIES LTSSVGSAVSSVTSSAAAETSSVTTTNAGPMQTAAVGMGALFGGAAVLANL MYCTH_2304257 MSVIQLEDLVLYQLRTSYLSDVADGVGERLFNLNEGFLNSAPFK AAGWRPNPGLIKRTHSPPIPTAVASEYFQAPRRAGLTLEDEGEEGGMFSGSVAETVGP GMATKRRRRREHMEEDDSSELSDESDEEPEQRGAQQIKFAKMPVRHRAGSSPIQSSNL RQTTSASSPRPAARRGSQSALEAVKERARRDTVTSSEVSSENEFDASAYHKHREAARA AAARAAKLSVKSNTEPAEVAERRKSDLLEEEDDDSDASDMSSALIESIDSASILDAVK NPLNTSPRHQVVGTPPREFTRRSTIRKSAMPAPLHIPVGELPPPRPMSTIRPLSMVQP KSLLSAALKAKKTKPALPFDSFASLSGQGDPNPIMLRIYAPFSNAPSRPFEVLIRRTV HQGEGGDRPVTVADLIGLSLWRYNEEKREPPLPSDKLNVNWWTLRMVEEDGEIDDDFP PLERKKPLTSFTTANNKANRARSNSRVYDIFGLVPASPEEFEENKRSTPQFEQEEAGE EEEDKDLTPRNTPRPDAGMHPPPDRPRENPLLDTAYRANTTMFADVPQSTQPTNVSSR GEKRLLRIHIHSSDVAPGQMITLDVSTDTWLAEVLDIACRKRQLDKANHVLKLPNSGT VVLLDRTVASLGNVTDLDLYRRRFATDGPLMTGSLSSSSPRPHLFSDNSSWTKGKKPK LMGTHPLAKEVAKQEELGVATNYKKYTVWRKQPMRLLSEKLFAIDGEYVHIMPASGGK LAEGDGKSTTVHFSNVVGCKVSRKHPTNFKVCANSSSLRTPSAGWLTGRGTTAGGVQI DREQEI MYCTH_2304258 MGLVDYSDSESETETVQQKPTPTFAAPPTKKPFQKLLDRSSGTG KIVVNLPAAGAATDADADDDQPPAKRAKVTGGSRFSSLGSFLPPPKKTGATAAPKKTG SAPAPGVHLRTGAEPAFVRGGGEEEGTVELDGDGAAPSGVNVNVPATNSKAQPGPSIP EGQKPEEEVKLVGKPLMFKPLSVARKKAPAKNKKKDAAAAGASPSPGGAAAPSNGPTT STPAATSNTAPPQKKKISLFSIGDDDDESASAAPTTTDSTGTYEPLFTSAENGGEGEE DPESDVTAAYPTYQHHHYQQQQQQRQRQPPPQQPAQDDALSSLASSLSPAARRELFGR SSFSSSSNNNNNHHHNNAKVISFDMEREYAHNEELRQSGAGAQLAYNPVRSIAPGKHN LRQVVNMAQSNREALEESFARAKANQRDAAGRYGW MYCTH_2304259 MTVPTYLRGRISSPLEAGPSIVDDHHLPSQVNQALEYASKRLGR KASHITLLVVRRDYQLPTSPTPSLIYTPPGSLPVSTTAASTPSKPSFPASSRIEALKQ LVRSHSSVEGQIRERTVHVRLDRFRNGTASPAFSEASAFSASTVSSSTDSTFSHRIRQ PGSPTPYGSVPVTPATPFTVMSSLSGTDGTSPLSRAGTQTSTQFGLRLAYAHPLSPRE EKALAGALEKAAKKFKLAPNWLPQAVPPSTLGLPADLVLNSTAQNETLFESDQLTLLS LDHLYTFRTALQAYARTRLACRLEDAVDELRRLFLANGRRALRKSALLAAYRWLDPLD DAALADVCRMYERAYGGVERESGVENDDVDPAPGWPLPEITRGPGAIEQRTAARGPDR VTSPPRRREPEPEPEPETRTRTLDLAEALDKEVLLSTADLHPDDESELDEVEAWYREI QLQQQNADRPPTVEIHPLRSNPPRTTTTPAVVEVRPPPPSTPPPPPPTSATYRDRPWH EPEEPSLSEMLRTTPKLRPAPPGRSLALKLQTTFDKPAKGGGGVVGGKRQQQPHAEKG GGEQGQVAGEGGQQQRREEEEEEEELTARPRSAIKSFASARWTTMTTTTTTTDANNCA NGGMSIDEMLHGAVGGYCGTGERPPSSSSSSSSSLSPVERIGPMTPNGYDDISPITRG EWGFLMFGKGKTARVETC MYCTH_2304264 MAQASTADSSRERAVSSEPCSTRPNPFDEDDDNLSARKRRRTSL TSDSRSRSAETFESTPSSPAAGMSAPEQRSDSAMKIDPDPAIPTTPERQQLTAEPGTE PRSSRVTINVRTPSQQPLEALPPSPPSPSHRARSVTPPSATSRPDAARKSVEELGTDL PANGMLSETPLSSSSGSGSPPIEVISVTGEDDADFDDDESITMLDDSGRSLEYDPTAT FPFHDATESYLETVIRLLQYLPTHEQVSRAFVEWIDKYLSFVKAASSAAVEDSYFLYR DMWQAVPHLVLHMVNRKSPYPRSKDLRQEIFAFYRSFSQLTAFFVELDLRTIRNPSLS DQARIQALASPPYLHALGSLTRREEVTMHSSSPHLRNGEEDWSYLAEMSAVIDTFQNF PASQGGSLANIRQLASFELRHVSQFPRVVTDHLGNLCLVAGNIMKCVYHRPQYLGQQI SESAKRLVSRMYSVFTAMASMLSDIVEKNLNQLSPEGAGNLIEGATEIYQTCLATPGV VPSEVVNNHLQDRPPVAPHLAPEAMAYHWKFSHFVKLIKSSQMQLRVMAVSTMCSNLV ALYRKTAEPPGDEAPFGLLQYIADFLLSTGLVNYVLGPTCHPEITLESSNIIGFLVVS HTYSRAHTDTFWQTVTSTQDPRVSDALIRMIGRIANLFPLDALMCFLEKLNTVPVENF GSTMREFCDQVLKQILTRFMDSLVTESIPFDLFIRLIRQSSVFGSQSPVAYPDIQQFA IQKLDSILGHGPGQDGRWNIFRDCLSDIANPSPSTIGSLWVLKMTSRFQYGRDLHELT SEHDLTRLLIDELAAAVPATKSAGFSTTISGPQNAPRKELLMSIIYQEPASLTKDLGP KLWSLLVGPEAACQEDRDAAWQILTLAMKRSAGENPFTSTCFSEYLPALHPKYFCHGT LDFVREGVMPLVNDPTSIVLDDDENPNHSGIEMLWRLALTAPTGTVEQRAIHTLVNDV YIESRSIQSFSHYRARKVHLALVDRCLRQLSSAAAKLRAFANGVAGGGDDSMEIVATD EQVQEQELLFVRSLAVLREFHQLHQSRPEFSAPDMRSLLLESPKDIEGEPAELKYQSF DGDKQTTVMPLKIGKRNTAASLLASLREATGFNSYRIYYRGRPFVPQESDICKSLEDL QIHNGIILVKKEPEAPASPRVPQGASPVEIEILSHFDELWEYLSMEEKLAREIYGFLV KLPADDKLLETIGDPSVSYKDTFSLGQPFKSLYAVNALQEYLDSCRPGSPASDERRSH ERDEASGLRSAPLLRSLSLVVRAISDPEIVSHCSNRELQIELSSALVSLLASLLRDPE LPASAAQFLDAPLLDRLLAILSMATPADSPKSATKHIALCLQSILESCCTSSDFMTAF STHSDVPRIIGDLLLNDPRETVRQTTAMLLREKSGTAAEGERYSNKESATIAKFRDFF WPLVSQLVGPAISKTDNSTEILELCFDMLQTLEETHPGSPNLEQLSHHWLNLLLNYTT SEDLTRPAEPDVVAAGLVRLLHTIICRRGEQVGRGVLSRRGLARRIFWKHLFPPREEY EKEFGPGRPIACPQTRGWLMEIIFTMVKDDPTEFMWLLEDMDDLVPVFPNQEGDVYAY ELPQQFERSKAIRAPCGYPGVRNLSNTCYFNSLLTQLFMNVDFREFMLGATVQDREYS QNLLFQTQKLFAFLQDSVRPFINPEECVGSIKTYEDTQIDVVIQMDVDEFYNLLFDRW EGQFLTSDEKNRFRSFYGGQLVQQVRSQECEHVSERLEPFSAIQCDIKGKSSLQESLQ AYVDGEIMEGDNKYKCSTCDRHVDAVKRACLKDVPDNLIFHLKRFDFNLRTMQRSKIN DYFSFPDKIDMRPYTIEHLSNPNQEQLEDIFELVGVLVHTGTAESGHYYSYIRERPTL GDTQTWVEFNDETVTPWDPASMANSCFGGPDYQSQFQSGNTVFEKQYSAYMLFYQRSS SLAKRQESLPRLGRPAPFRVKMPEDIEEFIHDENAWLLRRHCLFDPSQIQFVCLALFQ LKSLYPNGCSPDHALETQAIAMALSHLDQVASRTKDVPDFYNLLTRIQVMCDSCAHCS VAVHDYFSRYTFAFRMLVQRNVDEEVRQATANFMIQVLQLIKERVPAQYGIPSPEEDG ESDAEEVDGRQSVIAGVLRIIEHLWQGFHMNLRSWHEVFDFMLSFVKLGRHELAAFLE HPHFLKWLIWIVWADTQAEPFLSPQFVKMVAVVSRRMPNRPPSYETIIALLDYILANT RLPTNIEGNLPTAGAVEPGEASTDSDQLFEIGLDEASIIYRMGPRTVPVNVFVDRLIT IAQNPASTNSIVANLMKQNRQMENAIYRTLLFRISGQLGHPVSPYLRVAGMVFCRNAS DAAMINNLIKHVSQQCMSLQNAEGRAFLDFMRETFDGPRPRSGETRHQIIMTSLDRLP DWAPGLLGYFDTSVIDGTEIFLQDKLFQYRTFRPPTGEETGQAGELAERMRVTARALG FRCLHYLHENYVLRNAEVTERAVAGLQRVIKNCSRYFNLKEPAEDDEAAEFVQLNQSI FDSLAGLLVVDELEEDGSGMYYSDDSSVASSNTAG MYCTH_50281 MDRKRVVHTLDTPYSAVEWPHISQEDQDAILELLCHRLLSPLGA YRRSFITPSKGKRKRSKKARGSETPSNAAPASTVPPPPELAAHVDVGLSTISRTLQAM SGRETPNREEAEPKGCSRPYSVVFVARAGQSSAFHCHFPQMVALAAQSQPPDKAVRLV GLSKACEDRLSTALGIPRVSSIGLREDAPQAKGLVEYVREHVAPVEIAWLREAQGGRF LETKIDAVPTKIGVKKQRVS MYCTH_2304268 MTWCRQLVGRTNAPRRCFSIAKNNGTHKERVVILGSGWAGYGFA RTLDPAKYERIIISPRSYFVFTPLLASTSVGTLEFRTILEPVRRIPGKIGFYQGWADD IDFERKIISVETNAAEEAASKTVIPAPSPSSSSETGGPGKAPKGDLIEIPYDKLVIAC GAYSQTFGIEGVREYAHFLRDIGDARRIRLRVLSLFEQCAYPRGSDHLSDDDKRQLLH FAIVGGGPTGIEFAAELHDLIRDDLAPIYPELAPLVSITVYDVAPKVLPMFDRALAKY AMDTFSRQNIKVKTEHHLERLRLADGELGRRRGVLKIKIKEYGDEEVGAGLVVWSTGL MPNPLIAKLAAKRLPLPGANPLSTSPPTTRHLLRDARTGGILTDAYLRARTTTSTSEP GSAPEPDGVLEDVYVIGDCAVMENDRTLPKTAQVASQQATYLAKALNRAAAAGGGGGA EDKPFRFRNWGTLTYLGSWKAIHQSQADELKGWVAWVVWRGAYLTKSMSWRNKLLVPI YWVVSWIFGRGISRF MYCTH_81000 MPSPAKVSSAIPRPLSEVSPTEKRRNSPSWNQTTKKMTFTDSSP FQSSPLDGTTTSPRMFWQNRSFNSENSYNSSTGSPSPCRRSSIERLQKASRVKNSNIL ALEHKNEYDPTRVPHVERPLAKVQRKAFGGTGTTTGPRPENRPFGHQRSESKTGVTAS SPSKASPGPMSQPVRPTTPSKDQPSPMKSSLSSRFKSSFDPETGTWTDTSGDERTLPE GKSLHRHQKSVTFDAAPPQVNEYEMATPDISSIGSNSREGSYDSEEEEDDDDHYMYHG GVDPDDSFDASLEDTDKTPVMGPDEWRQDSHDDSFERSSPMPEEHPHMRNPLHHHRRT NSSNSNSESRPLPPLPGMGSAARSLPSPPPASSASPESHSIGNGRMPLEERLRLMMLS DDGKTAAEQQRERRMRRAGARERAGSQTPERESRSPSAQPHSDYEEENTVGELSGLEE YQLPPRISRESILRRVNGNKALDREPDYQSSSPAGASGAEQVLQYDPDVPIPSTEDSM MDELSDGGSVIIKRDPEGMDSEVDSIADLYQRSESLDDHDENDHEQRPYDDDSESQYS NDEEPQSGEKQESYDSDHVPTPRGTTPTEEPPTVAQDREVCSSLELSHRNKESDFSKG VDSCVLAKPEEQQLAAEPEKASMADAQAEEERPITPEQQLTRSLTKPEYDGSGWGEPE EGSDEPGTPESVIHHPVPNSEDEAARQSPAIPEQLATIKSASGSKLKTRPSATPSDIA AMREARRQVSREVPPIPDRHRNRISRDMEPEAGEATGEDFLERHPSFKNRSLTLDLDL GLSLDQDFDRVIEAQKRGYLMRQNTKMVTASDKDTDDRGYRSAGNSPVKAARPQSWTV EPWGSGQRKRSYRKRHPVTGGPVPPLPGQESNAAAPGHAHEDDMASIELATEESGERG RLFVKVMGVKDLDLPLPKNERTWFSLTLDNGVHCVTTAWLELARNAPIGQEFELVVPN DLEFQLTLNVKLEKPKPAPVSKKALPSPTKTSKPKTSAFSRVFASPKKRREMEQRQKQ QEEEERLAAQREAQARQMMLKASQQPTAWDLLSPLAAEDGSFARAYVCLKEHESRCFG RPYQVEVAAFNEWATEDATFASSVKSKRNNTNSNNPSGNGPVRRAPYKIGKLELQLLF VPRPKGATDDDMPKSMNACIREMKAAEERLSRGWEGHLSQQGGDCPYWRRRYFKLVGT KLTAYHEATRQPRATINLANAKRLIDDRRTLMEKETTGKGGRRRRSAFAEEEEGYMFV EEGFRIRFNNGEVIDFYADSTADKEGWMKVLGEVIGRDSSADGEDENGGGGRGMKGKW CELVLKREEALKKRAEGRRVHSRTKSMYV MYCTH_2126733 MDNDALMLMLLVLEERPDMEDDDAFEGEDGTGWGENDEVQAETR DGHTTRLG MYCTH_2304273 MTRFRPCIDLHAGQVKQIVGGTLDSKTSSLQTNFVSPHPPAHFA RLYRDNALTGAHVIMLGPGNQDAAREALAAWPGGLQVGGGINDSNAKEWIDAGAEKVI ITSFLFPGGTFSQGRLDSVLEALGGDKNKLVIDLSCRRHGGEDRWFVAMDKWQTITDV EVNQDSIRRLEPYCSEFLIHAADNEGLQRGIDEKLVEKLAQWCSIPVTYAGGGRNLED LERVKQLSGGKVDLTIGSALDCFGGKGVTLAECIEWNRQQESPERS MYCTH_101762 MPGLLGKRKSRSTEEEPDAIVNAQELLRRHFEARFKPLDIAARA APAKPTAHNDSRGDDSDVGHDARDGEADLDESDSEWDGISDDDSSGGEETEAPAVEVV DHTSTVSTTSAKMSKQELKTYLSSRPPDPSRTAAQPTVKKTKTQTDEDQPEDSAALLA NDLALQRLIAESHILSAAGANPSHWQSQHAAGTATNLRPFAAGRTARKTTDMRIQALG AKESILTQAKMPMSMRKGIVSAAAAKEEKRRREARENGIILEREVKKTKTTKKKGRGE RPVDLPAVGRMRGAELRVSAREARAIAESVRGPAGKGKGKRRRR MYCTH_115802 MYTQNATMAGQQIPETFMLSAEAQQSLPHDAQVALQQVDNLKYF LLSAPVDWSPDQYIRRFLLPTGEYVSCVLWNNLFHISGTDIVRCLSFRFQAFGRPVKN SKKFEEGIFSDLRNLKSGTDATLEEPKSPFLDFLYKNNCIRTQKKQKVFYWYSVPHDR LFLDALERDLKREKMGQEATTVAVSEPALSFQFDSSQSLYEQLTKAQQANSSSFNPQP LSFSQQQDTSSVVAPVDSMPPPQMMPQQIPQSLPQSMPPISQAMTPMSDALDSMTPYG SMAMTPNVSQSQAVIKREPEYSRVQYNQNGVPMAHTHQRHTSMPAFGLEYSPAPSFVS SHYEDYSQRGLSFEPLTPPQQALAISGEPAYIANEETGLYSAIPDLNPVGALNGMIHL PPSNLAGPSFPRSYGSNNVYSSVIEGSPTYKQRRRRSSIPPSLSATSGSMTAASTATH RPSDLRRSVSVSVGPVAEGEESGDASPQGMTYNNNSMAQHKEVVELSRHGTPLSTVEG SPALNPMALHQHDYSPLPVDELTPLNEQRPMMHGGPNVVRRARSATVMELGPYPQKSH TCPIPSCGRLFKRLEHLKRHVRTHTQERPYICPYCSKAFSRSDNLAQHKRTHDRNDGA EGSLHSGEEEQYSGEDQLSSVDDASPTSENGYVTTSLDAAVSGNNGGSSNNSTNSSTN SNTNSNTSSSNSNSSSSSNNNNNNDATSSNAAPTNSQSLGQIQTFNSLQTLSMPMTLS QPQAINAGGLA MYCTH_2110132 MPLCPARLVCSDAANSYLVLSVVDAPFPVDQRDSFPAILQGGTK CLLRERELMSSVPNAKGIGSLGVLKSKRVDKGFCYWQISKHAWTCFGFTTEEFEATAG GRRSGIVLCTSFRPCSAASIGVPGGPWQLPCISAALLHAHCDFCAETTHTELLRYDEV ATTRMVMADELSLAMQPDVLHYSVSQAAVGQSLGLILESGADRASGDLLEVELPTTDF SLARAAAECETSAFVLPAMELRGSGKEMKLEGLVTAFSRTTVRTLNNGNGFHH MYCTH_2304280 MSSRPSKRRRVTPPLEDGQESRENDNKIQKAFFKSAANWDLEQE YELKSRKAKKKEAKKNGSEAGGRLPVKTPQGTWKIQDDVESIAGDADWFESDEDDGAV DEQPEEAAPEVPKISEREQIRKAQEELAKIATQLNEDPEEHPGAFKALARIGESPILA IQKLCIVTQMTVYKDVIPGYRIRPASEDIAGEKLSKEVKRLRTYEQALVSGYQNYIKT LAKHASSPATESRKGGQPISSVAFTCACTLVTAVPHFNFRGELLRILVRKLSRRKVDD DFTKCRETLETLFREDEEGNASMEAVSLLTKMMKARDYRIDESVLNTFLQLRLLSEFS GKASQDKVSRPGDQPSVKKPKAKKEFRTKRERKLLKQQKEVDKVMALADATVSHEERE RIQSEILKMVFATYFRILKARTPHLMGAVLEGLAKYAHLINQDFFGDLLEALKDLVRD SEKLEEHPNGESNSNEGDDELSVVRDTSREALLCTVTAFALLEGQDAHNARSDLHLDL SFFITNLYRSLLSLSVNPDIELGAKSLHLSDPDDTSAGNPANRRNNKVNLQTTTVLLM RCLSSVLLPPWNIRSVPPLRLAAFTKQLMTVALQTPEKSCQAVLGMVHDVLHTHGRKV NALWNTEERKGDGTYKPLAETVEGSNPFTATVWEGELLRKHYCPKVREELKAMEKELR NL MYCTH_2304281 MASSLAAQLAQIAANSRTSYNAKALKATHSKSLIWEPRVAAGQT FAEIFQACHEGFEELCNLDARFAHYGTTLFSEQSQEADRTQMNAEENAALDRRVDSFL HLVGSRLRLMPAIKAIEWLIRRFRIHEFNTAALITTFLPYHTIPAFVTLLSILPAKIP LEYRFLDPYIRSLTSPPRAAIVQQATNRPEFLSIISQYTLESCWAKQEYPGLVSFWGG VMAEAVNGMLDKMRSGRRSIQLENDHILLQQIGPVLSEAMVMKDVPGFQIASYMITAI LAAKGSLNDAALAAFMDQLVLGWTVDTYRPGLVCLCILAQHRSAKQVSSRVAKALIKS QDLVPTLVEISRQHRVDKLANGLALAFIDRLSKKGDVRSLPAVNSLLLANLLQEKQMK VIYKALLLAAHRVTDDVDGDGHIRKEIGSSLVSLSQAGGDAGNAIRSAIEEVDFNIEE LELKLGAAIRPKLAIEQSPGDAEETTESETTGKQPSLDSTFEDLAKLPVSSASCLSRE SGNLFNDLCAIFLFAAAAERDLERFDTTPVLSRALAPSTSSYLSFYLRVWSGPYPTLA KVAALERVKLRLKESDCADKDFQAIIPYCVVALSDPAKKVRRAAADLVAVLGSLLEGQ SRQLWGAKDLYGKVGAPNTLDRDTVKTLVNLILVPSLEESVLHDGHILAAIVSALESS KGSSGKEGEKRHFSHGTRLSVLKFLCGHVTETPLLAVKLRLLRPINQIRSISGTSRTD LLLPLLRWWAALSREEAAELASRESLEDATIDEAFVDIVIANHPAGLETFFQLVNDPK TAVRPNLVRAIFSRIIKMWPSMKSDTKFSTARSIFNITQTLSPSEQGSVIAEAVEVLR KVELTTNILLDFVGSLQDEVKLATEKPANKRRRVSTTEQSRSMALQSTPEMKAALNKA TFVLELVQESNPGNHPELLPSLFTTLSDLHQLSTLIGSELGYLQNLVLSSLLAMMPAY KDNKNLTIDASVGHGDILATCIQKSSSPAVINAALLLVASLARTAPDVVLQSVMPIFT FMGSSVLKQADDYSAHVVNQTIKEVIPPLIETFRKRGRNVVASTKDLLASFVTAYEHI PSHRKHDLFISLAENLGPEDFLFAVLAMFVDRYAATDNMISFTTQMMSSFSVEVQLQT LIKLLDLISDIFKPKPALSSVLLGSDGVGEHDAQKLATKQLNLLPHLLANKRLKREIT QLAERDDMETGKVRDLYATLLEGILTLATTLKTKKALYNRCGDALSNLLNLLSIAEFI KSVEALLDRPNVGLRQKVLRALELRVDSESTTDPKSREALLAFLPQLTAVIRESDDMN YKHTAVTCVDRISEKYGKKDLDAVAAAATTIASDHCLGQSTQSLQVMALLCLASLVDV LQDGIVPVLPAAIPKALAYLEQSLDGEGANAELHNAAYAFMAALAQHIPYMITGSYLD RLLVCSNASAAARLDDESSSNRTHCLQFLAKLVDPKVLYNALNKNWPSAASRGASAVT EYLHILGLALDKHSKAVVSKNVSSLSTIFLGCMDLRRLVSSGQVKAPISASELYEIEA KIAEDALKMIYKLNDATFRPVFSKLMEWAAAGLPKSDTSGRTLRLFAVYGFLDTFFGN LKSIVTSYASYIVESAVKVLSSTDFKDANEKELWKRVLRTLAKCFEHDQDSFWQAPAH FGAVAPVLIEQFLNAGAINATEELIPAVVELAAAADSQEHHKELNSALLKHLRNGQAA VRLAVVKCQQALTSRLGEEWLQALPEMLPYISELQDDDDEVVERENRRWIVGIEEKLG ESLDSMLQ MYCTH_80987 MEANRTSYMAAESLSQARGLSASISSLSSSASGRSGTASHISKT YRQASTLFLTRRLPEALSTVLPLVSPPQSDDKDGPTEPAPVIRASRSSRIKVWSLYLT VLNAIAELHPDDGKDAFGAQEWRALCYKVRSGEIWEEVVRNGYHGVEGDVDAEVVINL ATLLLAHAKTQTLNQKRLENYLAAARTPNLDISEDRFSQSSRRHHHHRSPSRSHSRRR PPGPSGADTPRDLEARVKILELYTLHVLPRNGEWEYAREFISVSPVLDDERREAFLQA LDTLREEQAAAERRAEEERAKREEAIRRDIEEARRLRAENEARERRRLEEERAKREEA EKEKERERERERERERAKATERDFGVDEGSPVAAISPTPSAPKTGKPSADTRTPAGGA GSVARRGGGGGGGPLPRRGGAGGGNSVASPTLMSRASTVLNNLRVLVDEIAQAFQTNP YVLLRMLAFVIGLLLLLSRKRVRERMARIIGVSWNKVKATIGMGTKVSYI MYCTH_2092438 MSRHHPDLVMCRKQAGIAIGRLCDKCDGKCPVCDSYVRPTTLVR ICDECSFGNYQNKCVVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQK KTNRTTF MYCTH_2304287 MAETEDYSNKRKASPESTAEDGVGKRARLEDGGAMVSRSDEVDN EVKEVSNKPASSRGAQDDARARESPRETPRTNPDAEPRRSPEAQRPSAASGPPTRRNI SLEEKKRGQRLFGGLVNTLSRTTSATQQQRRLEIERRQHEKAQQRRAEDEKRRIEKLE RLKKTREIEQVKLDERAMKTRHETMLAKARSLHTRSEPRLYYLPWELTREQEDIINDQ VRAAEETVERERREFRIRKEQRLRALGVTPPPRSPSPAPQQPKHPHESEPSSEPKSGS RSEEATVGEPKLPPQDTNPDAAAPPESAKARDSHNHKDHDEHGDEIMQDEEDVVIY MYCTH_2304288 MATNKVDKLAPTDSRVQHHTYTIPGSPHNTTYHYLVAEPSSGAA PVATALLIHGFPDLAFGWRYQVPYLASLGLRVIVPDLPGYGRTDAPAELSAYSYKRVI DDLVAIVRRVQGKNEPLKEGEVPAEEDKIVLGGHDWGGAVAWRFALWYPQLLRCVFSV CTPFWTVGDTFHTKEQIVQRLPNFGYQLQFERTEVEDAVQGRDKIRTFLRVMYGGRRA DGQPVFGVAKGVNLDLLEEDNKIGESPLMSREELDFYADEYVKNGMRGPLCWYKTAKV NFDEERPLFREGRTKITVPSMMVTATRDAALPPAMSADMDKHFTAPLVRREVNASHWA LWETPAETNKHIGDFLEGILKNQPLKASI MYCTH_2304289 MVCAKCQKLNKTVLATPGVKKKSEMYYGSPASSTSTSTSSSSQA GAAKKSATLGQTGVSKSKLLSKAAKNPYAQYSSSCTRCKAKISQGHTYCNQCAYRADA CHICGKPNKPKTAVPTVDGQKRTLK MYCTH_2304292 MPGRPPPGGSSASRNDLLLDLDNDQPIYSTGQRSALTDDDLLHS YAYDQDGAPSRPSVSYDDFVGSGRSGATAGRPPPATSSSSAPRPLGPYGPEIQRQYSQ TSDLGNYQRYADDFDDYPDDGGYYQHGGAVAGDSSSSARGNARKRNSVLSLGGGFLGR VKNRLGMGEGYSEMDLPLTDPRAGAGPGGRTDTAEVVPPGEKKFDMGNFRFGFGRSKP DPSTLGPRIIHLNNPPANAANKYVDNHVSTAKYNVATFLFKFLFEQFSKFANIFFLFT AALQQIPGLSPTNQYTTIGPLIVVLLVSAGKELVEDYRRKQADKTLNNSKARVLRGSS FTETKWVNVAVGDIVRVESEEPFPADLVLLASSEPEGLCYIETANLDGETNLKIKQAL PETASMVSSTELSRLGGRLRSEQPNSSLYTYEATLTIQAGGGEKELPLTPEQLLLRGA TLRNTPWIHGVVVFTGHETKLMRNATAAPIKRTKVERQLNKLVLALVGMLLALSVIST AGDLILRRVSGDSFRYLDLDGLGGVGDVLRIFIKDMVTYWVLFSALVPISLFVTLEMI KYWHGILINDDLDIYHDVTDTPANCRTSSLVEELGMVEYVFSDKTGTLTCNQMEFKAC SIAGIMYAETVPEDRVATIEDGVEVGIHEFKQLKQNLRDHPTAQAIDHFLTLLATCHT VIPEQTDSGRIKYQAASPDEGALVEGAAKLGYKFYARKPRAVVIEVNGEQVEYELLAV CEFNSTRKRMSTIYRCPDGKIRCYTKGADTVILERLNDNNPHVEVTLRHLEEYASEGL RTLCLAMREIPEHEFQEWYQVYDKAQTTVGGNRAEELDKAAELIEKDFFLLGATAIED RLQDGVPETIHTLQEAGIKVWVLTGDRQETAINIGMSCKLLSEDMMLLIVNEENAEAT RDNLQKKLDAIHSQGDGTIEIGTLALIIDGKSLTYALERDMEKLFLDLAVKCKAVICC RVSPLQKAMVVKLVKKYQKESILLAIGDGANDVSMIQAAHIGVGISGMEGLQAARSAD VSIAQFRFLRKLLLVHGAWSYHRVAKAILFSFYKNITLYLTQFWYVFQNVFSGEVIYE SWTLSFYNVFYTVLPPLALGILDQFVSARLLDRYPQLYNFGQRNHFFKGSVFASWIIN AVYHSIILYLGTSAFYLNDGVESDGFPAGKWVWGTAMYGAVLLTVLGKAALVTSNWTK YHVVAIPGSMAIWIVFVAVYGTVAPKLGFSKEYFGVIPRLFSSPAFWLQMPTLAILCL LRDFAWKFSKRLWRPEAYHHVQEIQKYNIQDYRPRMEQFQKAIRKVRQVQRMRKQRGY AFSQADESQTRVLQAYDTTQHRGRYGEMASSRLQ MYCTH_2139043 MHHQRRRSGHASGNTSLTDVRKAATATDTSSKKHSSRPSMSRRV TPQSAPKLGKSPRDREKELDEQRWWDEERESFPEYCMTCEKQFIPLDDQSLYCSEDSS ISAALPSRYTSSHPATQYPFYSGPPEPRDIIPRASPSRPSPTHISQPVTPASAMAALK SLSIRPSSPTSPVGTYHQGIWPFARSTTTATSPSSSYSKQTTGLFSSTYDGAYYSAAG DYYGTSSDRPLPSRRPAAYSRPKSIELVTPMLGR MYCTH_94026 MQASRAELKQRALPHRAFWARHPIPPAYELLVVTLPSWSPDWLG WGRALLAQVDQITTCSTPDSPGSLDLPSLWSHGPTLPSRGDMGTGRNGAFLQHFPANK GARQLQGEVRAEAMGRSYNAARVAAVGFGKDDASTELSVDGSVWTAYCTPARGINVVT PYRPRLPPVLMTGPGFVSYGLIDSHTTRKRSTRWLVYSVCTEEKLGQPPKHRRGAKVG VQTDPRAPVYCLPHPLFGACPYLLVRIEFCALIAARHVPPPGDSGLIRGHNTSASGNV LGRRIDPQSASARLWATSSGLIEHFQLGHTAAATVELPVERFRTYLTYCNTLAR MYCTH_94025 MPRSSGTLWADNVNKRFYLFAGEYYQEPPSPQFTLWSYDTIYDT WQSFGSPPEDDIAAVPYGAGVSMSETGEGYYYGGWKSHNTVPGWSGPPRAVSGLVKYD MDANTWSTEPGPDSIGRAEGAMVFIPIGDGGMLVYLGWSHPVRHPRPHRATNTAPADG FSNPDLRVLMTRKASIATRTPTRAVSSATGVPGANDDPLSAGAIAGIAVGGAVALLAL LASLFLLIRRCRRHKYGTTTTTTTTTLLQPHFSPAGRPRGGGDDGLLGVGRASSSRRD AAAAARTGAATAER MYCTH_2118236 MAEPQSSSSSITVKEAAVNSGADVEAQRQGRNNPPHWFLVLHPD GVTDAVLNYRYPGEGTAESPYVVDFLPQDASNPMQFSRGKKWAITLLQAVATLAVAFV STAYTGGISEVIRYFRVANIVAILGVSLFVLGFAVGPLLWAPLSEFKGRQIVFFFTYF GLAAFSAGAAGAQNIQTLIILRFFAGAFGASPLTNSGGVIADMFNARGRMELHVTTPR SQQVRTTLIRPWVLLFREPIVFLTAIYMAIVYGTLYLLFAAFPIVFQINRGWSPGIGG LAFIGVAVGMLFAVAYSMIDNRRYGRVAAASPGGMAPPEARLPPAIVGSVLLPVGLFW FAWTNGAGVHWVVPIVASGFFAAGLVLVFLSLLSYMIDSYTVFAASVLAANSVLRSLF GAAFPLFTPYMYDDLGIHWASTIPAFLALACMPFPFLFYRYGARIRRSCKYAAEAAAV LEKMLKQAAQGQQQQQQQQQGVPAAAAGGGGGGASRAGSSGDERLAVLSEDEGTVVGD GDESKEKKN MYCTH_2304300 MVLFKRKPVQFLPVPEIEDEDREVWHIPQTGEIFLTYEDYLHRM DFYKQKRFICTISGHSGLTFFDALESELAGAAEVDQAFPEALKGPILRRVQFQTVSRI DTLVDQLYDEFKNDYYPGEAVTVHILGGERLQGVVRDKTRFGSKVLPDGTLTPPFSRY FVSLDERPDEEAVVDDSHIFRDRKVFTKAVLRSFIKKTVTREAWNGAPWLVKHDVAEK YHIDTRIPPHLRYDNKLLERKQLQLQKKASQFDPTMVTPTPVSPTGLKLPELKPAPKS HKSKAQQALQAQQHAIKGKHSTFGGHEPGSFVHLPLPGNPFQFPMSFRGQVPPPVVRQ REPTPPPPPPKYPIEDLQVEPRKLVRPQLKYLCRDTPVEVQPDSKSPQSDKIRMKSVG PLLETWDTLNVYCEIFKLDSFTFDDFVETMLVASAETPVQLFDEIHCAVLKILVDSEA EGGKVQIQLPELEEEEEEEEEEGEEDEEDEQEENAAPTPEPEPQPSGRATRRSLARAE AERLAAEAAAAERELREAENAPKHRAEELLRDYDWIEHLRKRDFKDGGWQMIMVGLLH HLSRNERLHVSCEELLQQLVPTDIEPSQETVRQRYSVLDVNYRVQALQIICMLTSETK AVRGYMEDCSETMTAYRKEKIEWQRKRKQLIEDLKALNDQRKILLPDNLPPSPPLEPV KTNGDVKMSDVEDLPANTSDEVPDTDEDGPTRKLRRANDRAAERKRKAEKEQERKEKA EAAAKMPKQSKQFQRVLKDIQKKEDEIAECEREIAVIDNDLREADCPRTRVLGKDRFW NRYYWFERNGMPYGGLPDSSTAWAGYANGCIWVQGPDELEREGYIDMAPEYQDEYKAK FGMTVPERKKMEEGTTSVFNAFQWGYYADPEDVDALLAWLDPRGFNELKLRKELVNYR DKIVTNMENRKKYLAESEQQLKEKNAQNDAESAAGPASSANATTTTTTTTTKRMSTRA RGVTTISAPRLQDQADESEQAAAAAASSSSSSSPSEKTTKPKYYRCMNWQNTMALDEI GHLHSVEPLPARPRKATKKKEAAMAAAAAKEAAAKEAANADSGEMMVTRKRGKQSAGG R MYCTH_2304301 MTEIYPSLAQCAIVAAALKVLLFPAYKSTDFEVHRNWLAITHSL PLWDWYYEKSSQWTLDYPPFFAYFEWIMSQVAKLVDPAMLKVYNLEYDSWQTVYFQRF TVIITELLLVYSLQLFVDSSHGVSKRAAQAAAISILLSPGLFIIDHIHFQYNGVMYGI LIASLVLARKKETLLWSGLLFAALLCMKHIYLYLAPAYFVFLLRAYCLSPKSIFRIQF LNCVKLGAGIAAIFGAAFGPFALKGQIRQIASRLFPFSRGLCHAYWAPNVWAMYSFVD RVLIVLAPRLGLSVREEALQSVTRGLVGDTSFAVLPEITPRTCFALTLLFQAIPLLKL FAQSTPSWDNFVGAVTLCGYASFLFGWHVHEKAILLVIIPFSLIALKDRRHLGAFRPL AVAGHVSLFPLLFTPAEFPLKTVYTVFWLILFLVAFDRLAPASSRARFFLFDRFSTLY ITVSIPLIVYCSLVHGVVFGKSYEFLPLMFTSSYSAIGVVGSWVGFMVVYFTS MYCTH_2304302 MRLPSSYAVLAAGLAQVQAQYLISELSFGTNKRISAEGSRSIPN FSLQGRPNVPELLSDRVILTPVAPGNQRGAVWADRPLPEQSWIADVEFRANGPERAGG NLNIWLVKDGAHTVGSDSVYSAGRFEGLGLLVDQHSGSGGMLRGFLNDGTTDYAHHPN VDGLAFGQCKFTYRNLGRPTQIKLRHTEEKFVVEVAGRTCFQSDKIRIPSGYHFGITA ASADNPDSFEVFKLAVLTEQAPGSSRSSSSSNNNNNNNNNNNNNVKRPRMNFGRSGQV SVEDPYDNAIPDQDADTITSSRAQFADLHNRLQSVNHHLSTIFRSVAQNAGVDEQRHA ELSAMLGELKGQLARLDKVDALEQWLRDIQTEMRGMRQEMAGRLRDSERAIKYHVDDK HQTLAEDVKGHAKSGHTRLILVVVGSQLLLAGAYAYFKRKQTSPKKYL MYCTH_2304303 MPGNRLDKAWLEADLGKFPAKTHARKVANELGATNGIIYIPGQE EQFYENSDMGPRFRQRRHFYYITGADFPGCAVTYDIACDHLTLWIPRVEPRKVLWFGR TPTIEQCKAASDLDDVRYIDDLEQTLARVLHPGCTIYALHPSLVPEVGRAKVTVVIDT TKLQPAIDEARVVKTDHEIALIRRANAVSSGAHRAVLAALRRCNNERDIEAIFKAYCI SHGAPTQAYPVIAASGINASTLHYEDNNQPFGDRQLLVLDAGAEWRCYASDITRTIPI PRSPASSPTGSGGGGGGGRGFFRSREAAAIYSLVERMQEECIARVRPGVRFFELHVHA CAVAARGLADLGILRDAPEALRWGTVTAFFPHGLGHHVGLEVHDVAGRESLLLGVSAE RMARALNRTKTASSETTAATAAAAAAAAAPVPGIGRRAFPKRDLVSQHANACMVTMAR ADPSPATSKPLQKLREGMVVTIEPGIYFCREYIEGFFLNKPIHSRLINKEELAKYWDV GGVRIEDDILVTKDGYENLTCAPKGKEMWDIINNGASSEAGDL MYCTH_2304309 MTSLQALVYMILFLQATSNISDCYAFLGIALRSCLRMGLHRHIA HHKITPIEDETRRRVFHVIRQMDFYVSAILGFPLLLHDEDVDQPLPTEVDDEYITKEA IQMPPPGTPSFFQAFNAHNRLMRILARVVKDIYPLRGMENDVKGQRKDNKTFMISYSR IRAIEAELQEWQSQLPDYWRPSHDGPIEVIRVRTLLRFGYAHVQMMLYRPFLHYISPR LTAGKQIDNRYYNCAAAGITVSRNIVHIGIEIQKQAALIGPYWFILYTKFFAILSLLF FVLENPDKPGSAEILADAKAGREVIATLAKRSLAADRITAALNPLFDQLPDRLKNPIA RPTPTKKRSAPAPNLAQGSRAGSATPPSRPDLQDSMPQRRSEEMARPAIGLLRRDSRA PPQRTASFDTIAFQHGSLGGQTFTSFQDMLPLNMPLSGPGSDSGSTQGTMHSHAQGFQ QVQHTGGPVGSLYKMDAMMFPSEDPFAYPHQPPMDPASQHPAGQTPQSNAGQPHDAMQ FYIPNMYDGIEGQLLDPIPGYLIPQGQRQARHGLDGTAQMYNPPSMLNMQPGHAHQQL QHHHHQQMAVQQQLAQGRRQQQQQQQHQQQQQQQSSGGMVDEMLTGPGFRGEWEDMMG NPGYQ MYCTH_94018 MVRESQKRKQRKRGPWAQVTEHESDLPDQRCPDTLPTTTWGRIS SFPPIPGAELRNIPTIDRAKAVEKVCSLDPVILAQCGSCKRWSDLDPVPLAWGSCMPS LHTDVSQAPSAPMFFSTLSRSPIVHYRTPVLPAGSPFGATAFAFSQFPAPSSNERSCV SITYTQCRVTAYCMHDGTFQRANSPSRVGSTVPTRVLPVSACVPLSEPFLFLARQPHS DSGIRPEKRARPGNASRFNTHTSTGTETRERQHRMPDPDNAGTRLSSHTMKLAAG MYCTH_2304313 MADSLLAFFTLMDNQDGVIVREPPKFDLDLYIQNYKGRTRFDRL FLIGRSSVPLCVDALKAAIAEAKRGKDTNRYREAVECLRIAAPSEPEATYDQAWLETQ EAANKAETNRLLTELKGYKNNLIKESIRMGNEDLGKHLESIGDLNAAAETYAKMRPDV STPKQFLDVGKHLVRVSVQRREWGMVSAHLSKMGGAHHAEEEKAVQPYLKIAHGIALL GQEKYREAAFSFLSADSSISGSTYSELASQSDVAVYGGLLALASMDRNELQSNVLDNA QFRTFLEQAPHIRRAVAQFVSGRYSACIGILESYRPDYLLDIYLQKHIPNIYSQIRSK CIIQYLLPFSCVSLETMEKAFGRPDQRIEDELADMIQSGALSARINMVDRLVTTKAAK PRAQMQDTALQAAEEYERQALERLRRMGLAAADLELRGSKKTSLFPSEGELMVEETLG MYCTH_2126754 MDFASLMSQEISKAKGGSGSGSGSGSSTGGGEQKKYISRREAEE ARRAAYLAEQKRLEEERAAKAAAKRRREEEAAQEARAREEKRRRLAEEARLRREAKEA EEERARRRRLGLPEVPLVKQGEEEGGEGDKKKAEEGGDEDDLDGDGEDAGDIAEEELV KKLRELGEPAILFGENHAARLMRYRKVSRGGALAAAAGPIATTLVPVEEKDMKVPDKV PPASDKKARRFLYRQLASYFNMVLREWEAALARENNADTFAGQAARNAMTSSKDSMRP LFRKFEQGNLDESILEAIVEIVKAAQERRYVDANDGYLRLSIGKAAWPIGVTMVGIHE RSAREKLHGGERGHIMGSEVTRKYLQSIKRCLTFAQVRWPPEDIRQLMG MYCTH_2079848 MSPRELNRAETPPFLVKLFYRTGAFHRPEEFNTPSSLPPHLQIH TWPDCTLLELSYHIADASPPVLPDPAVGTRLCFSLVYADTRGRTDTPPRYVSKFLGSV VLGRGGPGASRDPPRRPGDELDGGAGSGDGGGDDDNNNNNDDDDDNHSAGSSEDESLT LADARFITGDFISCAILPPDELTGDVVPASSARMGRGAGVGEARGGYVDDEDAPPPPY SRSRRGSYGGPPPPGGGRMRGGYRSGGPRGYGGGGGRYRDRDEPFGRGGVRIPDGEWR RGDRLPDEPPEIGRWGR MYCTH_2079850 MDPALVRLGSMVQNRYKYFRWTKRTARITFVYAAVIPAIIGYLG YQNDGLWDLRAKRRGDIISER MYCTH_2304323 MGEVKVKLHWLNGSRAQSILFLLEELEIPYELEIYRRQKDMLAP PELKKIHPLGKSPAVTITAPGASEPIILAESGFITQYLCDHFRRGKTLVPQRWKDGQE GKVGGETEEWMRYQYLLHYIEGSFMFTMVMNFILSAGLKGSSVPFFVRPITTFIANQM ISMVVAPNMKRHFGMMEQLLETSPGGGSYMCGRTLTGADIMLSYPLIAGKDGAFDSIG QWDKGSFKETYPKLHAYIERLAEEPAWKRSVERIKQIEGRFSLLPTPGEPSARI MYCTH_2304324 MDGMANSASSTVMPPTQAPAPPAEEGGSPQLDSVEEDDLDVDHD DAQSFLQPAISPLATLLTSPRAPTADKVAALGRQPGLFETPPGEEISQSGQAVAASDN MATISESSPNQRPSPLTLPSPWQAGPKQFMISEPGRFRSSMAAAFQSHSRQHRSQSAG ESALKRLSKVLPNISIPSSLIPNISTPTFFSSSSSAQQKDPGHASEARPPRVLVSPPA PFPGPSSPQEVSIRSDTAPLRPLRHSASDDSLLYHTLSHVSSLGDDERFAHVREQVNV RIKAIKDSFEGPSFKLPQMPSLLNTPLKKAATEPLPGASRSGSAHNSQRANQDPLDAV LESLTGDVVIMGGYRGSILRSAKPPHRRLWVPVKVQLGVRKVNLEVGLDPEDEENMEK HIIADGMLKNVGPVDISKRLFKKLRECENARTGKLRVHDYGYDWRLSPHLLSRKLVGF LEKLPSNQPGVPKERRGALVIAHSLGGVITRHAVNQRPELFSGVLYAGVPQRCINILG PLRNGDAVLLNEKILTAQVNFSLRTTFVFLPEDGFGFVDKVTKEEYRIDFYNVQDWIK YRLCPCVSGPPLPALSRSSTFGSLLSLSDSLPSLPLRSRSGTQGKKHHSAAASEAKDA ALLKDRTLAPQMNSSSFSSSPSSSSSTATTTKPPGSSLDPPPSTSKNRVPNGDSNGKN DDGSSATRARNIAYLTRTLAETKRFRAELAHNPAHQEANAYPPLAVLYAKDIPTTYAA GVAGREAIARADAYDDLLFASGDGVVLAREAMLPPGYELVRGGRVCTDRGHITMLGDL AAVGKGLAALVRGRSKGIGLGKRG MYCTH_2304326 MAAVPTKSTPTKSIPTESIPTESIPTESIPTESIPTESSVPTKS SRKYTTLAQRVQALTLHARGAKTSEIEAITGMKERAFYTMLNRAKERGYIPGGPVKDE HVANAPKSGRPKRVTKPVA MYCTH_2143764 MEKSSRSQGSASSIEADLQDQHLQSKLRTIRMTDAARAGTTLLA LLTGLTILGVSANTLRVYQGTHVSRDFMLPLWPDEFDLRPTLSLVAGSAVVVLANLVA LGFGQVGALRAPRAAAAAVATRTATAFLAPLAGLAGTLVAVAFFYAVNASDAADTFLS WTCRWEAVPMWRAPRWAPLCRQARAALYLAVLLIPVELAALALAAFQLKVERYTERYL GARKTPVLS MYCTH_2018629 MSRAAPQVRASKSAPSSPMQRSSSRRKAAQAAGSRPASPLVGGD QHMRHIDGAPPGDIVRRAREVRPSADLAGQKSKRNFFEDAFSVNPTSPARERVRGDAI VLAEVKTNVRDEFAFITDLACQLSARYQRPVSSVVVTLHHGACLLFGGSFDPAYAMAV RALPSQLQPTTNKRNAALLQRHMEEALGVPPSRGVLRFVPIPEEHLACGGKTVAGEIE ELEMGGGYCHVGVGQSGSRGSGGSGGSFFGAAGWSLSSMAAHELTPPGSADGEPPSMP GSYSRTAPKIEQIDSGKKAAQRRKSFVATIFGRSGSKSSDRSSLPAIADD MYCTH_2079857 MSSSSIEDGAAPADTSSGLSRPYPIRYISPAALNLHRVYEQNRQ RLQKKDGAKVPDPTAPQTKGKPRLLLMGQRRSGKSSISSVVFHKLPPSETLFLESTAR IQKDSLNSFMEFQVWDFPGQIDIFDNPNFTFDMDAIFGEIGALIWVIDAQDDYLEAVA RLNATIIHLHRSYPHINIEVFIHKVDGLSDDYRLDIQRDVTIRIQDELSDQGIENAPV NFHLTSIYNHSIFEAFSKVIQKLIPRLGQLEAMLTNLCRTCHFEKAYLFDVNTKIYIA TDNTPEDMASYEICSDYVDVIIDFTEVYGSWPRSEQWRARLEGEPWSQPLEDQVACEW AESGMVLAEARRPIMLREVDRFLALVAIMKEGSYEKMPQINMNVDVVVRGLTEFFEIT KSKEVSAGARGLSSPALG MYCTH_2304336 MTSIATTTTAFPPRAQRGQRLYFAYGSNLWMEQMARRCPSSYLV GRAVLPDYQWQINERGYANVVPRAGYAVHGLVYELDGDAGAGGTDEARLDRSEGVHTG AYSKEWRDVVLYEAAPALRLPTRAFADAGGATPMLREMLGEMLGETGGGAAASSLFTE QRPTRIERDVLVYLSSTYTMPSEPRNEYIDRMNRGIADAIAIGVPGDFFETVVRRFIP DRPPPALSPPQPYYYRPGRSRAQQQRR MYCTH_2304338 MPPQLLPASAAAFAPRASSVNVVLGSKVEPWLTQTLKRINKIKR PLNSVPQHQRCLTETLSSPNAIWTLASLQLPKAPQAELKKDPNPLIEAIFNYQDLHLE AYIVHVDMVLRNEVAYKLTPETIDSLIEYHKDVYCVDAKANTYDWSEKEQQCKKLHEE FVQAVNKFVYRTHASALEGLEEDGAGELLGGRSEEVKNIILGLLKPLLPPPPKVVDVV RQPPLLPSSPAGTAIWSQSSTPATLSAPVESWKILPSSPSVPSTSADSGASIWPTMGV SEVQLPSPPPAFSQPYSQPYSTAGLYYSSPVVSAPVPQLPLPSMLAPQCGISMGYNSF GGWDTRYQEYATTM MYCTH_48976 MKLRRSRLAQAIFGKLLQEASQSRQYHGNGHACVKLCSFVQQCA KSSDEALKRWAFTESLSKELFHFYLEWYEHDPHRALRLVLDVLVVSSASNPCPETGRV VKGHILETLISIIARKSTQQLTKSGLQCLDHFMNKRVLKLADIAAEYKKVEPVVASLI TTSLDGDAAEPVGFTLGVWRQWLQDALARNPEILEDIKNYVLAPMFKTERDASLRLLE VFNRSQPLTTLGGDLIDQGLLLQLAALELGKKNGMVEEPSKSSDVSPSAANRVVLQET LLDRLLAYPSVSVRSSAFSLLVSSQATTKPFSEAAFDLLKKHLAAFHMDYDAKVRNEV LGHTKNLIKRAKNVITVAQRSLVAHKSAGDGKHPPPKKKFGPEVALKDASEAKEVLDR HEEFLRWYMMFLRNELLPTTSYQRHITAIKAALLLLKVGKHAGDTDDTVDKDIAQGIS SDSTWIRLLLDLLLDPFDDVRDGAAAILALLPSGTAGSGIASSESFLKILRVFCARAS ALADRTGRADHGDGAARSQGLLCSWINKPDLQIALLSEILERLEAKISKAEDDLGHAA IESPVHADFAAISYVWQVIAKQTFNDKQLELIHHLQRRVFFCAQQIWFVVKHVLCDDS PEGHLPEEFEDIEGLDTKDLLSYSFRAVHESSNLLRLLVGTLRLKNTAGAPLPPIDVF RETGYLAFEQLASLRHRGAFSTVSYTFSTCCQLTQQLREVYPEIDEAENLLREWYQGA IGCIMTQASTTRRSAGIPSLIAAVLTANAASPSFDEVYGTLEKIGRKPVRVSQTDGSN LPQVHALNSLREIFRSSLLSRKAEGYLARTLHLAATSLRSEVWAIRNCGLLLLRSLID CLLGTGESKAAIESGWDGHSVRISYNKYPTLPGVILNLLRSADKTLDQASQSGAAEAV FPALDIIRRAGPPEEHRLELRKHIEGYLGSSLWHVREIAARTLCSFLLQEDWAREIGR LLEESGGATNRLHGTLLTAKFVIERKVDLGVDLNSDSASVQALLQHLSDNQEAFTHCP ELQAVHLEILNLLSSFGFHSQVAKVTQLPRSAKRHGSSSALLDLHTSLKVVHDTAASR DVEGLRTHFLTTLGSDVNTASRMLEAIPEAWKPVESVTTSRALCELYLEACTVSSAPE IRAQALTNLGLLMDGILNRGEVAGLPSIEQLDRLWSQLQKGEISPALSCAAIQTSGTI MAALVSQGTGNTLDRVRRLRSWGDMLSESLDVDNPFDIRYAAASALKSFFAGTRIHSW DTQYLPCFSALYDSLIDDDEEVRETAAVAASAIMGTPAIAPTAADSLVPWLLRQFKES TEFQSRVICRMVGQPYTDDEHLQLVPAEDQLHTAMDFDDSLFAAEEQNLFIDEVRETA RWRGAFVEMLRLAGGSSDGPLGCLKTWVESGLKCLIGITRTEKDDGPLGWTSDQHVFA VCARILLCAVAITEAAEKPGEAVMDLLTELREVGGQTRFHGALLEMARS MYCTH_2079864 MSIWDALTGRKSSSQTSSSSAPAAPAAPEHHTSTSTYQPTATFD PTSAQGVESFLGTSSFADPSSLHPLAGLNKDTLEYLTLEDSALSDLPGSQSALPSRGF TDDLCYGTGTTYLAGLTIGGAWGLQEGLRKSVGEPPRLRLNSVLNSVTRRGPFLGNSA GVVAICYNCINSYIGYLRGKNDAANTIVAGALSGMLFKSTRGLRQMAISGGIVATVAG CWAIARRTLFQSSKNTHVEPLATL MYCTH_2118258 MESLFKVDGENVQLTLQRLIEESISQRVTRWKTKQKKIPGRELA AQIVAMCWGQDSLPSAGPGCLMRDTKGGYVYVPYDEGRDGLPPALEERIDKLERWFRN PANGPTVRELDKLLEKEVNEKCFWEFLDGDNASRIDFHGKLYNMDWNCTESEVIDSLE AYSNPLFNRDAVRDRLLRDLATIYHPRDAVEEAELYVLCNERDVARPMAECKWKPLRR RPNDVKLMKRESREESKWVAIIRPSLLRHYKLCNRVFNLQRRQMKFCQEIEPSLLQKP QETGRQYFNGG MYCTH_2062716 FVKVYIDNIIIFSKIKEEHLEHLRTVYKILNKACIYISVAKSFT GYLAIRLLRYMVNNKGIAKTDDHITTFKKLKFPDTLNSLEHYLRIAR MYCTH_2060198 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_2118259 MVSGKRDLKGKAPTRRVSIAPEEDDSSPPSPSPGPSTFSRKSAQ GSSATSHVSFASQSRSHPECNCNHTATSSSSTSAPASVPASAPATTTAAQIPASCFSV LPNPVSQPYASYWGLAGHTQATYGVPFAATVNPFGTGLQQPPSAPARVNPPDELGPPP RPPPPPAIPPVINPLGVHFQPQVPSTEMGPMIHRYVPRQDPVGAAPGMLYTGQQMAGS MVNGVVTPMQGLPPGVVQTTAPINPVIVQQPGVAQVMIQNHAMRGGPKLILPGPIQAV QVNGNPPQIAGLQAHPPVHVEPALGVGLTPTETMAQNIRIAQNNKGYEPQDFKPADPD PYRMYWFRELNGHWAVFPRRQIDRLDARWYRTDDGVFYAVRLSE MYCTH_2304387 MLLIGLTGSIATGKSTVSSLLSQPPYSLPVIDADLLARQVVEPG TRGYRAIVSYFGPSTPDLLVPAGPDMPEDGPTGKGRPLNRPALGRRVFGDDEQRRRDR AALNAIVHPAVRRAMFRAVLGCYLRGHRAVVLDVPLLFESRLDRFCGSVVVVAVRDPR VQMDRLRSRDPHLTAEEAENRVRSQADVRDKARRCEARAAAAGSGSGVVVWNDAGKEE LAEEVRRAMAEIEKSSPRWWSWLLWACPPAAALLALWGFWRNTRINKAWEEQELRDKA NL MYCTH_101730 MPPNSVFEWVVGQNLPRKKKAKPSGREVVKIEVTTDDETDTESI TVTYPRNSKSSRRRNKHRKPSSVPSTSEEKKKVTFEKKPLKSALKKRQDASSSEASSG ASSEASSSEASSNESSAEQSSEEKLPTRSKKARDAQNAGPSSREARSGNGSSQKDKHK HQNCVCSCCVTGLLLLAKMEEITKNGKSNEVTGNVGDKAGQKNHATSVEDTATASEPE PPKRKKKTKQQTVAVSSKTEATSETESESEPPKKGKQSGKKTENEKKEKQRSDEAAGT KNGNQAKEGGKASGSKEAAGRKTREGPADENIAGDRDNGSKKGGTVYEKKADAAHPHL IMPVRERSIQMEHVVEDPARDAPPNAYFDNDKNVCRVYHGPYWGYPYGQPYLRGGLNP QFPAPAWLPVPDIQAVPGHRDNGAQIPSGFPPPPQQPAHTVPYPTWGQPYGQFPPAPP YGSTQQRPVGVSGIPGSPLTAEALKRHGFDLNPLFGNLKPGHGEKHESRGSKSRERHK TLHDEYKSAKGSGVQWNTPPTTWVKLPSNKSKNGSHSGRQNNDGTGGTTITTTATTAG AIPTTTTTTTTTTTTTPPSPRRSPSAPTPSPACRAAGRRPAARPTSSGRAGTAAITTR RRAGWTGPLRRRRIPGR MYCTH_2304388 MAALVNVRRDVSDPFYRYKMERLQTKIEGKGNGIKTVVVNLSSV AQSLARPGSYLIKYFGFELGAQTNLDPADDRWIINGAHEASKLQELLDGFITKFVLCK KCKNPETDVHIKDGRILLDCKACGQRSDVDLRLKLSGFMLKHVPKKTKKDKAERRAAR KAKQNGKTEENGSGGDENSDQATPPADHKGIESDDDALTRKIKSEAHVLENKEVEVKD DEWAVDMSEEAVRARQQQLPGEFKQKLALNGDEEDEEGEGGGNTVYDQLGTWIQEEAE KKGGINNVEDIDIYVKAKELGIEAKHRTILVLVQTIFDENITSQISKRAPMLKKMITS ERHEKALLGGTERLIGHLSGEHPEMFDKVVKILQLYYHHDLVSEEVVTKWGSKASKKY VDLSISKKVRKAAEPFIRWLQEAEEESDEEDDE MYCTH_2304390 MREVTFDDSLQNRLFQHYWPTAHKLFGSILYRFAKPRETPLADG FVVDTCARTDAAPFTLLNRLKGPSSGLDDLDVAAECLDHMAAGIDTTGDALCFLMWEL SQPSSMHRQRKLQEELATAAAASSSSSHHPGAGAGASLDRLPYLDAVVMEGLRCFPAI PMSLPRSVPAGGRTVDGFFLPAGTTVSCQAYSVHRIDQSVFPRPDAFEPERWLEPEGD AERRRLFFAFANGGRGCVGKHLALAEMKMLLRAVYSTFTTLPDPSMTAEEMDMSDQLI SSRPAGQRCLLRFVPLDAAGGKSGKA MYCTH_2118264 MCMSAICSTCKKQTWRGCGNHVAYVFANVPEEQWCTCEPKVEKD GKEYPPPAR MYCTH_2079868 MPITTNRPVPAPYPVRVHHYLPSSSSSSSSPAPHPVQTYEHLIS WPSSSSSTTTTTTTTSAAQPPRNALVFIGGLGDGPHTIPYVRRLAEHLDGAGTGAGAG SYAVFEARLSSAFSGYGHASLAQDAREIADLVRYLRRGGGGGGGGGGGGPGVDKVVLM GHSTGCQDCLEYAVRAGYAAAAGKKEEGEGGEEETWVDGLVLQGPVSDREAVRLSEDG AEVERSLRVAEEMVREGKGGEVMEMEVMPKGWRGTPVTAYRWASLVGVGGDDDYFSSD LPDSKLEEIWGKLKQPVLIVPSEKDEWVSPEADVTGLVNKWKSFCKPGIASDLSGLIP GANHRVDNEAGQQWLFDRVARFLAQLGETQ MYCTH_2304395 MQGREPPIKDIYSSRSQPDFHRYDQPLPEPHGAKSPPTVGAVFS PQTAQPQQVIPEVERMRRGRLPAAHSPQPNKPSPSPGKVTDGDPFAALDVRGPVKGGD ELSSRFPTLDQFTLLHDQGRKFEFDDSLANPPQQPKDLSQKVAERLADEAFQVKPPSS SAPAVPSPRQSADFSRASPSVVSPPLNPASAPLKQPEVSRASAIISSTPELQALSLQT SQLPPKPVMVSTGTMTSPILEQAPVPPQFQRYPPNERHRAVSLPRASATNQTSLPPGE AGVSRTPSFHGAAAQQTHVRHPSSSRPSLEGGRPSLEQLEPTGPRSRLAMRPRPVSTH LESNLDFLREQESRSKSPALPSPRHSLERSLPPSPVEDTNIESNVDFLRAMEDSESKK KDKGAKHHIKRGSLSSLGAGTKNILAGKFGDAFKRFEGNASGPSAQRTPSPLKDHDRL QLTPIAGSEATDGRSDDGQSPDWEDMTPEMRREQEARMLAQEEARVAAAQAEYRQRVS QRGGAGGPPIPPPKSIGGVSRVTSIQNKVQSLLEESTRSSASVSRTAQGYGHYTDAAA AASAKQSGNEGGGKPIVPPKPVTGLGQQQVTGGQQQQQQQQQQQQQQQQQPRPQPASS SSGLEPSITGRTATPITASSTAGNRPLAPPKPTHLNKALPTVTGGGRPPSPTKQAPLV PNLSSRGASAAGSGGAGGGVGSISSTPIRSGPLGGGGAGTSSGTTEALLAVDLPGGQG SALLSMTAAEKDDYIRDFQRRFPSLGAIEMVERDLAAEDTAAGIGSGRR MYCTH_2060153 MATTPSSDQRTEFFQQLKKVCVPLSQLALVPKDKAVDSKEVLRL LESLISLWTLQAGRDDTILDDKLADYVFFPLSHLLKGRDRYSVRVTETVIRLLRLLIQ HGWKAKASPQLFQQLLIFLTFVIGGVPGQPKKDVPEETVVEGFRTLAALVTIAQPSHF SAPPSESGSEPESKNETIQALDLSVTVMLEGITDGLIPAIQLEAAQCLRAVFTTIKQH PVLAQVLPGTVSALAKVLSPPQANQTQRRVLQRCLEVLKLVLVTVLGDIKVRSILREL QSTGETDPNVTAEPKSSSSSGLLTPSWLKATASQLKIALSAVLKLRAHESEDVQSALH SFCITLLDECHSSLANCQLILVETAMMLEDPDTTQSRLQTSLQDLASVHPELGNSIKS ALYNWVTGLPRVMQSSDERVRQLAIRSILRGSKLAAALHMDSSTLDIALGDSLRDGII MLVKGSKEPKIVDDAAADVLTSSGDLVRSGTELATYSPVLLNLEGQKTTRMEINSLIS NIGSTAQQVKVATTMLSHVRDSEGVERIASLWLSFELLKATYAQSSELDELLDLSSFG ESRHQEEAFRELYDFSVSVLAAHSDSVEADWRLEAIALEVAAFAASRLKSDFRPELID VLYPVTTFLGSRVSQLRAHAITTLNIIAAFCGYGSVSDLIVDNADYMVNSISLRLNTF DITPASTKVLTMVIRLTGPRLLPYLDDVVAAIFAALDNYHGYPAFVESLFSVLTEVVT QGVKSDMLLLEDGSTKSVDHRKRPPSSSGIPGILQTLTARLEREERSRKEREETEFIP HPKQPWGPTKDEAGSLLDKLTNPDADAGADVDADAEQAKGVSETDRNTPDDKPKTPTY ALLSQIVSLTQHHLTSPTPTLRKSLLDLVATAGPALAPDENAFLPLVHAVWPVVLARL RDPEPFVAVAACRALAALCQAAGDFLSSRFRSEWSGGAGSLSGWIRKVKEEAMARRRR GAGMALPSPASGGGGGGGGGGGNGGRKGFGIADGEGILVPTRDGVDGEGMRMVESTSS SSGGALGRFAQASQVWEAAVGLLTAIVAYVRVEDDMFDDILGLVVDVLPQHGELKEAL ETINSDAVWLALYERGMVQGRATPVVEGLDFRFASVEGGQAVQVGGS MYCTH_2139057 MSATKRIIVFGGNGFLGSRICRAAVARKWDVTSVSRSGRPNWLS ITDSPTPPSWSHSVSWERGDIFRPAQWTPLLSGADCVVHSLGILLEADYKGVLSGQES PISGLRRAFDPAKGRRGSSNPLERVRDVEQGDASSSSTQQQQQQQQLTYEMMNRDSAI LLAKEAAKAGVKTFGYVSAAAGAPVLPSRYVTTKREAEAIVAREFPEMRGVFFRPPFM YDRSRVVTMGVAAMATAGSMVDGLTGGRLGGLLGTMVTKPLKADAVADAVVEALEDES VKGPVEVPQLEALADRAWRKTML MYCTH_2304400 MAPPTGKRVKGVQIFRPFVYGTTAKPFDEKTNPKPPGVPDDHTH SWTVFVKGIDDVDITYWLRRVQFKLHESIPNHVRMIEGEKGKPFELHETGWGEFEIAI KLYYVPESSEKPQTLYHHLRLHPYGRTEEEKEDMRLNGGEVISWAYEEQIFNEPYEPF YEILTSGAMPPSFSSSSSGGPSANKSGAAGSKPPSGGKSKEKDGQVKMVRSEGGVLER SAMIPLTNRPGQPFSVETEQVEIKKLQEALVKVEKMLSKTKEEVAAKEKRLKELKESA GK MYCTH_2304403 MAFNFNWSPLTADAGFYKRARDLLTTALNKSPKPPIIVDDIIVT EFNLGSVPPDLEILEIGDLAEDRFRGIFKMCYSGDAFLTLKTRVQANPLNTCLSAKPS FTSPQPLAAASSLTIPLQITLSEIKLSAFIILVFSKQKGLTIVFRNDPLESLKVSSTF DSIQFVREYLQRTIEGKLRDLMMDELPSIIHRLSLQLWCPDQIVKEDEESKKADEHGV NPLATPPLDAVDLHGHLLDPAAISELSLDGGPEAQLLFSQKNLLRLADLTNSQVTSSL DTSTPKDVLFRAWAGPDKVDATSTPPLSTPNLVRTSSYTSPHTYTFSDSSSQDHGFLP SRPSLVHLNSATAGLSLGSGRHSKAGRKKKTRVVNLRRKAATEVSSEQGDTGSETAST GVPMSEPSMPHPVLEVPEEEASTNKVRFGRSPEQQPRRPSFRAQPASVADAPVPSVEI NSAVPPPQPSEKRGASPTSKDSVPADTKADWKQSRPMSDASSVILEQAWIMKMAGEIA RRVYEEKNGNPTFWDDQDDTPPPAYEAR MYCTH_2062719 MSSTEPEPSIQALSLASINECKFGFVSEGGANVVFEVLVEPGSE YSSIFQGQLLRVPKAGTKAHSYSELQEYWETIVRPLFRPEDLVQQRLIKLEGRELAAR LNHVLEREEASRRADFKGSRVAETEYGMLVEDMRKTSNDDDDDDLSGAGRFQLAMTLR DCACFLRVPADPGSPVEAKLGDLDKKNGAAKLGYWQRVEKRLIEGGYYAGREVEGVEV NCRLARDGELAYREGGMGSI MYCTH_2304406 MNRIRAFFAPPKASASEYEPLADDESGTLRGSTGEEAAPFSWVE YCIFALIGVAMLWAWNMFLAAAPYFQTRFQSDPWIYANSQSAILTTSTVVNLGAMLVL TSMQSSANYPFRINTALVMNAAVFAMLTISTFSFLDVAPTLYLAFLLLMVALAAVAAG LMQNGAFSFAASFGRPEYTQAIMAGQGVAGILPPLTQMLSFLAFSDSPSVDGPGPGKP RSGHDSTRDEGGTAAFIYFLTAVLMSVLTLAAFVPLVRRHSRLLEDRAVLSSTAVLGE DASAGRRLHQITNNNVRGDNGNPPHRYVPLTTLICKLGWLAGAVAMCFIVTMFFPVFT AKILSVHDGNRGGGDDDDDDGTPTAPLFRPGAFIPLAFFFWNLGDLAGRVSTMAPALS FGLRRRPAVLFALSLARWGFLPLYLLCNLHGDGAAVPSDLFYLVIVQFSFGITNGWLG STAMMAAAEWVAEDERAAAGGFMSMCLVGGLAVGSVLSFTAAGV MYCTH_80916 MQSKITALLAWGGLLGLSQAACPFADPAALQRRDDAPPADILEK YKVDDSKGYMSSDVGGPIGDQASLRAGGRGPTLLEDFIFRQKIQHFDHERVPERAVHA RGAGAHGTFTSYADWSNLTAASFLSSAGKKTPVFVRFSTVAGSRGSADTARDVHGFAT RFYTDEGNFDIVGNNIPVFFIQDAIQFPDLIHAVKPRPDNEIPQAATAHDSAWDFFSS QPSTLHTLFWAMAGNGIPRSYRHMDGFGIHTFRLVKEDGSSKLVKWHWKTRQGKASLV WEEAQAVAGKNADFHRQDLWDAIESGNGPEWELGVQVVDEDKALAFGFDLLDPTKIIP EELVPITPLGLMKLDRNPTNYFAETEQIMFQPGHVVRGIDFSEDPLLQGRLFSYLDTQ LNRNGGPNFEQLPINMPRAPIHNNNRDGAGQTFIHTNIYPYSPNTLNKGYPLQANQTS GRGFFTAPGRRVTGELLRELSPTFNDHWSQPRLFYNSLKPVEQQFLINAIRFETSHIR STTVKQNVLAQLNRVSHDVAVRVAAALGLEAPAPDDTYYHDNKTAGLSIFGEPLPTIK TLRVGVLASVQKNSSALAQAGRLKAALEKDGLVVTVVGESLAEGVDQTYSAADATAFD AVIVAEGAERLFAAAAASSPLFPAGRPGQILLDAYRWGKPVGVIGKAEGAYKSAGVPV GGKGVYTAAGVDAIVKDLEEGLKTFRFTDRFPLDN MYCTH_2118274 MARTTTVRKYGRSTRKQTAEILFAQLPKSPVKSDREPEPEPGLG PGPTPEIQPAPETRPDVGDAPTPAELEREAAKDDLAAVGEVPQHSRDGREEPETEAGA QAEETEETETEVEESVYDGLRVLTWADVCPPGARIEKIAEASYAEVYRVTNEHGTSII KVVRLESPIKPQTKAQERSGLVDEEPHSEEDMRGELRISEWLAGIPGFVVYKEQYVVK GKAPKVLLETHQAFHRRMKRKDPDRLQFYPSPSRYLDDTRFLVVELGDAGTALEDFEL TSISQVWDIFFHTALALARAEDLIEFEHRDLHEGNLCIRRVRPPTTLPESSSSSATTT TTTETTYKFGHSGLDITILDYGLSRATDPNPPSPSSPSPSSSSSSSSPPPTPPPAAEA SVVAYDLEKDLSLFQSTHAPQCQVYRQMRSYLIHGDRVARPSPRDHATPYPPAAGSGA GSGAGSGGPISWRGYHPYTNVLWLAYLYAYLVRHFAGDARELRRFRTETRELWAHLDP GAPRGVLSFPSAGEVVRFAVEAGWIAEAQVVDAEGSFVSVAGGR MYCTH_2304410 MASVLNNLFGGKPSAPKPDAASVDPDFAEFSQTTADPSPVPVAA ESSTSTALGAGQTVRPYTKWYRVHERYSLSEFKAEGVILSIIAAVLILHLFGARLNRN KARKWIRAHAAPLAAEFASVGFSGVPLAAADKKGDALLQSVVVTDEAQSDSLIKEKSL FEFAIYATGRANVAFVDVKLTLLKRFNPLTVLFEKVAAFFFDSVAETHDVAEAILYPF DGKESLLVPTIPGAPEVRSKDGKSTYDGFVWALVHKECMKQVRDDRYDVSLTVTKDNP KLPNWLTVMTESAEITDALLTPELIKLAEAAGDLFEYLIVSDQPTEKPKTLNETTPRK RIFLKYHLPSNNDYTNLVPLFRYFLRLTDKLVQVGHFRPEVLRKVKAARDATAKQIEK AEKESRAEELAAERERAKKAKRDQELNALDAKAQKKYLERERERELKRSMKKQTLRA MYCTH_2304414 MSTTDYSQIPTPSMCYVDFCLVPIGTGNVSVAREVAEVQKVLRA SGLRHTMHSAGTTVEGSWDEVMKVIGQAHTVVHQTGAVRIQTSMRVGSRTDKAQTAEQ KVKRVQDILAKDEQSA MYCTH_2304416 MAPVEVEHHASPIPLFLAAFRIAAAAYVSYTVWRSLYRSHKALG PAQDTRTRTAERSKLTVVFGSLAALGLVFAVTSSLEYLTLSYKVWASERGVDVPESLF NIGRDGPALYLKHWLSDTPVFLDALEIIAEETPRLWWGQQVDLATISWTALLAVEGRR RRIPHLWAHALLPHLVSLSFAQNLFYVALLQTPAPIPAQETRIARVFHRLLPKKPNNW FPKLSLIVAPLVLNYLVTFWLPATAGTPSFPNAVLLTKLLTLAPLVLPAIAPASWGVV HADPHDAYPDVIKLFNLISAASGLLHAKTTVSALLFNLPDSHRHRHSIKIPLDTEKRS KWERTATAAEKVLGSMTDHPAVAAAGRDALLCALSLGLWAAVRSTDVGNMLRALHNYS TSPSRGGSGRSGGGKRGKTTETPRPARAREEAAVAAAAAAPSNGIPPLSMTLRRRGRQ KRPSVSSAGSADGPSEDAAAQQQAPKRRGRPKKAKSEPEPEPEPGPGQDRADLEPEEE TYRPTPAARADVGLGDVVPEDDFDWEAAALTWGLTAVGGLGLGSAAVFGAECLAR MYCTH_2304419 MGRHWATINDHDRFETNKYFFMAQVTYKATINLVKCCILLLYLR LFFIVHWFRWSCWALLTIVAMYCVASILVTIFQCRPMIRAFDKSTPGTCIDTAKFWFA NAGFSIATDIIILLLPMPLIWKLGAPRAQKIALMAVFAIGIFAVVTSCLRVTTLDIFA TSPDNTYNIDNVMWTIVEPNVAIVCSCLPILRPLAVKLIPGLGSKHYGSYGSYGAPGY GTGRSRTNNTQRSQSRPDGADARHDWMELGGVKSQGENLATIRRPSSHTGSEETILRG TPAPPKDPNITGIQKTVEYSIQYSKERD MYCTH_2304420 MAGSETAPAFDPDGDRAHLVYIPAAVFFVICPVLMGLRIWARLG TGGKLGADDWTAIVALVSGTVPRNHALSILKPQSTVRGSSC MYCTH_2304423 MPSSGEEKQQASGVVAKDSPAESASSCAETSPAPIDPKAERRLL MKLDLIIFPVFFIIYLMAFLDRINISNAAIQGLTQELHLDVGSRFNVVLFAYYSSYIL LEVPSNMVIRKVRPSLYLSVLMFCWGVINMCMGFVHSYAALVALRVLLGVFEAGVLPG IVYVSSMYYKRHEYQKRMSFFFCSTVVAGAFGGLLAYAIANLSTPSMAPWRWIFIIEG AVTSFLAIVGSFVIIDWPEQTRYLDAEEKELLRRRMAADVGDVCRMDTLNRFALTRIV RDYKIWLAALVYMGISVAGLSGTFFLPTILHEFDWQAEEAQVRTIPVYVLAGGMMLLG AWASDRLKHRYGFILAGASLSTVGYSMLLAQEGKSRDYKFAGVFLVFGGAYMITPMCL AWLQNNLSGHWKRSFGASAQVMIGNVAGIIGAFIFVKKEAPLYRTGYGVALAMMWFGV LCATAMAGLMWRENRKRDRGERDHRLALPDEDKNNMGDWHPSFRFTL MYCTH_2304424 MFPQFRPPIPYIRLSPILFSTVLFKRSENRLAKLAKLSAQSQVP CAKQWARRAKEFSVL MYCTH_2304427 MYTTSFAFFEAIAEAGVSHCFVNLGSDHPSIIEAMVVLKSNPKF PRIITCPSEMVAMSMADGYARVTGKPQCVIIHVDVGTQALGAAVHNASTGRCPVLIFA GLSPMTLEGELRGSRSEFIHWLQDVPDQRAIVAQYCRYTSEIRTGVNIKQVVNRALQF ATSVPRGPVYLCAAREVLEADIEPYEMSLRQRYWEPVELGGLPPKGARGIAEALAGAS RPLLVTGYSGRNHETPAALIELADIVKALRVLDTAGSDMCFPADHPAWLGVRQGADPS ISDADVIVVLDCDVPWIQTLCKPRPDATVFHIDSDPLKQRMPLFYIQADARYQADSLL SVQQIIEVLRRPDSEAAKLLGSKDLAAAEAARQEAYAARIERIEKAAGPLPDGRFGVA HLSKLLRSACPEDTIWAVEAVTNTGFIHDNVRPTRPGSWINCGASGLGWSGGAALGIK LAADAEGRGQFVCQIVGDGTYMFSIPGSVYWIAHRYKIPVLTIVLNNNGWNAPRKSYM LVHPEGAASKVSDEDIHISFAPPPDYAGIAAAAGAGDVHALKVEEASQLEAVLKDAVA KVQAGNTTVVDCRIVPGY MYCTH_2079898 MYHLAKGLYRLATSKEEYSVLLLGLDNAGKTTFHEQVKSIFSPD GPPPNLKTVPTVGQNVSTIVLPDMYLKLWDVGGQLSLRKLWQSYYASCHAIVFIIDST DIGDGSLEHDSSGRLEECRLVLEDVLQNSEAEGVPLLILANKQDREDCVEVVRIKEGL VKRVFEGEKAGGIRDSRVLPVSALTGTGVREAVDWVRSRVKWNKESRPPLMR MYCTH_2304429 MPREAEPSLNEKQFVIQALQENLRLDGRELDQYRPLELTFGDQY GVADVTLGKTRVLAKATAEVTVPYADRPLDGIFTIATELSPMTSPAFEVNRPTETEVL LSRLLEKTVRRSGALDTESLCLVAGQKCWSVRVDVHVLSHDGNLTDAACFAVVAALRH FRKPDTSMEGGRLTVYTPAEREPVPLSWLHSPFCVTWSFFGDEGEIAVLDATWLEEQV RVGSCTVSLNKHGEICQIAKLGGTPVDAVALLQCTSVALTKVKEFSDLLDRKLAEDFK RRDKGGFMAELRAENER MYCTH_2059795 MTTAHRPTFDPARGKEALRGPAYHQRLLPAYTKLKFRQPGQGGE ADRTTRDLRAELEAAEAAHYAKLKGAPVPGASDDSKADTSTSTNNKRPLPLTSRGDGG GGGGGDGTEPGEGEEDPEAKRRRILAETRDIDADDESGSDGSSDDDDDDDDDESSDDE DAELQRELERVRRERLQKREAEERARRAAEQEAREREIATGNPLLNVHKASDFTIKRR WDDDVVFKNQARGTEEKGKKTEFINDLLRSDFHRRFMNKYVK MYCTH_2118284 MTTHNHNHHAGPDSQGHPYFPQDAAIPGYAPNSTPLPAILGSFG FIIGVFVSACVALARWHNPALKSPDQLTVAWFALCGFLHLFFEGYFVLHHAALSSSQS LFAQLWKEYALSDSRYLTSDPFMLCIETLTVLTWGPLSLLAAFLIARDRPAERGARHL VQAAVCVSHLYGVALYYGTCGFAERMRGISYSRHEPLYYWGYYAGMNAPWAVVPCLLL WRSVRAVQGAFRQLAKAEEGRKQI MYCTH_50843 MSLYHETAAIVTGPSTHGGSLKSRIFGNKDLKSPPAQVYALAFE SSKWSAILKEVVENSQLLQQERKLTPALSVLLVHDLLLAKKGIALPASHGLRVAVEKH KARIQAEFTRARIRRKCSTVEALKAAVDAQLGPPHPRWIRVNTLKSTVDEQLDTTFKG FEMVATVEEVMASASTGKRLICLDATIPNLIAASPGIDFTKTEAYKAGAIILQDKASC FPAYLLDPRPEHGDIIDACSAPGNKTTHLAGILHERGFAEGQRILAFEKDKQRAKTLE KMVRTAGSDKVTVIRPGADFLKTDPNAPEFRSVGALLLDPSCSGSGIVGRDDTPEFHL PSPGGSRSPASVNDANPKNLKRKRESPPTQTVLVDDDGKETIVSSEQALQTRLEALAS FQLKILLHAFAFPAATRVTYSTCSTHAAENERVVAAALRSDVARQRGWRLLRRDEQPR GLREWPVRGDPAALPPDEDENENGAGAGAGAGYGGSREALAEACVRADREDGRGVMGF FVAGFVRDAKKGGEDDDDDDDDDDEGPYVRDAQGRIVRDENGIPTIKKTGKKAIEVVE EIKGPGVEIRFGEGEPEDGDGPFERDADGRIIRDADGMPTLKGGRRDEEDEEEGDNEW GGFED MYCTH_2315189 MLFATVLATLAATAAAAKDKRTFAVLHHYGKGPLTTCRADPIVS PGGPSSHVHTVMGASNFGLNVTGELLRQSECTTALPKADLSAYWFPTLYFQDPVTNLL EPVDMFYMNVYYFFEPTNDDIKAFPLGLKMVSGDPMLRTAPSTSGANQLDPSKGPIQP VQITCPRTSYNPPSYPPDSDGSRAGIVDPNNQGAGIGFPFQDCDGYASPMRVDVHFPS CYNPEAGVEDYKNNMQFPTDAGNGKQDCPPGWIHTPHIFYETYWDTHKLLPRFQDLLG KKSPFVFSNGDVTGFSAHADFISGWDEEELQHIIDTCDAGHAGIEKCPGLKYGVNDPS TSCNIKCPIDEVVDGKLEKLPGNNPLTGWGLGGGDQSSASPSTPSSSSSDSAPNSASS SSSTRAAVIKVPSPTTLIAVPTSTRAEQQPAAKVEEEEEEPTQAPPSPTSKGVRTATV YDTVTVWETKTIYERDVQSPAPTQDAAEQPGSSDALHHRHARHHLHHARIPSRRHRK MYCTH_51006 MVDPSLYQGNAPELETMQDDDEDEVASNISADSDALELRAEVER FDLQQEAFVARQRAEAAGLPYQPPPPRKAGGRKPKPRRTTGPRKAAKLPPDIQFRMSL ANEAFQQKDYDKTIAHLSEVIRINSEVFNAWMLLSTVHETLGNREQAIWCRISAAHLT PRDVAQWISTAEYALECLEELEDGTPEKDEVLARAYACYTQALETDRSNITARTGRAD VIMMQGNSSKALAEYQKALSYRPWNIRTVRNIADVALDVKDRRKGADIARGAYRRLID HLQAEGTFEAEEGWFEWSDLRIYLEFFTILEQWQEGVRELKEISRWLLGRKTETYWDR WLDDDREWDIQDDRRIEVPEFEPARFPPQSYGQGLPVDLRAKLYVYRSKLGSEYEANL HLQLLDPTRQEDFMDFPDCLKDIAVTLLDRDRPDEAIRYLDLYRHIAMTTGDITLDAD FSVCQGRYHMARGEKAAAEECFIAAIEEDEDHIEARVQLANMYEGEEMQEGREEAFLL VREAMNLEARGGDGTGRRRGPYGPRKPREGKPRPRRPRDPNKKSNYVPRRLINAEKRR QQELEMTAEAAKNFQRLREVQDRALAGDEQAKAEWMKAAKHLIDDFRSYKQFYPWEKY IKFLGYGANVQGQAAVPARNMKLAAMEERLRQNLAPAEGQENAVRVPVKFPSEHRGID FDTWLDLFLNYAFALVRAGQHREAYVVCHAARDSIVWTSAESTFLIHVAWASCAVYAG DEETCVAIARYFMRDYMPGTDSYRMFSAMCRVCQTPVSWYTSGPAQKFILRQIKTMDN IVMRRENNTAITGTDAPATSADPNSKSAPDHDIGLDVALLTIYGHILFTTTSYTYALS YFARAASLDPTNPLINLSTGLAYVHYALKRQATNRQYLLTQGFAFLFRYYEDRLRNAG GNVAQRQEAHFNIARAYSLVGLASLAVEYYKRVLAEGRADGGGVMGDEDLRVEAAYNV RTLCYLLGDVEGARGVAEEWLILE MYCTH_2315190 MSNIMSNRNSTPEASSVSSLRPPSSRAVGANNHALRASADMAAL GGQAAAGRIRPSSDFYGQVQSGQGQGNSDMDAQDKLAQQWIADIDQYETTLEEMAAAT LDQDFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMGKNHPMSG VLSPANFDKDPMSNRLSDAMNKLSVDSARNSMARPSTGANVKRQSGLDSSTINAMFPD AAAAIATEKAKFAQQTGNPPTSTRNSLVDNRNSLVAPTISAPKDDSNGQNPASPWGPN DPNRPKSSSGQAPMGQFVQPPPSTGALRSPRPQISSNTNIQSTTLTTGEQQLADLPLL SPYGASGNWASMVNTPMVANFNQSQSNNNADMVANATAMKLAALSTVNNRFALDDVRK YRRARSNDAAGQSQNPLSPGVPPIPGAIMVSEHGVGREQMVNLQQPQNLSFAAHRSRP NSPGIAVQNYGPALAFTSPQNNGFLSAYDGASSLMNNGLAPMNLGAFPMGLGGHHEGY HSDHSEMVRGRSPRGRRGTSKPPEDPTDPTLLQDIPSWLRSLRLHKYTENLKDMKWTE LIELDDKQLEERGVNALGARRKMLKVFEQVKEARKEGKLG MYCTH_2304441 MAKSKNSSQHNQSRKAHRNGIKKPKTQRYPSLKGTDPKFRRNHR HALHGTAKAIKEFKEGKRETC MYCTH_2304442 MAVQSKPAPIGPWGKATAGAAGAVLANALVYPLDLVKTKLQVQV KPTNAEGSDSKSAETHYKGTWDAISKIASAEGVTGLYAGMGGSLIGVASTNFAYFYWY SVVRTLYFKYAKATGQPSTVVELSLGAVAGALAQLFTIPVAVITTRQQTQSKEERKGI IDTAREVIEGEDGISGLWRGLKASLVLVVNPSITYGAYERLKDVLFPGKKNLSPWEAF ALGAMSKALATIVTQPLIVAKVGLQSKPPPARQGKPFKSFVEVMQFIIANEGPLSLFK GIGPQILKGLLVQGILMMTKERVELMFILFVRYLQVMRSRRPGKAVDLAAAAKLVAPV TVK MYCTH_2304446 MEAQALEHERRLATAHGQEALKHAIAAAELYMQAAGKASYPADR KRFRRKCAELIALGERLKANTQQVAGDTSRAPVPESTRPLTTAEKTILLRASRLHGNV FPPWESAPGPDVFSDRDTPVFTDPSPFTLSAEQLAIFAGWRRPAELMESQDGGGVDAD LEQLMSAKAETDLAQDLATDCSVVAGLCAAARHLGPTKDSLLGSLMYPFDHDKLRPAL SKNGKYVFRMYFNGTWRHVVIDDRLPASKTNRTLYVVDRRNPRLIWPALVEKAYLKIR GGYDFPGSNSATDLHALTGWVPEQIFLQSDEIELDEIWNRIERAYDEGNAILTLGTGN MLPEEEQALGLVREHDYAVMDLKKEGNSRLLLIKNPWVDSLVWTGVGSSATLKTHTVG STTGDASNQFWMAFEDVLQHFDSLYVNWNPAMFAHRQDHHFKWDMCDKTEELVFTHNP QFSVLSPSGSPVWVLLSRHWQDGELEILRQRKAERDRGDDSLATVSKQLGFMALALYA TSPPGTRVPLPDPSRRLHQTPYVDSPNTLLRYNPTPGTPQTLVITQTELPLPSYSFTV SFFSADPLSIAPASHPLPYNTSLTGAWTRRSAGGSASHPTYFTNPQYSLTLPTPSALT LVLSTSARDLPVHIAVLYTSPSTTATPVPQRITTLSGRDIQAASAEYQLGHACASHQR LDAGTYTVVLSTYEPGQLAPYTLRISTSIPLNEARAGAGAGAGAKAGSIIPVPAAAVA GRLRTPLPPVVPAPRRGRASSRLRAPVEVTRLTRLSAVATSTPTPTPTPAPTSYSSSS SSTPSSRSTSSGPVRGGGAVRVSLEVGRAGPRRSVLAVSREGEFADAGMGLRTGEVDV DAEAVRAGGGLWVVLEQIGGDAGGGVEVEVFSDAAVRVGGWEVADEDC MYCTH_2061162 MQPRLPSIQSQLSSPPTRPGDPYLDPHSPSRPPAPPASSSYYYR QANGLQRPRDYANTSPEAAADSHQSGAPPTTSGLDRMSIDNMAIQTVGSYVCKVPGCT AQPFQTQYLLNSHANVHSSARPHYCPVPGCPRGEGGKGFKRKNEMIRHGLVHESPGYV CPFCPDRDHKYPRPDNLQRHVRVHHVDKDKDDPLLRDVLAQRPNGPSRGRRRRGAPS MYCTH_2126809 MGDRELAWIVVSGANSGSRIRDGHPTGVPRNFSASTLHPVGDGY LQILPSPFLHFHSVPPTVRYGAFEPHSCDGNPATHPPPLTVPDESANYHPPLNQPVTG LPSVPLIPSTAQRNLEPVLSDPPPCYAALAPSVLSSAAALQPSSAAPCPPLLPSQPRF TWELLLRPDSLAPPR MYCTH_87980 MAGVLRDKPRFSRGLELLPLATTRVGLSESHRTVLLALAHCDPS TGLGSLAGCETLSPLRLSLPKPHTFALLVLGV MYCTH_2304452 MTSDTVFRELHVYRGHQPSPTGFIMGHEFVGTVVQAGDQVKSVS LGDTVVAPFTVSCMNCFYCTHGASSRCTNSLLFGCEALDGGQAEYVRVPHADGTVVKA PAELAAAPKGKGGGDDKDEDEDGNEDGNEEDGRRRALVLMADIFPTGFFGAKNAFGLL REQGQPAGEAVVVVVGCGPVGLCAIVSALERGPRRLFAVDSVPSRLERARALGAEPLN FLGEGGVEAVLRTVRAATDGRGADAVIEVVGLSPALRTAFDLVRPFGVISSIGVHNAE VG MYCTH_2304457 MASTDHLEALTKVVLNNLRYQHDWAQVQAHTQPNLPRPLLYGLP PKRLYVHPDEQIDIIKAEKERGERIPQEPEVEWVLPLHLSEKWSPAQFAALFDAIDAL PPGAADLAVPSGEPGREEQWRLWRGPKRGKRILLATVQDDSTVTYYWIFDGLVKPRQN MYCTH_2304458 MAGGSEPFPPLSAAADRQFQSTATDNSAPRRRRKSSILGSELRV GDTGAPSIATGIAQLSGPAKESAASPATTTKRFSKRRKARSALRRAKSIAVKHTWVLP LVILLVFLFLYAINPTEANPIHHFIFLSYKLPTSSPDEPPQYGKGPWDIALVSFYTVV LSFTREFIMQEILRPMARWAGLRSRGKQARFMEQMYTALYFGLLGPTGMYVMSRTPVW YFDTRGMYENFPHKTHDAIFKFYYLFQAAYWAQQAIVLLLGMEKPRKDFKELVGHHIV SLVLIALSYRFHFTYMGLAVYITHDISDFFLATSKILNYLDHWLTGPYYFMFMCVWIY LRHYLNLRILFSLFTEFKTVGPYELNWETQQYKCTISFVITLALLSALQALNLFWLFF IFRIAYRFVVHNIAKDDRSDAEESDVDEAPAPLAANGSANGDVKSSAAAAAAAPTANG AAKKRK MYCTH_107580 MDSLIEHGGSEQGSSTALLVLQKAQLLYPVILLLAFTLSAGVHT VITSKSEEQLAAPTVTGPGGKPLPITKRKREQEALQEADDVDGAAGLARPVFLYLTAA VVTSFVANGAAVAIHAMGSTRDGGLDNAWWCGEERIVYIVGSAFLYLYVLITLFEWRK GPIVVHAIFWVLGLIGEIVILLASVLALTDSGYLRYLTAPPDNGLGFWDLVDVGIAGV RICLLVSLIGLYAAVARKRVETRRLHDEEAQRSVVDVDEASPLLDSNGDYRDHSNGHA RRGIHYGTTGRNTRRNLDAPGEQGCQQQQDEGAAFYRPDKLPHKTWFEYCRGYSIFFP YLWPSKSAKLQGVVLLCFILVILQRVVNILVPAQLGVLTDTFKKQGIDKVWLELGLLI LYKLLQGPSGLLGSIRSILWIPVSQHTYRALTTAAFEHVHSLSLDFHLGKRTGEVLSA LNKGASINQFLEQVTFQVVPMLVDLLVAIIYFYIRFGSIYALFVSIITFYYLYLTIRM AATRADQRREMVNADREEEAVKNDSIMSYETVKYFNAERREFDRYGEAIKKFQEAEAK VTYGISHMNICQSVVFMCGLLVALLTCGYQVSRGDRTVGDFVLLVTYLNQLQGPLNFF GTFYRTVQSAMISGERLLELFKIQPTVVDKLGVGPLRECTGHIKWNNVGFSYDKRRPA LRDLSFECKPGTTTAFVGESGGGKSTVFRLMFRYYNCHEGSIEVDGHDVKDVTIDSVR RFIGVVPQDTILFNETLMYNLKYANPNATDEEVFDACRAAAIHDRIMSFPDGYLTKVG ERGLRLSGGEKQRVAIARTILKNPRIIMLDEATSALDGETEQKIQSKLISGKFGQDRT LLIIAHRLSTITHADQIIVLHAGTVVERGTHQELLALNGRYAAMWEKHCRAEKAAEHA RDATRKAKKLLSQANLGDDGYNSMLSSTVLPTAPQSPSANAADAHETASISSNTSNTS SSGSDGTLQDDGADAHDGQPDKPKDEARPLLYSFPSESSSAGRSTDTSRFP MYCTH_2304462 MSSQNPSTPVKVPSSAANYTAATQDQDLRSQINALLIKEGHVSK IQESLLHALHAHQSNWPSVIQAHALSLLRSGEVTSFPALMRRVLEDVRQDTALGPSAN NTNGNSRPATNGATEENGTPLANGKKADSNGSNGAAARQSLAVPQTVVDEALRITREC LDLVCEIDETGAT MYCTH_2304464 MSPEPTPDHPAHKKKVNLTDASGAERKEEDDTATAILKKKKKPN QLMVTDAVNDDNSIIALSNNTMEALQLFRGDTVLVRGKKRKDTVLIVLADDDLDDGSA RINRVVRHNLRVKHGDIITIHPCPDIKYAKRIAVLPIADTVEGLTGSLFDVFLAPYFR EAYRPVRQGDLFIVRGGMRQVEFKVVEVDPPEYGIVAQDTVIHCEGEPIQRDEEENNL NEVGYDDIGGCRKQMAQIREMVELPLRHPQLFKSIGIKPPRGVLLYGPPGTGKTLMAR AVANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKRE KTNGEVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIP DPTGRLEILQIHTKNMKLADDVDLEQIAAETHGYVGSDLAALCSEAAMQQIREKMDLI DLDEDTIDAEVLDSLGVTMENFRFALGVSNPSALREVAVVEVPNVRWEDIGGLESVKQ ELKENVQYPVDHPEKFLKFGLSPSRGVLFYGPPGTGKTMLAKAVANECAANFISVKGP ELLSMWFGESESNIRDIFDKARAAAPCVVFLDELDSIAKARGGSVGDAGGASDRVVNQ LLTEMDGMTSKKNVFVIGATNRPEQLDPALCRPGRLDSLIYVPLPDEAGRLSILKAQL RKTPVAADVDLAYIASKTHGFSGADLGFITQRAVKLAIKESISLEIQRNKEREAAGED VDMEDEEDPVPELTKRHFEEAMRDARRSVTDVEIRRYEAFAQQMKNAGPGAYFKFPEG GIEGGNAGSSGAGNSFGDAGNDDGLYD MYCTH_2139072 MAQRRRRSGGPGTGIVGEPQAPLALFHDWASSDDRRAFHFFQHI TAPCLSGSLDGPFWRVLVPQICQSEPAVRHAVFAVSRLHEGMVQATMTPYRNTEDRNS FALNQYNRAIACLLDQMRTINARPLVPLLTCVLFVCIELMQSKDRESLLHLEQGRQIL SQLGRKGPSDSPEIDLIKQHLVPMYTRLSLTSLMFGGEPVEVPAPLKTLTEVPMMFET VDEVRYALYDFMDECLRLAKRTRVAKLHDVPPEQMRAFEQEQDPLLRKLANSIPVGSI SLIQIHVHTTFIWISTALSQHETVFDDYVDTFSAIIPLATEVISSLSTPIPEPPAAET NRLATLFTFEMYIIAPLYFVAAKCRHPLIRRAALGLLRRHPMRRENLWRANVMAAIAE RTMRLEEKHLRADSQPHSRQPSPPELQGLFPSLSVLGHEPPPAVTSSCSSSVAGSAEM GSMSDMAGHMPIDPPLFLDPIEVSTAPTFSVPPSTASSLDDSALPPASTAYTGPSASQ SRHTSRSRGSPSVASGGSTPPHSTGMRRTTVPAAGYGGLLSQFQQYQQQHHHRRHHHH HQQQQYLGGGLPMSVGQLSPKTGKRSGDAPYDVPERYRVHQSIIGPDKEDGTSWVMLF RKLGGLHAEWDVLIERQRRRSRASPQGWGPRPVSGQGHRLPARQASARRLLAALRLPL VVQVGGEWDVQVLPDPQRELYHAWGLGLSSTWYAMNPMALWRTWKLGTEEGIWNRNAQ GGSKWQIGGAFGVDREGIVRWSKPAASADEIPDFKEALKVLTGN MYCTH_2304475 MQVQASKDHSNSIHESNKEGVKAYAKIAALDWTYYITRLTVNIG RSSEPAQARADQNPDDPDAIHIDLGPSKLVSRLHAIVYFNRDEEKWWLLVKGRNSLKV DGALWKAGQAGPLRSGEVIEIGGVEMMFVLPIDLSPLQIAQQYLDRAGIIKPEPSASA APARPARHPLPSGDGTHSSSPSKSSRGHSAQKPLAPAPPDYKRPGTPPSARARSGATA KTPLVDHGSGPLMMSNSDVDLSLDENRHIKPQFSYAQMITQAIMNTPEQKLNLAGIYH YIQSRYAYYRHQPATGWQNSIRHNLSLNKAFEKIARSTDEPGKGMKWQLVPEAREEML RTAWRGGRGGHRGSSNPSSPSQLSYITSGPRDMASKDPASARKRKVSPSGSPQPRSSL RDSHVTPVRPIRKPLPDEAGTGTDDSPLPRARKANTSSALGLVENAPGSPTLTSSYLQ DDGASFVTPAPHRVHPKLAPPSTAQRPSQHMPTSSPAPFWKYADIGSTPLKPVHAPFD LSPSKTPGGLPAASSSPPRSKSPVPPSPTRPSSRAPVDEPPSPAGDDDEGGFDLTKGF QSIGSYHAPVGHGKQVQPASNGDLSAGGNS MYCTH_2304479 MADTPSSNAAAPTNNPEFEGPAAQDASAENPEQTQPAEDGAEQV DDAPFLVKIVLPHDTKPLELPVSPLEQIHEIRQSVIEHPVAVQYSCFHLEHNGQRIND FVQVADVEGLAPGSELHVVEDPYTEKEARIHFIRIRELIGAAGDRTDTAQGILAGASI HDDVVAAAAEEAEKEVQPYDFNSTPELSVLLPKETPPAPKTVKLLTLSPWNPPPAQWR QKGHLLYLAITTNEGEQFHVTGHVGGFFVNNSNKDKFNPTPRTDAKGASAHSLFTLLG KISPSFTKSFAEFQQFTSTKEPLSTFQIGNTVPSAPWIVPPATSPLCAHISDPTRSQE TFLLGGAENTDSLRDWNEEFQSAKELPKDTIQDRVFRERLLAKLYADYNDAAARGAVL VARGEVAPLNPTEGRDAQIFVYNNVFFSFGADGVGTFTSEGGDEAARVATGKDVHGVK LVNQLDIDGLYTPGTVVVDYMGKRIVGQSIVPGIFKQPEPGENQIHYGAVDGKDVVAA DESFAPSFAKLAQALRVRKHAVWDKDNKRFDLEASVEMKGLLGTDGRKYVLDLYRITP LDIAWLEDSSPEGAEYPHRMTVLRPELVEALGKQKAREFVAAELQKRAALKKQDATAQ AKEEKPEGEAAEKAEDKKEEGEKTDEEKKDEEKKEEEEQAKPETDRIDMSNFKFALNP DVFSGQNPQTEEEKEQMAKDEQEVRDACAFLRDSVIPALIRDLNESDISFPMDGRSLT SLLHRRGINLRYLGKLASLSDSTRLECFREVCVREMMARSFKHVAAKYLKTLPLPLTS SCFSHLLNCLLGTELNPNPVADIDESYRHLFDEADLAFEKVTPESLREQIQKETARRF RFVLPENWWSQIRHVQLLREIALKLGLQIQLKKFRFTQSAEPEPAPQTNGQAQAEPAP KKKNKKKSHDGSPASAQSPVVAPHTFSPEDFVNVVPIIKDSCPRSALAEEALEAGRLS IYQNQRKLGEDLLLESLSLHEQIYGLVHPEVAQMYHTLSQLYFQLDQKDAAVELAKKA AIVAERTVGLDSAETVLNYLNLSLFLHQRGDSKLALGYAKHALDIWKIIYGPDHPDTI TTINNYAVMLQSLKAYHESRRWFEESLRVCDKVFGRQSVNSATLLFQLAQALALDQDS KAAVDRMRESYTIFRAALGPDDKNTKEAEHWLEQLTHNAVSIAKQAKDLQARRARAGY RFASRGVSVGAGATGATGAAATELAGKPTPPPSMDSRTIDELVRYIEGTDKKKKPTGG AGGSAGGKKRAGRSNPKRRGGAAGGSA MYCTH_2304481 MEDQQSIYPASPKHRNTTKQNTSTIASEHTQPWTATRCHRLLRP LLTHIAALRKVKERRDLVCNSAGSGQNVKPQRPVLGKRSYPGSDSDYSDKKKKTCRKY SRRATRRTATSNQSCTPQRNVQRQRRQPSNKGSHDVILPTPFLRRVRNHQLSSPIRAP YDALEGEEPALASSRCTHPGSGCKTKCAFEMSLAALRSTIDAERHSLFESIFKAFDAL LRVTSPRKNQAAHPKSLMAMCLRKVPAYIAGLEEWERQESEENGTRLAVQGAGVSFEI YSELESLGAVDGWSSLCLLVRAHAVQIIQDAAGEGLLEDSVTGLLIRVCLEYMPPTEF DGLIRAFVVRPYPKPSTPDDDLFTSPAHQPLRVLESCDPSGTSILPRVLAELLAGGLL PADWILNRSFIALWPSAVRHVTHMKPCQDILDFAITTLELLCELAAPRRPRGVPQTRL RGKPQTTLISALAALGSVVLLSEEGLNQTFGASSDLPVTCIATLRRRMSYITTACSAR LKPRKTPTRKLGTYLLALCCLLSLPEPTSSCPAAEGSLEISTAVVATAWKDSQTCHRN GGNNKSKNNAALMLQYDATIALISAMAHHCGRGSAGLSSSPHAYLDRFCGRLSALLSQ QQQQQQHQASATAAKAAVSGMRVDGAFRLAELTGDLRDLEVAEGLLRERQQEQEEEQQ GTITDACATPGRRGATTGKGKGGKVASFLGVRWDEGISEWVAATPGTEPRRQVLRGKS GRRLRSQGLSVGGDSEDEEDATTDHDGSEADAETEGIDDDDYPEPPPPLSTGGDGDND DEKNDDESGDESESGSETADEDDALSPNTEASPSASVSEPKEPRPLSDETSASTDPHP AGGSLLAVRPRRLPRPITRGGDELGFDTSTTRREEAQNWLRSKKPARFRPSPVSDAAT KKRAARASMVYLQPSRLAPTGTAKRRRTLSGAFVGYDAAGDSDDELSLL MYCTH_2315197 MLSHYLLLVLGCIGVALLAWRVTQLLVRYVRTVTCLHNDTQRYF AEASGKYSWFKKNVQYAPIFSKRHNREFQLSSALNVGTLPTRMQLVFLVGYFATNVAF CVMTIDFSGSLGSVASLVRNRTGYLSVVNMIPLFLMAGRNNPLITLLGISFDTFNLLH RWLGRIVMLEAVAHTLAFLISNASRSSWAGAFDTTFKVPFLMWGFIATAAFVAICIQA SSIFRHAYYETFKLAHIALAILSIIGLWYHLDLKKLPQLKYLYAVVVLWVFDRAARLI RLAYRNVGAGGTKTLVEALPGGACRVTVTMARPWDFKPGQHAYLYMPTIGYWQSHPFT VAWSDESDHHSAEEKLPMRRQDILGNKKTTFSFIVRGRTGFTGALYSRAAAHPDGKMV TRCLVEGPYRGSSRLHSYGTVMLFAGGVGITQAVPHVRDLVAGYSNGTVATRKVIMVW TIQSPEHLEWIRPWMTEVLAMEKRREVLRILLFVSRPRSTKEIHSPSATVQMFPGRPN IDTLLGHEMENQIGTMAVSVCGPGALSDEVRRAVRSRQYQGNVDFVEEAFSW MYCTH_2304487 MGLAYNTYLNSNRVYGCKGCKTHLANHDDIISRNFRGQHGKAYL FNSVVNVEAGEPSERNMTTGRHVVRDITCRTCNEVVGWKYDKAYESSEKYKEGKFILE AELLCTVS MYCTH_2304489 MATAVTPPRALIPFLVAMMLFTGVCNTLLTKYQDNQCVRDCDNP DPKKRQHFEQPVIQTLQMFVGEMGCWLVVGLISLYRRYVGGTTSPSSASYQPIATVDN ENNDADADADADDGASIHSTTALNPNRSSSGGNNNNNSNSNSSSSNINDCGVPKPHDC PSVLRGWRVTLLALPAICDILGTTLMNAGLLLVAASIYQMTRGALVLFVGLFSVVFLR RHLHLFQWLSLVGVMLGVGVVGLAGAIQPDPKHHHAVEPQGFSADALRVILGVLMIAG AQIFTATQFVLEEWILERSAIEPLRVVGWEGIFGFSVTLLGMVVLHAVVGRTEAGRNG PFDMVEGWRQFWEYRPVFVSSVLIMISIGGFNFFGLSVTRSVSATARSTIDTCRTLFI WMVSLGLGWETFKWLQVVGFALLVYSTFLFNGVVQPPFEFLRVREVEELLPEEPIEHN MYCTH_2304491 MADHASGPEQGRTRAGSNASSTTPRLSQELVDSNAPEGLTAATS HVPSSVFSSYTPLDGKASPPPGTTPGGGVSSAGHGEKHLESLGIQEPRGASDPEASPV RSSEEATLTRPARRENESTEPFENGYHFPPRYSWRETLQQGLGDFWAFFTTPMGCFWT VYGLNVVAWGGMIFLLLCNAAPAMCRPTCDDIDSPRRKWIEWDAQILTALFCVTAFGL APWRFRDLYFLLRYRVLRKHEGLRRLAGIHNGWFRLPGTQALPADVGPDNVPADVPRS CVPIPQRSMPRAPLTGTRAAATALWKLDFVIWAMVGNTFAQCGLCGIMWGMNRYDRPS WATGFLVAIACLVGMAGGLVMFLEGRRVKSIEGVPLTERDRERLARDEELGIPHYNNL KDKKPKEKRKGGNGKKY MYCTH_2304495 MGSVMLPHLPSGWHVDQAILSEEERVVVIRFGRDHDPDCMRQDE TLFKIAERVKNFAVIYLCDIDQVPDFNAMYELYDPCSIMFFFRNKHIMCDFGTGNNNK LNWVLEDKQELIDIIETVYRGAKKGRGLVVSPKDYSTRHKY MYCTH_2304497 MAILDSRDLVDTAAAVSALVDVLYAQPTAPPSLYVDLEGINLSR YGSISILQIYVRPLDQAYLVDIHTLGRTAFCTPGGAYTASAGRTLRDLLEDEAVAKVF FDVRNDSDALYSHFGVRLEGVVDLQLMELATRPAPAVRPRNVFVSGLAKCIERDGVLA PAERVAWAAAKDRGRRLFAPELGGTYSVFNQRPLTEEVWLYSVQDVRFLPRLWALYDA RLRRKPGWEHKVRDAARDRVAQSQTPSFDGKGRHMALPPDGWF MYCTH_2304498 MEPHQLLAAVTTTAPLPTGTPVVGRSCGGVNLPMGGVISMPNGE LVTLTAAAAFRPVCTPVSPPAIIANGGGGSVPIDDSADGSMPGEDGWTFSDWQDPFYA STFPQCYALAATTVVAYTLVIMLFVTPRSFLDGGVVVLGGRGFTNGGTGPTIGGRPWL QKVAALAVAVSLTIASAATFQAAEEQYSFGVQNAKKLQMEVLGGTELKIIRIISNTFL WLAQAQTLIRLFPRQREKVIIKWTAFALITLDVIFQSLNSFKYEQGVARPGSFQHPVP ALSYLFALSLGVLYAAWVIYYSLLKKRYAYWHPQMKNMVLVAALSLMAILIPIVFFIL DIVQPDFTGWGEYVRWVGAAAASVIVWEWVERIEALEREEKKDGILGREVFDGDEMLE DARSSHRLRRRRKELDDEDKGPGFDGGPDESPNTGGGNRWPTVAAIASRYKPRPRARS AAQPAEPPTTQPSNHDRIRFLQPPLWPARPPQAVTPVSRTDTSSAASTVYAVRYHPLT ETTSRTPPPPQGHAPESRSSSRASSHPRDDGAASEGGGDTAAQPTQNPATPANDPSSS SSLPSSNRWHSPRQKIPLQKARPADVETAVPAATAKEHGSRRERRGGGSSSNNNNNKW DIRARLEEFAATQADRLRERFRTTPDTDSLPVRVIPAPPRRGAALAQLLEEEEEAEAR GRSAGDEPPASPAAAAPAARQPPLSPGYSPSPVSPGSATTPSLGRPSYGFPPS MYCTH_115754 MPATKYNPYIGKEESPSHAGDNSTALRTVTDDLQESAGMFFFRA TLRCVVSTVCMYIQQIHRTGTFGSAACMVLIYLCGRIVTSFGIAW MYCTH_101679 MSRPHLIRADTIDLQAHEAPSAQSHHRPTADGSLAPHQAETLRE VAAEVAEENLRTPGVSWNPGPNDIQDLASALDRANSGQRNGSADTMRATAHQDALAIA QNGGLSGQDVDDGDLNGDAEVDLDDDLMDKISSSPSIEDGGSTFALPTLSPGIHGPLP PPSPTWRGPSPLLSVCDTRSSSPYLDCPDHLPLRREGQGLRQRAVALSPSVASTCRHH HHHHCHCQGHDHCRHHQFPDGEFEVDTAAQDGASSDSLSVPDDLHFDESLPWADEEED DYAAADDRWNKEEGTAGGEGSVGGRPDTANRETQNANALHDLIAPYEPDDNDDDDDLG NSFGIPESRFIDSGWGGECLQDTEDIDFDFVYALHTFVATVEGQANATKGDTMVLLDD SNSYWWLLSASMLGDQPDKTRNPIRSAMKRRKTKNVQFAAPTYVDYSDVDYSSEEEGA DPEASPQQQQQKQQSEQSQQSQQSQQSQQASGDGEMEDETAKVEPLKPKSQQKQSKTD PKRQESGGDTDTSSANKAAVRAAEETSEAKTDGPKKTRDGTVRDSFFKDDTVETKKIT LTPNLLRDDNEPRDSSEFREVRQRPSLDKLEKDGVFGKDDKKKRKEKDKDKKSGGLRG FFSRKDKKTKGDEDDDSYGKRSLDADAPERDVEEDEPQASSEKSGPQRQHSKLQKQPR GEPSPTRRSNSVREREHGMDVKSFLSEGRINNVANVPPATMRLVESSPKASPQEKPRE QRSGRESSPVKSASSKTARPEARPARTTVAKPHVDLDDSDMDDEDTAPGPTRQAPLVS EEAAQQEPQPDASQANTAPASQPTSAPAAAPAVSSDPRRTAERLSESPVQVSPVTSGN PPPLVGDSASQGEDHVSPASSPSPELVEHEEAAERSAQKTSTTPSTNRSESWDDARLR AFFDSGTDVRDLLLVVYDKNNVDDVGLDHPIAGSLFREQNAKLAEITTRLDDMLGDWL ARKQRLRGSV MYCTH_2134135 MASLIDFTSGNWSYYTIPAAFFLCMLPNAYATKLAGRNYDIANP RKTEELCAKDTSLDKVTLQRISRARAATANGFETLGLYAAAVVAGNVAGLPTERMNKL TLAYLGSRAAYNYVYVVLQDNARMAGLRSLAWLVGIVIIMTLFVSAGMTLN MYCTH_2304508 MTSSLEESQDRARPATDEHHASPPSTPESNSKRREAGSQDGPEQ QNQDGLNEHSPLLSPTSYSGEDNGLEDGRPLAGGADEKQANMSVWYLILLTIGIGGLQ IAWSVEMSNGSPYLLSLGLSKSLMALVWIAGPLSGTLVQPYVGMLSDNCRIRWGKRKP FMIGGALATIVSLMFLAWTKEIVGGFLGLFGAAPDSEGVKASIIVVAVLWVYVLDFAI NTVQAAIRAFIVDCAPTHQQESANAMASRFVGLGNIVGYLAGYMDLPSITWFFGDTQF KDLCAIASIALAVTVALSCITIHERDPRLEGPPSKNKPGILAFFRKIFTSIRRLPPQT KRVCQVQFCAWIGFFPMLFYTSAYIGEIYAEPYLEANPHMTPEELDKLYEEATRQGTF ALLIFAIMGLATNVFLPFFIAPTYEIQRNVTAVAPGEAPRAIKGYDEKKSWLEYLIIP GFTLRRAWMLAQLLFTASMLCTVFVRSVSAATFLIGLVGITWALTLWAPWAIISAEIS RRDEIRRAQYAQRNLWPDGRAGVASLDGYSSDEDRERSLTNADEDQDQDDADQAGVIL GIHNMAIAAPQIIATVSSSIIFRFFQKPRGVPGDHSIAIVLALGGITVLISAFFIHQI KDDVGTPVDAMSAVEDGDAASSRPSTSHSRPRSFEQLPRASLERAALVRNKSFSGVEY MYCTH_2304509 MLGQTIASALMGLAKREEEDPAGEDAPKQENNASWAIFILLMLL IVAFCTSYTMQQRKITAIHETVISIFGGMTVGLILRVSGFDSIRDLVNFNYQYFFNLL LPPIILSSGYELHQANFFRNIGTILTFAFAGTFISAVGIGVLLWLYTAISLEGLDVSF IDAISVGATLSATDPVTILAIFNTFKVDPKLYTIIFGESILNDAVAIVIFESAQSAAT KGGGIGIWSALHGIWYFLSEFFGSLSIGALVGVLTALGLKYTYVRRYPNIESSLVVLI AYATYFFAQAIGMSGIVALLFCGITLKHYAYFNMSRRTQLTTKYFFQVTAQLSENFIF IYLGLALFTEKNLVYQPLLIIVTVLAVCAARWLAVFPLSRAINWFIRYRARRQGREVA DELPYSYQAMLFWAGLRGAVGVALSALFTAKETQALQATVLVVVVLTVIIFGGTTARM LEILGIRTGVTEDVDSDDEFDIEAIGGGLYKRSDDSAIGYNARSRNRGSTVPLSTVHA DTGRNGRAGGSSASGDRGAGGWASGHRSPNTAPVARQAGSSRSRNKGQKGNGDDYERS ELLGTPSSGRDTDSEFGSDIDISDLPPPAPAPAPRARSPMLAPPGGAHHEGGPGSNSS SNSSSSSSSTRQSGPGEGGSSQQQTPLTATAAIRQLFRTEDPTALLRQLDEDFIKPHL LLDGAAGRGGGNGSH MYCTH_101673 MFGKVAQGLGCPALLLCQRSSPDLIIFILPFSFCSSTGAGLPRS FLVFSSPSTVTVFYFQPVLLTFIGEEGDRGDGEGRGRFRFGDDDNNKNRGGRDDDNDG TQRGSGGFFGGGRKSNNEDGNSRGGFGNGSGNGRGSKSRNRFGNEVGSDRGDGDGKDN GRGNGNDNRSRFGNGRGGGRGFGFGNPFGEGGGGNNREGGLGRNRNGDGGRDGDTGLT TSSDPETPTSSSSEPTEPPSEESTTAPQPITTTTTDPVPSSEVPSAATTTTAAAAAAE VPIESNPGVVLLQPAITGIPTLEANPTVTQFIPLVSSSDAASSRGTPGLGESSDSDVA PSDGPGPSPSPVPTFPSTGGPMDGNGQTSGSGGDGGAGSRLEVAQAGMNPTAERILIS AGSIGTTRRGGHEKGDGGGLPMRAASKMSFFRRRGWHNLDQSEIRQSAPPSQHEKAGS ASYPSVGSLYSPEKPLAQQPPPRQQQWPQSETNEGVTPPGRDPTYPGIVYHQPPPNTA PGRPQITLMTNIPRAYTHQPQGSFSSTAAAQFGAIVGPAGTASSSPIHSGASPASYYS QPLLSQQYNNALYKPPFPQLSRTLSEASSLSSGFGDGDIIVTDPLITVPVPTAQQQQQ QQQQQQQQPRYTTRFSWMTTTTARQSAAAAAAAGQSAAAPLARNDSSASSAAGGRRET VCTETSEDQPARFRSVASWVDQQKGRIRRAQQRADGGGPQAAAVAVATTMIPGDPGIP GVHNPPREQSFDLMMDDGQPPRPVDEVVRSRAGDGGRRKGG MYCTH_2304512 MASTSRALSKLAVPQTGAVMLQPSSSAPRLLLQTSRRTVGARPV FGSSQGPALRQFRRGVTSDAAPVPPPKKRRFRKLRWAWRFTYLSAIASIAYIGYGVYQ DRHPEPQVEPDPSKKTLVILGTGWGSVSLLKRLDTENYNVIVISPRNYFLFTPLLPSC TTGTIEHRSIMEPIRTILRQKKASVRFYEAEASSIDPDRKVVRLIDTSEIRGDTTETE VPYDMLVVGVGAENATFGIPGVREHSCFLKEIGDAQKIRKRIMDCVETAAFKDQSPEE IDRLLHMVVVGGGPTGVEFAGELQDFFEEDIKKLVPEISDRFRVTLIEALPNVLPMFS KQLIEYTESTFKEEKINIHTKTVVKKVTDKTVEAEVTRPDGKKETVVFPYGLLVWATG NAVRPVVRDLMSRIPAQAGSRRGLAVNEYLVVQGTRDIWAVGDCAVAGYAPTAQVASQ EGTFLARLFNNMAKTEALEARIRELSSSLNLRPGNSAEISREIEQHERQLRRLKDIKP FHYSHQGSLAYIGSEKAVADVTWFNGNLAAAGSLTFLFWRSAYISMCFSTRNRLLVIN DWLKSKVFGRDLSRE MYCTH_2304515 MHEIITLQFGQQANYLATHFWNTQESYFTYSADQPESPVDHDIH FRPGIAPDGTETFMPRTVIYDLKGAFGTLRKVNALYEVSDDATADASPLTWQGAPPTR IQAAPPVPLSPYQAALEANLPPRRPHPSEIRFFSDYARVFYHPRSVVPLLERDVTVTP TPAPVPGPGPGPAQSSSSASPFPSYSFFERHATGEELFADLDREHDLLDRDLRPFVEE ADCMQGIQVLAGFDDAWGGFAGRYVERVRDEYGKVGVWVWGLHGGMRALPREKRLLRL ANKARTITEMYKQASIVVPLAVPERLPSPGVSLDPASQWHTSALLAAAVESATLPSRL RDPSKRDTLGGMADVLNVMGKQTVAGLQMSFSRPDSEGRGDLRRTVLSEDALSEGVRL DIGFTPSDQLDSYGLRRSNGFDRPRVFSQVVASRGYKEDGNVDVEMDEAGRRIRRSSY EPVTKSYNSDLRFPLLDSFPEIFRGDDDDKPLTESVNIISSLSTDSSVSGKLKHLRST VMRSIALEDRETLGNDLMEMADEYHEGWSSGSDEGEDD MYCTH_2304517 MSERIYGVTPPISTALPTEEEKRLNNALHQELRAQGTFESPAET EKRKEVLRQLEKITNVFVQRAAAEKEPKNTILIRDAIGRVFTYGSYRLGVYGPGSDMD TLVVAPKYVTVEQYFRIFPEVLVEMAPPGAITDLTPVPEAFVPIIKFEFSGISIDLIF CSIQTLKQLPADKNWSLADNNLLRGLSENEVRSLNGTRVTDDILNLVPEPATFKLALR AIKLWAQRKAIYANIMGYPGGVAWAMLVARVCQLYPKATSAVIVNKFFHIMLKWPWPL PVLLKDIEYGCPVTRVAVWNPKIYASDRNHRMPIITPSYPSMCATHNVGRSSMAVIKD ELEKGVQVTEDIMRGKRPWKDLFTKHTFFTSGFRYYLTVISSSRTKKAQNVWSGFVES RVRLLVNKLEMHPSISLARPFNKGYDREHRCKNNAQLEEVVSLGSLAYMYKPATGEAK AEAKKEVKTEVKHESGASVKNEAPEAKGEDGVRAKRENGDEAQLPPATGIKPEPTDGA GADVKLEDIPVKKDEPEEMTIYTTNHYIGLQLVEGAKTLDLSREVNDWKAMCTSNELY EEGTMFLSIQHVRNTALPDDVFEPGETRPRPAKKSLKRVASEDPGNKQTQPPAKKQVQ DKAPPAAQQQQQQQHQQQQQPASTAAAAAG MYCTH_2304519 MKKTVLLCFIHGFKGDESTFGKNYAFVEHLRKLVAAALPKVNVQ AVVYPTYKTRGDLGECVTRFSDWLLNKVIDLEVAAGTSSPTIDPSVRVVLVGHSMGGI VAAETAIRTASEQPIHNSVDEDSPTKSSTAMPPPPNALMFPYIQGVLGFDTPFLGISP GVVAHGAESHYAAASAAIAQLSGLTSLWGGTKAAEASPDAKTAGVLPPAAPSPGPRDG NKGEENQSKPAPANSGWGKWGTIAIAAGAVGAVAAGGTAAYLKRDQITQGLGWVTSHL EFVGCLARKEELKRRVAYMVRLNKELGVGFGNLYTRLGQAAGSKQVSMVGTVLGSERT FCVVPQRDQAGDWRQAVNDRAKDEIGAHISMFGPKENPGFDKMSTDARDMVAAWFRND WYESSSTDTVRS MYCTH_2304523 MQVASRLFLMWAVCWPFPQLNASPFYSSMLIAWSLSEVVRYSYF ALKQVDAVPTWLHWLRYSAFLVLYPVGISSEVVMTLLALWGPAADLVAWYPYALTAVL LSYAPG MYCTH_2304524 MGGTSYVPSSTSQGSGPVRAATPTVERAPQSATNSSSGAFAGSG AYCDSPTSTSSAAPPLLWEHKDATEPAPASSTEATPKRESFGKVNAYSYCGRHSKEFL FGGKGFHDLWRAVRKK MYCTH_2304525 MGLPKDMRVFGFGLSLERPTMIKYGISNIRELLGHGVDLNFIQK NPAVRLDKD MYCTH_2304529 MAPESTNPRGDLTSEVLHALSKSDPISSTEAFPGVPFESIKAAL DRLASRSMVTYEQVEREEALLEPEAEIIVSHGSHEARVFEAVRQAIDGLSIQDLENKI GDKTVTKVGQGKAFKEKWIKKDGAKLVALVDSINDVTRDQLKVIQETRTHDAKILADL KKRKLLKMQKVISFRISKGPKFALEMVKEETDLTAEMLASGAWKTATFKPYNFKALGA DQHAGALHPLNKVRQEFRQIFFEMGFEEMPTNQFVESGFWNFDALFVPQQHPARDLQD TFYVSDPVKAGRPAPASADDKHDYEAYFQNVREVHENGKYGSIGYRYPWSEDESLRLV LRTHTTSVSAAMLQKLAGQRKDGRVPPARYFSIDRVFRNETLDATHLCEFHQVEGVIA DYGLTLGGLMEFMEIFFGAMGVTDLRFKPAYNPYTEPSMEIFSHHKGLNKLIEIGNSG IFRPEMLEVCLSDYQISSMKIETGGRR MYCTH_2092577 MGQTVSWLSSWVWAKKEIRILILGLDNAGKTTLLYRLKIGEVVT TIPTIGFNVESVTYGKLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVVDSTDIERLQT AADELAAMLNEDELKDAALLVFANKQDQPGAKGAADISQALRLGELRDRNWSIMACSA VEGSGIKEGMDWLSQTVSQD MYCTH_2315209 MPSHKTFRVKQKLAKAQKQNRPIPQWIRLRTGNTIRYNAKRRHW RKTRLGL MYCTH_2315211 MSADKSVQKVILASNEGSHIEVDRVVAERSMLIKNLIEDLGDEA IANSPIPIPNVNDPVLRKVVEWCEHHRNDAVQSADDENDNRKKTTDIDEWDQKFMQVD QEMLFEIILAANYLDIKALLDVGCKTVANMIKGKSPEEIRKTFNITNDFTPEEEEQIR RENEWAEDR MYCTH_2304539 MWRRTYLFLVLVRLWFALSPSYLHPDENLQGPEVIAGEIFRYPV RRTWEFTSDNPIRSVFPLWPVYGLPMLLLRWLWIGNGKDGEIPPIAVFWTLRVLMFIV SFVLEDWALHELIPSQRQRRVAVLLVASSYVTWTFQTHTFSNSIETLVVAWSLVLIER IVASPQRGSVLASTVLGIVAVFGVFNRITFPAFLLIPGLRLLPYYWKNPLSFVAIVTA GICTTALAITLDTAFYSPHSISWSDLLRNPVLTPLNNLRYNISPANLAKHGLHPWYQH LLVNLPQLLGPATVLLFTRPKRSSRLYSAISGIAVLSLSQHQEARFLLPTVPLILSSV RLPRSEKAMRAWVASWIVFNVIFGTLMGVYHQGGIVPAQVFMSKQPDATEAVWWKTYM PPIWLLNGKNEVLRTRDVMGMKGETLLEELQQLATCDTPADRRSMEYLKEKNGTYLVA PLSATWLDPYLPNKGLEGLRFREVWRYQQHLNLDDLDFAEDGVWNTLSRVIGRRGLAI WRVTKSCPGVRGR MYCTH_2304541 MGGLNLEVLKFGMYVMFPIGVMYYFGTNLDSRFSVPDFWPKPEN TNKVPLERDEIHAELERLRARRLYLREQRLAAEARAAALNPNQGQQQQQQQPQGEE MYCTH_2304543 MASLFRPLIQLPRPAKPSSICAQCRRAFVSSPILQAGHNKWSKI RHDKAANDMKKNAARTLFCKNITLYSKLYGPDPNLNPQLASAITAAKKASVPKDKIEA AIARGQGKSSGGEALESITFEAMMPPSIALIVDLETESKLRALQDLNQMVKKAKGSPS SSKFFFSRLGRVVFEKSESGPDVDQVMDDAIEAGAEDLEHDADGNIVVWTQPSDTMQV CKSVGSKFGLKILSSDIVWTANEDTKTKLDASDELVHFTELLEALREYPDVQAVYSNV TKGAMSDEQWAKIEENLDT MYCTH_2062179 MASTAGTDEPGTINGDTPRTSIGAAAAAAAAAAAAATSNPEFDV AKLQGLPTEQQELSLLSFVSTLSKHVLSLPPDDCTAQQFYLKKEIFKIINLSTPPPTR VIRNTLAKCLGHIFGKGDRKLLFETVNDLSGIISSGKSKNEGEIRTKHAAVACLGEVY AAAGDSAINLHQLACSALLKLLKSSSSNAGLRASVFTALGKIAAKLGGSLDESIARDI WKQGRSYATSDKGSLVIITACRCLRSLLQFTPYFQTSSDFDSLRSSMFKTFDSPSSNV RSAAADCFAEALVRGYSESAVGEAPLVLVKRTKSKAAKRQSAQIGTLQDDDEFPPRPE SPAPTKKSQILALSLLDMLKTLSTQYVRMSTSNRSRAAIAVCYGNMFRKLGEKTVESN YTRIVEHLTVEILGHSTITNNRYRLLISRRMIEIIIQDVVGKKILGESGQTNAAKALL NDIIRNYPQALKEKPEPAKQTLAVSLSALASLINSLGSAASSFSEACRDGLIQVLQHP SYTVQVRVLTMSTNLLKSSGSSELRVASTQIQVAWTLIGGLMSLGPNFVKIHLSQLLL LWKNALPKVLAKDSSIHRNYLNASFLTHVRECALSSILAFLEFNSRLLTVDVSKRIAT MLQSTTAFLKTLPSKKTAEDISERLTPSLQLQDLEIMVQRRVLQCYTKLVNISPPGGT EALLQSNLLTLAISLFADPDNYTPSSLSASIANTASTFESVWDIGDNSGFGVTGLESV LEQVTTFIAAGSLQRDPGRKAAINVNVATALLLILRVAVKETKSPPGDVTNAAVEKLI QELLRDFVLDRDPYLRSIAYEAVARLCGTCGNAFTNQEIKYLIDTIVVNREPSARAGC AMALGTIQAKIGSMAAGYHLKAVLNILLSLCNDPHPTVHYWALEAVARVADASGLGFA NYVSATLGMLANLYFSETHNPEVALPASMNLEVESSTSAAIAHCVDSLINVLGPDLQD STKSRELILTLVGYFQQEEDLEVQRASLVCLEHLSLYAPGYVNFAEYVKTLQGYLSSE NTALRDVAVDGLHNLMKRDPYDVIEAADKGFEDQLWLVLDSDPSHDGMRNTIRNWMRQ TCLANTAAWLARFQHVLKMTRPKDTRKETAKTAKPGAGLDLQDEEVAGFAAAPGASKD EKDALSGPDVEPLRWQVTTFAMDCLNDIFILVAKDVATNGESAAQAALQSKIADVVKM AFSASTSGVVEQRIWGLKIIGAVLKMFGKVPDPDFEEAMLLEQYQAQISSALTPAFAA DSSPELAAEAVHVCAGFIATGIVRDVDRMGRILKTLVSSLENFRSEDENAGIGDLKGL SSNARVMVKMAVFGAWAELQVASSEQSYLVDVLKPHIGTLTPLWLESLREFARLRFEP DISMTLGPPSLSGSLDTVYAALNRETQLKFYQDTWLKLVDAIASLIGQDSDFVFDALD GKEVTGPNANGTSRGLDINYRDEPVAFFFVLFGIAFEALATKSGQSESLATQEQTLEI LTALKKILHPSVSGQAIYREAIFSETMDLLDRLVLTEGLDVQGVIVEIARDLCLAHPA ARKHEEADNGELSEDIEQLFELTRIIVLVLSGLLPNLSENPQPTRHQMTEEAILLIKT SLNALVDAAEVFPAIIKTDLYACIIHIFATILATPSCQEVIVPQSLPTLKRFITSMSN SRQASEDGESQTDVQLLGCLRRFLSIYLNAQKREAPTSLACVKNCLLALTVLFTAGKN HLPASEPLVARFLEELLDCLTDRMTAKIAASCIRTLLLQPNPTPADQTLARHLLPRLI AFVTDTDPEDPERARGQVAAALTAYVATVPSDRAPVAVALVLPALLARALGEGGGGGG AGGEQQKEVYRETSGRLLELASADQAAFRAVVGAMGEGQKGFLEEVIRAGREAAAAAG GAGGVKREGEGTREPSIALKMDFGGS MYCTH_2304545 MATNRLTFLYPHLFRTGGRCWTEPAASSHAAARRPRRNPNPSPR PPASCQHAAPFTTPSAGRQAAFAKRAGKAIEPLPLDPPKPPPPKSEAEKREGQETGRG TTTAPHYSSPSSSSSSSSSSPAPSGDASKQSTSSPKSNLPPTIELPPPADIDPVTQAK KGSPIDEILHMGPPPDSLPSAPPGAPGALASEKKPSEPASKPLAESTEPETGAKPPQL VPTPYIHHFDSYTLVKQLTAGGYTLPQAIISMKAIRTILAHNLDMAQSGLVSKADVEN ETYLFRAACSELSAEVRNNRRVADEQLRQQRTMLQHEVDILAQRLNQELLTLNDNVRG MFNDRRMAVREEQKAVESRIQQINYKISVALNSEAKSNIEALRWVLIRRSVLGIIFMA VLTLGTIRYATYMRHKRQKEAEQRAKEAEELRKHLGRVDNSTGPDAAEMLAAS MYCTH_2304547 MASSDYDAKTTDPPLIQRSSSIKSYSTSRATYPKLRVFYRRHQH ADNLPTSPAPIPLLVFVHGLGGSVAQFNSLLTSLTPIASCLAVDLPGCGVSEFEPRDW DAYSTDALVELLETVIEDHRDVAAGQGVVLIGHSMGASLAALLANPRKPTTALHEHVV GLVAVCPTAGQFTPGLVRLLRAALYIPDFIFDLWRAWDRRGGLESPSVHRFVGAGADT ETKRLQYMYNLQSKTPVWRRMAWGALPVYTNGVPSDGIAGLDVWAGLNLPVFLVAGTD DQVVPPGEADKIAAVLGGDKSESSLETNGSAKRGGGGSAPANGAAGLPDLPRHPKKVV ISTRLPSPAGHGLLYAPATVPVLAGLVSDFLSTHVTGRLSLGWQLQHLCRGGKWDVKN LEKWRNVAPVSGPIGGMFRAIKTLREVDEEHCPRVFVQKWGHIIKDVIDISHDNPVYN PSGLEQGGIRYHKYATLSKVPPNESEIRGFIDLVDKIRARQRDQARTDGWADGYAIGV HCHYGFNRTGFLIACYLVERCGFTAKEAIEAFAKARPNGIRHEHFRDRLYVRYSGVKS ET MYCTH_2304548 MSADQEDRAALLASATSFCEAFSAAADPSDILKDHFTREQANIL VHEHGLPRLAPFLGRDFRGADGLCEYLSLVSECLSFERMRFGEYVVDAEARKVSVRGR ARFTWKSTGQSWDEVFTYVLAFDRDHKVEKYEIWADTGAAWLASRGELTA MYCTH_2304549 MSPRSERTPLLADSPRNSEESTRAAEEEDAALHGGNAAATLSSS NEHRRGVREVLLFVWAVIATAGVIVLAVILQHRNATSGTPSPISPSDPSAGGPYTATT TTTTTTTTTTTTTTTTNTDTNAGLHSKKRNLIFMVSDGMGPASLSLTRSFRQHVQSLP ASDVLTLDAHFWGTSRTRSTNSLVTDSAAGATAFSCGRKSYNGAIAMLDGHRPCGTVL EAAKRKGFRTGLVVTTDVTDATPASFAAHVDVRWQMDEIALHEVGAGPLGRSVDLILG GGRCHFLGNGTRGSCRNDDVDVLGLARDRFGWAFKGDRQGFDELQLGRNATLPLLGLF ADADVPFEIDRRNMADVYPSLSEMATTALTVLDEATKHADHGFFLMIEGSRIDHAGHF NDPAAQVREVLEYDKTFKIVLDYLAKSDTEGVLVATSDHETGGLSTAWQAPGEAPVYD WYPAVLAKANASAEHLTRLLNEHVAANPGESEQSLKDWINKELVVGRLGITDALETEL AALAADPAGANPVFCRIVSTRAHIGWSTHGHSAVDVNIYSSGGPAAEKIRGNVENTDI GKFLSEYLDVDVDAITKELEGKFAARRGGADATAETKTGAAAEGFALLSGHRPAAQ MYCTH_2304552 MAAFPGAEDFVVGLIGMGDMGKMYARRLSSAGWRIMACDREDKY NELVAEFANHKNIQILRNGHLVSRASNYIIYSVEAAAIGRVVAEYGPSTRLGAIVGGQ TSCKDPEIKAFEEHLPSDVDIVSCHSLHGPNVDPRGQPLVLIKHRASDESFAKVEAVL RCLGSKHVYLSAAEHDRITADTQAVTHAAFLSMGKAWHANQQFPWEGRRYVGGIENVK INLMLRIYAQKWHVYAGLAILNPEAHKQISQFARSTTELFYLMLEGRRDELRERVYAA KEKVFGREDCPKWGERPLLPVGVLDRFSLNADANATSNAPPMPNNHLSLLAMVDCWSA LGIVPYDHMICSTPLFRLWLGVAEHLFRTPGLLDESLRVGIEDTSFRRDDLQFTIAAS GWAECVALRQFDTWRERFEVTQKFFEPRFKGAIEMGQAMIKAVLESDKEGGSG MYCTH_2304554 MFVLQALGSNGSGQLGIGHKEDVSTPEPVLLPFSHTNPCQRLKQ LAAGGNHTLLLFQSGDLLWSGDDTTGACGPVNSTTSTDQHESPSQPPPPRFRPVNLTS LPSGSKPFLVAATWTATVVVTSDPSAHHANRVYTFGTGTKGELGLGPATIHTPLPTLV RDFPPPGTQITDISSCMGHVVAVLSNGEAWGWGNGRKGQLGEPATHAVFSPRRIEGVG FRVIKAVCGREFTVLFGPPESGDMIVMGSDKWGVRSQAPSTSSPSGGVAGWKEAGAGW GSVIVLKHNGALLGWGRDDHGQLPRGDLGPVKHIAVGSEHALALTEGNELIAWGWGEH GNCGPIRNGKGEKGECNRIATATQGMDITVIGAGCATTWIAFEKQT MYCTH_2126860 MVVLNFTLSEEGVAVLHDALACMFKFSDDVSLEARKDKLTLTTL NISKSAYVCFSFAANRFFSRYSFEGTPQYRDRFFCQLYTRSLLTIFRARQGSVEQSRD RDASIDRCDVAIDDGLGKKSRLVARVSFRNGITASHSLPYEVKRPTHAKFNRDEAENH WAISSRTLRQLMDHFGPGIELLDINTDDEARVVNFTCFTEKVQKRGAVSNEAVLKKPL HTNIAVEMDEFDDVQVQDKLHIIISVKDFRAILQHAQMTSGALTTCYSNPGRPMKLSY SADGVLCEFILMTVGEKDALAQKHKNPRATAAKPAVTHLDSASHRGSSAANSNQAEPP PAASRPPQQKSPVRPRQPAFEIRPSPMPPPTTARSDSLFVEQAEDDEQWEPVNPDAEE DEEEEEPGRLEWNATNEPNPSSIRIGSYLSRQPEAQEGLPDRLPSGLEPTQRLSEVCV LRWSALVYCRG MYCTH_2110233 MSGRASMSFPQEIWWLVAKELANRLDVDGLFLCARLSRGMARLA LPELYAIHDQSPAINAHVLDIERFIGLWRSIIISSLGKTLFPYCCWIKVLRLGTLDSQ LEDLAHDTSGLRDLFFSPPLENLQIRRCSDGVLDIRAIILEVAHMITDCIRTSAEQQG KLVGLTALEGYHLPAANLRILVLGLSSLTSLFIRDGYVLTSDVARAIRANCPAFRELE CFYCYGTKIDEEFGGFLRNLEPNTLVSFTILNKNEVARETFRALCVHSQSLKNLDLLS LDLPAFHSLDELRHCLSLESLKLGPTPEASTYPWHIECKDAFEGVVRWLQNCTSLSDL GFTVVPLATTLLAQVLKSPAVHLEGLKIETMEFDIEFCSSLAHQQQLQHLTLRIWDED LLDADDQRRAVFAAAIARCRNLRELDTNELFSLEDLDRICSSLPLLETMAVTGTFVDD EFLLRISRLSTLKRLFLYGPSFEVLLRFLGKLAADPEGHHEGLQLHIPDQVDTHMTEQ KEAQVATIIKELFGGRLEYHRL MYCTH_27231 KRGNYNAVFRLYYADGSVIMRVSLPGNNAFPDEKVRNEVATLRY VEKMMSIPVPHVYHWGTAAENPLGLGPFITIYHISHENTLDELLTDP MYCTH_2304561 MSSELDATSAMAAARERARALDRDDPLAFTRSEFNIPTKTQIAS TRLPDLATTETDPGDGAADKCIYLCGNSLGLQPKRTQTRLSQYLATWATQGVQGHFKP LQESPLPTWLDADERAAQLIAPIVGASETEVAVMQTLTANLHLLMSAFYKPDVNGKHK IILESKAFPSDHFAVETQLRHHNLDPATSMITLTSTSSPEDNVLTTAEILSAIDAHAA TTVLLLLPGIQYYTGQLLDIPTITARARARGIFVIWDLAHAVGNVPLSLHDWGVDAAA WCSYKYLNAGPGCIGGLFVHERNSAVARAITDERPEEGYTNRLAGWWGNEKATRFVMA TKFHPVRGAAGFQLSNPSILDITSLSASLEIFREAGGVAPLRAKSVRLTGFLEQLLQT GIGEEERALFRIITPSDPEQRGAQLSLMLKPGLLEAVMRELERRAVIVDERKPDVIRV APAPLYNTFEDCVGFVEAFAEALSIARRETAA MYCTH_2304566 MAGAAIQPPLTSPAVGRRRVSGLTINTDVPPSSFPDYVDPFASS DETVTNSPIAMTAAHPLVEKVKGEEAGRHPSPQPTHFSYPQGPQLNGNGHRVLRSATV GYVAPEFKGKKEQMVQVKEHITKSGWIPEPLVDSQVGWFYNELGIDDVYFQTENPEVI AKHITSLYAAKIAAFSREDRREEIRLDMEASDHAIYIDTSEPGKSNTKGPQYEHRLES KYLDGGDPSKRFRVETFRSPTSIGHSDFKATLRCYFVYQCQFVEPNADPKETRLEVIS DRMFLAKATKNTKQIYHEIIQHSVNRTGPVIEVFDIEGSQEKRLVVAFRARTARGMFS ALSDLYHYYGVTSSRKYVEQFSNGITVMSIYLRPAPNPDNKYPPIEESIHQITQEVSL LYCMPQTKLHSLFSSGELSLQETVYAHCVWVFVQHFLNRLGSEYASLVATLDPNNNGH AEILSRIKKRLRTETFTPDYILEIILSHPQLIRALYASFASVHMRPGPGCAHRSIAPT PTTEVLSDAKLKDKITRDVSNEHEEMVMTAFRVFNNAVLKTNYFTPTKVALSFRLDPS FLPPIEYPMPLYGMFLVITAESRGFHLRFKDVARGGIRIVKSRSKEAYSINARNLFDE NYALASTQQRKNKDIPEGGSKGVILLDPKQQDKAREAFEKYIDSILDLLLKAETPGIK NPIVDLYGKEEIIFMGPDENTADLVDWATEHARNRGAPWWKSFFTGKSPKLGGIPHDT YGMTTLSVREYVKGIYRKLNLDPSKVRKMQTGGPDGDLGSNEILLSNETYTAIVDGSG VLVDPNGIDKDELRRLAKKRQMISHFDLSKLSKDGYRVLCDDMNVTLPSGEVVSNGTA FRNTFHLRNDGLADIFVPCGGRPESIDLVSVNKLIKNGKATIPYIVEGANLFITQDAK LRLEEAGCIVYKDASANKGGVTSSSLEVLASLSFDDAGFVENMCHDANGNVPEFYKAY VRAVQEKIQENARLEFEAIWREHEQTGVPRSVLSDKLSLAITTLDQQLQHSDLWENEQ VRHAVLEDALPNLLIEKIGLDTIIERVPDSYLRAIFGSYLASRFVYECGSSPSQFAFY DFMSKRMAKFNKA MYCTH_2060529 MSVPDTYRAFRRTTGDVPQTIAPSTEQLPEQLGPKDVLVKIHAV SLNFRDVAIPNGRYPLPVLDRGIPCSDAAGEGAAVGAAVTEFAVRDRVSAVFDLNHLT GGEDEPPRELGGALDGVLREYAVFEEAHLVPLPKYLSWEEAATIPCAGVTAWSALDSL KGPGGKKPRTALLQGTGGVSMFGLVLCLAAGVRPIVSSSCDKKLDSVRQLGAAAEVDV GTINYRTVPAPEDRAAEVRRLTGGAGVDVVVNTTGPASVPHDLDVLRERGGLVSFLGF LDGIGGDWPPATLMALITKFAKLKGIRVGSKQDFTHLNRFLEEKQVSLAPLIDRVFPF DESPAAFDYLYSGSHVGKVIIKVRD MYCTH_2304568 MVAAKKHIPIVKKRTKRFIRHQSDRFKCLDSAWRKPKGIDNRVR RRFKGNLAMPSIGYGSNKKTRHMMPSGHKAFLVSNVKDVELLLMHNKTYAAEIAHNVS SRKRIDIIARAKQLGVKVTNAKAKVTTEV MYCTH_2304570 MDGPGADEDLDLYALLGVDKSASPNDIKKAYRKLALQHHPDKVP EEMRAEAEAKFKAITQAYEILRDEEKRHMYDTHGMAAFDPSRGGPGGAEVDLNDILSQ MFGMHMGGMPGGGPRRPRRSPDEEQPYKVTLEELYKGKTVKFAAEKQVICGQCKGTGA KDKVKPNSCDRCRGIGRQEAFRQIGPGLVRKEVIPCDHCQGTGMYYKEKDRCKKCKGK RTVKETKALELYIPRGSMQGERIVLEGEADQMPDMTPGDLIFHLVEEPHEHFTRIGHD LSADLHVTLAEALSGFSRVVLKHLDGRGIHIDHPRGKILRPGDVLKVPNEGMPLKRGE AKGDLYLIVKVDFPEDGWLSSDSDYDALQKLLPPPAPPIQADDVDEVDYEENADIEKM GAHQGDPRYGGEWEDEDDDEGGAQCTTQ MYCTH_2134157 MSNPFCSFANGSRLVPDAEDRIYKDLRWAGLIWDEGPDLPGPYG PYRQSERLSLYKEHADKLVREGKAYRCFCSPEALEEQKKRAHEAGEPTLYPGTCRDLS LEESDDRAHRGEPFAIRFKSAEEPIKIQDLVYGRFMKNEPEDDYIIMKRDGFPTYHFA NVVDDRHMKITHVIRGAEWLVSTPKHVELYQAFGWEPPQFAHVGLLADRYRQKLSKRE DSANLQYYKDGHILPSALLNFVVLLGWRAPPTKGEFSLKFSKGDIVVSLQKLPFLQEK HLERLIEKEDKTYAEHLLEEKHFIKPLREAIEKVQQAKDEDLLMAPGKLMTGEIGARL PAPRIFDERFRRDLLRLVTSRRVMLERPADRFVDAMWKLRYYIWEVPQGVLEVAVREG KLDPVAPADRAEQLGKAVSFLADKFQAVDGADWTHDGLGKVVKDVMGNTEYWEFFETS KNAQTNVYTPLRWALLALEKGLPITTTMEILGKEETLRRLEVAKSAAAMAARPLAKP MYCTH_2034994 ELPLTDKLVDRQRDALFNYSAASQAETSAQRPWAHDPTYFKTVR ISPIALVKMVMHARSGGSLEVMGIMQGYVDGTALVVTDAFRLPVEGTETRVNAQGDAD EYLVEYLSLCRDESRQENVIGWYHSHPGYGCWLSGIDVATQQLQQLQGPMVAIVIDPD RTVSANKVDIGAFRTYPDGYTPPPQTSTTTTAAASSSSSSPSSAAGQSVPLTKADDFG AHASKYYPLAVEHYKSTLDSKLLELLWNKYWVQTLAQNPLLTNRDYASSQMGDVALRV KEAAVAVSRAGKGAAAS MYCTH_2059803 MALLNPTFIFGILVLLYLSSFVIFAIVRILTGVSIQRIGYFSLR RLAYTARDGVRIEIRGLGLNVHRPTFAQPTWLSIVVDELAVTVDIQELERAKAKEGAP EEVDSDSDAPERAPRPTTPKTPRTPRAVPRDADPGERSKTWKRLTRVKERIKKLHRKV NWLRMVDVVATNSTVHIASVGSLQMGSFTIAVDTRRKMVDRARFFLQGGAQPQRQNQQ AEWIVTLRSVLFTAEGGESTEILDTATLNIHGYLYEALDGLRDTAVALKLGRLHIPYD DVRRSLAQYKKVRSAEDKAFARPEPVDVVVDRVIQEELDAPGSTNLRLMQTVSDSKEL VSSVLKGIREVQFAVSFIGISKKIDTVKPAGNPIVLTASMKEVGIDLHRLDPKSAAHR MYFPSKDIAHEALAAALSISVGLDDGHGKPERIMYIPMATTTARTTLPSKTVELADDG TAEQRNANILFANSVVTSPSVDLDPRHLPILLAMLQRKPKPQRAPQQERHMLISRLLP KATYKFSMHEPVLRIALPPVQKSADPDEFDLIISSISSVSLDIESFHSTVEELHYSLA ATMRVQTHNLYYQTSAGSRFDLLETETFDLKVQLNASPNVHVVATGSLQTFTLKMVRE EIRDGLRHIVRQLRLNVKPDKGGLPKSSEHTNFVRALPAWLLHFQLQCSDCSIEVAGV DKDISDDTRGVAIQLDSWSAEYRAQRLNGLHRRPSRRRASSRGMMSPESEILRAVPAS PRRKYQHEADGRRLSLHARGFEVFMIESAEKWEFEPFLNIPKFEVALSTLSDHQGPVF HIHFHIRTLLLQYSLYRHYAVGVAVSALQKAFTRSSKPSAEPRTPPMSPQRRVFGHLS PPPAGASSDFNIADAESWRSAPELVAVDFRATLIQVKAELPSDPHVMLHIYNLEAGRH RWSPPFVQAKLIRLYAEAPRMRSVWARIVSVKDIRADYRESRHKLTGGGLREERMFDV VTENVRLAVPHEMVIYKITDNFVNTVKSVQQLHHRFKTGTNEYILEKGPEGPKMVPKV SLRAKHLLFELEDGAFEWKLGVIYRAGLVEMMQRQAREEAFRLKVKKIHEHESRKGLS SLRARSAAPRGEPPTPSTPPTRSRSADGRRRSFSEGRMRGRSLRYDPEAASHVLSGTS RVSIAEARHKLNIHNAKSWKKRIDQQYELARNMAKDLRSQFWGVNEIPDDLEESEKIL EVPQRPALMATLINDLHIVMDKPSFPMKELPDFLHKVGKGMPKDMKYALLVPMHLQVS MGEARMSLRDYPLPLLHVPTIKPDQSARLPALSMATDFVIAEEYRGPESTRKIRVPIV PPRSLDPVVSNEGSFAVDVRRTIGPVKTFSDMSIDIHTANPTRITWGPSYQPAIQDMM MAIESMTKPQLDPSDKVGFWDKIRLSFHSRIRVAWRGDGDVHLALKGSRDPYQVTGNG AGFLMCFRNDVRWNIHSVDDPKRFMTVDSGEYVLAVPDYSHQVREARRQHGEDESISS GSSLQSGATFKKVVMKLSGKVQWLAGLVFERAIENGQRSFEFKPHYEVVLKSPQYAKS ENGLPYDAFRGFRSQHIHLSVAVRAPVDREWSDTITEPSRSYNAVHLTPRFFTHFFAW WSLFSGPLSLPIRQGSLWPGREKNSKKFGRHLATIKYNILLAPLFVSHIYKHKDLEYD SENAVSATGIKVRLDTLMLDLHQRREEFNTRDRGRKTQTRTTGMKIHAGQLDLVSADV RAVSASIRGTTADAMMRDSLSAFIADQEGDSPDVSHFTIPDNDLTWIDMDDFVELDWI LPTEPNPDTKILPLAFAPRVTYFRQTDINGTIAGDPDRTSPFGQEPTHFCNMSHDDDP RRVQCQLIRRRLEQLDEQMETHKRNLGQIELRLVRGDPVDADLDAELEMLNRHSGVLA GKKTFLEGMLMEMSPQSSSSTEESQESSTSSEGGLDSNTTSLQGAMSTPAAAEFASDF KNRFVVHNMQLKWNNTLRNIILRYIHQVGQRRGFVYYLSRPAVKFLLDLVEEQARSKS GKNGNVPTPGVPPDANGAHRDASQDTEDRIRRILQDSNKFASSDNADGTHPTMSDLAS GIADEFTTQNSYHVRLIAPQIQLQSDKNKKHVVLSTSKGMELKVVDVLDKSRLFDDVS GLVQRRFLVNMDSTQFFVTHQKWFSTQLVSMYSGNTYGTRSGSSWPPWVPMEVMFDFQ SDPFGFKRVVQKTSAMLRYDKFNTLRLKYNDEVNNVDGANNTPQDSAESRTDNLWVEF PQAHALCNSSQYYAIYVIVLDLLMYNEPLEKTRNEKLEKIMLASDFSDLRGVPEMVIK LQERVRQLEEIKLHFQVHSTHLDREGWRDRLVLERDLAACEDELFFMMKAITSSQRKF DNTTSSAVLKWSIMAKEIVWQLIRDNNEPLVELQLKDVEYDRVDNSDGSHINLIQVGK ILGLNLLPDAIYPSMIAPYVEKDRGGSDPGSQPMIRVYWNMLEAIAGIPVMGHFEVNL FPMKIQLEREIGKKLFEYIFPGTDGGKNSTGKNDSPFIIKQFVDDEDDEGDDSPSAEP AAPGMADRDQDGASFATRSGSLELRLRPTLTSDPDESSLQHKALSVHSGEGTSFRLFR SGTGFKTISKKRSHDSLRIGIPKPGVGRTGTGLSAAVKDSASINSETKKGTRFMLRHK SSDGDKAKQQSDDLSKMIDRASNYMTFAYIKMPSVVLCLSYKGKGDRNFEDVHDFVFR LPTIEYRNKTWSNLDLALALKSRVIKALISHTGAIIGNKFSKHRPNTAQQSKLRELAT SSVLLATPTTSESRDNSGDDSSSLYGNSPMDFSRSPPRSIRRSQTSIPVPSSTSRSSS VASSIRSHLNNNDDDDNNNDNNEVQAQVTVQTQASGAVPSFLMAPPMPSTTAPADGQG AKHSGGGLGSLLRPTSSGWSRPFGGGGGNSRPGTSSGLTTGSGSTTSPCRSNTTSYPE ERESPERRRTGGIGIGGGGGGGGLRDRIHAFASRLKERENTVVQAAGDGDRAVDSGEE ENVLVRKTSRVR MYCTH_2304574 MLVLSAGTRGSVIPIAILLTCSWLLLLRVRIQWDWFPFAAQEPP VEIVVASVSTEDTTWVHRFLPGWARSVYIVDDPSAKLTVPENKGREAMVYLSHIVANY DTLAETTVFIHASRFAWHNDNPDYDVLPMLRNLKLDYVQASGYVNLRCVQVLGCPVEI RPHADEASAWERSIGAARSGRPLTAKEIYKQAFQELMPGVPVPDRVGVSCCSQFAVSR EAILSRPRDDYVRWRDWLLETPLADDLSGRVFEYLWHSE MYCTH_2304576 MRRVVLENPEDESHRSQHPASEPQGLAETQPARKTRPRQEQSPP PELMEILVPSLKVGAAAGACGVFTGAAAGILRSAPTVFFAIVAGGQWFTLGTSYYATR LSSLRYFGRGKQEPSPSDKIKASTVAGGVAGTFGGMLRGPRNIIPGAIVFSLLGAGGQ GIANWRAARVDDAGPKPEKGFWSSWSPIKQLSDADYENILEEKLLRVEADIALIDDRI KELRASESQTKEGKPGHASGGASSSNKA MYCTH_2080003 MAPKKKQEVQKLSLGDFLNDTSFGGGGSWADEVEDTIASGTQPL PPPERRVPTSSYGGGNDRGYHSIRDNLPQQLPDKPPYTAHLGNLSFDATVETVTDFFA DCKVVNVRIIEDRDQNRPKGFAYAEFADLEGLKTALTRDGQIFQGRTIRVRVADPPRG GGGFSGPDPRELDWSTRRGPLPDPPSRGGDRRGPDFGERRRDFQSNDDGKVRDLSNWE RRGPLSPLAQPDRPESREGSRSRALESRSESFRGNRRASPAAWGPSEGQEGSRPPRRE FSERPERPERVPTAAERDFNWRNSMRPDPPVKSPGQSREGSETPSSPAPSGAVPAGRP KLNLAKRTVSEATDTAAAPPPSDSKPNPFGGARPIDTAAREREVEERRMREKKEAEER AKAERLAKEAAAAAEAAEKAAAEAAAKANGEKEEAAEEKKATAQEGAANGATAEQRLP LRTREPREAPKSRAAESGNWRAASNEQRASSRGGHGPGGPRRVGPARGPRDAGRPPRT NGGGPAQQLQSPSTEQTPPTPTVDEDGWTTVAAPNKGRRGQASRPVA MYCTH_2304585 MESSAISLYFSMLRRPSPDATPEQKEYLINLIDSPGHIDFSSEV STASRLCDGAVVLVDAVEGVCSQTVTVLRQTWIEKLKPILVINKIDRLITELRMTPGE AYVHLSKLLEQVNAVLGSFFQGERMEEDLNWRERMEARVAASAAKEAKVADQVTDSGE LQYEEKDDEELYFAPEKNNVIFGSAIDGWAFTVRQFAGLYEKKLGIKRSVLEKVLWGN FYLDPKTKKVLGHKHLKGRSLKPLFVQLVLDQIWAVYHATVGDHNGKGDASLLEKITK SLNITIPPHIARSRDPKLLLTTVFSSWIPVSVALLVSVVESLPSPRTAQAERFPLLLE DVPGADHIDPKVKEAVVSFKTAPSDPVVAYVSKMVSVKESELPENKRRGPMSGEEARE MARRKRAEAARAQGDLNGGNDMDALVSAFSGATLEPEVQGDEKQIEPEHLIGFARMYS GTLSVGDEIYVLPPKFSPAHPRAEPVPKKIKVTALYMLMGRNLEALDSVPAGVVFGIR GLEDSGILKSGTLCSQSDGAINLAGIANLHGRPIVRVALEPVNPADLDKMIRGLHLLV QSDPCAEYEHFATGEHVLLTAGELHLERCLTDLRERFAGCEIQCGAPIVPYRETIVRA EEMRPPANKELGRGVVVGVTSSKQVTITLRVRPLPRDVTNFLVRNTGGLKRLYSDRKA AEEGDDEGQAAAKQTTEDRAAQDDSAEADDEVTAAMKVLTPEELAKQLQSQFDSEKAR TESWKGVVDRIVCFGPRRTGPNLLIDATKEQFLPKVFNHHANHNNNGTSRPLANEALH PYHFSDKITYAFQLATHQGPLCHEPVHGVAVFIEDVSVASAEGIGDDAASARDRLGRL TGEVIKTVQQSIHKGFLDWSPRLMLAMYSCEIQASTEVLGRVYDVLTRRRGRVQSEAM NEGTPFFTIQALLPVAESFGFADDMRKRTSGAAQPQLIFAGFEILDEDPFWVPFTEDD LEDLGEFGDKENVAKRYMDGVRRRKGLLVEGRNVATDAQKQKTLKR MYCTH_2304586 MSYQNGMAPARALDDDSDVEEEALVADYKEQVQYSEGGLEELDP ATLAQQADEIQARLVQAAQPLDFQATLETKFQSYDNYCALFHYILNSDGPVDLEPPSY YWAWDVIDEFIYQFNTFSSYRARIARQGNNEEEAQILRDNPNTWGCYSVLNVLYSLIQ KSQITEQLTAMRRNEDPMAVAGPYGSKNLYRMLGYFSIIGLLRVHCLLGDFSLALKTL DDIELNKKAMFARVMAAHFTTYYYVGFSYMMMRRYADAIRMFSHILVYVSRTKNFQKN TQYDSITKKNDQMLALIAICVAFHPTRLDDTIHTALREKYGEQLLKLQRGGPESLPIF EELFRLACPKFISPVPPDFDRPEANIDPIDHHLSVFMEEVKTNMWSPTLKSYLRLYTT MDLKKLAGFLDVKPEELRSWLLVNKQRTKQLRWTDHGLLEGELVNISDLDYALQGMGR KLVDWYLRNLSRTYA MYCTH_2126876 MAMTADNVDRLLTSPSAAAKSPDSDGSPGTVTPSVAADYFSANP RANGDSKLREPSRLFASASTDVSTTTLASISEEDHVEGSRHSSNNSSRPSVEQRKSSS TSVTFRPPRNPSLPQGHPRKTDNRRLRESSPSPVSREVQDVIPKGMVVPIPGAAASLS TLSPSFLWRRDLAGEVTALSAVQGIVRVRSRSKETERQPVMATHGTFGGMLEELERIM GGGLQSSGSLTT MYCTH_2304589 MNPPSPRRFERHVGFDNLPIGEATKNNPSSLSLQARHQGYRPSR RSRTFMIGVDEHSYSQYALVWLLNNMVDDGDEVICVRVLESPVRPDKNYLEDAKKLLE TIKSKNELNKAISITLEYSVGKLHDTFQQLLAIYNPSMLVVGTKGRTLGGIQGLMNAR NSFSKYCLQYSPIPVVVVRPDDKRLKKKEKRSQDPTRQSYAAMLAYNSGKHEADSDTG SVYEFERSISADEEAHQVAAAIGLPARFDPTIKPCVPRNARNRRASPLGLSSERTASL SPPPTPPAAESGEEESGDDDDEFEVEAVSGEQLAAPARKQTGLEEQKERLHAMEVGEA AALLKSGQSKELEEDEDDDESQDKAPEDPSDS MYCTH_93913 MLSKKRPSAAYGGSVRTAASTKPLQSRPVNVPASGPRIISKSFA SLYNNSDSFKEERELLPPPSNAAQTSDIAAVPLYDSDFSDDIDLEAECVLPTLPRQQP PATPKEQDAAPPATNTSVLSWSQSSPSHLQPPASRPAPPPQHAIKRASPTDDGPAPAA AKKPKRELPRNWSKKAAPEDENAGGGDGHGAAAVAPAPKSKGDALWNTTASAVKAQKK QLKTQLKESGKTETSAPDMQETFKTHMARTSAITLSKFAAMLNEMRLGRISDQTVRSF QELSRPLTFNDGLEVTELSVSRAEIPYRGRCADNVL MYCTH_2304592 MASEFIGLLMMVTMRGTPPMLLKGTVSAVEAGTGLTLSNVWVTN TKEWKPRLTIPSEDIVDLSELPSEPVAPPLAHGVPANPPAPAPAPPVAQQPPPQPVFV DPAIVAVGKPAASASSSSSRAPEPHPQPNTSEKRDPGPGVVPPVQILSASREVTPTNT VAASLRDLKLDPSSAAVESADEDGADRALDYGEVTAQKKKRRQRKPGSAKTATQADYG ASPAPTEKAAGRGRGWRQTPILQSTASFQPFSSLKRNRKGRQMADNGWASEDVTDVQE MGDFDFEGGLAKFDKQNLFEQMRKDDLIDEADRLVSHNRLPRPKPGTAGGKNLHYSEN VLDLPSTASRAAKDRPASSKETPNDFWNSEADDGLPHGDRPSTRDQSMGSRQSSRRGG EGKSAAAGKRSRSRKAHEMARTGSGPGRVASGLSTPAAPQGLYALPSNRRIEPVSALQ MLNLENIAHNEIGLTEEMMTENAGRGIAEVTLIALADPAIKVRHAGSVDPATGNPPPQ TVVVLAGNNKSGSRAIAAARHLRNKGINVLVCVVGLERGERDLFEDVQQQVRLYRNLG GRVFTKSDFFEHIRKMSIPTLTIDTPRASLGSLANPAPVMLIIDALLGLAISFEELRN GDQATVYELIEWANRNEAFVMAVDVPSGLDPTTGKVSVVDGNRLYVKPRHVVAVGAPK RGLLEALIAADDNGDGDTILAGGEAPVPDDSVLEWKLYLVDMGLGQAVWKKAGTRMRK GVDFGEKWVLEMKYRGIVPSDAEEEEEVV MYCTH_2304593 MPANFATPLPQSPRSDILEWRFPKPYHNLTLTGRSRAAWHTSFV IPQLNLLLDAGLVVNNLRPKHIFLTHGHSDHTLLTPAFVKRSDPPDIYCPAEMKDALD GFINAKTMLNEGGGIWPPESTSPYLQKYINNKKGGEPSSPDAEDGVARHDPSSQADVL IEDDDSPAQEQQQDAGDDDAAADDDDGIHPLLRTHRTHPLRPGSTVTLARLAAPQTYT ATAFACDHTVPCLGYVFSAVTPKLKPEYRGLAGAELRALRQERGVEITAPVATPVFAF LGDTTASVLASGPEWLGGGGGSGSGAGGVRVVITECSFLWEEHRDQADRTRHTIWSDL DPVVRRSPDTVFVLIHFSLRYSDEEVRAFFRERDVPENVVVWVDGVGG MYCTH_2304594 MGTRREPILPQPGKENILVTSALPYVNNVPHLGNIIGSVLSADV FVRFCRARGLPTIFVCGSDEYGTATETKALSEGVDPATLCAKYHAIHKEIYDWFRIDF DVFGRTPTDEHTAIVQDIFSRLWKNGFIEERETTQAYCPTHSSFLADRYVEGECSICH DKGARGDQCDACGNLLDPLEPDRDASGNQETKATGWLINPRCKLDGTAPERRKTKHLY LRLDALQPELEKWLQAVEKGWSANCVSITHSWLDQGLKPRGITRDLKWGVPIPDGLEG LSDEDYAKKVFYVWFDACIGYPSITKTYTDAGNLEGKNWEKWWKNPDEVSLYQFMGKD NVPFHTIIFPASQIGTRENWTKLKTLSTAEYLNYEGGKFSKSKGVGVFGNNARETGID PDIWRYYLLSRRPETSDSEFKWEEFVDANNNDLLKNLGNLCQRVVKFCQAKLEGVVPE YDLSKFPALLQHKQEVNKLLQDYVTDLKAVKLRAGLATVMSISALGNKLLQDNKLSNQ LIAEEPDRCRAVIGLALNHLHLLAQVLSPYMPEKAQSILRQLGIKGSDGETVPARIPD TWEADALKPGHAIGTPELLFSNIPAAKIEEWREAFGGEELRKQKQIEAEKAAARKAAR EKEKEKKKLKKAAQAAQAAAAQGETSTLPIRPAPGNQASESETKPNEQAEATDKN MYCTH_2304596 MEQSHDSGTVPPSLEAHHESPVPPTSEVENGPDSDRNLSETQTS PSRLLTQLYTVSYLILFSILGTLARLGIQALTAYAGTPVIFTSIWPNFAGSLLMGFLS EDYMLFREVHSAFNPTPNNGETSAGAEKPQAALKKTIPIYIGLATGFCGSLTSFSAFM HDTFLALSNDLPSTSSSSSQEQPLAPPPRSGGHTFLALLAVPIVTVSLSLSALYLGAH LAAALAPFTPAIPRALVHRLLDRLALLLGWGCWLGAVLLSALPPRGRADWRGKVTLSL VFAPLGCLARFYASLWLNGRVPAFPLGTFAVNVAGTGVLALAWDLAHSGAAGAGAGLA IGCQVLVGIQDGFCGCLTTVSTWVGELAAMRRKHAYIYGGTTVLGGIAVVVAVMGGLR WSGAYEEGACQ MYCTH_2304598 MANLPDNVHVSQHPCLLAKLSQLRSQSTPPRDVKGLIHDIALIL GCEALAKELSSTPGPTDVTPLGYEFQTHQLAPGSVSLVPILRSGLGMIEAIQTLLPNP VPVHHLGLYREPETLHPVEYYNNLPNHLTAGGGSGSGPSELAIVLDPVIATGGTCAAA IQTLREWGAKRIIVLSVLGAASGVKAAAAEWPAGVEIWLAGVDEELTDRGMLKPGLGD VGDRLFLTIGK MYCTH_2304601 MSSRNKRAKSPDVDAIEEDEKQYGSGSLSLAELDEHFPNRPRNH SKTPPFSHLFQHLFNPLIECKPTTAGAAAGATRRTARGGAGGRGASSKLSYHEQRRHI VERFMSRWRAEVGPDFYPAMRLILPDKDRDRGVYGLKENTIGKLLVKVMKIDRNSEDG YALMHWKLPGGHGGGGGGGGGRFGGGAISTAGDFAGRCYEIVKKRQMRSEPGEFTIGD VNVMLDRLAAASGEAEQLPIFEEFYQGMNAEELMWLVRIILKDMKVGATERTFLGLWH PDAEALFSVSSSLRRVCWELYDPEFRLEQQETGVTLMSCFQPQLAQFQMTTTFAKLVA NLGVTDENPEFWIEEKLDGERMQMHMREDDSVPGGYRFAFWSRKAKDYTYLYGSGLED NNSALTRHLKGAFDSGVRNLILDGEMITWDPEVDKIVPFGTLKTAALDQQKNPFHSGP RPLYRVFDILLLNDKSLTEYTLADRHRALERAVKGAPRRLEIHPYVSATSADEIEPLL RAVVAEASEGLVLKNPRSRYQLNSRNNDWIKVKPEYMSEYGESLDLVVVGGYWGSGRR GGTLSSFLCGLRVSENFVRSGAAASREKCLSFCKVGGGFKAEDYAEMRHHTEGKWREW DPASPPSEFVELGGGERLQYERPDVWIRPSESVVISVKAASFAPSDQFATGWTLRFPR FRKLRLDKAWDAGMDVDELEALRSKVKQEEKERKAMEMENRKRKPAKRQKRELVIAGA ADLAGATADFLAVKKPKTDLFRGLDFCVLSEAVKPKKMSKPDLEKLIKENGGRIHQTV EKGSGMIILADKNVVRVASLKKAGDADIVRPKWVFDCLEQSGGEGYLLPFEEGHLLSA TEEMRKLAEESTDQYGDSYARDVGVDELRDILEQMELPEEGSHFDVDNFLDQLEEHGR GLDGLESFMFRRCRVHFALGEGVPESRALKLMNYVRFGNGEVVSDIEDKRITHVVVVG GKGETSASERVVAADVRYKVSSRRAVPRVVSARWVEDCWREGTLVDEEEYAPT MYCTH_2304603 MAPNVNKPSDTKEKEADVNRKLQIYGIISAFQLGKVPSNDQIDV ALNSFLESKALSRPSKKLSPEGQRLVEDVKEVVTQAKHLLLSKNDGNLLQDFIWQTQQ FDPNAVSTPGAPVSKEQARQHGNQALEGLRTLGTLIITNGQFRKLLSDATILLRDIAG DAATNAAARVRPSQEDLEQIDRPAQDNTWHDAPDMSKNSVKGKLQNYYKGNPKEDARA AISEATSEAHPSGSSDPRDLANTAAHDGQTCASSGVNATGGAAAAKDALKRNVDKNVD EETKEKARARRDEYRERARNYFSRKMPQERREQTIWRLKKMILECQQHPDYQHAIQTL LDLAEEYGDHANRLARGGSDTVKEARSGLVQAETDLKTLVERFANGTSTDDLWDSIKT IYSDADRDPQLKNWFKSLNQYIRRCLQEQGYILDDDSNAQWNRLHDEGNYLLRDKYRS HADRVVDEIKFLADQLDHDPQNKAFAASMTKLFTDLGNDENGRPAFKPHLVKDLTDVI IPAILQNIAYIPVPRIEYSEPRFDAVIENLVLESDNFMPNVLEVASENYMRFGRKKIA SSSKHSVDVKVTGIQMDLRDVSYYIKRKQGFPSITDKGVANFLLAGDGFTFRMKFLSP DKSDRKSFFKVDKVDVSVNNLDIKLVESNHKILFGLFKPLMLMVLRPGVQKALEKAIK DQAAKLDAMLFQIKQEADRALEQARESPEQAPNIYNRYVTAAQKKVLQGKRKAQETAA DKKVNYAITKEDSIFPNIHLPGGISSKATEYRELARKGDKWESPVFSIGSAGKSRDIP APPTVVRKLHKTATPGNVHGGYGGGAPNGNTLKGNHDLDGGLKAHSPAFDPTMAAAY MYCTH_2304605 MSILNKKSKFKVKTEVRKVQQAVAPKPATNAPGASSSSSTSVSL RGTPRASPVPASSLSVKRLQQQPASSPSHSSPAPAPSTGNPNRKRPALVSRRSTASAS PAPILSDSEPGSEDDDDWRERLDPSKRRKRAHTEDPGRRLRHPKLWAGDGDDEIPAIV HSAEVASLENKCQPVMRLGRDEVVVHLRYPGARSMERYELVWGKDKIDGAADIMKVVH IAASTYLTDTEAKPFLDHDTGIYRRLEKSKNTNDGNGFKAALADYNDRLLELQKKGAI AKNIDAMRGVPRELVEVILGQIYDRTVAPKVELLAKYENGTDNVYGELLHPFISDIFD RTKLSSDMVFVDLGSGVGNVTLQAALERGCESWGCEMMENACNLAEAQKKEFAARCRL WGIAPGKVYLERGDFRKNERTLEALKRADVVLVNNQAFTSQLNDHLVNMFLDLKIGCK IVSLKTFVHDNKIAENDVASSILEVEHLSYPGGYVSWTGAPGRYCISTRK MYCTH_2304608 MSDWRQEYLAGIRDAEKQHPVNQELISAYSQLLDRVSVLEAEKA ARETQAQPSAPPPKSGTSKDPTPPPPDSSSPDDTPLVARLRLELAEALRAKGQFQQRL QAAEEELARLRSKTASDSKALRDLTAERRTLALKLRDREEELRVKTKLVADVQDELAV LNMQLDMVERRRAEQEAENKQLVERFMKRVGQEVEAMNLANDPLFNRRK MYCTH_2304613 MDVAHPTAGWEQLGERFYRKIQLYTQVFDQDLELDNYLVAGAPY GGAIALYRDEEKLIAFQPTKPSKPSIDIYSCAGKLLRRINWDQGSIKGLGWSEDEKLL VVTADGTVRCYYDLHGEFNQFSLGNGADQVGVKSCRFYDHGLVALLNNNSLVSVSSYD EPRPKLLAPPPEGHVHAWNIIPPAHTLSRSVEVLLSINQTIYVSDAGECRDRFLDIGP FTHIAVSPNGKFCSLYTTSGKAHVITSDFESRFSEHDSRSKIPPKYFEWCGNDAVVIA WEDEVHLVGPSGSSAKFYYDGGRVHIVPDYDGVRIIANDTCDFLQKVPDVTEQVFRLG ADSPAAILLDAVEQLELQSPRADDNIQLIRPNLVEAVDTCVSAAGQEFSVHWQKQLLK AASFGKSVLDVYNSDDFVDMCETLRVLNAVRFFEIGLPLSYEQYQRLSPSGLISRLLN RHQYLLALRIAGYLRLPTDKIYVHWASAKVRLGSEDDDVVCRKIVEKLSGKPGISFET IARAAYDEGRGRLATELLNHEPRAGRQVPLLLSMEEDELALDKAIESGDTDLIYFVLH QLRRKLPLAGFFRVINSRPTATALVEALARQGDGDGGGDDGEDTTLLKDLYYQDDRRL DGASVFIRDALRQPESRTASDKLAIAAKLLSDNVKEHAFELGALKEATTLLRMQEAFD RDLTDTFTGLSVNQTMFKLIRLGYHGRAKKIQSEFKVPEKVAWWIRLQALVAKRDWNE LEEISRQRKSPIGCQPFYNLILQAGNPRLAATFIPKCTGLEPGQAVVMYEKCGMRVKA AEEAVKIRDAEAWTRLLEAAGRGTAEGREIERLGLSVFKT MYCTH_2315227 MTRTRSTTAGDTAARHEGGSSNFDSLPSIRFSTFYDPRATRPSL KFSPISRTLPTGKEVIRVGRYSERDNQPNVPPNTPSAAPVGFKSKVVSRRHCEFWYED GKWYIKDVKSSSGTFLNHIRLSPPGTESKPYPVNDGDIVQLGIDFKGGEEMIFRCVKM RLELNRGWQNKLNTFNMATHKRLRNMAAGNANTSGSYSQDCSICLNSIAPCQCLFVAP CSHTWHYKCIRSLLTSPSYPIFICPNCRAAADLEAEVEDPEDWEQLDSDEGAAAAQEG ASLQPPAADPDNPNSSAASAPRSSREPAHNGQLAPQQQQQRRPTEPTDMDLLIDPSPP REQSPPQLDGAVTHGDATVLSHAVSNPMPIPSPAQRTLPDDHREARTPSPTSAPVTNG HEGPITPRNDAGPWVFDGSGVRLSSEGARPAAAALSQGGANHAARSSLEAAVQAASAR LAMDNVGS MYCTH_2304617 MLRSCRPTRLLTRPRQPWNTLSGGRPSFPARTLATAVGRGIRVQ APSKEPTERDRVTTLPNGIRVASEDLPDAFSGVGVYIDAGSRYENESLRGASHIMDRL AFKSTGSRSADEMLETVEKLGGNIQCASSRESMMYQAATFNSAIPTTVGLLAETIRDP KLTDEEIQQQIETAEYEVREIWSKPELILPELVHTAAFKDNTLGNPLLCPQERLGAIN RDVIRAYRDAFYRPDRIVVAFAGVPHEEAVRLAERHFGDMAPAPSPLSRTGSETSLDS ARSESSGASDASSSSSSSSPSSDPEPSGLLSKIPFLKSFSPSGSGSSSPSAPITPITA EDLSRPAHYTGGFLSLPPQPLPLNPNLPTFTHIQLAFEGLPISSDDIYALATLQTLLG GGGSFSAGGPGKGMYSRLYTNVLNQHGWVESCVAFNHSYTDSGLFGIAASCYPGRTAA MLQVMCRELQALTVEGGYSALNPVEVARAKNQLRSSLLMNLESRMVELEDLGRQVQVH GRKIAVREMTRKINDLTVQDLRRVAKMVVGGMVENRGKGSGAPTVVLQEATAHGVKTA EMSWDQIQDVIYQWGLGRR MYCTH_2304619 MGSRKRAKPNPAADSGTPTSTPTHPPSGMPSQPSSASSRSGTAI TDQRTPPVSEAGSDGRDRTLAKQGSNQVHNTRSWYGSWPRVSKSVASTQVARETILGG TSKPAGTANLSRFEQKKGADSVSVSDGPQSGSATPKTQDDAASAGKCPSEPASLAAGN VVKPEEDKTKPGDAGTESDTKMLDAGGEEETTRLPAEQSASVGTEEQPAAASTPQRPS SGWFGWFARTTTAEPVSSANAPVPAGTTSQEASVPIPVEAEQSRPPQDSQTDKASNPP EEAQEAAGIHHQPPTQDKKATGYGSSWLWYWTSRTAPAPSQPEPGPPPAEPEATSAIV KEPEDVVMQDAPAAEVAPEPPAPPPKAGSTWAFWSRDSGSTSTKKPAQRQGEQGQLAV MGERSETLPQRANSMEFESTKSTPAEEPSLKPKQKKERAEAAATPSREPSSKSSNNKR VRLKSMEVDGAPPSRPSTPQAGTTTKPSAAKTPAAAKVSPPNLLLPSFEGTYKLKDNP SIIKQIAQLLLRTRQPSGKHVYRTKEPPKIKSAIAIGVHGLFPATYLRPMIGQPTGTS IKFANHCAEAIRRWANSHGCEDCEIEKVALEGEGKIGERVENLWKLLLNWIDLIRKAD LILIACHSQGVPVSIMLLAKLIELGVVGSARMGVCAMAGVSLGPFPDYRTGMGILMGS AAELWEFADPQSEVSKRLEASLKVVLNHGARLTYIGSIDDQLVPLEVCFAPSCEATSN TYGL MYCTH_2304622 MGSLKRKEGPNGASASKSNRAAPESRPSKRAKASESAKAKDDSN KGAKESKPPAKPPATTVISKPKEEEPLFPRGGGSVLTPLEQKQISIQARKDVLFEEQS ESKKGDKAAKKKRRKSQVDATVAKATKDEDAVKVESLNFKRLVKGSLVLGTVCAINPL DIAVALPNNLVGHVPITSISESLTQRLQESAEKEEAEEEEEEEEEEEGGGSGERGADD VDLNGLFYIGQYVRAYVTSTLDESTPGKSKRHIGLSLEPAHANTGMSEQDLVENSTLM ASVASIEDHGFVMNIGIADSDVKGFLPRKQLDKSIPPENLQPGSVLLCIVTGRAASGK VVQLSTLSDRLGNPKHNPAEATTIGSFLPGAAADVLVSEVSQHGVIGKVMGHLDVTAD LVHSGAGPDGVDIVNQYKVGSRLKARIICTFPNAKLPKLGISLLPHVVSLKPKIANKD GQELLPTAILAHSAIVNECTVRKVEPGIGLYVDVGVEGVPGFVHISRVKDGKVDTLFE NSGPYKVGSVHPGRVVGYNNFDGMFLLSFEKKVLEQPFLRIEDIPIGAVVPGVVEKLV INQDGLGGLIVNIAQGISGLVPEMHLADVHLQHPEKKFREGMKVKARVLSTNPAMHQL RLTLKKTLVNSEAPAIKSYDELAVGLQAPGTIVNVLQHGAIVQFYGQLRGFLPVSEMS EAYISDPKEHFRVGQTVSIYVLSFDPETRRLIVSCKDPSAFGLEKQLALKKLQIGDLV SAKVTQKTEDDVFVELTDSSLKAILPVGHLTDKSVSKTQSALKKIHVNQTLSDLVVLE KNEARRSIILSHKPSLVQASKEGKLLRSVDDARLGDTVAGFVRNITATAAFVQFAGNL TALLPKTKLPKDAQDKLNFGLFKSQSLAVKITSIDRDLGRLLVAIPSVSDEEARKSSK PADRAVNALDDSVSSMDDLKIGKITKARVKSVKETQLNVQIADNVQGRIDVSQIFDKW EDIPDSRRPLKRFKQNDILEARVLGIHDARNHRFLPITHRSSHSVLELSAKPSDLKPD TLPEPLSLDKIEPGSSYIAFVNNVASSYLWVNLSPNVRGRISAMEASDDLSKLANLEK SFPVGSALQVRVLSVDKEKQRVDLSARAPGEAAQLSWDKIQQGLVLPAKVTKINDRQV VVKLSELVAGPVHLADLADDYDEANPLSHSKNEIVRVAVVEVDKSNKRLRLSMRPSRV LNSSLPVKDKEITKSTKLEVGDIIRGFVKNVSDKGLFVSLGGDVVAHVKIKNLSDAYL KDWKEHFQVDQLVKGRIISVADGRIEMDLKPSVVEQDYVPPITIADLREGQIVTGKVR KVEEFGAFVDIDGSMNLSGLCHRSEMADRNVKDARTLYNEGDRVKARVLKVDLEKKRV NLGLKPSYFKDDEVDDMDVDSEEEDAGAALNGEEDSGDEEMSDAGGAILVGGSDDDDD EEDEEDDDEGKSDVEMGEAPEEGLTGLEAGGFDWTADALDADEDAKAGPADEPIKKTK KRREPQGIVDKTAELDINGPQTSSDYERLLLGQPDSSELWIAYMASQMQINDLASARQ VAERALKTINIKEETEKLNVWIAYLNLEVAYGTEETVEEVFKRACTYNDDQEIHERLA SIYIQSGKHKEAERLFEERILKKYGSRSPHVWINYAHFLHTKANQPERARALCKRATQ ILCNPANVHTNPSQVYLTLLPKFAALEFRSPSGDREQGRTLFEQLLATYPRKFDLWNQ LADLETSSFRDGVDDVGVVRDLFERGSKVKGLKPRQAKAWFRRWAKWEEEKGDAKSRE RVSAKAQEWARMAGKKLDDGKKGADGEASEGEEQ MYCTH_2304623 MTVESIIERAQESEKELSLKLSKGPLDILLMSPEDLEADDASVC DSVPESVLSAQTVSIESIPSLSDSFTTDNTLSSLETPPTPPRRRRVQPTRRSLEPVSS PPGESESHPLSSPESDSDVDHLDFRVFAPKEEAPGKKTPRLQFTPIKSAFKSNLTASL RALRQAARSFSNLNFSSIPPEDFLTRSILTLDPQVPYTDERRPPPLEEEPTAALRRYL NPTTTARLERPRTVADGPVLRTFTASIQMQTYKIHRARSVSPSPGRPPHTPAGPTSPT TPSTPSPSRATEHPMPGPRQREMRENSDFIRIAVMEMAMRKSGKLDDQRPGRARWALP PRKPSSRPYEIRPDGVPARWASVTL MYCTH_93897 MCQSNGYFMQTQDAVASKWVVFAVIGRQKIFPSERRLQGYGVTL EIFFEVDQRTSTTPCYTRPLLLSGKTAAESGWLPSDTTDKEPQHQPAAPQYSGYTVSY RHLANADEAESGCREGSPAQSGKVEYLAGAMEHREAFSQWNPACENHFTAQMYSADEK MELRESGGNARHIPINYLAGLVRRGAAKQRALSPWRITDVGFLSGLDRHQPNQMGAPI TAWYSTLHVWAYCRKLIPAAASYYALVIRASPDFPIMPRSARHL MYCTH_2126894 MDTSSLLASPTSPAASGLQIFALSVNFALPALALLAVSVRVAGR LAAQLFGIDDWLVCIAMLLSLAQTVISFFFIKTNFIGIEPEEVPPHDPTQGLIWAYAV QVLYNPILALVKSSVLIFLIRLFGQRKWVRRSLVWLNMVNISQMVGVFFAVILQCTPI SFNWDLTVRGGYCVDRRVLYIFTAAFNIVTDMLMLGLPLRVLSSLKIPKRAKTALLVV FLLGFLVTITSIIRLLLIVQGIFNTPVFPISDSNVGFVSSAIETNLALITASAPALRP IFRSRNHGGWFARSVMATAGAPGGNNSSKSTIATITVV MYCTH_2304624 MDTSFLAQQVNTIIGQLHGLFDEIGVPHHDRDAREEEVFAALSD ALNNQVRLVTAEKQEMIDEAQRMITQIRQMEASLDDSKPRRDSDGNLKITYPLTRCLQ VLKEKHTQVSRLHRERYEQVKKLAQALESYSLHLEPSFVELELPPTGPNQSIPPSFDL SPAYVDKLDAEFTRVYEEYTRRVATVKAVAENIIQLWAELGTPQAQTDGAIVKYYRDA PEQLGLHEEDIARLRSKRDKLLEEKKNRERRLKDLRSAVEVLWEKLGVEEKDRKAFLN SNRGCGIRQINEFEDELARLNELKRQNLHVFVEDARCRLQELWDALYLSEDEMLEFTP AFSDVYSDALLEAHEREIARLEILQEQRAPTLALIDKHKSLVHDRDELAASSQDASRL MMRGQKGEKRDPGKLLREEKMRKRIAKELPKVTAELRKALEKWEDEYGRPFLVHGERY LDCLEAEEPKPGPGPRSRTPGPTPTSSNSANSGLSRAKSVGSVRGSQRGRAKTPTAPN TAKSTQPSQGTLRGAPPNSKGSPTRLPARIPLSNLKHGANSPDRPQSRTETGGARQVN LVMRAPPPKMRDLVPPPELETPVNHYYRSAGLGSSIVRQVEPEDVYDDRYSSSQENSR PVSRDFYSSRSTQLSYPQAPPPARQSSNTSSSSSNNSTVVTGSENWETYDDNSEPEED LTDIYLAKVRAARAANVPRPQSQLKRHRGIPPAHHEGNRIVSGSEWTDEDGY MYCTH_2304626 MSPPPSSTRSTHVDASGATRPSIATSTSALAAAASRNANPAVSA ALQGATLAFNKQKAAAAVGGNGNSNSNSNGSSAGSLGLGPAPSRQPNQNNVHAITAGQ GTGPNNRSGTGVSAASAQRPEPRGGSGALLAATRAARDHAAAAAATTAVTRPRPVDER SPGYAGGGVARHATGGTTVNTSLSGDGESKEAAGSAHWSGTELDGGGHAHGGLVAQRL LELHAAGGGTGSHRSTLLPPPGGPDCLTGNQASSPSSTSPSFIAATLAASRSASPTRG PIPQLDLNGGRPTRARRQSTSNASVSAGSLSSSVMPERDLPDTSSIPPTTSLVSLFES KRGKDDVDPVKKKAPSVRTESGDVRSQAGGEEAQQAPGKAKPKPVPKPKPGFSPDHGR KTGLDGNARGSASDTSQRGNTTALDGSDDNKGRSDLRPPRSPPASQSAGHKPITKPSA SASQRPTTPPSSAPDRAISNRTNPQTMKLLGTPRMEPPTPPERASGTTMKGPAVPCTL STSRNDRADAVPHDYQDLTPKPRQPSQSSTPSDDTFVSASSVQLRTMPPVNAADETAV QRPPVPRRSPSPRSTTTPEFQPPLSSDTSTTNLTLGSLTNAIVASNIASARLTPTSSS QPPPVPAPRRSGRSSPLRPHHTADSIRSQLGGGGSGSRSPSRRNAPQQQQQQPHKTGV LLQTLRSLHTSLSDDEDARRHQLHHHHRRRNKVLGGGNRKHAHHEGSRRRWRDEITPR ERRRYEAVWASNRGLLLRPGWAVQYTAADGDEPEGEGKREAEADSQDDDNNNNNNNSS SNNILDQSRAPPGRPEAELVVNVVARDIWSRSRLPADELAEVWDLVDRGRRGALGRDE FVVGMWLIDQRLRGRKIPARVSRSVWDSVAGGAALGVVVPLPPKVPAGSKKTGQGWKG W MYCTH_16353 KGANPAQWLHGVPHLNEDVWGPDAEAFRPERFIDNTPPGEGKRR RGSLIPFGGGTHLCPGRRFAVTEITGLVGAVALLFDVDDVTVPTMRAGFAGCAMAHPD WKSSDPPRAVFRRRKGWENVQLRFTV MYCTH_101623 MSDDKSAGRLKIILGVDYGTTFTGVSYVTSDKTSIDDIDVIRTW PGDGRPVEGNWKTPTVIAYKAENRRARRNHWGYEVRRGMLSCSWTKLLLDTSAETAEF DDPSLHDAAGSAFFHIPEGKDAQMVCQDFLTEVYRFVIHNLQMRITSKVFDMTPMECY LTVPAIWTDKARTATWEAAKAAGFGSRPFDTIRMVPEPEAAAVAALRKDLRPGSVNAV KTGDNALILDCGGGTVDITTYTIRKTFPSIEFDEICVGIGGKCGSTYIDRNFLKLMAE RFGPAFEDVPLKRRGPGSEFMASFEKVKQSFGISENVSFEIYPIHMQGEFDEEHYDPE EAAVILSRGDMEHIFDPVVQDVLCLVNQQVERIAHTKGKRINIIVLVGGFGNSDYLKH KLDSWCAINGIKCIRPTFWGLEGVMPRTLICRRHYGFRCGLRFRPGIDDEQYAFEDWD GELFCSSRVRWMINKGEKLSESTYRTTTVRRIWSAESSYTFKSNLYTCNLDSAPEHYD DSGVVHVGSIRVDFANVDMSMFRQRTVLGGVEYELKYKIGVDFRADEGILRCFCLADG KTVGITTISFTDLIS MYCTH_2062435 MSRSVQNQEEQIRQVQALVFEGIGSDSWAAGDDGTVRADLENLH SRLKSWAKKYAIEDMREIRKLVAEEYNTFIQLLAEVVHLNSGVSSPIEHLESPLMNKK SPAMCIQGLLAHHVYSRVISQPFFVFGDGATLLQSIFKQIQQGMWDTLRCIDQNESHM LRSRTLRLLATPPPSANQGNGTHDSYRALQKATCRELAGVFYNSPARHLIKPASRADG EAASHCFNDLESIMQYAGELSHRLWSRRTTLRVRTLHDLRETPFREGFEYMRAHPLHR LYEDDDRCDGWFASVVTHPAVVGLGSSDGKDYSTPRVWIKAEVWLAEDAANGAARGGE ESRVYK MYCTH_2304628 MADAAATIPTPEPDAPDAPAAAPVADLPSGEPIPSSTPPSKTPK RRSIPLGALLAALPSNVDAFLTRLDKCLSTPSGIDTVMLLLCYTSKTGASVLSSLSQS ALRRSAREWIALVASLPRGTTVVFSSPAAAGNTKATVPPVAALALLLSKRLSALSSLL SEARMILRLWALLGMYFWARGLVRRTLSKSTTTTPEKQDGSAPSRLETAIEYLRLALC VAFQALENGAYLSSRGVMGWSPARQGEAYRWSARLWGAYVGIEIGRLAAERFGPAGAD GTAFAARPPHEKSEWAKKMARQLAWAPLTVHWGSEKGLVSEMTVGLLASIPGVIQMRD LWASTA MYCTH_2304629 MTRHATIDNDSGAAAASCGPDSCSPTTVDSLFSTTVALTPVFLT FAFAFSLALTRLFPALARLQQQQQQQQQYQRAGFQGSKQPPDGGGISIDDGQDHFLPA SAPPSLRQAHAEQAARSPRRRLAAAAFAATVALAAVLAELILAEILELEGVVLGARAR AAALRVTIPALLGMLVGAVPVLEAQSVLASRGWRFGRDAKGRLVSRASWAVLGCVVAA WLAAFWLVGRAVPRSAAGGIAPGGDEEWWKTAGLASARGTGEGSRFDSTAMTIGRAAG LRTAETGPLGARAFGGTGKGGLSRACLERIGVIGISLMALLSGFASVSSPWHTFVDSR TYRRRPVTDADIARKQAGLDATSELLLTKRHRLRSLQRKAQLAAEGMGDGTPHGVATG SGKGLMSKVIGSLKAMTGSGEAAEIKALQLEISGLERMEANLAASLSALKSRQAAHSR DGTALGRLLAVPRYVFASYCVYRILATTLTTFRRMYYPSASFSSSDPINRFLGLLAKH WDPKLDQIAWARQISFLLSGVILAASANSALQTLRIFAKWAPGLLYQAQANLALLVGQ VAATYVISAALLMRSSLPKDVSRTVGDALESALEPGFIDRWFEGWFLLASAATAVGIW VGRQVGPSFGDEWDEFAGEEMGQKRS MYCTH_2118373 MASTASALSLSQFQPITSATIPLSCILAYDTEIPGCAISDFVEG ATCSSSCLRGLRRLEYALQIVCDDADAPKISLLGQALEGNLAAVLCPGRSPDATMSSS SSSPTLVPPNTTTLTTTLAATQRTQLTFTTVQPPSTTLTRSTARTETDTETGTGRRAE SKTEEPTTSISSTSTSPTSTVTSDTPRPTFVQFTSSTTASPSSTSTAEAPEETDGVRS GGFTPFELFPNSSTQLITSWMTAVAISLAVSFLLL MYCTH_2304632 MSRLTKQADPLQVRASRVEKSSHSQRGSRTLPAWYYRVRTVSFK EDREVHDYDFDEDLSEPEDDKEQERDDVEQSKKEEGCACGLDDPECDGQVDYNDNMGE DESERSYNGSDADYYYELKDKREERKQEKLRERKEKEQQREIEKTKEDEVCAADRCLD TAEKGRETIPVGPLAGQSFRLYCSDHVDYFYSDLYGTKRVDFYHLDVNERNPGDEADA LYGDVYLDSNANCNFGPFRPPKRASRKAFKVKSCDDKYELSFQFIGNGYLKLRVSREM VFMKPYDASPPSPPPTAPDVFEFAGILRDWEKEKAERQERMAKARRSPSPRESWFEMN HPMGSWSL MYCTH_2060489 KKTTKDKSNVTYYNYRKKGHYKRECRSPKKEWKPAPRKEITAID EITKDVIEVAATSYKDKGSNIDSLRHDGNSKDEQAPYSELVTIDLEIGLAEWDMVGEY VPLVSILLALRQ MYCTH_2060623 MTKDKSNVTCYNYGKKGHYKRECRSPKKEWKLVPRKEIADIDKT TKNVTEVVATSYEDRGSDTDSFGHDGNGKDKQVLYSELVTVNLEIGLAK MYCTH_67473 MWFKKIIRAIARGINSIEELERVERKEATAAAVAEASRVTASSS TLSCLSADFGQL MYCTH_2126919 MTRDITIAAFASIVVAVQMVGLAYYDREYSDALDFLSRSSSLSD QIEIWFWRC MYCTH_2304645 MAWDHLSITKPHLVYIILGGFTSLFMLCSSVIKERMYIGEATVA TLCGVIFGPYAANLINPESWGSVDIVTIEFSRLVLVVQCFAVGVELPKYYMEKHWKSV TFLLVPVMTFGWLITSLFVWWMVEPLNFLESLVVAACVTATDPVLASSVVGKGKFAKR VPKHLRDLLSAESGCNDGMAFPFIYLSLYLIHDKQSARDVSFHWIVYTILYECVFGAV YGFIIGYLARHGIKYAEEHDLIDRESFLVFYFVLALFCAGSGSILGVDDLLVGFAAGV GFSNDGWFTQKTEESHVSNVIDLLLNLAYFVYFGTIIPWKSFNDAAMGLQAWRLSVLA IFVILFRRIPIMMALKPVIPDIKTWREALFAGHFGPIGVGAIFVAMLARGELESEDPV PLSELPKPGTENYTLLSLVWPIVAFIVVSSIIVHGSSIAVFTLGKRINTLTITMSYTQ ANEDGPHWMNRLPRISSQSRSQARTMSDTDAEELKLPEFPPGTLPPVGFPGNFLRRVK EDDTSRHGSRSSSLAPRRRKKKWDDGIGPGGPISQSAIYPQRRGQGEEPSPGPPRGST DQSRADDVPQLPTDVESPVVLEEKDSDRLSLAEASEKPAGEDRPASSEERRDAVEVYE EGGNIVVENRAGDMLAVEPMHGEENTADRAKSLATELKAETETSGWTYEGLKKRIVAW REAELAKRKGKGKTVTKSEPARAFQFGNTIIVENEDGEVIKKYDLPTQKPQADLVSQG LKHMGMGVFAKAIEKPSEGAAGAGESSTGGEPSATQKQRKKSVVAGQEEDDDRHIRFT IGGIGRRMTKEDFIEQMQKLDRSTRREVVDKSDASRGLKTLAKQDAPARPTPQITVSP AQQSSASRKDYAPAGATKSTQQPPGEDERRQRESRSSSRGKQESPSDTSDNGAAETEV ERRRRLAALKGVDAEDGSDDEDDVADRRGRSINKTRREHDEDDVETAAERRRREAALG MAPHADNGEDSDDDDTPRVPPSKRSIRFADALERARRE MYCTH_2304647 MRRSIKAEGCSGAQPTHPANNDGSRFINRASATLKQYPVLRFFV FLILSMIAPLGMKA MYCTH_2080045 MVQLVEVEDEHFQQPQVGPEEDDDDYTDTDSEISTESNYDPSEE TLAERLAALRDMVPATTRGWIHHKYEVTASAVRAALSFAGRAAWTVSVSALLVGVPFA LAYGEDQNFAAMEQEQRMRELGGEVLTAPVSTGSAAAAAAAGGMTAEEIGAALGGTKG GRAEAKAAL MYCTH_2304655 MFCSGSNSGGSKSEKTEADSVSDPTSGARKERASSNPPSPDRGE TGGSDRTSPSPVKTSDQAASGEKRSGSGSAANAGSSAEQKKRRSSGTPLQKLGKQDQA LAVPQGQHNNAAGESLPGPRSTFRVGVWEDRNKKCRRTMEDTHAFLYNFLHTPAPAVG TGAGGQAQAQAQAGTAGVAGEGQGGDSSDQDMIETDNGYFAIFDGHAGTFAADWCGKK LHIILEDIIRKHPNAPIPELLDQTFTAVDAQLGQLPLKNSGCTAAIAVLRWEDRVPSS LSATGSQSIAPALAKAAEEAAKSENPSSLAASDATHAKLKSSAVRQRVLYTANVGDAR IILCRQGKALRLSYDHKGSDENEGKRITNAGGLILNNRVNGVLAVTRALGDTYIKDLV TGHPYTTETVIQPELDEFIIIACDGLWDVCSDQDAVDLVRDIQDPVAAAKLLVDHALS RFSTDNLSCMIIRLDKQALLENQNNKEKAIGVEGDPSTASGKVSEVEKILKNAQAKVA EDGSPSVGVSASNSGKGHDPSTAEDGNAGFVPTVIQGPVEEEPASIEESPDATIPAGD KMDVDSSADTSKDTPVGTT MYCTH_2304659 MNILEWAFGKRITPAERLRRNQRLLDKAIRELDQQRVKLEKQEK TLISQIRQSAQKGQMGACKVQAKDLVRTRRYIEKFYGMRSQLQKVSLRLQTYRTNEQM MQAMKGATMALGSMNRTMNLPSLQRIAMEFERENDIMEQRQEMMDDAIDDAMDVGLEE EGDEVVEQVLEGIGVDLSQALGETPSGLQANTVAETKIAQAVGGGGGGTDPGDDDLQA RLDSLRR MYCTH_2304663 MSQEYFSPKGKSVSRHDGDDDHGDSQNAASTSRPAAPGPSTAPG FMTVGTGTTSQYAAHLQAMLEQDSGYGGSITSDEVSSIRSGPSASAAWDSAVQADRPI RPHASSSNHGAPDHRTQAGAVHQLWYNQHRATLGRAITAVVELLRELQEKNAVWPAHY PSVQRVALDTPSNPASRPGIHQTYSTAGDLATAPSFSQPAPMLRRAMTSLEDAEAESS RSAQNRAPSAEPRLVTPQIAQEFSVLKLDLKLGALHQAELVHSLEKNSIASLLDGKIQ SSIRHLQSLRERIEDTSSKVLVTGDLNAGKSTFCNALLRRKILPEDQQPCTSIFCEVL DARENCGIEEVHAVHKDAVYDRHDETTYDVYSLRDLEKIVVDNTLYMQCKVYVKDVRT IDESLLNNGVVDIALIDAPGLNMDTTKTTAIFARQEEIDVVVFVVSASNHFTQTATEF IRAAAAEKAYLFIVVNGYDTIRDKERCQKLILNQIRALSPDTYKEAAELVHFVSSAAI PMAPAPPGGPGGGGSGSAGGGGFDDPGDDDDPKGKGKNKEMERDFSALEQSLRRFVLE KRARSKLAPAKTYLMNILNDVNVLATVNTEVAQSEFDRVNKELQELEPQLEASKRARA EVSEKIDQTIEQTCKDVYDYSRSTISSAIAHAGDGNLGIPYPGVFGAFQYADDLKEAM LSQIAASVVQCEEHARTKTVSGVNMIKQLGILHLGNEYENLNFKSDVMFQHRKHALAR QVDIATEFWDFVDWSTLLQREEKAGMALTVAGVVGTGVISGYSQMNIALRVAQLLGSD NLRRLIVPGAIAAAAAMVFYVLSQIPHSLPHRLNAKISAQLAAMDYVHANSNRISGTV RKVLLIPANSLRVGLQRSVEQLDHRREETAKVRKESSDALRYFGNLVQRSAHQRRQIE ALDLDAHLPGAQGVF MYCTH_2061764 MHHSESALLDCKALPKIELHAHLTGSIGRQCLHEIWLTKRAAGE TDLQDPLIEMPAGKFDYDLKTFFPLFSSYIYNLVNDAAALRYATLSVLRDFAADGVVY LELRTTPRAMPAAGLTEAGYVQTILDAIADYERTEQRSPGLRTKLILSIDRRHSPSQA ARVLALAKQFLGRGVVGLDLCGDPAAPLDPALPAVLDEARRVPGLGLTLHFAEAPCSA GAAELDALLACRPDRLGHVICVSERVRREILSRPGIGLELCLSCNVHAGMICGGFEAH HFREWWNVDGTVVVLSTDDVGVFGSPLSNEYALVIQHFKLSRSEVCALVRKGIDVIFG GEEEKSRLREILWRE MYCTH_2304670 MDPGRSSDVSPEAMQARIQQARREAETLKDRIKRKKEELADTTL RAVAAQAHEPIPKSQLMRTKRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYT TNKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNICSIYNLNQNRDGPTRVARELSGHA GYLSCCRFINDRSIITSSGDMTCMKWDIETGTKVVEFADHLGDVMSISLNPTNQNTFI SGACDAFAKLWDIRAGKAVQTFAGHESDINAIQFFPDGHSFVTGSDDATCRLFDIRAD RELNFYGSESILCGITSVATSVSGRLLFAGYDDFECKVWDVTRGEKVGSLVGHENRVS CLGVSNDGISLCTGSWDAFLKVWAY MYCTH_2304672 MSDPTPAQEEFAAFIDKNSRSELDGVHPEDREAVAKELEDRERS EDEEDRYRASLIDAAMRMPTMDARTEIRLPPAEFDRGRSTGVKGVIADARSYEAARQS KWKERVRAARRSVFGLDGSQPNRSSGPDSDGSGTEDPDEEAFLEQWRESRRRELEEES KKPVRNRRTSPSIRLYGRFDQVDALGYLDAIEKVGKETVVVVFVYDPEVCTPSPEQFF FVHPYTDPGKQSEVSAAIESALIPLVSTYPAVRFVKVHYGDIEFDNAGVPAILAYRNQ GDLFANLTGILEMIPDDDDFDTESLRKLIIKQGIL MYCTH_2304673 MEAQTTESREPRVILTNTESGDTTITPSPAEPLTASVLSLRFPR PQGSELVAKWVSNSSADIRQPSDMSDTGSLAESAYEVIHGTDTESQDDRLTESTCSLS RSRPEDVHSLDGSTDHYNTDSEDEADQLSHASSIKYADQALQNPSTQLATSALENGSS TEGLGLTVRSIQLRESDADDPVLAQSIFAKHVIREFSEEESAEIAENLALPNAPRHLL ASIRQTMSQSYLSIQEPLRILYVGRAEAQRAIVLKICSAIWASPLSKHQDDLHRYREG IYNIVPISSFGPEPELDLMEASQFQIKVEHCTSAEEVTYQGPQTPGDAAYSIVIEQEK AYLSIATTDGAIVEPKWDLPHIAIFYTCADDCEEDSATRHAAWSFMQRHGVPSIFIAE QQEFRDPSWGMYIDEHSVHLCLESRDPEKQMSPWRFPVDFASFTNIDARQLNRNLAYL TKLVEAEDNTGRSPARPTATLELQPEVRASLKKTRRSPRWSFDTLELARYFWSVFPAL LLLAGPFFALSMVDWLRPGGLPLFHPSSSVGICVPSETYPGFTTSRSPTVTTSTKTVV INVTSTKTVQVGQTQTSTSTLASALSLAGFLSDKPSAVPTDIEANNKKSSHSDKTMCS IRVYSATEILLEIPSKNKAVWLAKGAIDIAVRRNNNPLKIRISSVDEGLLVGLEPKDA NGVLNITVITNRRPRINETFEVDFGKSTVAEVTEAIEAGLRILRDALRDAPWIDDGKQ LLQDARKLGKEFTSAFGQTSDTARRRTADAIGKAWVNAREQLDRQREAANIFREEFDL SILQAQIASRLWWLKIQGKVEEYTEYKRNASKFLRLRHKELAKDQGARTGSPREMKPY SCSRGGSYAPWKSNCGKRDAADAASPQQDGAWEIPWRKLMGGS MYCTH_2304677 MSAENDSKPLEEVKAPEAQAEQTTEAPASESAAADNKPVTSASV FSMFGGGAKKEKKDEDEDRGDISGSAKAQREAAAAAAAAAKEGGDEDDQPPESEDVHF EPVIRLTEKVETKTNEEMEEQVFKMRAKLFKYVAETREWKERGTGDVRLLKHRENGKT RLVMRRDKTLKVCANHYVVPEMKLSPNVGSDRSWVWNVVADVSEGEPEALTLAIRFAN SDNANQFKDAFIKAQKENEALFKKAAEAKAEEATGEEKKEETKEEEKKEETA MYCTH_2304681 MIVERKIKVVTEQHNIDKPAVNEGFPMKEWTVEIYILDQEGKEK PARCFTKAVYHLHPSFANPVQTFLEPPFKCTNEGWGEFEMSIDLYTTEKGGKQTIIHD LNFAAPQYENIHTLTFKNPSQALQQILRETGPLPSDEERKIKKADGSKKKKAFDIEKM ADGLVKLGEDDLLQVIQLIHDHKDESTYIQNNIDAGEFSVDLYTLPDPLLKMLWDLLV KQGVVNP MYCTH_2304686 MCHVLVSASHKPDDSSAPVPNSAQSDVHNARRDVESRQSSNAIT PGRYHNRHSSRQERPAAEQAIDPELTRRDPSNDPGYSEAIKAWGRFRFVRAGWFTAQE AIDYIDYYYKYLSPLTPISPPTFNNPASHLTLLTEEPILTVTLLTIASRYRRMPGTGG HCRSHAIHEQLWTYLRGMIERVVWGQEAFGGGFCGSGADDIQSSSTAPWRGLRRGSLR TLGTIESLMILTEWHPRALHFPPNEATDELMLPSYDPPSPGATGGNQKPSAGFGGRRI ESWLEPAWRSDRMCWMLLSTAMGLAYELGVFDDIDEMLATGAITRPEYEDESYRLRAN RIKRLLLIYLSQLAGRLGWTNMVPERLRKSDPAVSRRRRHSVEGTTPGTNPSSVSNSF NYIPDLELDDQIIHCWAGISNAMQVGNEKLFRSRKHTTEIIQSGKYTELLAEFQPMLK EWWNQFERFRLPPYIRHILTIEYEYVRIYINSLALQAVVERCTSNAGGGSGPGGSVSG QSAPQLSPQTQNYYGKLPLGQLGGFGAEDQEYVREVVNGSRNLLRTVVEGLLPGDYLK HAPVRTYFRIISGAMFLLKTFALGAPKADVELSIQLMDRTVDALRNCVVDDVHLGIRF ADMLETLTSRLRNRFIYAPPPPVPMTDGRSPGAARQDAGNGSGTNGMAPTGQSNETWL KVREGPGPERSTTPANISATPWDLTAGTFPYPTGSISMFGPSTPAAASGLDNSSNSAG AGAGNTNGGGGGGSIVLGDGGLFDNPDWANPNNEMWYLPTGPAFFQNIDNSTVSMTAE GVNVGGIDLLEYMVMDPMDHQNLGIDGNGF MYCTH_2092688 MAAAELWRHEAPAETPMWRFLEHVNSKYGLSLKDYPDLYKWSVD NVADFWGDVWHFVGIKASKPYDGQVLPSDAPMFPRPDFFAGARLNFAENLLFPANAEV DESATAVITATEDEGHLTETSWAQLRDQVRRCSNALRSIGVKENSVVAGFVANHVQAL VALLSAATVGAIWTGISPDNGVSAVLDRLTQIRPKVLFADNATLYNAKEWPCKAKTLD IVQELKKHGLEQVVVIKGLPRVETGLDEIREKGVKAEEFEAFLNSSPDSPLDFAQLPP SHPLYVLYSSGTTGLPKAIVHTAAGTLLQHKKEHFLHCSLSPSSRMLYYTTTSWMMHH WSVSALACGCSLVLYAGSPFKPHGYSSLPRLLSSLRVTHFGTSAAYLTTLEANNIRPV TDPSLDLSALEAIYSTASPLPPSTFSFVYTAFPPKVNLASITGGTDIISLFGAPCPLL PVRAGEIQCAGLGMAIGVVIPSSSPEEAPRPIHDPATPGDLVCTKPFPSQPLTFFGPN GDAKYRAAYFERFPGMWHHGDFVRMDPRTGALVMLGRSDGVLKPAGVRFGSAEIYNVL TRFFAAEVEDAVCVGRRREEDRDETVCLFVVMAAGKTFDDGLRRRISEVVRRELSPRH VPGIIEEAKGGVPKTSNGKKIEVAVKQILSGMEVRTNASVANPEALDWFTEWARRADE KRVPN MYCTH_2080075 MPRKLSNPFSTSTMPHSERVGERRPSHSKGNRLAGFFSKSKEQA AAHHAVASQPTAASPSPLPTISLSAAAGEKLDTDETPTTLFEPPSADEAKKQERFEAQ FAPLLDKSHLYVSAHQGKPLEAPVIDEPPYYYVLTTYLSYLLLIFFGHARDFFGKRFG NKKHYQTLKVHDGYAPLNDDFDSFYVRRLKLRIDDCFARPTTGVPGRYITLIDRKSDD YNRSYKFTGTYTQTLNVSSYNYLGFAQSEGPCADAVEECVRKTGLSFASPRADAGTSE LVLEVEREVAKFVGKPAAMVFSMGFVTNAGSFPALVSKGCLILSDELNHASIRIGARL SGAVIRSFKHNDMQDLEKKLREAISQGQPRTHRPWKKILVAVEGLYSMEGTMVDLPGV LALKKKYKFFLYVDEAHSIGALGPRGRGVCDYFGIDPAEVDILMGTLTKSFGANGGYV AAEKHIIDGLRMTNAATLLGESPTPPVLMQILTSLKLITGEIYPGQGEERLQRLAFNS RYLRLGLKRLGFITYGHDDSPIIPIVLYNPGKMSAFSHEMLKRKISVVIVGYPATPLI SSRARFCISSAHNKEDMDRILAACDEVGDILQLKYSTGIAGGLEPLPDGVTPETEQEW RRANGFVGVIKPPRWKLEDVLARGVEDAKKPLR MYCTH_107520 MVKPRREKQQTLEATLGRPPVRPTIKTPRKSKPKQNSSAPASSS PAKIEEAARSSPLVPSSSFLHSSQVLGSARKKKVVYDDSSSEEASEDEAQAVTLPVVK TGRSRQRQSSEPESSTSSSDEEEDPRGDSIDRNDGGDGVIDEDEDDEDEDDAPLAAPT TRRKRRLVADESDEDDRPIVSSPIKRRRLVRGNLPSSSPEQGNTDEDEEEAPAPTPAR PTRARRKPLTKKEKARELLRRKRAGEVINEDEEISSSENEPVKAMYDTDSDHVALNEF EDDEEGVYEPKVDDEKERKAKKKKEKEEEEEETDEAGADGESEAESMDDFVVDDSDAP IGAPDLDIPLKFTRHSHKHLREHFRDAIEWLVHLKINPGFSEREHPLFRMAWRKLDDE VRGLATSKFSSSAWKSDFQMALRARPEFTSAELPKGDMLESRSCDACGRSGHPARHIM TFSGTPYFKDAAKLYRFLQPVEANSSDSASASASDSHDEDEEADEDEDGNLIPKESKQ WFIGAVCNSNAETAHTLMHWKFALLTWVETRLHEEGYMAPSALAERERMRPKKKYKLV DKIMSRWVESGVVKALYQDFKGTIEQARNKPTTGRHR MYCTH_2304698 MNFDSGTAYAESDADDEYERDIHGSSPIATDVETSPIDSDPQSA EHTPTTYGYRSSADRLPETIISEWTAEECADFIASIGLQQYSDIFIENEIVGEALVAL QHEDLKAMGINSVGHRLTILKSVYDVKKAQDVPIEPDHYMPLTAETQAQSSYATLKDI KQLVEQLRLRDERIALVEQDIRRLMEDYRRLREDVLPAVRMVKDAQQPLPNVSNNGPA YENSLSPPAPAQSTAGQSGAGVKRQYSTKKIMLGTTPKATSPTHLQTTHDRSIVEQTL DPSSAAERAVHSSAHLAAMNGTGGSSSSPSYPSPNMPSPTSPPTGMLTGTTLGARSYR SADQTTPSSRSTFGQDDHYNQSKNTAPRRMQTPAPETPGAANNASVEIFKSFRVSMDD PCYKVLPAALKKYQINAPWDQYALYIVYGDQERCLALDEKPLILFKQLDKEGKKPMFM LRKTNNAPAESAAGDGPGSAGLGGGSGAAAGGARSTGVGYDPPGGII MYCTH_2304699 MLDENLPAFRLKQSSDNPHSSILYFTQNGSEPTPEYLIRRADPS LPAAQNKYGIAICDPYNTGVVYGEVVVEPEWSQPTLSAAEIRAQAQAGAPSAPAVAVV PDSFTVLLYNPDQSVTVKLVPGSWGKSDTWEFELPERSFRTPTASELDREQQNSSPAA ADLISRIMFRWKKDGLLSKDMTCYMTGKRVGARKNKEPDITVALFKASRESVLTLYQP NLHRVELEDRKGLELVLLLASEVIKDFWLVPKPNLFNVRGGGTPVLNGAKRKNSRPAA SAAVATPAAMSGALANVPPPQNATSTVPAAAPKTNTPPPPATIDAETRRLQAMVEREQ REQREREKAEREKAERAEQKRIKKMLEEEEKERRRREAEVAKETERLRKLYGVEGQEL PSGRPSHPPRTAAQPVPPSPGPPPVHTAAAPGGSPQQQLSPWGCGAPPALPPRPVSAG PYSCPPPPSLVGGGGHGGQKPQQQGPFHSSTLNALWKGAADGLHQLQSQGHGQGLRPS GRRKSEEERKRVQKKSSSHW MYCTH_2304703 MVIQQPSNQIKLTNVSLVRLKKGKKRFEIACYKNKVLEWRSGIE TDLDNVLQIPSVFLNVSKGQTAPSAELAKAFGKDKSVDDIILEILRKGELQVGEKERT AQLERVHNEVVSIVASKLVDPRTKRVYTPGMIEKALDMLSSMAHQQQQQQQQQQQQQQ QQQQQQENSSNKNSNSNTPSTTTGNAPVTGEPGEGRPRPTPSAAAPKPTWTGVTTNKS AKSQALEAMKALIAHQPIPVARARMRLRVTCPTNVLKQAIKAPKAPAGTDGGGDGEQK APGTVKDRILSFIEQVESQDVMGFEWEIVGFVEPGAFKGLSDFVGGETKGQGRVEVLD MAVTHED MYCTH_2304704 MRIAASTVLLGAASAASFQQQAQHVLSDGFGKAQEAMKPLSDAL ADAAGRPIENFEEAFSGMTAEAKALWEEIKLLVPDSAFKNPSWFSKPKPHRRRDDWDH VVKGADVQKIWVQDANGESHRQVGGRIEDYNLRVKTVDPSKLGVDSVKQFSGYLDDEA NDKHLFYWFFESRNDPKNDPVVLWLNGGPGCSSLTGLFLELGPSSIDKNLKVVNNEFS WNNNASVIFLDQPVNVGYSYSGSSVSNTIAAGKDVYALLTLFFHQFPEYAKQDFHIAG ESYAGHYIPVFASEILSHKNRNINLKSILIGNGLTDGLTQYEYYRPMACGEGGYPAVL SESECRSMDNALPRCQSLIRNCYDSGSVWSCVPASIYCNNALIGPYQRTGQNVYDIRG KCEDSSNLCYSALGYISDYLNQQSVMDALGVEVSSYESCNFDINRNFLFQGDWMQPFH RLVPNILKEIPVLIYAGDADYICNWLGNRAWTEKLEWPGQKAFNQAKVHDLKLAGADE EYGKVKASGNFTFMQIYQAGHMVPMDQPENSLDFLNRWLSGEWFAK MYCTH_2304708 MANVQCHVIYVDRSVSRDRSVRANRWNSDGDAIRGESAHMAENV RLLLDAFDEVHLCSTGAACLDQWLSLQEPSMAALKPTLFILDTPYQDEMARRDRSRSP SPSSRIADSGNQGGEELYGLALLRRIVSESYVRNVSKLVVTVPIIAPPYCDRSDGRDG TGEPTGRAVADSSHQLSADERRAANRRMWKKCLEVGAADVMTSPMNPRCVSNLEVHAY RAQLDAARDQKALVELRRGRKRSWVGICEEKPFSYLREAMVSKLMGRICRIGSEADEV THSIKLSITAEKQAQVTSAVGQWHFCAHDFTEDELLVAASVMFEHALSMPELEPWRIP TDQLHHFLLACRAAYNTFVPYHNFRHVVDVLQATFHFLVSIGSLPSYRSPDGSLLSQA CPKSPVAQLLRPFEALTLLITAIGHDVGHPGVNNGFLVTLNAPLAQLYNDRSVLESFH CAAYSQILRRYWPSAFGDSKMRHLMISSILATDMGLHFDYMQKLGDLQAKLHANNSTE DWEESVKNEQRALTCALLIKCADISNVTRRHDAALQWMRILSEEFSRQASMESELEIK TSLMSEPKQDTLSLTTAQLKFMNLFALPLFQGVADILPAMQYCVDELHVNKELFDKCL LDEQKRQSPARPVLRDHDSELSIRSIKFTSSPEPATEVNPARGAIGSVPKVVEPQTPD PAVSQQADFHRPNSHPKRPTDGRIVNGIVTSFPSANEPSHGEDARMNGAASPRHGHTR QRCSETTDWGSAPNSGDWASQATSATTGRMPLSPSTKGTSIVSCDSFERPLSYSTSVP IPSVVAPDESTSTVPNSATTTGSHTDFKLENYQPPPLLLDEENDAPRNGHQQHNQYLN GGSAKKNDHGGAEPADPGARQLKKKPSRFRINGLQNLFRKHKSSSPPMQAADTAG MYCTH_2126943 MDGWSAMKWKGASKGTVSGEARKVWVRNPSLPVAGANQSARAKW RFLQAGKQQQQQQQQQQQQQKELTIGQR MYCTH_2304715 MEPEQNVAEGREDVDMLTITESQRTETADGPKEEQGNQEETEAP ENPPPDKQAELPLRNDIPPLDQQIKTIETLVQAFAETPVKEGDEAYLVSRKWLAKAQA CRSDAKHASKESSDGSPGPVDNSDIIQAIFTDSTGERCVKLKPGTGIEDFELFPKDAW DLLLSWYGLAAGQSPIVRVAHNTAPDSVSIPTIQFEFHPPVFTIHRMWSANSPIPIEQ EVKLKKPAPPVIVQSTSSSYHKFLKQAKKLVGVAPDRKVRVWRLLQTIPATEPTSEPS GIKTPPDSPGRGHGVLTQAPSTPGAWPEMLVDVATFLTLEKDVERGLVDSEDTTTNPN YNGRKNLALVGLAVDQTLVLDEQIDRDAFVSNYRGGTVKDRALAARSSSTGLVAQRGN ASGRSSPAPQGALTRGRAQQKPGRTLGCVGLQNLGNTCYMNSALQCVRSVEELTKYFL THEAQKEINPDNPLSHNGEVAAAYGRLLEEIYRDPAPGSIAPRHFKAVIGRYASAFSG YGQQDSQEFLGFLLDGLQEDLNRIKKKPYIEKPDSTDDMINNPEAVREMAAKVWDITK KRDDSVIADLFTGMYKSTLVCPVCDKVSITFDPFNNLTLPLPVANVWSRSVKFFPLND VPVEIVVDLDKNSSIRALKQYIAVRVGVPAERLFAGEEFHSKFFKFYDDGSAVSDEIQ SSDVAVVHEIEAAPTNVYGPKKQLALNGRLASPSQDEDDSSPVEDPRLERMLVPVLHR IDPSDPSARKRYVRKSDTALPPPHFIVLTPEEARDLEAIRRKILEKVATFTTWSKLSA PEDTDVAEGTDSEMVNTTVSDADSAGDSKVVAKSVEGEDDMVDVTMRDASDARNNTSA ATPVEETPKVLKRFNKRRPKWVNPVEFLDPELQNLFDMSYFYESGTTVPTGWTSTTDE GVLPRLSSRLPRVAASDIEMQSPATLDGSDESGSEGSGRLPATVVTRMAEESSDEDSE FTRHKNFHSRPGNQFNGRKRGGKHKTYGKGKGRFNKQNRLSRGKQPAAQPAAVEMPEE SLPTGALVGLGEGIVVEWSEAAFDLVFGGATPDEMRGMKTYLKVPTLEDPGLQAKQKA RQLRKKHGIALDDCLDEFEKEEILSEQDTWYCPRCKEHRRASKKFDLWKTPDILIVHL KRFSSSGWRRDKLDILVDFPVEGLDLTKRVINKEEGKEEVFDLIAVDDHWGGLGGGHY TAFAKNFIDGEWYEYNDSSVSKQKDTSRVVTSAAYLLFYRRRSKVPLGGPRFQEISDR FNQSALRDDASDSGEGERLGQGSSQRGSPSALTGAGPALLRESRGWDNSGRRAASERP SYRGGNNDDDADMGTTWSNQDTLHNSIEGDGEDEGIGLSDYDTAGMAGMTSIIGPTSW SFGNLVEGSKPGSEVGGGDDIASDIAQNDGSSVQGDMTDVFDDASGMEHLLSRDPEAD YVGPPEPTAPVVGFVGDDEYGIPPPPSAADQELIGKLAEQAWVDGEVHKVPPVGDLGV IEDDQASDKVAEIHVDDPEMEDQTTAAAAATAAAAPEGKSPA MYCTH_2304722 MAPPSPEPQSSADPPIRREGEVVVVTDRLEKPSLDDRSYRVIRL PNQLEALIVHDPKTDKASAAMDVNVGSFSDEDEMPGMAHAVEHLLFMGNKKYPVENAY HQYISAHSGLTNAYTAATSTNYHFEVSAKPGNQEEPSATNPSPLLGALDRFAQFFIEP LFLENTLDRELRAVDSENKKNLQNDQWRLHQLKKSLSNPKHPFCHFSTGNLETLKTAP EGRGINVRDKFIEFYEKHYSANRMKLCVLGREPLDVLQTWVVEHFSPVKNKDLPPNRW DQEVPFTRELLGTQIFARPVMDTRDLTLTFPFIEQDHLYDSQPSRYISHLIGHEGPGS IMSYIKSKGWANGLYAGSWPVGPGTPEVFECQITLTEEGLKNYKEVVKVVFEYIALLR ETEPQEWIFEEQKGLAEVNFRFREKTQSYRFTSKLSSTMQKPLPREYLLSGYSLLRKF DPKLIKEGLDCLRPDNFRMTIVSRDFPGKWDKKEKWYGTEYSCQPIPDDLMEEIKKAA ASGPQTRTAKLHLPHRNEFVPTKLEVEKKDVKEPALAPRIVRNDPLVRTWFKKDDTFW VPKATLIISCRSPVATASAAGRVKSRLFTDLVKDALEEFSYDAELAGLEYTVTLDSRG LYIEVSGYNDKLAVLLQHVLVTTRDLEIRDDRFAIIKERISRGYRNWELSAPWTQIGD YMSWLTVDQGYVVEELEAELPYITADALRVFHKELLSQMHMEILVHGNVYREDALRLT DMVESTLKPRALPEAQWKIRRGLILPPGSNYIWKKKLKDPANVNHCIQYFLHVGSRDD YNVRARVLLLDQIVHEPCFNQLRTKEQLGYIVYSGTWTSVTQYGFYFVIQSEKTAPYL ETRIEEFLKTVATMLEEMSEEEFESNKRSIIDKRLERLKYLEQESNRHWTHIHSEFYA FDNAPQDADHIRPLTKADMIEFFNEYIHPNSPSRAKLAVYLEAQAKSDVSTKEISELI KTLEIDEAASAKAASDLQARLSAADHDVEKEVAGLRDYLLHDLKVPEGKIDAASEAWR EIHAKHGPGNDVVKDAEPPSANGTTPVFIDDVRSFRASLPASNGAKPLKDLSVYEDLE AKL MYCTH_2304725 MAPTPASNLPVMAKQQTPKTMSSRLMTMKFMQRGAAAAAAAAAD TPDASSPATPGSDDGSAKRRKTSHTPSAAGSPATPLFDQQAIRAAVEEEERKKRAAIE KRAAELGDSHWVLEGAASLPPRSSRPLLNVVEVGFAQIDYASTPGRDDDDDPFDLGGV SGQPQFQRFNMKKSKAARKEGDESSGSSDSDSDSGSDAGEVSDDENSSEAAKAEPSRG RQSTVGSAERRRPRSSISARREEERRKAQQLAGKRRKKEIKLNQLTSISSAGSQAFQR PSSALSCHGCGKPGHKVADCPKKKR MYCTH_2304728 MYVSRLRGAAAAAVSSLPRRAAAANNAAFFSTTARQLKGDVASP SPPPAGTTSTTAHAQTTLPTAEGPAPAAVAQAPNRAEIWSRSQRPRAQAMTGPRFEQT DFELQPRPYAAIELIHQQPVRWTHERIVACDGGGGPTGHPRIYINTDKPEIATCGYCG LPFANEHHRKHLESLPQTSYPLS MYCTH_2126948 MAIITKRATNVPKRSSQLSSWLGQPLHPLLPVRQPSALPGRPST SRPRAATGQFIRRGDDGDGDADEDRDIDGDGDADSSCVVYDHPKDVNTSVVGTEFDPH TSTSIIKSGTLYPSPSTIIRPVQDCLALARYECRGNEEMLIRTCQWTRRFHMKATDNR FGTNAALRSLKGDTNAQVDGYLSELGHLHYGSPARGHVAQVTTTEAGDESNEEFDGEV YIVP MYCTH_2304734 MPSKLPWSGKNNRSQHNLVASRGAEDPVSVPASAAGGANPPSVG AGAGAGAGAGGANPPSASPNPAFSSSESFQTETANAGRGNHAQQQSPPPPPHLVNPYG DPGAGDNSASIPPQLQHSNSVSAAFDSRRQRDDQEFPDQVTRSQSYRYTQPSPTSTQQ LLLQQQHQEHQQHHHHQHYHHPHQQHAQHPQHPQHPQHPQHPQHPQHQQIQPLPGSAS VEDLSSPGHPNISSPILGAPPRPHYVQQQQPPPPPPPQAQPPKPKQSTRKLIKNILNP RGQDFAPQTQHNQHGGAAGPARRNSKRVSLPTPYPPPIRTGASQISLDQQQQLEWQNQ GPPTQPSPLQGVGSFRESFVTEDSDQELRVQNPQDNHHSTIRPVPASDAESSSYSTED NGYRPHRGHLSSHGQLPPELQHQQYNQAVFEADSHPHQHQQQQQQQQQPQYQFSDYQQ QQQQQQQQAQYQGGNQQAFSGHLAANPQHQNPETVSQLSHESPTKDSDQPSTSSVPPP TVQSGSAAVNYPAQTQDLPGLQNPLPGAQTRAPQQQTMAPPSGGPPPARRSQEAEKMR EQVQPPPGPPPNYRQSQQNPNMNPLPQPPNAGPPNPNFRASNVPDRQQFDGQGEPQGR NSPQPPPNDRAAEDPEKAFKDLLTKYKNVKRLYFDGKKEIEQLNGQVEQLQNAIANQR MSQSRTSLDDSEYTTRFNRLNGAINNLSFNIRKDWASLPAWLAPFVSPDALKTGKQEM TAVGRAVITRWLVDEIFNRCFHPGLDPELSRQLKAIELNIRNFSYTMNSQEEFDALTT KVVNWRMATLEGLQDVLQSPESANYRNDFTRRATSNLTASLFQYLVDPPPAGVEGSAS MIVELAVGIASNLPLESRDVAILYPLPEQPIQPELMEVEKTGLPALEPRPSDASEEAP GPGAGAADNGGGAGGAGGGKGEAPTNKDGGSGGSGGKDRRGDKRSAHPPPKDPGKVRF AGFVAVEVRGRQVLVKAPVWTLG MYCTH_2304739 MADSTPSHLDPSALGTKEYWDSLYEKELSNHAENPRDEGTVWFD DSDAEAKMVAYLDEHAEADHALDRAAAAVLDLGCGNGSLLFALREDGWRGRLLGVDYS ERSVELARRVGVSRRRAARRGGGGDNLDIAVEEEARAEEEGKKETGKEEEDKVNDEEE EDDDDDTEREVEFKVWDVLNGSLADVRAAPPTATTAAQGSEQGQGWDLVLDKGTFDAV SLSGERDDKGRRVCEGYGERVLQLLRTGGIFLVTSCNWTEKELRDWFETKTPPTATGE RLRLAGRIQYPSFEFAGVRGQTISTLCFEKTAA MYCTH_2080102 MADATNPNDELYPIAVLIDELKHDDVLLRLNAIHRLSTIALALG AERTRDELIPFLDESVEDEDEVLVALSEELGSFIEYVGGPQWGHVLLSPLENLAAIEE PVVRDKAVESLNKICGELSTQQIEEYFIPLTIRLSKADWFTSRVSGCGLYTAPYSKIS PPVQAQLRQQFGQLVHDDTPMVRRQAATNLAKFVKEMPAAIVVEEMIPMFQHLVQDDQ DSVRLLTVEVLISIAEVVPKEQQASHGVLLTSLRGLIDDKSWRVRYMIADRFEKIAKA VDEEVVARDLVPAFVKLLKDNEAEVRTAIAGQIPGFCALVDRNTLLSDIMGTVENLVS DSSQHVRAALGTQISGLAPILGKQETIDHLLPMFLQMLKDEYPEVRLHIISKLELVNQ VIGIDLLAQSLLPAIVQLAEDKQWRVRLAIIGHMPLLASQLGIQFFDDKLSQLCMGWL GDTVFSIREAATHNLKKLTEVFGVEWASEAIIPKVMAMGAHPNYLYRMTTCFAISTLS TVVTMDVIAKSILPMLEKLTNDEIPNIRFNVAKTYSALISALRRLPDEGTIYTLEQAK TPFSPSPRGQQLIDERIMPSLEKLQKDDDVDVRYFATMAANAATGAAGPAGEPMNTSP MYCTH_101588 MSVPQSKHVFRNVHALRGGKPELGDLALNDYHLVFQFPPESATT ADPQLKKRVRQAWFAFHVIGHCTLRLTAPASGVPSSIRIRFRDFTFVCFNFAEDKQAR EAFEFIRARTCRLGSIENLLAFNYTPPPGSPENKINGWDLYDARAEFRRQGISEKSAD LGWRITNINKDYTFSPTYPAVLVVPSRISDNTLKYAAAFRSRARIPTLSYLHPVNNCT ITRSSQPFVGLRGKRSIQDERLVGACFSASMDSLDVALSANTDRSPSSSHVDLSAESA DSDASLSETERMRMEDELIAGTNAQYDEKTGKRLIYGAQQHNLIVDARPAINSYAMQA IGMGSENMDYYRFAKKVFLNIDNIHVMRDSLEKVVNAIKDADISPLPPNRDLLAKSKW LKHISGILDGSAIIARQVGIQHSHVLIHCSDGWDRTSQLSALAQLMLDPYYRTIDGFI VLVEKEWLSFGHMFQLRSGHMSHESWFTVDSDALAGSTIRPGESEGRGDAIESAFASA KRLWNKSMGTEKDTPPPEAEESLAADDSKQGYAPITEDHATRPRDVSPVFHQFLDATY QLLRQHPTRFEFNERMLRRLLYHVYSCQYGTFLFNNERQRREAKLHERTRSVWAYFLS RRQEFTNDQYDPTIDDHIKGRERLIFPRLGEVRWWYQLFNRTDDEMNGDINASLATAE RVAAYQAASGLSEPGVGGETASSQSETGSGDSASSSRSLQPPGLTASQSVLAGVETAH EALTPEARATASLNRSASTAGMPGALGALQDRLSGLAIGKGVFGSAMGSSSTGRNRPA GNRKRRTEGKR MYCTH_2315249 MAVPGTQISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPAKDFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKAGPQKSLPDAVTIIEPKE EAPVVQPMSQDYGAKAAAAQAAAEAARQEEQAGEEEPVAAEQ MYCTH_2304750 MLEDKYIGLALAMTSSLAIGTSFVITKKGLMHAEERHGFEGDGF VYLRSPIWWAGIVCLVLGEVFNFAAYAFAPAILVTPLGALSVLIGAVLGAYFLKEELG TLGKLGSAICLIGAVIIVLHAPPDEEIETVDQILNYAIQPGFLFYSLAVCIFAVVMIY KVAPIYGRRNPLIYLSICSTVGSISVMAVKAFGIALKLTFAGHNQFTHPSTYVFMIIT AVCILTQMNYFNKALSQFPTNIVNPLYYVTFTTATLCASFILFKGFNTTEAVNTLSLI CGFLVTFTGVYLLNLSRSDPNGTKMLARRSNGDITGTDMISSIQTRMSMEARRSHGGG VGGSRMSTGSSRDRDREGLIRAYDEEESAGGFGLGDLAEDTDEEGGDDPRSPMMHNFP TQRGNGHPPNGGTRAGPARLGVKSTSDSIELQNRKSGER MYCTH_2030873 MENAGLSVDSGPPDQLDLGFQLDLKANAEHDDLDAKRYGLGLDR SYEDPEQALPGEDPEDAYEEMEQLSAEEASDDVDAEPELDLTVFEAHQATASGEHIEN TGLGDSDARDNVEYQDEIGYEDDDHLATGVNAGESNTEAGEADGGLPSIPSEAHLEAA SQTDDSHHEASIQENDESWNRGDIDLEPHNENTESQGSLSHTNEAEPSEHEQRSGLDP VDEFTSERHNADHYDGNLEEELENLAHTVSEIPDIEVIYNQECYSLFGTSDDDPDSYF LSDVKDLDRPLSQFLSALRAVIPEEIAPSDELLIRFDPLDLEFGERSNAKFLNRTFRE ILDCHSTLCRVPGVSADPVIILTVRRDSEEHFLEILADAERVKNCSPDADDSELSENA DERSRTSALDVHDEASERADPGDYEDEGGDAAANHLQESGRLESEVALDHEDVAEEHE HEHVPQRSTSEREFVAEEHMEDPRSGEIHDTAEHDLEVAPDEEVTDAEEHFENVPGES PEDIGESGSWNEQTGEDSGVPQHPLEETDEHNYHMAEQHDDGSAEESAEVTGSSAVVE AAVPEDGEPEHEADSNDNDLVLAFDDEPDASTINEDVDEDGEYAITYDATDTAPGEAE RIRELATAATSGESAGKNLGTGAPSDTAVETASVHTSTTMDGDEEIDYNTEETADDAF APTNDRSQQSVVPGVNHDEIDWENDEDEYEERLASQYASVDHEESKESALASPGGSGK RSRTDEEESLADKT MYCTH_2304758 MVVLAASICTRGGKAVLARAFHDIKRSRIEALLASFPKAANSGT QHTTVEQDNVRFVYQPLDELYMVLITNKQSNILQDINSLHLFAQVVTSTCRSLDEREI LRNAYELISAFDELVTLGYRENLTISQIKTFLEMDSHEERIQEIIARNKELEATEERK RKAKQLEMQRKESGRSGRGGGMSRPPVYPTYTPPVRPAVTETYDTYEAEKNKSKFTAP KGKGMQLGKKSKTTDMFERVKTELGPVEDTPLVPVATPSAAEPSSAPRASSSLDRDAI HITINEVITAKISREGTLNSFSVSGDLSLRVSDPSLTKLKLNLNATPSHGAQFRTHPN VDKNAFNSSRAIQMANLARGFPVNNAVGVLRWRAAPKADDASVLPITFTVWVNKGSEG NCTLTIEYELSGNDTLKDVSVVVPFRSAEPSISSFDAVYEVSGDSLEWSIGTVSEDNS SGAFEFEAQTEDEDEFFPMQVRFTKTSPFVDVDVQSVELIEMNEEVTFSKEIKSVAES YVIE MYCTH_2304760 MAADPTSPPQNHLAELPLLGTTALPAPATGSHLACNPVIALAVT VGEAGAALHVWRAGDQGLVSKHLERGGRKVEAIAWREDGQVLAAGWSDGVVRLMGLEG AKAVHQIRVSDECRGSGDGAADGGRIVFIAWRRNVTAEGRRRRGKGDGQVGTDERRIL LDGERTGDVAVDLPRELIFLEAETALPKLSPLPAGGAADDMFLFSSTASLETLFRPCQ AEDADNVHVMVVGTADGEIHLSLNDSFVVGTLKTPPHGEVTLELCGHSSRAESSTHML LLQPRAGDGTRLYLVPMRLGFLDHSPVNLSLLASKVTSLQNLLRYLKATLSHMANEWQ STQELPRRFMAGVEDDLKRLPSGSMTVVQALYHTAATGHVFEPVKEWLLDTLGDRGHK RWEKAVVAGLTGLRDLVHGNFIPALERCGVILSRLLGIARFYGPEEAIGFDEDQVRKL TDIVSCLMMTAHRVLTIVMDELEHFGAFSTWLRLEIDKQGSSSHAEELSEKEATMDHP RVLSYIRYYLASSPLALHLDEVAKEDYIRDREMVTPGLSLTDLLDKQLQEHDAGRPYM KVLPRIGFQLNYLTAKANAVFEGIANSEKQGVEFGRATEVSVGRKIWKHHLWMGRTGN RNHSTGVFTAVVLEDDKSRIYIVRSDVPLSGGVGEATATRACGLGLPDGVTVVDFKFL DDKSLLVLCSQKGKERIYKSGKHVEANEQTEEPKSVLLRIAYQSARMPYQEHVEGQTP PMLELGGTRKDMVTSSYAFSNASGFTPIQMEVQRASKLRGEIPARVCLMGRDRAVLRT YALPSTLDEEENQQ MYCTH_2304763 MNEPTIPPAAALAGLRPSAPMPPTTTATTATATTTTFSSGPSRQ WSHPPSSQPSEAPPRPSHSGPAIPVMLNEAALDSPTFRATAVHFSDQLDAIERWLDSY ARSTSKLVHDVLALEDTVGAYLSKISPPAIASPADSPVLDADYTLPALRRAGDAARDW WGGVLAVVRRLEPAGVEPIRAFVQGDLRNFREARRALDAAQKTFDATIARYAAQSKTK EPSALREDAFAVFETRRAYLKASLDYCQLAPQVRAGLDKLLVRICADVGREMRRTSAG GGGGGASSGSGRPQQVPWWDELERIRGWAREMDMAEGVFRRELQIARRDIGESTLALY KPSRELEDYSVSTVPFLGSKGPMSMQRKDGAAVISEKQGWLFLRVLSGKPVRTTWVRR WYYCRDGIFGWLVQGPQGVLQGDEIGVLLCSARPAVQEERRFCFEIKTKTQTIMLQAE TQAQLIEWLEVFEVAKKKAIEASMGRDQSSSRVGGADPVFSVTPPSIPDFSAKTLDNI DEPPTVDRQSSLPVPGQDGGLARASFDAPPRRSITTHLGREDGESGREHAARIIQKLD LHRKSAFTSWADTSTSGPAGGSLNPSAAPSPQIGHAPPLRVPSSVAPDYTPGSLAPVT LAKPPVTTNLSKTAILASANAGASRGLPSAALANYWGSSPYTSTMYCVSPSLPHTPKP DMSDPFVATATTTPRAPAVEKPSASASSHRKTVSVDATITDAKARKEAPSDTFPPNYP PELRAQYAQFRLFFPAAPVNDKPVLVFNAAWSSTSSDGKEAGMAGNGRIFVTPDRMYF YGHQLGLVVAYAIHLDCIAELTSAPGRDCDFVFLHLNQDMPDIAYSRITIKVFLEDFS LLQSRLDLLVDNLQAAEPMDLSELIAALSALNREQDDRRSPSVESWEEVSSNTPADDG TPFGRPVSRRAHDSHGRYRSSRGLLKKSSVQKVQLPTQPVVYEPEDMGKSVAERHFEI SAKSCFHVLFGDKSFIFPKLYFGRGAKEMAQGPWELQDHGRMRRQFRFKVEYADVLGR KRPGDVVDTQTIDVFNDHITYVVTHVKTPWHLPHSHAFKLVTKVVITHLAKSKCKLAI YTKVDWSKAPPFSKNMVQRQALDDAANDAEELADAATDQVRKLGPHSRTKRAIQVYGD IGQQQQVVVFQPDATPEGQQLLLKSGGAAVRPRTLTDMMLETGRSFMESAITSVMMWA FAGLRSIFKMVSANRWILLLLATSAAYNLMVISQSGAAWWVERRSARYMNRLGVGPNL MMSKAIYLADLDEAAGRTALHRPEDSGRSQCYDTFQSLLNATISLDAPYQSAGPLSGF GSTTNQVTARRLRRVRQRMGAYRHDLLVAMRVVNKIELEMVQSEWESWLAGETRRCEQ VKDLLGRWEDGGHSGSGKEERVKGQVPAQSLLSGAQTWESASDGDDATGGSGEKQQQR RLAALRAWFSEYCTSCALEERKISERMETPF MYCTH_2304768 MTTIILGSQWGDEGKGKLSDILCQKARVCARAAGGHNAGHSVIA NGVSYDFHLLPSGLVNPQCTNLIGSGVVFNVEAFFKELAALEAKGLSHVRKNILVSDR AQVNLELHARVDGLEEKELAGNKIGTTGRGIGPSYANKAARNGIRVHEIFDQETFERK LRRLAEGYKKRFGDLLEYDVEEEIARFREYRGMLGEYVVDAVNYMHAAQAKGVDILIE GANALMLDIDYGTYPFVTSSNTGLGGIITGLAVNPRGIKEIIGVAKAYTTRVGEGIFK SEDLGEAGKKLQEIGREWGVSTGRKRRCGWLDLVVLKYSASVNYYTSWNLTKLDVLDT FPTIKVAVAYKDPDTGEELDYFPADLGYLERCEVVYKEFEGWQTKTTSVRKFEDLPRQ AQSYVNFIEEYTGIPVKWIGTGPARDDMIYR MYCTH_67390 MAFESVTVSLPQASLFRFPPNPNCGFVPPPPAGSTSFAPPVDIP DHVYHAFLDPKVPITIAAVYAVTAKALNAYNKSTGKKPWAISKTFAFRWFVIAHNVFL AVYSAWTWWGMLGTLRRSVISPLGPQGVSGFVDSLCRVNGAAGLGNAAFFNDETNSWQ TFSPEAVLNEDGVPSRFSAGRMWNEGLAFYGWLFYLSKFYEVFDTLIILAKGKLSSTL QTYHHAGAMMCMWAGIRYMAVPIWIFVFFNSFIHALMYTYYTVTAFNIRVPVFVKRSL TTMQITQFLVGASCAMIHSFISYTVPVITGSQTDAPASAASAAANGSMAAATGGVLDT VKGTYARQSVRCITSSGETFAVWLNVFYLAPLTYLFISFFIESYIRRSNASQPSTRGA NAGVAARRLSNNVQLAEKAGWEAAKNVEREVYGESNEEAVVSGTKKANGRVLRSRK MYCTH_2304780 MPRLPGEQQTPYYAAAYPQRPQYHPQQQVPSQPPAIATAYPTST QLNSPLSPLGTPGNISPTSSKNHLTRQIRPLYVPAVLRPTEFPSKEPPAPPQPEDDNG TTEEFLRPSSSSFMSLGGLSAFGRLSRRSTGDSAKFVDSNWDLGQFPVPTGPPTREHW KPDHESTICDHATCKRHFSYFTRRHHCRKCGNIFCDQHSAYEIPLDQDANFNPRGTPS RACGHCYTQFKEWRRLATSKPPRRESTGGIRQCQTDTPSTPVAASPTGGGIGSLPLHT PDAAHSVPRDWNWSTF MYCTH_2315255 MKLYYVGVLDNSRKPAVQLCAAYELSDFSRFTRNEYGNFMTMIS KTVAERTGPGQRQSVEEQDYVVHCYSRSEGVAGVIITKDYPHIAAHSVLSKLMDQFLS EVPLDTVKAARNDGDVSFPAVQDFLNNYQDANQASSIARIQQELDETKIVLHKAIDSV LQRGEKLDDLVAKSSDLSAQSKMFYKSAKKQNSCCLVM MYCTH_2304786 MAATVRSVTRGLRSGVVQPTTRSRGASLQPKRLASGAAAVHAHE PSPPPSSHMPATGAPASLDGQDLNGSAQSPVHPARSRRDRIRDAKPFSEFLTDSFHRQ HDYLRISVTERCNLRCLYCMPEEGVPLSPSRELLTTPEIVMLSSLFVSQGVTKIRLTG GEPTVRRDIVPLMQQIGALRAHGLRELCLTTNGLSLHRKLEPMVEAGLTGVNLSLDTL DPWQFQLMTRRAGFSAVQKTMDRIFELKRAGAGIKFKINCVVMRGLNDREILPFVELT RERDVEVRFIEYMPFDGNKWSEGKMFSYQEMLDLIRTRYPDLRRVKGHRNDTSKTFEV PGFTGRIGFITSMTHNFCGTCNRLRITSDGNLKVCLFGNAEVSLRDIIRRVNKGEPID ETAFKALEQAALERIESPPSPASQPLVVPNSDELLNVIGMAVKRKKEKHAGIGELEHM KNRPMILIDAPTSPPGRTRNRLSWHHAGLPSRPLPSKHLPGLLIPPFTTNSAATTHQH RFFSNSTRRNNSNNSNNNKSKNNNDNDVGEKNDNAAGPAPRLTHVTASGSAHMVSISD KTPTRRTAQAKCAVRFSSPLPLALIRDNQIKKGDVLAAARIAGIMAAKRTPDLIPLCH PIALTHAEVELVAPPPPPQPASSGAGDTDDDDDDGSSRVLEITATVSCDGKTGVEMEA LTAASAAALTVYDMCKAVDKGMVIEGLRVVLKEGGRSGRWVEGDGSRG MYCTH_2304788 MHFSHPVKSSVHSLPSPDGNYIATVFASVVNVRAVAGLGVVNVV KLGHDFAGPVLSFQWSPSSRLLLVADAERIRVVSALDDSFSATVRNHAIPGTKPAYIG FGASDAEMCVVSPFGLKFCVFDLASSKTTEIGNPKVFSSSSASNCFSFRPETRHLALL TRSSGRDMVSIHSYPTRELQRSWAPDTVDAQALAWSPDGRWLVIWDSPAHGHKILFYT SDGHIFKSWTGPANPPPEDRDYALGAGVRSMEFSADARYLAVGDFSRSVCLLNMASVT ETMRLRHPKTLMPKETLQVWQEQIAVSHAGPVIHTFLRTTQGISPAPALRDNSEPVSG CTWISFDPSSTLVATRLDDSPSTVWIWDVQAAELRAVLLFHGNVASLSWHPQIPETLQ IRCEGDQYNGLVFVWDPLSEGPRPLDFARHLPGGKAGGKQRALWLGSNESSPPSVFFS DAHNYVLASLGDADQIPPPWGDHELSGQGPLAGGGSDREESPLNLVPAPDARPEVPDL GGDGDYSELEDTFVYKR MYCTH_101575 MHRKQRHSRGLEPFTVRPGSETGLYYILVANLAPRTTWRELKAF ASQACEVDHAEVYPPTSGFVRFLDGNTLDYRALQADGRNLNQSTVVKLMPTDYHAARI LRGDEGRIYNEPESPASDSAEPLPQGASAVLGSPHLGFQGRNSPAFSVPDTQWSYVTS SSYTDDGGRYQPAPHAIVMPSISGAYQVVAASSHGFVAQAPITPPITAYRTVPGPTGT AAHITQHQYNDPRMYYPAGTASTMGVPGYAGFVHMTGSGCGHLSAMAYEWPLVPTHSP LQGDMTASHKSAKILIRGLERNRLSPAVVTDLLVQNAGIGATPGQVEKVELPLNKDGK ARGIAYITFSTEELAGAAVTALEGCKAGGSRKLSARLVVEGVSPEGSDDGAPRPYQRL AGGGGSRGGGRAGSSSKRGAGGKAPKSSLQEQSPRTSTETLLVQLKSDTAVSALPSAA SDGQRKKEERPVIVDGSGGRWKKEPAPVVVGRTAGK MYCTH_2304795 MDHDGESSSSNVSFASADEGSASHDASLANKDDIAADDTSARSS VDSVVLSETGSQGLSSEPSPPTGLSQAQSHHEKELRKLQNRMRKAQEKLERAQARRRA KHGNSNDKATDVESDTAGIQGKDKDKEMTIKHWPNCARSTNGR MYCTH_2304797 MASRLDRLVTILETGSTRLIRDTAVNQLADWQKQHPDELFNLLT RVVPYLRHREWETRSTAAKAIGKIIENAPLYDPNADDTSPPEDDAAGDKNGIVKKEEQ KDSILDQEDYLSLDNLDVASILKYGRPLLRGGNVDLALAALDPQKRLAHQQKTLIGRL GLLGRVVEEDELPVVPEHAISPETPHEAGAGTNGFGRQDSIGSESQAQAQDESKLSSR QLNVLKRKRKREAQKAAQGKSGFGDLTLRRTTTAGSDGFADDTPMPDADSKKNGKVSD YFSLDRPADVDEDTKVVSEFKGPVLPIKSELEVDDNMEGAEWPYERLCDFLKVDLFDP QWETRHGAAMGLREIIRVHGAGAGRRKGKSRKENDRLNRQWLDDLACRLCCVLMLDKF TDYSSDTSVAPIRETVGQTLGSVLRHIPAQSVYAIYRLLYRMVMQEDLNLEQNVWAVC HGGMVGLRYVVAVRKDLLLQDGDMIDGVIRAVMKGLGDMDDDVRSVSAATLIPMAKEF VMMRRGALEGLIHIVWESLSNLGDDLSASTGKIMDLLATLCSFPEVLEAMKLSASRDE ERSFTLLVPRLYPFLRHTITSVRLAVLKALMTFANFGGEASQGWLNGRILRLVFQNIV VERDQDTLSMSLELWAALVRDLAKDPVALADEFEAHVEPLMQLTLHPIGVPRHPIPMN GNLFQKPSGGTYSVPGVVPTPSRRSSPPEGERATKRRRKSTKVDDTPPTTHAHDVDGH MMQGDVDLVGMDVLIRSRISAAKAMGLIMSLIPPSRLASYDSNILQGLKSPFASTQLS ASMVIDEYAKNCATSDAAGRFIEPLQKIIDQERPSHYRDLVSYVHRVRSQSQQLINLF RDHGKVSHNKLPTLAVVVQGEPEAGPGAFSVANAEKVVADDFDRLKKAMAPGQRLIAL PQLNEARDATISAIEEAKAAKEARDARIRAAAACALVAMKVLPKKPSPLIKAIMDSIK TEENQELQSRSAATIARLVQLFTESGRRGPADKVVANLVKFSCVEVAETPEFPNHAHK TNVILSMQKEEDRVDHPDAARFAREARAARITRRGAKEALEILSQTFGPELLDRIPSL QAFMEEPLVRAFTGDLPSEARDPENTFGQEIIDAMSVIRTMAPTLHSALHPFVMRQVP LVIKALRSELSVFRYMAAKCMATICSVITIEGMTALVEKVLPSINNPLDLNFRQGAIE AIYHLIAVMGDAILPYVIFLIVPVLGRMSDSDNEIRLIATTSFATLVKLVPLEAGIPD PPGLSEELLKGRDRERTFIAQLLDPKKVEPFQIPVAIKAELRSYQQEGVNWLHFLNKY HLHGILCDDMGLGKTLQTICMVASDHHQRAEEFAKTGAPEVRRLPSLVVCPPTLSGHW QQEIKTYAPFLSVTAYVGPPAERKAMKDRLDQTDIVITSYDVCRNDIDVIEKYNWNYV VLDEGHLIKNPRAKITLAVKRLISNHRLILTGTPIQNNVLELWSLFDFLMPGFLGAEK VFLDRFAKPIANSRYSKASSKEQEAGALAIEALHKQVLPFLLRRLKEEVLDDLPPKIL QNYYCDLSDLQIKLFEEFTKREGRKLSEQAGRDDKEAKQHIFQALQYMRKLCNSPALV MKPGHRSYEETQKYLAKQNTSLEDPVHAPKLTALRDLLVDCGIGTEGGESSDPLYTPI KPHRALIFCQMKEMLDMVQNTVLKTMLPTVQYLRLDGSVEANRRQDIVNKFNSDPSYD VLLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDLQAMDRAHRIGQKKVVNVYRLITRG TLEEKILGLQRFKIDVASTVVNQQNAGLATMDTDQILDLFNLGESGPNLITEGGKENL EGREEDMVDIETGDVRQPGKKAAWLEGLGELWDNAQYEESFDLDGFLKTMQ MYCTH_2304799 MHIKQIIIQGFKSYKDQTVIEPFSPGTNVIVGRNGSGKSNFFAA IRFVLSDAYTNMSREERQALLHEGSGSAVMSAYVEIIFDNRDKRFSEPGDEVVIRRTI GPKKDEYSVDRKVQTRADVLKILETAGFAKENPFYIVPQGRIAAITNMKESERLNLLK EIAGTNTYDDRRIQSLKIMAETNSKREKIDETLAYIEERLRELEEEKDELRDFQEKDR ERRCLEYAHWHRLQATNAETLEQLEEARQGGAGVSTKDRMQLQKTEREISALDQQAHE LRQTLELLAMERRQLDEDRRDAARARAKAELKTKNLDESRHAREQAQQKQEAELQEVR RKIKAVEADIAQITPEYEERKAEEARIRLRRDEAVAGKNRLLTKQTRSSQFKTKAERD NYLRQEINDATTSLGVQKANALDAAEQVKSVEASIAQLEKAIQDIRQNIEGYGGNRMT LAEKLTKAQETREQLHEERKRLRREEDKLGSLLANTRAERDQAESALSHSMDSATAKG LATIRRLKRERDIPGAYGTLAELMSVPVEAYKLPVEQVAGNSLFHYVVDNDKTATMLS DHLYKTYGGRLTFMPLEQLRPRQVKMPRASDAQPLISKIEYDPQFEKAFQQVFGRTIV CPNLSVASQYARSHGLDAITPEGDTTNKRGAMTGGYVDARRSRLDAVRRVSQLRDLYE QQLADMDRIRKEIEIIDQKVTSASGEEHKLEQQMRQFEMGFEPLKTELRTKNAQLERE RAHLEAALERRAQVERNLKELDDSISMYQAELSQDFKKALSAAEERQLEEFTAEVHRL QRELKEVSKKRFDLEGRKKLLETELQSHLRPQEDQLRSQAFENSTVGGSESYQDAQRE LKRAQRAMAEIEQQLGENEQKTEKVSGELAKLETQRSEKEQELQELQKRIDQHQKKME KNIQTRARLVSQAAEYAKNIRDLGILPEEAFGKYEKMKPEQIESRLRKVNEALKKYKH INKKAFDQYNSFTAQRDQLLKRRKELDTSQKSIEALIEHLDQEKDEAIERTFKQVSRE FATIFEKLVPAGHGRLVIQRKADRGKQRGNAEDSEEETTGVESYTGVGISVSFNSKVM DEQQKIQQLSGGQKSLCALCLIFALQAAESSPFVIFDEVDANLDAQYRTAVASLLMEI SREQKTQFICTTFRPEIVHVADKCYGVTFHNKTSTIDCVPTEEALNFVEGQKK MYCTH_2304802 MPVDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQHQIHMERQQ RKRQIETLKYERIINDTLMRRIQGLIDRLKAHASEAKSGNPGEVAFRAVMESAAGLDP KDDQPPPRPEGVHEAEEPQPTYTKMMATLLDQVNKTLDEKKVGQDGRYDAMVAEIEEH LRKVNNLQEELIKKLTDLEKQEKSKITSEDIHTGFDSSFINKKPSSTSGGSGAGKGSS SQVELLNPNYADATSLPAGSGSGSGSGSKSGGGGDDDDEVSASPLARQFAQIKASSYR DSHAFLNEHPEILTEKETDGLLVMAFDAQLENRSDFARNCVHQALLLQYCRALGRDGV ALFFKRITTPGHQAQEVFYKDVQDTYTRIRNRTREILAQRAKDEAEGKQQGVEQIQLH AVEPGTVINIRIPEENSEDEETRKGRAIFDGFPEDMKRALQTGKLEEVNKVLGEMKVE EAEEIVGKLGEAGILSLEEEIIDATTEDGKDKLKKLEESKKLEESKKAEENSEAQFAD DPE MYCTH_2304806 MLRRLRQRRAVRCSEHCSVQAANAQAAGRLDRPRKGVVGKSWAM VRSSFSFSSSSPSSSSSSSSSPPFSTANSVAAAAPGSGLVPPEQAAGGAGEERDEGVL LYEGRVSYASGEVQFFWVGEEVRDIGGGGGLTGGLGRVRVDREAAAVYARKRFDVWSR VVYLGKRGEEQAGSGQRQGQGHGHGQGQGQKLVFECAGNEDGEGGGEKASGTSAGGNN MEGTEKEGERGSVADAATAGNDDDDNDNNNTEPDRARRSSSVSSTATVTFTPYATPLD LVEMETRLEGSYTMLHLANRAALAAFLELARPRNRGIDDHHHYQHSVRPEMAARFKEH GLGTPGCASTAELVFEPPAWRPFRWGFLKLVVEVVQSELRGPVDIGDMVVEGDVNARE PGVAGEVDAPAVACQVEEESEEGKGSEEE MYCTH_2304808 MAETSSASESTPLLPSDASSPESLRPSKPPRTVTFNPNPVSKTI EPEAAYQHARSSQSRRPFASPASPSAISVGGLGGGPPMLSALNNKLLRRNSHGGVPGG HSGGHSVSLPLVATAGAGMPKIGPQRSTKNAQKLKLLPNPELEADVDDEIGRDVYSQY TRIKDPSARRDAARLGKADRDRLPRVTAYCTANRYQMDGLQRFLKGRGKTRGANPKLI DECIYTPYSYSSKQVQTARQESLAETRSSPGRRHSTGNLLEGLAESSRPALGNGLSEG RETTGDVLDQQISGDGLDSEVLDFDINVHTPEVFLFEYGVVVIWGMSAAQEQRFLKEI SKFEVEKLGPDDVETEKFNFYYTHEYQARIYNDFIALRDKNNYMTKLAISHALAQSVK TSLFEELIASTIDECKNIPAQLALTGKIALSRAEINMQIGELFILRISIHLNGSVLDT PELFWVEPQLEPVYQAVRSYLEMDQRVGLLTERLDVIADLLAVLKEQLSHGHGEKLEW IVIVLIAAEIVVAIVNIIVDLWVGV MYCTH_2304811 MAPASSVPPALPPSVEEAYRRKCIQLKQRTNEVEEANDAARLRL ARLKRQVEKMRLERAFLLEQLARRTSTNVEDSDGSPSPPPTVSSLPDNRDAGHGQTHS PPARTTIIDAIPSPPSQPKDKPLRIKRGHRKPSAFPANLDAATSVNAATPGSTTFISQ NRLLQSPKLDALSTARQGEGSKTNGAHKGAPKMPGSAFDLYCDENRPSLQEKAKPGDD VEEMLSSGWKELSDSQREEYRARADHQMAEYKKQKDAYDDDAKAKEGSEAASAKAQES SNDKAESTEPDRPAAGAKEEEASQKQSSSSSPRQQQQQEKQQQEQEDVEMTNYDTDQE TQGEKPEE MYCTH_2304814 MSLNIPNAPKAGLFKQGYNNYDSEDGAVLRNIDACRAISSTVQT SLGPYGRNKIVINHLQKMILTSDAATILRELDVVHPAAKLLVMASQQQESEMGDATNL VIILAGELLKKAEDLLRMGLKASDIVTGYERAQKIALDTLEELEIDKVESLQSQEEIS KAIRTVIASKQNGSEEFLANLVAEAVLSVLPKNPANFNVDNIRVVKIMGGSLDQSRVV KGMVFPKEPNGTVKKARKAKVGVFTCPIDISQTETKGTVLLHNAKEMLDFTKGEEERL EAVIKELYDVGLRVVVAGSTVGELAMHYLNRYGILVIKILSKFELRRVCRVVGATPLA RLGAPMPDEMGTIDVVETQEIGGDRVTVFRQEDEATRTATLVLRGATQNHLDDIERAV DDGVNVIKAITKDPRLVPGAGATEIELVERIQAVGEKTQGLAQYSIKKYGEAFEVVPR TLAESAGLDATEVLSRLYAAHQREDGWSTGVDIENQDNTGILDAEDEGILDLLVSKHW AIKLATEAARTVLSVDQIIVARQAGGPKPPGPNPNWDED MYCTH_2110320 MYSMCQSLSLSLSLPQKRSPEIGLLVASNYQALGRSNVKTFARS SGGEHATQEDPLLQSTHTSVVLYITPYSDNGFRSSRFWARFGYTYLLHDEHWNDKAGL HEATSSMLDQMQPHATWPSTDLRGQVPRVDERSAGFICVYGYTEPLSPPGLGLDPGPR EPNIRYPSTPVKRDGYRELASAPG MYCTH_2304820 MFNRSHRSRSSKTPGFANDQERKAPGIHRSLLAELGFASSDSST VRSASRSTWRTDLERPTYDYASSIASARSYGAPSYANKSPSITSGNLGSPSLSDRSIA SVGLRENRLRSPSAVHNTMTSADRSRPRRERTFVGSECAVCEEPLEHTLRGERILQFS CAHVSHEACFYEFIRDFDGQYCPTCNAPLHLDTSRGGNVLDIEKLSSMVRAASVNDTR SQATPTPTPSHWDDQQGRPHSRGSGARPGAGVHGVMREGLARGGARDGRESRDAPSSE HRYHGQRHVRSDSEATGVASSGGYPETTQSGPPRRHDYDVQAMETSLSSPRSITRNPI PPPTVTVRSEFPTISRSRQQQTLTCLVTVEVPDNKWRPDPEDLQIAPPPPAAPKPEEA IRAPSPAQSAPRFYPYESPEVLAEMTENLRNRVDNWHGLDFSRFGKLRLYGTLRVGKD KVSWQELECYLFAEMLICVKEKKHAHAPQGQWGDDSAPRKTTRCTLKGSILIKKHLNE VTETGSVDENILTLSLSVAELPQFHLRFENRNQLKLWQQALLDLNAVETSPVRSPDYD RGEFSEPDEDEWNRTSGSSRPQRVSSLASSWGGAKSATTAPTEYTNFTRSPLLPSIHV PVDVVVVVPISASMQGVKINLVRDALRFMVQALGERDRMGLVTFGSSNGGVPIVGMTT KAWAGWSNVLSSIKPVGQKSHRADVVEGANVAMDLLMNRKYNNPIATIMLISDASTSD ADSVDFVVSRAEAAKITIHSFGLGMTHKPDTMIELSTRTKASYTYVKDWMMLRECLAG CLGAMQTLSHQNAKLKLRLPEGSPAKFHKISGALQITKRATGRDAEASLGDLRFGDKR DVLVQLVIMPDTSSQEQLPQDPWDNIVSGLEALGGSVDQDEQRVASVEEVPLIQAEIT WGDILRDGTSQHTRPSLLAITMLPASNSKKPWGNSPPIPPHPHIVQRRMELLTSDMLT RALTLVSRGQHDRAHTLLNETRSILKGLGKGGLPPVPPASSNKSQPSTPHPGAEGSPS VAGTPDRKNSPSPTLANQSSGGAGLPTPLISRSRSNDGLGLGGMGGSTGIDATTVAAL DAELESSLEWINHPAVFGRDSRKAVLQAIGVISSQRAFTFRTPIESLWAGRIAGVKRL TEKSREWREEGGGEGGIVEEA MYCTH_2304823 MAAAGDHDARALLIPGHCDVRGYGAVTDVQGGSGGMGTAEDSEP DVQDGVRRIEAVARTWSRWGLMVAYMSIFLMAFTISLEGQVTYSLAAFAVSSFNNHSL LSTVYVVQGVVNAVIKPPMAKLADVFGRLEAFCMSIVLCVLGYIQMAASQNVQTYASA QILYSAGSTGLQILQQVFIADTSDFLNRALLSSLPDSPFLVTVWIGPAIAAAILAHST WRVGYAIWAVILPVAFLPLALSLFLNVRKAERMGLLSKKQPRAAAAAADGETPRAAAK RLFRDLDVGGTLLLSGGLSLILIPLTLISRSPSGWHDPKLLLMILTGIVLLVLFPFWE ARAPHPLLPLQLLRSRTFCAGCALGFFYFAVFYIAVQPYFYSYLLVALNLPVSQAGPI TQTFSFASTIAALVASLLIRRMSVPRPRPFILAGAAVYTVAITVLLHTRTRAASVISL FAAQTGLGAGAGLMHVATQLVVQRAATDVAAGPEAAHGHPHHRRHGHAPQQRHVGVAT AAFLTLVQVGAAVGAAVSGAVWGRLVPGKLHAYLPEEAKGEAKRIYSSVVVACSYAWG SPEREAIARSYQETITVLLWVALVVCGPVLAMASLVRDLRLDDDALKDEGICEADGQR TLSQVSGIGR MYCTH_2304826 MPAKQQHGRQYDLVLFGATGYTGKYAAQYITTHLPTDLKWAVAG RSQSKLEEVVAECKRLNPDRVQPAVEICRLTDEDLAGLARKTFVLATTVGPYGKLGEH AFKACAENGTHYLDVTGEVPFVARMIKKYEETARRTGALMFPQIGIESAPPDLVTWSL ASFIRNEFGSPTADVTVSVHNLRSAPSGGTLTTVLTIFENFTLSELRAAYAPYALLPA GAPPPPPPPARARRPRPSLLTRLTGLVTVPSLGTLTTSPAGSTDAAAVQRTWGLLATT PSRRAQAYGDRFSFREYLRPRNWLTGIAIHYGLVLLGLVMLTPWLRRLALRFVTPPGQ GPDPEVASRDEIEYRGIGRRDGGAGERAICRAEFRGGTYYLTGILLAEAASTLLEEDV DLPGGVYTAACLGQPLIDRLSRGGFRFETKRLEDY MYCTH_2304829 MSKRTKKVGISGKYGTRYGASLRKLVKKQEVSQHAKYTCTFCGK NTVRRTAVGIWNCKSCKKTMAGGAYTVATPAAAAMRSTLRRLREINEA MYCTH_2304831 MADQCIVCLEPLDVEASANPAPLAPAEQQVLKDYHQRHATEDAD ALAKPNQESGSENSNHENVAKIEVCGHMLHDACLREWTEKANSCPICRQTFHTVTVYD RVGGKQLSIRKVEDKKQAPVVPEYDPREWMEELVEQPELPGRHCPVCNSAGDEEVLLL CDGCDAAYHTHCIDLDEVPRGPWFCMECEHALGPDVLQPIDNIPSRDGGFQGRSYYFP RTQASMRRARQRAQSDEWQGAWGRITGRVWDALELDLDYEDEEDPSVFESLQRSRQLR EREREEHERWQQRLNIAARLGARDAFLNNMTRRVERPQPDPPTREERLAWGALEKARD MESRKRKSRSATAEPSEEPHHEPERKLKRPRTRRLPPTNGESSSSAARDAGPSDRQSR QAQQQGGSSSSQAPEAPPSFLSSLLKEVEMSTPSDEETLMQMFGPIPGVNDAPSPAHS HGAATPPPNRASSPVMTLSSHIAPLYASPSYTPTRGLSLDTAESSRSSSPKRTTARRE AQSSPDNSDSEHRGRRPRQPVRHGPLELRQPQPRRTHNGDLPRSHNTSPARSSLPLEL KESISKIVRGALKPHWRSKQLTAEQYETINRDISRKIYEEVKEPEISEDMQQAWERMA TQEVARAVASLKA MYCTH_2304836 MTATACRTPVVLPLAARRCYATEPVTPAESSQQPAPTTATPPPP VSTPTTTTTTTTTTTTTANTTPSTASDPTHYRIKSSLILSRPPLLTREETPFESAFYL YQKRLNERLTAPFRREFYFKKDTAGDLDFRIKLKERRGVPAKDIGRYNPRGRTAWNDE VLVGSTTSDPDVVREKLLADAVVTVSEDGEPLAPEERVPVERPMPRRSEADEKADVRR LDRAMDRTLYLVVKRGQGDDAVWEFPTGLVPTDEALHETAARVLTESAGPNMNTWIVG RVPVAHHVVKPVLSEDGASVVRRGEKIFFLKGRIMAGQADLTGNKHGLTDFKWLTKEE LQGVLAEDYYHSIRGMFDLR MYCTH_2304838 MPTRGRVYASPGRTSLTRERGGSRGTAAVCAYVCAGAGMRVVVV VVVVVGGGGGA MYCTH_2304840 MKSVISAGLIATLLCSTTAIASSTPLKTARGSNRVTCYVEIDHT KIDGQDHHHHKRGHKDKPEISRTVSIYGWSFLWNYIITDDCPKITAHLSSLIPLLGRD HHGVKCRVEIGWNPGNAAHEKLHGTAPGHHDSTLEQDNHSAGNQSSWDYAGHGEIDFL TLPAKMEWPLSASFDRPNQLVKSGELGAKYETTTIDATNTFKVSSIMVRFLQHTADHP ALDSRWPRQRFLPVLGS MYCTH_2304842 MAPADTLSPPGSSSYSSDTLTVGDGTWDFTKNTFLLPNLVGLNF ETMRYNGMGNRFATVSEYHSLILGHGVLAAMTFLFIVPISVLLARFHTRQPGTAIRYH AYLHIIAVGLSTVVFVLGFIAVGPPRNLTNPHHGIGVAIYVLILLQALGGRLVRKLSG RSFRLHIHRWLGRAITLLGIVQVPLGLTLYGSPKSLFILYAVWMGFLLLLYFILDYRD YGRRDFNRGRARTPVEDESSRVTEKHGGKMKWLAPVAAGAGLLALLRGRKKHRDAERG SSRSPSPVDRPHRATVISSRRASDSYYDDDEKLPARGRESGGGGFMNKIFAAGAGLGA GALVSKMINRGDRRYDDEYSAVATDTPSRVRRQPARSEFTESEYTEMTEDVRRHPSRR DEESSVLPAPGGRRAGGRPPPRPATPQRSRAATSRFDSTLDGSDYSSYVSPSRRTPEP RKSSGIGKGLLAGMGLGFLAKMGKDRRDRREEERLREEEDRRREEEDRRREEEEERRA GRRSSKYTGDGYPTPSRRDSKRRSYRPPPSGVTVTETSVLSDESSIEPRGNTPYDPAP PGVRPPPAAPLPAAGAPVAPGAYAGGAPPPPAPVPVPAAGTATDYTSRYDVAESVSMP PMPQDPHGILHGDSDGSEAYASPTSNRRRGSLGHSQHSAVNVHVRVGNKGDRSNITLR RLTSEEASGTGTRGRRRRADSVSSLSGNETPTGSGGRRYRRRDSSSRGRAEAAAEHRV ETGAAAPPSELAGEGLEPPNPAFARRRDGGNKDSAYYSSGVGPSGGVPGAGATVSSLG GSPAPLAGAGAGPGVSPGGSHATFTSGPLTDGAAADRRRRRRLERRDGSRHPASVDYN MYCTH_2126987 MPSSEQHPPRPRQQPQEPADTDMPDQPPPQPQPSTATTSAASAE HEQQQRSLQTESREGQGQGVGEGAAGRGKQQQQPPPPQPQQQQQQTSPAQPAPPPPPP PPPVPGPRAARLQALFATTAKHTLDKINKDNFGACFPTISQKAPGTLEFVQRQMVERL GSLWNVTKGERDGTVTTTTGEEGGTALLTDDENPHPPSPVVVAGLGPRPIDRCTTAKA NKKGWEHPAQKEFETIMANRQVVERLNELEALVSDAARRRMEADDPNKPPVAPHTLPA ETILSAHLHPHLASHRSQLNARLQNTQAANARLWDEIQAQRAEMEALVAGVEEALRDL DGANDLLGDLVDELAAETRAAEADIRAVRGPG MYCTH_2304845 MARRPSDAFSQYSGAPSDAPSGMAGRPRRMSMEEDMFYREVMTS RPAKPPSYESAMKAALAAQRRAQAAPANVADEVLPPYSCDINLEGVFMRKMEIEETTK RAEYRDWRMVYVELRGTALNIYSVKKERGWWPSSSKHDGPDISPDQPPWVKKSTLERA YSLLHADAGIAADYRKRRYVIRMRVETDQFLLSCVELGTFVTWLDGIFAAINVSPPID ERDFPRDFSVPRIQRIRWLRGQRPQPEDSIGFHRLTEQRRQDGSSEDEEGDRDGEVED GEAQDLGYSRDEEGNAGYGPRTDHPIVGRLSTTSYPNENIDPETGKWRPQHVWSTTHD QLYARLCYSVLLFKSPRKSNYVISRGKRWYVDWETGRMVRVLPPAYGEVDVMGPWQVI MPENRLL MYCTH_2304848 MATNGNINHDQYAAPAQTTETPTNAEAQANNLPKDEVGWYFVEQ YYTTMSRSPDRLHLYYGKKAQFVCGRETEVVDISFGRQAIQERIKSQDFQDCKVRVTN VDTQGSEDNILITVIGEMANKEDETKKFVQTFVLAQQPSGYFVLNDMLRFLNDDVEEE TEAAADEQSGRVDMPAGPESEEKAEAPAAEEAVPEEAPVSTEAAAEKQEETKEETPAA AAPSTTEPPAETQEAPSEAAQAQTDVEKTVEEIAEEDVEKPEEPKDPAPTPSAAPAPA QPEKAKEPPKPMSWASRVAAAAGPSRPVAPAVPKTATPPAPAQARAAAPAASQTAAPA SQSQESAAAPAASKDQGSEWQTAETKRQSRAQPAAATEKEGVMAYIKYVTEKVEEKDL RAALSSFGELAYFDINRSKNCAFVEFKTQAGYNAAIKANPLTVNGENVVVEQRRPKAN AYGGSNYNASRGGAGRGGRGGYEPFRSGSQGGGRGGFGQSRGRGGGSRGRGGSQVGTA MYCTH_2304851 MAAPEPALQRPSLSMRLKSAMIMGMTGVLSKCFLYGFNRVEVTG LSRFLDILDSRRDPAKRQRGLLTVSNHISVLDDPVVWGILPLSYAFDPTNLRWTLGAA DICFSNKLFSNFFTYGQVLPCHRLKHSPFGGPFQPALTQALRLLSHPPATSLSPSSQR AAAAAAAAANPPATYTTTGTDVHTAPLQAYPSHRRYSWVHVFPEGCVHQHASTDLRYF KWGLARLILEADPAPDVLPMFIDGTQRVMPEDRGFPRFLPRVGKTVRVAFGEVLDYER TFGDLKRRWEGLVRRERERIEKQQPQGGDALARQAESMLVGELATDELKYGKEAQEIR IEVARRMRAEILKVRESLGGYPEPDPKFALAETWRLDGDIEAKKYKSRVDGSNISQD MYCTH_2304854 MARAAWGSSTARLSRDSFLPFASCWLCLEPSIDPVACAQGDIFC RECALSNILAQKKEIKRAEKAREQEEREAQEEKARLDAEAQERAIREFELTQAGLSIK RESASSTGREKAEPPGRNDQGDSGGNVGEKGPKTGEKRKFSLDPDELARIAEEERAKA RKAIDEEKASKPKLPSFWSPTVTPSSNNNNTLHEVKKKFKTQPICPASSEDKPHHYSL HTLITINFTEERNSGTDKPQRICPACKKVLSNSSKPTLAKPCGHVLCKSCVDQFMKPS AGEPVRCYVCEADLTEKEASKKEGKEKIRPGLVELRREGTGFSAGGNNQVRKDSVTFR C MYCTH_2126992 MIGPEANAPKQRRRQQQLRSRQAGKQQPQQQEVMRRRQQQAATQ EPKKRAASQRQRRVTYQRPEQQQQKEQRQARPQGTDAPQQAPPATSQREPSRDEAERI YHRRLHAQAQRQLAAMWRGQAQAQIAAMRLAEARARSLASHGAQSLPGAQSRIAPAQS TYPTDSMAAAVAAAIAIAGPHNLRPVVPPACLPSALLASSSTSASTPVPAATAPSLDH PGMTANDQVTGSPAPSSSPFSSNAGAAVSGAPPVAVSLANGPPGSSESSGAPPHGYDD GRGWNSKAPRVERSGADEDREPKEDPDSVMQVLKRLLVLREKWDEPGARTTFLLAAVR KVVATAVTYPFQIAKARVQVSAAPEEKGKRKVNGNIFATVLRTARAEGGRALYDGTAG ELLKGFFSHGTTMLSKNVVHRLIVQLYFAILGILRQNPHLGLRLRASGSYKLPRYGGG GGGEVRRGARYVQSVVGSGQNVPTSWLRAVKKAG MYCTH_2304856 MATMDSRNDEEATIKVASTKKSVTPSRSQAFGKIKLRKPPPKQV KPGNWKEAAVIEEDKKKSKDNAITAASPSPVIIPLEDASRENFHTGRPLEDVLDMFQC KHCKKVITRSAGADHVARCLRIKKEKAQRKKEAREARERLKEAAREEEARKAEGDMAG RGDDDSDDDDDAKDGAGKTAGKGKKTNVAGKKRKAEGELDKGKAKKKKDEPKPKTAKP KGPVDVERQCGVLLPNGQPCARSLTCKSHSMSAKRAVPGRSLPYDMLLAAYQKKNQAK QQKAAIDANAPLEDDDDANQGAVDSDEETAAVMSALANWNPQPVVPQPVFAPTKRQYQ LARLHEQLQAATNGGRVNIFKVVGYGAQRLPEGHPGLLDHDDAPGEPDPAPVGGDFSR RSSSFSLGGPPQRRPSVTGRA MYCTH_2304857 MDGKRHAASFQQLEKLGEGTYATVFKGRNRQTGELVALKEIHLD SEEGTPSTAIREISLMKELKHENIVALHDVIHTENKLMLVFEYMDGDLKKYMDTQGDR GALKPPVIKSFMYQLLKGIDFCHKNRVLHRDLKPQNLLINSKGQLKLGDFGLARAFGI PVNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMFSGRPLFPGTTNEDQI IRIFRIMGTPSERTWPGLSQFPEYKDSWQTYATQPLSSILPQIDPVGIDLLQRLLQLR PELRLSAAEALAHPWFNDLVMAQTGPKQHPQHLQAQPMMHPHGGYQQTVPSQGNYGGY MYCTH_2304858 MPLFPTFSSILFLLLLLPFLSLPFSQAYTPLSPALLKSLPSPST DDYDPTNGTLLAPLLIPRVPGTPGQKAAQAHLVSFFAAHLPQWEVRWQNSTSTTPATG DRAVPFANLIARREPPWTRRRGPGTASYLTLVAHYDSKREPEGFVGATDSAAPCAILM DVARTVDGFLQKMWAEEGEKEGEKEEEKEEEKEGEEATEWRQRDEGIQILFLDGEEAF VKWTDTDSLYGSRALAEEWEATTNPAGSPFKSPMQQISLFVLLDLLGAPNPRVPTYFQ GTHWAYQRMAALEGRLRQLGLLESKPGKEPFLPESGKMATQFSGGVYVGDDHVPFMQR GAPVLHLIPGPFPDVWHTLDDDGEHLDIPTVKDWVRIVTAFTLEWMDVQKTEPPKDGQ P MYCTH_2304860 MDEAARLVSELHDKLAELDGKVAAYRRGMLAEFHKHMDDCLKQY PDHISTEVSRAITASVAAGRYPALNPPSRDAPDSTAIDRPSWEDRKTSPPPFLRHTSG TPKETARDPHAREKEFQGLFTPAFLPLLESGNRALHSPPMSPPPASNGQALSQSPDNV SQIDESRKAGTPSSSAAHEGRPRPARSLTDRSTSSVESTGSDSKTRRSALRRSSSSAK GSPRRVRFDFEGEEVFPASSSPQAPVPTPGAESGAKPQAEAETPVNATRDDSTTEYTG PSLLDVEGEEDLLPKPKKVSSTQALQALSRCPLDEGTTWRLVSPDSGELPTSKNREEE AAEGAKTNGMDGEKQPENAPGLPDKSDSQVTLRATGDGQGFASRQPDPPPPRESFAID DDEDNAASESDEEFLSIPSRRKSSSPAKQSPFTPPPKPPAAAARNTIASETDGSTTAA DDDVLDPLFDFDEDAGSTSSRTQKHQKYLPEAESSDEDSISGRLRQAARQELRQQQTL QPQQAGEPSSPSPSSSSSSSSSSSSPSSAVRIPPVSPSTALFERSIGSYMGRSVTAAP IKDPKLYDEIAGMKDVPFLVGSVHDVSEAEAAKLGSYRVSSSMGRRLSGAAPRSFTER LALEEEMERRRAAGEVGDEEDDG MYCTH_2118447 MEFGRLHELINDKSPSKLENGVKAGLEVLSKIDKAFENARSVPE IAEWVNTTEKLRSQTAYKRAVVGVVGSTGAGKSSVINAVLDEECLVPTNSMRACTAAI TEIAYNTSPEEEQKYRAEIHFVTKEDWIKELRVMFADMTADQDSLGSEHTIGESEAAI SYHKIRVVYPYLKSEEVKRGAFDIDQLVEEPTVKSLLGTVKQIASSGSKSFLELLKTY IDSKEKTPGRKKGAGAMEFWPLIKVVKVFIRSPILESGLVLVDLPGVHDSNAARSAVA SKYIEQCSGLWVVAPITRAVDDKVARNLLGDSFKRQLQLDGTYSNIAVVCSKADDISV TEILKTLDEGEEATKLNARAQILEPERETLQDAVDTLKGRLLEVNDEIEQLRTEIDTL RAAIDCSDDEDELIIFSPANSRKRLSRKAAFGSRKQESSSSDAKPEKEQISREDARQR LREVEARYNALREDSKELRRQVSPKHKDLKAVKAEIKSLKSETRQACIKYRNDFSRPV IQAQFAEGIREIDQENAAHDEDGFDPSRPCRVYSEVAKKLPVFCVSSRAYQKISGRLL NDERVAGFDRLEDTEIPSLQRYALGIAQETRAVACRRFLGDHSQFLTSLYLQVVQSDQ PLKLADGIREKEVQSLEKATAKLKQELESAAKQAHDQCREIVELEIFRRLTSAATLAS EAAVSTVNSWICPKDDGGLAYQTFRATCARDGVFKGKRGPMNFNTALADPMTKRLAYS WEHVFSSALPQRLTILGDELAKILRSFRVKMAKRPELRKAPSFALATRQVKNLERGLK DMTEFEAIIGAGQKEANRLFVPTVAEWMAGAYTYCVDEHGKGCYKRIKTHVVEHVESV RHAMFEAVTEKVQRALKGILDDLESEIQNAIRKIVDLVNRDYSSLLANQNIFKALETA RDKIWDLLNEVDERFELVLHPAVRPATPSGSDDLTIMDVEAEGSVTVARSEATLGAPV VPTSDKDVCSSAMDVKDNVRIKREPSFAAASGEDAMMEGV MYCTH_2304865 MSTITPTALQSSQPPIIPLDFNANQPETIRLYPLSNYTFGVKET QPEEDPSVLARLKRLEEHYQAHGMRRTCEGILVCHEHNHPHILMLQIANAFFKLPGDY LRPEDDEIEGFKARLDERLAPVGSLGEGNKAGDWQVGDCLAQWWRPNFETFMYPFVPA HITRPKECKKLYFIQLPQTKVLSVPKNMKLLAVPLFELYENTQRYGPQLSAIPHLLSR YNFEFVNEEGEVVARTPGTGGPDGYVPKTKVLAGGDDVDMKTENGTH MYCTH_50343 MWFQKQFTLPARSRGSYLITDTVLKELPEIRSYKVGLLHLFIQH TSCALSLNENWDEDVRADMSDTLDRIVPEAGPNGEALYRHDAEGPDDLPAHVKSALIG ASVTIPIKDGRLATGTWQGIWYLEFRTSKHTRKIVATIQGEKA MYCTH_67326 MGSADYSGGLPQAQKPMFETGSFTGYRPVVAELGSGYLTAERVF KQPFCGNAEGWGPLSPFRYDFTPCFIDVWVAAVAAFGIFVGALAAWWLVKRKQPAPVS KDWHFWTKQTLLALIIADVLVQLGFQIARYRDIWYGDFRVYTTAGTVLSLLVIFAIQW LEHSRLRNANGVVLFYWLFLLIALAVKLRSLISQQVYAKSLPYFVTYCVGYGLSVAEF LLEWLRPKKTSAYEALVDEEECPVEYATVFSRLTFSWMTPLMKYGYSTFLTEEDLWGL AKSDTTKSTGDAFEKHWQRELERRKNPSLWRALFGAYGGPYMLAAVFKVFNDVSQFTQ PQLLRFLIAFIDSYSSGDQPQPVIKGASIALAMFAVAVLQTTMIHQYFQLAFVTGMRI KSGLTSSIYKKALKLSNEGRSSKTTGDIVNYMAVDAQRLQDLTQFAQQMWSAPFQIII CMVSLYHLVGWSMLAGIGVMIIMIPINGMIARFMKNLQKAQMKNKDARSRLVAEIINN MKSIKLYAWGAAFMNKLNYIRNDQELKNLRRIGAGQAFANFTWSSTPFLVSCSTFGVF VLTNDRPLTTDIVFPALALFNLLTFPLAVLPMVITSVIEASVAVGRLTSYLTAEEIQP EAIIVKPPVEAIGEESVLIRDASFSWNRHEDKAVLKDINFSAHKGELSCIVGRVGAGK SSLLQSILGDLWKIRGEVQVHGTVAYVSQSPWIMNATVRENIVFGYRGGQKARVALAR AVYARADIYLLDDCLSAVDSHVGRHIIDNVLGPKGLLSSKTRILATNSIPVLVESDYI CMLTDGEIAERGTYRQLLAMKGAVFDLIKTSRQSDSGPSSGVGSPDGSGSETSTVIEA ASNSQEKEELEEAQEGLGALQTIKPGPGSSSYQGQKPRTGSLGTLRRPSTASFRGPRG KLHDEENPSKTRQAKEHSEQGKVKWSVYAEYAKANNLVAVAVYLVTLIAAQTAQIAGS FWLKNWADRNTRAGSNPEVGKYLGIYFVFGIGAAALTVVQTLILWIFCSIEASRKLHE KMATAIFRSPMSFFDVTPAGRILNRFSSDIYRVDEVLARTFNMLFTNLSRSMFILAVI SMSTPPFLALIIPLGAMYIWIQRYYLRTSRELKRLDSVSRSPIYAHFQESLGGISTIR AYRQQERFMLENEWRVDANLRAYFPSISANRWLAVRLEFIGAVVILAAAGFSVIAVAT GRKLSPGWVGLAMSYGFQITTSLNWIVRQTVEVETNIVSVERVLEYARLPSEAPEIIH RSRPPVSWPSRGEVEFINYSARYREGLDLVLKNINLDIKSHEKIGVVGRTGAGKSSLT LALFRIIEPDTGNISLDGLNTSTIGLLDLRRRLAIIPQDAALFEGTIRDNLDPGHVHD DTELWSVLEHARLKDHVASMEGGLEAKIHEGGSNLSQGQRQLVSLARAMLTPSNILVL DEATAAVDVQTDAMLQTTLRSPLFANRTIITVAHRINTIMDSDRVVVLDKGEVVEFDT PAELIKKKGVFWGLVKEAGLLEE MYCTH_2304873 MDSLAEFFADPNKPKNAKKKPILKELFRVAKMEERYKRNEIDAS TQVIVTADDMVMNHCFDLDEEEDDEEEAESGPAGSAMSHVSPTRAAAMPLLPPLSSPP GGNAAHTLAAQFQPTPFLNDLPIRANQYGESAMLPSSDVAADHQYAAYPDSHNLAAAA QTTAAMQMQDMLAGAGPHHQHQDTNRRPSALFTPTSVEFSSPSPPALYHHAATSWPQT PTAAASASPDASTATPLFSFVHGIPPNPHHQQHHQQHHQQQHGHDAGEGGGLPALPMP MPPLMVQPSSYAAAAAGTAGQQQQQQQQQQYHHAAAAAATTPASFDPLGQRHHHHHHH HAHPHSHHPAQQHQHQHQQNPQAQHQAVFRPGNMQLPLQ MYCTH_2304875 MAKSRGLVRHAVKAVKQQNKAKSNSQGTPSSKPGTVRGAPTAKK QKTGHQDEIKGSNKKNKKNKTANGRQQKNQQPTIPFAPSDRILLVGEGDLSFAASLIT HHHCTNVTATVLEPNFAELSAKYPHVSANTAVIESPDHPNCRLLYGIDARKLPPFTSR PNTNTNTNTNNNNNNHNRSKGKPDHEPPVGAMKRIIFNFPHTGGKSKDVNRQVRYNQE LLVDFFRRAQLSLAPGGTIIVTLFEGEPYTLWNIRDLARHASLQVERSFRFVAAAYPG YAHARTLGVVRNRRGEVATSAWKGEDRPARSYVFVRKGESSDEAPVGAKKRKRGGESS SDDDDEDDEDDEDEEDDGGGEAGEDEDDNDNDNDNDNDNDNDNNNNNNNNNNNQEREQ EGAREGEGSEDDDEGDEVKPDAGQGLSDGD MYCTH_2304877 MSQQSHRPADTPEMDDAASEDVPVTQSATASRGTASGRGRGRGR WARPKNTKVTKPAQQKAASGRGRRHKVYDNLKVQAAHERTQELKQAFLAVGKLVKPAI QEIADRSVNELLEDPTLYKQVPEYGATKKFLRERHEATIRQCNDRLRQGLAMAEHVWQ AQHQKVMEEFTIKIAELCEDRYGQLLREVAILEHLYNHGLPVDLPALPDDRYLYKEIS EEDAKCQGVFYETENGIEVPHSGKTISQLMVKPQTLPLEPKRKPEGQPEGQPAAKAAA VNKGDEATPQLPRHPAGLLGAADAIEESASTTPDTGSNAPTPTPAPEPAEEAAPERAN QQPASGAATPPDGPELPIPRGATEPDEFGVRLISRRPTRLDIPNNRIMVPNLFEWDDL EIGFRDSTNCAQKGATKQRRGKYLGRPGSNYMFIDRRAGIWDSTLAAGELDEELVKKY GLHPTLGIVLPTSVNEWEPPKPAPDGRKPIVFVPPNGDPIHTSRTIEPARRDAETAAV ERRLEIAQLLRTVCEREGLSLADLTPDRELVEKNRAEILAARGLDPAQVLQPKPSPPT LQPAEEDRTVFDRFADEALGAAAAIEAEEAAARAATAKRSQTSRPYDAIRDVFTESPS TRPPSPPSAQEAPPAVPKPPDTANLSYLADLALQPEPTAPSLAVAEQPPQHEPPPPPP PPPPPPQQPQQPQQQQQQQQQQQHQHQQPQQPNGLVTRGEYERQQRGYTPRPAQFSQP EPAHLARHEAPSAPVEPARTNDFLRTALNPQPESPPPPPMPPVHEYGGVPLAPAPGPM PSQPPQAAPSRTPFSSTGATKGLPALRPMRTLLNEPPAYPNEPQGSPALHHSMVASNS GAYYPPAANRPFHNAFSIQDQGQPMQPMMPQQQLGAPLQAPPHTGAPMGPAPLRRMST PPQYHQPVGPPLGPAPGPGVGTQAPMHFQGQQPLAPRSRSGSSSATNSAASSKYRKLE PAPTPPHRLAYSGNGQELRTVQFDYREAIKDYSAIEAPPRHGPTQIRGWTHNNIRKVA RPTSSSSKTDAGGSAHASTGASSEEPSA MYCTH_2304880 MDQQGQEPGPMANVVAQPATAQAIQTEGIGSAPVPANGISADEI ALYDRQIRLWGITAQQKIRNASILIITMKALGNESAKNLVLAGIGSLTILDPEPVTAA DLGAQFLLAEEPAPVGVNRAAAASVALRRLNPRVRIHVDTVDVRLKPPSFFAPYDIII ATDLDSPTLNIINTATRLNSRPFYAASSHGLYGFIFADLIEHTFVITRAKSNMPTPVG PETRTRSVLAVSPSPSDDKEELVTKRELYSTWYLASGASQLPDEIRRSPRRRRAVTPL LSCFRALWEFTALHDGLPPQTDNHAHLAEFTRLCQEQHRTLGLPAETLRSEVLRAFLQ HIPPPHSSSSSSSSSSSSAQNGTATTTTTTIAAAGSGGSSSNSSSSSSASEVSPAAAV LGGQLAQDVINVLGRTQQPVQNFVIFDGDALEAGVYALHPQGELGRALLVSAAPVADA EGVPGASSGSAMPVPGPDGVPVLSVG MYCTH_2304881 MSGDRPLLPPPLEPGTFRAADGTIRSFHSSRLASNDRTGDTPSE RLSTVIQQFHHDFDRLSQHLFATSTRTSLSAGRMASGSDRDLRPRFNRDPQPSNNPLS SSAASPDSPSLPPLRSLDQRARGPHAAFSGSGSRSSRYRPAGRYLDRFRSHLGATLDD LNRNIHDTDAQLRTLMDFGMATNIPPAPPTVSPPLQGHDTAEDTRRTKRRKLNPDRHT SAFKGFRYGRYGQVEPGQLTMEIVSCDGGLYSDEHSYAPENILKNDASVYCTKSNRCN IVLKHQGGTVFSLQELVIRAPGSKFSCPVREGMIFVSMDLDDLLTRTAQYQIQYQPSR IHRRGTVYFRPEEGGRFESRPLRAYSYGADDDDDDDDDDDDEENSRTAQIPPEFTTSS LPYNVTTVCSEDESEDEDPSSHSRPPRQPPRRGNRYSVGSLPFDSDSDDTDFSRAFGG PYGHWPPTPEEAAARRRYRTSRLRGGGAAVPPTATGDRAGAGSSSSGPSRSDMTLEEA QEASQIATQEAVRAVGGELMTPLAHFFIEKDKNKCTVRFDPPVSGRFILLKMWSPHQD PQKNIDIQAVVAKGFAGPRYCPAIDLA MYCTH_2304883 MAVRAQFENSNEVGVFATLTNSYALVALGASENFYSVFEAELQD VIPICRTTIAGTRIIGRLTAGNRKGLLVPTSTTDQELQHLRNSLPDEVKIQRIEERLS ALGNVIVTNDHTALIHPDLERETEEIIADVLGVEVFRQTVADHVLVGSYMALSNQGGL VHPKTSIQDQDELSSLLQVPLVAGSVNRGSNVIGGGMVVNDWMAVTGLDTTAPELSVI ESVFRLGEGAAPGAINTSMKDTIVESFY MYCTH_2304884 MLSSDPSLSSPPPGLDLRASTFSTPTRASQPQRAVPDDDDGFAS PRQQFERHTPTPGSPLHPGHHHQQQQQQQQHGLSAPRPSLSPLSEYHSGFADEDLGSP LNLGNHRRQHSFPNLLPLALRNRTPSPTRKTHVRSPSEQMPYTGDGRVNGRTAAASPR SGGGGGGGGGGGGLVSWLSGSAAAANALGLSHQPGTSKDASPTPTATPTRNGRNAGFN SNTADVTTPKSATTTTAASRFMSALSSRFTSATPTNSSSLRNPPIGEQQEDELCTLDI EAALFPSSPSSPSSSAARDPFSPSAFKNLHMNAVGLLTRMQAAYRSQAAALRDLRAER AAERDELEEAVTRAAHLKMQLEGMARKAAEWEATVQELTGELERERKARARAEAAELA AASAAAAAAAAVSSKMSEGEGAPSMVSEDLGVEEDRRRRRRRRRLRRSSGDEGGEEVE EEGSSTTDDEIDSAESESVFSRCRSPPLTLQSPLQTRAAPTAASDGASASRGVTGGSG TPSGKNAGGSGSVNATPKQRTGQAMGAFQKILKGISGDTAGCSNCKGQDASVAWDTVS LLRDENRQLKNRIGELEHAVEGALDLVNGVGLKVPQLA MYCTH_2304886 MQPTRIMRYNGGDPKPNITGFDMSKFLAATGTPRYDPWWRNEAW RYTGQFSRWNRFKNAFPGFGIATVAFAGYCLYEHFFLKDDHHHGAEEHH MYCTH_2304889 MPPPLNRFPSGPNTISPYHQQYPSHAQHATSHPPPLGATAAYLN AQVGQVNPFSGNSNLLGLAGGLNAAAGFGVGVGADSGLANHAARLGFTHVGSLQQQQQ QSQQQALQQQQQQQQQQQQQQSQHSQHAPPVQHPQHAQPQGHGLVEHATRVAQKGRIR EVWKHNLHEEFATLRDLVDRYPYIAMDTEFPGVVARPMGGFRGKSDYHYQCLRTNVDL LKVIQIGIALFNEDGEQPPARPSSTDSADLRRAGSQAPLPYAWQFNFKFSIKDDMYNQ TSIESLQQAGIDFALLERDGIDPHEFASLLIPSGLVCFDHVRWISFHGGYDFGYLTKL LICSPLPCDEVEFDQKMKRYFPSTYDVKHLMKHAIKLHNSGMLTPSDPSAIDVMQKFE QKSGLEHIAETLKLKRVGSAHQAGSDSLLTGRVFFELRKRIFNGDISDEHLGKVWGLG IPDFSVGGAGITVGNNNNNNNNNSGNAGNQVENTPPTNNGNNHQQQQQQQQNPQNHHQ VNGNGTPSTPNTGSVGLAGTPGGASSSQNTNGSAAGGGNAGSSSSSNNNNNNNSNNNS NNTASGMGPMTPGGGGGVFGAFAFAGNSR MYCTH_2304892 MADLEAAKINHDNHLLLDQPCLRLPYELLRNNFRSVHYPFEWDS NAVKNAVKETANGLISGKTSAQDAVKSLDQMLGKMRGLKRKLAAAAEEEDRLYRQMDA RVAHLRELANLNTVDDVKYEAWSRQRLDRLLVDYMLRHGYGSSAVALADERGMRDLVD IDTFATMSKIRQSLEKGSVQEALSWCNENKKELRKMQSNLEFMLRCQQYIEMMRTGSQ TKMIDAINHAKKYITPFNDTYPVEVSHMAGLLAYRPDTKIEPYASLYSASRWQKLAET FSEAYLKLLNLPMTPLLHIALSSGLSALKTPACHSTPSSVQAQPEPEQSQHHINGAND GGDSATPTATPDAAAAQPQHHGTASLTTRICPVCSTELNELARSVRYAHHSKSRLLEH DLVLLPNGRVYGKARLEEYAAKSGLPQWQVKDLVTGEVFGVDGVRKVYVT MYCTH_2304897 MAATVGVQQPDISEASTSPGQKRKRAAESASPDSRRAKRGAPNS SMSVPESEAQPFLGDTVSIAQAAHDHVNVEDFSALAQATASEHNDTADTSNASSTAAA ALNMYPSLHVPQSTEEAFANQPTPETHHEENTFSGHAVNQPPPDGLPLPPPPSTIQQP PSNGVQQEQRYSAPPNTKPAVGSEEWHKMRKDNHKEVERRRRETINEGINELAKIVPG CEKNKGSILQRAVAFITQLKENETQNIEKWTLEKLLTEQAIAELSQSNDKLKQECERL YRELDTWKQIAQSAGLQLPQHKEEPGSSS MYCTH_2304900 MSASRALRGSNALLLRAVSTSPSSSSTAARAGRSAALALECRRR FTASARAMSSFYADWEPEGPSVKTPIPGPKSKAAIAELDEVFDTRSLNMLTDYTRCAG NYIADLDGNVLLDVYAQIASIPLGYNNPALRQAASSPDMINGIINRPALGNFPSAEWA NILKTSILKVAPKGLNQVFTAMAGSDANETAYKAAFMWRRQRDRGGPSAEFTEEEMNS AMNNQSPGSSKLSILSFKTGFHGRLFGSLSTTRSKPIHKVDIPAFDWPQATFPQLKYP LDQHAADNAAIERAALDEVEHLITTYHVPPCAVVVEPIQSEGGDNHATPAFFRGLREI TRKHGVLLIVDEVQTGVGATGRFWAHEHWDLPHPPDIVTFSKKAQTAGYYFGDPSLRP NRPYRQFNTWMGDPARALLFRAIIDEIEKHDLVRHTAEVGDYLYGKLERLAARYPDEF RNLRGKGMGTFIAFDSPRRDEFLAKAKTFGVNIGGCGQNAVRLRPMLIFEKKHADILV DTLEKIVTSW MYCTH_2304904 MEGSNRASGVVAGPETEERQKSNGLGTRMEEMGGSATPNGSRTD TRASSMSPDDAKPSADSISTPENGTAPKLSRKSSQKMARSPPPLFDHLPDATEEACST FQVINDCLYGSRNMGSSDHDALDCDCAEDWHDGQNHACGEDSDCINRATKIECVSGDC NCGEGCQNQRFQRKQYAKVSVIKTEKKGFGLRADTDLQANDFVYEYVGEVINEPTFRS RMVKYDREGIKHFYFMSLTKNEFVDATKKGNLGRFCNHSCNPNCYVDKWVVGEKLRMG IFAGRAIRAGEELVFNYNVDRYGADPQPCYCGEPNCVGFIGGKTQTERATKLPLATIE ALGIDDGDSWDTTVAKKPRKKKAHEGDEEYVNSLQPRALDEDGVTKVMAALMQCKEKW IAVKLLSRLQATEDDHLRHRVVRMHGYQILKSTLNTFKDDMNVVLQILDILYQLPRIT KNKISDSKIEGAVQPLVSAPHEEVALAAKRLLDEWSKLETAYRIPRKKHDHAGPVVIS SFEEERRNKDRDEPAKPVDPLANVVIPTGPRSSIPQRNANYFTGQRPRKPPTNLPAGW FVTTDKTGRYYFYDVNGKTQWQRPLTPAIEIPKASAKAQQDQKTLQNIIDSLTKEPTP RHSTGHTPQRSTTPATEPKKEKWRSLPIEKQMKIYENTLFPHVKHVVDKFHGKLPKEE LKKFAREVNKKLVASDYKNNRVEDPTSVTPKVAKKVRKYTYDFFERAAVKYAEYEKKK KEARSTGQPVAGTSSHPGDADSSVATPAKDDTAASDAEGDAPSPGSSAGRKRKREDDD DGDQAESPEAPPSETQSVKRAKEDEAGADVETPTAIPSPPTPPPPPMETPLTEEERSM REQEEALMRENEEAQRLEDEAAQAAEYGKQQRGSANGEAGTGVLVNGVATAASPSTGT NGRGTEVGADVEMEIDEPPQQDAGNQQQQQRAVLSH MYCTH_2304906 MESAFNDYEKRFLLAEMIKLSPLDVGVLVDFVRSHGIQPDWMHM QLPGGRNMSQCLHAAEAMFNTPMAPPSPLVGSLKRKSLGDLPDYGANKRQAILSPGEL SPHGFAPGPQSAPAAQPVNIQPRPNGYAPALQPAAPSVSAAPYNPSPTARRRGRPPKS AQSSWQISTYPSISPAPSATQPNSPSLQSHAVQHQPAAQSPAEPKRAKKALPEIAPRP LQALPTMEPGNRSPAVPGADYQHWRDETTCRDNYQLQATEPHPRERSTSSYTPIQPRA RSPFPPSRDPARAASREPRHYTDTPPPAVQEPVNKETQSTATEQAKT MYCTH_2304910 MAVPRTLTAALGAVAFLPTTAMLGIHIILARSPTDRARSVKVTG ALAAVFEAFIFVLVPSLTVSHLVPWDPGSESRLLRRLLFGTGLALCTIAWAVSVSNLV CLSRVADDPHSTILGSEADHFVVGSSVALGFAFAAQLGFFIFHFLSGRTPSSSPEVSI PEQKTGSRLGWRIKTVPYHETTPNSANSLGSSFSFGTPTSPGSSGGRSRPNTMSSIRT SFSHAIRPFTSKTRLLSLSRRFSHKPASLDLGAPQEPRPRSVGAGFDYWDTSAVGSEN RQTVLESFTPPPSRSLATIPASPSNSRSSSPGRSLDMLEPPHTTRRSRSYSSVSSRAI QAQRIAFTQQKSHSEAHIHPLFRSDSPAPPLATPGTVVVAAPNAGKVIADRQSIRSIQ SLRRLRSESLPTVTSPLSRAASVDSFVVSRRENSMSRSIREEAGAMAGAAATTTTAPA AAGGTGREDAERKSVPPIPEYVLNAGPRSSLALYQSRKNEEGGQGSPDSEQQPTSSPS MYCTH_80581 MKVTFKDLKQQKFVLDVEPTDLISAVKQRISEERGWDPKHQKLI YSGKILKDEDTVESYKIEEKGFVVCVVNKPKEPKPAPAAESSSSAAPATPAPAQSSTP AAPAAPVQASSTATAAPATPTPNRSAAPATLATDPNQLAMGEQRAEAIANMEAMGFER SQIDAAMRAAFFNPDRAVEYLLNGIPEHLQQSASAPRQAAQTAAASSAGADDDSNVNL FDLAAQAGRGSGARSGSGADVGTGAAAAAGQDLGNLEWLRANAQFQQLRQVVQQQPQM LEPILQQLGASNPQLAQLIAQNPDQFLSLLSESGGDDDAPLPPGAHQISVTEEERDAI ERLTRLGFTQDQAIQAYFACDKNEELAANFLFDQPDDDDDVMH MYCTH_2315281 MTANGEARSGTPSSDPNASTRPTPAIGTSGTAAEAPVESSVRTP IGETYQIIDGEIVLDHRSLQVDRHARAREEAGELVEVEENDFTHHTTSATYLRRNLKP QQWTDEETDLFYQALQAFGTDFDTICRMFKGKTRKHIKLKFNREERVNPQRITAALVG QKTVSIDMEQYQRATGLEYETAEAIYAEQRKAEEEFEARQKALEDEKAEELRRKKEEV LAQLNSAVDGEGNKKGGRKGRRKKGPVVMGL MYCTH_2304915 MEDRKRPASGAVDDGAPPSKRQAVNGSSKSKDDSGDMREEAWIE EYQKGAIYRQMLEYKREKINLENRVQELEKNSTDHDDHIRIVDAWVLQLLQEIELLVE GSVSSTSSADLAPGSALAFKDSKDFQRHLGDKGKAFKSKSDAILKRLASARGEVKPEV SELESQIRSLLAQQKELIVKVDRLEAQNASLSEQYDKATLKVIKAERKLDRARSAQVQ KLEQQALAGSTAHAASKDDSGASSGAANGDNEALKLQYQEAMAVVAKQKEQLEAALSE NKALIEENSTYKIKKEAVTDEEYARTELFKQFKAQNEDLIKRINHLEATNKQLREEAE KLRGERSEWKTKLEHEAQVATLELEDQLQQKDQDLTRIRSARDELMAEVAMRKASQDQ EKTALAQIKELSEAQVDRIAQLESELERLRPNEDTAMTDPRPDLEALPVEELRQRYVK LEKDFEAINKELPLLEKSYKKSMALAHKKVMDFHHLEERVATLTAEKSKADQKYFAAR KDMDIRTAEIRTLRAQNGKSSEIISQLKDVEAQNRALISSLEKQIATLKNELSTAMME RKRLEQVSTEATRRADSCKSQISSLADLVKAKDAAVASMKEQLMKVETELEKAKVDVK EISKECHKWKVKSQSNSSEEEEALRNLVICSVCRSNFKNTILKGCGHVFCNNCVDDRL ANRMRKCPSCNKAFDRSDAMPAHL MYCTH_2304920 MRLPSIPTSTITTITTTTAATLLLSLLTLHGALAARARPKDAVL LSEVQALTLHEPDKMTTSRRTPPIPQLRCVSPAALCRLAGPVRTMRCTNQGAGAGYGG AEDVAWACSVAGGAGGGLPRAVRLDRTDVLCEGYASPDDPYVLRGSCGVEYTVRLTEE GRRRFPELVGVDDGQEGGGGGGGGGPAGEKAAWLFWLLFFAVLAWIAYGAWTRRGTPG PRPSGGTNRRGWGGGGSSGGWGPGFGPGPGGEDDPPPPYPGFGPKPSSSTESAWRPGF WSGLASGAAAGYMAANRGQRSHDRNRGRGRRGGLWRDNDGPGGWWSGSGSGSAPGPGS DSGLGSAWGARRSSSSGSGSRHESTGYGSTIRR MYCTH_2304921 MAEDLSEKSTAPVPGRPSSGRNSSDGTEKNNDEVAINLGKADSK VVAPKDDESEKDQDPFAHLPEHEAKILRDQVYTPDVKVGMAMLYRYSSRNDLLIILVS SICAIASGAALPLMTVIFGNLQGTFQDYFTPGSSMTYDEFTDEMGRLVLYFVYLAIGE FVTTYISTVGFIYTGEHISAKIREHYLESCMRQNIGFFDKLGAGEVTTRITADTNLIQ EGISEKVGLTLSAIATFVAAFIIGFVSFWKLTLILLSTVVALLLCMGTASQFIVKFSK QNIAAYAQGGSVAEEVISSIRNAVAFGTQDRLAKQYDVHLARAEVFGFKLKSVLGAMI GGMMTILYLNYGLAFWMGSRFLVGHEVSLSKVLIVMMSVMIGAFNLGNVAPNAQAFTT ALGAAAKIYSTIDRQSPIDPSSEEGTKLDKVEGTIRLEHVKHVYPSRPEVVVMDDVSL TIPAGKTTALVGASGSGKSTIIGLVERFYSPLEGTVYLDGVDISTLNLRWLRQQIALV SQEPTLFSTTIYENIRHGLIGTKWEKEDPEKQRELIYEAAKKANAHDFITALPEGYET NVGERGFLLSGGQKQRIAIARAVVSDPKILLLDEATSALDTKSEGVVQAALEAAAEGR TTITIAHRLSTIKDAHNIVVMSQGRIVEQGTHDDLLQRRGAYYNLVTAQAIKTANETA AEAEEEEEGGAALLEKRESEAAAIYRASTRGSRSGTVPGDPDDDLQARLKKTQSQQSA SSMALAGRKPESQKKYSFWTLIKLIASFNREEWKIMLVGLFFSAICGGGNPTQAVFFA KLISALSVPVTDETIPHIRSEASFWSLMYLMLAIVMFIAFVAQGIAFAKCSERLIHRV RDMSFRSMLRQDVEYFDRDENSAGALTSFLSTETTHVAGLSGSTLGTLIMVFTTLIAA CVVALSIGWKLALVCIATMPIVIGCGFFRFWLLAHYQRRAKKAYAGSASFASEAITAI RTVAALTREEDVLGQYRASLAAQQRASLISVLKSSLLYAASQSLMFLAFALGFWYGGT LIAKYEYDLFQFFIVFTSVIFGAQSAGTVFSFAPDMGKAVEASRELKTLFDRKPAIDT WAPGGDKLEAVDGSIEFRDVHFRYPTRPEQPVLRGLNLSISPGQYVALVGASGCGKST TIALLERFYDPLAGGIFVDGKEISRLNVNEYRSFIALVSQEPTLYQGTIRDNILLGAP YEVSDEQVKFACQEANIYDFILSLPDGFNTVVGSKGALLSGGQKQRIAIARALVRNPK ILLLDEATSALDSESEHVVQAALDKAAKGRTTIAVAHRLSTIQKADVIYVFDQGRVVE QGTHAELMKKNGRYAELVNLQSLEKHG MYCTH_2304925 MPKSKRARVYHLTQVSKKTREQKDKLFSSIRECIPQYQHCFAFS VDNMRNNYLKEVRHELSDCRMFFGKTKLTARALGTTPEDAQADGIDGLARHLTGAVGL LFTNRDPEEIISYFDSLSHVDFARAGTVAPRTVTVPPGVVYSTGGEVPPENDVPIAHT LEPELRRLGMPTRMVKGKVCLGADESGEGRVGGYTICKEGDVLDSRQTRLLKLFSICL SEFRVALLAYWSASTGNVTDLEAGKQRLARLQQQGKAGAKDNNAKEEGDEMDEDEEED QD MYCTH_2304928 MTDRLPPNLLALFAPRPPLRWVPPCDHPPEERRTASISGVAQYL PAMAEYERVYEYHPTESWLERRDRKKREKQEQVERLLTEGPKNYNPHADPNIRGDAFK TLIVARLDYNADEKDLEREFGRFGPIERIRIIRDTHAHEKGNKKQKPHRGYGFVVFER EKDMRAALEGCDGIRIKDRRIKVDVERGRTVKGWKPRRLGGGLGGRGYTKASTSRPMG PGGFGGGFRGGFGGGFRGGRDRGFRGGSGYGDRSGFRSSPGGPGGGGGGGGGGGGENG GYYSGSGRDYDRPRDHDDSRKRGYEGGYEDPRKLRRY MYCTH_2304929 MTVRAVQQISTGRNGVGSFILQCKKMNFHYCDWAGSSKGMNGFI KSLLPKFAAANPQVEFTVSPRPSKHPVIVAQYINGREKSICVRNMEPYEILKKAELLR DASGEKNKRVTKPVQSINESVRGVWSPYHGNGLQV MYCTH_2304931 MARKFFVGGNFKMNGTKESIKTIVKGLNEAQLDSNVEVVIAPPA IYLHLAQSELKKPGIEVSAQNVYDKPNGAYTGEISVSQLKDSGVNWTILGHSERRTLL GETDEVVASKTKFAVDGGVSVIWCCGESLQERESGNTVAVVERQLAALAAKLSTDDWK KVVIAYEPIWAIGTGKVATVEQAQEVHEAIRKWLKANVSDAVAEETRILYGGSVNGKN CKDLAKEKDIDGFLVGGASLKPEFVDIINSNL MYCTH_107458 MAPRRSARQQAKKAEKEAVIEILSSDSEPETPEVKDDAPGSAPA SETGDDVNGQPLLRAASIKYKADNTAEESQRQDDPHSPSSPKSAVRSNDTGSAKRSHV SIEIPLPTSSALRRRKAGASASGSQEGDSEDVFKTPKERKQHITFEDSDHDEFVTPRE GPSRDSLEDSIAKTGGNGAETGEREEEEKEEEEEDDSDDDAPPEAVSTRDAEAETLKA AEAAAKAIEQQAAALKRKRQERDAFLKRQAEERKRAQKASRQEDEDEDANLGGGQDFP PETEKRKREVPKLLPLDLLESDGEDDAPRPPSPATNAQHKRRKLGGAEQTLLREPKLP KDKRLGSTAYRVVKSSGDTRLAPKVKKQAVNLKETLLRRDRVAKPRGGFFVRSR MYCTH_2304934 MFLARASGGAGRLSGIATWAALRPRVPDAHPFVLANQVRLQQQQ QGRRRRQQQRDYAVFLRPRSRRPPADAADGLPFKNVDPPPLRWWREFQEARGIGDLTP ENCLSAFTQYCLVAANTGSSWKSALERDHNIDPYTLHYTALPLLNRSGSPAMTVGMHM LFTASSMGYTPSILTLMGILVRSPENVYAKARVSPSWREVDARFKRLLQTEKNPDAFT LQGLLLLREGRGDLFALRYFDRAVEAARDMPGTQPGPVEQDAPTIREPRWTLEAFCHR NRGAILLKQNRREEAMAAFRILALELDLPDGYAELAKMLPPHAKERETYLLKAAQGGS FEACALLALHMADKAADSDLPHGDRVVAAGLAREWALIEPDDAKREQVLAQVAERTKA VSGER MYCTH_2092861 MSSDAVSTPAGRALRRLSRPNRRLPVFSHLPVTPWTVLLVVLVS FASYALAAIAPLETLAVGHGSLRRDGQGWAALPDKQSSQRGDVQRRAASEDSESTTES SESSEPSKTSATRSVTTTFSIGVGSETPSSSGSRSASSSSTTASASPLPSILDSLVYD FAPGPDGEASPCPTFIKSFLADPNFKKCYPLSMLFDSSRSFFEAQRSAVGITRTLDAT CAANATFCTGYLNQLAQNLTQPENCGTDYERGQSTVADIYNAMMAYGPVYSAGCLRDP DTGAYCYANAVTNLTNPSSTYIYFLPLNKSLPGTTVPSCGYCLQRTMALYQAATADRR QMIANTYVSAAQQVNTICGPDFVNETLAAEVIPSSAAKVPAASLLMTSTLPLLLATLA WLA MYCTH_2110369 MACLAMPFCALLVSDAQHTRGLAPMWHSATHPAQALEASTVPAR LRHRMCTDECYTEVAKCFWSACETAAIYEEEHQNGAGDGGFLCKFGPLILADPMQKRL TDYIHYMGRTALSTRPRALSTRMYEVSTGLQHQCSLPGPSAVRAVLEVSPIRYGGLDP HTNCRMVPYETHHTTERCPIKEYNRFELIAVPGK MYCTH_2127031 MSAAVDSVHHSLGRHSHLDGMAQHHGFHESYAQGHGRYATEQQH FQQPSQARQLPRLPPMSTIIPPASSDPSPVLSSGRDAPYYGGRPNYYSEYATPSPVGQ TPPLLPIPNSEPHPLSKRAVDLRHSPTLSSTASDRSTEEMMAHELRLRQMSSSLHDPH GQSPTSRQPLYYPSPRSRGGSLQFDNALSPISANAPSATPYPFSSTSSSSFSSSSSSS VMKSNGQAVTAQAPRSPPSTQPSTSPRQEPKCMSISNLLSTTSESSAAPAAVTSSSTS SSISASAKPALPPAAAAAAAAPRRPTSEYRITVRQQPLAARSCGFGERDRRVIDPPPI VQLTIHDPSLSEDEMARRLRHQFSVVHCSIWDDKGCRDMSSMPEDFRQQRRLMGTLVA SPFVGLDDRGQEGCFFCFPDLSCRTPGLFRLKFALVVLDPARMCTGDRSAIVATAMSE PFQVYNAKDFPGMQASTPLTKRLKEQGCLISIKKGNERREGGGGGGGKSNSGGSGGKN GKGEEPEEEEEEEENDDDDDEGDGVEDNRSSPDGSAGGGGGGEEGKRRKRIKRIKR MYCTH_2304940 MPPPYIPPAPGPSNPSTTSFPSSSSSVSAPRRRPRVDSDPDPDY DPDSAAPRPALTSNSKRPRSEHYTPPTTTTTTAANNTTTTPVTMSAKVQGKRPEVIDL TNSPTDPIPNPSAARPSHPHYHHQPRPAFQPHLGSRKLVIKNLRPAVASQSTAAAVSS SSSFSSSSSPSSSSSSSSSSAPTTTTSIEAYYARIHADLDAALSSIFAGRPTAQPMER LYRGVEDICRKGDAAELTERLRARCEGWLASADMLGGLWEGQRDAAPSSSSNAAAAAA AAAAAGGESGAAGAGDVLLRGVVARWRRWNEVVFVIRGIYSYLDRGYLLLQQGGVDGG KGKQGINEMGIALFRKAVFGSSKGAAVSPQGKAVLEGACRLVDYARQGDERADDVLLR DAIAMLRLCGVYGKSFEPMFLVRSHRYFEQFASEVSAAYGLKDYIGAVAALLEREAAR CDGLNFESTTKRQLLGDAHHVLIEKYSEKLLDTGSVAKLLEAQDVESVKALYELLKLS GLQKRLKGPWEQFIRQTGSAIVRDTTRGDEMVIRLLELRRSLDVVIRDAFSKDDVFYY ALRESFAHFINDRKNTAVWNTSTSKVGEMVAKHIDMLLRGGLKTLPKSLLSDVKDRAD AEMSGLASTADEDAELDRQLDHGLELFRFIEGKDIFEAFYKKDLARRLLLGRSASQDA ERSMLAKLKVECGSSFTHNLEQMFKDQELAKEEMASYKEWLAGTGRNTAGVDLTVNIL SAAAWPAFPDVKVNLPKEVLEQINTFDGYYKSKHTGRRLTWKHNMAHCVIKAQFNRGP KELLVSAPQAAVLMLFNEVENDGDGVLSYEQISQSTGLQGGELDRTLQSLACGKARVL TKHPKGRDVSPTDTFTVNKAFTDPKFRVKINQIQLKETKEENRETHQKVAADRQFETQ AAIVRIMKSRKKMTHVQLVTEVINQTKSRGAMDVADIKANIDKLIEKDYLEREDGSYT YLA MYCTH_2304941 MAPPTAPLNLDFEAISGICGSISIACWVVVFSPQIIENFRRSSA EGLSVQFVVVWLLGDVFNILGAVLQGVLPTMLILAVYYTIADIVLLLQCFYYRGFTLS DDVVPPPEPASKPKRGAERNRSGSEDGNGNGNGHGHAGEPDERTGLLSGGGGGGGNTN AATHHERSQYYSFEDYERRGSWTHLSPAVPFVSKEPVAAPALAPTTWLQAFAFNSLAV LMVCAAGVAGWWLSRTYGRGGGGAGDGDGDGEKPGGGAQQDPLELNPLGQVFGWLCAA LYLGSRLPQLLLNWRRKSTEGVSVLFFLFACLGNLTYVLSILVFEPECEGDEGCRPGE AAHIFWQYILVNLSWVAGSAGTLLLDLAIFVQFFVYSKSEEDSSSDSSDDVGSTDEEE SIAGQDAWDQRPLLQRTDTVY MYCTH_2127034 MRTERGATTILELQSSPDNPARTSGSSSPERQAEDGNGLEMPGL PAVDRGKDAYLFLTACFMIEALVWGFSYSFGVFQDYYSTHEPFAGAANVPVIGTCAMG IMYLSTPLVMYAHRRFPKPARYSTILGLLLMCLALALSSFSTTVTHLIVTQGVFYAVG GSIAYTSCIVYLEQWFVARRGLAFGIMWSATGLSGVVLPLLLERLLSAYGHPTTLRLF ACLVFLLTAPLSWFIRPRLPVAQASAARPFSLRFVVERPFMLYQAFNVVEALPSYARA VLGARPLPSVVAMMLVNVANWAGCVAMGWLIDHLEVTRCFLVSSLGATLGTLLLWGMS VNLPVLYLFCIVYGLFAGSYSAAWPGIMKEITSRPPPPPSDGSTDGSGHGHEHGSLAD PSMVFAFLAMGRGIGNVVSGPLSEALVKGMPWQGHAFGGYGSGYGGLIAFTGATAFFS GGSYLWKRLGWL MYCTH_2304944 MTSPIKLEYRGRLAIITIDNPKKLNALDQTGYYELAQALREIAT HDEVYITLIIGKGRYFSAGADVSISRATPEQASAQTGGSSARRHWLQTFVAFNLNVAR AFYTHPKILVVGLNGPVVGLSAALVACADFIYAAPHAFLLTPFSSLGLVAEGAASRAL VQRLGIGRANEALIMSKRITCEELLAAGFVNKVFEQCGQGEGEDEKFKALVLKEIDER LGDHLVGDSLIGIKKLIRKPERDVLDAQNVAEVFDGLDRFVSGVPQEMFRQIATGAKK HKL MYCTH_2139199 MATLPPDLDITTIPSSAALFSSSPPPPPAAADPSDNHRLAALPL PHAPYTLPQIRAIHKALHAQADDLGARARTRVGASYRELLGTADAIVAMRADAADLLL PALGAMGARCGRGVVAGKAHRLRSFSSDPPPASALRGEDQKSGAGRTSAGGRGEALVL AARLYVLGRLLVKSLDGTAAGAGGGSKVEAARKSLENVYRGRLMRGIDAVLRTGSDRA MKQGAVLQALAAYSLATSSGARDVLAHFLGVRAQAIAMALQLDAAEDRGVGAGAAANN PKNPKDVLRALGLYAKTLLDVQALVPHRLTEAMVALKADRLLENASLREMEVLRLDIY KRWCGDEIQFYTPFIRHDDLDGKQAREMLAGWARKGSEVLLQGLERTLEGMSEFKAIV ELRTSLLRLWIAEGGKARGFDPSEMLGRLRGALNRHLLRVLETKVAKLRLVGSEVSAA LDAWRDGVADRHPSLWDVGSLDTSLSNGAAQFTHDVVARLHGRNDAVSKAITSYRSWF HVVDDVGQVVDQLKRQRWDNDVDEIEDEETIEERQRLLSRDDPLALAEHLNRLLVEAF RRLDDQLAALWDARRDGPDSGAVAMYFIRLLRDIRARLPDLEAVRGFGLSAVPSLHEA VAETVIASPVDEFAGVALARKTVVGRSLWEGEPALPGSPSPGAFRFLRNLAVAMGGAG GDLWSPAAVGVLKHHLSKHLSAAWRQALGALDAPEKKEAKNEETGTEDEAAAAAAAKD EEDKTDGKPEAGSADRDLLLQWLMDIYYLGLFLGSGETMKELEEAVQQRLGLESPVNE RLVKASQDYFKKTGLLFGLLT MYCTH_2304947 MPIPDLPDLPAEDSLLSKKFGRETANYFSGSPLNRLSFLRSDVS FLRAAYAHPRARFLLLRDLAPPVRADDPAQLAFAGYADVAPLTGADPFALSEEEMIAR FDSSEEQVTIVFLGVDEKGALPRSEAEPGDEFGYKGFKGSPYFAVDVTPRGKKAAAAE ELIKKMTERGFTFYDNSPRHMGLLAGQAAMYGQARALIDWNARTPFCAQCGQPTLSVH AGAKRVCPPTDRAGCGEGEPARERRACATRGRVSNHSFPRTDPTVIMAVVSADGTRLL LGRQRRWPPFWYSTLAGFQEPGESVEEAVRREVWEESGVRVGRVVLHSSQPWPFPASL MIGAVAQALPGPGEDIYLGHDAELESAKWFPLDEVRKALATGTSNLGEKPPEGYVEGS LRLPPQTAIANRLITAVVEGWIQSKM MYCTH_2315290 MSKITVAGVRQQVAELLEYSNETKKRNFLETVELQIGLKNYDPQ RDKRFSGTVKLPTVPRPNMSICILGDQHDLDRAKHGGIDAMSADDLKKLNKNKKLIKK LARKYDAFVASDTLIKQIPRLLGPGLSKAGKFPTPVSHSDDLSAKINEVKSTVKFQLK KVLCLGVAVGNVGMTQDQLVANIMLAINYLVSLLKKGWQNVGSLTIKATMSPPKRIY MYCTH_2304956 MASASVSPGPSSHSGLESEFPQHPDSTGELDEAFWTAISNPSSG SLGLLSSPAGSLGSSWAVLGNGQIVEMQPSPAALPSPLNADLDRLSPYPAAVDQSRSG VYGSVNMFQGLENHGLDFLTRPEAPTELASAQFDGEVFDPVFNHFSSSSILEEQQPAE AAGFENPQAAVDVPPWEPVHLGHGSGDGNGDGSGIGRTSSNPKPPVSAPAPAPAPAPV PVPVPTTAANEASPIFIIEDPGFTSQSPSHTYSPSLHSASASPPGSASPQSPAAPIQF EQGLPPADRLRRPRTTSSTLASSAPKAIPAPRKLNAGNRVQKRRSQPSTALHSSPPTT TTTTTTSTSPSHTSPRSISSSSSSSSSSSSLASASASASASASASASASASASASAAT AASKFLIVTPSSITLNSAAQPNVNPFDCLDALSRGPTQRGRKGPLASDTKQSALVVRR MGACFSCHARKVRCDKERPCRSCVRLAGSVPQVVCWQFADFTAVLFPGFLRAHLKRDE VARFVDGCVASFSVAGAEVPCTVELVSGAGFGRRTVLELRAKFFTARSADALQHWHAT VGRNGMDLFSRGAAPIALEVPKEGGGAGTTTTITNTTTTTGTGTGTGTGTGTTTTSSS TTTTTAGDGQRAELKRRLREYIQAMVDEPRFAELVTPEPQHTELPRRVLGIVHEYAGR SDVPMVRRALSIYAMHFVMTRHLCLSPKSVAELGPTGLVPQGVPWVTPRVLNRQVKAV VDEMMCREMQLLFENFGKSLKPKLRREWAPCLASFLVLCLFMESVETAADLFVVTDNE INLRHHYSPAWKRSFVLGVNREIENMPFKQFAFQFHQIYQTHSRDAASRSFNPLVDDA CFDLGELDQDAADMVRQLRRFIHDDCKSWGFPLSPPLFSLPPLSLSPSPSPSKSMLTA AGSELDYLTADPILPNIEDHPYPRNVAYNYTGRLVAKFLLSFTDEKYIFDGRT MYCTH_2304958 MADFAYRPPAPMDNERWLSSRSPLYGNAFYSARFETGSTPCLPN SVTYAQGAASTHHLPADLVPGGGVPQLRRTPVEPSFSPIQACNTRVAQGPFTTSPAPG QVPFKSTGSWSWDQQPSYPAHNSQGPYQGRYSPSDSMDELASPSLSDYSLDKTISPPA VPSSKTSSRMGGGGGVTKPRSQRRPSNRDEFDGPHQFLKRPPPEYIAMQERELPQLPT HLLVQEQDSVLNKVNERLSQCAYDFVAKYQFPIPLTQDMRPVERPQDREWTEWVYLLK RLATKRRIPARVLYNGQIKQFVTILENSLEMRHATKHQSRPLKDDRNILQLISAGIQV AKILKDAPAMEYLDKLYVSTERRIQERVAAAAAASNAMRLR MYCTH_2304963 MLASNASGMFSFCQTGPADLAGSSWDATVEGSQNFPELADAADY YSGETEDFVLPFGQNTPKPDHLDAADEFQTKWTPAVSLESKALKAEPMRRGTSRSSTG SHKNRSTKVSTQSAKKSRSRVQSVLSQASSQMSKLDMSSNASTHAQGRIMDVQQYLAQ DLDTLSVSPQVTNAAFYSGLGVFADGLPYSGDIGATMAQHVNPQIFDAGLIAASPHSW GSLSPVDSRMSSPGIQDGSDDVWSAAPSASSPGESQNSNSPALPGQSPRMSRKLDASQ YVSSDEVQGQMMPAMGEDGFALPHAAFGSRRASGEGESARDHYLYKNAFPQADGLFHC PWEGQASCNHKPEKLKCNYDKFVDSHLKPYRCKVDGCQNARFSSTACLLRHEREAHAM HGHGEKPYLCTYEGCERSIPGHGFPRQWNLRDHMRRVHNDNGTTTQAASPPSGAAAAS RGRKRKNDAPEKSPSQEKPSSRKSSKAAAEPEAPAPKQPEVVSHPELDQWYEHQKALQ SFIQGCAQPDDPQTLQYIKDAQKHLNAMGKISHGLVQGSRRSWTG MYCTH_101506 MRTTLLLPFLSRPLLVHGSLAQVEICGLENSLIPAWPVCVADQR YLERQDGERGDALRQHIWTHSSPCLQGRYAEQPICVFTDTNFADGRGISLITTPQRAR YLASKPAFTRPETVRGINQDLNRTVPAKYEMRHIPGKGMGLIATSHIRRGDLIMANTA SLMIDYRAFNELSKHEYTSLQAAAVSHLPSFHADRLLALSAHVTDTSHLAREELIEKI AATNSFDIDPDEDDPDQHYSFFVLFPEIARMNHDCRPNAEYRYEGGGGGRGGRGGRGA GSLAQSVRAARDIAPGEEITLSYIDPLLPGGRAARVDRLRRNWGFACACPLCSLDRAR SEESDRRIAQIAEIKDELAVWPGDDGDDDDDDNHDGGNEEEDEEGGGKGGTAGRPSSP PLRGRTGKTGKACPAMAELLVALYEMERLWGLMHEAYMLAALEYNGAGDPWTAVKYAR LALEWGIPMLGEADEDLEDMRELASDPQRHWSWRRRRAEPK MYCTH_2035048 LVSNLVGLPGSETCLETIARLGNPREVILKVLETLELLEAEERE DEENDAEEDGEQTTRPKPAVSPTHRFVTLLGMLAILHKRIKTKYPSRFLAQTLQTVFR TYHPNQEMTASVLNLVHSLSGQRRPPLPSRQSSVNVANPDQTGDASKNAPDPEADRDG GEDPDETELQQRLLLSFATCILEAYANGNEMSWAARLLEFYTPDKLVPGRRTVMAAFR EEQDLLSRDSIVGKLVALIGDLGLVSCTKDFVHQLCNEPIHRIPLEEPDLSSPEKISL STGGCVCLLAYWVFSSAVFGAIHPQPEMHVFPEHFAVLDKFLQDDAHSQIQNSVGTTE ALVAIGLWLQFNNRISDNPASSLANPTTSPEDPTSDFMRYVHLTTLIALYHPSILVRN AASALAGSILHADPEDEDRLRILEDLLENCMFATLKARAVAWLREELLAASSLPSSSS SSLPFRRQEGQGQEEQEQPAASRTGLFATPQALETVQYAVFPPMASLLDLPTLELVEH LTAHMPFLMQAVNFALFLWGG MYCTH_2304973 MTTASPEEGLALGAGCQATIYPALRPHVLKNPPTRKMRKQARKR GKDEDDRFRRFRISNEHSRTKGRVSRQDGRLNISLHDTSNAGYLAKALGTAAHKMVPL ARTSEEEKREEEAEPPASPGRQKPDVRPTAPVGPPLPPPPRLNIVIMVIGSRGDAQPF LKIARILHAQYGHRVRIATHPAFCTFVQEDCPGIEFFTVGGDPSELMVCHASLCLLPT SIAPRY MYCTH_2304975 MYQTPTNSLVDCIGLCAVQDGCVGAGWGESSDDKDNNNNDGDDE GGRPTCWLKSSLGESSRRSGWSFAVLYEEGGEEEED MYCTH_2304976 MQLAGLVLALAGLAAGESLKKLDTSLTILTKNDLLGASSPDADT AVILTDARPYQHVSDVCHKLGEQLWRPGKGTGKKNERDAAALPFAEYLKYDGMADASS KFWVGGSGFAAVDLAGRHQTADSVSHLRLPGLCANTAPFSNQSAQDTSSRWQISLEVN NQTLTGFRDRLSFRFLGIRYAPQPQRFTYSTLFKGSGEAASALSYGSQCIQSGGGSED CLFLNIWTPYLPNPNTAPQKKKLRPVGVWIHGGAFTGGTANDPTFDGANMVSRGDMVL VAINYRLLAFGFLALKDGKSNGNYGLADQITALDWVRNNIHHFGGDKDRITVFGQSAG AGSVRALIASPEAAGKFSGAIMLSNLGGINYGTTYSQYYTIDEEVDVAAKPILSATNC ANAASQVDCLRAVPASTLATFGDARYLVVDGTYLKSRSLPVTGPRLPIKLMMGITRDD GAPFISFPTTTNESAYLDSVGLPLPSPRLFPITPTGNATLDLYTTASRIATDGIFRCI DQATASSLLTHGRLDHIYFYEFDRTYQTQGWPGIDVCEPPRTPSHPAGDPSKPYLRCH SGELYYVFGNLHRQGLPMRDDGDLAFEQSVLDSFASFIRTGDPNPDDAWLKARGYQQQ QQQQQQQQGRKRDRWEPSTKRGGLKLRLLDWPASRMSGFREEKQCESLGLGLGFYEGK MYCTH_2304978 MDPVSIAGLAIGVASIGLQVYTSCVQGIQLLVTAANFPEDCKYL NLRLRMEQQRLFAWSETSGLLDLDAENRQRILESNTFVLHRQTVLDLLVQVECLFREF REHQARNKRLQPVPDQEDVLLNPDKDAAGANFPLPQRRRDFIKKAMQSLRATSQEAAQ RLRWVSFDKAAFELLLARFSTLNDSMTNILDARMQVEIHHTVQDTNRGVLQLHHKITD LSRLVMALNVKLEAASPLPATQMSIAQKRANADALQLLSQLAKFKAFNESIESSKKNP WDEATAMCLELGSPDQPKHLLLDRSMVDIDPAALESDLPRCEATLRLPNGESKKVWVE WKDYDHQRRGDLSPPKTVICERVRKLAALLNHSPKPEAFRTPHCLGFFDKASAGGVED EEQDVLNMRLGLVFQRPLDEGLHASLPPISLRDLLQTSRKPRVTDRVKLAHAISNCLL YLHAVNWLHKGLRSENIIFFRTTDGQVDYSKPYLSGFDFSRPARAEEMTEIPNPGETE YNLYRHPLAQSTNPEDRERFKKSFDIYSLGVLLVEIAHWATVERVLGIDLNAARGRPS VTLKVRERLLAEDQIAELGSSMGAVYETATRKCITGGKELGLSEGDDETNDAVAARLS MVLHEEVVKPLGDIRI MYCTH_2304982 MDPYATAGGAPQLTAPSSSDSANSSPSVSTGTATSTATPISSAT TEPHPVAPASVPAACLACRGKHLKCDGNNPCSRCTSSNTECLYVPSRRGYKGPRRGTA HNPNKRHASSSPPYPGTEGDCPMLLGHGGVNVNVNLTASGLAGFNPAIVLPEQSPASY TNPSPLTSVPLYRNPFVNAMDPNGLALTSATAGAPPVQAPPPTLEQRCFDAFYHFFHA GHPFVLPKEYFLRMLKEGFAPNMNIVLAAMRYIGSLYIDAGPARATYLDEAIRLCYQP GTTKDGFLIQALLLIIIGLDGQCNQPRARELLADCEHYAIEIDLNKRDFATIHGRGNP VLEESWRRTWWDLYVCDGMIAGVHRVTKFLLFDIQADVGLPCEEQQYLTGRIPTQTLY MEDFDDQGFSDEDREFSSFTYRIAAARNLGRMMRMPPVMFPDDSTVDRVQSLLTNWRI HLPDSKRDDLNKNCTLDEMMFQAHFITHACTIMLHQPLSQLDTTPVQAVNSCAPHRPV PSGDVFNAHTRHTLTAAAEISKMITQAVPVTQHTHFFTCVVTLSSIVHLSRWALYFVD DEDHLRQQIRLNIGALRKLSRVWKAADTAWTQVRAVAHDIYREKKAQQISPAFWVGFT QEQMMSSINADEGIMSEFQNAAANGAAVGSGVMGRVSQE MYCTH_2304984 MASVTSLDKDLRKMRLEKYTPAAANEVRQWIEETLGEKLPEGDL LEALKDGVALCKLVNLVVGPPGVKFKKSPMPFVQMENISHFLRACQAPPLNLQQHDMF LTVDLYEQKDPAQVLQCLGAFTRAANLVNPSAFPNPIGPRSSRTGLVSPDSTGPTTPT GLRGSGVSNASNTSSSLHGSRPKTGDSGSGRRSPTKSPTQGASSPTPPVSSWSKKEQE GATAPAWNIAQYGYMGGASQGNLGIAFGGRRQITSAGPYVPSLAEKEKKRKEQEAEAE RQRQEEERRRKAEIEAEEERARLEEERRWEEETRRLMEEERKRIEEEKRRWEEEERQW KLTEEKRRREEEEAEARLRAERQNIRKSNPQLRGQYLSQYQAERETPEQARIRELEKQ LELARQREAMYERERQGRSLRPGAGDEKKKARSRSRSRPAQPVSRQDSWSVRDELNFV ASPRSQHHQQLKQHDEEPNDEAAMSPPPLSSPRPLPDPTSVASPPTKVKAHRVADAPP ELPIRTQHTGSRPLPDPAAAQQPPLPVRKQHTGSRPLPDPTEAAPVSPLRKQHTGSRP LPDPAAYASSSPESSRQPPLQQQPPPPSPSQQQQQQQQQQQQQQQQQQQPPAPSKPFF ARGIPSSNNANSDRTPPAPKAKPSPASAAFAAGGSKGPGWASKSLLEREMELERQRQR EWEEAQQETAARAAALGPGESGGGVDGIGGGIDGRWDVSQWTGYTGGDSQNKGSQGIG ANRRQIVGPRPLPNPPR MYCTH_2304985 MSQLPVSPFLRAWYKWKMLRLPWRRQFLVGFDLSGNTYWEFLDR GSRLPPPRTNPSSQTTTTTTTTRSSPVRWRRIVRYPRGTHHSAVDVPPAWHQWLRHTR ADPPSLDEQRAEVARQERIKRLAAEADARWEAKPRVMEDGAGAARRAAALGARAPALE TDRPLGPGSTSSTSKFASAASERAAEAGDTSGAGTVTGDVAPGDSEAVNLREETWKRM KREAEAEAEAEAKAAEAEGDGASKAAGGKAPDPWRQHAKGGPGETWQPKSWEPTAREK K MYCTH_2304987 MYCTTDTAAIHILSGLLSDGSNTAAATTTAQQHLRHFSNPKLHP KPALIPPPSQIALLATLIVHPSFTSRAPEISNVHAASLAHSYLRGLLSTVGPVNANMR AAFEFRSTTSTTHHHRRYRHRHHHDYGGNSNKAITTATTATTAAAFSSSSSTSSDDDE SDAALTGPLARSQILFRRAPDFWAVLGWCFRCAAEHPHTRWRHWRVWLDLMVAVLEAD LDERLARDDRELEGGGSSSCYPMLAGSIVVGYLEGLTRERRSGGVREVLRALFAFSDG EVNGASDRAVFREVFERETVVGRPASGTHSKRKRGEDAVVDLENDRFGDYLDGEDEFD LELEPGDDEGRGERGAEGGGGGAIPTPPASKRKNSKPGRKPKGEGTPSFTLTDAIAET VPFRLRIFRLLSAVSYYLPDAFTPVDELYERFTDHVRALPLPMFRLFVESHPSALPED VQVSVLRAVVEDLLPPHHRPEPTDVDPESAAGTGVTVPVLLRCLLPFAAGKVTAEDNA KLSLALESMAWFVYARIGIDYSPELRRAVEKGIKAREDRIKTGGRWGRGGAAAGGKNG SSPADKAAREVLARSARNLRTLVDVIAAASRQ MYCTH_2304989 MELTSPLTTLGSISTPESFFTARNSPSNAADETVLSSPVDDPPQ QEQAYRDAVQLPRELRQHCQIHLEEQLCAP MYCTH_2304990 MLISIRGLPGRDAPAASFIRLARHRAARRRPWHRIDPVPRRWDS TVAPAAEANKNLSIPCQARFNEIGVQQLSTGIYEQLFPRGNTDPPTPELIELARDHLR RHDLLGKNTDTAPPVAFRLPPLAGQTLDEHFYKLGIDAAEPFLSHAKQLAKSTLPPKP RSWVRRSGWTKYYPDGRTEAVDAPEGNMLTFDTEVMWKESPYAVMACAATPEAWYAWL SPWLLGETENEHQLVPLGDPTKERVIVGHNVGFDRARILEEYDLKQTRNSFLDTMSLH VAVNGMCSQQRPTWMKHKKNRELRDKIAKESSNIELAELLNNGAFTAEEEELWVERSS INSLRDVAKFHLDVTIDKELRDSFGELDRDGVVGKLDELLTYCAADVAVTHRVYQIVF PNFLRVCPHPVSFAALRHLSSVILPVDKSWDSYLANAEATYHKLSDAVQERLVFLTEK ALEIKDDPEKWGNDPWMKQLDWSGQEIKMVKGKKKNDPPRPAARQKKPGMPQWYKDLF PKMDSPINISVRTRIAPLLLRLAWDGYPLFWSDKHGWTFRVPRDEAKRYTDRQMVPCD LTEEPIAALKEDKDHVYFKLPHKDGPAARCVTPMAKGYLSYFEKGTLSSEYPYAKEAL EMNASCSYWISARDRIMSQLVVYQDQLPSTAKSEPKSNEPVGGFILPQVIPMGTITRR AVENTWLTASNAKKNRVGSELKAMVRAPPGYVFVGADVDSEELWIASVVGDSTFKIHG GNAIGFMTLEGTKAAGTDLHSRTASILGITRNDAKVFNYGRIYGAGLKFAAQLLRQFN PNLSEKDTLLIASKLYATTKGTKTNRKSLYKRPFWRGGTESFVFNKLEEFAEQEHPRT PVLGAGITEALNGRFISKGGYLTSRINWAIQSSGVDYLHLLIVAMDYLIRRFNLSARL ALTVHDEIRYLVREHDKYRAAMALQVANLWTRAMFAQQVGIHDLPQSCAFFSAVDIDR VLRKEVDMDCVTPSNPTPIPPGESIDINSLLAKGDLARLDESIVPHPDHAPKLSDIQY VPRVPVMQELREAEGADPAAETRFIRAQICNNEAEFREILKETRKPAATPASDKAKST NTSSTTTTATAKSTPAASAAAAAAATAAVASPTKKKPILPYYASPRLLPVHGGHQREP MSVTEALGTTPGRFRPGPAASASASWGWKTGSHGAGVSGRVGGAGKLGTRVP MYCTH_2304992 MSANARRRSLRPNDLTMPSTPSQPTQPSQQPTSRSAQPEQSQSA SSATKRKREPDLNDDDDPFGDSASADIVDLVDKTEVPPNTSNSQEENKKYVTMSTLDC VICMDSAKDLTVTHCGHLFCSACLHSALNMDHSRRICPICRQKIDRQPAAGAKFGQKA KGYYPLELKLMTRKTIGVKREQSTTGRDGPSSR MYCTH_2304994 MSELRALEALQALHGELVAVRQHRYEGLPVLEQLLDAQADAFKK LIDKPPRNSAHRQSLGTGKVKIDEDEYAINDDFVNDCLKVADDLDLDELEAARILLDC DAEGDTETQSRPLWECAVIRFHQERRYLLDCMRLICEIAADDELEPELQDSFGAIAEE KVFGIPPPGSRAGEAVKKAVPRFMEAMQSVRSMLHSMMEKATGRSLLQQADLVRAPEN QETFDFSRASLVEQHECLAAILHAAVEKRHATVKDFQDFIETLRRVDKYDHFLVHLFP VLAAYISAFGSTEGVSDLQQARQLNGVVIKPTDDAWALPHLGAAVRAWWIAEYSEWYL DDTIYDFQGINLDEEDEERNKQFMEALKEGAFDFILAVAADCRAQEWQDPSRLGMRQW LQRKSPPLASDPFPFSHFLQRSLMVHLEEFVDACISNLPDMLRKLRTQEDEQRQLSQN HEQDFDLERFLIIVSYAYEGRPDAAMSFWEDPDSNLAGFLHWASRRASTPLVSAFCEM LQCLSDSEECATAAHMFLLDEGHQSSGKMKRSQSLTWSQIFKELDYFTRQLRDKPAPA QAQVMQRPSKPGADLAETEPESALMLECYLRLIAKLTTESAVARQRMLMDEEMRLVDT LFRLGDGPLPARLRACIFYVLKALMTQKQVPESEALWRYVDAWATNTLPNPGAQQRVT YLGQPLTPEGHMEAILNDLSGGFEESNAFIQLLASLFAPLDGPEGLNDSLTFPEDLGS NIRAPGMEPYVDFVFRVFSQKSQELADPGQLRALRLSCLEFALTCLSTFNEDLIILGN ESNIAIDVAMPTTDLATYVRLHPFARVMEWLFNEKVVNALIRTIHQDPVSLGSASPDS PLVLSILRGIQVMIKALDLQETYLHLVRPLVQSEKQRRASVANAAYSSFEDGILSHLG LVVDLGKYCNLGHGELTLACLKLLEKISTSPRIISAWNPDTGRLGHRNKAIVQLEKDG EGHTIAASLAANISAPLDPALEAESENYVIKLFILDFIYECLKATPDQPTIAHLLLGF HCELNRLTIEPRGAFDAQKSLFHSLLNIAIEISVYEEEHGMRGYLITLKYRILRILQI LWKSPLSATLVMDELRATNFLFHMLLREIQIQPDLRWDDLQADNPEFLLSNASVAYID YLGARAMIFEYIGKELCSVSQNRIPSVKRQIFDALNGTIKMDNQEPLSLANIFDFFDF LNPDANWDVPPPQFVFYKDLDIRPSAVEHPEAGLQYNIHKVRETIALKRNEFRDSFQV MTPQHLDAIETEERVLVEYLTFTNRQKLFGAARLTLLRAWANLLLVMFEANDFKGTHK MAFLLQALQAILPTLEAYSTLSHAEAFELARVAKVLLFKLDLDDDDDSSGSAVERERF AIGNLISDKLFQLFQVCLSSISKWAGSAELRSLYYGICYRYLTAVVDRDATAAPGPRS RGLATARARTLKAIQLHGDRLLNVICDDAYGSDITCQTAATVLLSALVHTSRRSDDDV TIIESLNRLNFLGVLVDSLKTILTDWLSVISPPANSGPPNSATQQQTEQYLSAKLALL LQIAQTRPGAKYILQANLFRALEIAGVFAADPELQVDARDTRALEKHYTLLVALARIV GAAVLARGAHNVVQGRRFLTQHRMLVVHTLKRSAGIGMGIGGGGGGGGQWQGQGNGTS LFGGSVVARGGGGGGQDDAEAQAALEERIDELAEAFMLLITATDFLEYEAGQVPAEKP RVAPTLFH MYCTH_115624 MPPTPHTYGGDTINLKQLATLLAYFDAVDRGTTRNPLDDKAYHS LYEALFSCALLEKETYFSTRKSPQSAARLGKCAQALRTAVRHGAAKVKRKTGKALVDH ITQILPGPEDGYIAPLLTDYVKALSTFLDFPANVENLAISDSWDTCVDFCIDALSRYL EAGDRDRDSGFSSRASPAPGATTRSGSVALSQGSGQIGGQVAIEFLTCVNLLVSAPNA PVSRKADRISNVVLHILQLRQMKIGELQKVAFSICNLVLQRIQAEDVTLSKRLVISLV PLVSYWWQPRALSRDALLNSVRDEMLKTIYGIHVYIEGLLREAVGETFLQDVEDLLES LWSDYSKREDRARLQLDDVTFTSMRLPTDHPSTAVFSLRPYNQAGEQNWALLELLAVL EAAYSRYSQREHSQQNPDHGQARKRRRVAGSSNRMLQKLKSLDPAVRLSALQLLPFLL KQQEPSLEDIVETIAELSKSVTAKEGAVASWAMIACSGQLWHLGVRALSLPPVSRAAC VLLNSILKARLVPRHELADDVNQIVTTADISGPSVLVDSSLNLMLTLLRLRNNMFPNA TELTYASFHAKHATPYDISNLLKACYGAPAFAMPAPLQLFGGPIAQFWKSQAEIHHFV RYLLLLDQEDAAPPTGPTSSAEEQLADAPQVTDPSGSHAARRVALELLYPKIEELHQL AESWQKRGGEGAMPVSTERLQSIVLACLTGALLLPELANLNSSASRDLETTLFGIVDA TLKVILNAAPGENLFDMVLMLSAPYIPPITEKELVSLKRDRPHLLKFFGKLSEALQES SRREASLRNPDTVDIDDDFESQTSQNSSSSKNRTLPRRDTLLCHTPEAFYLETALRIH LLDAIRLDDGQIGRVPESIVDQLVELPKEQFLSCRLFMRELFTSDAITSLDSAIRILE TAARLVSRYEFWCCEVALCTCLDTMDSFISMCTDESLEIYVMAGDLYHHLVKVSLPNN SLSPAAQIRLCSLLLHLLEVKSTYASTLNLPSCRSTLMNLLQEGTMDIKYFIGRHIPK IFGLYVLKTHDDIFLDILHNLPSDPGLFRLFAPQLLYTWLEDGSIQEIPFSIFGFTSL HELLQHAQTEAAAIMFMRGQEREALELAQTLGLTPEQLVQQSFTKIIAYSIAHDISVP GGADYVTGESRLRKILGKEEFLANVHLNFADIIATFFDTFDQEDPIEKAFRRDERFAY AANTMEEIKKLGHLPAALPPNQQPTFRAKYLPREILHLCSRTPYEPETLWTPALVVFV ARKLLNTIHPALGPLHACSVLRKIRVLICMAGNHATSAYPLQMLLHSLRAFVVDPECA YDALGVTQYLIMKGDHHLRQTPSFLAGYALSILADLRVFLESSQSSTTQESQFKATMT KAQLFHSWFSKYLASYDSPAFQDEAQKLAFKSITQSAAQIRASGNAEKGTHESNLLLE ILEDWDRENRLLNEPARDVALSMLCGVFKVPPSRRLDVIDTDDGALSHAAVVWKSCAS QRLSGEYLAWAGRVLGRSFAASGEVPDELLRESRLKEYRRLSLDIGGSEEGLLNLIEA LTVSGDCFTAGLAEAALRTVVSDAVSDDNHDLLGACQQSLAEPLLISSNWDPYRTPKS DEYKSVPEIVTLRVLPPILSKVKGFAERAFPFVVHLVLTYQLDKQQGVKRRLSAALEE WLNSTSDSAKENLKLLINTILYLRTQPLPGETSIADRSHWLEVNLSSAAAAATRCGMF KVALLFVELASSESSRGSRRSSALRAEDSSEVLLEIFENIDDPDAYYGLDQEASLSTV VARLEYENDGSKSLAFRGAQYDSHLRSRDSAARQDGQALIKALSNLGLAGLSNSLLQA QQSLDGSSDSLDATFTTARRLEMWNLPAPSTNDNWAVTVYKAYQSMHQAPDIDAVRAV IHDGLRSTVRHLTSRSLNSSTLRHQLGALAALAELDDVLNLSDQSELEQALQDFEKRS KWMMSGRYADVGQLLSCRETTLSMWSQHHRLRAARLTPADARLVQIRGMLLSSNIFRF HRSHQETLNLSTTLTDLIRSSESLGLSVDAAIRMETANSLWDQGEMITSIRMLQAIDK DSSLKKQTVPVSRPDLLSKIGYQVSVARLESPDSIQKKYLEPALKELRGKIEGKEAGR VFHQFAVFCDEQLQNPDSLEDLARLQNLKKGKDEEVEQLKALIANSKDSQHKNRYQSH LAKARQWQDLDQQELRRVEQSRSEFLRLCLENYLLSLAASDEHNNDALRFMALWLEQS EEDVSNEAVKKYIGKVPTRKFAPLMNQLSSRLQDRSVLFQKLLIDLVYRICVDHPYHG MYHIWSGARTRVNKEDEVAVSRQKATDRVAKALTKSEAVSRIWPAIDQTSRAYHALAM DRDPNRYKAGHKVAIKNSSVGQSFLNILAKYPIPPPTMQIELSASQDYSHVPMIHKFE PDMSIASGVSAPKIITAIGTNGQRFKQLVKGGNDDLRQDAIMEQVFAAVSELLKLHRT TRQRNLGVRTYKVLPLTSSSGLIEFVSNTIPLHEYLMPAHERYYPKDLKGSQCRKEIS NAQTKTTETRIAVYRKVTERFHPVMRYFFMEYFPDPDEWFHKRTAYTRTTAAISMLGH VLGLGDRHGHNILLDTKTGEVVHIDLGVAFEMGRVLPVPELVPFRLTRDIVDGMGITK TEGVFRRCCEFTLDALREEAASIQTILDSLRHDTLYQWSISPVRMAKLQNARGAGEGG GGGDDGGGGGEGGEDVSRRAGGEKKAAKSVNEPSEADRAIEVVKKKLSRTLSVMATVN DLINQATSVSNLAVLYSGWAAYA MYCTH_2304999 MAASQVSVPSAAGGDGDGPPSAAPTNAAASSSIPSATAPAISGA ARSQPAVTQADSTTTPPVRDKEALNKVVVERYQVHDWIHSAALKEAQIRLEKDTKDLL ARASDYRQYRDFYAAYPSRLYGEGYRGYGNGYTENGTTTQIIYPSQKPRPGKRTTPPL KFSKRDMKKQAEQHEELVPVRIDVDWDKIKLRDTFTFNLHERLISVELFAAQLIEDMG LNPATDKPVYDQVVQQMREQLNDFYPFAYSEEDALDPELPYSAYKNDEMRILVKLNIT IGAHTLVDQFEWDINNPMNSPEEFAASMARDLSLSGEFATAIAHCIREQAQLFTRSLY SVGHPFDGRPIEDADLVAAFLPTPLPSVFRPQQQAKDYAPYLYENTEAELERTETMFL REQRRQKRSINRRGGPQLPDLRERQRTIRTSIVSSVLPGAAPNIEESRLFKRTLGSGV TGRGKRTARDGDLSDSEDSDDSTPDSPAMSQLQGTARTRGMRGAASAAAQRMANLGRS ETPEAIVHHHETRNSRRFGREATREQTEEPQQHMVTLRVNPARLRKLMRDLRARQTPH SSATPTLAHQRAPSATAPGSMGPPSTPSASNQQLPPKPNTAPSLQGQIGRVPAPPPGP NGTSPNQPPPPPPEWLTSALATLLKSYPNDSFEAIMRYCAINTETNSFVSLPLALQPG EPIPANIQFAWLPRIRCRDCPGKSYTAGPDTTVQNFEVHLKNRAHRERVMARLKGMVG GGANGGGGGGA MYCTH_2305001 MAAESQQIDYTLNNPDTLTKYKTAGQISEKVLAEVSKLCVAGSK VVDICEQGDKLIEEEISKVFRGKKITKGFSHPTTVSPAAFVTPYTPLKSDEKEAAVEL QPGEPVKIQLGAQIDGFGTIVCDTVLARKADEEQAVIEGRTADLLLATYYANEVLLRL MIPPGLLAQGTDEEKAKAAAAKPPTQAKITSLLEKVTQAYDCNLVESTTSWLFDRNEI ESNKKIVIAPGENTKGEGVPAVGEVWGVEMGVSLGSGKVKQFEQRTTLHRRTTNTYSL KRPTSRKILSEVQKKFGTFPFSLRQLDDERDAKSGVIECVRGNVFRQYEVTGDKDNAP VARLLTTIAITKNGITKLGGPPALDLSKVKSDKKIEDEEILKILEQPLARNTGKNKNK KKKKKKPAKKEAKEEESEEEESDDE MYCTH_2305005 MSANSTPSANTRATRSRFSSPQTATNGATDSSSSASVNGTGGNE GQKKFMQRWLEPPVQVKASYQDDGLVRQGVFENMAPLGTLPKVGLFKKTAPPPAATPE RTQVRRIVVKQKARQPAAREPPPPLPPPPPPAATAPEEDETEADETEEDDDGKTVDQN EEKPGLGGAREGGPNNKESQRASRTAASQRPRRSVASGETDDEEWAPGASAQKGRTRR SASRASIVPSQQPGARQANSKELADKVVEAAVDEALTHFRYPTAWALRTLYDENSSNP EFLDMIQKVFLQTANADELEDFARRIRAKKRQGRKDGKAFDYFAPRSADSAATPPEPK KAPYGNLVKFDVSSLRLDQNRTKSRKRERPADSAAAAGTAGTAGTAGTAGLEAKRAAE PEPEPEPEPEPEPQLPPRKKRKSGRQQQQQQQQPEATPPPAPPPPSPAAVASPSVASK MAAGGTNGKGHAETPSRRRTRAHSVSSISSLSSARSLTPPEGIQEDGRDDQGFDEPPP SRTSPASPQPITTGRRRRSNAPRKSRNVSPSRPSPAGSTSTTTQQPAAANAVAAAAAT PSQPSQRQSPAADEPAYEMPAVVDSPLFPNLNSKKGTKSGTPALVLATKVGKLDPNEP SLRHRQQARRVTSTEFPTSHVRRGSPQPASKQEKAEEPEKPATPVSASAPASRSRPAP APARATPVPVREGRSTRSSLKRTHDDLEDQASPTTANFPGSEAASTAANSRAGTPALR AAKKPRTGLRVKNSPMKKKNGPSAGIPRPSGERSSPVGNAAREDDNDDYCSSCGGNGE LLCCDGCTRSFHFSCVDPVLRHDAMPVEWFCNLCRINRDPTQLPVHRGAFAQLLERLE ARNSSAFRLPAPVRNHFEGVRTGPDGEYEEVTTTVKPPTRRKKNDEDQVPDLHRLRDG EGNAVICHSCQKGTASDRAIIPCSACGLFWHMDCLDPPLAYPPVLRTWKCPLHTDSLL ATLPTLLHPAHKYRKVKDAPVIKPTFTRGYVNNGHIEIDFDDSEDESGWRDVEIFGRT VRLSEKGIKLDFLSRVHRERAGQEKATAPPAIPPPLPMEQRSLEEQQAALNLAQLSST RDDSVTALINAMISQADPAVIDLMARAEPTHLESTQLNQMDQQSLRAILARAEAISDH IRKLLASSSTSDQPAAHPAAGTEAAISADPEDAPAMVPSLTTSQSPDAGTENSANIDP DPRSAELEAAKSPASPATTDDVPAMTQGEKTPVHGDQSPTAPLPLEQSAPMENGGVPA TPTKAAAIPADEPVVLDAGGDGEKALAEGCDAGPIEME MYCTH_2305009 MSTSRPGEHLTIGYPPQTLSAQGRSNSPTANSPNDPQSAGSTHR SPFGLSPGLTPTSKMPGASRSGAGSPSHEMAASGRLFTKRAREIQAQEGVSGLPLNPW GGPPTSGNSTPLRENIPESPTDGFPDFTQLPTPDTLPQTRRARAGTLPSRFSPNTAGN ALLGIPAFASKTSRPSPSQTPFKSPSPGLEAPGELSNSSTLLSRLRAGSMPQRSPFAH ASGTSSPFGPSIFSSWNPTGRERGNTLASIASMGSNGPSSPAQSQFSREGNAETDVHM RTLDYLGLAETPQPARAQLATTTTTAAYAPPSYADFTKAANRFRSYSVNNKDKYADEE DDYDADALSYMESHYAQLQDQLAATNAAIHNHNLAVQAFANQAARPRARTAGILDTPA SRLLRNYLPTPSRLDNSFTAAEIQVPEEKSFDDLPQAIAGMSLGRSNSRNNGLLGTDE QGLEGPTSALWLGSIPTSTTTSTLTEMFKTYGPIVSARVLTHKNCGFVNFERVDSAIA AKASMNGKEIFPGAGPIRINFAKPPSSTGTPGHDGAVPSPSPDPFAKSQDNGQGAGSG ASGDAGSAPAAGNATPSVPPLHEMTGDILQIVQQFGATEEDSYRISMSLQQAIQYNAF VDEIPPIKEPAHTRIHDAPKLRDIRKRIDNQSLSQQEIENIAIEMLPEIAELSSDYLG NTVVQKLFEHCSDGVRDAMLAEIAPHMAEIGVHKNGTWAAQKIIDVCKTPHQMALIVQ HLRPYTVPLFLDQYGNYVLQGCLKFGSPYNDFIFETMLSRMWEIAQGRYGARAMRACL ESHHASKDQQRMLAAAIALHSVQLATNANGALLLTWFLDTCTFPQRRTVLSPQLVPYL VHLCTHKVAYLTVLKVINQKAEADARDTILKALFFTPNDQVLEAILSDHACGAALIFK VLTTPFFDETIRSQVVETVKSVLIRIKAQPGQGYKRLMDEVGLPTRSGGGGGGGASRD HGNNERQRPGSRQTANAQQHHQHQHQHQHQHQHQHQHQNQHQQQHAQQQHAQQQAGQY GAGGSNPQYYNPLSAAAGATGYDMGYGIPRSSEGIDQFPAFSAQNPMFNAANPPLGPS ALQQMHYQQSMMPRGAPPPPMNNYFPAMQAGGYGAFQSAGPSIDQYRASQPMTNGSPI PPPAQIPAAGGHGQQAPFGAGAGGFGMTIPPPGMGGFPGYGAPPGGVGGYMQPQHQAP PEQMNPRQRGGRVGRSPQPDGRQRR MYCTH_2305012 MSTQNSIYSSGQFMNPGPAPRPPTDKPRLGLMPNVNLTNSMSNM SISSPVSSTYSGSTIALPISRQGSNNLDGSGGVAIVKQGYVGLPSKNPFQQWKSRYLI LRQDNLDFHKNENGKWLYKIQLSDVVSVDRVPDDNGDPVFELRRNVTNAYPGPPGDDD GNGVRSLRICVKTDDELYEWIDCIYARCPGGVSNPSNFSHAVHVGFNPQTGQFTGLPE EWTRLLNSSAITKEDVEKNPQAVFEVLDFYSDMTKRAENPDHYGNAPMPIASPNSMQS DQYGYPSGNSVAPPRQPKPIQRSPASYSTTPSPQPVTTPSPRPADYQKQQLQGVSPNY ISADRMREEQRARELEKQRAEREEQARRELEAYNASLPKTKVPLAQQEIGGGFSSPSP QPDRYNPTRAAPKAPQGQSLRAQRPAPAPPSNSSAQSRPPLSQQQSSSTSARDPAAQA QRTPRPDQNNGPPAAARYPNGAQARGPNGQPQAQQPSRMPAPVKPLNVSKPAPSSANS DAVKAAEAALTAKPPPSERKQDVRMSTMSENEVMAKLREVVSRDDPNRLYAKQKKIGQ GASGSVYVAKVMGQRPGVPVNPKTRSTSDRVAIKQMDLAHQPRKELIVNEILVMRENK HPNIVNFLDAFLMDNDKELWVVMEYMEGGALTDVIENNPVITEEQISTICLETCQGLE HLHSQNIIHRDIKSDNVLLDARGNVKITDFGFCAKLTESKSKRATMVGTPYWMAPEVV KQKEYGPKVDIWSLGIMAIEMIESEPPYLNEEPLKALYLIATNGTPRLKKPEKLSKEL KAFLSVCLCVDVKSRASAQELLNHEFLKHGCPLASLADLLAFKRVAK MYCTH_80528 MTTTVTTLKGQPLDKAALESLLRRRMFYTPSFEIYGGVSGLYDY GPPGCALQANVIDIWRKHFILEEDMLEVDCTALTPHDVLKTSGHVDKFADWMCKDPKN GEILRADHFVEDVLEARLKGDKEARGQKVEEKEEDPKKKKKKSKGHEAVKLDDAVVKE YEEVLAQIDNYNGEQLGELIKKYDLRNPSTGEQPSPPVAFNLMFQTSIGPSSNTPGYL RPETAQGQFLNFAKLLEFNTGQMPFASASIGKSYRNEISPRAGLLRVREFLMAEIEHF VDPESHKKHHRFHEVEDIELDFLDRQTQLSGKTTTTRTTIGEAVKSGMVDNETLGYFL ARIHLFLKKIGIDQTKIRFRQHMANEMAHYACDCWDAELLTSSGWVECVGCADRSAYD LTVHAKKTGAPLIVRQRLDEPKVIEEWEVEIEKKKFGPFFKKDGKTIEAAILATTQEE RGKLAKELEETGKATINVPSIGDGKVEVSKDLLAIKWQKRVENIREYTPNVIEPSFGI GRILYALMEHNYWTRASEGGDEARGVLSFPPAVAPTKVLIVPLSSHKDFTPTVRKLSQ KLRSAGISSRVDDSSASIGKRYSRNDELGTPFGITVDFQTVKDGTVTLRERDSTRQVR ADEGKIIDAIKALVEGTKTWQDIESELPIFEGQQEVEVTVR MYCTH_2305019 MGSGRGTSGPSLSLKTSNKLKRQQLYIQQKKATGKARHEERHRR RKEEAKNPELRRQRLERNQPASIDKKRIWDDVDDDSLGAVVDVAQLKRRRLEEAEAAA AAEADGAQKNTEEKDDDDVDSMLGSDDDEEGGGGSGDDEERMEKLQRERSRRQPSIAP STVSTNLDITPDSLAAQFKNLFNDEPPVMPKILVTTGLNGTIHKEAQEIASVFPNATY IPRSAHRYSYKYSVREIAKFAKNRGYTALLVVQEDLKRPSQLSVCHLNGEGVPPGPTL TYTIRNYQPGKAIVGHGNPTNHYPELLLNGFKTPLGLLAAKSMNTLFPPKPELAGRQV VTLHNQRDYIFFRRHRYVFREARPTEKNVQGADGKDLEGVKGIRAGLQEIGPRMTLKL RRVDKGVGRAGSEGEDALKWEWKAKMEKKRTRFNL MYCTH_2060432 MQSLPVDRGGAEDPEDEELLPKEERPWKPKSKSSFASGLVWMVI NTLATIGIVFTNKAIFSEPSLKLAQLTFACFHFLITYLTLFVLSRPGLALFAPRSVPL LDILPLSLAMSLNVILPNLSLAFSTVTFYQIARILLTPVVAILNYFLYRATLPQPAIL ALVPACLGVGLVSYYDTRPPPARGHGAHYPQRQQQGVQTTSPLGVLFALSGTLASALY TVWIAAYHRRLKLSSMQLLFNQAPVSAVLLLYAIPFLDTWPASWRALPPARWALVLLS GAFASLINISQFFIVARAGPVSSTVVGHVKTCTIVALGWLVSGRGVGEWGSLVGGTIA VGGIIAYSVIMLRENEKKTAVRKGR MYCTH_2305021 MTISGGAQSPNGVTNGFRRGNHFFEDYGIWNEAPVLIGTTKFEP LPDVRNIMITGGAGFIACWLVRHLTLTYPHAYNIVSFDKLDYCSSLNNTRVLNDRRNF TFYQGDVTNPSEVIDCLERYNIDTIFHFAAQSHVDLSFGNSYSFTHTNVYGTHVLLES AKKVGIKRFIHVSTDEVYGEVKDDDDDLLESSILAPTNPYAASKAAAEMLVHSYQKSF KLPAIIVRSNNVYGPHQYPEKIIPKFTCLLNRGKPVVLHGDGSPTRRYLYAGDAADAF DTILHRGQLGQIYNVGSQDEISNLALCRKLLAVMGLPHATPAELGRWVRYTHDRPFND HRYAVDATKLRKLGWEQKTGLEEGLRITVEWYRRFGERWWGDITKVLSPFPVVAGMEV LSDSEPVSEHPLQTTGATATMTTTTLATTRAEQRADGVDGANGRPANGQGGQK MYCTH_2305024 MGSVQARGHSGTLDAQSEGPSFTSIRQTDQIDATSCSSPVAHDV TLEVDESSYISAEIREVLSQDAQEPHLEVDEGCEEASDEEPCSQSVYAMSMYPGAGPA FQVEQSYRNGHDSATIDSARTLYAEDFDFVVENGRQYCGDYFLPIDQTEQTRQYVIHQ VYLKLFNLELTTVPLENPTYILDIGTGIGEWAIGMAEKYPQCEVFGTDIAPIQPTHQV PFNVEFHIENAEEEWIRPANAVDLVHLRNMEGAFSDWSFIYSQAFACLKPGGWIEVID WEDFFAEKNFLSFYPEGSVLYIFVKALLEAAELAGKPRNSRHLNKNLLTEAGFVDIQD SVYDLGIGSRENSSYGKFWFFSVITGIEPTALRLLTKYLGWDPDDVRELCEKVAQEIK AVADDPDRVDAFVVKLRVVVGRKPLDQGQNAASKAPTRDECQEHSGDESTIGKRTIRS ERTA MYCTH_2305025 MALDPSNGFAAADAVANLDHAALPIFDVLPVQLRFSIAADFVAG QAANNVLVIALLNGRILRIDLNRPEDIDDIDLPKKPSETGVIRRMFLDPTASHLLICT TLGENYYLHSQSRQPRPLARLRGVTIESVAWSPALPTSSTREILIGAADGNIYEAFIE TSTEFYRKEDKYVKLLQKLPDGPITGLWADSLSAGPGALGPGGTAQKHHSYQQQQQHD VRRVLVATQTRLLHFTGKVGKGHDGSASIYSRLFEGEQPVIHELNRASAAAASMLVVS PDAEEVPRFRADVEVTERAFAWLTSHGVFHGKLLMGGSSAELGNRVFAEAKLIPRAQL ASPETAAKKQVSTEYIDAIALTQWHVVCLIGTRVVVANRLTGSIVYDQTILDPGEKAV GLCVDLQKNTFWLFTPREIFEIVPHDEDRDIWKIMLQMQQFDAALQYAHTPAQKDAVA IATGDYLVSKGQHSEAASVYGKSSKPFEEVALTFIDNDQPDALRKYLLTKLSAYKKTA VMQRAMIAVWLVEVFMAKLNSLDDTIVTGAELSDTLNPAQTREQLDAVRAEFQDFVNK HKSDLDQRTVYDVISSHGREEELLYYASAINDYNYVLSYWVQRERWSEALRVLKKQTD PEVFYRYSSVLMTHAATEMVDVLMRQSNLNPRSLIPAMLEYDRNYKGPLAQNQAVRYL QYVVNQLGSADSAVHNTLVSIYASHPSKDESALLSYLESQGDEPKYDQDFALRLCIQH RRVLSCARIYTSMGQYVQAVDLALSHDEIELASIIADRPMSNPALRKKLWLAVAKKVI SQQSGGIKTAIDFLKRCDLLKIEDLIPFFPDFVVIDDFKEEICAALEDYSRNIDALRR EMDESSQTAANIKVDIAALDRRYAIVEPGEKCYACGLPLLSRQFFVFPCQHAFHSDCL GRRVLEQCGAAKARRIRDCQVQISKGLVSGEKREAMVAELDALVASACILCSDYAIRR INEPFIKEGEDMEEWAL MYCTH_87877 MAAADTVDFVSLDRCPAYASFFGALGCACSIIFTVIGASYGTAK SSGAIFSSGIIRPDRLMQNTLCAIMAQIISIYGLVAAVIISNNLAEKMALHTGFLQLG AGLAVGLCGLAAGFAIGIIGDAGVRASTQQPRLYVGMVLILIFAEVLGLYGVIVSILM LTKSTLGVTVCPY MYCTH_2305028 MRSSSLLVALATLIQITHGLALPERRHGPSVLGLEMQRRAPRNP LHRDKRRRKRGTLEVGLDNEETLYFINGTVGTPPKPVRLHIDTGSSDLWVNTPASELC ASANDPCAFAGTYSANSSSTYQYISSNFNISYVDGSGASGDYVSDTVTIGSQKIDRLQ FGVGYSSTNDQGILGIGYPLNEVQVGRAGLRPYNNLPAQLVADGVIRSMAYSIWLNDL DANTGNILFGGVDTEKYAPPLLSLPVESASGVFSEFMITLTGLKLGSQTIGPSDLAIA VLLDTGSSLTYLPDALVSDIYAAVGAVFDGDANAAYVPCSLARDASAPPLTFTFSEPA IAVGMDELVLDLVTASGRRPTFDNGTPACLFGIGPAGAGTYVLGDTFLRSAYVVYDLD NNEIALAPTRFNSSATRVVEIGTGQDAVPGATRVSNPVKATEGLRGMNAKKNAAAAVA GLGSGGMRLMVACATLVVVVVGGLV MYCTH_2118512 MAFGNGSTLSSAAKGMLDKDVVLPGGPEDTISALRWSPVANHLA AASWDGKVYVYDATNSTSTDTIKGVAAITVGSPVLDCDFSKDGTVAAGAAADKKIHLM DLNSSQTMTLEAHTSPVRAVRFVQVPSANAPIIASGSWDRTVRYWDMRQPQPIGALQL PERVYSMDASGPLLAAATADNHIHLVNLHGNPLQLSKSVKSPLTHQTTSVSVSADGSR WAIGGIDGRSAAQVVDEKDKSLDNLQFKCHREPHPTKKGHTDVYAVNAVAYSPAHKDV LATAGSDGTYCVWDVRKRQRLRSFPKLAGPVTALAFARDGMALAYAVGYDWARGYQHN SVTAERKVVLHRFAEAVKK MYCTH_2305032 MAANSRRSRLTDYTGMPNGSHGSQQGLGDHNPQQGLGNTILSGL PPSRPWNNSTPGSFANTRPVATPRDAMNPFGTVGLGSAALTSVADLDTWGSSAYWNPA ADTTQTRSLSGNTSPRSKSEASTNDTNGGLSRLFPGAPTMAQRAPVGSKPPATTTVDS SNGNFKYPLGFPGYAEEGDGEHFTNMERKFDNTFAPRAIGAPRQAHDPSLNAVGSGPS RKSISGLAGSDAPGQTNAFNLNDIYGSAAPGYHSHRPSLAGSTLSTHHNMGFDQSAER QNHAQLVESLGMMALDNAPNGSTNGLQDALPYDNGAQNFQFNPGSQSWENGQGYDNGY VKNTYANGSGLDKRGSITGRNSPAGSAYRAGGGLNSPRGFAATPQPSDAWSRPTSRDP RMPAELARRGLGDAFVQQPATSFFNNLFYQQNFSPIQAAYAQYGDPRTMGAYGLALPP YGLGLPGVPTRPMRDQAPGNSFRSALLHEFKHSPKSKRWELKDIWGHIVEFSGDQQAS RFIQQKLETANSDERDQVFAEIEKNAIQLMKDVFGNYVMQKLFEYGDQVQKKVLASAM KGKVVDLSMQPYACRVVQKALEHVLVEQQTELVKELESDLLKVAKDQHGNHVIQQAIV LVPREHIDFIMTGFKGRVYELASHQFGCRVIQRILEHGTEADKAALMVELHNSAQSLV TDMYGNYVIQHVLEKGRPEDRAKMIGVVTPQLLMLSRHKNASNVVEKCIMLGTPEEQR AIRDKLMGEEPNSPLFQLMKDQFGNYVIQKLVKALQGQDRMVLVNKLASHLQSLRKSG ATSKQIEAMERLVAESQVPASAAASNTPTPSSSAPTSPGLHVDVQSAAPTPNLTMDPN SPLSTPSSSPPFLNGEAVDHANGQPASKEAASHGS MYCTH_2315304 MDSVKRLIVRGGEEKDNSLVINLLIALLALLFAGLVAFALLVLL RRRRRQKQMMDEKLPQYEDVKRTGNHRRLTIQTGNGNGRSSVIVVENHGQPMLANPRS PPYSPDNVPEIHITFPDEQDEQGRPKSGRVVVVRVGDTGVGLEPLHDEQLPAYEKESK TQFYSIDMDRIGGLKEKEFH MYCTH_2305041 MPPPKPNPNKAPSPPPDYKTIAAQWAAAERAKKAADAARKKEPG AMDAQKEEEERRQEQAQIAESERRRARLEAARKKAYQSRWAWTAAFWAWLLCMHAVGI AYFTSGFLLTRLVLEEKSACDAPPPVGGPGESAPSSLLPPWKGMGTVDGGCWHPKTFE RAVVVVIDALRYDFAVPVGDGEAFHDALPFLWETAVREPNKAFLRPFVADPPTSTLQR LKGLTTGTLPTFVDIGSSFSGTAVEEDNLLMQFKDAGKRIVHLGDDTWESLFPGYFEG NLSRPYDSFNVWDLHTVDNGVIEHIFPLMQKRNDWDVLIGHCLGVDHAGHRYGPNHPE MTKKLRQMDGFIRDLAATMDDKTVLIVMGDHGMDSKGDHGGESEDEVEAALWMYSPKG IFGRTKPEYATPPTTAKSRPVNQIDLVPTLALLMGIPIPFNNLGRPIEEAFAGVSGNT WANLAAAERVTAAGIKRYQTSYFSARGIEQPSGAGSPNELWEKAEAAVSKAKKNRDWA AIYSAFAAYQEENLRKCKALWARFDIKNMVFGIAIMVLGVLALLVYISNGGDDDAIDD EELDLAEKSLELRGVKPDETATPDDTLEKRLVSAALFGAAPGFIGGVISSFVSGAGDW YRGAGIAALTSIAAVLVSMYEAQETLFKILPSTLWGWMAVIFTVSQSVGFASNSYTIW EDSILLFFITTFSFASALSALRIPSRADRYLAIYHSVVFALLGRVASFSKLCREEQMP YCASTYYASATSSTSAPWQLIIPFLVSAILPSIIKAYLQPTRSYEGLAQTWISYVFRA GLFVAALYWLLDAADNGNWIPGLPDKVLKNISVYTAQTVLGFALVAGTTAFTWAPPCV SIVTSASPTEPTRAQIAILGYANAHGARYLLLPLNLLVACILLSKPMGGGALGLLAWQ VLALLEILDLNNLTTSPIGPVMLAILGTFHFFKTGHQAVLSSIQWDAAFIPLFTIRYP WSPIVVALNTFAGQILATAAVPLLVLWKAGPKRKGVLEAVSRRALGVFVAYFAVECLC TMAWAGHLRRHLMLYRVFSPRFMTAAVVLLVVDLVGILVSLPSVRVNTMAIGDVFGWA E MYCTH_2305043 MVQSSVLGFPRMGVLRDLKKANEAYWADKISQEDLLAEGKRLRL AHWKIQKDAGVDIIPSNDFAFYDHVLDHIQLFNAVPERYTKQKLSPLDEYFAMGRGHQ KGDVDVPALEMVKWFDSNYHYVKPTLQDNQTFSLAKDPKPVREFLEAKEAGFQTRPVL VGPVSFLALGKADRGSSVDPITLIDKLVPVYIELLKALKAAGAESVQIDEPVLVFDLR PEVKKAFKPAYEAFAAEGSAIPSIVVATYFGDIVHNFDIFPAFAKVHGIHVDLVRNPE QLEPVIKQLGPNQILSAGVVDGRNIWKNDFKKSIDILQTAIKALGPERVIVATSSSLL HTPHTLASEKKLPADVYEWFSFATEKVREVAILAKAVTDPESVRAELDANAAALKSRT DSTRTNDPKVKERQEQVTEEMHKRKSPFDVRYAQQKKHLSLPLFPTTTIGSFPQTSEI RVQRNKFTKGEISEQEYDDFIKREIDLAIQIQDELGLDVYVHGEPERNDMVQYFGERL QGYVFTTHGWVQSYGSRCVRPPIIVGDISRPGPMTVKESKYAASVSKKPMKGMLTGPV TCLRWSFPRVDVHQSVQCQQLALALRDEVIDLEKNGIFVIQVDEPALREGLPLRKGAE RDAYLKWAVNSFKLATAGVEDSTQIHSHFCYSEFQDFFHAIAALDADVLSIENSKSDA KLLKVFIDEAYPRHIGPGVYDIHSPRVPPEAEFKQRIQEMLQYLRPEQLWINPDCGLK TRKWDEVKGALTHMVNAAEYFREQYTKA MYCTH_2305048 MPPGAPQYGNSFGTGAGQPQQQQQQQPAPFVPQPTGYAQAPLQQ QYTGYPGLQPSQGPGQLQPQFTGFGQIPQQSMPTGVPPVPAIPQQFQQQFQQQQQQQQ QQPPPPPSSFTSAPTQSAPQALAPPPPPVKPQPTGFSEMTASFQTAGGARSRPSAPSR KANTIPNIRLSFITAQDQAKFETLFKSAVGDGQTTMSGEKARDLLLRSRLDGDSLSHI WTLADTTRSGQLHFPEFALAMYLCNLKLTGKSLPPTLPENIKNEVSSMVDIINFSVAE ESGSNSATGTNAPDSGVRQNTAAPPVLQHPQPQPSNSQLLQAQMTGLPTQQTGFLGQG LQAQQTGMPQATGYTGPRPPMPPMPTGYGSTLSPNAGPGGMVAPLNAQPTGRPGQWGL VNTPATGLPNIDALQARMMPQPGREQQSYTTAGLQGNAVIPWAITKDEKTRYDELFRA WDGLNKGYIGGDQAIEIFGQSGLDKSDLERIWTLADNGNKGRLDLDEFAVAMHLIYRK LNGYPIPNQLPPELVPPSTRNLNASLGTIKNMLHQESDLRKNSGAALLPQKTGVSYLK THSFKSAPGVLGGRKDATVFKNDDDAVGYRSSARRRVGNASPRPASPASVGSSEELTL DQLRKKVKEKQVLLDAMDFADEKNAEEDEILDRRDRREAEELYRRIRRIQEDIDNHPD ASFIGGDSEAERRALKRQLQNLTDKIPELASQVRKTEKAIAEARLELFRLRDAKAHPG SAAAIIGTGPGGAITEADRLKARAKAMMQQRTAALTGKKIDVSSDQDAEKRLEEESIK VRTEKENNERMVRDVEDSVRDFAKSIEDSLKEGGQDSTSEHERRRWEDGLGVEDEVRD FIYDLQRSSRAARIRAQDRQGGRQATQEPVKAEAAPTARVESPATVSRTASPAAPGGS YSAYKTPEERAAFIKQQAEQRMAERLAALGIKPPTKPGETPAQRLERERAERAAKLRQ AEEEDARREAERQARLAEEQGIPPPAAPQPAKVEAKKPPPPPSRKVAKTDDKHAEEEL AAKKAEEERLERERQEQEQATRELEDKMKAQEDELAREREEADARLRALEEQVRQGKL KKEEEKRKKKAALAEAREKEAQLAQRRAEIEAARKREEELRRQLEAMENESSSSDDEG PEQITPQASTPTMGDSQIVNREPEKQPTPPPAPVVSPPEIVSHEPERQPTPPPAPVAS PPKIVTSSPPAETESRNPYFRMMSQSSDASTAAATPASPASAPPAPPAASAPPPQPDV STNPFHRMAQAAAPPAPSIPVTRRFPDDDGWGSDKEEEEEEDSDDDRPGGQSAAQLAS ILFGTMGPPRPLSATGDKSSASPPPVSSPAAPPTESTSPSAPPPPPPMPGAFPSASPA PDASPAPPPPPPPPPPPMPSADGAPSAPPPPPPPAPGMAPPPPPPPPAGGAPALPTVS RPAGFLGEIQAGKTLRKTVTRDKSGAAVAGRVLD MYCTH_2305050 MAKIPDSQAEEPFPIFNDDFFNESTTPMGSQAPMPAAPPKPARQ PLQSADANVVLNPPTAAAAKRSPLKSNNARPSNAAPLAPLNASQGNKLNTVQMMPPSN APPPTDSLEKKQPVMSRFKTVAKKPQPDSNAQLTSKENSHPPIYPAPSPSQFNLDLEN YYQKPSGKRTLMEPAPIKEIRPAKKQKVDDPLPPPDSFPPIIDDGTKPNHSYATLIAM AIIRSPQRRLTLSQIYKWISDTFSYYRGDNTGWQNSIRHNLSLNKSFVKQERPKDDPG KGSYWSIEPGTEHTVLKEKPSRKSAAPTAENMPVMSTRLEPSQPQQPQQQPLLQQQQQ QQQQQQQPRPPCVSEPMLPPQPAAPQSILPALPPQPSQQLAPAPPLPEISSDATIPAS DAATIEEGVSGPAEKDMHHEPELYSPLPAAMHSSPPIPRRLEPRHSGTPPSQQRVQQQ SSATKSRHRRRFMSMDDSGYISSLESSAMRPNQHAKLLTSEADRPRIKRGRAEEEIAR LRASSYDSPSKGRSHGYAPPSSSPIRQTSSSGNGQMLPPLTPAMKLKAPPKPPPSVSP STNLRLHRENIQSMVDSPFRRVSALLPEGDGESAAQQTPGLHGEHLWYYLEQSGDNNS GFDIFEDPADFQNLFALTPTVTHTGSPAKRSVKKQRAERSQSTSAMSNAANSAPSTSK SNSFLKIPNQTPNLTLETPSKVFDGLPSSPSKVFMDMQSPSKMPLINDENLDVFHGVN LSGLDTPDFLDENDFSGFDMLAGFEKIGSNNAQASRNTSGSKGTLNRAHTSMF MYCTH_2305051 MDQRGAPEFILEAFADPSSVRDVVRGILHTIFFLRYFSAVLPQT RDVLGLELPYVPEAEIETLIDQRVAALVRQLEAERHQSHTLPESRGGFGLGLLVGGGG GGGGGGGAPLSSGGGGGRGQITIQFLEKRRRKTWYAMRGDDEVPWESWTVKVTVADPR TEGERAKVRRASETTLHNTIMKTVTLANAHKDHIPPITTSDSNPFPYQIHVGGVQSSS HQQRSQQQQQQQQQHQQQLQHRQQQQKKGAAVAGWATRMGIY MYCTH_2305054 MLAATKRWFRRNRTPIAIGLGVVGAGYIVANYVSAKLRDARERM SSDRIAKENLRRRFEQNQEDCTFTVLALLPTATANILKAMDTEQITYEIQQIKGSTAA RTRSIGSTSPPSMSEANAADDDGRSIITVSAQSEAGMQTTQISVATPSSAAAPESHAA TAPPETALAPPKPRKTKRQLWDDLKISAIARAFTLLYTLSLLTMLTRIQLNLLGRRSY LSSVVSLATGGNAAPGTINLENNDDDGAEEAYGTDFEVNRKYLTFSWWLLNRGWVDVM HRVEAAVRQVFGHLSPRDTISLHTFSQLTHDVRSIVEGSGPSTEARTAWLPFLLPPVD MEDFVLRESGVLEPDPIDNVAAAAAAQGTSPTPATSAPPSTAAASLRRLLDETADLIE SPHFAHVHTQLLDAGFSALLEQKVVSGVFDANSTPFAAADPLGGAGAGAILGNRAVLL PKILSVLTRQAHAIGQGMSDAVPPTVNVNEYLQAMEAVPDLEAFAAVVYSSNWQAEIV PDDGADGESRPHSQPQPQLQPGVSKALPQVGGAHGEGQHQGLGESSTVFVDGEEGQTR LFDSAWERASASK MYCTH_2139227 MVVYSFYIFDRHTECIYSKTWLPSSSGDAHQAGAAPRASTADDA KLIFGTVFSLRNMVRKLGGDDDAFVSYRTAHYKLHYYETASNLRLVMLTDTATLSMRN VLHQIYINLWVEYVVKNPLSPVEHKGGAGVRNELFELGLDQFIRGLM MYCTH_2305058 MASAESHEAQIEKTTAIDLPLRSRPVDGDAMAPAVQPPATPALV SPGIRVELYGDAQAKSKPAPIQPQSAPPDVQSFPSPMRHHRRTPSAHREIKETLNARS EYYNEDSEGRSHHQINQYIIKDEIGRGSYGSVHSAVDQFGNEYAIKAFSKARLRKRAQ SNILRQGPRQPGRFHRAGFGAPDDPIMGYKAQRAKEAKDALYLIREEIAIMKKLNHPN LVQLIEVLDDPEDDSLYMVLEMCKKGVVMHVGLGESATPYDEEQCRYWFRDLILGIEY LHSQGVVHRDIKPDNLLLTEDDVLKIVDFGVSEMFEKPDNMRTAKSAGSPAFLPPELC VAKHGDVSGKAADIWSMGVSLYCLRYGRIPFEREGVLDIYEAIRTETPKLPPDENPDF VDLMGRVLEKDPEKRITMAELREHPWVTKGGTDPLLSAEENCSEPVDPPNLLEVNHAF TRRMSHLICVMKAIRKFKSLLSRRRAARQQDAHQDLGSNAGSSSPRPDEKAHDRQSTA EFAARVLRERQEFLAKGGRASLDQLLRQSSSSSSTLIATRTSESETQDSQGQPPSPPP FLGIGTGGIDAFPNAIDDPRHPLAVGNGEGAPVEAVVSDSPTVVDFNVYDRAFEAEVE RIKRSGSRRAGAGARGSRGQGRPTGGAGAAGQPAVIYQTRLSERRGGGDAGLEGGQER LLQQLTGGGSRFADVVARAMAAEVAKERSEKSEGSAEGQPHAGGEVNPQGLERQ MYCTH_2305067 MASSGQSVASSSPRTSPHMSPRSSQPPSIKSVEGPAKSPKINGQ NGGPAIPVGAIEQSQKPAEKGSMKDRLTRMFTARDAASRAATPDSIGSAQAKKHQDAA ATPATTSPPPTKPVGGKETHLQRFVINPDVQGGHEHHLKSSRRQEKLTDLIKNFLGRK PEHAPENDLSLVSNWVDNLRKEKEGAASDKKPPANPSATLVEKYGKCQEVVGRGAFGI VRISHKKLDNGLGEKLYAVKEFRRRPEETEKKYSKRLTAEFCISSSLRHPNVIHTLDL LKDSKGDYCEVMEFCAGGDLYTLVLSAGKLEVQEADCFFKQMMRGVEYMHEMGVAHRD LKPENLLLTTHGALKITDFGNGECFRMAWENDAHMVSGLCGSAPYIAPEEYTAKEFDA RAVDVWACGVIYMAMRTGRHLWRVARKDEDEFYTRYLEGRRDEEGYAPIEALHRARCR NVIYSILDPNPARRITASQVLKSEWGREIQLCKAGEEGL MYCTH_2134282 MSTAIAMAVSPAPHDRPSSYGSDLPPPTSTSPSAQRTGAAPPPP PPASAAAANNASAPPTRSSSGSPKGSTASAAAGAATPGAATTQRSSPPSASRNASGPK IVVKKEPSSPGLQAARHRPRKLDLSKNTSIVSPATGRPMTARDGLAIQEVGLACLSPG FVPKDAAEQEQLNRSMTVREHQRQIIEARLQQQSAKMGDNSDKDKESSHFAAKTPGLA RKRGPPPGLSIVAPSHEQFANERVIQSAPLGQTFTGRHNPHPLTRHVTNQPSNLASMS HIHHVPANQTNNRLPPIADVFGQNLSGHPESSGHALFAGQNRAPLPSPHHPPAQPQPA RTREYKSAEEAQVELAGGRPELLPKLVRYGGHQPPTPPSPPNTQASRDYPHSQHNVNT AHNAPSNHHHHNHQSHHRLSPNLADPSLPTTSSRTLSNNHQAPPPGSGPSGSGTNPMK RRRAEYEEGGSPPLGNGGRPAPAAPHPPQPQQQQQQSMPLPFGAASAPRRAFFGEGRD SPETLEAKKEEFLSLCARAWDLLHS MYCTH_2036258 MPRAKAKNEDPVEGGSDVDMEEAPTSHQPENVDDMSVDHNDDDE EEGGFEEDDDDEEDDVQRVRLLPGSTPTAASFEFLNEGHTLGNALRYIIMKNPDVEFC AYAIPHPSEAKMNVRIQTFEGTTAIEALQKGLRDLQELCDIVTDKFIDARDRFAA MYCTH_2305076 MAISPTQFAVTTRQSANWSDAKRRVLAAYRDWLRAAPEIQTMYS IPHPVSAIRSRIRQEFERHRYVNKLPAVDILLFHSRADYQETMNFWRQTNHVMSFFKE ENFRGEKRLPSNFITGFLEGRN MYCTH_2305078 MPAIRPASKRKPPPDGFEDIEEDLLIFSNKMKDAQNTPTDNIPK HQAQWPIFQIAHQRSRYVYELYYEKEAISKQLYDWLLKNGYADPMLIAKWKKQGYEKL CCLRCIQTKETNFNSTCICRVPRAQLKEDQDVQCVNCGCRGCASTD MYCTH_2305080 MKRSREPEETPYNGAALSERDLDAKTGDGRGGWEGRSSDNEASA PATKIAGLDLSDNDSDLNVEMQCSLPPHREPVVFSSYGAYEAHYRDQHSNRCAECRKN FPSAHLLSLHIEETHDSFVQARRERGERTVSTTSPSCAARLANFRPQYSCFVEGCDRK CSTPQKRRMHLIDKHMYPKNFFFAITREGIDGRRSLLLESSGSRQKSRTARAAHQQPS QTQAQGQAQRVDASANMIAAADERQAAESNRRSPEQLPEEEMEDLTSAMSSLRFVPMS VRFGRGKRAGFAKR MYCTH_2305083 MASTTATAAAATPAMPSTDEAWKLAQKLRAAIVKELEHVQKGGR GTNELARFEKVEKLMENYRLACLQTIWPDMRAANEKNAEDALWHTHTLVTKTYRKVLG RLQGDDNVVLRRKVEKLYAHYLKTAQYFYRGYLQRVCARYDMKDLKRIARRADLQEMP LPDKEKVDASAAQLEDIVKRSCHKTLIYLGDLSRYRTLLRAKDPKWDGALAYYLLANE LIPESGHGYHQCGVIYVETGDHLQVVYHLYRALACDLPHPNASTNLDLEFRELQKRKD ITSKHALVTWFVKLHAFYSQGKDFTERKELEREVDHRLGLAMKSGKGYDSDLDLLKIV LINITAYVAAQDKVAKNWTDEGSRSCQFLLLLNLRTINAIARLIGEEITDVIKRKDAE APVSTSVTASQTEPPTKFTPAFNRILPLLRVYMAWLCSYGSQLVEFRPHLEPQFGAMC VTLSNTLSLLFELLGGDQQLGNPVSWRFPEDEITMGIRCLNGPDLHDGCQLYYDAFTR KPKPRREDVPDANGTDDDVSFTRALDVLLCGLDLSEPESKFPFTTSTVTKGARELTTF VYLEGGKPGPIPNPPPVQHMTPVPVPASTERNLTAPVLAPSPCESNELSENRDFYGPD LRNNTGNNARNGPVSTSIAPAPTAPVSEFPIERQMFNILNEFINPPESAPAAKPETPS RPVARTSPYGMGSASAAETFGARASGSSGPGSAAGGKVLPTLPWEYFYKPSANPALQS SGTNGLAAGWAANGRAFSRPTSSGNGLQLGIGATAGSPLARQAQQRYDSREQSRLLEE QEDILRSLDLESVQTSQPRQSHDTRGGWPAVAAQSSSSVIGTASHSLQQQSTWLPPAS PWQTTHGQNPTVTTTTGGVPNSPFSTLNFSTNSSSIPAVNSPWGVPSHGAFARDWPDA RQPRTGVAQQPLGLDIWGDAMGQQQQLAVGESSGGTWTVRQQQGMPKR MYCTH_2305086 MASTKDYRLLCLENPLLDIQAFGNEALLQKYGLKANDAILAEPK HLDIYEDLLNNYDAKLIAGGAAQNTARGAQYILPPNSVVYLGGAGDDKYAAILRDACK QAGLRVEYRVDPKIPTGRCGVVITGHNRSMCTDLGAANHYDLEHLKRPDIWALVENAE VFYVGGYHFTVCPPAIMELANQAATKNKPFIVSLSAPFIPQFFKEPLDASAPYWDYVI GNETEAEAYADSHNLGTKDVKEIAKALANLPKVNTQRKRVAVITQGTEPTIVAVQGED EVKEYPVHAISKEEICDTNGAGDAFAGGFCAGIVEGRPLDVSIDMGQWLASLSIRELG PSYPFPKKTYPGKQ MYCTH_111425 MGRVIRNQRKGAGSVFTANTRLRKNPAKFRTLDYAERHGYIRGL VKEIIHDPGRGAPLARVVFNSPYRFKKITETFIANEGMYTGQFVYAGKNAALTVGNIL PLSSIPEGTVVSNVEEKPGDRGALGRTSGNYVTVIGHNPDEGKTRIKLPSGAKKVVSS NARGMIGIVAGGGRTDKPLLKASRAKHKFAVKRNRWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAAPGQKAGLIAARRTGLLRGTQKTKE MYCTH_2315319 MGKHESASWAAASPERIWQVQFAGTRSHGKSIGPDACDGDDQWK NKPARRKPCLVLSALAALAIDWGYLAFLISI MYCTH_2305096 MADDDSSELSSISSLSEPPSEDDSDIQLEERHGILKFFHKVDQN PALEPKEKTPARPKREPSPPHEYVLADNPDIAFIVMFRARFAEAFPKSLANFGPQELE RDVVETIPGERVEHFLCALLSLLLNRKQDVKPGHYNRALEEAIQTHKGQWAKDWESKN PLAGQATFASMTPVQRLTLLRTLVQWTLSSSDTVRAIIAKSYRNRHDDDLNIPLSVQP WGSDGDKRRYFLIEGNDDTSFRVYRESNPAGLNRTWWSVAGNIDELRALIDKLENQDG GPKAKMFAKKIANSIPRFEATEEKRRRREYRQMRKEQFRRPEPGFSLYEGRTRGKRIK YTYSDDEADFFSDSTNRRSSRNTRHHTPAEPSGPVTTASGRQVRAPTRLNAENVSNGG PSASVSVQGDGEVPELKHTTRSGRPQRSAAVNHGMNGWTTRKRKSEEYESEESEEEGS EPDFGDDEEDEHVPDETEEDDEEFEQEELTDEDLEAVRKPSLIFKFPVRVSFDENNRV HQIPGPPVATEKNKHPRAAHQNVVASDGGSETNDVGPVEPIVPEAEPPAAEVIAVATK AAAGPAPGGEQAGADAGKPTEPAGTAGTGADQAANEAGETTKSSPTPANENATSLTLR GSPELAKAVPRAVDGSPVE MYCTH_2305097 MSGSVPLQVAETVQTAHLNRSPSPQHDINPSTAASHKEPVHLEP AYPDDGNIDEDHLIVGEDGTEDEEEEEEEEEESSAHYRSSRVTPRPRRHHLPPMPDLR FEQSYLWSIRNADTWWKIGWITIRDQVMMPFLQGILYNLGICGWQYWNRNARIHGSTL GARLRRWWYGVNNWQIPPENPAWRRRYK MYCTH_2315322 MSDPNASEAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPKD QISRAAKMLAEEYGTASNIKSRVNRQSVLSAITSTQQRLKLYNKVPPNGLVVYCGEIL TSEGKERKVNIDFEPFKPINTSLYLCDNKFHTEALAELLESDQKFGFIVMDGNGALFG TLSGNTRDVVQKFTVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAELAVQNFITND KVNVAGIVLAGSADFKNDLNGSDMFDPRLQVKVIKVVDVSYGGENGFNQAIELSAETL GNVKFIQEKKLISKYFEEISQDTGRVCYGIDDTLKALELGAVETLIVFENLEITRWTL KDSNGSEIILHTTKQQEQSNREKFMDKETGQEMEVVSQESFLEWIAEHYKDFGTTLEF VSDRSTEGNQFVKGFGGIGGLLRYKVNFEQLADVDDDDEYYDD MYCTH_2305104 MTASSPHQVSSQANLNTNTTVAFLGPVNSYSHQATKTAFPEPEW RLEPTGTIKEVFDRVQAGQAAYGVVPFENSTHGTVAFTLDNLADRAGEYAEIVVCDEV YLDVHHFLLGRRRDPDPLLRDPSPGSASASVSASAAPSAAAPSSHHSSSSSSNSKGVP LASLSHIRRLYSHPQAFGQTGAFVRRYLAHAETVEVSSTSRAAELAAGDPAGESAAIA SEWAARGFGLDVLARWVEDREDNTTRFFVLRKDGEEGEGGRAGRADGGEEGKGREDED DAAAYSGARYKSLVSFTVPHRQPGALADVLDCFRGRGLNLTSINSVPSLVEPFQYLFF VEFEGSRLDDPEGRVGGVLEDLNRVAERWRWLGSWRNRRSGR MYCTH_2061596 MRASMLSAPLRRHRPSNLGYLRPIFSRAFFFSSSSSSSISLSTS SSSSSSASAPFDLEAYLQSARQKLTSRPPTLIPDVLSPTHSHLLTLSLADHVPALFPA DQLSRPLFPLPSPSSPPSSPKKDEEDLTLPQGHHLVYFPLQLPPSRLLPDGTDPDHCP GAPFVRRMWAGGEVVFREGWDRAMRTDGRRALCVETVGAPVLKRGHAGATGTGTDSGT GQEKVFVDVRRLYGAGEEECAEMLRSGTAPVEEIRRLVFMRGRDSRAGEAAVARRILK ASAAPEFTFSLKPDATLLFHFSALTYNAHSIHLDPGYARNREGYKGLLVHGPLSLVLM LSALRACLAKLSPWPRTGAHGQPRPGYVKSLSYRNIAPLYVDEQMTVCLRRTKSAAGE LGWDVWIEGPDGGLAVKGKAITTDIAS MYCTH_2305106 MAVSGSESSDSIASPPTTIPSSPASADSEHRPFSIRSLKSRPWH SFASKDRDGTPDGGHSFRTHARRLSKSRPLSSSSRLEPPSRRSSTVSDDHARLSLSTT DSLSLSFANASLAASSVDWGAQHVEGSAPLEHDALLLKTKAPYLVVTSEYLVKTKSRA DAVALLPGLSTEGQKRGHGGSAPEPLLVIPVDAIVSVFAAETTRPSFGIEVWWRSQPA GYASCRSEFFFATPAQRNELMHHITRAMRAGQQDQDGRVRCSPDVRALLERLNEAEEP RFQHRRPDIIPVVLRGFTRKEYMPKLEDASKKPQEAPAFYLVVGTYLCHLAEILKSKA GDPVCRHKSFGLVTLECLRGDWVVHEERFNITFRDPFQPPVTLELASRYYREVIRVLG MADRFLKPAWPQLWQTMEVFRVSGLKEPQYLVPKEDFGSFKRTLDAYLAAYRCQDVNW EINWKTRFAPEFRLLPGKRGPYSPLQLLAVLRALRYNDYFTSFSFRDVDLAVLYGLQD NAFSKANVAYLSRTCVALGPDEIEMLKVSPVLHQEFHALAFCSETIRQMDLGNCSKSF HAQVAQHRNQVPSLQFLTPILRLLRSGITKCNRLIVNGNTLPQFDVDDLAETMKCGAI QALDVSYCGLDDASLREMIVEPLSHYPGLLQSLSVSGNPGRLPAHILPGLFRYLTDIR ELNLSGSIQAESHTEGSLLPFAALEGMENLEELNVSGYKLDPATFQDLERFLEYRSWR IDQGQPLRFHKLVLNHCGVTGSQAAQLFRAIGENRGMHLSLNGNPIEDGIEDLAAAIS ANQGPTGLYMEMIEFKEEAHYLALLKALTVTRHLTLLSLAGTAPSLSSPGPCSDELVA TLHDLLARNTSIQFLDLSGFSGKLDDGQLPRGAGRALAGLAANTALTHLRIRNQNLHD DAGLLGRALAANATLRALDCRGNNFNLTSLRFLVDSIAGADRSALVEFPLPADERAAI WRNVLRGLQRTPTSVPLPAVGAATAAGAGNPGSNAIRDLLREEEALLRDVLEGLFATL ENKLKENRARLLGDAVRLGRDRGGGEGDGADSQQREYSYRHRHRHRHQRSGSSGGAGL LAMDGGDDAWPSVGEIGGLGLDLGLDVGMWAPDTSPSTGSEPGGGHGSNGEAGPPPQT TTAQFEAVETVESAAPPSGPHGYQQHGGGAAASYHEGGIAGGMDSPTETLDPVSEAET PAEGQAPPGQHSIRVLVREAEEDRLPGDEDGDGDELFRKMVDDFRRAGFEV MYCTH_2305107 MAMLASKTYPTPLGSQPSGIVATSQQSAYPAPRRAPTAPMASQN YASPTESEFDELDGPDAVKNWDEDRVCEYLRSVKCGEYEKVFRRNHINGENLLEIDKE VLKEMGVEKVGDRVRLFLSIKKLRTKTYANQRRRNRESFAGLDTHYVPPSSSPRPPGG RAVPPSTTSNKRYSRQYDMQSSVPATDHSKPSSRPTSPLPSGDFRTARQRYAQPQGHS TQPTSAGSGRLPVSSSSDQPHSGRSHQRNQSSMDGSLMAALPPGQDVIRVISTGGGTK VVKIADCKTCEEVMRVTLRKFALREDHERNYCFWVLNGVEPDPNQCRRLGDTELWRII KDHTRPERNRLILRRVPAGEPGQQELERAAAIAMEEAQQNHNRAIEGMDKRSQMKAQK VLGESWETIQQPPLSPVSYQDRERNVYNAARDLERPAVVETAQAVPRRKGMLRQFGGL RPPSELIASDLTSYFPDHSREAIDRTARMSMRRSQRLSRVNHRLSVASTLSFASSIQD APPIPTIADSWLTASNQIAKVRTRDTRFQTYRDSVASSVLDTLQEESSPVEPNRKSYV SFADSGSEGAAALSITDPDGNVVRHSYFEGSTAGSGGSGSLEDVNQALTEDGEDADEE LQSFLAGDSWDDSKWMKGALIGQGSFGSVYLALHAVTGELLAVKQVEMPSPGANSQSD SRKKSMIEALKREISLLRDLRHPNIVQYLGCGSSADYLNIFLEYVPGGSVQTMLNSYG ALPEPLIRSFVRQILNGLSYLHNRDIIHRDIKGANILVDNKGTIKISDFGISKKLEAT NILNGANNNRHRPSLQGSVFWMAPEVVKQTSYTRKADIWSLGCLVVEMMTGQHPFPDC TQLQAIFKIGGAKATPTIPEHASEEAKQFLTQTFEIDHNKRPSADDLMLSPFLTPPA MYCTH_2305109 METISKAASAASKAIRGENRAHGEEPVSGKMGNVAAGEPYDAGN MDPKDAAGASTPSQQEGAATAARTTGTTVPEPMTKPEPEAATKPRFVDERPTTTTTTT TTEDPAAPAKSAPSAHGVRGDSTKAQSDTRPPDASASSTAAAGDEPPSQSRRSTGDAT MVSPKTLERDNDNNDNNNENREEEGAGRAVNLQGPGPRPLEQVAREHGGDAGAVAASE PAGGHQRRDSGKGLGAEDEGAARGKGEDEYFRSSGLAADGGDFDASRRGAGREADREY FFL MYCTH_2022110 LHDIIIVGAGPCGLAVAARLRERNPAALFTDEEHRRFHWMRRHG RKMALRDDRSQRVSRAAGAGAEPHEYDMVVLDADADDWMGRWNRLFDAFDIDHLRSPM FWHVDPGDRDSLLSKAYMQGREGELMEIKNCVGREISKHQKKKKRMMMGARSRYSRVH INERERHDYFNPSRALFRDHCRDVVDRYGLGGARGSLVRKERVLDIAYGPVPGVSGAG EKLFTVRTEGDAAPPVRHARVVILAVGAGNPPRIPAIPGIDTGCSTGAEGGAPPPPPP QVSHAMHLRAGGDEFPLPHELRQKIPAGREVNILVVGGGLTSAQLSDLAIRRTTGTGT GTGTAMKLTVYHLTRARLRTRLFDVGLDWMGKFRNGEQARFWTAASDAERLALLREAR GGGSITPRYRRILRRHAEAGRLRLLEGVQIVRARFQVEDEQEGRGVWCEEEVEEQELP PMDWIYFATGVESDFEALPFLRTMLESYPIEGQGGLPCLNDDLMWKDGVPLFVAGRLA ALRLGPAAPNLGGARLAAERIAWAVEDLVRDGGE MYCTH_2305112 MAKKRKAPRNPEPSGPREIDPKDARLTIRTYEDVADSQDEYWAE KDRIDFDEDEEPRSKRLKRQQQEDAFLEPSDEEILGEEEESDESSEEEERVPVKKGTG KRAGGGVAEDLFDEEERKGEEEEGDEGWWGSSKKEYYNADTIETEADALVGLPSGRSP REKRDTDRLPQEEEAEARRLQAKKLAKMQEADFAFDESEWLAPKEQDKDEDEVVTEVL KEVEVTDDMGPEERYKLLQARYPEFDYLVDEFRELQPLLPTLQKEAEGKPAKSLQVIK SWLAGCYIAALASYFAILTSPSRDGDGSAATMNPSELREHDVMETLVQCREAWLKVKN LKAARPVAPPSNGMLSPPEEEEADLEMIDGASAPKRRAERLSKAEVKANKKKAAEAAR KARAVEQSLAELSTLLKSAKKAGKAAGAPAAASAGEAADDDNRSDFGEEEALDAHTAA DKARRKKSLKFYTSQIVQKANKRAGAGRDAGGDMDIPYRERLKDRQARLNAEAERRGK KGSKFGADLGEGDSDSEGEEAVAQQVRGEEDEYYDMVAHEAKKKREDKAARFEALAKA RRGERVVEEEQIGEDGKRQITYQIQKNKGLTPHRKKEARNPRVKKRKKYEQKQKKLRS VKAVYKGGEGPGGYQGELSGIKTSLVKSVKL MYCTH_2060757 MDTPQRLGIPLPIATGSLGLFVALIVGMRFWGRKNHMPVEGRTV LITGASEGMGRSAAIQLAAKGANVILVARNVGRLEEALADVKAAASSPSTQRFTYISA DVSEPDYASAVIAEAIAWNGGRSPDIVWCVAGMSTPLLWTDDGALAAARRNMDVNYFG SAEMSRAILREWLDPANRTGPEAEPKHLIFTASVLALFAIVGYGPYSPSKWALRGLAE TLAMELNLYPDNPVKVHVVYPATIVSPGLERENKTKPAITVELEKEEPPESPDTVARR AIAGLERGEFFVPVSFLGNLMRCGVMGGSPRNNWVVDTLLGWLVPIIYFFVLRSLNAR VRAWARKHGHPATTAKATSRPGTSMTSSVIRSQRGRSNKVTKPGPPPRGRNPRQVAAH LAAHEDHAHNHGLPDDLKADPGSVFDDVRMGADDYAAAAAAAAVMDTDLTEDAHGEAE AEADMDDGNATGTGPPPAMPHVDLTAANILANGGAGPPATAMSQPVQDQLQEMQQNLA HAQHAHQQHQHPHQHQHQHQHQHQHPHQHQHQHQHQPAAQMGTPQQMQPGHQPMEQPM VKTTEELARDSGYGELNIESALAKRLAREPGQRLAQQRRPEQVLNLARRSNVEALFAH IAGEPARVPCKNCHKGHGPWTSCIVVDGQMCGSCANCWFNASGARCSFHETRNPQGVA QHPAILPATTAGLAGDAAYRFAAPAHPLLQSHGSAMGGVAHSGGLLINNPVLQQMVNR AMVEVRAADKATRQLIQIEIAAKQLALQIVECEEMVNSQEQASGPVPGQQVMGDDSGA MYCTH_2305118 MGCGMSTEEKEGKARNEEIENQLKRDRMQQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIFSNTVQSMRVILEAMETLELPLADSRMEY HVQTIFMQPAQIEGDVLPPEVGNAIEALWKDAGVQACFKRSREYQLNDSARYYFDNIA RIAAPDYMPNDQDVLRSRVKTTGITETTFIIGELTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFIKTSIILFLNKIDRFKE KLPVSPMKNYFPDYEGGDDYGAACDYILNRFVSLNQHETKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI MYCTH_2305121 MASSAIHMSQLGGRAFSHSSSADIEAEYDRLRDLARAEGEKKRS CFDRAHEAYERGDGARAKELSEEGKRHQRKQAEYNKQASELIFRENNAPGRVADDTID LHGQFVEEAEEILEQRIRHAQATGQPHLHVIVGKGNHSTNHVQKLKPRVEQVCREFGL DYATEENEGRIYVDLTGGKVSTPPPLPAQPGRGSHHEGYGGGGVGHDRHHRQQKQQQH QQHYGESTTGYHHQRPTQQHQQRPPQPEQEQDDLLTSCIKGCCLVM MYCTH_2305125 MPISHILLPPASFLLFPRPQPPSATVLITTTTTATGPLPSRQPA PPGRHKHRQQEEDDREDGGRG MYCTH_2305128 MAETTATPPAPSRPASRPVSEALLNEKWDRCLSNLIIKSSLGLG FGVVFSVLLFKRRAWPAFVGVGFGAGRAYEECNYSLKAAARDIKRQA MYCTH_2060417 ATLVRAVRALDHGDAETIPDRLERVWDILEDYRGGNFHAAEEML LRWLLKNMTGSSSTAERLRRYPRVWHILAAVFTLIPLFSLAKSLADRRFVGLLQQTLK DIAAPEQEQTDVNGHGPDSDVDMADAPSPGSPANPRKRKRPDTVGFHAASQRRLSGCL HTAEAVFDAVRILLSRCELQSLDGPATHRMGAEHVKSLFSTSAADAMSILVPWLTMCG LAVDGPDPVQLREQSSWLSTFAALWELRLQSAADASEVATHLSGTAARILGKLARHAG QTPLGVDAIIRERWTRDLRRFLTRNLILPARAIFLNKRSQEIVKVAVDMSSPSAQFSF PVLFDLVSNSPLEHGAKTSKKDHETWVQTVFDAILHAARNVNPENRTAAVRSVMELAA ERGTALSISSLRAVCNDYALRKDEHDWSLLLAAIKLNPDVFLIADEGKRLLERVLERT KEPYSVAAGDWEKAARFIVLLADGYAQARDLSTFVRVWLDHLAPTKPGGVIQPLWAQD ELAETVARLVQPSLTSAQLVDIIEWLASRTLQNETWAKIHILEALSNGISQEDFIDAV NIKIFEAAFAEKSSKSENPSISALRWTVASKAVARGTSQEADHVWSQIKSDLKNTLQK GHVDMVNTFTAFKCCVAVWLANHPSGPHEDDTASMICCFVERIQKTSEPTKLSSDGSK APITKGAFSHLESIGRCLSKVHKRSNRRSRDKLPVDDGLGDRGNFRLLQQLTVLAIRQ MTSGNPGEREKAYLREAISLLQSQCDDSDAAARIVLLQAFISTVQSSPAIRKLEEDGL NFNDLNSRLVQLASSTIASGKRSGKGLLVLLLALGALSDLDREAVRSAFSDSVPSLLE ASNSLLEAGSRAGWELRTFVANHFPEVLESPLELKMPVEQSSPQGEGEESENVESAAT LDKTALLQYLDAVVRSADEDAKLGYLKELLLRLGEGQDMIGRQLVVYRLIQHLKGKAA APGSRPSDSPDRFDLAQAHSMLCDRLLQTSTPPSFYLTARAIRLILDQNPACMTQWNI ELTLSTVSAISARPSTQALLSESPGIIYPALCRLVEMVIRRHRKRLDGHFHILLIALQ SLLRLLLSQPDADADSRQQQQQQPHGSGGKQWKEKQAKLFARLLTLICEPTAASVTRS QQANTAAAGAGPLESERDKAKRYAGQFMYLVLMQYVKLQLEYVVPLGVREALEPGVYA IMGITTPDVLRIMNDGLDPGGRVVFKEMYKMYQRFGKWSGV MYCTH_2305132 MPGPEPAASSSQEATAQGELSFPPVSRQHILHCSYDYWFPKYRT SCIRSRIIPLTPEFISWLHEDGILLANEGNTNSDNGTAHDDDDNDDDDWEPSFSTSDH PPPPRDDNDVSDSDSDDGDPAPVRQPPDVRFPALHAEITSAIASLGGAVAPKLNWSSP KDATWISRHPNTMKCTSANDIYILLKSSSFISHDLDHAFDDTVPAPTSNHNNNTNDND DKNNNNNNNNSSSSSPSPDFKHVLVLRAFFNPLPSLEFRCFVKDRTLIAITQRDLNYY DFLASLRPQIVQRTKELFQKLRFTFPDGNFVFDVYIPEADYREREEEAEAAGGREKKV LGRARLIDINPWAPRTDTILFDWSELLEKKVARPLFGFASDLQGEGVAEERECSTTDD DDDDEEEEEEEEEDDDDEPEVRLVEHDDPAAYNFSSSQYSAHKLPKDVVDASLAGEGG IREFAQRWQRITERRER MYCTH_2305133 MSDNVGLPTPRGSGTSGYVQRNLAHMKPRDRVAPYPPRHSNDAD GSGQRHKPRQPDKGLLEHDRKREVEVKVFELRDKLEEEGVDEEEIESRCDELRKKLLA EMEKGQERGGGGVGLGPRRNFKMHQVHELADAKIKESERLRQALKISKDYEKGSHWKK QEERLKNRLEQETDGGRSERENDRS MYCTH_2305137 MLMTQTPVSAVQSQHASTASSYYSAETSSAMNGIVGSAPPGTMY VRALYDYEADDRTSLSFHEGDIIQVITRLESGWWDGVINGVRGWFPSNYCQIITSPDE LPEGVDGGETERLDDENDEQEAYEEGIDDDDGSDHDESEGLPLEGADGDRSRADFWIP QATPDGRLFYYNTMTGESSMELPLESPSSLNETGPRDRMNVSVPDRTRPPPELIARGL TQEEEEESDVNSASELEGEALMNAAARGPASRARRPSGRDGLSPATSMDSMNGHPAGT RGRSETYANGNHLQTPMVGASTSFTSSAFNLPTAATIPRSFFDDGSTPPLTWSRLVTN MKKSVDRYRQAVESGNRAEYVARAEDISDHLRLLLAAGSGTTDNHSGQPSIISTNKAL YPHFRDMMSKFSKLVISSHIAAADWPNAESVQKCLQEADGVLLGVFSFVEVARQQRGE EIPRLFPGFVIGSSTGGSWQNNGLSPRDPITSNFLDDEEGVVEPTAILDGELLERLDE LKRMLVSSIRELEKNLLVTDKLVTPYKHEVIGNNVCAAAGKVLDVFRPWIAMIESIDL SLLGNSFQTPQLTDFSTNKQSLYDNISDLLLGCQAVAGPLADEWSEVRGQALEERLEY VRQCARALETNSSHVGFSLQLLFEQVAMVIQQQEMHLREEVMQREPLRRMETMPYERP HQRSDSRGFSRPGLPIGSHSYDGETLPPSNLRKGDPSKMKRFFGEDPEPVPPPVVEDT PDFLKLDYESDLSWDLKVTPPAVKGGSLIALVEQLTRHDKLDANFNSTFLLTYRSFTT ARELFEMLVKRFTTQPPEGLSPADYEIWRDRKLRPIRFRVVNIMKSWLDTFWMEDYNE ETKQLIRDMYNFARDTIKTAETPGSGPLMAILDQRLNGKDLGGRRMVQTVNQNTPPPI MPKNMKKLKFLDIDVLEFARQLTIIESRLYSKIKSTECLNKTWQKKVAEGEPEPAPNV KALILHSNQMTNWVAEMILSQTDIRKRVVVIKHFVSVADKCRSLNNFSTLTSIISALG TAPIARLKRTWDQVPARVNSTLETMRKLMGSTKNFGEYRETLHAANPPCIPFFGVYLT DLTFIEDGIPSVIKKTNLINFAKRAKTAEVIRDIQQYQNVGYSLQPVPELQDYILSNM QAAGDVHEMYDKSLQIEPREREDEKIVRVLAESGFL MYCTH_2305142 MPGASVAVMPASAASTPATRKTSLAPERKYKCQFCNRAFSRSEH RSRHERSHTKERPFKCMKCRSTFVRRDLLLRHDRTVHAKDGGVPLHSDGKRRGGPKTA RPASGPSKSAIAIDTSTLEQIEASSDGVFDVETAAMLVADLHQKATAAAKANGHYDGN SSMSFSQNESAMMEPSVTYPNGAIGLPQWEGFVSQEHKAHSVSSAASTSFDSQNTSQL RSLAGQNANVMAPGLQALVNSLPPSAAGTPAPQSPFQAQRSHTPADASQQPSSGFKAP QIHGDEERNMVLDNIRNSDREHAIPEGFRVPNLPSLNRYLATYFGLFHHHLPFLHPAS FEPTRVSPALLLAVLSIGALYAFDQEQAYMLHIGSKVLVNQFLQNKENFSSRKCPLWT MQSSLLNMIFASWSGDPKGLEWACSIKSLLANMVAGNRYELKLRQEAREGRAPTRAEW VEDEGCRRTYYAVYIFFGLLTLTYNHTPAMSFNEFEDLELPSTEGLWNMKVSEDAWQD HLKVSRSVTFMMAHDNLFQGETLKYSAFATRVMINALFLEVWYHKRSPEALQDVVTEY KLRLALETWEKSLDLCEPEAFSVPLSAPHKGHPLLFNAKAMFRNARARLEVDLKTVQE ALRYHDSYEVAAAMSNSRDRVKRSSEMLKVIQECYDCIETAVLQGVRWVARTSPTNWS IEHPLCGMDLMIILSLWLYRLEHDEEPATEEELVMYNRIRQLFDKDLDETYVTHLSSV VARLWGSMLDEVVVWGITRLMGESFRLHSQALIGYVDDVAASPSVSTPSMTSQGADED SVY MYCTH_2062055 MSPPLPLPPPRRRPPLADLLATNVTPLFFHLHLHLHHLLVLLLI IIVVGYAPRTARADCECGYLAAVHGGDSSNRRALFTDLLETDFARLAAGARDDDDHDD GDDDDAGPDASPIEGWARQAFNLTRERARGEYGELFAVENVGFVAAAAAKKGDAGLRL MVRAEIVDGMVPVAELDTQRLDLMWGTFRAMMKVSSVKGTCAAFFWYFNDTQEIDMEF LSKDFNASNGSYPVNLVLQSREAAAAGHDASGTGGGHFVRALLPFDPTGDFHEYRIDY LPGRVTFYADGEPLADMEGAAVPSSPGHLILQHWSNGNRYWSGGPPAEDASLLVSYVK AYFNSSTAQRRRDWEARCRDPTAPGAVCEIPDVAPGNWSAAADWFFADHANMTNNQTA SGQSEEASSSSPSTEPSWSIIIIVCWLWLWLAAEFASAV MYCTH_2305145 MQELLGVLVEDATSLPAKVVDVMMAQFLRAAAPGSGREKHNHVQ VDENQATLLAKEEPEAYQIVKHLCQMFPDKMARFVSQYFSDVIVDATGFAGRADHRDD DDEEGPSGPSEADLRELRKAHTLIREIWKAAPQILQNVVPQVDAELSADNAHLRQLAT ETLGDMISGIGAAGPPPPPTLDPAAYPPLRLDDEDKTEEAPVTNILTTPLSAISFSQT HNATFHSFLSRRNDKSPAIRAAWTSAAGYILSTSAGGIGLGREDETALIQGLGEKLSD SDEKVRLAAVKAIECFSFRDVILKLGPNGGVAKEGSVLSTLADRCRDKKPAVRVAAMS LLGKLWGVATGELLAGHEAVTAALGAVPSRIYNSFYANDLELNVLLDRVIFECLVPLS YPPQPKKAKNATTTSNGSSQSQTAAGAAISDPDAVRAERILLLVRSLDPNGKKAFFAM QARQPQFAHVLETYLKQCDQFNGGVMDGDASKKMANLNKTTRYISQFLPDGTKAEQDL YRFAKANDRRSYNLIKYIIGPEHDFKTVHKALKELLKRIQASKDPGVRDTLLPLLYRS GCLMFNRSHLASFMEYSRSDKGNMGSAAHEILNEISQRNPGLFKTHIGQLCKDLVDQA PTQTRENDLAVVETLKACSTYARKYPKDVPADKDFTRTMINYALYGRPARAAKYAVNI LLAKKDDKSLVSATDLLQRILKDWSYGSQSFLNKLAAVSQLELLAPKVTEEAEDTILN MAVQQILLEVRTKTSAKDPDWVDDGELDEECQAKCLALKTLANRLRSIEDIDEAKEKA RPVWKLFMKLIRAKGELSKTKETPRHHRSRLRLLAAQLVLKLCTQKHFDEQLSPEDFD ALALTTQDAVQEVRHGFVRKLQKYLADNRLRSRFYTIVFLMAFEPSADFKQRTETWIR SRARRFQDNKQPVLEAVMPRLLSLLAHHPDYSAELDELVDHARYLLFYVSLVATESNL GLIYKYAERVKQTQDALDERGNNHQVLSDLAQAVIRKWQDKKNWVFNAYPGKVGLPVG LYSPLKSHDEAQAIAEKQFVPDGIDDKLDELLRAMDRKKKRKSAVDDRGDDSHPSKKA RVQQQSGGEQAKEAKEARPVKSAKKSSGAATPKPKKIPGKAKRPAREERFIPESERRR SSRSTAANRSYVERDSDVDDEEMLDGVAEWEYVNGEGGEEEEDEGGGEEGESGSEDGS GAGEEEETEKVKEEVVVQGEAEEEGKEDDEEVDNKEEETGEVEKQQQPKKKSLAVRGK GGATATRRTRAASARGRGAREKSESGPSEPENEDVEMAEADNGEAEPGNGKDYDEAEE KAGEEEEEEEEEAAEEEQDDEEEEEDESAPPPTTRSTRRAKAAPAPKKSKTPPAPKGK AAATKGKAAEKPAPAPARTGGRVTRSRK MYCTH_2305146 MAPRRSAAAEAEEQEPEEGMVKLQFNEPLTWRPGKGIPLDTLLK RLDQLTRELAEMDQETTDTSSLTKVAKEVASHQLLNHKDKGVRAYTACCVVDILRLCA PDAPFTPSQLKVQTPPPIAAIAN MYCTH_2305147 MVTVPVEPVAVAYLVVVLLGPPMVVVRASVDVSVTVVHGLLSLL AVKPLDWGSAVTASLSVTVVRVTVTVTV MYCTH_2305148 MDQGAHPLRDIANPGVKAQGDLLRELRAEVAELLGRNSYNFPGA QPVSFSRRHIDELRREDYYVCEKSDGIRYLLYLTADENGNECHYLVDRKNDYWWLSQR NLHFPLAHDRAAFHTGTLIDGELVMDILPNGEKEPRFLVFDLLALDGKADLLSKPLDK RLGYFKEHVMKPYKALFAAFPEELQYQAFKVEMKEMQFSYGIEMMFREVLPSLKHQSD GLIFTCRTSPYQFGTDPHILKWKAPHENTVDFRLKLNFPLVEPNEAERAAGQAEPFVD YDSVPDARLLVFTGVERGKPGYEEFESPLYLTEEEWETLKSWGDPLQDRIVECCLDEE KRWRLYRFRDDKTEANHISTVNSVLTSIKDGVSEAELLQAAKSIKDSWKLRQQQQQQQ QQQQQHREH MYCTH_2305149 MWNDEDNNPYGGSFERRDSFASSANPSSPITHDYPRYDAPNTPS FTGDEPPQREATDTESEEEENARSRGELVPRTKPGGYDSRVEQMLYENPELPILITDA GKSTESGRFIVYTIKTGELIVRRRYSEFASLRDALTRLHPTLIIPPIPEKHTMADYAA NPTNAKQDQQIIDLRKRMLAVFLNRCRRMEQVRTDGVWWRFLDPNSSWNEVLHSHPVA SIPKSIMKAPPLDPANPTPGHEFLPVPANSAKLKSVPAPPPPESTAGAQVFARFPPDS SNLSEHELDPYFTAFETSIRELESLLMGSMEKVNRRTLSHLSSLASDLSELGARYNAF ALSEPTQSLSTAIERIGQAADSSYIATEELSSSLGASFAEPMRENAQFAGVVRSVLRY RVLKRVQQDMTTDELNKKRALLDQLERSEAEARRIEQYLSGSGQIQPPRRSASMREAH THRRDGSNEDTASIDSDFPPTHGDISNAPSANIGTPERAPPAPSHRKAPSATSITNRI FGPLRHAVQGVVDADPEKTRRDTITRTRESIAQLEQAQVASAQDVKDASASILKDLKR FQREKEEDLKRYMLAYAKSQIEWAKKNKETWEEAKAEVEKIDV MYCTH_2305156 MGYMYWRLKFKPNRANRSDAETTVGGYWKVTRRDPNRVSITIYR GQRPPNGPEASRSDIQSPKVRKEGNKPTQGEDNSTNKIPGQLESASAPDIHLVPPPPP PPPIIWTTPAPSSFPLQPQLGCPNVLLQPGLPHDPPPSGHIGVDTTGYSWVPNAPLPP QSYAGCSAPQHVPGQSQLTQHPSLPGAAAPRPVSAPPPPTTSSAPLRDATGIKPMTSP AAEPRSQWRRWFSLGDRSPIHGHARTLSDWSSTTGPSRSPSPPAPSVSPRKREHGDGN SRRARPRTSHPPARDDQACYRSQSLRKNTGMNHRRRESLSPSELSYASSYINTSVEAV FDNQRPFGKGHRNHSGAATSDSESRRHHPCKARGTRVWPSDSTPLSDLLNRRASSRSG PRRRPPSSDIQYPSPERRRPRVSFTLASGSDDVRGSSTSRRSEPSRIRSPSSRLHQSR GTSRGDRGGQRGVHIRHRREQSAGLTGRVTGAFDQMRRILRGGD MYCTH_2305158 MASTLSSSQVNGPDGPAKGVNGLAARAPKSKRFSDIPQTIDIPM QDDVEVEIDLQVLPDDPTELCSVFENEQSPRIYWMTVALAYAKQNKIDFAIEMLLRGA NVLQGNQREKLGIITCICWLYLWKSREAPRVAPDGVPASEAKTKEYYLQLATQSLNDA SRINPAFPPLFLARGVLILLKASLQPSSKAPGAVDSNKAEQLRNALKSFEEAIRVSQG RNMLAVMGKARALFSLGRYPESLAAYQDVVAKMPDMVDPDPRIGIGCCFWQLGFKDDA KIAWERCLEINPDSKHANILLGLYYLDASGHVPTNSPEFIRLYKKAMTEYTQKSFKLD KNLPLTCATFAGYFLSRKQFGNVDALAHKAIQYTDVNAIASDGWYLLARKEHYDGNLE RASDYYRRADDARGGAERGYLPAKFGAAQLSVLKNDLGEAKLRLEKMIQHSKNYEAMI LLGTLYAEEVFANQSAAVKEDKSAEAKKAISLLEGVRSAWKDPKRNLSPDAAVLLNLA RLYESESPDKALQCLQQVEQLEIDQIPQSEYPPDAEDEAAARAAIRKLLPPQLLNNIG CFYSQEGKHRLATEFFQAALDSCARISQTENDLDIDALLTTIPFNLGRSYEYEGDIDK AIETYEQLLSRHSDYTDARTRLAYIKLRRNPNKEGPDAVAKLYQENPSDLEVRGLYGW FLSKVNSKKRPANIAEDPEQRHYKHTLQSYDKHDRYALVGMGNLHLMAAREMRRETEQ DRQKRSAAYNRAVEFFDKALQLDPKNAYAAQGIAIALVEDRKDYKNALQIFIKVRETI QDAHVYVNMGHIYAELRQFSKAIESYEIALSKEGKANDAGIISCLGRTWLNKGRAERN LDAYKMALDQAKKAVAVAPDQLHFKFNVAFVQIQIALVLHSMRESERNSFQLEEAAEG LEEAIKILDEIAASPSPPYPRHDIEQRANMARNTQRKQLERALASQREYEAKNKEKLA AALEQRQAELRRREEERRKAEEAERERQEKIRREREEIAARDRALAEQRAEEERARLE AEMTTDSETGEKVKRKKRTAGGGGGGGSSRRERDSRSREPRSSTKGRRGRRKKSAGET DGEESEGGGGGGGGGEKGSRRPPKKRQRLSSKKDNSKYKSAEIVVDSDEDDEVDYRGG EDEEDPLERAERAIERSARRSRSRSRSRSPRSGSGDLEGDDGEESEGERRQRQRRRRL SGAGDDEEEEKDDADRMDVDEDEGRGDRNEAPVAGGGGGGDDEDDEDEEETVTRRPQA KRSRRGRILDESDEEEQEEAEEGGGGGAAAGDAPTPGAASGEEGAKADTSMVDADDDE MYCTH_2305160 MDHFNATIKGGKLIKTRRGLQVSRQRYNGLSFVNASPQENASDS GPPGATSVPASAQHEIRFVEDGSESQSEGGSQRDVEHPGSSAAGQLTKRRRRVTRRGK SPAASRAGASFRSSPAPFEERDSRVEDREAYGGKLRISLVTDSAAAGASGGTASDPES AFSDHDRALLERYLDLNPSIMYPYEDVLAHNPARESDFRAMVVGDRAALHCALMCGSI ARAISTKTEPRDLAHHISKICAILNQKLSQPHAVDAVTLHCITTLACVGVCTVSSPGS RERGRNEWLTPRLPVLCWPTGPLAVAYAWTSEDSRPQRRAGRAASVASCRNAQVRGGL SWIACRRC MYCTH_2060364 MAANRRVIHFTEAMKLVYGNIDHVSEDAARSWTAPDKPGAGGHR GRYLWTDAFGVVNLITLSRETSSPVYLTLARQLAKTVHDVLGRTRDGGARLPGATDAE PLGGGLRIGKLDEHGPDCDGQYHHYLTLWMFALNRLALATGDKDYNRLAVQLAKAIHP RFVIRRDGGGRDHDAVRMVWKVSTDMDTVLVPSEGHLDAATGFVVYRLLQQTAERLDG PSSSGILAREIDDYKRLMNRGGKLTASRDPLDLGMGLWMCHFYKEEDWATRLGKESLE MARIILDAKKGLMARDASRRLAFREFGTCLGLRCYGTDEEIESGVEAVVNFWHHYIES STEEDLRPISLVMYAAALIPGGDTSTVPIGKRSIPAIFARGGTSNGLVLWKKDLPPSA QCILDMAGNCGNMLSIVGPVAFDAGLSQHREPETDSETGERTAVVRILNTNTRKVVHS RFRISGDPPSYCPQGAYEMDGVPGKQSRINLGFISPGGAATGKTLPTGNPVDTLDLPD GSTIEASLVDVSNPGVFVRLSDLGIQDPASLTPTAVEADAPLKARLEHIRRAGASLMG LDPNTESVPKIVLVFPSDVTRQSGGVNIKCLALSMGQAHKAVPLTLGLCLGAAARLPG TIPHQLAVGANESDTIVVGHPGGKLDVSAKVEDGQVLTVDLSRTARVLMTGSVFY MYCTH_80427 MNSKMEFTDRAKKALEDAMALAEQYAHSQLLPVHLAVALLDPLP DPSRDQQNAAPGTTSTLFRQVIERAHGDPQQFDRALKKTLVRLPSQDPPPDQVSMAPS FNTVLRKAMELQKVQKDTYIAVDHLITALAEDHTIQTALKEANIPKPKLIQDAISAIR GTKRVDSRNADAEEENENLAKFTVDMTAMAREGKLDPVIGREEEIRRVIRILSRRTKN NPVLIGEPGVGKTTVVEGLAQRIVNADVPDNLAACKLLSLDVGALVAGSKYRGEFEER MKSVLKEIEESKDMIVLFVDEIHLLMGAGSSGEGGMDAANLLKPMLARGQLHCIGATT LAEYRKYIEKDAAFERRFQQVIVKEPSIPETISILRGLKEKYEVHHGVNIADGAIVAA ANLAARYLTSRRLPDSAVDLIDEAAAAVRVARESQPEIIDSLERRLRQLKIEIHALSR EKDEASKARLAQAKQDAQNVEEELRPLREKYERERQRGKDIQEARLKLENLRVKAEDA SRMGDHSRAADLQYYAIPEQEQIIKRLEKEKAAADAALNESGPDTGGAMVTDVVGPDQ INEIVARWTGIPVTRLKTSEKERLLHMEQALSKIVVGQKEAVQSVSNAIRLQRSGLAN PNQPPSFLFCGPSGTGKTLLTKALAEFLFDDPKAMIRFDMSEYQERHSLSRMIGAPPG YVGHDAGGQLTEALRRKPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGRIVDAK NCIVVMTSNLGAEYLSRPNGKDGKVDPTTKELVMNALRNYFLPEFLNRISSIVIFNRL TRREIRKIVDLRIAEIQKRLQDNDRNVTIRVSDAAKDKLGAAGYSPVYGARPLQRLLE KEVLNRMAILILRGSIRDGEVANVDLVDGKVTVIPNHPDSEGEDEDMMVDEDEALDEV APDSMDEDIYD MYCTH_2305170 MKSLRRLGLLSSRSIFSNVAPTTTIGGVRYAGTEAAAAAAAPAP APAAPTAPTPTASAPVGVPTPATTREQRFSRPGYRPRAVPPPGHAERIWVYNHILDNL TVYSLTQEMNPTKAFRQFAFTGKKLVPSKLRKDYWRPLAVIEFGEGKGDVGRSVFQKL RELKKRHLLEWEDPALLQMSRRERGRALNDQRGTFVADLAAVLAGRGKGNLMVKPATA AEAAEGADKKKEEKEEGEEGGNAPGVAKAPASRLHQATVYWANEQDKYYAREWSDNVT HVIGLPEKGVKKKAAQQAAAEEGEEKEKETVEAPKAEE MYCTH_2305172 MSNKPIFVATHPRACSTAFERVFMTRRDILNCVHEPFGDAYYFG PERLGERYEHDEEARRKSGFANTTYKDVTDNLMSQSNKDGKRLFIKDIAHYLLPPDRK PARIAPSLASDEGAEVKVNGGTAATSSGAGAVANPTVVPPSLLREFHFAFLIRHPRRS VPSYYRCTVPPLSSRTGFHHFMPSEAGYDELRRLFDYLLQEGIITTTTTTTTTTDPSA SSSSSSSRANDQQQTNGNVNGGGAAVKVTVVDADDLLDKPAEVIRAFCEDVGIDYHDG MLRWGDEEGQRLAEEAFEKWNGFHDDAIGSTELRPRLHPRKQPTEEEEDEEWREKFGE EGQRVIRECVNANVADYEYLKSFAIKV MYCTH_2305173 MRERITYVQKLGDSLEPSAVTVDSSGISGPEVHAVREDRLTIAL DELPPELRSLVTDAQDLHIRWVSAASYELVSPLLARLPPGFHLFYSPGRNNSVSNKLC STLADIFGEISCSTPAKSFTDLRNGRFQYFQELGGLTHLIEYAKGRLCHPADDNGCSA RIEALSTAGSLDVSYETSQNVLRITALWPYQRRRVRAASHPRLRTEVGILSTDKPKTL EAHEVGISGLLTVLGQDSKPSPTMFSFASRHRDAESAFSARFLAPTGLHPTLQLRLTS RSPRPLSEGEGGDARSCHPYAYLTLPRTIFADKYQLSDPLFLASKNLAALRHTTQPVD LEAPEYAMSQWGSAILVQLAPPEPEPEPAPSSSSASRSRGRKSGGDGQDGAEDEWTAE IPLHLRYLAPTRGGYATISVPYPAVFWACEPEQEGAVAFPPNPFEKAHLGYDGLFEEG TVFWHVQPRPPPGSVGDGLLVNEVRVPVLDVEKAGWVNAGTAAAVAVGFAWIVWKLIG VHLRHGYGGGVEREEEKVKKRQ MYCTH_2062291 MEDQPSFMTLRTRRPENDEHSMSSADSAYGSATEGSLPATHDIS RVSYHVRHIESFAQALQHSASRAFPNRGRSQRYAKVIALLLHWKSDDLFVLPELEDLE KCFREHYNFETDIFPIPSENSHLELMLKIGDMVKQHEAEDTLFIVYYGGHARIDEARQ STWCANRRPESPWLQWSAIQTLLERSVSDVMILLDCCAGAASATFPTGKTSITETISA SSWDAIAPDPGRYSFTNSLIEVLEEWRQRTFSAAMLHAEILARLKHPRPILINGKHFE ARSTPVHFMMTSNHRAPSIELCRLVPRKRLPPSPPNELTYWNQPVHPRMIEGRNPVEQ YPLAPPPPDFGIPDTSEPNEDEPHVLISLALEDDQRLDLNDWETWLASFPAIAKYVKV QGVFKSHSTLLLLSLPVMVWDFLPDDPACSFVAFIRSNNLIGSQKAAREPESTGVPVS ENVPASNLVRDDAESCLSGTTYGPNEGLDNAQQPAAGPSIHRAHSTAFSHYREPRTDP RLASHIRASVGSQLEHPVPDASSPPGPRLEPSLGSLAGSLRNAPSTKEYFQKDPEPNI AVVEHFASNLGVETSDIHGVLLVDLRSSTDFERSHIHDAINLRAPVSFVENTSLEMIE DTFMDDQSRRSFSKWSQFKCVVFYDRVIEFDWECPVAEALYNKFRRKGWQGQCFILKG HYREFSASFDKYISGAKMTSEAKEYLDSLRQQPSPTEEEARKRDEDYREWLDMFTSQH RTPTADLIPARKSERRRTVEQRQKELEVEFEARFPALYKKSQAMRSAAAIDHPPPSPP PRSPPPPFPSETGRDQSSREVDWAGPAKHHDDHDDDDFDLRKAPLVGPLASALDKMRE ASNLACSNSGTPSQSFQDDYPVKPRADYFGDEYDHDYDEIDPKSEGLGNDPGFQNAGI PVAAESCAGAAARDDTPREDTLKKASKKRPQLWERLRGAGAK MYCTH_93700 MPHFNNNPFQYVNGHHEPGQSSQSGASEPRARKSGFAVSDLLNP MGPGSVYGGDHTPPDRVTPPRAWPHRDGLMSSPDNYTYSRPEGSGRARLPDIEGLRRL PPPGTPRSPLSPPRASFSPRASFSFPPLGCTAAACPPAEPTGVTLRGNDSEESSSPPP REGQERRAHSHTSSRPRHNFPSEVLRVFLSKAEERILVNDERCRVTREEMKEMKAQTG VDLKKIETWYTNHRRRGYPQQMADVLHREVEKRRKAYVAAMERRDQIKQAANSGSGGS LEELGEAYKDLVAQRALLDKLIAWADAADQRLAAHKNRTRGPAG MYCTH_2060641 MFTYLLALVPVLLFFSNPISQLFSSPPPQIHRLPRPQLNEDLLA LESPGNQTACPPNPYTVHVFSRAPLVLYIENFLSLDERAHLLEISEPLYAPSTITHDA GGTTHRDPAVRDSEVAVVPRTGAVRCVEERARALQGWRDQVWLERLRVQRYRAGGHYA HHFDWSTGRGGWGRCPESGAEFGASEGEKEKGVVFKPLPGNAVFWENFMADGTGRGYE ETWHAGLPVKKGIKVGLNIWSWGRIE MYCTH_2305186 MSAFGGETSRPERPQAHPSERAMPWKPLSPNTSSSGGDDVRSGE LLGLGRFESLPPFEMIEDLHAVFFGSQPHFIPLIHPGNYLRAFHSPPHMRPPMCLQYA IWSAASNGHPKYGLFHDALYRRARQYLEADELKGQGEHFITIGHAQAWAIIASDEARS LLFTRACMSSGKAVRLAGMMGLHRLDSPHTQDELPMSPMIPPPRSWVELEERRRLFWG TYCMDCYGSISAGWPTLVDVEQVTTHLPVSEEAFVNGTEEPSFPLEDAFRGSSYSKFG ANVVICRIFTRLVNHAHRPLPHDRPEDVECGAFWKRHRELDNLLSSTFMFLPGRYRLP QNIHDLNAVQFNVNLHAAVICLHLAAREKAERFKLGNIVQTSQTRCLTAAQEIVDILK TSKNSRVGYKGPLMALSLYFAALTYIAQAKDKMNDLNRANLEFIIRWMKSTETSHVIT HAYLQQLLRDLKGNGISVSVGDIPELDPPGGGHSHGVPLIAKSRHAKLQSPLPGRLPL GAPQGTLPTPTSGAISACATLMSRHSTFEENEGHANKRMRTSAGTGAGGPHKGPAATW TQQMTDTTMDPAPDLFEYTGDSWSYTTKYTINPLTVLPTHRTGSPAINRFNMADTINF NFSAPAPAAGPPPAFFQLDPPGLLPNAGFGMHDIRPDAAISTAAAAAAAAAVDTNQSR QADNPPNDDGAAADASANLHLAPDLPDLGDIFSMDQQWTLSESFYAMLDMANPTTSQS GGDNLNAWAALNNDSSRQGPTGV MYCTH_2305189 MADSLLARKYFDGIYIPFGLLVVGTVIVKREWTVYAVLLGLALG SWKYYKNLPKAVLKPDVFQEFELKEKTVISHNVAIYRFALPSPNSILGLPIGQHISIG ATLDQPDGTKKEIVRSYTPISGDDQPGHFDLLIKSYPQGNISKHMAGLAVGQTIRIKG PKGAFVYTPNMVRHFGMIAGGTGITPMLQVIKAIIRGRAAGDRTEVDLIFANVTEQDI LLREDLDQLAAQDKGFRVHYVLDKPPAGWQGGVGYVTADMIQKWLPKPADDVKILLCG PPPMVSGLKKATESLGFKKARPVSKLEDQVFAF MYCTH_2305190 MKLHVPLSDKMQGMQSVAYGFLRPSTRNHVLVLVARRSPFVRFR ATWSTTGPSIRAAGARLIGNGTSKKPQAAPRNPSDSRVPGKHFQMGEPGIEEAPFPLT DTDRFVLSQTDEEFKYHTWDELRQLIQTNQLSLLKRKPSDLRRYMKWTAETKAEYGSI TNFLIAHRLPKAWGPPPFKPASETPFADPSDYRVLINDWPYGFAPGISHIVVWSRTPI ATDDTVGDMTPQSRKTVADFVRRYFIDRLGPGGEDKVMWFKNWVALQSVRTVDHVHVL VRDVEPAVLQEWTRELECHRAS MYCTH_2127135 MAQKARKDRAKSNSAALNNLHLGSLIVNGLFLLLHFVFRRRSLL LWFILSLPSFICQLTLEKTGRPSYDPDTKALRSSGEDLAAPGLTEYMFDVIWVTWAAA ILVALFGNWAWFLWAVVPAFGAYKAFGMMGAARQMAQVGAGANAAAPAGNRKQRRAA MYCTH_2305193 MAPTSAAIAGLLKQTVYYHLDSLSYRNALFFAERLHAHDQRSPE STFLLGLSYLHLGDPRSAYEVSKLPGCRGIHLGCSFVFAQACLDLERYKDGIAALEKA RPLWAAKGNTIGRHTTSTRAPYPDAAAVSCLLGKLYRGYEDKKRAVSCFEDALRANPF MWDAFTILCDMGVNVVVPNIFKLNDSFARSFDQDPGAISIDSSGGPPDPLQRKIGVQG VSHDGHPFEGHRSTAFQDVSSNNMLFVDPAENDVASRLTAGHITTRYAANKHGLEGME TPTSSVSGAEAPPPRAGFPPEPPLAPSRRTRAAQATEPTFLDAPPKMSYRLGSRRRDR TQDQMGEPPEPPPPTRGPVLTQPSVTERKRTASGHPVQPRPINGEEPRRSARLNVAPR TTSRTNANVPTAGTTVTRELKKARPPISRIGRPGSSGTGVGRVVSGNRKPTEENSMDV DEAPRVKDLPTAQVPPPKPAEPELLRADEGLKWILDFLKKMASGYRLSSQFQCQEALA AFSSLPRIHQDTPWVLARMARAHYELANYADAEKFFRRLRALAPTRHEDMEFYSTVLW QLRKGTELSFLAHELTDMDWDSPQAWCVMGNAFSLDCDHEQALQCFKRAIHLQPKSAY AHTLQGHEHVENEEYDKALVSYRRAIAADKRHYNAYYGIGKVYEKLGNYDKALSHYHA ALVIHPAHAVLICCLGTVLQRQKQIVQALPYFTKAVELAPRAPEMRSKRAGALLATGQ LEEAKRELMILRDVAPNNAQVHFLLAKLAKTVGDKRTAVRHFTIALSLDPKASGEIKN EIGGLEDEEHLDDTMIH MYCTH_111405 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKSFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G MYCTH_2305195 MSYYFAIIGTQDNPLFEYEFGTSKQGGDGQARFSEQARHLNQFV LHSSLDIVEEVQWTTGQLYLKVIDKFFNNYISCFITGGNVKFLLLHQPTATASGASST RNSTSVGANPTSPQTEEAIKNFFNEVYENYVKTIMSPFYKANMEIRSPVFRQRVAAAG RKYL MYCTH_2127139 MFGPFRITSSLSGGLLWKIPWRLSPTQKARQRKRLRAVDQVVET LSNALAKKGETLKSLERWKAEMPTEAQMLPRDKYTMFDRKAKRYRKGIHKLPKWTRVS QRINPPGF MYCTH_2062826 MRGPRPTVERLDQPSAYFASRNKRRRLEREQRDGDGDTNTQNDQ SEEDPLKNATTLYVGNLSFYTTEEQVYELFSKCGEIKRLVMGLDRFNKTPCGFCFVEY YTHQDALDCMKYIGGTKLDERIIRTDLDPGFTEGRQYGRGKSGGQVRDEYREDYDEGR GGLGRALQAQRQRAMSREYHEDDYGRLR MYCTH_2305200 MAGEQSPGPRRTIARRGTEIFVAVGKTLRWGDLAYLKESWETKH ARSILGTRIKKEGSDDPSDYDSAIAGHATDGYRTIKTPVADDIRQLVMSPNQDLLAVL TSHTVHICILPDSSHLYARDAAPFKPKFWTLGPTTHVTSRSAVVSALWHPLGVNGTAL VTVTEDAVVRVWELSTADRWTFDSATLAIDLKRLADGTSLDQDFSASVSATNKGFSPD AFDMEVAAACFPARGSGGWSPMTLWIAMTGGDVYALCPLLPQRWAPPPTLIPSLSVSI VAKVAATEDDPDASPEARLLAQQQLDWMSDLDNQEPKIVEGARGEVEVEVYARPTRPG VIPRLQGPFEFDLNPEDEQDDEVELKDIYVIGEKARLTDLMSGEEEELEVENDEGTGL SLTVVCLLSTSGQVKICLDVEGVEAQWLPPKSKQRSRPFGAFTSTPTLLTFQTFDTLK PVEVTPDGWPMFSEDATSRYAFYITHPAGITYVSLAPWVFRLENELEGDSEAGAEFRI DLLVKGQGSERERIYTQARGQTSLAAATAIRDPDLGHFVLSATHSDPVALFFDTPEQD IVPRESPSAVLDNVDFPQPEVAWEPRPLFRTPEALEHKSALPAWIDHLKTGRRRPLMH QELRLSMATLEVFTEGHKVLASEVDSINDAVAQLFRKCQTLQEELRKQIAKANEAKQK VDVITGEDSADDESSAVSQDELARDRLRQVRERQEELASRMDKLKRQLGRLTSRELSD KEKAWGEEVRALESSVFGPEADQAAAGAATPKTKQPWKRFEEVKSLRDALFAQAEELH RSREGTGNDGPASPAPGLRIPAEVRRQKMAQVMGLLDRESALVEAVKARIERLSVG MYCTH_2305202 MAPGASTTPSIDLRAQIIENCEFFSKPYARLSLVIAKEPLGGLR AIVVKTEDGTREALLSEAASSLNEALQALHVKSAEAVQNHISSNGFALVNTAKERSFA LDDDNDDDDDYDDRAHGSDSSTVTLDEGESLSDDETVSVCSVGRAKRRGRKADKSAKA SSARRKTKRQGRARSRSPWRSAGSARSRSRSSCSGSSGYELHYDPPAIPSRRPPILHG FSQRMPPRPPPRPPQGTFPFIPRGHPAPPPPPPPGPRPFFTRAAYGGMTSMPPPPVRA SPFSGGNKAPLHTTNHNSNDNNPASTMMPTTTPASPLSHHPATHQYQNRNSYQNQCHQ PEPQPQPQPQQQPQPLHDLILHIRWRHHGERRIVQQTSQITVRGLQQSALSFVKQQGA SFANGPSPRSSPLPPPPPPQDGSINIGSDANTIDNHGHDHGHGHGGTNPAARQQTPDC SGLRAAVRAVVVDGVSYDLTGWAGDDLGRLLDGLGSPSSSSSSSSSSPPFSSSSTTTS STTITTLSSASTAVASGEGKQQGGPGGRGATTRTRTMPRFEVDVWSDDDDGLPGNNNM PATATTTTPPGLYGGADPSRARPTTTSGFGYGAPSTGGNGFSSCGGAGSYAPQAVTAG AVAAAAAAAAAPPPSALANGSRTGFALSSPGLVASI MYCTH_2305205 MSWDLLKRFLESDVFNQNPFLSVSYLSRYADHIGIHYVLCNKLR QFPYEDIEFFLPQLCHLIISVDNESMALEEFLLDLCDESVTAALLTFWLFQTYLHDLA ANPQTEAFKTCRRVYNKVQHIVFGLSEHARNERITENVLPVTVLGSFVLASVACPFLP QWAGPLAIAQARKPRPLEETISEPVIVANKNAPTRAHTVTAGSTRSRRAKEGRSVSAQ EVKTQTSPRRASGKAKPATKASRPPSRSKPTESASQEQEDEEQLSSRLEDLSLEARLS SASLPLPDSRPRLVTRPTTPLSAGLRPSEPTSSPKRHSHHVRTLLSQSELTREQKTRL LRQNYFRCQTAFLSALEDISNRLVVVPKPARLSALRAELALIARDLPAEVDIPVICPP DLVDGSPSKSRHHRIVRLNPAEATVLNSAEKVPYLLMVEILRDDLTFDPDTPDNQRLL TTLLSEHGTRKRIFDLSDSPRVAPASRAPPEPVIDSVFEPASGDLGNSPLLKPSDDDD ELLGSAPTQSSTSLRLGGTGGAAALDKAAAPRSSGGSSESLSPSFGRRRLTLNKPRNN SVDQPDFSALAVHMRTASQMLAQLDATSGKRPKQEVAAIRARIIASMQSLEEQSFDLD DGHGPTFDTIMARAQAEEAAAAAAATTAGGRGETNGTEGGDDATTTVEPSLNANAGID RMENDIKTGGLQRKGDRDDPSAAVFGEAWEAKKERIRKSSPYGWMKNWDLVSVIVKTG SDLRQEAFACQLIRVCHKIWKDAGVPVWVKLMRILVTGESSGLIETIANGVSLHSIKR SLTLASIESGQNPRRRIATLKDHFIKAFGLPEGEAYRAGVDAFKRSLAAYSVISYVLQ LKDRHNGNVLIDNEGHIIHIDFGFMLSNSPGSVGFEAAPFKLTYEYVEVLGGVGSPDF EDYKKLCKQGFQALRRSADNIIDLVAMMGRDSKMPCFGAGVAQVTAALRQRFQLQLSA DEAEQFVETDLIAKSLGSYYTRLYDTFQYRTQGIY MYCTH_2093040 MQEPEIFEQPVVSKDPEAHPVGDDHGTYGGTVYSHGVGTTTAAD DDASDYRDFVYPEDRKLGTWSTAFLIINRVVGAGIFSTPSRIIFCLDSVGAALLFWVL GGVMTFWLFVYLEYGTALPRSGGEKVYLERVYRRPKYLATCVFAVVQFVLFAISTGNC ISFSSYLLRAVTQKPPEDGSWLNRGIAVATITVVCLIHAFAPRWGIWLSNGFGAFKLI MLSLLVCTGFAALAGRTVAPRPDNFSSFRGAGSSRRPDEGSDAGGAAGGYSIALLQVL YSYSGWENANYVLTEVRSAPRTLKRAAPISVSVVTALYLLANISYFAAMSKEEIGNAG VTVAAQFFENVWGKSAFVVRVMPLFIGLSALGNAFAQSFAMPRVKQELSKEGILPWSR FWASDWPFNAPTGAIFLHWIFSTIFILGSNTPDVYVFVTNIFIYSGNYIKLFLALGLV YLSFAPSERWAEQRTNFRSSPLLTIFWIVALLFVQAAPFIENDFLQNVPYYVFPTLGT SLLVIGTAYWLVWAKVLPAFGYRIQHEIVQMPDGSERVKYKRVKPKKRKKRKQGQWTR QRRRSVW MYCTH_2305210 MPTRGRSPAPSLPPVSDEATVPLSELFKSGFDPASFDSTPQLAD GSILVAPDGTRYRIERISDPAPAGSRVPSSTSSTPVPPPRPPPAAAQPAGFYTLSVTG SGSPASIPPPQFHVHDPPETAPSWGRSPSNASSYSRSSGAYSTQSAYAGTAISGSSPT HEVAGMMAQLSIDNYFSAAPLYTESDGGVQAAETLTDPDVVRRLPTSPASLRSLSTSP RMSGTRHEQPSPHEESSWVAHNYPSPGAAPQYWTKVNYASYTTQTNAHLQSQRQRATA TTGLPSLLDHATPVTPPQQASDPISAHSTPLPASPDKEVRNGQGIWNESSCRPLYVPV SASSPSFVPPQLTCVNPSSAEYDTVTPVVVYHQAHTTISDGASTGRDNVLPGEILLFD GPVKSAQTLTSPAFRDGVLKVFRNTLTNDLRFYCKVDRESETYWMKANNAQLVPAYAY DQRLPYVVYIRDKESDKGSGYMQASQGNCRPSGIYQFSSLKDLFDFQETLTGEKVVLD IGSVRMVTLSKANSRSSTQYSSARLQIWHEVEGRRTAQSDVASFVTAGTTLSGPLRER LVASSSRLMLYLGRTGEYVTCFSTFRFTERTMNQPLTRR MYCTH_2305213 MSADNDTYAPKSPDLSLFYSGPTPPAEPAEPQQLLSQHSQPNFS HLQTSSRAYFPDSRSQQFQPEPRLGQQYQSQPLAQTFAQTPHPSDPAASQAPPGVGVG AGHASIAQHQYYPPRAASYPIAGYSQPGSHYTATQVPPPQQQAPFYQPPYRGSLQYQP PQQPHQAPHEVAAPQAQARQLNDYRESASAQDHPSSGKADAMPPRRAAAAAAAAAVTA QAASDAAGNGSSSPSAAPAPAATSSPFESITVKTKFPTARIKRIMQADEEVGKVAQQT PIAVGKALELFMVALVTKSAEVARQRNSKRVSAQMLKQVVEGDDQWDFLRDIVGKVEG EEKGGKGGSQGQGGRVKAENSETDDEMGGGDAAAGTKKKRGGGRKKKV MYCTH_2127147 MTGTDPKISTSNLDRIRQLCSEIRCFETHAVPISDAGFHGPGQK RLLASLDSYQPGTPRTPRTCRDQVAASQACTHPLPRFSYEPVALGDHAKRLCTDDRER RLRRFYRR MYCTH_67060 MSDGPLYLGLDLSTQQLKAIVVQSDLTVVSDAKVDFDQDFGAKY KIKKGVLLNEDEGEVFAPVAMWLESLDLVLQRLQEKKTPLNRIRGISGSCQQHGSVYW SRQADALLGGLKPDKPLVDQLQDAFSHPYAPNWQDHSTQQECDQFDARLGSAQRLAEV TGSAAHHRFTGTQILRLRKKLPDMYANTSRISLVSSFLASLFLGAVAPMDISDACGMN LWDIAANNWSEPLLELTAGADGVAELRSKLGEVRLDGGGSMGRISGYFTSKYGFSPNC EVAPFTGDNPATILALPLRPLDAIVSLGTSTTFLMSTPVYKPDPSYHFFNHPTTPGQY MFMLCYKNGGLAREKVRDALPKPAPGEEKEEEEEGKDTWATFNKHALATPPLDVKSPS DRAKLGLYFYLPEIVPNIRAGTWRYTCDARDGGDLREEAAPWPAETDPRVIVESQALS MRLRSQKLVHSPDARLPAQPRRIYLVGGGSLNPAIARIIGDVLGGADGVYKLDVGGNA CALGGAYKAVWAFERAEGETFDDLIGKRWKEEGAIKKVADGYQEGVFEKYGKVLGAFE QMEERILKVARNQ MYCTH_2305218 MRAPADCLKTFAPALVLLGLHALGVHGSRAGSETAATAAPAATD EVCESRTINYITHSLPQQCLRTSWTSPSPAATDESTSHATITATATAVGESPATDPDH GTAQGQAQDTQEELAASSFMSFEEWKEMMLRKSGQDPANIRSHRQREHRERDPSMQSG DVYSFGEEGEISLEFDALAEKVSEIASSTDKATPKAKEVVKEEQVLYDDGKTQYYRSK DAGKTCKERFSYSSFDAGATVLKTSPGAKNAKAILVENKDSYMLLECRAKNKFVIVEL SDDILVDTVVLANFEFFSSMIRKFRVSVSDRYPVKMDKWVELGTFEARNSRDMQAFLI EHPQIYTKYIRIEFLSHWGNEFYCPISLLRVHGTRMLDTWKEPSHDDEPEQIEPPPGS TAETQQVQKPAGSDNTSSVADEEKAAPRTPSTETGLTPWSPLFQGNFSLQVCELPSPT AAEPTPIDSGLNGLPKEPAAASDSATPRPSAARTVDERIQASNSSPAEPVGSAEASAS HRQSAGSASSGVYSTPSQASNNGTVSSTGQRQSDSRTNATDNTSSATPTTPRNKTSSA SSASASPTVQESFFKAITKRLQLLESNTSLSLQYIEEQSRFLQEVLLKMERKQITRVD SFLDTLNKTVLSELHNVRTQYDQIWQSTVLALETQREQSQREIVALTSRLNVLADEVV FQKRMAILQSVLLLSCLVLVIFSSRGGLAALDSAPFPPPWASSPTGYRRYGHAHSDSF SGMSMPGSPPLQGQQTGGAAAAAAAAAATPTTSAFQRQTYPTTTSYKDKSLPLTPPSE YSRESTPATHPNRSARPSYYYSGNQEEGAEGEGEEGEEGDEAGGEGGTASRQFRRHVT TPTTTPAAAAAAAAAAGPSAQAAASTAQAQQTPSSGGEAEVASIRSDPGLLQRDDAAS SISKGKEQQGDDDDDDDEGEEEEEEEEKGGCRVSPALLRARSASSHSASSQPNGGLRK PLPALPEDPS MYCTH_2118584 MAHMRDASLNPSSPHSSSAGADSYKHENTPDTRLTVFSPDDNLA RPNKPLTAASLDGSSSHVVQYHANTPKGFSSTTAAAEKDPFISNTAAKAQHKLSPTAL VFRPVSAPLVAHGSLNMRSGTGPAMGANRQLLAPQPTAKFSSEMGISRYLVIYSPSHP VSVTDVEGYLAQLERFGLPFQGKRHAVAAEGRVFLYLPNVRDARNTLENVQLGSPNWC AKYIVAAEFYKVCNSSAQVSPICDGKVQITAYDQGVNFGAVYVETVVHTFLDTQGEVF ALLSQSAANNHTFRGVVEFSDADVAISVVDKFNRTTLGAAQMFRPLENNSRPFGASRG EMILSEGAQQPTGLFTSGRLSHSTAGIHKSQQFAMYPVVCNSFQSPGPNRFMLDQTPT RGQGVSHLAPMTPISGGMPMMAPLFNTTPPDTPMAIRSEFTSPRSIQPYARLDGRRHG AMRANRSHNFNNAGHHNHVDVNRIRDGVDVRTTIMLRNIPNKVDQVMLKRIIDESSWG KYDFMYLRIDFANDCNSSMRGVISAGTASRVTRSPRSPMLRLLITGPRPELAGQEEPF PEPDNLSKMRRSCENAEHIGLFTPNAGQHFREEQRRRRSQYDRGTRLAALEEYDYAVR AQQHGLFNQK MYCTH_2305224 MVTTRLRSTAVKVVQSHLSPMLNSFLRSFRPVWQPLEFPTENIT LVAPHQKIEEETIPDYLAARYYPVRIGDVIHNRYQVVGKLGYGTTSTVWLARDLSGRR HVALKLFILTSSLGEHLDDEINIYHRIADAATRGHPGRIAVRPLLDSFDVKGPDGQHR CLVHPPLWDSVLALLHRNPAQRLPTLVLAAVLKYLFRALDFLHTECHIVHTDIKADNI MFGIGATDPVFTTFEQHELNSPSPRKEVDGRFIYLTRELAVPQNLANPVLCDFGSAVL LDDGREHREDVQPDAYRAPEVILEAPWTYSIDIWNVGCMIWHVFEGGHLFSGRDPEHA AYRSRAHLAEMVALLGPPPPGLLARGNRSSQFFSSTGEFCAGIPLPAARSLEDRETTL RGEQEDRASFLRLMRKMLQWEPEKRSSAKELMEDEWLRKHTGGK MYCTH_2305231 MPRKAQTWDDYDSAVAQIILAPSDSEFLDQLIPVLKDATTSSRI GSLVQSLSQYADERESDIERIGLTKHEEFLNSVNQLQKVREGTVALTSEILDLNQSIQ ASTEKLAEQKQALVNTRGVRQNITDVSNALEESLKILHAVNSAHELIRKKKYYAALKS LEDLQNEYLVPIIQNRFATQYKLADMIQKSIPASQKVISEAVMSDLNTWLFFVRESSQ FLGEVAFFHTQERRARQKGRIEGNELLSRFKLNSAIELVFDENDEFDVLNNEEITVDF TPLHEAVHIHEALGQIDRFRAEYAATRRQQKELIMPASENLFSENDDDDDALKTLLES VAGFAIIEKATLQRAPLARSTLDVDELWDSMCQAIIRITSKSLEGVSNADLLLKVKED IALFIQTMEGWGYSASVVNNFQLVLFHRYADLLQRRFGSVFQEIVSTDDYMPMNIRTR EEYDNFYVATLFGDEMPPEEVTFPTVLPFSKMYPYCCIAIGEFEQQFRDFTRENFDHT NIVDETLREALDELLTDIVCQSLVEQLNSQYLGQIVQILTNLEYFEVACQKLEKLLIH GRSSTSAGGPVTLKATELFRNHRKTAEKRIFELVNSKIDDLVDTSDYDWTATTKPTQP SSYMMTLTRFLENNMSSTLLGLPREIKELIYFDALSHAANKILALPLSPDVKKINPNG VAAMALDVQYLTDFVSKLDNAFMLEQNLDELQQTVALMQSENHEEFYDISIRNKKYGR VDAANAPILLEK MYCTH_67051 MDPNSSSSQHGQHGQHGQHSQQTRQMPVYDLSLGGHYGASAAIS AQGFAPSELYTGTWANVHQGLTGHYKDVLTAYWQHTINHLESDTHDYKMHQLPLARIK KVMKADPEVKMISAEAPILFAKGCDIFITELTMRAWIHAEENKRRTLQRSDIASALAK SDMFDFLIDIVPREEASSHAKRTSNQAAAAAQNAAPQPQIPGVGAPNHTGQHPMAAPD YTLGGHNMGPQADYRQPQTMYPGEVQTGAPTYGQPQAQMYNVDDMYTYGTMPPQQGA MYCTH_101411 MGPDLGLPDEEIDRLLSEAEARLSGNASVDAAAAAPAAPAAKTA ASLAVPRPPITGEQTTVPEKKSEKLSVRVPQLAEKKKGPKDTLGADWFNMPRTNLTPE LKRDLQVLRMRDVAAMGKQFFKKDTRRDLVPEYSQVGTIIAGATDGMNNRLTRKERKR TIVEEILAHDNVLLHSTSTIVEGHAEMNAPRLIRPLRPLAQGKLPLATARPAAVAIPR ASTQLRSYASVASAAEPADAPNNSDKPRISRMPRALEALYLKPLRREAEYGVPTCNLQ LRSYSVRNLEFFCDFALRAAYYAGLPAYGPVPLPRMVERWTVPKSTFIFKKSQENFER ITLRRLIQIKDGHPETVQLWLAFLQKHAYYGIGMKANIWEFSKLDVGKEMDKNLEEVE KEMEGKWEYLSYVDRRPEFKKGKDEGPREQLPAPEEIEELLAKERRRISGESSQSPSS NTVAEDEAGSVVAKASQKPSSTPSEKGGKIIFSGIQPTGVPHLGNYLGAMREWKRLQD TAEPDTKLFFSIVDLHALTIPRPREELFENRLKMMASLLATGLNPNRAAIFFQSSVGE HAELQWILSCTASMGYLSRMTQWKAADILLYRATHVPVGEDQRQHLEFARECVSNFNH TYKTNCLVQPETLISPARRIMSLSNPLQKMSKSDPSPKSRILITDTPEEIAKKIRHAV TDSTDKVTYDPANRPGVANLIEILSSFDPQGRAPARLGEQMEGYKIAELKQVVAQVVS DELAEIRERYRQYMSDQEKLKEVAFQGTAAARATARTTMGHVKRAIGLGV MYCTH_2305251 MSDGPMEQLPLPTSLAEVEALIRALYQPNSPETISKIQEVLHRL QRSPEGWQLAQSLIAHREDNIRFYAALTLIIKLNRDSSGLSEDDAKTLLENIISWTIQ SLVDGAGSFVVKKLCTALVTFFMHFSHLWPNCIRHFIHCLDLGRGAPVHSLDDALPTD ILVSKLDRHKLRLAIWLATSLVEEVGKTDMSAPKFIQVHGRLVKNGPDVVCLLARGFS PPEDNPNLKTQGEALGCFQAWILYAQRASPNPDLVAPLRQLVGPAINCFADPDLFQAT AELFSDALGNYSTFFTDEHYATLAALFESPWAAEQYQRLIHGNHQEDGISFGLVLLAY GDAKVQDLMRSTDSRSQRFLESLSGLLATDGYLVGEDSIFVPALEFWSTFIETMIDST YSDEDEAQAWKPYAEQHLKTVVMNCWRKIQWPPAETFAEWDSTERIAFGDARKDVTDM LQSVFTLEGMSLVSFFVNLFLQALAARSWAEIEASAFCLGALSDCISDDANFDQELSK VFASPFFDLLGQAQGPIPLRLRQTGLALIERYCEYFERNAQYLPDALNLLFAALGDSV LGGPSAKSISTLCSSCRSILTGEAGAFINHYQTIRSSQVLDSLAEERIVLAIASIIQA VKDENQRLGMFEELSGVLKKDFELAVQLRANPGLLNLQHPDFLRGLDPPNPQSAPPAE EIALQIALRSMRCLASIAKGMQDVKEHPVDLDSEPRPLDPNSGLARLQENMMRVMVEV QRVFSTSGEVVEIICNIFRAGFSETEPGPFVFPPDAVTDYFTQQRYETPRVGTLLSTA CSFVGSLYRGPKAYVPAQLARLLPWVISILQALPEPEADTEISVNGINFVDKVISKYP DVLFHPHHAPLLEFFFLFSIKVLDGNEPLPKAAASEFWVSSQRTRALLFLPS MYCTH_2305258 METVNTTARLARLRSLMKQKGVDVYVVPSEDSHASEYIAACDAR RAFISGFTGSAGTAVVTLDKAALATDGRYFNQASKQLDSNWQLLKTGLQDVPTWQEWA AEESAGGKTVGVDPSLISSSVAEKLDESVKKSGGAGLKAVSENLVDAVWGDDRPARPN NPVVLLPEKYAGKAAATKLAELRKELDKKKAAAFVLSMLDEIAWLFNLRGSDIPYNPV FFSYAIVTGDSATLYVDESKLNDECRSYLDQNKVSVKPYGALFEDVKALANAAKAQET SEPPRKYFVSNKGSWALKLALGGDKYVEEVRSPVADAKAVKNETELEGMRQCHIRDGA ALIEFFAWLEDQLVNKKAVIDEVAAADQLEAFRKKQKDFVGLSFDTISSTGPNAAIIH YKPEPGTCATIDPDAIYLCDSGAQFLDGTTDVTRTLHFGTPSDEQRKAYTLVLKGNIA LDTAVFPKGTTGYAIDCLARQFLWKQGLDYRHGTGHGVGSYLNVHEGPIGIGTRKQYA DAALAAGNVLSIEPGFYEDGAYGIRIENLALVREVKTEHSFGDKPFLGFEHVTMVPYC RKLIDETLLTAEEKAWLNRSNEEIREKMKGRFEGEEHALTRAWLERETQPF MYCTH_2305259 MATINLGRTLTRTAITPTTSPLLRRLRLRRAALPLGFGLGLGLT TGLVAVHHQRPMQFDSLAVPVPSQTRSLASGRSPRRKDLLDAETVKQLSSGSLSGFFA GLLVSVFSKTLVLLAGIGMVLIQVAARNGIDLVATLKLKERASTSRILAMLNQHTAFK LSFAVAFALSAFMSF MYCTH_2139278 MKDLVAYGNSGMVLLDRDTDTVIKTPHGEHTREAVTRERQIYER FVERGGHKGILCYHGTFESGIRLEYASHGNVRSYLDDHWANEKTKVRWAVQLAEALEF VHRCGVIHGDVNGFNVLLDKHLDADIFALGSTIYELMTESRPYAGLTEKVIFEKYSKG EFPETESLGFAGSIIKKCWQGEYKECKVVDDLKVQSWT MYCTH_101406 MPRPKRSRVGATRPTRAPSPAPDGQAAEKAPTVAPAEVPGSDIY DVSDREKERINQRVAQAAKAGSHSRRTRASEHLNLNSEQAKALEDSRRRRDDAMDRLD DLTSTSRPNRSDSPDIEHSRRESVSEPQRRLTDASGLDLDDDIFANLDDSLDDTANSF EETRTGYRSADTSSINVAMFKRRPRQSSVAGRDDAPIRPSSRGQNTPSISTTLNFSNF KRRAREPSILGTGRKPRRARSQSVASQASRNPSITTADLTSLLPRRRHKESNRRTGGD DPFDPDASDDDRYVAASNGEDDVSYVDSRAAARRRKGQMALGRSTANRRAAKAATKPG NAGGKGRAIRTYGAANEDKENEEIQDEIVVASGDEHGGGEDEQDDALPEEETTRMMAE RLGEELQKAAKKFKEVDKWELSFEEVTHSSSPGPDAR MYCTH_2305262 MDPPSKPPARSPSPTADPANLAAATRTHTQPPFRISTRKLPILK AGPIDAMSARLGIPIPEMIFGDNLVSIAHPASGWRISFSAEPALDTVDKTGEGGMLRV AYAREWSRSREQTSAGISEVVRPFDWSYSASYRGDEVPAADGRGLREGGEEGKGGIPV ELLKRRDPILFADEVVLYESELDDNGISIMSVKVRVMEQRMLLLCRLYMRLDGVVVRI RDTRVYVDFEKEVVIREYTAREDKFDNVKRNLYLSGLMPDAIAVALRDSNQVANLLPV IERSLDSVCLAAQS MYCTH_2305264 MTTRALEASFDRLSVNDENDPGENARLYQKTKPMGTSSQLTHST ARQTLLKVALQTQHANAVTATVNLPSQAAQRKGAVPPTANPASPKKEKSTSLTTSRSS EETASEQPSTATYVDQPLVPKQFHLGMFEIGRPLGKGKFGRVYLARERTTGFICALKV LYKSELQQGTGVEKQVRREIEIQSNLRHPNILKLYGHFHDSKRIFLILEYAGKGELYK HLRREQRFPEWKAAQYIAQMAAALRYLHRKHVIHRDIKPENILVGIHGEIKISDFGWS VHAPNNRRNTLCGTLDYLPPEMIKSGSKDNWYNEKVDLWSLGVLTYEFLVGEAPFEDT PIMTHKRIARADMTIPDWVSKEAKDLIKKLLVLDPEKRLPLEEVQNHPWIIKHCVKGE RAANREKPLK MYCTH_2305265 METPQRPPASSSSSSSSPAASATSTTPSSQQQQQQEQQQQQQQP TNSRPLPRFLENDPARTGYDPSLKWWINYFKIITGQITPEGIEHYREDRYKANEARDC ARCEADRDYLFKYSPVIRFLREKVAALNGTLDETNVLCRRCPARVAEDGRVVRQGGGF SPDHGILICANEMRDRGHLEDTLAHEMVHAWDHLRWKVDWSGGGNLRHAACTEIRASM LSGECRWTREALTRGNWTLTQQFQNCVRARAIQSVMARPACRDDVHATKVVNEVWDSC FADKRPFEEIYR MYCTH_2305269 MRGPRPLGVVIKLGTSSIVDEKTHEPLLSILTSIVETAVKLRKD GHRVIIVSSGAIGVGLRRMDVEKRPKHLSKLQALAAIGQCRLMSLWDSLFTHLMQPIA QILLTRNDIADRSRYLNAQRTFNELLDMGVIPIVNENDTLAVSEIKFGDNDTLSAITA AMVHADLLFLMTDVDCLYDKNPRTHPDAHPIEVVEDIGSLVADVSTAGSSLGTGGMST KIVAARLATSAGVTTVITRSSNPGNIVKIVKHIQASRSPPSLVTKANQTTTSVAAAAA AAAAANPGRAAQRAAEQETSPACPTHTGLAPAGATPAPNKIPLHTRFLPSPHPVRDRY FWILHGLRPHGTLYIDQGAYKALLGKAGLLPVGVVDVEGNFAQHEAVRLCVVERRRKK KKKNKGQRKDGKGKAKGGRSQGDSGAQKNSGEENEEEEREKENEIPDREPGDEEDGSE VDDDSDGDDKLWEGEPREVGRALSNYSSSEIARIKGRQSAEVEGILGYADSEYVAQRE SISFFDSVRGSRPATPVREVLDGKGIGGYEVEGAVF MYCTH_2093073 MRPLTDQEMKTVLDKLANYMSDLKSLIAPLEDGDRYVFRLNHSR VYYVKLSIANLATCVSRDALLSLGTCLGKMTKSGKFRLHITALPIIAAGARHKIWVKD NGAQPFLYGSNVVKAHVGRWSEDCPEHQGCVVYNMADIPLGFGVTARSTTEARRLDPT GIVCFRQADCGEYLRDEDTLFAG MYCTH_111388 MRTSSRLIGALAAALLPSALAQNNAPVTFTDPDSGITFNTWGLA EDSPQTKGGFTFGVALPSDALTTDAKEFIGYLKCARNDESGWCGVSLGGPMTNSLLIA AWPHEDTVYTSLRFATGYAMPDVYQGDAEITQVSSSVNSTHFSLIFRCENCLQWSQSG ATGGASTSNGVLVLGWVQAFADPGNPTCPDQITLEQHDNGMGIWGAQLNSDAASPSYT EWAAQATKTVTGDCGGPTETSVVGVPVPTGVSFDYIVVGGGAGGIPAADKLSEAGKSV LLIEKGFASTANTGGTLGPEWLEGHDLTRFDVPGLCNQIWVDSKGIACEDTDQMAGCV LGGGTAVNAGLWFKPYSLDWDYLFPSGWKYKDVQPAINRALSRIPGTDAPSTDGKRYY QQGFDVLSKGLAGGGWTSVTANNAPDKKNRTFSHAPFMFAGGERNGPLGTYFQTAKKR SNFKLWLNTSVKRVIRQGGHITGVEVEPFRDGGYQGIVPVTKVTGRVILSAGTFGSAK ILLRSGIGPNDQLQVVAASEKDGPTMISNSSWINLPVGYNLDDHLNTDTVISHPDVVF YDFYEAWDNPIQSDKDSYLNSRTGILAQAAPNIGPMFWEEIKGADGIVRQLQWTARVE GSLGAPNGKTMTMSQYLGRGATSRGRMTITPSLTTVVSDVPYLKDPNDKEAVIQGIIN LQNALKNVANLTWLFPNSTITPRQYVDSMVVSPSNRRSNHWMGTNKIGTDDGRKGGSA VVDLNTKVYGTDNLFVIDASIFPGVPTTNPTSYIVTASEHASARILALPDLTPVPKYG QCGGREWSGSFVCADGSTCQMQNEWYSQCL MYCTH_101399 MLNMGQGNETAQDLDSAELEVQFLSAIGFSGVSETREPDSQRKL KPPSQPHSHVRNELSDLVEERGHPCNLPADQPGTRTRNRSRAQQALLRNQTKGLTITY WDAQRIAKGIVDPSAYLYYEDEPIGSTTSYHPDVNHTSSLTSLHPRLRVSETQKFESV PFGRGSVPREEPESSSIGRRRVRGQKGGGPRGVGAGGDEQHGGRTNGRDGGGRAPQLD QLEPDLRMYRMPRPDRNYPGSSYSLPSLGSGFNISNNGVDARQSKGTDADIRNTIGLK PSIYKSPALGNTWTKYNWSDPNGRDADEVFTQASYLGTFINAWMKGVPKNVVANLSHC GEAYWRCDIDTFTGRLLPPVIQPETMLDPTPLDPNMDWRRRNWTSTLLRKHYNTRRNG RLRENKHFTLPTQLEYAAPEGIAIDEPVIERPEYHRFVPRIASFLRPAVKSDMEAVCA IYNWEVKHGLQALDSQPLSVEEFQNILGTTRQLGMPFIVAVRGSARDLGLTEGNLSFS VFQQIPFQDKQSRGEILGFAFLSVWQPGLVGSANGSSRATARVNVFVHPDYRRKKIGF SLLDMLLTTVSDCFSSETAYDFVDPDNSPVYKKGPNRQRQYFKVYLNYRVRHKLPDEG NQKLDSKQKTYDADLVWVRKLVEDRLNFTELVRYEAVHRSARGRGQPVCWMDEVVFEH TCSFRGPTMVEAEY MYCTH_2305285 MLSILRKARLKDKELRILMLGLDNAGKTTIVKKIMGEDVNTVSP TLGFIIKTIDYEGYKLNIWDVGGQKTLRSYWRNYFEKTDALIWVVDATDRLRIQDCRE ELHGLLQEERLSGASLLVFANKTDVSGCMTGDELMKAGLNTFAPVPVKN MYCTH_2305288 MSDEVSDFLRSVELLKERREEEDEARSRELEEKILQEKKERQAR RAERARSISPQKSSPANTPPPTAHRVGILPSASGGTTLESPGLDNAGSPRQRALEPPS DAMDKIAVDHPDSPTKENDSPFDAETKRTSMTLSSPSIGMPSARSPLSWQRRPTSQAS DRPRSRPLSVVAAENAARNSPSSADSPEPTLSRDQIAQSLSSKDPSWFRQTADPGRGS GAFRKTQVEDQDTVVMPSTRTQLPGMARELTLESPKESSAGRPVTPTNLPSKPGSPLP LSGTERLDPPAVGSAENAETTSPESQSVTSPRGRTSPTRPISPTKGMGGFVQSAMMRR SDSVKRWSVNSPGGLQRADSVPSSPAAADSAHRPTTPKASARPKSMLRETCAVSSLPP TSGEEKDEKDEKEAGSEEQPASARPSTPTETKPRDGEEKASPPISPSKTMDPRRWSPT KGSSWLEAALNKPESPKAKPAASAPAQPAWMVELNKAKAQRASADPARNSSLPKKPEV KTGGLMRSTPMGASVKPSALSGLPVVPPVPASDKPVIAGLRGSLRKTFPTTEGSEESH DTPSVKDLRANLRPRAPPPESKPGNSVDELKNVVGSLRRTKTQSYVAPDELKDNILSG KAALNTTGGPKKTESRDEFKEAILKKKEDFQKAQEEGRGVTRQPNSASDPSVPEGIAV AKKLERQRTGTVSKHTSAASDLSHAPSRSRHEIPSLGSEVAVNVSPEASKEPVADSAA PNTLSKPADAPGRVGGKVSGLAGRFNPALADLLARGPPPASGPRSSGTAGASGTSETN EPAGPGPQLTHMTKNRARGPKRRAPTSVAPQRAAPVETSASAASEPKTASLSSTPEPK ETMSSHPAEAEEPITSPAAGRTIASSEPRKPVISPKPESSAPSTPVPVTLADRRKSFI QNRTGSSGEGTSLESPKRVLKEDPKPVGQSGAPTEPSPVQTRPRSPTKVHEQVAALAA LSQQSPKPADTKAGIASQPPSPKKLDMKRMSRFLEEQKQPSSEIEPVKSRPSSPIKDR SDSVSSKPVSLVRERAESITGGPVSLVRNRSDSLRSRSSSPIKDRFPGLEMPSLRNRT ETEQPEARTAFSSRGGAAPSGGAGLGLTQPTTAPAPTVQKPAETELPRPLAPQTTRPL PATPTAASPPVTTPRVASPARSPNKQAADASELINNFFGPERPRRKYTADAAEILMNQ PVSTAKVQTQRAQLIQLTSEGKKIPVPSHYERVLFEREMYICPHSYLDGSGKQVVDVY FWVGDEVPESQVDDAQLFAAREARAFGGNLVKLTQGKETSQFMQALGGIIIIRRGSSN KYDSLAPHMLCGRRYLGQVAFDEVDFSPLSLCSGFPYLITQQGKCYLWKGKGSDAEEL GCSRLVGMDLALMGELIEIEEGSEPDSFWEIFGGGTRPISADHWRLKPSYDKYRSRLF CASSTDRHQITEMCPFSQADLLPSNIYVLDAFFELYIIVGAQSQHQYAAFRNALDFAQ EYAILAAGAEDRPFVPVSTIVLEGIPRDLKSVFRKWRDANSPTIMHVTNPPPPSQPGV SGGGPSSAGGQPTPSPLKRGRSLRIVPLTQALQALAE MYCTH_2305292 MAPINPDLRNVQEDIKNVIQDLFQVMVQVSNYDAAGRPTRDVLA QDIQTLDSTLRTLHANAQSLPVPSADKPIPEPLIHYVENGRNPDIYTREFVELVRRMN QLARGKMHAFRHFRDVLAREMASALPEVRADIDRVLDATGGPTSSSTTTTTTTAAAAA AAEGESGANNTGAGAPGETVQGGGGGR MYCTH_2305293 MARRSARLASLAKASKQASETPTLSSVAEYAEHDEKPADAAPES VPESAPDAAKPAASSPAREPKTPSSSSPIKPPLSEMHPSKVRPTMAPPSSGLRLGFTD IKPTTGRDDNLPAVAQTTPSRVTMPSSQFTFRVTRGTLNNDLGLGPEAQRMMVEVREQ AEKHKEAARAQLEAEKREEEKIAGRKIAQAKGKAGRFSAAHMAQFKKMDSIENHPSAF RAQPGRVTSLKAGVKRSQSKANLDEPETNQPRVPTPAAAAATASTATKRTRSTTAAEE PVVPAKRARQNIDEDTSSKRPTAGEGSSIPVPKSAGPGIPRPKGSLAALMTPTKSSLA RTTSIKTPGQASLAKSPSKAALSGIPRSATTNNLPTVRMVTEKDSETAEIKSPKSPFD RVKAMLRGAKAGASKPRSALPLPSALASKTPAPARPQKELPTAPLTTPGRKLTKRVAF TPDTRRAALTQNSPSPIKPGAFQGKGQTRGEVHYPSLDGVMAEAAEADVSYPDLSAQR PLPAPPAETSDSASAEPSLPGEFTFRSDHTISFGSTNTSFGSSPGQASVRIVRPSIMP TEKMPGSFPTVAPSSGVNKENEAPRSVFLALPHGMSTKKRHRVSTDEEEAEKEAAERA VKKRKQEQVPEGDALLAPRLVAAANANANASASAKRTLESPRKLPLPGQAPGTPSPMK KKGISLSRLNMLARPKMRK MYCTH_101394 MSVDKKDPPKEETEESMGSSPEGEVPAAPPQQQTENQQPKRKGG RKPIYATSEERKQRNRQAQAAFRERRTEYIKQLEEAIRTHEQNLANLQAAHRHAADEC LMLRYKNSLLERILLEKGIDVQAELRAKTGSPNLGPTHVPQNLVQPPPIQRTVLNRHH ARRSASGIAPKIEPGVPVSPLPPPMQSHSSALSPKNRPTPSSHSASPTGTASFGSQHA PSPAGSDHVNGQVRQSMTPMTGMKPGPSHLSPAHGLPGPRQMQVPGLQQHGTNSGRGG VAGTSAPFYPSPSFQNHIEQLGKLAQQEYDAAADMMDDPGDTPDTPSGPGPYPGPPYT GESQPLSLSSPVTTGPPGNQPLAGQSPIENAAHTQNATYPSMTQLLDPNYDFDPFGLS ASMAFPTQFSFDTSNMR MYCTH_2315354 MSVATKNLYDLLGNDIEEDEPRPLVKPVEKTSTHTVKRNTDGLP PSKGPATSGNRRGGAKVSGNEAVFRDRDAGRESNRAKPTDAPQRGGRRGGFRERGDRR PYRSAPHSNSEKQAEKSWGAAEGESELKDEKAGAEIAESEKKADEEGGEAETKEEGPE EKQMTYDQYLAQLEEKKVDAELRVRKPNEGVNDSKWKDFTPLKRDENEDYVPSTGGKT KRERERKAKQYIEIDNRYKEPERPRGGRGGRGGARGDGGRGRGRGGAPRGGRGGRAQE AAPIDTNDETAFPSLGGN MYCTH_2305305 MTSGQGLLRRLPFSASTTPFQALAYLLGISLFSIAFLVFLNSSV SFVITDLIGVKDGVGDIVGTLGFVDEVVALVACPIWGLISDRLGVRYVAVLGYTVIGL SLFLFVQARNVYPQLLLARIFFAVGATAAATMVTAILPSLTDETDAAAGRECESSMPR DRSSVALSVDSEATITPERFRNSGDHPPSDEEEPATDSQSTGKPSALAGYVGLFTGCG ALVALSLFLPLPARFSHIDGVTLANAVRYSFYVVGLVAVMVSIFVFFGLRGLRGEEAK GFRMLFGLKRSAAHGPTSSTSSTALGNKPLPYRHLLLRALHLGVTDPDITLGYLGGFV ARASTVAISLFVPLYVNTYFIGHGFCRGASPTDPSPELKKECRQAYVLASVLTGVAQL VGLICAPLFGYLSSRRGAAERFHWPLVVAAVFGILGYVAFPMLRSPEFKDVKGRGGSP VVFLLAAFLGVSQIGAIVCSLGSLGKGVLKVEVVNVLVRPDGDQEILVEAATAGEDGD ATAPLLDNPPPPPRDTVSRVSLKGSVAGVYSWFGGAAILLLTKLGGYLFDSWSTGAPF YLMAMFNGLLLAACLGIDFARAVRARRRRLLTL MYCTH_67016 MSASLPGNRPLPESQYDLSTYWGRVRHTAGITDPRTLFVGRAGL EQAKNALIAYKQGQIPSMTPELWRAKKIVDSTLHPDTGEPVFLPFRMSCFVLSNLVVT AGMLTPGLGNRGTIAWQVANQSLNVAINYSNSNKSSPLSWSKIAQSYFLAVTASCSVA VGLNSLVPRLKSLSPSTRLILSRLVPFAAVASAGALNVFLMRSEEMRTGIDVFPTGNP VVAATMTTSEKSQGNDDGAAEKSLGKSKKAATIAVAETAASRVFNSSPIMVIPPLVLV RLQRTAWLRKNPRYTLPVNLGLILVTSYAVLPLALAAFPQRQRLKAESLEEEFHGRGG EGGLVEFNRGI MYCTH_2305315 MSTPTTATATLPHYNPHHFRYSHHQHQHQHQHQHQHQPQPQHQA YPQSNSSAYRPPNPILPPASRLAYPSPGYSSAASHTPGNGVAAGPPDHARLAPHEPAD GDSRLDHLYTTMPAAPAAQPRAEQQPPRKRRRSREPDWKAFYKNGLPKEIIVIEDTPE PEQPDAVAAASQALTNGRQHAASNGAPGSSAKKRKRDDEPTPYDPVHHSAAIGSHTPS KSTVASDRTNSAIHTTAATSLGSLSSNGQNGQYEYDSPASQRRKRTTRQQIANEARRR EAAGCVDALAHYRPPPFPPKKARDVHIKVIPDPPNAKNVRVDDDDGHYIVVPDNDLTD RYKMVKLLGQGTFGKVVQAKDKVTNKFVAIKIIRSVQKYREASKIELRVLETLRANDE ENRNRCIHFRDCFDYRGHICIVMDLLGQSVFDFLKSNNFVPFPNSQIQNFARQLLTSV AFLHDLNLIHTDLKPENILLCNNEYQTFTYNRKIPSASTNNSNRQATQRKVLLDTEIR LIDFGSATFQDEYHSSVVSTRHYRAPEIILGLGWSFPCDIWSIGCILVEFYTGDALFQ THDNLEHLAMMEAVVDARIDPALVQQVNRMTRNGGNPAAKYFKRLKLDYPLPETTRAS RRFVKAMKRLPDIIPPTTRFLQLFLDLLQKIFVYDPARRITAKQALAHPWFREVAHPD DGTEAARIRAEKLKLREQREREQRERELSGTTLGPSGVDERSVRR MYCTH_2305316 MGTLAGVLSGIAGLFLGRAEWEEHFVAGGIGWCEGRVLEKAESR CPACVLAVVGGKGEVLVALRANASGRAKGKQPRLLRLVDAWLRLRGPREEAKM MYCTH_2305317 MYAAAKRVRVPSKLSKLSKLQRAFGDEHSSSARCFRSFARLEAK ATPQPRRSSASPVFKSPFRHLTPCPVRNAPHNRYTGTPRYILAPAVAPPQRPHAAITV LPPPSGMLSYVQIVSTPTADTPGACLMLHFDNRRYLFGRMAEGTQRNMVQRKVSLAKI HDIFLTGRVDWETAGGLLGMILTIADLKAASIADVEALNEKLRSQGKKDNKSVSAHLN IHGGKNLVHLLATARRFILRKALPVHPRELRHDPRADTAKKDEPDYEDENIRVWSIPL SKEGREEEEEEEKKKKPAVRARSGPSSPKKRKLSESSSSEPQEQEEGPTNTEEADQNI REAVVKDMFASKWKLDTLRELRLADVQLPAKIFIRNEQGHIEPYEGPPPSEAPDTKVL VRLPWPAAQIEQLPPTEPSRQSMCYVVKCHSRRGKFNVQAATKLGVAKQDFKKLTSGE TVTGKDGVVVTPDMVLGPPIEGQGFAVVDLPSEDLVEDLLSRPEWSNPEIMKGVSAMF WILSSGVTLEKDQRLAQFVRARSGIRHIVLSSSLCPNVPALESPAGQLIKMNCVDRDR FPLPAFDFNPTATLGEELQAVAEVGRPGLKFQLAPKSTFLTDAVVPPMDTKKPLWELG SYSPQVPGLADAARKAISDPAFGAEVDRSQQDLPSPQTEIIPLGTGSAMPSKYRNVSA TLIRVPGWGSYLLDCGENTLGQLRRALGHQGADEVLRDLRAIYISHVHADHHLGTVSV IARWREVVPEEEGGKLALIATQKYQDFVREFHEVQDLGLDRIVPVVLRCAGRPLPGRH AEPPAVPDEDARAARLPRVEACFVDHCYEATAAVLTFPDTGLKVAYSGDCRPSRPFAE LARGAHLLVHECTFEDELAGDAAAKKHSTLSEALEVGRRMEARRILLTHFSQRYPKLP VVDEEALLRNSTDGGDGGNNGGSSGGQKKRDVEVLFAFDMMRVRLGEFKQAKQFLPAL RELLKVEERMGGGDDGGDV MYCTH_2118610 MAPSHKRKTIPDDDFIHTISDNDEPEILEDEDEVVAAAMRPSKK AKTSTNTADAGSKNKKDKTKKKKKNKKGEKGAQDGEEDAQDNDEEEETGLWGANDADD GAMNSDFEFTLGDGNGVNAFEGEFDGWGFEGAKKGIEGGAAGQEGKAGVDLDEIIRRR REKKKKTKGKEKGEDSKEDQEDGEDVEVEDMGEVDLDDEVLADDAFGMGVEEGEGKDR NGEAEDGEDAASDDDSVATPVPHPDDEKSDDDDDDDDDEEDAEEEAKRKEFFAAPEET EKATKKGGPSSFQSMSLSRPILRGLTSVGFTKPTPIQAKTIPIALMGKDVVGGAVTGS GKTAAFIVPILERLLYRPKKVPTTRVVILTPTRELAIQCHSVATKLASHTDIKFCLAV GGLSLKVQEGELRLRPDVVIATPGRFIDHMRNSASFAVETVEILVLDEADRMLEDGFA DELNEILTTLPKSRQTMLFSATMTSTVDKLIRVGLNKPARIMVDSQKQTAGTLTQEFV RLRPGREEKRMGVEAFRDGKVNFLLATDLASRGLDIKGIDTVINYEAPQSLEIYVHRV GRTARAGRSGVALTLAAEPDRKVVKAAVKAGKAQGAKIISRVIDPAEADKWQAQVDEM DEEIEEILREEKEEKQLAQMEMQVKKGENLIKYEEEIHSRPKRTWFESQEAKKKAKEL GRAELNGIREALKKKGGGKLSNKDKKKLDAKAERTEKKSTGWKKGRAERDGKGAVLNL KKIRKPKAKKGPRLLRFHLDTLSSYKYRLQSRIYRFLVERQRKRRHRKSIGGAGAAGL SGIPAPTIQSDEEVTEEQRVAREAQEIEKRGQDEKEVANRGGYSEPPGGSNGNNQASA ASPSASPSLPARTPTAPQLDMTEQELAVANANLMARLGPFMTTPLVQVPITMFFYNEE QSRSHTVTTDDSGHFNVRVPLEFVPTEVRVIANENLSAIRPIEVTGQKGISLISDVDD TIKQSNISMGAREIFRNALVRDLADLTVDGVEKWYNKMHDMGVKLHYCSNSPWQLYPV LATFLHTAGLPQGSMHLKHYSGMLQGIFEPVAERKKGTLEAIIRDFPERRFLLVGDSG EADLEVYTELAVANPGRILAIFIRDVTTPAQTGFFDSSFSVNPGQRSIQGNAKAMPGA RSVGDQFERKPPVPPPPPPPPPPPRVTASPANSGPIMGNLIDFGEPDPIVSTAATERL CGREHNRDALGPDGSSSDVARRKPPPPRPVKPAALRSTPSDSSSTNSQRTTSAETGGG HSLPARPRTSAGTPPPNTSKPTPPPPPPRRRRGTAPAMQQPRSTGDDHIPDVSDAESL SAMPGGYPAGVADSATPPNGAAAAAAVNKKVDLWLMRLARAHQTLDAQGVKLYTWRRG QDVIAEAEGLVREALRNMDHGS MYCTH_2060581 IKEGNKWKTAFRTPYSHYKYLVMLFRLTNAPATFQALIDQAIRP FLDKFIVCYLDNILIFSKTIDEY MYCTH_2062106 LAYNMILTETTKVIPFFANYRYKADLRQGLEVIVPRAAVIVPRA VVKVKQMYILYKKLKKELEFIRTRIKNYYNKYRLKGPYLERGDKVYLIIQNLQTK MYCTH_36895 RIQALTLHSIGVKMSKIEDKTGVKKDTLKALLRRAKARGYIPGS PIKDEHVANIPKSRRP MYCTH_2062582 MATAKRTITTLRNSQRRQTLAILLFALPSLPSPAAGVASADCGA TFLFPTLGLTFFYLDTIAVTYRSNIANPTLSCWCGVPGRATPKITNNNVSPFNGSVPV TLTVLSDDPCWFELRSSSGECRHTSETFHLSPKQRTVGEDDGSSPRSTASPDPALRPS PTTSHPEARAAGAVVSQQRRSSSTEDSFSIGAKAALGVGIALICIAIGAMAAFLYFRR RRRAPDAEVTGGMLSHSRRGRKGAEKKRAGASSEASGHSDEPLCPIQPVFDGFPGSMG YEDVRSLHSNTQSHSTTHSYSPQSPAYSQTGGFWTQERSIEREELTAARLKSQLQPSG PTVVSYGPNPVTPTLTPRVSPRPSVPTATTPMSSGSIEQVPGHVPMMPIPSSDYADYT NYSIPPPAPLPMSTPEPKPSPPRPQAAGPTVVSYGPNRVTPTPKIVLPTVPPDESIVN RRFQEAAAKSSAAPHHHERQFSWEADSPLLGASSMGPLPPYATTEDFEAMEKGAVRKL AEPQADAELPPTKDGFYHYTTDTVEYELPGAAPQHEPQLPFRPYEQQLQQHLQQHLQH GRGHGGPPGAREIDEQKFLLDDVELLREKARGKAKAGDASAGDSGGGSSATRRNEYGG AEEFDLGDGLGPMR MYCTH_48804 MATMKLMEVLLLTSSISIASAKHIMIPSTSFNSQSDFDTDWNYL YPWGSDHNGAARMRESQVSLSDGVLTLRATRVDGEAPAHHGGKEIAIRYLSGAVHAKE RFNVVAGGGYDFAGEFRAPVARGTWPAFWLTGVDSWPPEIDMAEWKGSGKISFNTFNT SSEVRALDVDYPNPDAFHKILCEVRDMNGQDVSVRFSMDGQVVATQYGRGFVGKPLYL MYCTH_2305327 MAAEKTAAWEKSIQRNPHPDFKKVEASRPPFDSSKTFTFTQTPD PNWQFGSGANSTHCAANSCSAAGKDHQEEEKKHVVIDPYAPDRPAGFNYKLLISAVVP RPVAFLSTRSADGATTNLAVFSYFQMIGHDPPLFVIGFASPLDAARAKDSLRNLHERR ECVINMISEGFVEAANSTSVNAPYGVSEWDVSGLTPAYDCETVGCARVKEAVFSIEGK LESLREFESRATPGKVTATMAVIEGTRFWAREDAINEERNLIDPKVLRPISRLGGITY GRTTEAFELPRPDFEKDVGGMEGMKKLESRHPPN MYCTH_2305328 MPQVKAETMGLSSSEAADASHAMAGDAIVDDAPAQSPATAPVPA PTPALQVIVLGSGGGPLENNVTAFLVRSVASGWARGSVVAVDAGVHLSAIKTILEQTQ PAKLGQPGGPALPHTLTTGPFAGLEVHHATADANAAEIHKTLIETYLITHPHLDHIAG FVINTAGLSGSRPKKVAGLPSTITALKTHVFNNVIWPNLSDENNGAGLVTYMRLVEGG SPALGEGEGRGYLEVCSGLAVKAWSVSHGHCIERHSHRGSSSTRHGSFDASSMGPGPP QVVPTPSRNIPQHTSLPTNIGAYLQQHERIQSSPASRRGSSFSVAPPIDDTVCVYDSS VYFVRDLATGREILIFGDVEPDSISLSPRNRQVWQEVAPRIVSGDLAAIFIECSYDDS RCDERLFGHLTPRFVCEEMIALAEEVIALRLEKERVMSGGRVGAERKRKRLSDEGSLP KRKNTPQPRGPISAADIPLSPRSIDPSWHIKPAVNPVSAYTSDFRDRSQDRIPKTIPL PTHPLRSTTTVEPNAAEAKGQDQDATSRAVPEPSPTPMSAATSATAASTAVTPLAVAT SQLHLLQQENDESHSSSSTSPSLRNALRGLQVVVIHVKETMLDGPSAGNVILEELRAH EREAGLGIEFSISEAGSQYFF MYCTH_93648 MPQDKDGVEGYDDPTLGVAPEHNDEFLGETRAGNFGDVTFDYEE AGMLGSVQVAGNEDLFGDLLPNPGTSAADASVNTPAAELGALEAQSAFDFPQIDPVIN PAVTAEASQSLQGQLSSPSSVLPKTSANQAHQDLVPGRRQMLQAPEIPDQGRSKAPEQ NLADLSQNQARYLFRPSLGQGNGANQVAAGQGAAYGNQFQYNAAYGNPTYAAPPAPHI GAQFGGFANMFAQPAHNLHPGYPLNAPQVMPNPMDMRGQGYPAAFMPPMLGLGVPVPH NAQLPMLSQLNQPANPPPLNQSVAPGQGHPLNGTKMNHKRNRRGSPSNDPSQFYAAPI GLLRPWGPKVVVGKNRQEEHMFRYYQQTAELRPSLTYTRQQLVTFFLGHGHPYPRRRL TLWIQNTPAQINERYANRSDSSKCRYRDCPAKQNTILKGFFRVAFDEFSDETGTVRDP FRNAGYMHLHCFESVFDLAYLIHHGAARHNFRILPDRRHLIHESRNPASINRDHGEMI EAYDAWVEGQKARADRLEQDNAARPRGQEYTGFDPAPRMILPHAQRLGRSLTDKHLSL QVKGRAATRESRGGVHIGIHRGDLDLFVHLHHQKSRGVPVERALAAVPGQEGQGAGAA TSAHDRGKKRARDEYDDDESNDESNSMSSDSLRNSRRPKCSKRQHTPNDPGPSGTRPD VRPRTAGRKRGLGELDDTPASSAPKRPKQYHIPSSSSKGKEVVRPAPLLPPPPPPPPL QRSYANFPSSAYELRTEDPYSGLNDGRSQSRNPGRPSPLLTFPSTATGGGASTRTRAR EAAGNDTVDRLAMQAQPTRASAHAIQSRLGHQPAHVRSQVLAAVPEEYAALMLPRSGT LHDDRLAERIGRLGRRQRLEVEQAVGRLERRGSSDKRKCQSL MYCTH_2305331 MAALSPELQEKLEELDRELEEGDITQKGYQKRRTQLLSQYGLGN VPELKTGSPRVHSPDDHHESSQDHRAASLAALNAGPEPPSPQYLNVESHPASLLAPGG PMAEQRPNMRQHHSLFLSSAPVAEPSTRSDTMVSGDYAFNPEQHNLGYGDQPQQNPYD SRTGTMLDSQAYFSDFAGQAYDPAAPEEYGGPQRYSSSDVFSPTAAMAPPMPTTNDLP PPDALRFLMPLEPRELPFAIHDPHDETVPMSNFDNIAAVLRHRGRTSAKLPAYWVLDS KGKEIASITWDKLALRAEKVAQVIRDKSSLYRGDRVALIYRDAEIIDFAIALLGCFIA GVVAVPINDLQDYAKLNLILTSTQAHLALTTDNNLKAFQRDITAQKLNWPKGVEWWKT NEFGSYHSKRKDDIPPLSVPDLAYIEFSRAPTGDLRGVVLSHRTIMHQMACISAIVSS APGNGPADTFNKTLRDKNGRLIGGGASSEVLLSYLDARQGIGMILGVLWTVYGGHTTV WLENKAVEVPGLYAHLITKYKPTVMVADYPGLKRAAYNYQADPMATRNFKKGMEPNFQ SVKLCLIDTLTVDSEFNELLADRWFRPLRNTRSREVVAPMLCLPEHGGMLISVRDWLG GEERMGCPLKLDSGAESGPEDEKAKEKKEEEKPTPSNGFSTLLGQSTTTTKEERAKME LSEVLLDKEALKTNEVVVVAIGDDVNKRAANEPGTIRIGAFGYPIPDATLAIVDPETG LLSSPNTVGEIWVDSPSLSGGFWALPKHTEQIFHARPYKFEPGDPTPTAIEPEFLRTG LLGTIIEGKVYVLGLYEDRIRQKVEWVEDGGAENTEHRYFFVQHIVVSIMKNVPKVFD CSAFDVFVNEEHLPVVVLETQAASTAPTTSGGPPRQLDTALLDSLAERCMDVLLQEHN LRVYCVMITAPNSLPRVLKNGRREIGNMLCRREFDLGNLPCVHVKFAVEHAVLNLPVG VDPVGGIWSPMASVSRGELLAQADKQYSGIDRREVVIDDRTSTPLNNFSSITDLIQWR VARQPEELAFCTIDGRGKEGKGVTWKKFDMKIAAVAVYLKNKVKLRAGDHVVLMYTHS EDFVFAVHACINLGVIIIPLAPMDQNRLSEDVPAFLHLISEFRVRAVLVNQDVDHLLK TKPVAQHIKQTAQVLKITIPNIYTTSKPPKQNSGLRDLGITMDPAWIQPGYPVIIWTY WTPDQRRLAVQLGHDTILGMCKVQKETCQMTSSRPVLGCVRSTTGLGFIHTCLMGIYI GTPTYLLSPVDFAQNPASLFLILSRYKIKDTYATPQMLDHAMNLMPGKGFTLHELKNM MISAESRPRVDVFQKVRMHFAATGLDRTAINTVYSHVLNPMIASRSYMCIEPIELWLD PKALRRGLVFVTDPEREPKALLVQDSGMVPVSTQIAIVNPESRELCSEGEYGEIWVDS EACVKGFYGSKDAFDAGRFDGRTVEDPSIRYVRTGDLGFLHSVRRPIGPGGALVDMQV LFVLGSIGETFEINGLSHFPMDIEASVERCHRHIVPGGCAIFQAGGLVVVLVEVARKA YLASIVPVIVNAILNEHQIVVDIVAFVNKGDFPRSRLGEKQRGKILAGWVSRKLRTIA QFSIKDNDREPLGAPGTSSGEQASSHGSGLPDDQHRASLGSFRSGSGGNNPRNAEPAP QALELRQQYHRDQGYDDRTMTMNSMNRAAATMTPGSGPVEMPADEVKINTHDNDQTPT KDHRTEQQQQQQQRQEQPSQGLVDPSPAHGFELPDFNQFVPREERGENEKSTPEVVND TSSSSRPGTGTGNGNGKGVGGSMSSQGPPQIRLPGVDGRESLDDWDLRPRSGGGAEGM GDVQEEDDWKADAYMSMNLAGTLGGQ MYCTH_2305333 MDFLPHPTSGVEALDIPFVADTPYVFGSDFWDFPKLHGFGNQWA SLPAQRLASLAQSWLYFGTISEFLGKPIDYREFQVSRSVSGKPLLPLLDEWLAAHAIT AHGSTSRDAIEYEDRKQLLYEHARFLDAVLQLAEDFDKVSQGHVKPIPTIVLSIKVLC ITLKGVLWDLARGDIDETLRPWPSPAARLRREVTPARDIPGRQHLTPSAQLMLDVLRL RGWCPFYARKVLTQYNYALAYYFTRLFRTYSPGLSHQSCTDDECVASNADIFSYVPKH ARRGCLCQPKAAPMDQIRAIIEDGGIPLVRLRGSSRTGVRIEVVRMTARTRYVVVSHV WSDGIGNAHTNALPECQLRRLHAHMSNLKPLKQSVDGDAELNLLTSLDAGFQTATARR PKYLWIDALCMPPGGATAFPRLRAINKLPAVYQAADRILVLDSTLERTSMVESDSVER FARFAVSPWMGRSWAFHEAALASACEVQCADGTFDGFSPQPKEKLASSTATARRRRLA WLEALTHPANWIERALLRRPQTKPVQLLSGTPTMGVGIETSIIRSLTRSLREEFRSAF ANGVKPNRAAIGQGQLAPDFCTLFVQVWNELSKRTTTVPGDMHIIMASLLGFNTEPIM RLSKSADRMSCILRSMDGIPISLLFNMDGPRHNPTRSHRDRWLPLYPSRQRLTFGSTF TNIRSINDDLYLPNNSVSRRKVAILVCTADVDPFSSYTFTLRDTSTGDQYSVVVHRQE GEMDEFATPEIGPYCIAVQLEPELGVQPNGYIEGLSRAPKTFAGALFRVRRVVTNVRK LYYHNLDADYENSFELVEDETYGDRDLETASKRTTEYLDGNLGSAFAEHYRGILRTVY DCPLTVSCVPDGGDILASPLKEGPILVAQQLPETWQVVLEREPATYPFPLPARLSFAE AITPVSAYLAVTAFDGLVASGCVGLAIAICATKFPRLVLLAKASIIAKLVLHSLFLVQ MFLLPGMEVRLIWDMLHLALVALYTFSRVTMTGPSKMDVLDWSFIAWAMLGHSVDFVA RLGIRWIVVPRLFEKYLLSFDGEAFRSEREEENFGPAKGMIGRWLHWALLKLGRRRAG YQTQVYHNLSRNGMQSGRPEQHLLGNMGGQI MYCTH_2305336 MWSFLWATLVACVGAAAFLLGRHQSEPSAQQSHGGNRPGGYGKP GGGYGGAGSSWYTPPPQNGPPPNQSPPPPPPPPPPQHDAPRGGGGPYQSWHEQPRQQP QPEPQPQPQPQPQPQPQPQPQPQAQTQPPPQPNTQTQPEPTPEAKPQEPKREEPSEEP KPQPKPPPEPKQQPQPPPPQPASAKPEAPKGAWEKAREEMRRREEERKAKEAEAKKKE ETARRLRELREREARERDLKEKLRQEQEAKERERLERELREKVERELREKIERENKER EEARLKEEQRKLEEERARQEKERQAARERERKEREERLARLRREQEEKEKKEKEQNER KSTTYAYSSVGEKTSMWPNGKPPSVAPSQAASTPPPSRPSPAQAPPPSPTKPAPSPTR SAPSPTKPAPSATGTEDTYSFRPYDTPKKPTARKKSVSDLSESSWAPSASTARTTPPP STRGPYTTNDPQKIVIKAVYGYLNQFSKTPASQLVSGVAPVTDGLILRITSAGLFVDD DARGVAQREWDIKAWTLKLVEVWCPVHAVSAQAGNTPGSVPVNHPFFKTMPQSARRAA ERGATKTMLGEEAVQYIEEFGRVCNGNCRRAGAGSAAGAGAGAGAEKGSGLHLLRATI RDQEGKRFLFVVGEEEAWKIANGLAALRGSSQVRALGVAGFSTMESKTLLDTLGWTA MYCTH_2305337 MAPPLEISIPTTSLHTPTDNNSNPTGKPYTLYNITLRLPLRSFV VQKRYSEFAALHETLTRLVGAPPPAPLPPKSWFRSTVSSPELTEQRRAGLERYLRAIA EPPDRRWRDTPAWRAFLNLPPSAGGGGGGVGSSSVSGFSVEGRIPAIGLRDANLAAAS DPATWVDLNKEMKTELSAARAALARKEHATSDPEAREAEAQARKALIKAGSLMGPLEE GLRVMKESGRLGGGEFTRRRDAVEAAKYEKGLLDQLAASLAARGLSGGGGDAGRGEQG ESDKAKLIGSRRPAGRTLGAPPPETEETRELDNEGLLRRQKLVVEEQDERVNLLGAAV RKLHQLGTAIEGEVAHQNSLLDQANDAADSLHRKLGVANRRVKNL MYCTH_2127191 MKTLSAALALVAPVQAGLRFPCSTLTVQRLDPVVEPGKIPSAHV HHIVGGNAFNATMEGDVAERATCTTCQMSEDFSNYWTAQLYFKHPNGSYHRVPVVPVQ PLLGGSEGARAGLTVYYTQHDLFRDDLGQQKITSFKPGFRMTVGSPTVEGKPQVGLRY QCLQGQFRGPELDDFPSQPCSGGIFTTHHFPACWDGKNLDSPDHQSHMYNTIRSDGFV PAGPCPSSHPVRMPQVTYETVWDTTKFNAMWPAGAPNPFVWSFEGTAGGTHADYMFGW KGDALQRAMDQPECFYDGCGSITKQDMAVANQCTIEETVKEDVEGWLDKLPGR MYCTH_2305340 MGESRQELVQWLNNLLQLNITKVEQCGTGAALCQVYDSIFLDVP MSRVKFNVNTEYAYIQNFKILQNTFTKHNIERSIPVEALVKCKMQDNLEFLQWTKKFW DQYYPGGEYDAVGRRKGAQVAPTTGPAPPRAASGAARRPGGTTPTTGPRVGTKAVSSA ATAALQQENNTLKETVVGLERERDFYFSKLRDIELLIQQAIEEDPELEKAEDGLVKQI QTILYSTEEGFEIPETEQVDDQDTF MYCTH_2305342 MEQPRVLLLSLNLESWFDEMYKPHLDKLTARARVHRVKTATAAI RSLSGGPAPHAVLVTDSALAKDAHGQVYDALLAYVRQGGTAVFMAHFPSFIIPGDMQG FFARAGLPWKSGSYHRTTFTLNNEAVGAALAARLPPAYSQKALSVGNVRPGEAWYRST EGSRIESRVFAPDSAFEPTEAPVAVGKVGNGRLGYIGDVNVEDETGDVVLAMCGLL MYCTH_111377 MDFAMEIDNPRKRCRDEPELQSLNGYPVTKKARQSDTDASTRDS TPSLSESTPSTPASIDVDMDATPQQHQIHAPAPRPQPRSGGTIISGWNQCRREQYLRQ GYPLAWMQSSAQ MYCTH_2127197 MPTATVSVFEKTDAVGGTWAKNTYPRLSCDIPSQLYSYSFAPNP DWSEVYASQPEILA MYCTH_2305345 MPELSALAGDSRTSSPPSPFNAAPATPAATFGPGASDGKKSFVQ TALAEARHFAGGLIPHPTESTKHYTILRHSPPLILYRGPSTSVEITIFSSPDHPLPPD RTLWLQQRGFSGDCGMKIKAFFNATDSWLHVTPSMQVEPDQVAADTERAWQRDIGKAA KKLLKDKGPKKAHVPRETHVIRVPESSDDGYFRILLCTGRGRPDDATEGSSNKCKTLC VSPIFRVASASTDSSVFRGASLSTLPLEMGVYVASMVAASTVNKYAAPVLEPVQGVIN TVRPGFVAETVGGLAYDEVSERAAEREAERDRAFLAAHQAHVARSLQADPNAIQPIGP DSGPEAPFPLQFQGKVVAGTGRSQAELGIPTANLFGVPDEIKYRLRGNYFGWARLLPP KQNRPATRSGTNDAQWYPECYPPRWHQAIITIAPHSPYAAPSVTPKPYVAVHLLHFPP PTTTTTTPPSPAAANLVGRSLSITVLGLLRPYSPSPAASALPRNARMDGHARDVCLTL ASLGRENWGPESGAVRAELERQEAERGVAERARERASRAQKIPGQMHRIGVRMRSVGE ERDRIRGVGGYWVKRG MYCTH_80312 MKSFTLTTLAALAGNAAAHATFQALWVDGVDYGAQCARLPASNS PVTDVTSNAIRCNANPSPARGKCPVKAGSTVTVEMHQQPGDRSCSSEAIGGAHYGPVM VYMSKVSDAASADGSSGWFKVFEDGWAKNPSGGSGDDDYWGTKDLNSCCGKMNVKIPA DLPSGDYLLRAEALALHTAGSAGGAQFYMTCYQLTVTGSGSASPPTVSFPGAYKATDP GILVNIHAPLSGYTVPGPAVYSGGSTKKAGSACTGCESTCAVGSGPTATVSQSPGSTA TSAPGGGGGCTVQKYQQCGGQGYTGCTNCASGSTCSAVSPPYYSQCV MYCTH_2305349 MGRWSYLDSDEERLPEGMVRVGYDADTQVYTYRDSDGSYWEGAP GARYGKLHRVRSSTPPLPSVHIANDIRGEEQPYILHDFYGSDTDESSGEGDPAFSHGN NGKTGASPRSPTKAVLHGADRPHHPTPGKGSKTLPRLFTATNRNPDPDPDPDLDTDTD KLSTATTIRASVSSAPSVTSEATTTTATNDASTNARTGPGLRRAGTLSRLARFLSPSS PSPSDPGGRLGLARRATVHSGTGGEEKKKGSSPSRSSSFSSRRPWAAATSGRSGGDAA GNARWPGSGPGAGTGSGPGGQRKKCATTFEEILGE MYCTH_2305350 MRSRRLPRRAFLALAVLAGVSFAEEATKTSTETTPVHTPCVATS INGAFFDLRPDIAVAVAEGEKPPRGTPTEDYVARGWDYGYNFTLNICEPVVKKVEDVV GVDKALWPNISAYYEADGKIYSLGQQSGELIPRGRKLVLQYTGGSPCGPSEKSADKRS KVHGGARSERFNFDDEDEDYHPPEDDEEDDDKKDKKKGEGEERGGGRDDEDDDREKDN GKGGANQRQLRKSASISFLCDHDPDTPTAFSFAMADPYECAYFFEVRSQHACAAAEPH KPGSVGPGSVFAIIFFITVMVYVVGGVFYQRTVAHARGWRQLPNYSMWAGIWSFIKDL LIILTASCARLVPRRRGYHTLSGSPNGRHRNRDDENRLIDQLDEEWD MYCTH_50083 MAAKSKQQAVSKTHGYQFFGPPGVAAISFGLPVLIYAFSFACND ISGCPAPSLLHPKSLDLETLKKEVGWPENGVRGLVSWEATGWTLAYYLLSALLYRVLP GTEVEGTKLANGGRLKYKFNAFSSTMFILAICAAGTIAQGAEFPLWTFIADNYLQILT TNIIIAYALATFVYIRSFGVKPGNPEFRELAAGGVTGNMLYDWFIGRELNPRITLPLI GEIDIKEFMEVRPGLTGWILLNCAFTAKQYRTFGFVTDSIVFITVVQAFYVLDCQFME TAILTTMDITTDGFGFMLSFGDLVWVPFIYSQQTRYLSVHPQTLGALGLAGVGVLLLI GFAIFRLSNSQKNAFRSNPNDPRVAHLKYIETKAGTRLLISGWWGIARHINYFGDWLQ AWPYSLPTGLAGYTILSAGTAVEGAIRMLDGREVVPGEAKGWGIIFTYFYVLYFAVLL IHRDRRDDEKCSLKYGEDWEKYKKIVRWRIVPYIY MYCTH_2305356 MSDVNIQALLQKPRNECTEYEIAQLEAWEMSNGPLSLLQTAVRS HAQVLISIRSNRKLLARVKAFDRHCNMILENVKEMWTETPVHNGKKGRPVNKDRFISK MFLRGDSVIIVLLS MYCTH_2305357 MEEEVEARIGKGSTRVVSDGSLNIASYETMTRFPPLWRSQPATP THGLPSYYHNQPASGLSQPFGSQYLAADEYPGVFTERHLITAGFDKHTQANASHISKQ AEADLVRRMEAVELRSSEEQMERIILKSRLDKTTSELERVVEELGGKSKSTGPCQPPR ANCSDNSDLRSHLARLAPVGRQGENPSNQLRHTGPASRTGYAGYDTSSRGNYEHDLDE GTDVTGGLGVRKTAILAQKRPSLGTDDGVAETGAKRARRTHHYATAEEGSDGRDDGLE WGREFLNFIKEMDCTSDEDLLRLKRYVRGRAAFEFPLCSETGGSRVEYFLKIGGVSST LIAADPHDRPEQPKEDEARAEELNVLEFAVDERMARRGAAAQEGGGGSGGGPDNKDGD HDDDHDGIGLPEYLVPLGEVYVANRSLNRPQEVASTNFFVLMRVDAPKKSLWMVYRYM RSFQRRNGPVWDAVAFGNQRDAHFKGSRRMFDTVCLLDDVRDWKDPAEDMISMERLAN AVPGDERFFLQPVFFVPVLTALREALRKGWEEAEDDK MYCTH_80304 MKAAALSCLFGSTLAVAGAIESRKVHQKPLARSEPFYPSPWMNP NADGWAEAYAQAKSFVSQMTLLEKVNLTTGVGWGAEQCVGQVGAIPRLGLRSLCMHDS PLGIRGADYNSAFPSGQTVAATWDRGLMYRRGYAMGQEAKGKGINVLLGPVAGPLGRM PEGGRNWEGFAPDPVLTGIGMSETIKGIQDAGVIACAKHFIGNEQEHFRQVPEAQGYG YNISETLSSNIDDKTMHELYLWPFADAVRAGVGSVMCSYQQVNNSYACQNSKLLNDLL KNELGFQGFVMSDWQAQHTGAASAVAGLDMSMPGDTQFNTGVSFWGANLTLAVLNGTV PAYRLDDMAMRIMAALFKVTKTTDLEPINFSFWTDDTYGPIHWAAKQGYQEINSHVDV RADHGNLIREIAAKGTVLLKNTGSLPLNKPKFVAVIGEDAGSSPNGPNGCSDRGCNEG TLAMGWGSGTANYPYLVSPDAALQARAIQDGTRYESVLSNYAEEKTKALVSQANATAI VFVNADSGEGYINVDGNEGDRKNLTLWNNGDTLVKNVSSWCSNTIVVIHSVGPVLLTD WYDNPNITAILWAGLPGQESGNSITDVLYGKVNPAARSPFTWGKTRESYGADVLYKPN NGNGAPQQDFTEGVFIDYRYFDKVDDDSVIYEFGHGLSYTTFEYSNIRVVKSNVSEYR PTTGTTAQAPTFGNFSTDLEDYLFPKDEFPYIYQYIYPYLNTTDPRRASADPHYGQTA EEFLPPHATDDDPQPLLRSSGGNSPGGNRQLYDIVYTITADITNTGSVVGEEVPQLYV SLGGPEDPKVQLRDFDRMRIEPGETRQFTGRLTRRDLSNWDVTVQDWVISRYPKTAYV GRSSRKLDLKIELP MYCTH_2134354 MASPPNSSVARLGDDKDGAAVVDTKDAAALTSPSASEESGSEEE FEDELAKNPFLDPVVAAHWKKVYEDADYECRHVFDPTLTWTEEEEKRVVRKLDFRICT WACVMFFSLQVDRGNLQQALSDNLLDDLGLNTNDYNYGTVVFLIAFLLAELPSQLVSK KIGPDRWIPIQIVLWSVVAISQCALKNRSGFLATRALLGLLEGGFIPDIVLWLSYFYN SRELPIRLSYFWTTLSLTTIITSLLAFALLHLRGVNGWAGWRWLFLVEGLITLVIGLI SFFNMPASAVQTKTWFRPKGWFTDREVAIVVNRVLRDDPSKGDMHNRQAITPRRLWHV LKEYDLWPLYAIGIICFIPQGPVGAYLTLTLRSLGFNPFTTNLLTIPSTVFHIITLLI ITRISEWLNQRALVGVWQNIWTLPCIIALRFWPGVIDNAWGTYALITVLLSYPYCHAI NVAWVSKNSNNVGTRTVASALYNISVQLGHVCYSFIYVDSDKPYYRNGNTKLLVINIL SILLFLGTKAYYVARNRYKEKVWAAMSEDERAEYIRSSKVTGCKRLDFKFAH MYCTH_2037651 IIIVINRGSKFKGEVKAILEELRVKHIINFPYNSKANSISKARY IPIIAILAKIT MYCTH_66978 MIARTKRYEAYVRRGRSYDGSGIPLSSLDYILQEQRRIKDTKRR VELKLDKS MYCTH_80299 AKRSIKRSVKTKEYIALATTINELGFEVIPCSYCFSCGLCCHII ESSSCYGKYVRIIDESKRLNRLEQDTKEALYTNRDLLAKV MYCTH_2061412 YINNALSLILRDFIIVYLNNILVYILDLKKYIFTIKEVKYLSYI IKARA MYCTH_2305366 MGRKEKIARSIVRAITEINEVRKRPGSPLASILALFSTLFSLYH LVLRKIRPADFAKLRRHHWDINDNNYVQSFQQEDGYEAREPPLKAIGDMGFSGSTFYS TADQKYLVKSLPRHSEHSFFSEDLLTPYVQYMASHPRALLVRICDFLAATGPSIGRVL RSAPSHHIVMDNIMHGREEAKRKGEADWENWDLKPASYFYPERDIAGGALTSEATKKQ LADEFHDKIVLRREQAEDFFSSLEQDTRLLAEHNAVDYSLFLVRMKAPHQDAAPMRPR QTESDPATVPARPPSGPPTLPSWRTGVASADGKHIFRASILDFFWAKHKVRAKAMTIL IKLWNVLISRHGDMSITTTPDEYRQRFLRMCREIVEIIDD MYCTH_2043664 VSHTGALAAPTDPTVIRLQSLVIAVTAILSILGAAWIIASFSTF PALRSFRHSLILGLAISDCVMAINFLVSSSMNVNRRWIGVPEQARFCSFNGFMTQVFV IQTDDYWALTIVIGTYFILADHRRCSAWTQGHPAVLWTLPWLLSITWAAVGIGIAGYG DIGAWCWFASDRTRLLVNFVPRWVIIAAMFLMYARLYFVLFHAYRHILSL MYCTH_2080506 MAATNGQGSQDEARLPAPILEAPKLSVKLSATELIGNTPLVRLN KIPQSLGIEAEVYAKVELFNAGGSVKDRIALRMIEEAERSGRIKPGDTLIEPTSGNTG IGLALVGAIKGYKTIITLPEKMSAEKVSVLRALGATIIRTPTQAAWDSPESHIGVAKR LLKEIPNSHILDQYSNENNPLAHEFGTAEEIWAQSGGKVTAVVAGAGTGGTITGIARG LRKHDKNVKVIAADPHGSILALPESLNEEKANVPYKVEGIGYDFVPDVLDRELVDKWY KTDDEESFHLARRLIAEEGLLVGGSSGSAMAAMLKAVKDFGFGKGDVIVVVLPDSIRS YLTKFADDDWLAANGLLPDDSEKADGKDEAAQPKTLGQYAADSYGGATVRSLRLKPVT SVLTTSPCSEAIETMRDKGFDQLPVLSPTGGKLAGLVTLGNLLSYISRGRATPQSPVR DVMFDFSRMDEVVTDPRKFGSDLKGKKRKFVEITMDTPLSALSRFLEWNSAAVVTEKT EGGGSKPVAVVTKVDLLTWMVRQKS MYCTH_2305371 MAQHRDGSGPPAANGAPTQPLSKSDTAAPKLNNEVELGNMPKET PQPDIMQMARIGDIAGMEKLFETGDYDATYADDEGITPLHWAAINNQYAMCKFLIARG APVNKKGGESVATPLQWAAQRCHYYTVHLLLQHGADPLITDAQGYNTLHISTFNGNLL LIILLLHQGIPVDVEDAYGHTALMWAAYKGYPACVDAFLRWGASVHAKDEQGFTALHW ALVKGSAGCIQKIIEYGADRFAETSTGKTPAITARELNTTAAWHKALYDCGYDEDGNP IVPSWPGASYLLKDRRAFTTKFFFLWPFVLVWATLVIFAGMPVYAGVPFGLLAAYTVQ WVGRQLLHYAPPDMRQFEKTPWMAGIFAASLTLVGLNWFFTILPATAFGDAGTWFWNF LFALYFGLTAFFYTRAMVDDPGFVPKLNGIAEQKAVIDELISQWKYDEGHFCVTCMIR TPLRSKHCRRCQRCVAKHDHHCPWVYNCIGVNNHRHFIIYLVNLTVGVLVYDVLVARY FSALTPMASEECNVLAPNLCRVINADAYTLLLAIWASLQLTWVSMLLFVQFLQVSRGM TTYENMFGVNTRPPASLASAFTSTGTPLDPSVAPPAGSDVGPHAPGGGRHGHHHHGGG FLRHWGRLLGVDTFIQTARGRGAASGAAGRRRGAERNPFNRGCVTNWKDFYWDQAPLF GRRETGAAVLGGRPVNYTELYEIPTDIPRAGGGRRSGGYEAVAGEEV MYCTH_2305375 MMLARYFSSSWQRFSSYSPVNGNVPPVTDDNRSGPRKTAARNCP TVADILSSRRLRICLPIAVILLILVVSGHRYDALARIPSGWAKGGASSNEQTTAPAPA VDSIVDASKVDWSRFAYTQYVTDSHYLCNSVMLFERLQHVGSRADRVLMYPSYMYDPN AAWDGVVSDNARLVMKARDEYGAQLVPIEVQHRDVGDKTWAESFTKLLAFNQTQYDRV LSLDSDSVVLQNMDELFLLPPCPMAMPRAYWLYPETKMLTSSLMLLQPSAAEFARVME RVSQAARSDYDMEIINYLYADSAMVLPHRIYQLLVGEYVREPDQHAAYLGNDREEWDA VAVFNEAKYLHWSDWPLPKPWLDIPEKARLDREPKCHMRNGVESCAERDLWNGFYQDF AERRKRVCGSVPGERSHRLRRRG MYCTH_2305377 MLFKDGIIQNHSLSLIDSAQQMFVYPGGTWYPIFTGCLSVGAPE RFQVFTGDGASRPTLNASMIPWALKDQNMTTSSSFGLHYGSAASAAKMTGSLLYGGYD RNRVVGKMLSIDGDLFKPVTLQDISILVIQGSSPFNSATAISGLLAQGNSSISSAGLP VILDPCSPYLTLPKSTCDSIAAHLPVSYNASLGLYLWNTSSPRYAPVVTSASTLSFTL MGASNTESLTIHVPFRHLSLNLTAPFTATFEPVPYLPCFTGGVGAYALGRAFFQDAFV GANWEKRKVWLAQAPGPNIPAGVDAVSIQPDQDAVVAGGND MYCTH_2305379 MSRDEELSEAARSYLHPLKAWLNHADERKTLEGELEKKYMELLK EYDKKCAECEYQKHLTKVLGEQHRKADQELNRLRADTESAPFAFVVIDGDGAIFREDL IALGEEGGGQAAHELHKQLKAYFHDSSSFSNIDNIFVHVVLNMKDLSRTLHDSGVLLP VNDHAALTKFARGFCRAQPLFTFTDVGHGKEQADHKVRKLFEVMERNIQCKRLVLAGC HDNGYATFLESFRGNEKICLLKTTPPAADFRKLSFSWISCPSVFRSDPLPSKRPEPVE ALAAPPHDPIIPTTFNPSGDGTNNPPIRASPSATESPRPAASSPQAAESRPGPRQQTS YATVGQTAAAPVINIASQRRPASPRPYYQLNKNDERVDVPLARPDPQVVQALENRKNI NGGINLCNRYHLIGHCDIPNCRHYHGERLNAAGMLALRHKVRKTRCNSGLRCRDVSCI YGHHCTNPGSCRYDIDCRFFETHGMDITPTIKVYEDGKREVIP MYCTH_2305381 MANTARGSRLSGTSPEQLRPTAYLDGLRGFAAFLVYWHHHQLWA HLPPQAAALESSFGFNGRHYFATLPGIRILFNGGHFAVAAFFVISGYVLSAKPLSLIH AGDQAGLADSLASALFRRWFRLFLPIICTTFVYMTLHQALGIWIDGLPLRPSYLRAVL DWSAEMMRFSFVFRADKSAVLSHNFHLWSIPVEFRGSLVIYTALLAFSRCGRNTRLWC EAALVFYLLCLVDDAHYALFAAGMLLRDLDQLAAADDLPPFLDDLLRRPRRPLGLSWA PLLLLALSVYLGGCPARIADVADMRRNPGWYLLSFLVPAPLSDAKWFFLFWAAVLLVG SLPHLPRLRRFFETPFCQHLGRVSYALYLVHGPVLSTLGDRLYAAVGWPGAGRSYNLP GWVNLFPLPMSGPIGLELAFLAPHLILLPITLYLAELVTKAVDEPSVRFAHWLYNKVA SAPPPAGLPA MYCTH_2305382 MILRDLRRLALLVGPFAVLLLLSASLWRSHTDGLRSQVGAFLVT YGPSASRSSSSSSSSSSSASLRKPRLTPNETHYEVFSQSTPDAEFFDIRFDEDVLTPS VIPHPKLNDTWYVVAQLPAKREKGGIDVWAEEVGCLAQFYKGALMCIENTQPLPYEPT LNGNCKGNVELLNLQRGPREARVFFGPKNPWTAYGSNSAIGCYGQFIQDFRALDVLAP EWTANAAHPDFRVGSEIRRSPQSNPVQKDYFLFWDKDDAMHVHYNMFPTRGYAKLEAQ GKTGPDLAGKTAKSDTKCLRRYLPKLSDKSQSIRQATNSLRVTLCSRAEAKCTPHDGN TFIMTLVQHQTDFVHHSEYEPYVVLFRQHPPFELYAVSRRPLWISGRTRREGLPADIV SVTSVNWRDRGLNYHGYLDDVVMLAFQYGNRHSAGIDVRAGDLLVDLGLCQDSS MYCTH_2060238 MCLTKVYYNTYADGAQDITEKSYPCRDGRRCSHPEVRRYDRKFP FTRLADVQAESRRSLSERQPTPYFSSRTPRSAKSSSPSGRRDSGIYMGGGSNPSSKHH DSHDLYAAGGYDTYGYRSSSKRHDPRDSLDSRYDRDRDRDRDRELRLKRTVTDPHIVY VDRGRDDGKSSRSRSRSSSRDIPLGLVPVAEEYGRRRRRHSTSLERDSSDYGYYSGLN HADAAAAPSRRRTDDPKGYVIYDDDDERRRQRREAKRRNSTSGSGYPDEAAAALPVSM MDPYGSAYVPRRAPGAAVVHHHIDGSASTATGSSSSASRKQLRWEDEVRARRERQNAE IASRPRLSTTTTTTTSGEIKGILKRSASDPWGGKSKSKGKAREEADDIADLRRAIERM EIPRGRDRQPRGRDEWDWSGSGGGGGGYGYGYDEMESGKRSKRSRIYAGDGYRY MYCTH_2110542 MDILREASLGCPADLLQRGPPVAAVFKDVYAAWVPACRRVTANT FSSELTELVANTMLVQRISSINAVSVMCKEINLGADVDDVSPAVGKDERLGSKLLQAG VGFGGSCFEKDIRNLAYLAKELHLDINEDQRQRFARRVVRELNGSLRGKKIVILGFTF KDGTNDTRNSIAVHVIKDLASEMRQEIAVFDPGCAAADILEEVRRIGLSTVQMERVKI SAGWRWCIRGPSAACILTPWRQFHGRGLGNRPTRPAKTAKSGAHVFAARGPDGSLREL SILEIE MYCTH_2110543 MEWFKYSNLAFAVPSIIHSSLLFRFWTKAKYGFDVQHAMVVQSY AYLMAIKDRLLGIELMWAASGDRKAHKGNKYCNMRILCWVWTIVTLGGVMAAVTYYWL LSGFPWYQTIPLLVLNMYNLYIAHYFLFCSWRW MYCTH_2093133 MPIGVHFSRPRWASQLRRPGYLRAIPAPALQLIGLLIFVNGLVW VAAGIVLHYNPELISPAVLSYTLGLRHALDADHISAIDLMTRRLIASGQRPVAVGTFF SLGHSTVVVVTCVAVAATAGALRDRFSDFQRVGGIIGTSVSAAFLLLLCAGNGWVLWR LVRRLRAVLEQQQQRAASADRGVGGGGGLEEGEEEESNAAQPLQLEGPGFLANVFRKV FKMVDRPWKMLPLGILFGLGFDTSSEIAILGIASIQAAQGTSIWVILIFPALFTAGMC LLDTTDGALMMALYTSKVFSRDVVAILYYSIVLTGITVFVAAFIGIIQVLSLVENVAE PEGSFWEGVGAIGDHYDIIGGCICGLFLLVGLGSVLIYRPWRRRMDRRAHRWAAPGDS ESPEALSSEPQSPDPLLPGDQPRAPGYGVAVGASGDSTKKLDTTITPPA MYCTH_2305389 MASVASLPNPPKPLPQVPGPKLAPFTATAHADIEFIEELGNPDS DVDSRVWKVKINGLDPYYVLKMFPFALPRYLGSTTGRYLTRRLSSPLHYIDFLDPFNC ECRVYGRLKQEGREDLAVRAYGYLLLTPQQEAEVAEVASGTDILPHVPANTETVLDGC NFWGRWEVHRHLPVRAIVKELVTDYTPFVPSRLHDHWRDLEDLHKLGILVRDIGPRNY MASGKLIDFSRSWTMPSPCFEAIDRVELLRQRHNDALNLHVSIVHFGMGERWDWGDPG YDGPTTLEAHYGVPDGDPLGYYGIDPTAYDWRRWEDDPKAADAFLKYELYAAPESQDD EGVQKDNLPKAGGAGGEEIAGVVDC MYCTH_2305390 MWCANDPLQANLAQQSDWRVFKQQMRASWSPPLLRMMLLFAAMI TCCIPLSAAGWVNRLFVPVRVV MYCTH_111372 MGQKTLQGLVAAAALAASVANAQQPGTFTPEVHPTLPTWKCTTS GGCVQQDTSVVLDWNYRWFHTEDGSKSCITSSGVDRTLCPDEATCAKNCFVEGVNYTS SGVETSGSSLTLRQFFKGSDGAINSVSPRVYLLGGDGNYVVLKLLGQELSFDVDVSSL PCGENAALYLSEMDATGGRNEYNTGGAEYGSGYCDAQCPVQNWNNGTLNTGRVGSCCN EMDILEANSKAEAFTPHPCIGNSCDKSGCGFNAYARGYHNYWAPGGTLDTSRPFTMIT RFVTDDGTTSGKLARIERVYVQDGKKVPSAAPGGDVITADGCTSAQPYGGLSGMGDAL GRGMVLALSIWNDASGYMNWLDAGSNGPCSDTEGNPSNILANHPDAHVVLSNIRWGDI GSTVDTGDGDNNGGGPNPSSTTTATATTTSSGPAEPTQTHYGQCGGKGWTGPTRCETP YTCKYQNDWYSQCL MYCTH_80276 MDAAAEKAGAQQHELKGGAAELETAFALDPGRRAEVEKSLKRKL DARCSYFILLYIMNYLDRNNIAAARLKGLQDDLKLSYNEYATCLSILYVGYILMQVPS NMAINLVSRPSLYIACSMMIWGLISTLSGLVTGFGGMVAVRFFLGFVEAAFLPGALLI LSKWYTRRELTTRNALLFCGNLISNAFSALVGAGVLSNMQGVLGHAAWRWLFYIEGAA TMFFAVLAAIILPDLPHNSRGFTEEERYVAQLRMTEDVGTADRDDEPGQTVWTGLILA GKDPKVYLMMFTLTAYVVGLSFNAFFPTLTGTLGFDYVPTLLMSAPPWVFACLVSLVN AWHSDRTQDKFWHITGPIVLGLVGFVISMSTLNVAARYVALFLQASSYAGFIVFYSWI SSSFPRPPAKRAVAIAMINAFSQLGNVAGSYVWDLEENGFRKSYGIVTAMFGVAIAGC LAFRIILVRLNRQLDRQEQEEEVGAGPGTGTAAAVDADRPEQRNKGFRYLV MYCTH_2305394 MMAFTLKTVEILDKMKEAGSAPYIKLKLAGAEIAMPEPGALLRV NAMIDDLFQALPEHLRMDADYSKMSLDEDTVKFFQVQSHAICYRLLILRVFLLRPSLL AEAQRWTNPAASSALTASSMLQERLHQEICMLCLQTVHTMLAEIHRCPATAGGISAWY ALQVTFASATILLVATLSPNLGVCLDTEPAKSSWDRVMAILNFHKSNVASAAQGIEVL QRYRESIKRRASARLGRHPQLPHAPHPRAALMGNGETGVSPTAAAAQGVPDVTPPPIP YMAQPQHHHQQQQQQQQQQQHPQPLQEQFRHVPTWEGQQHTMPTPPMGGSGMMEGIDP YLGSEALDEAWLVMQDYGPNNWMLEQFRLP MYCTH_2305395 MEASQHTFVAETGQPTQRRKKARLACNPCRARKTGCDGRKPVCS ACSMRGWADKCFYPDSVMQPSTALTLVDIDRRLQKLENARAGQDASRSSPSPARHPSS SLDVGAAHDAGRAGSSSAEPSLASAGTSFGHAAMDERPSDDPVAARPNEPSAVSNMAF MRQGMEVPGHQGRPHDLTVNSESPVSPTESPTFPDLVGFSLHTPDRPPDEFNPQNLYL PPRAFAEELLRWYWQNFHSIFPLLHWPMFKSKYRALWKQKPPPRPAFDDILFYATANM VMALACLRLDRIPLEQRQNQAEDFYHRSLRLVSVETLDTASIPIVQLLLLRAMYLYFA GKADRCWLMSGAAVRVAIGLGLHLDPRRHLNQLEREMRRRIWFGGCVSLDQYGNPSRV LITYHHPPAKTL MYCTH_2305398 MTARTRLATRTVQTARPAPVSTTAAKPVPKATKSAATTVPQPIR LFEDVKAWETWLEANHTDQAGLWLKIAKKGGKIASITYEEALDTALCFGWIDGQKKSL DADHFIQRFTPRRKGSLWSRRNVEKVEALIAAGRVREPGWAEIEAGKADGRWEKAYAP ASAMQVPDDFREALERNSKAKAFFEGLGKTKRYSFLWRITTAKREETRRKKIDQFITL LARGETL MYCTH_2060403 MPPPRLSTLLPLLALIAPTALGHSHLGYIIINGEVYQGFDPRPE QANSPLRVGWSTGAIDDGFVAPANYSSPDIICHIEGASPPAHAPVRAGDRVHVQWNGW PLGHVGPVLSYLAPCGGLEGSESGCAGVDKRQLRWTKVDDSLPAMELVGAAGGAGGED DGSGSDGSGSGGSGRVGVPGQRWATDVLIAANNSWQVEIPRGLRDGPYVLRHEIVALH YAAEPGGAQNYPLCVNLWVEGGDGSMELDHFDATQFYRPDDPGILLNVTAGLRSYAVP GPTLAAGATPVPYAQQNISSARADGTPVIVTRSTETVPFTAAPTPAETAEAKGGRYGR NFRG MYCTH_80274 MRRLLSLCVAAVLASAIEPPRFPHQPTGNGKKLLTYNITTGSPG ELSPSTRTVTWVQSDRDGDFITTDDDGSLVFENVESGDRTTFLAADKIPADYWDYQIS PDRSRVLWAVNYTKQYRHSYFADYLVQDVATGGTEPLVGDSAGDIQYATWNPASSSEI AFVRGNDLFLWNEGDISRITDDGGPDLFHGVPDWVYEEEILSSNNALWYSPDGEYVAF LSFNETGVETFTVPYYMDGQDVAPPYPRELELRYPKVGTKNPTVALSLLDVRARSVTP VPVDAAWPADDLVIGEVAWVTDDHDKLLYRAFNRVQDREKLVLVDTETAESTVTRERD GFDGWLDNNVAITYVGSRGKKDKDKGKKKEGDGRGEEERYYLDLSDASGWNHLYLFSL DGRSNVTLTSGEWEVASILKVDRARGLVYYTSTERHPTERHLYSVSIATGAKKPLVDP SVPAVWSASFSRGGGYYILRYAGPDVPYQELYSVERGPTEPIRTVEANARLHAALQQY RLPRILYFDLPHPSGVNLSAMLRLPNNNKKQKKYPVLLTPYGGPGAQEVNKAFQALDW NAYISSDPELEYATLTVDNRGTGYRGRAFRSAVAGRLGELEAQDQIWAAQWLAERYPS WVDADRIGIWGWSYGGYLTAKVVESGGGKDGKRGPIAFGLATAPVADWRFYDSMYTER YMKTPELNAEGYNRSAVSRLDGFRSLHGTFLVQHGTGDDNVHFQNTAALADRLIGGGV GPDRLELSFFTDSDHSIRYHGQNAFLYKQLTTRLWEEKRRGKTAEEHQWSKKAVLPTR MYCTH_2305399 MGTHADKVDGPGSQSDDRVDVEQLDQVAVPPVTLQSFAHLNEKA ILRKMDIRLIPMLALLYLLSFLDRGNIGNAKIEGLQESLGLTNDQYNWCLTAFFFTYA AFEVPSNLMLKRVRPSIWLPTIMVAWGVVMTLMGIVRNYAGLLSARIFLGVTEAGLFP GVAYYLTNWYRREEIQLRQAMFFSAASVAGAFSGLLAFAIGKMDGVGGLRGWQWIFIL EGIATVLVAAIAFFALFDFPETATFLTEEERAFVIYRLKYQGQRGDDSGREQVAQADG FKWKYVKDAFLDWQIWVNIIVYWGIVCPLYGISLFLPTIIRELGYKSSQAQLMTVPIY ITAAIFAVFAAYCSDRVGRRSPFIAGFLLVMAVGFAMCIGTDPKENPRVVYGGVFLAA CAIYPAFPGVIAWLSNNLSGSLKRSVGMAIQIGVGNLGGAMASNFYRAKDAPRYILGH GLELGFIGGGLVASAILVLGYTTQNRKRARRIQEGALDSYTSQELSEKGDRAITFRYV L MYCTH_2305401 MNSFVPGSPKSSNLQDPPSDRTLGCSLFLCGLPTTSALLSLLDW KDVGSGLDHRVEKARLQLQGGSVITLFRHVPREDSSFDIAPEHLHGSLNLSGGKSGFL FVHDASNPDVEASVRSLRDSIELQRRHGSRGFWVAVSTAQGASVSSGVRNDMVARFRD ELASAGISDAPAVAASLDCVLEMPVVDAARMVGDALLAAPRPSRPGKPERGAPSGDNM TDQAFRQAFLRGNITPWTHMDYLRAAYITLLECDVRDLDLLEVATIFATRMNRFRRRN SHAQHSPEPRTLTVFWLYHVRLALVAMLSFSVRGPTSFKGIFRYFPELVDERLPSTYF SPDVLASSYSRDYWMLPNLRELVELVQYRDSQFRHKLTRPQHEDPHRLLRFAFAVVQR YLRPGETRRRAWFTNLALDSLRRQTMRLRSLCPGVPAYSETQSHFYLHLVHAALLPLT LGNTHLLQNMTYPLFEETFRISPSAWTAYYSPQLWDSIPARARFHPPDLQPLPDTVSP ANFHLLSTHPSPNESFRRAGLVPELPSLEVLQFHRAVLLEEAKLIGETPTPAEVTSHA HLLKYIHAHLVLATPPPAPPERSSVSHHLDLLTTASTLPRDHIAFYLSHAAVALLGNR TTGDNSDDSDIKDNDKKTVPLPDGHGMLSSAAAAPWGAPSGSGQGQRQGQGQRPARWE EWAGGESALVLCWDEAWCSALGGVNGPGLPEGRGGEVWSRVEEGDDRDGEGEGEGGEQ DDENDTLAGGEGEEEDWEVMSAATTLTG MYCTH_2060712 MCTGECVCFFCPRSMVRDRLCPYYIPNAPGGPKAQPLAIIWIEA LFVCCGNHQGNCLNCPELYEPGNIEHQIATHLECEDCTLRNQAES MYCTH_2305403 MGSSMSIPSSASIREETRQTAVLSESGLVTRQYRRAEIPDERNS LRLRVRFGTDAGALVNGFPSKGGVYSLFCSPMELDFLGLDRFETALPSSDPAEEDALC AKIRLLGAEWWPSLDSLWGGPPLLKEKVRFIGVACPLEEKVRFIGVASQGGLWALETN LEDCSNRQLGRIQNARDMEEKCRQIERFGGTFYADPSECPLLDFKSPVPERAAIHVLF ADDDASNQTVARNLLTHLGFSQVTTVRDGKEALDFLLAAAEDKSQRKPDIIFLDTEMP VMDGFECARILRREFPYNTDYSNIPIVGMAYRNPMLENRERWFAAGMSGFLPLPIQER DLEQMLVRLVLRGRSRISLSGPSIEDAGRDASMMQEE MYCTH_50538 LKGNSSTVSIVAFSPDSKRLASALDDKTILLWDTTTGTPGKKLT GYRDSVIALAYSPDGSTLASASCEDTVS MYCTH_101354 MAIATLEFSRSHKAPPANLIGLFFCGAQERLQLDCQERMFPLET KKAGRQRSVLAAALALAGAPSVNAVLRFSCSELVTERLDPLVFPGSPESPHLHQVVGG NMFNITMDPKQHNIGEEATCTTCTFSEDFSNYWTAVLYFRARNGSYIRVPQRPNVGFE GARGGGMTVYYTASYQGGKVTAFQPGFRMIVGNPMFRTAEEASRYRQLTFTCLDTMYT RDGETTSMPNRPCPEGIMANVRFPTCWDGKNLDSPNHADHVAYPASGTFESGGPCPDS HPVKIPQLFYEVIWDTRQFNDRKLWPEDGSQPFGDALQRAMDANCDVSCPTLKTQTIS QANQCSVQRVVNEQIDGWLDSLPGGVQIVGPQPGSGFGGGSNPGNGGGNNNGGGSCQV AKWGQCGGQGYTGCTTCAAGSTCKAQNQYYSQCL MYCTH_2305406 MFPNAPATIPVGPSPQEDRAHNSALHPPRARLRSMSVSLPWRHR LKSSLLEPSLENGTGYHGHRLEKSRSHHGFDRQEDVQLPPTSSIQGNFKRMLRRASVS LKTGVKGFIHRRTSVPATTTFDTDGRPARPQLTGSSHHASRPTTSYSAWHRLRKEASF QRHSQTPFPGYADRTFAHDLDPIESPTLPIPGSGEQPPIIPRNTGAAARHAAAIACNG RHGCDLMDMPMPVPRPGWLAKDGGLDDRESGIGIALTSCETEPYIPEDEVDSDVDVGT SSNCDEAAIVKVDFISQLPLELAIQILALLDAPTLNTASRVCSGWYHVIRNQHIWRES FLREKTTTYATSGPVKPGAGLGVPRVRPTNDWREIYRAKTELDRRWKEGKARPVYLNG HTDSIYCLQFDESKIITGSRDRTIRVWDMHTFACKLVIGPPEIVNDNSAALLYDENNI PVHHATLPDVDPSPTPDGLPRAPIRAYHSVPALYAPPIHHKASILCLQYDDRILVTGS SDSTCIIYSLADGFRPVRRLRHHSAAVLDLVFDDKHIVTCSKDVSICVWDRATGALLR QLRGHSGPVNAVQMRGNTIVSCSGDFKVKLWNIDTGKNIREFLGHSKGLACSQFSEDG RYIASAGNDRAIRIWDANTGECVREMRAHENLVRSLHVDSISGRLVSASYDSDIKVWD METGQPLLDFPKWHSSWVLSAKSDYRRIVSSGQDPKILILDFGAGVRGIEMLESTPAE GEEEPEANQAKRGVHI MYCTH_2305407 MLKRLSTAVLLALGLASSTLAAPAPAPRQESGWWVASIERQGAP AFNDDPNYKVFRNVRDYGAKGDGATDDTEAINKAISDGNRCGQGCSSQTTTPALVYFP PGTYVVSKPIIPFYYTQLVGDAVSPPTLKASADFSGMAVIDADPYDSEGNNWWTNQNN FFRQVRNFVIDLTAMPVEVGAGIHWQVAQATSLQNIVFNMRTDGGEANKQQGIFMDNG SGGFMSDLTFNGGNYGAFFGNQQFTTRNLTFNDCRTAIFMNWNWAWTFQDVKINNCGV GIDMANGGPGGQTVGSVLVLDSQFTNTPIGIKTAYDPASPQTNGTLILDNVDMTGTTQ AVVNEGTGAVILPGNQKVSFFAQGRAYDGAGAGSGKAVQAPQDPVRKPDVLLDPGTGK VVTRSKPQYENVPAGSFVSVKANGAKGDGKTDDTDAIQAVFDKATPDQVVYFDHGAYI ITRTVKVPKQIRITGEALPLILAGGDSSFKDQANPQPVFQVGQPGDKGEVEMSDLIFG TAGPQPGAIMMEWNVAGSKPGAAALWDVHTRIGGYAGTQLELEQCAKNPDVTNPVRPE CFGAFMMLHVREGGSVYLENTWYWVADHGLEPSARDGQIDVFNGRGVLFEGEGPVWGW GTASEHSVLYNYQFNKASNVFLALIQTETPYFQGNPDATQPFAVNPDFADPDFAKSCA ADDAGCRRAWGVRAIESKDIFVYGAGLYSFFDNYAQDCLRTESCQSHMVSIEGGSRVH MYGLSTKASVNMVTVDGRGAVLDRDNRNNFCATLAFFQSA MYCTH_2315372 MADTDRPARRYKSPSELSREPDGAPFPPSSPSSPSSPTSPTRHT ARITCTTHTHTPPQRTSPYLPTPTERAVLALYPAVLVFGALFSQLSPETRAAPYDTAR QAHVQDPALAPSYFARKDNLLNVLFVKRGWAWISVAFWAFLLTHPAAGPGAKTRARAA VRWAAVTAWWVFVTQWFFGPALIDRGFRWSGGKCEVAEEVVRQGEAGTKEVVTAAACK ASGGSWRGGHDISGHVFLLVLGSFFLMQEAGWVVARWARWLGEERSVVMHDGAVKGAL VEADNRRDRQEEVVVLTALEALGRGGTLVAVVVGLCGWMLLMTAIYFHTWFEKLTGLL VALIGLYVTYILPRWVPALRGVVGLPGI MYCTH_49355 MLSVKVPANLPELVKAAFNRARASGDVHFFPTQVTLVNVNSIPF QLRFSPALASKPQVPQPPAPSPDAEPSAKEGRPRQPQKKSFFDPFDNPPEAMLITPLD PAHNLVLNKFAIVPEHFILATRAFKEQTDLLERDDLEAAYACIEAYHNSSENDDSGSE LYVFFNSGPASGSSQPHRHLQMLPVRRMREGLDGGDGGAWDVLAGQLLDPGVRRRVPF STFAEPIGPGADLLGTYLRLYRRACAAVLGPTAAAAGASQSEGRPDGEKEARVEYNLA MTRDVMAVAPRVVEGTAVTAAAEDGTGRKEVGRLALNGTVLAGTALVKTQAEWDALRA EPEQLLEILGRIGVPTVPAPSPL MYCTH_2110559 MFISPGEPQVDMVSLRDHVFTLAAAESAPWLFKLNHINTALVVQ QQQLHDMSFHPLSLRSGWPQQPAKRGHQPLPAYGTGYCPEAEQLGNSLSLAAGDDSQT STGNRASESPGSTVSHYPLCAEQTGISHGSGTNVPVPGAPELPLGPPYWHLVPVPHGS PAAPPFAYGGDPTWNHTQHGAHSLVDYSDYALGDPAGALPQAVFQHAAADALHGLPLA PMPEGDSSTNSTLYSPSDMLGAIVLGDEPTRSSWDVSPETKAAVREDTGRCVRVVTEP HAISGSTWAEPEPEPEPADETTVSPKMLRIRQTPTPSSSSSSSSSSSSSSSSSSLSSS NDSVHASFPADAHHGEPPAAPVDPALSNSGGRAKRGGGKPLAENNSRRRALIPGTTTT TTGNASTRRQRSADSSSSPTPRRLTRLRPKPGGSAVAVVATTTTMSPTPPPPPPPLPL LSSQAPPSQSLQLRRGGAQETTTATATATAAAELADRMSKDEFLVRQKRMGRTYKEIR RLGGFTEAESTLRGRYRTLTKCREARVRKPEWSETDLRLLERGVRELAHTADLNPAKV PWKRVAEYIVAQGGSYHFGNSTCRKRWDELVREQAALGKSLHQPFFDDDDDADDAYDD DYGAAVVVPAAVVAAATPDGNGSGHHGRGGFLA MYCTH_93602 MFQSNHVLNTQAMEESTRSQQMFSLSAARCNNQSWQNHVPKYVG MQHLMPVICMRGSHSRSVVVTKHPNSTYQAPNFWTEFRRSTQNTSNTQTRFDAGMFDD QALRGCSAS MYCTH_2118654 MTATPPPLEFIVGWDEPETEDPENPMNWSALVKWANILILSVIG FLVPLVSSMMAPAVQLIMDDYQTTSTAFATFSVSVFVLGFASGPLLWAPLSELYGRVP VYHATNLLFLVFTLCCAHAPGQGAFLAFRFLSGFAGAAPIANGSGSIADLVPKEQRGK FVSAWSVGTILGPMIGPIIGGFVAETAGWRWMFWSISIAIGAVTILSFCVLRETYPPV LLGRKAARLRRETGDDRYRSKLASGIGSAELFRRSIVRPSRMLLCCPAVTILCAYVAV LYGTLYLLFATYSFVFGTVYAFSPSAAGLVFLPGGAGTLLGLAYMARFSDRTIAKRAA AATATATMATPEDRLPLVVTLPGALAFPAGLFLYGWSVECRVHWAVPLLGTAVTGFGS ILVFVGIQTYLIDAFEKYAASAVGANTVLRGTVGALLPLSGLDLYHALGWGWGNSLLA FLALALAPVPLLFGRYGARIRKLGGSRVSL MYCTH_2118655 MAIALSMTATSVAIVFVSTILWHILQRRYFHPLRSAPGPWLNSI SELPAALALVAGNQHVYYQYLHERYGPVVRVSPNELSFISVEAREEIYGLRKGGLNME KSPIFLGAVGSVDGQTGVSLAVNKEHARQRRALGYLFTNSALAHLEGLTQAQIHKFIS KLKSMAAEGQAVNVSDWYTYLVFDIMGELCFGEPFGCLDQGSATEWSTSVINVFVAAT WTQAIRRISGVGTWLESLLTWLLVPRQAAAWREIHLRNSREKTLRRLADPDRDHGDFI HQILNSHSAKSLSQTEIVLNMGLFISAGSDTTATALTGWTYFVCTHPAAYRRLVGEVR GAFAGAGSGSGSEPGEEGERAVSWARVRDLRFLEATILEALRLYPPSPASQQRVVPRG GATVDGYYLPAGTTVAVAPWASNRSARNFAEPDAFRPERWLQQQQGPSGEGKGEGEGV GEGEGVGDADAAARFAGDRLGASLPFGTGPRVCIGKNLAHMEMRLIAAHLLLNFDMAL DTDPRAHGQENKVWGLDGRLRTMKVYHSMTKPPLWVRLTPVRT MYCTH_2305413 MEDDAEAKRFQRQCIEHLENVLERVRSFGESVYILNDEHLAALN VRKAEPLDIEEQSVDFGRRFFYPRFTLEEARKKTTDFISENGQDVGHYGDWPKRCRRG SYVGFESTPGPYDPEERHPLCDPMTHADIRLRWFLTVMGVDFLPWIDTRNFGVWEGSE WCYVSERDLFPNRMGNLPIHYSHGPRVGNPPHQYAFYASAAFVIPDPSADLPHVGGTV VDSTEPCEGEVLRSEVAAAVGLLKHQFCRGDFRRHHTLPAIVFSFHHDRFGRVTQFHF DGRSLVLRQSRLLDFRSDEPTVDAYHMIRWMANRPMGETRFLRTAAEEAEGSESQDMD KNSTQLPIDVRGA MYCTH_2305415 MVVTKTKNPISPVQWWKRAKDLNLDPTSLNVTTYEAHSASKFNE TDFIHLKAVWENRIVTEFHISEYVREEYVRRAEELVGLRASPSSEQGQPVCLLRQNLR AFIDASAAPFRLNDPVNSRLGPFLIVKWYLERTKAVNNQGLDLGGDVPKLLRTSERLR EKRRAAEAARKAEEASLSQKMGAILLEEQNAREPTTSAKRPQTPSTQVIDGSDAAVAA TKELDVISPESMQFDKSRSEDEEIVNSALVTLLITTTLCSGIGIGGRQGLEWLPKREC FRLGPLNHPVCEARTDGLLRDNGSWSGGEAHPCHLGSEAIQAPHGLGKDQVARSLPNG RVDLDQP MYCTH_66924 VSNSANNPSLVSTRNRSRILHVTVLPLLRISLRTVLSLYLALGA CRKASSVK MYCTH_2118656 MDGSQTFDQPGLRFGVEIELVVKSKSRNHADFRSLAMEICLHLE KSRIPSHVACLSQKADVTKSYQKWIQNSTLPSNPAENLFGVELVSSIFYTQQRHKWIP ELRETWRVLESGFEVHATKECSTHVHLSPASGPWTLSAARGVAKEAIFFERCIDGLMP GHRHINPYCTSNRWNAVYGGPDMPQIFDDLSRAESLADLGERMCWCSRDSVHARQTLH QDDFVHPHFRWNLTALTESRTRTIEFRQPPASRNARDTLAWILFAACLTRWASERADA ALNPAETPEIADLYRYVGAGAHVSVVPDDMLALLEGLFRSAKPLPAPRHDLKGMTEEE MDELKTEAKRKGMSLEKYKALFAYE MYCTH_50286 MPLWQIFHPPSTFTTPASKQALAADLTSIYTSAGLPAFYVVVHF IPVPGADTFVGGRPRSEDAGARPFVRFVADHIAVHVTPKNGDDDDVESVQKTTRVLDR VHAAIRPHVREQDCDWEVHIDETPRGLWAINGLVPPPFGSDAEKRWAELNRPVEWEEE QPE MYCTH_2305417 MLVGDPNNREESKVPPGLCFRCEANKQQNPFGGAPCTGADTKSF PKSTCGGGWRVTVTFPSCWDGQNVDTPDHKSHVAYPASGTFESGGPCPASHPVKIPQV MYEIMYDTTPFNNKNEWPADGSQPFYWSHGDNTGYGIHGDYVFGWKGDALQRAMDNKC AGDRCAPLQRQSDADAIACTKPQSAKEAIGDDWLPTIPGQQ MYCTH_2305418 MGFLRKVLTGKFPGSRRRRSEAEHREHEDAEGEFPAAAVRRHAL DTLPRLPTPRRPITPIPADPQQQQQQQQQHPPCYFFRLPPEIRLQIYRLLLTGREIHL DMRYTAADTTTPHSIRGASLSPHGRRWRWHASTCHRHPAAHPLADRCTWGGGLPTACH LYRPTRSPQHEQHQKHQKREGEEQDEGEGDKEEEEEEEEEEEEEEDNDDDDSECRVGR EVMGLLLACRLTYRECVGLVYGENVMHVGSGALLLHTEALLPRERAAAVRSLVVRVAE EAAWDYADEHLGLRRPGLDAYHAMLARLPRAFPRLRALTVALHGSLRRGRVRWRQGER ETLDPAQVRHRLLETMDAVVRGYNHNNHHHNHNNNNHVGVPELAECVLALAHDAFDRV MGADRAAADRVQESKEGRVSGSSGWVQFWRPVTVAPGARGGEAAPETGYWVRRVSPWE ADDDRLGTGLSLSL MYCTH_101345 MASPEPNGWSSYKSAPDGPNKLDECGCGLIYQTMLRCQKLKGVN SGVRECVCIPNPDGWYGWMDGCRACLSPGSYDSQDFFDNLSRTITQLFVSCTEVGGGI TSDGESICASNAYFEACAALKTDGKTSWASYDAFSSNGAFASGEGGNGTYVLNISEDQ ESASATPSSSGTAITRPKSETSKTTTASTTTTTETTPSATEGSTTATEASVTSASGTT VATTSGSATATTTPSSAMGHTGSRSQAEHLMGLLLLAAVGVALI MYCTH_2305420 MVLFLFLFLLHCCLLSCYLLAAPSLLIQTDVELRISTLALGSML AGSWYIWEAGRDYMVAAEADKYEPRLLGTHREGKVNRDYVNYERWVEEKHGNKK MYCTH_2305421 MHSLHGNVSTPIFNHLGSSSWHSYDAAMIVRLGKGIGSHVPLFG VAAVCAAFFVIRRTKRRRVAL MYCTH_2127263 MSLGVLVLQNSLLVVVMHQCRNTPTGARPRYLASTAVLVVEVVK LLASLAVAARDTYSSRPGGSVSEVVGALYHSIFAPDRWRLVIPAVLFVGVLWQDGAAI KRGGFFAGYDPLVWLTIGLQAFGGLTVAVCIAYADNVAKNFAASLSIVILYPFAVQRG L MYCTH_2305422 MHAKTKPSIVFLHGSGTNPDIFRMQTRKLAALLQHHFTLHYLAA PLPRGPGPGVLPFFEGCGPYLTWMDDSSAEAEKEYWDGSPLFERLLEQIKRLSGPEGG SAGAGPVVALVGFSMGGKVAMELTRRLEGEGDRRIKMVIPVCGTVPLQGGFDEGGARN ETKEKGYREILGRGPVKAKSIHLIGEDDPWRPESEVLVDFFDETGRSVIRFKGAHHMP LDDALNRQVARMILAACKDG MYCTH_2110569 MSSYKDTEDYIWYLNGAGGTRKRHLGMPPFERNEDGEIELAPGE VYCRFSNEDGDLCYKTDAFSSESNLKTYIKKHKINGNPARIKGKRQGANNIDDMNVAC KFYAKLREIVEKGDEEESAEPMPTPSKRPAPAEAAPRQDRRPSLPL MYCTH_93590 MSAQSNRCARCGNNTADRLLCVECQRSDMVAGSGQADNYSAAST ALGYLAQPGGYYPSNIPANPYAHRLRLYPQNHGDNDSQLTPSESWLAPPPYTPSAPNP NQQLAPQQPVQGFLGTPQVGFQLPAPSQGPWVPTQGLPYAPVAAAAALAAPNNPPFLG YQLLPLRFGAAAAPFGPYSPYQTLLAQRYHLGYSNNSQTSAGADDRHNDIKNQGQQNL NLYKERADNQGQSDNGNNDNDNDGEPAPRPLGTRGRRNAALVARGLCIWCRRPNPDRR KKGCPTCLPRRAGLTAEWRRKRKAAERRRRGELGDLEGEDEGEDEGGREDGIEDEERG R MYCTH_93589 MAPPPPSSPPPPMVDEGYRAGDRLVVHALAPVGTLLGIACITTG LRIYWRLQPSQRIGADDYTLLFALTADLGPARSQFVTIAWYGIDAAMYHHGRGLEGFG PDPWTMGPLIVAGGVLWVWGINVIRISVALMLLRFRDSRSLPWTMTVWAVIGVQTCML AVGTIMHLVMCRPISARWAPTPSANCIKPTHFMTYGYLYSGFTIASDLIPSLLPITFI RTLSRPLHEKMLIGCLMAARTAATGVAVARLLLVVGYLGPGGPVINVEQDILWGLELT IGVLAASVPSLKAPTHRILRSWGLLRSRSTSDMSFQSFLDRLPNGSHVSRRMGPWQYA VPDQDPRTEGGSGEEMLKGSEALQVPGIRRCRKARLVTGYKMGYFAYNISCSLS MYCTH_2144007 MISMRSSLSALLLWSVVPLIPVASAGECSYGDIPQIIAHTGEPV GREEKYNGVNLYISEPGCENPKVGVLYLTDVFGIQLLENKLLADSFARAGFLVVAPDL FDGEPAPADFGTPGFNITDFILKHGPNATDPIVDVGLAYLGETGVDKIAATGYCFGGR YAFRLLAAGKGVDAAFAAHPSLLEDREITAVTNPISVAAAESDTTMSPERRSQIEALL ADTKKPYQVNLYSGTSHGFGVRANVSDPQQKFGKESAFSQAVRWFEAWAGGAL MYCTH_2305424 MSARPNQRNIKWVEGLRGVTSVLVIVTHIARAFDYALFFPADSK DGAPRLLQLPYLRIPWQGRIGVPIFAFLTGFVCAYKPLKLAYQQNNAPAALKSVARSA FRRPPRLVLPALIATFISFVMSVLGGYKAANHCDSHWVRFDAPDPLPFRENVKRLFTT SLTTWTNTENLYDRHQWAMRPLLVGAFQVYIVLAATIGMRFKYRMLVHVMLILYWLLN HNRLTETFGAMLALGTLLAELSQHRPTQQLLTNHQKLCTYVLSPLIFLIGGYVGSYPA EHEDWAPWSLRLNHFLTNPVGDHRNGSFIVPNGTDILRRTSSFFIMCTAVSLFLSPAL QKLLSHRLLIWLGHHSFAVYLVHGTILRTVGIWIVYGISGEPFVPGGKNEDGSNRDPI YVKPKGRTHKMVAIVVFTTLTYIAAWAWMKWVDTSCARATQWLEEKVFDDEDNEGKAG LAEKGYANGHANGGVPPPRSHQEGERSQPPP MYCTH_2305427 MTTREPEFPPVPQKMARTAAAGPDMADDTVMVDAHTPATGLSTM PPEIIDVILEFLIPQPPEIGETRPVAYSQLMVDEPWFDFTRCRRGLRSLCLVSRRLGE MARPSLYKNVAILDEAAMLLFFRTLCSKPHYGLWTRYVSCHLTLTENSVIREVRELLP KYLPTFAPAPETGALITAARHFLQMMNQFFPSMVLSEGDFDHVPQALLCFILMFLAKV ETVLLQVPISDDQPEYDVLCAQIEGVQDLFRDEPEAIPFQNIRTLLLQGDPELAAQVE DDDCECDMPDTWGAQPRRYSSLFASFPNLTTLEVSSDDGVWCLAPEEFSFLPMDDKPP PPPFMPNIRHIYLHNSVAYPGDLHHLLRNAPQLETLYMAPRGDGALKEMIDDGSSSEH PESLNIALANHAKNLRNLDVSWEDIWGFECLVGPEGRLPSIAEMTSLRTLCVQLALLY GKPSAVLETPLVDLLPPNLVELALEDWWWSNLELLQTLPDWDTQAKVRHYQSQHLYRT TAVRTLTEFARDVRTRMRDLKKVVLLVKIPWTWVLEGAVSLDFHFDVVKSVFLAQGVE FSVKCDEV MYCTH_93585 MEHRQSVGQSSDRAFVDHGDVSNELGNISNNSPEYNHSLLPSKL PIGDPLYSSLQQISLRTIYPDFAGASYIQDYDQKSCWEASCNGDGPFPGRTGTLAKHF QKAAHNRCRGARHRKFGRYRPRVARIYPFLHTGKPGTQDGVLRSPTPRVVKLPPKSAT WPTDDISIERKDQKTRPSDNNLLGIPAINIITTTFRLNSSDLTISKLFP MYCTH_2118664 MAQQAALTPLRATWRAVTWEGDGDAGHPHNWSDMHKWAITVLLS LGGLVTLTFGAMLAPALSSIASDLGTSQDEAQIFLSIFVLSFAFGPMVLAPLAETFGR RQAWIVPNCFYILWNTVCGFIVTAGLLIAGRLLAGIGASVDFALTNPVLADLWPPSQG NKSFAIATFLALLGPALGPIGGGAVTQTIGWRWIVWILSIFDGVLVLVGLAVVRETYA IILLRRWAATLRKQTNQPYQVANNDGGSDPLTSKLSRALTRPVRLLATQPALQIMSLF LAYNFGVLYVVLSTFASLWVERYRQTEAQSGLQYLALVIGYTLAAQVGARATDRLWRH LKEKKKKARGDGDAVPEYCAPLMVPGAMLIPAGFPFLRSIAGFAFPLFAPLMYRSLGY GWGNSFLAFVSLALGLPAPLLIWPYVARIRAKGKPQS MYCTH_2305429 MERPQSSISLHDRPPFPGHHGIDLSTDDADQAILTGHSLQTHKN REILDRPENDQDQPLEAGTNCSRRRPEEEARGRPRDSMTNMSSSLIGKTVKPFLREHI PTLYAPVGKSKNEESARENNPNTKYCYRHRPDSKCRRAADEAKMVMIQRELDKLTPAD QQAITHVWSLFSAAPARHRELMLQGVLAQLCFPQLSLVSREVNEALKIDFITALPVEL AQKILCYLDTVSLTKAAQVSQRWRMLADDDAVWVRMCEQHVNRKCTKCGWGLPLLERK RLRNYTRARQLAKDNSNGRIQELSDSLQSDSQGQTSAKRHLESNDGPDAKRQCVDKPS NEEPKPRSWKAVYKDRWQVGYNWKYGRCSVKTLKGHTNGVTCLQLDDNILATGSYDAT IKIWNIETGEEIRTLRGHTRGIRALQFDDSKLISGSLDHTIKIWNWHTGECISTLQGH TDGVVSVNFEAQLLASGSIDKSVKIFDFNSKEAFCLKGHSDWVNCTRLDINSRTVMSA SDDTTVKLWDLDTRQPIRTFEGHVGHVQQVLLLPPEYEPDDELLTGNAGLGDNSDAVS VASGTDGTPTVSFVQPERRSSSPSREGDIRSMYGPSFASDPSRPLPPRYFLSGALDST IRLWDSATGRCLKTMFGHLEGIWSLAGDTIRVISGANDGMVKSWEPRSGKCDATFVGH RGPVTCVGLSDSRMASGSEDGEIRVYSFKDIGTPNGQCVLDASTPS MYCTH_2305433 MATKVGKDGKKPTTAATNLIAGGGAGMMEALVCHPLDTIKVRMQ LSRRGRQPGMPKRGFIRTGVEIVRKETPLGLYKGLGAVLTGIIPKMAIRFTSFEWYKQ LLANKQTGVLSGQSLFFAGLAAGVTEAVAVVTPMEVVKIRLQAQHHSMADPLDVPKYR NAAHALYTIVKEEGVGALYRGVSLTALRQGSNQAVNFTAYTYFKEWLYQWQPEYKGGN LPSYQTTLIGLVSGAMGPLSNAPIDTIKTRLQKMKAEEGTTALQRITKIAGDMFRQEG LHAFYKGITPRIMRVAPGQAVTFTVYEFLKEKLEKSGPAILTGGRYEE MYCTH_2060085 DALCHPNIKPRPKGEWVGGEIARQFCLRLSETATKMFKRRFLET WIDYVESVAQQAKHRSQSRILDLDSYFLLRRHTSGAPSTIALWEMDMDIPDHIREHPI LRMLETLAVDLIVIGNDLLSYNKEQAVGDDEHNIVTVIMAQFGMDVQGAIDRAGELSR EKTDRFNALYSLLPCFTLSQASRARSFVL MYCTH_2305436 MGAVFSSLPGTLLLGALLAGLYRLYRAALPKPLPNISYNRDAAN KLFGDVPEMMAYVMRTKRIFCWLTSLTMRHQSPIIQAFIKPMALPWVVVTDPFESQDI LLRRTKEFDRSGFFGDLIGGILPEQHIQFVSSDARFKNNRNLINHLMAPTFITEISGP QLYKVALTMIRLWELKCSLAKGHPFHAHHDITYMALDTIFAGMFGHPETESVTLGRLD EVSGWEATREQRLPASEDEPVVFPEPELPAIFAAALTLANSVTDTQLSPAPRLTSWVL RQFPFMKRATAIKDQYIRDRVDEAVELIEQDASAPPRTALHSVLLRERDVAAKEGRQP DYRKRAIADEFFGFMLAGHDTSATTVAWGVKFLADHPAVQDRLRADLRAAIPDAALAR RAPTYQELSRAHVPYLDAVVEEVLRHANTIAFVVRRAMQDTTVLGRHIPKGTDVFLMA NGPGYLEPNMPVPDEMRSPGARRQHASAAGDNSGGGADGSGKKAPALTGAWDDGDIGA FKPERWLKREAGGGEAFDPMAGPTLAFGLGHRGCFGKRFALHGLKIQFALIVWHFHLL KVPDALNSYEAVQRFAREPTQCYVRLSTTTI MYCTH_2118668 MSVSLPISTASGTSDLPSAAPPPAPAFDFKARLFRPFDLSTGRG KAVAATVGLRLIANIAHFILAGLSARPAWCLWYVADQLVVTYAVSFIADAAGERRIWG TKWMVGERFFELFLGFCGVVHVLYMAFLIFCVVSFAIFFGVTGGSVLTVVGAAILPVG LLAAMPEAEEGGLSLP MYCTH_2305438 MEGQKEHRQIRLSPYFRPAPEPRGFHARRLTRHHFLSNRWGLGQ HRASGPPAFLPEMPQARSGLRPIFQRTQAAQTREIIQSTLDSSFGVLRDEIRTVDRSI QQLGKDISNQFAQGLERLLESRLGPACAERVGREENENRKNLQLEHQLEIDNLKREGE LARQKLKEAEKDLEIANMQTQAAIGDLVRLQRIMDAQPNGAENDGKRKHAEKFIMTEF LRLRSSVRDLAESPLLQLGRLSDTTAAGGDSLLFSPQAWNRASTRQRRYRVMTAVFQL LFRRILRPGLRMFGVQVFLRSKEHQTISASEAHLRSLERELEANQGQSS MYCTH_2305439 MVTFASGSLTKLSSKDQLELLDSIDQLRLQGINHYISLPQIIVC GDQSSGKSSVLEAISGIPFPVKSNLCTRFPTELVLRKAPYDSVCVSIVPHESRTEAER KALMDFREELDEFDALPELVDRAKTEMGITTHGRAFSRDILRIEVTGPNSPQLTLVDL PGLIHSETKNQTTSDIQLIKDIVQSYMREPRCIILAVVSAKNDIANQVVLGLARTADP KGTRTLGVITKPDTLVPGGGSEAMYVSLARNQEIEFRHGWHVLKNMDSEKGPSTLVIR DIEEAEFFRSGAWATLPHSCLGIDNLRTRLSRVLLQQISTELPGLIEEIDQKFSCCKD QLDRLGRPRASRTEQRLYLIRLSQSFQDLVKASTGGNYDRPFFTHAEDKLGYEQRIRA VVRNLNEEFASEMRLRGHYRQVVDGCKDSYPAPGGVISISRDEFVDHIDKLMRRTRGR ELPGTFHPMIVVDLFREQSRPWEAIVRHHVEKVWEAASRFVSLVATHTADQSTAKALR RFVIDPAMGKIMDEMRAKTTELLNQHKSGHPITYNDEFTEALQKVRDVRRNRNDPAEI LRQFFNLSSLGSGDYLSSYYDLKSLAKSLAEFREPDPRRFAANEALDCLNAYYQVCLA GPPFPSPGGQQSLPNSGSQLVCC MYCTH_2127280 MANAFGVIGEQIRQFLIRRVAALGGPLQSRCTAAGSSPTQISGS LLRNDGTPSPGNMRKYLERLERKQYANGLSRGHGKDGCLATELTPLSVAHKDLQLLRR GKA MYCTH_2305442 MAMSSESRARTLTAVNITALVLAVTVTALRCFVRIYLLKAFGVD DWLMAAAAICFAFYCSFSLSGVAYGTGHLVSEISQENYAEAKKWWYLCYPFYAVTMML VKMSMTSFFRRVIVERMHKWILYLAMVATIISCIVFVFTCIFQCWPVSYFWDKYTQTG TCIPDRIVIALAILFSVINMITDFTFALLPAWIVLRLNMKLRTKLALCALMGLGCVAS AAIVVRMPYLQAIASDEFLYDTVFVAVWSTVEQCLAITAAGLATLQPLVKLVGYKLGL TSRPTVVGGVASGQHIPMTSGSIAVKRSFARRTEQRTSAHNDLGLAAAAAADPAGLKL QPVVGEYTAACYNTSQEFLRLPSSEGSDLSQSLGVDKSRR MYCTH_93575 MSFSTCLLEVGQLWRTTLSAACLPYGFGLHPYPYFSRTPTRKCL SRKQRHTSHGHTWPNQPNKRWTKLALWSPPSKEDFDPRSRCRGENGLLFFLFFFLVLI VVVVVRQRHRGCIITIAVADHEQDEDGTAFQGLQRRFWDRKAPPPSQYHAHSPEREKA GTLKERIKVIPIKHMAWCRPLCKSVIQDARGGVRWVDRCSHLHGVQLAIQARHFSLIA MYCTH_2305443 MSTTPPLPPPPGLPGFATAHFDHRRDSESVATLPPYQPADEPHK GKEKKKKKEPLVASSPKADRAQERARMAEEREERKKREKEEKKREKERAREERRRETK GKRGIELGSLSGSKVLDAGIFASLCCCLICCFPCGGGLAAVLMD MYCTH_80233 MKLLSQLSAALALAGGRLAVAHPVFDELMRPTAPLVRPRAALQQ VTNFGSNPSNTKMFIYVPDKLAPNPPIIVAIHYCTGTAQAYYSGSPYARLADQKGFIV IYPESPYSGTCWDVSSRAALTHNGGGDSNSIANMVTYTLEKYNGDASKVFVTGSSSGA MMTNVMAAAYPELFAAGIAYSGVPAGCFYSQSGGTNAWNSSCANGQINSTPQVWAKMV FDMYPEYDGPRPKMQIYHGSADGTLRPSNYNETIKQWCGVFGFDYTRPDTTQANSPQA GYTTYTWGEQQLVGIYAQGVGHTVPIRGSDDMAFFGL MYCTH_2305447 MDVSALDLDDYLRETYHGDDSRQYELEHQHSTTVHGEDELFDDT DRREDNRVSLENAVIEHLGIDPQGAQALRRSLGHLEQSAELHADESDEGRAPEHPADG TGTGTGTAAAASSSPRRTRFSPLTSPTTTVAPPPPAPPLPLPLLHPHDRLGGGRRSES DGPPLRPH MYCTH_2305448 MLWHRALVASFLATGARAGVGGLIADGLSHSNQAVERRMDAMAE ASLQSRGYLEARQDQEQEPILAGESNTPLNADGSLNMEEWNNMVDKACQDALRNVKVP TNPSGTCVCYNLPLLNNRTGAFEADLRLYQLGEPTGDFQGVPQKNIEVELSYNGASVT PVNPQGGNSLKARQEGEEGEIRMLQSYMFVGQIDKDKMSGKVTRAQLEAWVMPVVTLK AIDGTGKEVKTLVSTNEAAFVVGEFANDQVMTNQRLAELAVEKMVAGLENGTVAFVLP GVQLLIFPIGLIITSIWLALGLAAYGFGTYERYNFREAHKRRVALAQKGGMPRI MYCTH_2127287 MGSHVDADPTQAVDGLVRAIRDLTSDPNYKLVADVFSEFLYVKE QNNKLSSSHQVVLEEYRKFRNELEKQKEELENEKKEMELVVQEKVQEIMQLSAVRTRL ESDLEDTQRTLEDKINEAAEAAATAAKEYADLQEATAKAYAELEEKTAKEYADLQEKT SKEYADLQEKTAKEYADLEEKTAKEYADLEAAKAAVEEEKRLGEEAAAAAAAQAADEI AALTAAKTNLEEIKANNEAEIESLKQNVADLEAAKAELEQIKADNEAEIASLKETIAN LEEDKRKLEEELEAARQEIASLNDTIAQKNNEIESLQESLRAAEEQIASLQQTVEEKN AEIEDLHGKLTAEGARADKAEAHGRDLQARLDETTQDLQITRGKLSDLEQYRIVLKSD NDDTYVTVLDKIWTTIVTLVESTFRPDIDEQTLADPSCWSNLRNSPYLKHATQLQIPL PQSNTPAAKGMRISAVLAVLSRAMHKHLFRPVYLLDDDDENLVKFLRALEDEDPTREA HVRATLLSMMPDRQAEQGARRVKMVVREVSWLVQHLLTALQFEAFCTGLEAACRVACE QWRRIQLANVKIEPYFGPPYDDFDWQVLELPEFAEAAERDAGIPHTRDDGDDAIADDR LETVEMVGGAADAADNHSFRDSAGAGATASSLHGPDDEMLDGDVDPDEILLVVWPSMC AVEGGELMSITQGLVISKEQARPALEEQRSRSRIIPRPGSRRARTMSMPGQSRGNSPA RGSRSHFLAQSQTASELMDGADNV MYCTH_2305452 MPSCLRALGFRKKKSKAHLTTTTNEKPKVAHPGSAPGATKVPPL EEVPHAEVSHVQSTGVPVRPGVNPLYSAYSTPVNTRWADSAAAPPRPSDGMVESADKA VDPEEAALRKAAQEEQERLDFFQM MYCTH_2305453 MKSEVAHVLPIDANARSGRPTPRSRGKSVALVLIALLLFGVTFI DFRSVERLWWLGPSSAAREEAFRAGCAQPEPLLPSKNPDLDKAFEHITSSAFRNATIR RLSAAVQVKTESFDDLGAIGEDPRWDVFYNFHDFLKKTFPRIHEQLQVEKVNTHGLLY TWKGSDESLKPTLLMAHQDTVPVPPETISSWTYPPWSGAYDGKYIWGRGASDCKNQLI ATLETLELLLEANFKPRRTILLSFGFDEECSGKQGASHLAAFIQERYGKDGIAVIVDE GSGFEQAWGTLFAKPGTAEKGYTDVHITVRAPGGHSSVPSDHTSIGILSELITKIESE QYPTRLVDANPYYTQLQCGAAYSPDFPEKLRELLGRRRSRGACRARPDRLALEAAKQG PAIKYLMQTSQAVDVISGGIKVNALPERATAVVNHRINVGETSQVVKDRLTALAGEVA RAHNLTLHAFDGKESPSSIVLASSSHELQVAPVTPADGDAFAVLAGTTRALYGSDVVV TPGIMTGNTDTRYYWDLTRHIFRFGPGFDPEDGPGLGKIHTVDERVSVLNHVNAVRWF TLFVRNMDEADL MYCTH_2305454 MPAVLIRTIKIVTRTIQARQTVHSFPPFHDHPDDDDDDDLDVDK DDIFPFDRDELHDGDEHLSEGTVAAIVVSLVVFFIVVGGLLAYLRHRRRRKGKETEVA MKEASAASATAAASASVAAPPGALDPPPPYDEVHGDSAPQPVGELPASEPRSAANLGV GAAAVGLARREEEEEEGGGEGEDEDDADAIGSHATITDGLAPGRHADAGRPGEGGSTA VVMPGKDPEVKMKEVTGKS MYCTH_80223 MAAYLHLPHQLAGANKTLTAKCHCKSVQYTITVPTSALPLPVHL CHCSVCRYTHGTLSSVHAPLPKGIEPSFVPPSVLESSVTGYVHSAQATSERYFCSTCG CHIGDRSLKPDPDTGRPHWCVATSVFTVHDEDTFQIRSHIFSAPETEPNLATWLPVIN SRPIHHWNPPPRPQQQQQQQNADRDRDRDDKPPSACHCGGVCFAIVAPSETEAADPLA ARFLRRAGSSLTLKRVACLCLCRDCRLVSGAHAVAWTFVPRSRIRPPTLLPPSATTLR TYRSSDKVTRAFCGVCGATVFYALDDDERTAGAWGNGGGEGDWVVDVAVGLLMDPRGT VAAEDWLAWRTGRLAGMESGLDYDKGFAEGLAEGLKNWGLKTHGEITTFHIPQD MYCTH_2305455 MNPFRTLSTRRIAVLTSAPRTTVTAQMLRNTSVPSAPAYSNGKT SSPKSAEGPSAASGGSRSKEAAGTGSSPTAGVVPDGLAEGDARGRTGGGPPLESSRHA PPQPKISNASVPGHRPKLTPEQQAEVDEHNRSFEKKHGLAEPAGDDRVDKSFWSGRGS RYNNP MYCTH_2305456 MAETIAATTSAEAAADGDPTRLVVPRWPLSSRLKYAVIAFLWKR LILKPLLYYSEFKKYFIPPGENEPDHVKAYPVRKSLKVRIFFPPSYNPSTSSPETTTK LPTLLTCHGGGFLVGEPHDNDAWNRAFAKRHGFLVIALDYSKGPRHRFPAAVHDVEAL VGCILADRSLPVDAARVAVAGWSAGGNLVLAASLLPSLRGRIRAAVPLYPLLDRATAT AVKTRTRRYKPALGGFRARDGDFVAPMMGLFDWASLRPGQRCDDPLVSPCYAPREHLP AGVFLIGCELDMLAHEAWRVACRLAGRRVPSLDEPVGREEAGGKGELVTGGDERFAWE EETAEEDGGLRVRWLLVPDTVHGFDQSNIEGLVKDPVFMEDARIKTVKVIDLVGEWLL SGPLQATKS MYCTH_2061275 MPYELLGLGATCYVTTTDHKTVLKGHQVWRDGKYYIGRDECEDD LAREATIYAHLGDHPHILKCFGLEQHCAGVHSLRLELAPLGCVRQFIAEHPYEPPPQR TRLRMALDVATGLAYIHSRGVQHCDMSCRNLFLFDGFRVKLGDFGASLLQGREFKPTF CEESQYELPLRGRRFNDRPPVKRELFALGSAIYEITAWERPFQGLEDEEVEARYAREE FPSLEGNIAAPVIWKCWKEEFESAIEVLEALTPLL MYCTH_2305458 MASSRYRYTFPRNPKANPKAVVTGGKGSSYYRFTLLTERLIRYE WSEDGGFEDRASTFAVFRYFDAPQYRVVETNDSLEIITDYFHLTYDKKKFSSEGLSVR VGSDLWNYDGKSYGDLGGTARTLDGAYGRVDLEPGVLSRKAYAILDDSKSMLFDDDGW IAIREPGRIDGYVFAYSGEHKAAIRDFYRLSGRQPVLPRWVLGNWWSRYHAYSADEYI ELMDHFKREGIPLTTSIVDMDWHRVDDVPPKYGSGWTGYSWNRKLFPDPEGFLQELRN RNLKVALNDHPADGIRAYEDLYPAVAKALNHDTSREEPIKFDCTDRKFMDAYFDVLKL SLEKQGVMFWWIDWQQGTGSKLPSVDPLWVLNHYHYLTSKRNAKDIQRPITFSRYAGA GAHRYPIGFSGDTQTTWEGLEFQPEFTATASNIGYGWWSHDIGGHWGGVRSNQLTVRW VQLGCFSPILRLHSNKSPWNSREPWNYEDEAHRIMKDFLILRHRLIPFLYTMNIRASY ESEPLIQPMYWNHPKDEEAYTVPTQYYFGPDLLVAPITSPNSTVTLMGRVRAWLPPGR YVDLFYPHLVYDGGRYMHLHRDLSQIPVLAREGTIVPLDTTPRTGHGAARPTEITLLL VVGRDAHFELVEEPEQQDHHRHGGGDDGDDQPPLSAFARTPISWSQADGVLTIGPEWN GAGARRWRQWNVKLVGHTNTDVQAQVPGFRVTRDVEGGCTTVALGNVHRWQQPHQRDG GGFEISLGRDLQLDVVDVRARAFEVLHRAEMGYEAKDPVWDVFTSGDAVQTRVQRLAA LDVDAALKNALMEVWAADGRAEGSAAGYETWVDVKACAGDAVEEALKEYVIV MYCTH_2305460 MVPSKTGIIKPSMQDLYTHTAEEWQEHKETITRLYLHEKLTLNE VMEYMALNHFFFATPKMYRTRIRRWGIDKNNKGSEVAYMLRLKKQRDAQGKKSSFFLR NRPVDWEDIERYLSRTPNFWAKHGGDALDLSGEITCVTPPGSPPSSPPTVPQKLDAAR ELRVHEEILRFFRDYTQGSFEQGVWRLSPDHKRYFGRGGVEASARLNAWYDRIRNVSD WPGRDADVVRVVNRLLDDLPQFIRDQDYTVFPALMRCCFYLSARRPALGRAVAEFVAR LCGVILGPKHPMSLAWSRIKSLPLPEYLLALQGTAKIRLDHLESRQCEDAEDDNTITA LREYLLALRLRGPAAMDEIERTTCRAKKQITTKTSKGLTASHCRLLLGTATSYITCRR FEEAQEVLDIVGAHLPTASAQDPHLQRVLPTYLFVMGFIRYVTRRMDEAVNYFLQTYA ALEKARGPHSSAVSDILLALVDFPGLLQKPEDIEHWREKFAHLQTETLAMAEKGFKQK GPEVVDSWDGPLDTDVNTSMW MYCTH_2305461 MQLNKERQRKVRNALHRSLPREDTPEHALWTRNQQLSPLLRLPP ELRNRIFELVLNVGQINVCFKRWEHRLRIKPGNPGHRYYETIEGGFYCRILERNQNPW KAHRDGPPPRRGMTLLSPVCRQLYHETALLPFRLNAWSFESLRVLDRFVMKEKRLPRP QRRAIRLLYTQTVLPRPWRGTLVPWR MYCTH_2305464 MVKVAIAGASQLANEVIDVLVAAAKHEVVIFSRRDAAPEDVPKG TAWVKVDYQDKKGLVRALQGVHTVLSFNVLHTEADFAEQKNLIDAAIEAGVKRFAPSE WAVGNLKHLDFYRIKLDIRSYLREKNKNKKVIEYTLFQPGWFMNYHGGGRQTAKFVET RRSILPTNHDEGWIRVVGDLTSRVSYTAVHDIANIVVKAIDYEGEWPEIGGINGDTLS RAEEVAIGEKLTGKRYRVDTISIDDAKAGKIPDSWIPKFNWPNFNASAEEKRAFERQV FTGLLLSIAEGGSVVSDEWNRIFPDYKFTKVEEFLKGVYAKDA MYCTH_2305468 MASSKTYTLNNGVKIPAVGFGTFANEGAKGETYRAVTKALEVGY RHLDCAWFYQNEDEVGDALHDFLSRNPSVKREDIFICTKVWNHLHRPEDVKWSFENSC SKLRVDYIDLFLVHWPIAAEKNDDYSVKIGPDGKYVILRDLTENPEPTWRAMEELAES GRARAIGVSNWTIAGLRQLLSFARIKPAVNQIEIHPFLPNTELVQFCFDNQILPAAYS PLGSQNQVPTTGETVRENRTLNEVAERSGHNLAQVLLAWGLRRGYVVLPKSSTPSRIE SNFQIPELSDDDFEAVEEVARGRHCRFVNMKDTFGYDVWPEESK MYCTH_2315383 MSKPGLKEVDFDASPKSQIQTPPDSPEKTTHPKDFPLRSKKPDG LSIGTGHARKDSRGERRNTSLGHIEHGLTIPTSPSSHNTIEVPALRSPDADTTQFMHH LSSNPSTKERRVSRNSFGAALPIPKSKRQSRLSSVTYPADGQEAVGGGNKAAVAQPLR EILTSQVQDVLSHKVQKAKNMAFVFDIDGVLVHGDRPIPEGRRVLAMLNGDNQLGIKI PHIFLTNGSGKPELARTEQLSEILENPVSTEQFIQSHTPMRALAEYYHTVLVVGGEGY RCRDVAEEYGFKDVVVPNDIVAWDPTIAPYRVFTDEERATSRPRDFSKTNIDAILVFS DSRDYATDMQIIVDLLRSENGRLGTVAEDPVSRRIPIYFSQGDLLCPTEHPIPRMSQG AFRIGLEAMYKALTGVELERVVYGKPEPATYKYADEVLASWMEALHGEERVPDNIYMI GDNPASDIVGGNMYGWNTCLVRTGVFRGGDNDEENPANFGVFADVWEAVTAACRKELG DDFVFQWDESAVNPVLRDSATSAIEV MYCTH_2038764 ASCSRAVLQQVTRAYVAAQEAGDASVLTALVSPHPNQIYVENNG LADLAGGALAEPLRIDFSRSIHDPDRCAAFTEIIGASDPHPRVIHTRFAVPKASLIES VVTDEGDWLFNATGTLELNAGEVWDEIPKSDRAATAAEVQALGDAYFDRFGNESVVVL WAAEPCYRLEGGLAARGEVRDGECVMAFPSSIVVPYRRYVVDVTLGAASLFVGFPGLD RTQGDAPMPDSHLFRVEHGKIKYIHTASACVVEGCGL MYCTH_2305470 MHITVAPASPKTGQAAIRALLRDPSNPTVRGYYRDINRVPAEFK DDPRFEAVQGDVENAGTLDFSGSDAVLNITPPVYQDIDSVAHAHLVSENVKAAVKRAG SVKRLVLLSSIGAQYDHGTGEILTNHEAEVVLRDAAPEVVVVRASYFMENWASSLETL PSGFFFTTVTPVDRPLNMIAVRDIGATLAGELLATGGALPSNPHVFELRGPRDYSSLD VQKAFEEVTGRSIELRAVGRDELAGFYAAVFPPSVAARFTAMTESFLEGGVLYEDPRP TREKKYGTTELAEVLRELLNEA MYCTH_93554 MVQNGGDLDLDTDMDTNVTAEQLLAQLGQLQQRIQELDQRDKAA QARIKELENREKYSQKLEIAAIDETTKDVIEVAATSYEDKGSDTDSLGHDGNGEDEQA PYSELVTVDPETGLAEWDMAGEYAPPVSILPALRQWGFTVAQRRDGSWTTDTQGIERP GPNALFLQERIEWYRNEVFRLNTELRERDGRLTRLAQQSKEMKDEMRELRRIVEAIKG EQPVTYDGPDSYAEYLDDQQLSNDVRNPEYQFMRANRGKDERTWESYWKKHSYVSTGV PTVHVQWEGFGKEFQYLPGDAMRLHPRHEAHAQVPWFQCVAHECRYHFRDKFENNHWP TRQENGDGGLRPVEWVYDAGNRAAELLWKIEARNSESITIVPRRAWPRHCGTGRDTWD SCWSNDCLYHADEKKLRIRELQTKLWHARRKAERTQWWEAASTQWLTEMSTIDEAAIS RTTEEVSTDLGNGSGPFEGPGNH MYCTH_2062163 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_101321 MARVLSVGVLATVLAPAIGDGHASAQSTGGCTTNSFTTPSWLVD NLELQRAANQTVASFNALNRATDVSLELECSSSSSPTAGGWQSCRIRNVTEHLLAAAF RADNATAWFRFSETWSCSDLTPSEPITFSAVGNSSVALDCNAEKSKTTTCRPTKPVLV KATLSSPVKVTPSYVSGPTGHDAEGCTAQSGKPAWESGNAFVIIRNDNLGYIASCGGP LTADSGPQALTCTGQVPRRRPDKYQIETALSFDPDTFVLAINQTWYCDDQDPAEPFAI TGYGTTALDLQCQVFDGTGEGNTTTFCTGVTDGTFAGEATGRPAALPPYSLRDPLPTA EGCTVSSVVAPGWWLNDFVTNTTRARNDTVTARFGLERRGGVGAAAPAGSFSIVAADG VRYYYSSAADGSVDALLPWNECVLESVANAALAPTGCEFRYRMATRFLALKVQWTCND LDAENPIAFSGELETRVPEFTCVTSGNDIRCASPNPNPWDANVTSVAWEP MYCTH_2305498 MQGYPAVGGSSILWAGQGAAFNPMQPMNQFTDWLASDNFLKPMN QFTDWLASDNFLKPMNQFTDWLASDNFLKPMNQFTDWLASDHFLIKSLALDRDFSSLA ATSFRLMRPLRSRNRG MYCTH_2139328 MAADSALPGIPGYDPDNLQPWTVAVVASVTVLAVVAVALRLLSR YIKAQKLWWDDYMIMFSMSWNFVVVGFIFAMYSAGMGIHADKVSLDKIVLMAKFLVVA EILYIYNLVWTKLAILLMYYRIFHFPYFKKMAYIVGGFVVAWCVCCMFLFIFICVPVE KLWYPELPGHCINQVGTWIANAASTILTDVIILALPIPQVWKLQLRRPEKVGVTMAFC LGFFVVFASAYRFTVLFTYTNTDPTYSLAPTVGWTAIEMSAGIVSACLPTIGPVVGLC ARKLGIKRTPLSSLSRSHGASAGLSGNRKTPSSSLAAARSTNTTTNNPADVLSEMELQ RTGTTRKDGAGAFYRLPDPQNSGEEEDEEELDKLKKGRAAAAAAAAAAPAAADAGLRP DHGHYAYQYAVTTRPAGEGKTKSKGSKAKAAEPDNSSGDEVPLHGIRVQTDFRRSE MYCTH_2305501 MAAKTTIRVPHLGGIEAGYAFSGGGYDPAKPTCVLINSMCTTVS LYRDQFADEALTSAVNLLAIEPLGHGATRCPTEHFTYWDSAVMALQVLDQLGIDRAFA LGTSQGGWIVTRMALLAPDRIRGLVLLGTSMDYESADSRAKGCWDPKTLLASFYDKWA APSGPNPDDFVVDDVWCGMVASLGFGAAGTPDLVSFWTSTLKDVYRGDEGRRKLKMAL ACLLDRDGLCRRVRDITCPVYWLQGSDDAPFGTTVPAEQIKLFTSSKEATLTIIPGGN HYLNATNPKEVSEALLKLVNKYK MYCTH_2118714 MPSGTPQSQLKKKASFRDRLKAWQKPPQPLEIVTEEPKPRFVYT PTHAAADFSRLAVSPLSRSGHRFPPDRRRPSQGGVCGKEEEEEEEEQSPRRHSRPGTN HHPDTPTEYSYPSANTAARVPVNTQPPVLVPKEAQAQGDQDPAPRSEPLSDYELFIAR AEAEDRKWREKILQSITQRATAGPSTNRVRPNPHQQFATAVVSSSAGRSVEKGSDESV PPRKNSSRSHHHATSSANGPEQRLQRQDQDQRRPGPAQGSTSSGTPVAENATSNKRLS SSRPQLAPVQQSWPPGSPQLTAPHGATGGFVPAPEHKAPPPRTLRRQASLTQRIARYI KPAKTVDNRRVEPLVE MYCTH_2061857 MACSSGCCGKPASPPPPEQPNSNPASTPDQDDGDSCCDGANTIA GENSDQPGLAGAARDEPIEEDDCCAPKPSAPGCNNKGCCSAPAPAPAPVPKPPRPEDI HKSSCCEGKPAPCCDQSCLDRLALRECEKYACDLTADSSTISTCGRAKDGKPCAEHAN KARLTYAERLEALGCICRALIALGQESCCLPSRRPSTGSARRRRRRSKESALRSRTSA DSCCVASGADAACCSTKKRPGSRKKNECRSSSDGTEAAAPEPPRIAGSCADSCCAEER PVGHVNEVKEFLSDVANATTDLEKAFARNEKEHVILSISGMTCTGCETKLVRTLGVHP AVRNLKTSLVLSRAEFDVIGAASVVDVMKHLERTTEFKCERVTNKGSSLDILAPDGDA AALINRPWPDGVTEVRLVDKKTVNVSFDPKIIGARDLVRHGWGDGQVNLAPPRPDLTL EAGSRHVRHVGYMTLLSACLTVPVLVMAWAPLPERDIAYDSASLALATIVQFVIAGPF YPKALKSFIFSRIIEMDLLIVLSTSTAYIFSLVSFGYLVSGRPLPTGEFFETSTLLVT LIMVGRWVAALARQKAVKSISVRSLQTPTALLVNDDGEETEIDARLLQYGDVFKVVPD SRIPTDGTVVSGSSEVDESMITGESRPVEKHARSPVIAGSVNGSGTLRVRVTRLPGDN TISTIAGMVDEAKLSKPKIQDLADRVASYFVPVVVALTIITLAVWIAIGVAVRQQPGK EATVRAITYAIAVLIVSCPCAIGLAVPMVIVIASGVAAERGVTFRSAESIEVAHRTSH VILDKTGTITEGKLSVAREWYAEGNQDTTKSLLLGLVGSIKHPVSAAVATHLKTQGVS PSLVSEPKTLTGRGVEGVVEGSGSLLRAGNSRWLNLDSDPEVQSVLSQGYTAFCFTIA GSVAAVFGLQDSIRPDAASTVKTLLERGISVHIISGDDDGAVRSVGAQLGIAETNLRS RCTPSDKQAYIKGLLGGTLSPNQTTKRKKPVVLFCGDGTNDAVALAQATIGVHMNEGG TDVANSAADVVLMRPKLAGILTILAVSEKSIRRIAFNFGWSFVYNLFAILLAAGAFVH ARIPPELAGLGELVSVLPVIAAAVMLRWSKI MYCTH_2305504 MHSLAILLIVGAGLIAPAAATGDHHTTKPPSHTSGCPTLTVTTD LCRTCGYLYPSCSVVSTVTRSCDCPNPPATSYTAFPCHEPCRSIGCPTSYSIVEPTGC ESSTSTPPSSTSTSTSSSSSTSCSATSTSSSSSETEDCSSTTVTSSHNHTKSHTHTKS HSHSSSSSEPPCNTTSTSISTSTCTCTSEPPGETSTTSSEPSTSHSHPHSPPHSSSQP PTSTSSPPPPPPSTSAPPTSGGGGEGVPSSSSLPPPPPPPTSSVATAGANGRARPFRL W MYCTH_2305506 MASPSLFSSSHTLNALPIELLVFVINDLDRVEDIAALALTNRRL YGIANPLLYKRAALCCDGRPVAWAANHGLVSTLRMALAAGCDPNQGFSEEVPAEEWKR AAAAARADAVAGRPKARWATWDWGKGPTISRIPWSPGPGAEIDHADTPSSISTVGPST QAPSSSDLDSVVSSEDDQDLSQPSDASSVTEPSPSPTPDTVERSYNAVHLAARGGHNE VLRILLSKGAAVNVGSRHFCACTPQYGLLNALESPDPDADDPRWTPLHVAICHSHTDT AKLLLSSGASIKMEATSDGTISGPGDSVDMPGADGYGSTALHHAAGMGLTDLVRHILD NKIQTDVDAKDDRTTTPFYHAYANRRWDSTVPLLLERGADIHCETKMYIPYTAITPLG EACRLGHFDVADRLLDLGADPRRGFIALMKGGCLTPLHMCCMRSAQPVGEPAEKGDDD ETRGRARMHTIHKLIAKGAALDARDCFGDTPQSAAEKARNTFALEALARLNISGGADL EEKESQQIRAGRDAAAGSKPARAETPATAAPSAAASGP MYCTH_2305507 MDIDDEDDFYAPEEPQPPSQPAPAEAAPVSKKDANEELESGEEE DEGGAMDEDEDSDIDIITERKDGSNPAPPPQSRYSEIKNIPQRTATSDGATTTTSRKP ASAQQTSAPSTETTAPVSTSKLDINAIPIHQPSGKPLTQVNIDTDLPEDHERPWRKPG TDLSDYFNYGFDEFTWALYAQKQETLRSEYNPEAIAASNKKMIEDMTNMMMMGGLGLP PPPVPGGPVGPGGAGAGQPGGGVGPGVGGVGQMPGMEGMPPEMQAMMQQMMAGGMDPS QMDMGAMAGMFGGGGGGAGGPGQGGQGQGFGGGFGDGQGQGYGGYGQQMGGGGGGGGR GGRGRGRRW MYCTH_2305509 MAFARSASGPGGLSINTGSSNLFGTGTSQAPAGGGLFGSATSQP AQTGSLFGTTTSQPQQSGSLFGSTTTQTPASQPQQTGGLFGQTTTATSQPQQTGGLFG STTTQKPQQTTGGLFGSTTTSQPAQTGGLFGGTTTQTTQPAQTGGLFGSTTTTQQQPQ PQTTGGLFGSSTANTGGMFGAKPATTSTGTGGLFGQQGQQTQQQQQQPASGLTLGQST SQQQVVPGVRIDLSNIKSTTRFNDLEESLQKEIAQIDEAIQRCMKDKDAVDAFLPSHG EQLAAIPTDVSFVTRKSEGAHNALSSDIRAINQLRELVKQDADHARLSFKAIDNLKLP TQYHQAGLWSNRGQQVGGGGGAGAGGAVDAQSNTDLISYFSRTADEMDEMMKKFEKNL GEIEVHLHGVQGSMLEQMQRVAAQNKSAAQGGVDERVVELAAVLRDFEESILKVAGVV GGVKEGVTELQLKDFMGHGS MYCTH_2305512 MYSHKFQKLPRTLPDLGSRPFAKEFFPPELHSTLDGDDEPGTKR RRVGPKTLGLSSITSYKTAEELFLTDMPQVGSGANANLDKALELLNTVEKQNEDGKEA YLSGEDDDDWVKGNGEDDEDGDAEEDDVYEDESGDDYNAEQYFDGNQDDEDYDEGGDD GEGYF MYCTH_2305513 MDTTPITGLDELDKHIDDLIQDVTLTLNPKLFDDVELQLTESNI PPLIPRFLPRLTTLLKQYTQDPTVIVSLTIKLLGPVSFSDIFSLAEADDLVEALESPA PAANILAMSVLHKAAANPQDISFFCSYTNLVSSFIRRWLAAPQVEVGQKGGKVLGDLL DIDCPLPPPPPPTRPVGASSHTQLGLRKTPGHGRLWNLLFNDPQFYLPLLDLISGRHP DTASNPHQLSLAQGRVLRLLPRLAAINFRAVSSSNMTAPTPAYFTNGSASTGGQPNGG GDSEQQQEQEQQQHPPSPKPPQPGEGLLQYAALRMVQRSDTLMHLSLVDFFETLVSLM RVTEPSDAKLETLRAILGEATAGDGILREALLSLPDRTVEEEAEDLRRWLREVMPGEE VRLPVR MYCTH_2305515 MSSLKFVVSSLDIIAAHAGRNKQLAELAEKALAALKENDQQLPD PEVVFAPLQLATKSGTIPLTTTALDCIGKLISSSYFSAPSSSAAQDGAERAPLIERAI DTICDCFQGEATLVEIQLQIVKSLLAAVLNDKIIVHGAGLLKAVRQVYNIFLLSRSTA NQQVAQGTLTQMVGTVFERVKTRLHMKEARANLDKLKASRSSLAVDHPEDQEDQDNQT DKADSEEANETVSDATPSATPDETAGKLTLKDLEHRKSFDDSHMGDGPTMVSQVKPVK RAARSVSEQSTAESSNEDTPESLDAEDEAYIRDAYLVFRSFCNLSTKVLPPDQLYDTR GQPMRSKLISLHLIHTLLNNHITVFTSPLCTIRNTKNNEPTSFLQAIKYYLCLSITRN GASSVDRVFEVCCEIFWLMLKYMRSSFKNEIEVFLSEIYLALLARRNAPLSQKLTFVG ILKRLCEDPRALVELYLNYDCDRNVENIFQRIVEDLSRFATASIPINPAQEQHYEDNH SKYAPIGEWQNKSVLPPPLSVSLIATQHEQDSEVPREYLMKRVALDSLVETLRSLVNW SQPGRFEANGTGADVQRRPSSDDVRDSIDPSASETASRLETPVAPSTPVIDDDPDQLE KEKARKTALANAIKLFNYKPKHGIKLLIKEGFIPSDSNEDIARFLLHEDRLDKAQIGE YLGEGDQKNVEIMHAFVDMMDFSKKRFVDALREFLQAFRLPGEAQKIDRFMLKFAHRY MTGNPNAFANADTPYVLAYSVILLNTDLHSSKVMRRMSKEDFIKNNRGINDNADLPDE YLIGIYEDIQKNEIVLKSEREAAAASGLLQPQATGLAAGIGQALSNVGRDLQREAYVQ QSEEISLRSEQLFRNLYRSQRKSASKAGAKFISATSFRHVGPMFDATWMSFFSTLSSL TQKTHNLEVNKLCLEGMKLATRIACLFDLSTPREAFISFFRNTANLNNPREMQAKNVE ALKVLLDLAQTEGNHLKESWKDVLMCISQLDRLQLISGGVDESAVPDVSRARFVPPPQ RTDTTDSRKSTSSARRGRPRAHTGPQGVSLEIALESRSDDVIKSVDRIFTNTANLSRD AIIHFARALTEVSWDEIKVSGSNDSPRTYSLQKIVEISYYNMTRVRFEWSHIWDVLGE HFNRVGCHANTAIVFFALDSLRQLSMRFLEIEELAGFKFQKDFLKPFEHVMSNSNNVT VKDMVLRCLIQMIQAKGENIRSGWRTMFGVFTVAAREPYESIVNLAYENVTQVYKSRF GVVISQGAFTDLIVCLTEFSKNTRFQKKSLQAMELLKSIIPTMLKTPECPLSHKPGGN ADQAESNVKTSAPQTRTSVEEGFWFPVLFAFHDVLMTGEDLEVRSNALNYFFETLLRY GGDFPSEFWDILWRQQLYPIFMVLRSRPEMTNALNHEELSVWLSTTMIQALRNMITLF THYFEALEYMLDRFLELLALCICQENDTIARIGSNCLQQLILQNVTKFTPEHWAKIVG AFCELFERTTAYQLFSATTINSTASLSPPPNGLELGGATSPTSEAPPDEKSLKINGNE TNGDSTAPESTHGDAGGADAPTGTNASAPTASSQPETTPSQQLEEFKPANPLQQQPVV VTAARRRFFNRIISRCVLQLLMIETVNELFSNDAVYAQIPSQELLRLMALLKKSFLFA KRFNADKDLRMRLWREGFMKQPPNLLKQESGSAATYVSILFRMFGDTSPERMGSKADV ESALVPLCRDIIRGYINLDEESQHRNIVAWRPVVVDVLEGYAAFPRDAFAAHIHSFYP LVVELLGKDLGQELRAALLLVLRRVGEVGLGIEGMGAAAAGSGTPAEDARRNSVLSAP SVRPSPSMDSLNDDPSRQFMGRT MYCTH_2080603 MLVPAIRRQALQHARLARAVVPSLTRWYASYPPHTIVKMPALSP TMTSGNIGAWQKKVGELISPGEVLVEIETDKAQMDFEFQEEGVLAKILKETGEKDVAV GNPIAVLVEEGTDVSAFENFSLQDAGGEAAPAPAKKEEPKSESAPTPAPAPTPASEPE DTGLGGKLETALDREPNISAAAKRLAIENGVPLKSLKGTGPGGKITEEDVKKALSTPA ATATAAVSGASYEDIPISGMRKTIASRLKESVSENPHYFVTSTVSVSKLLKLRQALNS SAEGKYKLSVNDFLIKAMAVACKKVPAVNSSWRDGVIRQFNTVDVSVAVSTPSGLITP IVKGVEGKGLESISATVKELAKKARDNKLKPEEYQGGTISISNMGMNPAVERFTAVIN PPQAAILAVGSTKKVAVPAENEDGTTGVEWDDQIVLTGSFDHKVIDGAVGAEWMRELK KVIENPLELLL MYCTH_2305519 MPATIRLDHRGPITYSSALRKNADVIKQATHLAAAEEFCQVLWD SRGTIESLVRHHLWLGDRDSCTVAPCDRWIRGGFNVCVPVETRAGGAHGVPRRFIFRC PMPHKLAEARYPGTVDEKLSCEVGTYAWMQDWCPDVRIPHLYGFGFSDHRHFTHERQM PFYVRFWRAIRRHLRGLLGYQTLSRYAAHPTSLHLPAAYMLLEYVGPDTGRMLSDTWS ERRGDQTKRRTLFRGLARVILSLARVPQPRIGSFRFNADGTVTLTNRPLPCCVAILEN GGAPRTMPSDETYSCPEPFVADMLALHDGSFLAHRNAVLDAADCRGQMAAMALLRTVS HFYVSRERRAGPFHLQLTDLHASNILVDDDWNITCLIDLEWICSLPAEMLAVPYWLTG RYIDELVGDDLSQFDQVRREFMDILEKEEGTMTGGDKPTLARIMRESWESGAVWFWRC LTCVDAIFYLVQDHISPRYCRFSRKVEEVLSEYWCQESAAVVRSKVADNEKYEKELGS LFK MYCTH_2305522 MDVEMATDAAPPAPAPAPTTPASVGSPGTLKHGEPRRRNRPALS CIQCRTRKIRCDRNEPCASCMKSKIVNCTYEEARRPKPRLWRLSPAPPAGGHPELSPT STEERAGAGSTFSFSRDMAAPVPPQPATSNPSVAGSGVPPPPGRTSEPLSGVSPRSLP QMHPAEAGTESAGPLGSTAALAERVRHLEQQLADALKRPGCGSPSSRSAHGALFPDRG PQYRPSKLNCWIDGEKLFPLVVNVAERIKSDKSSDAYFLLQKCRDLGGAILSMRTATS APAQLGHTIPPKATVQRLVDAYFRTFESVYRILHRPTFWQAYEEYWKNPSAADLGFVV QLQLCMAIGTCFQDDVAALRRSAAQWIYEAQVWLVTPIEKSLISVSGLQVMCLLHLAR ETCGVESDMAWISAGSLLRTAMFLGLHRDPDNLPDMSVFNAEMRRRLWATVLEIVLQA SLDSGAPPLLALSDFDTRPPSNYDDEQLSDNAKLPSIPRPPNAFTQTTVQIALLRSFP VRLAIAQYVNHFHSHATFEETLKWNTELTNSCRALSATFQPFYDPAGILPKRLSLFQL RLAEHLVHRFFLALNHPWLLSAHNNPTYYFARKMCVETSLKLYRAIATGSPAGDSGTA SQTDDFTRLATCGYGAFRSVPTLAVLTICLELLWQVQEDRSFRQSMSIDHPLERPAPP GSEPDVSSSVGIGIGSGAAPRQELVEAVKYAIGWTSRRVRFGETNVKGYLLFSALLSQ AQAVQRGASDAEVERQVLNTVGEELSRCLVLLEEAAGRDPSFAASGGGERALDKRASG WSATRGSNNTDRTRGFNSIFNIHDANFFVGT MYCTH_49824 MVSFKALVLGAVGALSFPFNVTELSEAHARGENVTELLMSRAGT PSQTGWHGGYYFSFWTDNGGTVNYWNGDNGRYGVQWQNCGNFVGGKGWNPGAARTINF SGSFNPSGNGYLAVYGWTQNPLIEYYIVESFGTYDPSSQAQVLGTFYQDGSNYKIAKT TRYNQPSIEGTSTFDQFWSVRENHRTSGSVNVGAHFARWQQAGLRLGTHNYQIMATEG YQSSGSSDITVW MYCTH_49210 MKLPALLFLTTVAQLGAGHDDLTEPAVRRREALNIGHDASPIDT AVLPQSPNEGCTTTVSTTYGYPCSWDGTTTVYTSTTVLYKQINCNGCDSVSVYEEWYY CPNQPVTATLNAGFPTTSWSTICQPSPALPDRAQTNTPATTTALGLGALQTVRSPPSF PTGNPFPTPTIEPGPRRARRRAGDF MYCTH_33039 VENEFKPSRREALHDNSELCKLNLERVVSAVSLEIRPSKIHNMG SGLFVSSEIGAGREIYHVLPMMHAVKPGNDNYCHHCLKDTGDMLGRPPQTVKAMPCAR CKIARYCSKDVIGYALDMVTAMINHSCAPNAFVTLEGCQLRVRSLKPIAAGEEITVSY ADPTLPVFNRQKFLKETYFFDCRCKGCENDDREQLGLAGTSKNILKLQEAQQQIMQIM AYTVQASQYPGSYPPDLQNLESVETKLRTITAAAVLRNEPYLEHVQPLPSVRRSLAML YLEKGKPLPALRNALKGCLRKTDKGEPRWVNDMIDPVYTALLVAGSLPPDAPAFKDKA FPSALELRIVTLGFIIAVANEAVKVFGDDCEYATGIMDMATTMGAQKPPPNPGTAEFV AEFVPAQRKLLAWAGVSEEHAVSIPGM MYCTH_93534 MPENEGHLSTKRPPFADRTSLLRRLIAQDSRDELKRVLEMDGPE RNTALPSSQHLRPEQGDNGVYALLKLAIAHDAVSCFGLLIRWADTDADADAAPRQEQQ QQPNKPRFDLERVYRAARRAALRDGRLRCLLYLHDRDRKRHGGGGGGGGGVLGDPAEL YATLLERARSPAAVVWLARRLLPASTVADYGEILAAQCADPYAQPAVVEMLVGLVVPP PAPGRRRGKVVEEEEDDDDDDDDDDKEEVIGDGCPALSPALCAAAGALRVSVIEVLLR YRPRAFAAVAELGVDAAAERGENPLLCALAHPLPGKPERPPVPIDSVAIDGGDEDETA RAEEEDAASELGGVEEEDDEEAVVAELKKRWTTETRHVAAAMHVVVRRLVELARVELR APECQQLLADLLDQAAMVYAFCLREFLLRNLPWLLAYHPMLRVSLLSPNKSSASTGAR NAAGCREDDTLYTNEEIHGHPERREPDVEQEVSFTWVKGLDPKWARGALECCLMGSMQ DLVKVWNLLFTPTTADAAEKYLGVRLKSLGGHDPVELLWALLLSEGEAASPLFSPQIR T MYCTH_2059965 MSLFHTPYAFFVWLVTLLGLGVPTAAPPGVPSHYSGEAQKLADP NYGPIPGLSDHYSSYWGVERPFPGNITDPVFPTEVGPPAEDDHTWQNLLSAEWIIFEF YQQGIERFTDEDFVKVGMPNNTRRRLMEIRNNEAGHLRIFQNQISPTSIKPGPCKYNF PLQDPRSYLAFMSVIEISSMAFLTGLVQEAKSVSAQGAMAAISQTETRHEIWALTEIW KVNPFAGPSDTVFPYANQILYSTHNLIVPGSCPLENPEYPHPRQLLPALSAAPDTKSL APGATITLAFTDKDNQPVFDPHTQYYAVFFHSLLNVSVPIDTKGFPGRPIEATIPDVF ETRGVIVALIANEPGAPTKESVVAGPAVILEQPVELGPELVRGGTN MYCTH_2060315 MFFTVIVAAVLSVLLGIQVCHSVLSRREEKRRRNLEAARRGCSP APIMPRKGILGFGRLFEGLRATHNDRGPQYVIEAMDGEMGKDVHTVVVPIHDYELLVS RDPLNIQAVLVTQAADWDLSEHRTASWEPMVGHGIFTSRGESWKHSRALIRPQFATDQ INDFDMYERHAQQLFVAIDRYRYGPENQRWTRAFDLQPLFYNLALDVMTEMLYGYSVH SQNPSERVELPVIAGHEPPDRENIGMHMDAGKAWIETRGAMWKYRWLLPSLQFQKHCA AIHQYAGWFVQLRLQQGEKYLDNLQQQGGLPNRDRYVLLHELAKVTQDPLELRSQTLN VLTAGRDTTAALLGWIVYFLARHPSVTKKLRGEVLYLLGPYVPGQPSRIEFRRVRDSM PYMNAVINETLRMAPVVPLNDRISLRDTVLPRGGGPKRDQPVFVPAGTQILIPVYALA QRPDLWGPDADVFRPERWTEDGGHKAGFEYIPFGGGGRQCLGQQLARLRSAYIIIRLL QRYDDIANAEMPPDAAVRFHHTIENRSGSGVQVRLHVSDCGVTKRGVASGPANRE MYCTH_2305529 MLVRADTNKTLKGHKLLIIAPWQAPVGFLEKLAAAFPDLQVVYH VHSLATTPLSTDAIPDQTWRDVTILLTFNTLPTPEQAPKLQYVQLMSAGANHVLDKPV FKDTEVVFCTANGVHGPQISEWIISTYLAFEHHLPSYLEHQKEGRWNRDAMSAIEDAA DKTIGILGYGSIGRQTARVASAMGMKVHAYTLHPRPTPESRRDRGWTPPGLGDPDGSI PSRWFSGGTAAELHAFLGSGLDLLVIATPLTGRTRHLLSTDEFDVLAGGESSDGGSSS SGKTESEEGGRGGGGGDGQTRKEGGRPARRGRTFVSNIARGPVVDTDALLRALETGQI RGAALDVTDPEPLPDGHPLWAAPNVIVTPHVSGASTRYSERVLAILEVNLHRLAEGGE LVNRVDRREGY MYCTH_2305531 MVTLEQMRSSNARIPECLPPGVVAVFVGGTSGIGEATMKQLAKY AVQPRIYFIGRSERAAARIMDELVAINPAGEYHFLQADLSLLQNVDQVCCEIKSRESL INLIFLTSGTLVTGKETCERLYYPTAVTYYARIRLIVNLLPLLQKAPALRRVVSVFGG TKEGPVTVGDLQGRSLALPPRSASPKGRHGKDDNSSSSNSNNNNKSHLLQLRAHTSSM MTLALESLALEAPDVSFVHSSPGCVRTHLGREVRAASAVGLVQVVLNKVVGPMVAVGP DEAGERQLYLATSGRFPPARCGGRARYSGAGGGSGSGGNGTGTAGVELREGDGVAVAR GTDARVGSGVYSVDSEGEPQGVKAGETMRRLREADLVRRLWLHTVGEFIRVTGTEFV MYCTH_2110630 MRWRTHEGVHKGNNTSLGQEDHMLGSQSYFVVRSMPLSCSTTSD GSTVTCLGRVAEDAPARDPQELGSRFASRHVAHWLHLALPGPGQEQRRPRAAADVTAC LEPSCAGRSKTEPDPQTNFWGRYPCVMKSRFTLMRASKSARLLSLVEEMQGAALCSMP VFENGSELDRGGGGCG MYCTH_115501 MANNTPIAVIGMSCRFAGDVDSPEKLWKLLAEGRSAWSEIPKDR FNIDGFHHPNFEKLNGTNVVGGHFLKEDVGLFDAHFFNLSAETAAALDPQFRLQLEST YEALESAGLSLQDVAGSNTAVFAGSFFRDYHESLVRDPDSLPRFLLMGTGAAMASNRL SHFFDLRGPSMSVDTGCSTTLTALHQGCQSLRTGESNMAIVGGANIMFNPDMFLAMSS MTLISKDGKSYAFDSRANGYGRGEGSATVILKRLDDALRDGDPIRAIIRESGVNQDGK TETITTPSGEAQEALVRDCYRRAGLDPAHTAYFEAHGTGTPTGDPIEVAAIASVFKDS RPDGQPLRIGSVKTNIGHTEAASGVAAVIKVALALEKGQIPPSINFKTPNPKLHLDEW KLKVPTSLESWPEREGIRRASINNFGYGGSNAHVIMESLDSYLASTSNLPRIAASTNI RNGNGVSNGLANGHANGPNGNPNGHRKDSAVGLDDDVDLTRSRIFILSGKDERATQAM ANNLKGHLLATKVADEHAFLDNLAYTLGHRRTQFPWVSIFTGSSIAGLVSTLESGNVK PVRRATSETLRLGFVYTGQGAQWWAMGRELIDAYPVFKAALLDCDAQLKKLGADWNVI EELSRDQETSRVNELDYSTPLCVAVQIALTELLRAWGIHPTAVTSHSSGEIAAAYAAG ALDLASAMTIAFARGGLASEGNRQFARKGGMMAVGLGAKDSDKYLSLVSNGKVVLACE NSPSSITVSGDVCGIEELEAVLKKDNIFARRLKVDAAWHSHHMESVADAYYASMNNKI RPAQDHLNVVFSSPATGTRLEDVGEIASPGHWVRSLTGPVRFVEAFRSMCFEAPGSEP AVDMVVEVGPHAALSGPIQDIMRMPEFGDIDIPYASCLVRKHNAVDTMLTLVGNLIQR SYPLNLAAVNFPYGTHGLKVLHDLPHYPWNHQARHWIEPRANKALRARAERPHDLLGS LVLGTNLSAPSWRHFIRINDVPWVRDHVVQGNIIYPAAGYLSMAIEGASYLASRNAPD RKIQGYQLRDVDILNALVVPETAEGIELQLALRPCGDRALDTRDWSEFQIQSVSSENK WTDHCRGLILVEYASEGEQGAKTTRRTIDQPLEDSAYRIRISPRDIYASLSAGGISHG PIFQNLKAIRARGKQSVTSFTVADSEATMPKQQQHRHVVHPTTLDSVFQAAYTALPDA GNTVGTPKVPRSIRKLWIAHDISSQAGHAFKAYTNLNHLDNQSMTTSISRQLGSELSG KEAQLLMDLRRACLFYICHALSELTAADIKRLDWYQKKFYIWMRLQVELARTNELAPD SSDWVKATPKERARLLEKVRTGSTNGEIVCKLGASIVPLLRNEVTALELMLEDGLLSR YYLDGLKWARANAKLAELVALYAHKNPRAKMIEIGGGTGGATTQILNALGRSGSQNIG SYDFTDVSSGFFEAAKEKFKDWKGLMRYRKLDIEQDPAAQGAGEEEDRKFSPSLTIPM WDRVLHQTGFRGVETEVHDCDDDELYSFSVMSSTAVSAPPKFGFDIAIVTAGRSIPES WIDQLRLSIGVLTWTVPTVHTLEDTPADENKVCVFIDDPEKPVLANVNHEQFEGLKAI CTRSKGMLWLTHGGAAACKNPLASLAAGFLRSLRQEYSGKRLGTLDLDPSQSLWSSES ILSITDVFRHFFDESVTEITRDYEFAERDGVIYVPRYFKDSDRNKAVFSKATETPTPK LEPFLQSDRPLRLTIGTTGLLDTLAFNDDPTAAEPLPDDFVEVEPRAFGVNFRDVMVA MGQLKSKVMGYDCAGIIKRASAGAAAMGYRPGDRVSVLLRGHYGSRTRVHWSSAVHIP DEMTFETAASLPTQFVTAYLSLYDIARLQPGESVLIHSATGGVGQAAVMLAQRVGAEV FVTVGSEEKKRFLMERYGIQEDHIFSSRNTSFAAGIMEMTQGKGVDVVLNSLAGTLLQ ESFNCLAPFGRFVELGKRDFEVNNSLAMEAFTRAVSFSSVDVVALGERKPIQANRILK HVVKLVAAKEIDAVHPVTVYPLSDVEKAFRLMQAGKHMGKIVLSVTPETLVPVVPRAA GTRLRTDASYVVVGGFGGIGRSICHWLAEHGAKHLVVISRSANSAGKIESLQEELSAS GNNTDVTAIGCDISNMEELKKALDEHARARRPPIKGIIHGGMELRDSVLEHMTLDDYK GALAPKVQGSWNLHQYFSAPDDLDFYIMLSSLVGVTGFASQSNYSAGGAFQDALARYR VEGGLPGVSIDLGIVKSVGYLADDEQGKTIEALQRHGFAALSERDVLSAIGSAINTPY AGSLALGINTGPTGPAGPGEDSALKRDLRFAGLEYQQPACENGGKTAAASGGGSSPND LAARLAASATREEAAGHVVEGLARKLTDIFMLGDGDVVASKSLADYGVDSLVAVELRN MLALKAGADMSIFEIMQSTSLAELAGVVAVKSRFVECG MYCTH_2305543 MPQTKNDILPDSEIYDEYDEIKPGWQPVLDDILRTCNPESDHHI EYLIASLIKEYLLSNDDGAAAVFARRFDELYGTVYKPKFDGYRRSKKGWTGYLTIFYE LLFRTAVQIQYDDPKQEKVIELLVELGKLPSRPAKIFKSFEWVDSQVWSSYPLLRRGL YQVYAGEMCLHCFGDLTNPDNLLSLKRASAEYVNYHAFRARCLAAGLDAGEEGRFQSE VHSISVGLDPGVLDYEAPEIDCQVMAAAQYIVLAGDVIYAECVKKQLPSYRFAWKGWK HSNGPSVWKHWSAKLNEIADALERGGDPDFKILEGNREALTDMVIKARDKMLDLEPEL PAQSESRSVEEGRLAEETTSALAAAPTAQDSQVSAPSDSGTDPSHSETPATNSAPASS SLLNTCSALVRDFVRNIWK MYCTH_2305546 MGSSNSKQVGPDRRVNTTNERNALEFATPRGNLQLKRVDDIVAR SPPPPTERPEKRMFPKEYRNVEHGPDGRRENFDRRWIQQGRNPGDPRDMKMYEYPVKT LVQPAPFKSEFDFTSPSASLRDCKAPPPKELEAIKEYWMAPLNDPGPLRAVVNKDQEV VGAIYHPKGDTRGYERARVQPLDAHGRGELARYKDTQVAGRTTWPQRGTE MYCTH_2305551 MAAHKRTGSSHGVGTTGQPRQLPGAACEECRKRKLRCDRQRPQC GTCADAGIVCEINNNRLARGPKKGDLKALRSRIVALERRLSIDNSAETLSILTGESLP ATAPDPHTATPISDGGSPTLVSPGHNSTIWDGEINVQVPPMTPTSDSMGFSTFKFPPT PPSPQRGTFVDDLMRADLDQLYFDRVHPNVPIFNQSRYFARARQTQHGDGPSYMLCLQ YAMWTLSMALSSQFESSREMLYNETRQMLEALDLTDDDLQPVRIEHVQAWLLVAYYEL ARASYRRASISAGRAFRLVQLARLHEVDNPENTVEGEDAVAREERRRTFWVAYCLDRL GCLKSRCPPTLTEEVICTRLPSPELAFQSGHPIPGCFLPEAIASGDHNFLSPLAESAV TVTICGRALSHSNVSGVERAFGNPSLDFWIRHEWVDGILTRTLDSLSLNAPVVSAIAD PMIFFAFMVAHATTILMCQITETSRMDEQSHAKVAEYRLRATRSAQEIARMAKAHEHI GYFKVRHARPLVSLTSAQRPVRVVHARLMHNARG MYCTH_2110635 MPSCHVLRVFDLNKGVVGWGALPRSVLQPGSADANTSLCVVWTR APGCGRRPIFETTPAHRTQSVIHRSAPAPPSRCSAGDLWDIGFAQSHWYLHDWGSSSV AAGGKNETLTMLKKLVCPSRADSADAEPNTHKEPAQLHTFPPVGRSSNAFNRQTPISA SADPQTDIKRISFPRLVAPFSLQLRRHQWFPQSISIRGTDHTLEYRSSGPGSATKTLR RAMSLSPINLKILVLGER MYCTH_2127338 MEVDRLANDRITYQFLLLRVVLRRQARPDTTRLSRCAGGCNNKN SSSSSSSSSNNNDNDNTATGIS MYCTH_50608 MVTPSLKKAALAALSLFPLLSLASPVPATAEASVQTRQSSGYKN IVYFTNWGIYGRNYQPDQLPASQLTHVLYSFANIRSNGEVFLSDTYADLEKHYPNDSW NDVGNNVYGCVKQLFLLKKANRQLKTLLSIGGWTYSATFPAAASTAESRALFASSAVR LLADLGFDGLDIDWEYPANEQEAANFVLLLKAVRSALDDYAAQHAPGYHFLLTIASPA GPSNYGHLPLRDIAGVIDFFNFMGYDYAGSWSTAAGHQANLYPTADAGRTPFSTDKAL SDYVAAGVDPAKIVLGMPIYGRSFEATDGLGKPFTGVGQGSWESGVWDYKVLPRAGAT VQYDEEAGATYSYDPATRELISFDTVDMVKKKVDYVKQKGFAGSMFWEASADRTGDQS LIGASFGALGGIDQSQNQLSYPDSKYDNLRAGFP MYCTH_2315393 MARLLADDSLYVYKQVERPFYVAGDSDVLEQELRNLELFRGSDV GIVQLVAAVTSTNPCQTATGFGWGRCRGGSTVLRGLLLEYHENGTLEMALKSPRPNMD GLWRRWGLQIVRALACLHERGIAHLDLKPSNVVISTDMNAVLIDVSGIGGVTRQWLSP EMLQEKDPMSQDFEARKQNDIWALGQMLLAMAEASSDKDEAQLLRNISLSATRTPPRI PLSEAIRSFSERPS MYCTH_2305555 MHAKEKDLEDGCFAVTFERKYYRRGGVFIKRNLRPKEYRTGYRG LHVPRLGKERLMNEAEALRYIRQKTDIPVPRVYCDFEDDEAYYLITEYVDGVDMSSLS EEQRAIVYEELSIHLAKLKTLRSNRMGGPSGIVIPPYRVMRQTETDDWRLQVSDRDEY VFCHNDLSQQNVIVDPDTLKIKAIIDWEYSGFYPPYFEMLFYTRLGPSVAIKDEIDDS ADLLAFLKSRAEGA MYCTH_2305556 MPDDGLEQVLEYAATLSKAEAAEHFTNMLGDSPQVIEFISTFNA RRAEPESAQKSAPTPAATGNGSSQASDVDAVPKSRRGPKKKKAPIHTPPPRQVASFDL APGRVYNKKNQEEDYISGNKSGTATPTHNNAQHSSTAPAKAATPPPQPSKAPPSAAGT LVSDLGLPKPKTKSNPVSRTSTPGPSSARNQTATTKVSITGGTPMHGSSTALADLDQA IRSLEITTNPSHASNSPANLAARRCNCVATRHPLLEAAPNCLNCGKVICIKEGLGPCT FCGEPLLSSAEVQTMIKELRAERGREKQAMDREAHKKAQAAGGARRPFTRPGVDKEDL TVAEAMALQHRDKLLGFQAQNAKRTTVRDEASDFDATYTGGMWASAEERAMALKRQQK LMREMEWNAKPEYEKRQQVVSIDLSGRKVFKKTVKLERPPSPEEEGGEEPREGMGAGA ASATPESGGGKGSHGGAFSKNPLMGGLIRPVYDVKGKGTELEGRKDRGARWRRVQDDR DDNEAIILDGGLYGRTAEAHEGGAAGDEPACG MYCTH_50523 MPTTYVAPGCDPLLQDIANTLWKSRKVVVITGAGISTNSGIPDF RSENGLYSLIQAQFDAAQQQATENNGDAGGQDAEQRPAKRQRKTLDDPELGRRRASRD HGLPFPGLRGATEKSNDTRTDQNTENTDSPDSKANRLADAQHPNPENAPCPSSPPSFV LGTPHPRRAHLLETLPGSSSPLSSPPPIMYDPYQETTSCSSDRGTESSRSQSEEPSSA TTPLLSSQTSITSRTSLPSMKGRDLFDAQIWSCPIKTSVFYTFVSTLREKVRNAEPTI SHRFVSVLRDSRKLVRCYTQNIDQLEERVGLSTSLSLGAGSRYRFSTRAGRNSGAAKG QARGADGAPEANQAASQKDEDQERSRTTQPDSTNVQDEGSPPASQQTVPAAPNRGVEC VFLHGSLAELRCFVCARTASWEEDDRLEDTLAGRQPTCPHCAGATAAREEKGKRALGV GKLRPDIVLYGEEHPHAHLISPLVQHDLSLGPDMLLILGTSMRVHGLKAQAAIPASSP VSKALPSDTLSGNNGNSSISAAVKSRRRKQAVAWRIIGGVETRVTLNNTAEPQMPVAS SLPRSQAVLRPSPVPHHRRLPPPTPTTPKPTPPYQPNNTQSHIPRPQPITAAPPASAI DMAINAGFRETDRLIAQVHHETLLSRPATPSQLRLPPLLAANLPDQRHRPGQSNDNNY NSQQQHIWEQQQQHNYPTTKLVPLEPKVDSPGPRQAISSNVGSPVACASAGRNPFFLS DPLAGWLGYPPVWLHHHPPHHLHPHHPHQKFRTDMPPQQQRRDYGGNNYDGSDGRSGA TDDRKCSRVADTDSGTGSDAKREQQRETEASSPWCPNEQLRKEQEAALMLSAMRGTGE YR MYCTH_50820 MRISSATLTAALSSVASASLQVVPGGTWTTPDGEHLQAHGAGFI EVNGTYYMIGEDKSGGHSFTNVNCYSSTDLVQWTYVGALLSQTSSGDLGPNRVVERPK VIYNDKTGKYVLWMHMDSSNYGEARVAVATGDSVCGKYEYIRSFQPLGRESRDMGLFK DDDGKAYLLTEDRNYGLRIVALSDDYLTPTTDVFSWRLEGGNRVEAPAMVKLGDTYFL FASMMTGWDPNDNQYTTSKSLGSGWSEWKKFADQGTNTYNSQTTYILKTSESSAIYMG DRWVKDNLMASTYVWLPLSISGTSVSMKNFVSWVPTSNFASWQNPPAETSYEAEAATY GGKARNIDCSGCSGKVAAGYIGGPDNGSVTFTGIRSDIDGLTTIRIKFLNGDSSPRYA NVRVNGDAGRKIAFLTARGDPASSTLHAQLKKGSDNTIVIEGINGGWGPDVDRLMVPV Q MYCTH_2305566 MGDERARFHYFPLLPPELRLMVWELVPRPTRVIAQAPCIECLDS KHWSGPPDPPMPDHAHSHHRDWRYRYIVVLPRGSAIFAPLHACSESRAVWIGRYARIP RYINVWRELGRP MYCTH_2060437 RAMPDISAVGSAFQIVLGGDNTQVLGTSASTPVMAAMVALINDA RMRAGKPSLGWLNPLLYSAKVRNVLRDVTVGESMGCRFPDGVSSVGWSAVRGYDLVTG LGVVDDFNDFLEVLL MYCTH_33003 MRYSVPAPLLGLVIPLVHAAPAVILEETKGIPPGWVFLGNASAS DRMTLFVALKEPGIEELKAKLNQRRDVDHPSFGQHLSRDEVAQYRQPGDQSSRAVLGW LRSEGIRNIHNQGSLISFEASAQTVKTLFHAELGYYAYEESNAAPVLRAQSYTIPAWL CDYIDFKPFSTITSTTTTTTRSTTSAISSSSSTLAPKPTPDTPDNTINPFPNLPCLAA TVPDCIKKLYNITYSPSPPGSPSPVRFGVAGFLEQWVLHSDVDLFLDTLAPTVPRRMN NNNNNNNSYYNFTVELVNNGTNPQDSPRNAGLEASLDVEYAMALAYPANVVFYSTGGR GTMLDAGTGTGVALPPVSQSDNEPFLELLQALLAKPDGELPHVLSISYADDEVGVPRA YARRVCDQFAALAARGTTVLAATGDGGAAGTGRTRCVDRETGARRFVATFPAGCPYVT AVGAVSNVGPPVAG MYCTH_2060709 MAADGSGTSRAYLSYHEPSVIEILTLISFFLFLAVAEWLADKVV RASLIGHIIVGLIYGVPIANILALPWKETFLALGYLGLILIIFEAGGLTIRLDLLRQN LLLSLVAALVGVLTPIALSFAFFYAGLHHAALEAFIVGTSLCSTSLGTTFVVLSSASR TQSKGKGSNQGGDHAAPAPVDFSQTRVGTVLVSAAVLDDVCGLVLVSVIHNLRGIAAA GATTATTTTSLGWVIGRPVLASALMALLTPAVARFAAGPLYRRFFAPRVPAGAGAARV VNFLLMAVVLCAFVAIAACAGASVLFGAFLAGAFVSSLPGGGRAEQIEGAGEPRGFAD SFEHYLGPPQRYVFQPLFFASIGFAIPFVELWTGEIIWKGVVYTLLMVFGKLLVGIVV PIWDFIFHRIRKRSSEKRPDGVGVGSSWAPATLLGAAMVARGEIGLLIIQIGLNETPF LTQKAFVIGVWAIVLNTIIGPVLVGILLKRVGTSIAENPRWGVQAEHGSDIEVDAAAE PTGDSTGMSTRAALT MYCTH_2305568 MWWNAAVIAGLVGIVSAETYEFFRWNTPGANLAANNIYRRQLSP PGYSPEFGSCGTGRTCEDACGPNWESCQASTSLSLFCYNRVDLNQTCCENGSGRACDS GYYCAWEQFEGRVWCCEDGQSLEECGVPHATTATSSPTRASSTSSPTSSSSSSGSATH TGSSQTVTGGETLSTTTASQCPASTVTSWATTTVISTVGVPAPTVTVTVTMPGPGCAP STSLSSSFPPSSTSWPGTVTDPPFPTSAAYTPPIYYNSTTTSFIVTAGSGRLVVNSLG LVVLLLQLLWI MYCTH_2061852 MGYFVGVTSTGFVGTGEMSAVTSYMPCHIFGDQMLIGAGIRIGF YLLYAAAIVAVLFGVDKQFRLWHGAWGILALSLFTAMFLNAVDSNLIIIDYAVLIHLV LWYPVYFVITVLFRQALVVDSKDRPKSDAEYLERLQRCRRVAVTRLDVERARAYADVL KAFALHAAEEEALAEHDNAQAALGRAIHHFVSHWHEQIEVRDGRSSEDVQIDGGAVTT VYNTELIQEIAAAPTRADIDKLRDLYVAALVHSQQSVAEARATEQEVALIASEELRIR RRARAPKHSLRHFLLTTSYKDQLTAALGLLIWSAFMFGTAALNWPLLHNGNKPGGTCD NVPTVYFVLAPKKPFADPGFTTFLRIWTVGVCLVAVVTTALGLFILTVSLLGPTAVGL RPWWRRRKGDKKRSVEDGPRSDVAAAAADPRSARGYSHKARGRHTQEILACLHHSETR SVEHRYRSRTTAPARFSLWTVPWAVLLAVLLVVTVVCAELTVTRQGERNNVPLDFARP PLRETSEILAFLIGLYALIVTLLSVVGAFAAAVLRNRRRKNSVRGEEEGHHHDHDGEK GRGAKESVQPGLAY MYCTH_2305570 MLSSCSPGVSRRSPTVGFETTDATFGGYLPEALAKGAYKVPPQP LVVNRKGLEGIQETVNLRRLITEKGHEGVKEAINRARGNMEEDRGESSIKLVVERP MYCTH_2040748 IRLKQNDWQDDNGLMHCCGDCGTCMLGCFVPCVLINKTQNILED PSEHPSGCGSFGGLSCFLSLFGLTGVTPCIQRRQIRLMYGIEGNCCCDALVTGCLPCC AVIQNYKEVDFRRDNQNAWGIKDGYKRQLPMQH MYCTH_2305573 MLDYLGGTTIPGPEMPLIVVTMDGKHRVQLMRLVDPDVTIPVRF DDFKKEVEAAGLAGKVLYLDRGDEFRFTL MYCTH_2305575 MFLGSRIQTRAFSASARNLSKVAVLGAAGGIGQPLSLLLKLNPR VTELALYDIRGAPGVAADVSHVNTKSTVKGYAPGAANLAAALKGAEVVLIPAGVPRKP GMSRDDLFNTNASIVRDLAKACAESAPNANILVISNPVNSTVPICAEVFKAKGVYNPR RLFGVTTLDVVRASRFVSEIKNTDPANENITVVGGHSGVTIVPLFSQSNHPELSSNAE LVHRVQFGGDEVVHAKEGAGSATLSMAMAGARMAESLLRAAQGEKGVIEPTFVDSPLY KDQGIDFFSSNVELGPNGVERVLPVGKVDANEEKLLEACFADLKKNIEKGKKFVAENP GN MYCTH_2305576 MAPLVDNEQIQSAELLRPLPLYQHAYVWPFAIIWPVFLRYYLTP ELYEKHIQAPEWTFVWVGTIITCQTLVWLCTHWSVNLNAAFTAKKARSVDDALLIKVI PAANAGSADICKLERTKVGDKTNTSFLFQKRRFLYNPETKSFGTLVYAIDTEPKPKLE TFQKSRGITSAVDLERLEQHYGTNTFDIPVPTFTELFKEHAVAPFFVFQVFCVGLWLL DEYWYYSLFTLFMLVMFESTVVWQRQRTLTEFRSMSIKPYDIYVFRLGKWTQIQSDKL LPGDLVSVTRTKEDSGVACDMILVEGTAIVNEAMLSGESTPLLKDSIQLRPGDAPIDP EGLDKNSLLWGGTKVLQITHGSADEERPKLASGVPPPPDNGAMAIVTKTGFETSQGSL VRTMIYSTERVSANNAEALLFILFLLVFALAASWYVWDEGVRKDRKRSKLLLDCILIV TSVVPPELPMELSLAVNTSLSALAKYAIFCTEPFRLPFAGRIDVACFDKTGTLTGEDL VVEGIAGLGLGHSGTNTPRESDGAHSRMSPVHATSMETTLVLASAHALVKLDEGDIVG DPMEKATLNALGWSLGKNDILTSKPGAPGTVGTVQVKRRFQFSSALKRQSSVTSINAT DPSTGRKLRGTFVAVKGAPETIMKMLVTVPKDYEETFKYFTRRGSRVLALAYKHLTTE GELGASKINDLKRENVEADLHFAGFLVLQCPLKEDAKQAVQMLSESSHRVVMITGDNP LTAVHVAREVEIVDRDVLILDAPEHSVHGEESLIWRSVDDKVRIDVDPTKPIDPEIIK TKDLCVTGYALNKFKGQVGWKTLLRYTWVYARVSPKQKEDILLGLKDMGYYTLMAGDG TNDVGALKQAHIGVALLNGTQEDLTRIAEHARNTKMKDLYQKQVDLMKRWGQPPPPVP VMIAHLYPPGPSNPHYQKAMEREAQKKGVTVEQLAKANGTNIETVTSPAARQLIDSDP RKAKQAEASKKAAGLADKLTQSMMDAEMDDEPPTLKLGDASVAAPFTSKLRNVIAIPN IIRQGRCTLVATIQMYKILALNCLISAYSLSVLYLEGIKFGDGQITISGMLMSVCFLS ISRAKSVEGLSKERPQPNIFNFYIIGSILGQFSVHVATLIYIAQYCEVLEPRSEAPDL EADFSPSLLNSAVYLLQLIQQISTFAVNYQGRPFRESLSENKGMFYGIVGVTAIAFAC STEFIPELNEAMKLVPFKDEFKTTITAVMILDFVACYVIEVVLKYLFSDLKARDIAER RPEQLERERERKAAELKKREEEEERKRMEKVAEFERKLAERQAALRARTGGQRAAA MYCTH_2060442 MSAATKIITRPLGKNGPLVPRIGLGLMGLSGAHGVPRPDSERLA FLDKAYEMGETFWDTADMYNDSEDLLGKWFAANPEKRGSIFLATKFGYRRNEKGEHGI DTSPEYARQAIEKSLKRLGTSYVDLYYLHRLDKATPVEKTIAALAELKREGKIRHIGL SECSASALRRAHSVEPISCVQMEYSAFSLDIEAPEHALLATARELGIAVVAYSPLGKG MLGGGIRSAEDVSKPGDMRAAFAPRFAKENLDANLALVNKLTEIAANKGITTAQLALA WLLAQGDDIFPIPGTRNPERLAENLGALEVTLTEDEEKAIRQLADKVAGSRLGAILTD YYFGDSPPLEA MYCTH_49393 MPSKVASQEPIAIVGSACRFAGDASSPSKLWDLLREPRDVRSEI PESRFSANGFYHPDGAHHGRSNVRHSYLLNDDPTAFDAEFFGINPIEARAMDPQQRIL LETVYEAVESAGMTLDSLKGSDTAVYAGVMIGDYEAMLLRDLDAAPTYFAVGTSRAIL SNRISYFFDWHGAAVTIDTACSSSLVAVHSAIQTLRAGDSRMAVACGANVILGPETYI IESKLKMLSPDGRGRMWDKDANGYARGDGVAAILLKTLSAAIADGDHIECIIRETGLN QDGTTTGLTMPSATAQRALIESTYARAGLDINAPADRPQYFEAHGTGTPAGGNRLYVG SIKTVLGHTEGTAGIAALLKAKLAIQHGQIPPNLLFDNLSPSVKPFYKNLEILRAAKP WPAVQTRRASVNSFGFGGTNAHAILESYDDRGGVEPGRNDRALFSPFVFSAASEHSLR ATLSAYAAHLGEHPDVDAHDLAYTLRDRRTVFSHRISFPATSVDALKVNILARLESQD SNISTRTLRRRGDESPKLLGVFTGQGAQYPRMAAELIRESPFAAKIIRDLEVSLGELP VPDRPSWSLEAEILAAPSVSRMGESAISQPLNTAVQIMLVDLLRAAGIHFDAVVGHSG GEVGAAYAAGFLTARDALCVAYYRGLNCKHAGSPNGSHIAGAMLAVGTSPADAEEICS DPDFAGRISVAAVNSSSSVTISGDEDAIAELEAIMGEEKKFHRRLRVDNAYHSLHMVP AADPYLQGMRRAGIKARKLSLPRPCTWYSSVFDGKPMEYSPELSDEYWVQNMVKPVLF SRALEAALSSGISFDVAIEVGPHPALKSPSGQTIQEVLQKPLPYQGSLSRGVHAIEAL SNALGFLWSHLDKGSVDLSSFEAALSGEKQHFRVLKDLPSYQWNHETKYWGESRRSRQ MRLRQPAFHPLLGDPTPDSSAHALRWKNVLKPSEMQWLEGHTVQGQVVFPAAGYVATA LEAARVLAAGKNIRLIELADLTIHQAVAFSGNNDTGIEVLAELTNITRDRKHPEHIEA RFSYSAALGGDAASDLTLAVDADLRLSLCEDASPNLLPERQPKPPHMIPVEEPRLYGF MESLEYNFSGPFRSLVTLERKLGRAACVAKRASTPDCVGLLIHPVDLDAAFQSIMVSY SYPGDEQLRNLHLPTTISKIRVNPSALARPEGVSEVDFMEVDSTCNPEDRSSPGSGFS GNVNIYLHGSNHAAVQVDHVLFKPVGAGASDDHNIFCKMDLVPANPDGYTAADGIPVT QYEKDMMWILSRIVNFYLRQFDRDVPQDSPARSESPLCHYLNYARYITDLLDRDENKF AKEEWKNDMLQDILDEIKAKGVGNNSDVRIMLLVGEVMPRVFKGETTMLEHFRESGLL DEYYAHGFGTMQSAQWLGSVVKQISDRHPHLNLLEIGAGTGGATKHILNAIGRSFDSY TFTDISSSFFENAAEALSPWVDRIVFKTCDAERDPVEQGFTQGAYDVVVAYMVLHATA RLEESVRNLRKLLRPGGYLLIGEGSSDGMMQVGAGFIFGTLPGWWRGIDEGRTLSPLV NAAQWDDILKRNGFSGIDTMSPPKLFDTFGITLFVSQAVDTRIELARNPLSSPISPPV KDVVLVGGQTPAVAKLARGIESILSGLGSQVHAYPTLEEVDANKVATPDAKIISLADL DHPVFQDITPERWYSFRRFFEGQKSVLWLTKGRLRDEPYHNMSVGFGRSAVHEEDDLH LQYLDIADVNQVDAKTIVEAFVRFNSKQLRSRDILYTVEPEMIIDEQGRELVPRLTMI PAANNRLNSTRRLIGHEVDLRQAEVEFVQDSGNCFVRQLSRYDERFKITKPNTIELRT TQSILSAIKTPIGHRFLAVGADSNGARYLALVSSPVSVLRVPVEHTVPLNASSPSETT ILKVTATHLIAAAILKPLYPGQTIVVHNAPEDVARAIEAQAAQKQIQVIFAVDGSDEE AHKLPNSWVRLPEYAGKAEVSRVLPVDVALFVGFSVDASDNEQTILSVLPPFSRRETA DTIYSSQATQHGAAAVETTTVPLEAVAGNERPSDPSTVVDWTTATVLPARVTRFDIIP LFKSDKTYWLCGLSGALGISLCDWMIDRGVKYLVLTSRNPKVDQGWIDNHAANGVTVR TLSCDVTDEAALRAVHKTIVDTLPPIVGVLNGAMVLRDGMVRNMVFEQVTDVIRPKVL GSLHLDRIFHDVDLDFFVLLSSINCVIGNVGQANYAAANMGMCGVAAARRRRGLVSSV ANVGAIIGVGYITQSARQLDLTVANTHLTHLSEEDFHQIFAEVMEAGYLDSPDGPEIS TGLLEISPDTPNMPKWYTDPKFARLVVNKTTDGGKGKEKTNTASVLDSLKACRSEGEV FQVVKSAFAAQLRRILQISTGDDDMMNMRSIDLGLDSLISVDIRSWFLKNLQVSIPVL KIMANDAQMSSLVEAAVEGVPAELIPEVRQGAVADGKADDSSSETNTADTPASTSNGQ VPDTAATSPGSATPPKRISGIDWEAEACPPELTLPSADTKAPSKVPRVVILTGSTGLL GHHLLERLAAEPSIEKIICVAVRQLADRLAKGELPPPSDRVVYYEGDLGAPRLGLAEE EEKAIFDEADAVIHNGSDTSHLKFYSAVRDTNVGSTREIVQRCVRRMIPLHYISSAGV ALFAGLDAFPEIPATKTGALPPPDGAHGYMCGKWVCERMLEQVHEATGLPIWIQRPST IIREGADATNDRAGMDWVNALLHYAHAITAVPRVDHNKGSFDLVYVRSVCDDVVGGLL RGGESKDIKYVNNVGDIVIPMHSMAEIARHKGCEKPYEVLPMDEWMRRAIAAGLHPAV AALIETFDEPGSASYPALLKKRG MYCTH_2110651 MALKVQTAVVQSKQPSTSTRVPLAVSRSQPVPELPTPYHVLVRV RAVGLNPTDFKMITHFFMEGNTIGCDFCGVVEFAGPSALYKPGRRICGADFPYRPNNP YSGAFSEYAVCDSRLALAVPEDWSDTRAAALGAIGWGTACLAISPADALGLPGRPSKP AEKPLPVLVYGGATATGIITIQMLKQSGYAPIAVCSPKSAPQVISYGAIGTASYTSKS CLEDIKKLTGGVPIKHAFDCITDPESVATCFGALSRVGGRYACLEDCPQGWRTRPSVK VKVVMGFEAQGVDVDLGHPVYTRKANMDLHALASEWRAEMQSLLDQGLITTQPVRECG EGFEAVIKAVEILQRGESKGQKLVVTISRS MYCTH_2060065 MKQSGSRRGREAIENHHADHRFHPRGNFGAHVGTVGGVVGAASG RAGECVAVPQSDNRFQTRLLTDEVETASYFLLLRPLYNIYFHPLRRYPGPKLWAASSL PWGFSFLSGYWHHRALQLHTQYGHVVRIGPNELSFDVPEAWEDVYGRSKHRKENPKAP WYLNPKTKEIVSARLEEHTRMRRLLGIGFTNTAMLEQEPLIKSHVDLFIQRLHEINSG GKAAVDMFEWFAYCTFDIIGDLSFGEPFGCLRDSMLHPWIAWVFANIKLAHTLVLCNR IPFFFLFLPIRQTLALVKGAGYFEKTMKAVTDRRLARDAERPDFLQIMKTPRGNSVHC LFQFMTREEIHSNASFLTMAGSETTSNSMVVAVYMICLYPETKAKIIDELAATFNTES EIDMRSAGKLTYLMAVIQESMRYHPPGPNALWRITPSGGNEILGDWLPAKTILGIPHR VMYRSEHNFKHANEFIPERWLDVEGEQSEFANDRRDSFHPFSYGPRTCLAVNLAYAEM RYILARLMWNFDFELAEQSRNWADGLRAWLIWEKRPLYVHLKPRRSK MYCTH_2110653 MARVLSTGALLALLNFATPGLGAAHVSNCRYIPGDKGWPSQSDW AKLNRTVGGRLIATVPQAHVCHAGGPGVNQAACDALKDPLVFEKTAPAYVNKPAEIIN AYWQNRSCDPFSKTSRPCVLGNYPVYSINVSGAHDAIAGLDFARRQNIRLSIQNTGHD YNGRSAGFGSLSLWMHNLQGIEVIPRYRSRAYSGPAVKLGAGVHAGVALQYLGQRGYR LVTGECGTVGVAGGYSMGGGHGPLNGAYGMASDNVLEWEVVTGDGRHIVATPEKNSDI YWAMSGGGGGSYGVALSMTARIYRDGPVLGPVLTFTAPDVGNETYWGAVDAFLKRLPG MLRGTSSSIQFSFWNNQFGALFVMPDERNSSAADAKLAPLLRDLDAIGIPYDLTVSQS ETFLDYYSSWYGPLPFGYEPPSTTLNSRLVPVRVAQDDQARRQLIDAMRLTTQTGEFT VGCSAADVGSVRHPDNAVLPAWRKSVAICNVNAFWNWTAPLEQNLEVKRRMVDVYSPA WDAATPGSGVYLNEIDPWYRSDFKVNMFGSNYRRLLSIKHKYDPYHLFYGHNLVGSDD FSIDGAGRLCYSSGR MYCTH_2061987 MVVLAAIFGLAGALGSGGGGGGGDKQPPSLWEPIPFVYNSLQFL LDNEGFMKRAQAALKKTTVARFRQGGHLVYLVSGPKNVQAVFGRSHNNNIHNDDLMVR STLPLWYRLSADDLRRFATDKTGRGRNPLPGTEDTPPENRLFLGYEHVHNEFLARAQH LAPMVEQYQRQLTQTLDGLCPSPSDATGTGKKGRNDDGVVLGVVDFCKGRVARCALHA LLGPGAFEISPDLVERMWEFDDAVFPLVMGLRLGVPEWLNRRAHAAHRRYLNAVRRYL DAAWADFDWADPASADARWEPRFGAQVTREVAKWFRDHGFTGPDTGPGAVGILAWAQN SNVIPTTTWLVMEVARDPELLRALREEVETAFATDGATGRRRTLDPQKVAALPLLASA YTETLRLRMNFNVVRNVRQSFTMAGYTIRGGSLLQVPTLVAHYDEDVWGAESHPASEF WAGRHIKYTDEPDAEGRVTRKRVFAMASRTGSYFPYGGGNLICPGRHFAKQEIMLTIA LLISRFDIEFLGWTKLDGSPSDRPPRNDPRFSGGGAAPPDRDMKIRIRPIAS MYCTH_2127358 MAAVANYLRDSEVHEVEKPYRFSGGPDLGFPQSNVDFQPTELSL TDLRTVPQLRPTLETHGFCFIENKSKELPALDHESDSKTYALEMAEVVKKLVGATRLR SANKAHGRANVGTEAHVDTSAFDAWDRMRGMMMPEEQEGVLSGELRARIINLWRPRVN PAEDFPLAVLDPATVDPDKDLVFLDHISQVTVAETMYVRHNPSHRWYWLSNMTPDEAV LFTQYDTHPPTRALNYGVAHCAFRNLAARPGCPPRQSVELRLTVLEPAPYEKAVTVLD PHPPESRKQPWDGRVEFLSPPVKYVPTFTREELALA MYCTH_2305582 MPAGAADTEPTRRRLRRGTSSCHECRRRKVRCDFDPGSAGSCTP CRRRRLECVPQLKQAFDYDVSTTSRYRKVRQRLVRLEALVDQLLKQVQQPAAAASFSA RAKNGPNAEALTKVPRGSRVSPARAAARQRTISNGDSGCTSTAQLVAPAHAQQTAINT RNTTTTANITKSSVAASLDALTQFLRSLFPPQEVVVLIVARGDPPGSPLRKYRQVNGF LMDDAAANTTFTTTATATATTTTNNNTNNNSHNSHNYDQEQGQYDVILSPKAAAAAAH PLILARKLVELAICLQQLAHDDSNGPAHAAAAARFVDAASRHVTSSVNDRLLSCIDGI ELLMLEGVYHVNRGDWRHGWQTFRRALSIASALGLGRASHNNESGNGRSNGGSVLSNK AEVERVWFRLVYSDRYMSLIRGVPHAVTDDSSLGAMDGTDLRRLERVHAVVTGRIASR NEQLRLAGFWGGGVPDKQQADQAYRETLNIDDDMKQAAYLVSPETWRLPVPAVNPTQP PLPPTPAEIKQTTARLFIQLKHFHLLVLLHFPYFMHSLDCRQLSSPSSSSSWARGNRS YSISAAVHAGREVLSRFLIYRALRQVPLFYRGFDLMAVTAAVVLLLAHLGGGGGGDAL EHQRPGHLQMVSQAAACFESMDARGTDPASRSAARLLRALAAAERDVATARKTGPGRQ GESLLWREDGPVGGDVTCGVIEEPGGRRFTVPHFGVIHVVRWQGAMAASQIIMPQVKH HDSWGTRTTEQQWPQQEGQQEGQFGLAGTEPIFDLPRA MYCTH_2305584 MGEGAANPPLSVHVKITVDPANSDAFLAVLRPLFEKVTAEPLNV FCEVYRDDKNPGVFRIVENWNASLDYMMSVSSALS MYCTH_2305586 MAPSPSLSTTWAHFHPPKPTFTDQDVPDLTGRVCVVTGSNTGIG KEVARILYSKNARVYVAARSQDKARSAIREIKESTPSSAGLLEFLSLDLSDLSHVREA ARSFLAREQRLDVLFNNAGVMVGSASEPVPRTAQGYELCLGVNCVGTMLFTELLTPVL AATVNKPGGAGPGSVRVVWLSSFALELFAQANVGVPLDNLDYHLPKPGTERYGISKVG AWALGVEYAKRHRDDGIVSVPINPGNLTSELPRHQGVVLKTVARLVGYPPLFGAYTEL WAAFSPEVTPDKSGSWVAPFGRFYPLREDLHKATRPEAEGGTGGTAKFWEWIQGQIQP YL MYCTH_2127362 MGSPDEGRYRRRRRRRRRPSGILSGFGISQIVLIKRTASSASTT GSAAPLSTGRCETSYPRLPGRGGRSASSVSASQLKPKPPGPGPGGMGTVTVTAGFVPP PAPPPDSAAAAAHHAAAPSLTSAGSGHAFAFSLPEDSLDMFALTPLFDGRIDGLGGED PAAFLDQVTGSLPPLTVLGSGTGGWDHMDDGGGGGGGRGRDDQDQHEAEDEEDDEDAE EDQDQVAGVESTMDTGTHTGTNTGTSVDVGVGIGSRLARLLECNHRLSSLSMDLSRQL SHYLTAPAAPPPPAPDQGDAMMLDTKAEGQPAPAPAGELLASRLLADALADLAEFLTI IQAYWPEPRRKASNTTATTTTATTTTTAINGRRPRPRRCRLQSRRTASSSRSRSRSPG PPRPGTVATLHLIAVYLQLVAIYDRLFHLLLLNGRLLFGGGGGGERGGGDASSAEGAA ARSSSSSWSSSSSSSVFSSPSFSASSSSPSQRRHSSSSTTPSTTTTTTTTTTTTTTNT AAAASTIMTMVGGGLQTKILMHAILHQFETVERLLGLPAELRVTERQPPVGVVRRDDS DGGCGGGGGGIGGGREGTGRGGSGGREGGGLLDALCHEACYGDDAGAEDDHDRLGTLV GLGTLASLRGKLRTLQVSLNM MYCTH_2305589 MSQFGAEPRAAGSGAQISATGDATTTSHTSSLASDPTNRDHVVA IGSGDDSQPDGDATQSSSENRRPGENNQPPQPRNQHTLALSRSNSQGTTSSTNGADAV FTPPTSGGRPSPGTGSAHGSSQDSQSQLHQLSQIAAAQARIPEDAADLGPGVSRKRMA DGMVKHSRDKSNVSPGQMAGHSRNTSTVSVSSTAGSRIGELSAELRARLSYAMVKVNH GWQSHSIDQVETLASQAASQAASPDSSASTIHLRNGSSASPQLSAVSHRAMDNTTPAA GTQHPHSGLAAESRSSTSPRSTSRGSSTSPVKTSHGLAPPVSIRPSQPAGNPRRDSNP RQAPPLLSTSSYHTSPRTGAQHASSPYLAPGRHRSTISDAMLVSPHTNVREQDAIESL LFMSSPGNSADLKHAFPPASSSQPLPSSHAPPQQRTALPTSQPRKSLPSGRPSTHHSR SQSQSQSQSQSQPQTAKRVGFDKSPSAMDVDDPPSAGGGGGSPMSVSRGTPRRRANGD HCYHNSAQAQAQAAPPPPTPRSKQQIPVSVGLTVSSKPRRPLADDEIDRMLDQAAADD ADSDSDGEIELPSAASVGVPRGGADGAEVVNV MYCTH_2305590 MGVFEKSSDGGAASKEEVKDPIQANAAADDVETGDIKQEDKYAY DDSRKLGITGAVFLILNKMIGTGIFSTPSSIFAATGSVGVSLMLWVIGGFLTFCGLSV WLEFGLAIPRSGGEKNYLEYIYRHPKYLATCVLASQMILLGFSSGNSLAFGRYILYAA RGELKDTYQARGIGVACATFAVVLHSVAPKWGVRLFNLLGVFKVAVLLFIVFSGFAAL AGRRLVSDPHNFDNAFAIESGEGYGGGGAYAYSNALLNIVYSFKGWENANYVLSEVKN PRRTLTIAAPLAIGGVTILYVLANVAYFAAIPKSDLATSEVIVAGLFFKNVFGDSAGA RTLPAFVALSNLGNVLAVSFAHARLNQELGKEGLLPLSRLWGSNRPFNSPAAALFLHW LVTVIVLLAPPPGPAYNFIVNLYTYPGAWINAFVAAGLIYLQYQRKEPWNPGWRTWLP ITVIFLLCNAFLAVVPFIPPADGDFWAEGYPYYVFPVVGVGVLILGGIYWVLWTKFWP AVRGHKIVADRILDEQGVEVIRYRKVKTHAA MYCTH_2305591 MNTTFNSALKQRTTIRKDLSALSGGASAASPNDAQHAKPQLTPA ALGSLSASLTAFARTIDEYSALAKQELNPAKQEKAFERIRDFRAELSDFRAQFDALKA ARDDAAHAENRRELLGRRPYATATPENPYAGTATATTSGYGLAAGAAGRGHARTTSVA EAGGGGGGGGGGGGGLGLGMGSGDVTRESHALREQQFFANTHSALDEYIARGQAVLGD LSQQREMLKSTQRKLYSVGNTLGISGDTIRMIERRAKEDKWIFGVGVVVFFLFCWLVL HYLR MYCTH_2305592 MDEPKADADSPQAQVRIAADGGANALYQAAGQHGDSSFDDLAVI IGDLDSLSPSARAYYESRGDDERQNKTLVIRDPNQESTDFGKAVAYVRQHYGHRDGAQ PIDIVAIGGLGGRVDQGLSQLHYLYRFQAEPGYAQGRMYLFSGESLTFLLKPGTHRIR VRDGRSEDVFGKYVGILPVGKPSRITTRGLEWDVQDWETRFGGRVSTSNHVLPETEVV EVQTTEEVLFTIVLRQPAWLDRS MYCTH_2305594 MAQPSDGPEAALLDLLHISRNGANRVGNLVLNTANWVQAALGTP PAPPAPAEAIEDGWEVVVDDGTLSGSDDTSDLEDRYDDNGGLFDGEPITGGYTLAECL SDYGSSVDESAVDSSSGDEGGFGNRWEPPGNEGFRRIVMSDGGGCGKSSEGGGSDDEV QSSRNSRCSGHPKLDDDGVQPQTDANLNCEEGDESDSDNDVYVDARSHVESEHLSQDQ APMADATSEPQSSNPLESHRAPVAGCKFYAKLEAMLRARVAALWEKALAAQKAAMVAE SGVEAKPGSAPTVTAQSRQRTGVFNRGQDLSASSPAAGGTLPLRTGVWSSRAKSLGPI ARGACWSRRPGNRPRRSRLADQSEPPERASFCADHGLGGTAGRRSQWHQIEEATVKNP GREFGVGDMNERSRRTNGPWWRPPEPYIAPETTIGKSTGSRQSRFPVTNGRKSASPMP QDRSQP MYCTH_2305597 MYRSALRIAPSTLRAARPILASGSRRFASTAPARKKGTWKGTAL RWGLAAAAVYYYNTSPLFADELPRSSPWCLAQLASSANSEPAQVGPAPPHFSDADLPT VDALIEEKRRQAAAAAAAAPPLPSPHEPTKTAEVKAAEEKTDATAQQAKPTETEAAPT AGTADAPEPGSPEALEQEAQQQGAFDPETGEINWDCPCLGGMAHGPCGEEFKAAFSCF VYSKEEPKGMDCIDKFQHMQDCFRKYPDVYGSELADDEDDEAAQTQETQEAVKEVKGE EAVAQSKDEVTPPKAHDATEANKESKEVDKQ MYCTH_2305598 MSYAEVASKGPKQSPEEAAAPQPPQVQQTTASSTGSLVDVDTPS VHTVPSDYASQEVKTETQAGRIEREEVAQEEAEARAHAEADLAKKKARGGAGARARRA ESWLAKHFETVGEGGAAGALALANIMAVVGLSGWLGFKAWGLYDRGTLGWKEAGIGLG VLGAVGVAEGFFLNYLSQTKGKQQ MYCTH_2305599 MKALRRCSGVAADRRSILAARFAAMQRLHTMLVNRYGAPTSNET ITAVIRLILNDIFFLEETDDLRVHVEDALEMTRACDGLTALGGEGNLLAKMVVI MYCTH_2134407 SGKNNQQQQPPQTTNSTNYTQSTCAKAEAALAVTAAAAPAAPPT PSKERGVLA MYCTH_80168 MPCSFCFSCGLYCHIIESSSCYGECVRRGRSYNGSRVLVLSYCS RIKAP MYCTH_2305600 MSEQDFSATNAGTSRQTAPGKHRGPRFTWNSEFEATFFRSLCES VSLGLRDGSTFKPEAWERAVQALIDKHNAYANKGHLINKTDNARKKYRLWRGLREDPE FYYNAQTRMVTATDEAWARHLQKEPLARSLRARPFEHEEYYEILFPDVIGSGGAPKRL TKPRRKAAAENTNNTTEEPPDTSGTGIMDLLSDAAYINPNQTHMPPALPPAMPAPMTH HQPPQQQQQQQQQQQQQHRAPTNTMPPPPPRSSVSSASALTPPEETGLQTRKRLQAPD NNSASTGQQPDKRRRTGAPGYLDLTQQQRQQQQHQQQQQQQQQQQQQQHQHHQQHQTQ NASTVTALAETLQLLSEALRNSVGVGSTRGGKWQEQAMDIFFRDFVGEDMDLQLKIAE KVLTDENKAMVFCKMPDAVRRHWVKRLREVHNRIA MYCTH_2118744 MVAASSGSQKRSFKINCTRNPTFKRFDGPRELLRSYRKFRMPIP QGLLDALEEGSENMILAKGDVFQGPETLKVAHENAASRVAKSVGAVPAIPANGGIEYI SPISIGSQTINVALDSGSADLWVFSSWLLASAKVGHQVYDPFLSSTFKPIPGANFSIT YGDGTSASGTVGVDVVDVGGVTVLNQAVQMATAVSPAFVLDTNLNGLLGLGFSQLSTV KPVKQKTFFENVMPSLAQPLFTVDLRRSTTGTFEFGDIDVSKFTGSLSWVPANTKNGF WQVSSGGFVVGSLRQKLPVTQAIVDTGTTLMLVSKEMADKYYSQVVDAKSTSAAGGTT FPCNVTLPDLVLDVGPGYAARVRGADINFGTFQGDRCFGEIQRTTSNFQVWGDIFFT MYCTH_50908 VKAKYGIVDNDIYNFDETGFIMGIIFAGMVVITSDGCSKVKLAQ PGNRE MYCTH_2060218 PLDISCFRPLKKAYSRQVEDLIQIYITYVSKLEFLYTFHEAFFA SMTGKNIQDGFTGSGLVPYDLERVLSKLDIRLRTPIPLNSRAGTL MYCTH_93495 MSGHTSSASSPFNGTIGHLRILTDPTGVIVNPFSPSPGYVNLDP NNLDFKTLKAVLHLGMSMLESPRGEQILVEIGQNAVNYNRQRNLPCLYSDNVNNIPNY LRYFLRTVRGDFYNVYLTHAMRFQGEGEGQGALWGNNFRDYRPKTHGRIKFNRMVIEN LRHVAVIAHDLRGRQDPVSVARYENAMKNFEVGSFLMGITVAHEIVHKWVRYLLGPAN AGTPPHISFPGTASGNRGESGWYWESRFLGGGKLENVEDQANPLGWRQLGKLYLVRET AQGQMGRELSRRYIQDILSFRFEGPARPATNSVEQRAELLGKPMARVRLENLPAGIPI NVAPEEDSQGRISSAILDPINRMAAHNVRVSAPIRPSVVAA MYCTH_80161 MAQPTDWKAGEIARLAAESRAVIKSPVSGLPQRHRDVFERALRR VLSTELAQVTYAQIIDGFPLVEVAGDMAGWGIDREHPVFRDNHDKLCPGAWERMAEFH SSFSIDVLSMDEKLLHSYVTAAIGSLAFKMRLLEMVAVAVHQLAVMLHKLGDLGVHDE WKTWKPPNRYIGPDYCPDPEPFATLFFHFDFTGHEHYPEGIADMVGYWAENRILGGVV LFDRGESSTNSQEVYFHSCRHNVTTRIYALTSEQKGRLWAFLLSDGSDGETNVLPILG DSKNRVRVDTEFAIPVFHIYRDKWERKVLNDWETRLARRDYLDLVDVPEAEDRESSEP MYCTH_66804 MTLQAFALLAAAALVRGETPTKVPRDAPRGAAAWEAAHSSAAAA LGKLSQQDKINIVTGVGWNKGPCVGNTPAISSINYPQLCLQDGPLGVRFGSSITAFTP GIQAASTWDVDLIRQRGEYMGAEFKGCGIHVQLGPVAGPLGKVPQGGRNWEGFGVDPY LTGIAMAETIEGIQSAGVQATAKHYILNEQELNRETMSSNVDDRTLHELYLWPFADAV HSNVASVMCSYNKINGTWACENDRVLNVILKQELGFPGYVMSDWNAQHSTDDAANHGM DMTMPGSDFNGGTILWGPQLDSAVNSGRVPKSRLDDMVERILAAWYLLGQDSNYPAIN IGANVQGNHKENVRAVARDGIVLLKNDDGILPLKKPAKLALIGSAAVVNPQGLNSCQD QGCNKGALGMGWGSGAVNYPYFVAPYDALKARAQEDGTTVSLHNSDSTSGVANVASDA DAAIVVITADSGEGYITVEGAAGDRLNLDPWHNGNELVKAVAAANKNTIVVVHSVGPI ILETILATEGVKAIVWAGLPSQENGNALVDILYGLASPSGKLVYTIAKREQDYGTAVV RGDDTFPEGLFVDYRHFDKENIEPRYEFGFGLSYTNFTYADLELTSTATAGPATGETI PGGAADLWEEVATVTATITNSGGVDGAEVAQLYLTLPSSAPATPPKQLRGFAKLKLAA GASGTATFSLRRRDLSYWDTGRGQWVVPEGEFGVSVGASSRDIRLTGSFRV MYCTH_2110669 MAPTRNAASRTPAYPVDLAGPVGMRDVAQGRVTKREKKPPGRIG GGSGDADDDNGGGVERGRGGGAEGENTTDRPSRDAPGKKKKKEEKKRNGKKAGVPSCL ACRGAKARCDRVTACERCIRAGEECRPGSGGGFDDNGGAVPVPVAGKAARACERCRRL KAACARRDSCVRCERKGIECVLG MYCTH_2305608 MSFQSGDTVRVRGSSVVYKVVAVNNNLVTILISNPQPDGQYLPF TSTALQTVDESRLEKADDVS MYCTH_50705 MAFLSSFALAALGALVVPARGGVTYPDCANGPLKSNTVCDTSAS PGARAAALVSVMNNNEKLANLVNNSPGVSRLGLSAYQWWNEALHGVAHNRGITWGGEF SAATQFPQAITTSATFDDALIEQIGTIISTEARAFANNGRAHLDFWTPNVNPFRDPRW GRGHETPGEDAFKNKKWAEAFVKGMQGPGPTHRVIATCKHYAAYDLENSGSTTRFNFD AKVSTQDLAEYYLPPFQQCARDSKVGSIMCSYNAVNEIPACANPYLMDTILRKHWNWT DEHQYIVSDCDAVYYLGNANGGHRYKPSYAAAIGASLEAGCDNMCWATGGTAPDPASA FNSGQFSQTTLDTAILRQMQGLVLAGYFDGPGGMYRNLSVADVNTQTAQDTALKAAEG GIVLLKNDGILPLSVNGSNFQVAMIGFWANAADKMLGGYSGSPPFNHDPVTAARSMGI TVNYVNGPLTQPNGDTSAALNAAQKSNAVVFFGGIDNTVEKESQDRTSIEWPSGQLAL IRRLAETGKPVIVVRLGTHVDDTPLLSIPNVRAILWAGYPGQDGGTAVVKIITGLASP AGRLPATVYPSSYTSQAPFTNMALRPSSSYPGRTYRWYSNAVFPFGHGLHYTNFSVSV RDFPASFAIADLLASCGDSVAYLDLCPFPSVSLNVTNTGTRVSDYVALGFLSGDFGPS PHPIKTLATYKRVFNIEPGETQVAELDWKLESLVRVDEKGNRVLYPGTYTLLVDQPTL ANITFILTGEEAVLDSWPQP MYCTH_2305611 MDASQELPVREKANVDANKAGVVEFGHRLRDEHFMIDPSFRNLN HGSFGTYPRAIQAKMREYQDLAEARPDPFIRYDQTRLLDESREAVARLLRAPVDTCVF VPNASVGVNTVLRNLVWNGDGRDEILYFSTIYGGYAKTIDYVVEDRAGAVGARCIDLS YPCEDDAVVAAFHAAVDASVREGRRPRVCLFDVVSSLPGVRFPFEAVTAACRERGLLS LVDGAQGVGMVDLDLPAVDPDFFVSNCHKWLHVPRGCAVLYVPLRNQPLIRSTLPTSH GFVPRAVAGGGNRFNPLPPSTKSEFVNNFEFVGSIDYSPYLCVKDAIRWREEVLGGEE RIRDALVAMAREGGRRAAEILGTHVLDNASRSLTRCAMVNVALPLAMQPDEGEELRAD LEGVPAIPKSETGAVLNWILRTLMDEYNTFVALFFHGGRYWMRLSAQVYLELEDFEWA GRTLKAVCERVAKGEYKA MYCTH_2305613 MARNEPLTDEDRAAWLDALHERVVVSSTDADADGVPVPRLPHRV VTCSALKRRYRDALRGGDPVRTAPARVRFVFLDVHEEVLRQRARERKGHFAGEGLVKS QVEALERPGEDEEDVIIVRVENGEGVGETEREVLNRVVQQMGLE MYCTH_2305614 MSDILDDSMREQLAAALLGWGEEHGTQRVLGGTIDSTETGDDNG QATPKTAPGFGHRQGQIRGVVMDSADEEDKPNDDIDADSVEDPNEISDGWSKRDVHQQ ARSVGTERQAPVGRKEKALPPLPDEQPASTSPEPEMSCRISRFPFTHPCEVPELIPDQ EDMSGTSSTPSAPAPATPGVTSEVFRPLSQLTSLDLELGRHLNPTSGSEAHSGQRKTS IKSTKTAESEGLGIIQEEEGNTDENTDGVSLLTPTEASYNNRNSEGGDKKLFLSVDGS HCPSVSSLGSGSSSEWRPSHGNTATRKSVNFFSRIRNGGNRAEDPCLERRSLTPMQLA TPPRAPDHHDDEPPAPVGAMPTLSHTNTVRTEVSTTTKFFHRMPWLGESQPKKPEAVF GVDLKESIRVAPMKIRISHKGRTTSYRTFPLSMYKCCEFIRRAADTDANIFSSPGNAY NVTNLQAIFSTPPSFGEQFQFEGTDYTVYDAARLILVYLESLPKPLISPSVVKSWILL ARQEGAIEPPCPRVETGLDFWTEALNRLPTANRNLTKYLLTVFAEVLLGARGEINEAD ARQLASAVSRAMFHHDAEGVILDNKGKDQKKKPVRRNVQPTLALAFLIKKRGEYAMSL SKAAGEDASKRHSQLFLPSTREILEWKGGPR MYCTH_2118751 MARDWNKSFGGEEKVLLTGGTGFIASHVLDCLLDHGFDVVVTVR SREKGQRIIKSINEEQRKRVSYVVVEDIAKKGAFDEVFKAGPPFGYVVHTASPYQMQW NDPVRDCLDPAIKGTTGILESVHACAPTVRRVVITSSSAAILNPPNHPKVYDESSWAD VTWDQAMDPEHTYRASKKFAEKAAWDFVAANAPSFTLATINNTYTFGPIPRSLAGLDS LNTSNHRIRDLVQGRMRGGIHPTAPVFTFVDVRDVARAHVRALTVPEAGGKRFYVVGG YFSNPRLTSIIRRRFPRLEGLLPPQKEAAKDDFPEDHWAFDNTRSREVLRLEYTDLEK TVVDTVESILRFEGKEEV MYCTH_2305618 MYSPTRQEPLHVAIIGAGIAGVSVALGLQARGVSYTIYERAPGF REIGAGIGFSPNAEHAMRSLNPDMFAAYRRVANPNGEDYFQWVDGYETNELIFKLHVG KDSFLGGLRSTFLDEWSQLIPSSAVQFDKHLDTITKPAPDSPSDKLLIHFTDGTTAAA DAIVGCDGIRSRVRQLILPEPPVRPGYTQKFCFRALAPMPAAVAALGYARTSTRFMYN GPGAHLITYPVANSSLLNILAVLSDPSPSWPHPHHTAAGSKSEVTAAFAEWCGAARAI VGLLPDSDGGKMDKWGIFDLAADPVPQYHGGRVCVAGDAAHATGPHLGAGAGMGIEDA LVLGALLAEANKRWTGVEKDSDGKGRLVEKALEVYNDVRYLRTQEVIHSTRKACDLFH WRDPDVARDPEGFGKEITELFYEIWKYDVDGMVERAVAKFVETAL MYCTH_2305619 MTPKYQLTNASHEAGIPTVEMVLQRLDPRVRTAADGSPVVSRRA KDVCRLEHRKPMPLMGIPAEIWLEPPTRKAVGTIRIKRSPTFHSRYRALRVRSDAEIR ALQHRGVKVKKGEGE MYCTH_2305621 MHTSSASLVLAGLAATAAAETIHGALVFARHGDRTSKHYPNYVL TPLGAQQVFQVGSFYRSRYLSSSSPQRIRNISEFEHVPAQVWASAPDASVLLSTANAF LQGLYPPLGDIKPSLAAQDLANGTSVESPLSGYQYVTLHGINDDSPETIWIKGDDNCP ALSAASADFMASAEFQTRLASTRDFYQSLYPFVSNVYSSVGDLSYEKAYDIFDVINVA KIHNASSAVKDVTDEQMFQLRTLADSAEFGLNFNASQPDRSLHAQTFAASVLNHLNQT VTSADRNPKLTLLAGSYDTFLAFFGLTGLVDVSPDFYGLPDYASTMAFELFTPDDADA DVDADAEGPLDPDALQVRYLFRNGTDGALRAFPLFGRAEAAMPWADFVRAVQAVGIDS AAEWCSRCRSPAVFCAAYNTTTNTGAVSQRGGGGGEDTVSRGSWIAVLVVMVVAVAGN LVCAAVWLVRSRRLRAEKRAAAAAAAGVAGATTVSRGSESVTSYEKQSV MYCTH_2060942 MQTPSRESSLELAPQACQSCRSRKRRCDKALPKCSSCAKRGRVC DYYWRSEENSTPLAAAPAPSTAERGWYPSPEEDDQQQPQQPQQPQQPEGRAVDFPTIL FLDPNLLRYGQVDTGPNQYYGATPVPPSVLHLLGGLDEIRSTADRFFRHIHQWMPFIS KKRFYDLHLQPASHARPDVALLLLALKLVTSLPAAAAAAGSASGSGSGSGSTDPRTPL YYATKHFYQEVESSFSILVLQAGVLIALYELGHGIYPAVYLSIGLCARYAHALGINVS RTVPSRKVLTLVEVEERRRVWWAIVILDRFVSIGCPGRPFATSDPGLDDFLPADDAAW DQGTVRPEDLSTLSSPMTGHMSKFSLLCQAGRLLGQVLHYLASDVAIPDDVWMQLDRT LQSMLAAALNIDRPDFDQIAFVTLVALYTPWLADHPPSPDAPPPSERSRRARVVLDQI TDRISANLVERQCLLGRDPEDIPPWGLYFAYRVCGALIRAPRQTPQAAAVIRTLREGF RTIDARWNVAGLYLQLLEAQEAIRLGA MYCTH_2305625 MGGRYADAHRDSQGEGDARPTALQIIKDEGVEGKLKGKVIVIAG ATSGIGVETARALKETGATLLLTARNRERAEKNLAGILEPGRVSLVELDLDSFGSIRA GADKILAETDGRVNILINSAGVMGLQEHTLTEDGVEAHFSSNYLGFFLLFQLLRPALL ASATDELHSRVVVVSSSAHRAGALPASDNYSFEKGGYDHEVAYNNSKLAAVYLANKID RDYGARGLRATSVHPGAANNTGISRNVPPEFVEGLLSNPYVVKILKSPAQGAATTVWA AVGKEWENRGGRYLEDVAEAERGQDDGQTFGVGWVKQTYDPAEEDRLWKDSLRLVGLE GQA MYCTH_101261 MKIGYFGNEFPHDDLKDLFRRLWNLSKDRQHRLLAAFIHEATLA VKDEVRLLPSATRSLVPTFETIFDLADHAELRSGPMGGAVDGMLLCAVQLATFIGYHE DDAKDGFDFGNPEACLAGIGTGLLSTAAVSLSATLADVPVAAAEVMRIAFRLGVLVDE VSQNLQPRAADGGHGDSWAYVVPDAVAADIQSELDAVHAAESIPEPNKIFISTVSRNS VTISGPPARLKHLIDTSDFFRNRTLVALPVYGGLCHAKHIYDEEHVERIVEPSPLDAV LRPRVPVFPTGGSEPFPGKTATALFRDIVRDLLTQTIQWDVVVQGIRQQAARNAAVSE YLVLTLRASLPGRELVEALNEDSEQPVARTKDLVPWITKPAAVPRGPRGAQQSKIAVV GMSCRMPSGATDTEKFWEILEQGLDVHRKIPADRFDVDTHYDPTGKRVNTSITPYGCF IDEPGLFDAPFFNMSPREAAQTDPMQRLAIVTAYEALERAGYVPNRTPSTDLHRIGTF YAQASDDYREVNTAQEVGTYFITGGCRAFGPGRINYFFKFSGPSYSIDTACSSGLATI HIACNSLWNGDTDMAVAGGMNVLTNSDAFAGLGQGHFLSKTPNACKTWDCEADGYCRA DGVASVVLKRLEDAEADNDNILGVILAAGTNHSANAVSITHPHAGHQADLTRQILAQA GVDPLDVSYVEMHGTGTQAGDAQEIQSVTQVFAPLTPTKRRSPKQPLHVGAVKSNVGH GEAVAGTTALLKVLLMFDKQAIPPHVGIKNAINPGFPKDLDKRNLHIPYEKTPWPRVP GKKRIAVVNNFSAAGGNTSLVLEEPPERDSSAVAGPDPRSTHVVAVSAKSKVSLKGNL ERLIGYLDANLDVSLSDLSYTTTARRHHHNHRVAVAASEVGQLKRQLGTYLEGVESHK PIPNQGPPSVVFAFTGQGASYKSMNLALFRDSAAFRDQILHLDSLCRAQGFPSIVPAI DGSFPQDHAHSPTVTQLALVCVEMALAKYWESLGVRPDVVVGHSLGEYAALHVAGVLS AADAIFIVGRRARMLEQKCQVGSHKMLAVRASLDDVRAHAGGRPFEVACINGPRDTVL SGTGPEIEALAETLQAAGFKCFNLDVAFAFHSAQMDPILDELEEAASRAVLFQPLRLP VVSPLLGRVVFDDKTIDARYVRRATREAVNFLGAVEAARAVSTLDDSMVWIEIGPHPV CAGFARSIMPSLATAVPSLRRGEDDWKTLATSLAAVHAAGVPVAWDEFHRPFERACGL RLLDLPTYAWNDKTHWIQYNGDWALTKGNTFYDAEKKAKAEAEAAATANANPLTAPKS NLRTSLIHRVVEETFSGSAGRVIVQSDLMQPEFLAAVRGHEMNGAGVATSSIHADVAW TLGKYLYENLRPDQKERIKGIVQDMSITDLVVREGLVAQRNTKVPQLIQIAISTADID SGTAQLEWHNVSQDGRSLVEQEPFATARIVYGSADASLSSWVPMLHLVRGRIEALSRL ADEGKANRFSHNMAYLLFANNLVNYADKYRGMQAVVLDGLEAYAEVTLKSGAGDWTVP PFFIDSVCHLAGFVMNVSDANDTKANFFVTPGWGNLRLARPLVAGGRYRSYVKMIPTK EDPTVYLGDVYVLQGDEIIGLMQAIKFRRYPRVLLNRFFSPADVKNVTSSGVPAAAAA AVVPSAPLPTAVPKVAPAPVVVQQQTPPPEQPVPAPAPKQSAPAPAPAPAPAPAPAPA AASSEDSVAAKTLALVAAEAGLSMSDLHDDASFGSLGVDSLMSLVIAEKLREQLGITV SGSLFLEYPAVGDLRAWLLEYYN MYCTH_2110682 MAPGVGGYRQINKALNICAFEDYLEGQLMRLPQLLDVEQISPRV IRVLGQNPGKFTLQGTNTYIVGTGAERLIIDTGQGIPDWADLISQTLSNEGFSLSHVL LTHWHGDHTGGVPDLIRLYPHLRTAIYKHTPSKVQQPIVDGQIFKVEGATVRAVHAPG HSHDHMCFILEEENAMFTGDNVLGHGTAAVEHLRTWMDTLRQMQSHGCAKGYPAHGAV ISDLEAKIDGELASKFRREHQVLQALQSASNNAEGGGGRGGKARLTVKEVVSAVYGDK MDDGVRQMALEPFLQEVLSKLAEDGKVGFEMKGGVKKWFILRSP MYCTH_2305628 MNHGAGGMGSLSAFVIPVFLDTNVAADHMLRQWVRLYHYGHIYM PAVCIATCGIFAYAFLDKRRSSSSSSSKHHQSDPSRSAASRYGLAAVSTIAMVPFTWL VMAPTNNTLFRLESLGSTVTDLALVRTLLVRWAWLHAVRSLSPLLGAFVAFTGLVQEL GARGE MYCTH_2061639 MFREPELPEQSEATKGKYLCLTICGYRKPGMTEEEYRNHMVNIS APMTKGLMVKYGIKRWTQIHNQSATRALMSQLFDPQMANIADFDCFSQVVFRDIEDYK RMKQDPWYKEHLVGDHEKFADTKRSKMTIGWVEEYVRDGKEVDGFA MYCTH_107295 MLHSEPGTQVATAPRPQWRSSKLRDSCQACALSKVKCPKEKPSC SRCESRLIPCEYFFTKRPGRRRGNSTAGPKTPTSSDSTSGSTSAAGSISGTVSGSGSI SGSISGSSSGSIPGPISGSNPDPDESWTRYGDTIHSLPPISRSYVLPEMTTPARTGNS SLSVPASPRTADAMSVLSNASDMLSVHTGLDSASLAYFSHDIGDMDFVTSVMDSPIDL PMPDICGSNSGGQLGIDVASLLIPDESTDYHDGSASSDSSSSSTADLLNAASGASPAP ASPAHRPVENGATGADASSTRSSSPCGCLNGALHLLKTLSSTGNSKSDSTTTADGASG GPDAANNRDPIQAVLQENKQSIESVESMLACPSCTHDSFLLTVLALAVLKVVQRYSAA AWGTTSQSASRYGATAGMTTISAADGEPGDRGGDKTARLANGILKRCPPKRPRSASTT YQNNTPTNKSGGGGGGGNGAGSVDDGMRSRSSSSSNSRSNSNSNSNSSCWDISTEQLV LSELHRAQRVVNKLSPKLRAPSYGEKGVATMMTMSHFGGGGMMQDPDYHHHHPLSAPV GDGLAAPFSVGTLEMVANDVRKSLGTLSSEIISRLRRR MYCTH_2305631 MRETRTYAVLGSTGNCGTALIEILLKKPNARIHAYCRNRAKLQR LLPHISESGRVSVFEGSIHDVGLLATCLRDCHAVFLVVSTNDNIPGCRMAQDTVVSVT RALQGLKSASRTPAPKLVLLSSANTEDHFSRHVPYPLRLILHWSASHVYQDIINAEKF LRSQEDWLTSIYVKPGALSIDIQRGHALSFTDEDSPLSYLDLAAAMIEAVDDEQGLYD GKSVSVVNTNGRAKFPTGTPMCILMGLLRHFFPFLHPYLPSHTGPR MYCTH_2049011 TLALLALPLVYSLVKWLLSTRRPSQFPPGPPGAPFLGNWHQIPS LLHCFKLHEWARQYGPIMGLKLGPRNVVVLNEGSLVYELIVKKANSFSERVPMYIAQN HILPEGKHSYSLFMRNDYGGRLRTLSKQTVVGSGLNNLAPLQKAAATRLLYQLYQDGE HWVEHLKPWSLETPVAMMSGAPIQDYVRDFGKQWIEDYNLSQQLWIELLDQLSPPVDL FPILRWVPGLIAEWKRKAPVARKYLLDAYNGVIEQAEKSMKRQGGTFSHLAIIPKLLR QEPDHFTNKQGLDNTVFMGGLFDAAVGSTLMSFQTLILALAAHPEVQRKAQEEVDRVF GTEQLPEQIELDKLPYIHACVTEAQRWRPLGAYFMGQFGLPRECVHEEEIGGFRIPRG ASVVINQWSIHHDPDFYDQPGRYDPERYVKNPVGAKEGVSQPGRKPIYTFGAGRRECM GKDFYFQNARIACAQVLWAFDIVPDGDLDIDARTGFTPAVVMMPKPFKVNFVPR MYCTH_2127404 MSSSTIQYILDGVSVTVATLLIYTGVRCLQNPLSLAKTFGLPTA TREEVVFFQSSTGRNIGAGLFIYIMTYLQERRLLGIFFLCWSTAGMADTKLLLEHPRG TKVLMHVRNTCVLLVLGPLLIKFAS MYCTH_2305633 MPPPSNSKIAIIGAGPAGLTLGSLLAASKHGFNFTIFERRQRPD PDEVNRPSGNLDLQEEFGLKVVKTIGLYDKFVKIDSGCTEQTTILDKTGRVFLDHPGQ GRPEISRNALHQLLLSAVPEERIRWGTKVVSVDAETRTVTFQRGDAPETRESFDLIVG ADGAWSKVRAAIPGAPQPIYSGVVSVTIDLPPLAEKHPDLHKLLGGGTFAVCGDNKVL LSQRGIHGTSRLYLFLHSKTQAATREALAQQQQQQQQQPHNDQVGPNPQLDADPLLSA LPKTHADLLGLLLSDDDYFAGWSDQFKHLLTVASESQPADLPVDARPLYMLPLDPFPH PHTPGLALVGDAAHLMTPFAGKGVNTAMADSLALAEQLEKLADADADDGGQTGFADER LDKALVAFEEDAHPRGLAAMKLTWMSLLLSYDESGPAKLCQVMAANH MYCTH_50396 MKNGQKNGHTFIVEGDRHEFDPEKWVGTSPDGLPKRHPETHVNV LIVGAGVGGLMTALECWRKGHNVVGILERSAGPNYSGDLIIIQPSAVAVFRHWPDMYR EIEADKVDAPMYYVRHTGEVIYGPSKPTYNDPEHAAERKKHPFVGPVQIRKKFYRMLL RQVARLGLRVEYGQRVERYFEDEKAGVAGVVVADGSVRAAHVVVAADAFRSESELLVA GEHMPTRSSGMSVYRCAYPRELAAGDPAVEARWPRGSGQTKEYWLGPGMHMGLFVSDD LVAFGLTPRNSFLSEGSSEPAESWDPDVDPDEVLQVLHRVPGWDPAIAGLIRSAPPGS IVHWPLLWRNLRPEWTSKAGRVVQLGDCAHSSVPASAAGATLALEDAVTLAACLQLAA AAGAGGARAAPLGTKVYNLLRYQRVSCVQKMAFVNSQLLNASTANWDAIEQDPKQVRI RFPKWVFRHDPEAYAYEKYGQAFAHLVAGEEFVNTNFPPGHKFTPWTLEEIQQLIREG KRVEDLLDGDWS MYCTH_2118764 MGASRAAINGAPQFLRDLAVQVEILACLHWLVEFQILACIPLEE SVLLKDLADLAGVPAGQLARVVRLTASRGFLHEPEADHVAHTQLSAQFITNQSLLDAT VFMAECAAPAALQMSFATHRFGGASAANPTGAGAGAAVAAAAAAADGAFDLAFATQDP SSQGAGREQSRMRRGWSAYLAHLSGSHAESEVVNALLRLSWSNLGNACVVEVGAHSAS LARALAHHCPNLRLVVQIDRKAQQQPSPSPAPVSMWNGMLSGVFCQDEVADHLAPPRQ EGSPSSSGSGSGANHPGVTVTYRAAGMPQPITDAAAYIIHLTPPHPSGGGAEGGRTLR TQLDEYVGLLRASRGIMLVLTADVLPEPGSMPNPEVEAVARARDLSMFQLSNRGAVEL ADLLGIINSLGDGFGKLTVINKLRAPDGLVLVLVVKYQAC MYCTH_2118765 MHQNDEIFARGDKFWDNYLRGRPRVPNSFFARIFEYHQAKGGTF GTVHDVGAGNGPYAAVLRTRFDHVIVSDVVAENVELAREHLRGKDGFSFRVSRLEEAD DIAAGSVDMIFATNVMHFAEPQDAAMATLARQLRTGGTFAAAAFGPARFYNARLQDLW ARISHQGGRELLSTAEKPDRTARIMARTQETYNVAPTDSALFRPGTRRININMGQGGI QGILPPEEAHRNTEPSHVGPDDVETWEEELEGWGFETDLAGVKEHFGSFPFVSQFPEP LADLYKELDELLADGKRVRGYYPVKLILATRA MYCTH_2305635 MADIIGCQAAIFEWAESFDSKDWDRLSKAIAPTLYVDYRAVMGQ LWESMPAEQFVGMASNEKFLGNRRIKTQHFIGAGKWVRTGEGQITGYHQMRVAHQKYE DDELTKVRYAGHAHGKATVQYRKVDGVWKFAGLTPDIRWTEHDYDKIFQDD MYCTH_2127410 MATPYVPGRLDGKVALVTGSGRGIGAAIAIHLGRLGAKVVVNYA NSAEHAAKVVAEIKSLGSDAIAVQADVRHVSQTARLFDEAVAHFGGLDITVSNSGVVS FGHLKDVTEEEFDRVFSLNTRGQFFVAREAYRVLREGGRIILTSSNTSRDFSVPRHSL YSGSKGAIDSFVRILSKDCGDKKITVNAVAPGGTVTDMFHAVSQHYIPDGEKYSPEER QQMAAHASPLHRNGFPQDVANVVGFIASKEGEWINGKVITLDGGAA MYCTH_2305637 MPASLLRFLALAGTAVGLTTNHNHSPSCRVLPGDAAWPSSRDWA KLNKTLNGHLIATVPQASVCHKSPFGQYDAQACEELKSSWDISTITHVNAPGDVLSQN FQNYSCVPFTDPSQPCQLGNYPSYVVNVTGAADVQAALKFAQKHNVRIVIKNTGHDYL GKSTGKGALSLWMHNLKSTKFIKNYKAPYYKGPAAKLGAGVEGFEAYAMANSTGHRIV GGTCPTVGIVGGYTQGGGHSILSSSYGVAADNVLEWEVVTADGRHLVATPTRNSDLYW ALSGGGGGTFAVVLSMTARLHRDGIVGGTLLGFNDSAVGNEVYWEAVAAFHALLPDFL DGGNSFTYSVGNNSLTAYGTMPGADRDAVDRLLRPFLDDLASRGITPVVQPRVSTNYY DHFFTYLGPAPYGNAAYFPFTNSRIIPRSLVTDPKSNAVVTDLFRNISQVPAFSPFYC DSFSVADKPHPANSLHPAWRTGMLLCAPAGSWDWDASPEEMAARDRYAAETLQPMMDA ATPGGSVYLNEANHLYANWKESFYGDNYARLLRVKKKYDPDSVFYVKTGVGSEVWDVD ATGRLCRA MYCTH_2305640 MTTVASQVRLSPDHLGIARTDDGLADGALEVANELLQKNHDEWH MYFQDIAGHNHIPHSLLTILAMGGGPDQLRRAYDDGEILQRPLPPLAPGSIDSLADPD VFLSRMVKIPEYTNFLVFFEREIEKKGWQAVVNEYCFAGTPVAENVFAQLYEGLYHPL IHLAFGVEFHQPSIVAEGLAQAASHHPGLIWTDFFRRAEALARSGTVSPKPLVELYKA VRANAKIRAAARLQDGPFRGQGMIERAADELVPIAAQFQVTPDNLQRALAEVISCSAF TTAAQKAGKVPKVDFFYLHMVTSALAVDILVRQDWISIENKARLVEWKGRIDLAWYAA NAAPELRAQVLDQYRPTLSKGMGWKELYRAANEVHDDGHIVKFIRSLKNGEDVVKPFE QAPGAADYFPVHGDMWLKTAQLCYDGTAEIIDEPNRLLSKKWVFGAGFDLAWAELPGL SQ MYCTH_2060683 MPAPAAIQAATLDKFIEAWKQWSPGTFFTSWTDNCTMRTLPFSA GMPLRSRPETEEFLPLLMGLMSNFELTVHNVVHDAAQGKAAIYALSKADTPVGPYSNE HALFVWFDESGEKVTKIEEMFDSVVIKDFFPKVQAYIAQQKSQGPAA MYCTH_2305642 MKATTSKLRDYFSSAWRSDPAERKLVRKIDFFILTFCCLSYFLN YLDRTNLANAYVSGMKEDLNFKGNQLNQINTCFTVGYVLGQIPSNLSLHYVKPRYFFP AMMTVWAGLTMVTASTHTPGAVMAIRFFQGIAEASTFVGTHYVLGSWYTERELGKRSG IFTASGLAGTMIGGFIQSGIYTSMDNRHGLRGWRWLFLIDGIITLPVALYGFLLFPDT PATTAAPYLTPAERELAVSRLRQHGHLLDPDHEPDREPGDDEHGDDSRPQSPAQHREP EQQDRQQETTTATTTSPLSWAFAKRVLASHEWWGFVVLWILAGETESFSSNTLLALYM KSSPFSSYTVSQLNNYPTGVPAVGIVSTLFWAALTDFLGGKRYMVGYFIGVTGVATSA MILGAKGMTERDPRRGGGGGRAEAVVFAAYYWAGTVYACQATFFAWANDATRHREPVF RSVVLAGMNVGSNAVNAWWSIVFYGASMAPWFERGMYAMIATSLALPVWTAGLSFVIW RAARKRRLRAVTSHTHEESGKAPKSG MYCTH_66783 MAVLSLQRALLLAGAALPLVQQGFAQTIKTEDGEVLPANEVTVA PAAEPASEDDEIAALQLTDNVLANLTAHELTDVELFQFGDDADAADPTQIAKRTAVGS CKTYPGDWKWPSRLTWSVFNLLTGGALIETVPIGAVCYPNSGVYNAAKCADIIEHWQE SATHEADPTSVMSPLFQGETCMPQNGNTSQCTLGGFPAYAVKATSVYQIQLAVNFARS LNLRLVVKNTGHDFLGKSLGYGSLSIWTHHLKSIRFRQSVRTPSYSGPALELGAGVTV GELYAAANQYGVTAVGGECKGVGVAGGYLAGGGHSPLSGKYGLGSDQVLSIDLVLPNG RFVTASETENTDLFWALRGGGGSTFGVVTSVTVKAHPKMKFSGVTWVINSGNDTANSD EVFWQAMYAYWSKFPEYADQEVYGYGNIFPRGAPGSGYTWTMLPWMVPNKTLSEFKAM VQPLFDEWTAMGFEFEPQYFEHDNFYDAWTSHFPTEVVANSNLRTASRLFPRSAWDDD TTRIAMFDAVRSVIEEGSALIQYNMNPAAPAGTPASGANSHWRDAVWFGIMGTGWAPG ISQEELEAVQRKITDDWMGRLRPYGPGGYGNEGDVMEPDFAEAFFGTNYDRLLQIKRT VDPYDLFWAPTAVGSERWKIAGQPDWLTLQTGKLCKVSN MYCTH_2305652 MTPLLRRRPEHGNVLDIPTPPLQQAALFVIFFFTALAFVTYSLR AYTRLRMRTWGLDDYLVTGAMIFSLMMIGPFYMYIKLNYFGWRQKDVPQFDPAPGLWW FYLAQIFYNPILAFVKASVLCFLLRLGGQKPGVKYAIYFLNTFNALQAIAVFLVAVLQ CLPIEANWDMAVKADPNTRCVDNSFHVTISSITILTDIAVIALPFWIFLGLKMPKAAK MAVLGIFALGLVVTIIGIVRLRGVIKLFYGPPTGGDPYHDITVTLSVVETNVAIVSAS APALRPLFRSLLPSLFGGSSARYGHNRYLYPNSNSPYVFSGAGTSVHAATANGGKGGK GGTQTGTGSRHDGAVPGRRGSIRLKNLTKSGHTECRSVSPSGSEEEIMTYNGIMRTTD VQVHYEGSRGSRIDRGPGGRGPGFDEEDEGATGRAAAATARETDASSRASSDLKAGAR VQVEKGPL MYCTH_2315403 MPLLRCISSTTTPRSVRIPLAGGLAVLRAAESVLCLDPPPPPGQ LLVLSTGQARCWWTSPVFGV MYCTH_2305657 MSAAKIQQPVYKVYEPGYHSHRTIILDEHIESPETLTIRLEEEA ARNGGDLGGGCPPGLLPMPMAAYKAQPPQLHAGYADSTYSQYPSQSFPTQQTENAASQ LNQIAFAASNEAAGQYLATQVTPGISISVLSCRPNSGLAGTKVSVKATCQYDLLGSGL GAPAPFVSLVFGSQRCAAQVSRDSRDANGVCTYTVVAEAPPFLSTGCPSLNNVPLTLA LENGNGEEMARVGNVGVFSYHEGGGTLGGKVSDDSSPPDLASPKTRSPAHRASPTHQA SHGRRKSDSPLSHHGLAEETATNTYGFGPSVTAANAAAQQIQAQSHAHADFSAAAAAT TGAYGQGSNSMLSSYRGPSFADHYSRTPSMLRSPQGTGWMFNNQLDHPLRASSSALAH GAHVPVSRSSLTPLQHPPSTTPQLIRTSTLTQPAGGYPGYGVYQEKATLKIIGDLSSM AEGWTQEEWENKRRLVLFRKQQTGSVLTVSFKPVSVAERPPHSICISCIWWEEKQACY VTSVDTIHLLEQLLAAPNRFGVDEKNRIRRNLEGFRPATVSKSRPESEEFFKVIMGFG NPKPRNIEKDVKVFHWKDLSGALYKIISKYSASTTTVMTPTTSPHAATTMGLSGSYPA LPPTPVSTTSSAANDGSAAAGYFGGGSGGHHHADSLTSPRTLTGSHSSWAATYGGAGK TMSPALKTSSPGAGSNLRLSTALPVVYDHRASTHGLTSPYGLPGPSSHHSQTTPTHHH GHGGGYSHASGPPVSQGQSRNWDGYSVADGYHPSQAASGQAHGSVYGSGGYGDGAPRA MYCTH_2305667 MESTLADWLKAGRYLDVLLSEPADLVIAEFVRTLQTETPTAGQD QSDGSTVEQKASEGATASVSARDRLAVALAALNAFLQGNVTGPVLEEGRLERLQQRFC SASGSHVTAGGQTAVRKACLRSLDVDGVSVYEHAPMVEVFCLARHLLTSEQGVVDGAG LGEGAERSAKWLALRVHVWHYKLLTQPSLGPGSVFNKASQWCDVPSLRDTIEKGLEDL TGEILLDGRGDWSGLQQVQFLVEKANVCIMLGQDGKAKEALDRASELSGFVYALSGAL GKRTRFQEKSTSQLVVLAKSGEGLKADGEQAKPQALALNDDTLLEDVEFTKEEKPQDG EGDQKAELPAPLRDLAPDEQPQLSPLDQIILLAEATLKDAFSPADSLTSEEVLPFAVR VISDKATNWQIYTHALLVRSRIEVHRSRTVERGVLQMQALVDQVIVDTTQPAEEATDA KEPETAVPEIQITTDREEASKPANKPVSFFPAPKPTESAPARVRLEHIHALSSPPRWH LESELAYAWAGVGSLVSALEIFKRLRLWAEVALCFASNAAKDDEDGRGSNGEAKAKAV VRWRLFHRTTGMPAEHQAPSGEADDEEVDVEELKEADYHGPERQPPPPSAPRLWCILG DLENDPTHYERAWEISNHRFARAQKSLGEYYLQQKNLERARDAYRKAVAVNRLSSELW NRMGDISLRLGDFSEAAEAYRRAIACSDNIAGGEDARTWSNLGSALYSLYVERIKELK QQTESGEADERITNVTTTEEDDGQDEDDDNADVTSAAGQPSQRSSRADPSTLLAQSLA AYKRGANIAQDNWRIWDNVITLAARLRPVPIRDLLQALRQIVRIRKTEDALDIDILRL LLNEGLLSREKDKNATAATTTTKPTCQGQRDDGAAAVYEPPRGSTERAVCDFLESTLV PLVTARSELWELVTRERVWREDYAGAVDAAERAWRAAVGSSGGGGLLYSGGAGGGGGS NGDAKGGRKNWLEDREEWRVVVDRTDDLVSMLENYGEAVPEIGARWKGKARSAVRSVM GKAKENWEGTEEWDRLVALLEGLR MYCTH_2305668 MPQLTSSFASAAAGQNRDSKASGRSDFSRGAGSGEWPRSNGTRT FRRASTTPFNQSSSANATDASQQTAADPPQTSANSQSASFDPQNPPRYTREELLEIYK NDFDPSQIDTSSILMPTWNPAQMNGNHARPWGKSSDSPHLPQDPSVCWDAEGTVKPIS FEDMSPEEREMFATDVNSTLKPPQQNKDGSHSGAGGVNGRKTSVSVGNQANYQTSSPS TASRPGTRRRETTDTNPFPGGSTASPTANRFARDESWLPRQSTEAKETISDEPEEDAS ARDATSTRPPPFGLPRSNPTGTSGFGAQSSLWVPGSTPAGSGIGAFGNFALPTPGIGD KRFGTGGSRLAHLIPKDSTDNSGSKPSDAPSWRPRQRTDTDPFANDDGLAGGAMLSGG HDDSPPTTAAPQQRGGVFDTPVKGNAGDFGMAGLNLGNQGDGNGPASPSETNPFRSPT DRNDDGRGEHDGDRHGQPGPGSDQQSNFGTFPRSLGAAPFDGSDRSQTSSVGAKGFAS VNPLSGWPAGPTASTPDRERAPFQHAFGNSFLTPFTDLPSPGLGGLGGVFGPPSASRL GRGKLESLFPPAMQAQMHAHDQDKLSDSVPDLRQANPLGAIGRGTMGLQRDTGSPVRS TRSGFEDLFSTSESARSPFSTAEQAQPSLTSTAQAQSFAATAGGASFPTTQSSDPTPV RTMVMPDRMRWVYLDPQGTMQGPFSGLEMNDWYKANFFTPDLRVKRLEDPDFEPLGQL IRRIGNSREPFLVPQMGIPHGPPPSAGPFGGNSSEAVPPLQSAFPSFGRTLTAQQQNE LERRKQEEQMFHARQRELAQHHAAFGRLPPIQPGALHHHSSAHSLQSQPSFGSMTSPI GMTPQHPLGPIAPAGGFFDSAAAMAPGPSQGPIGPNADLFAPDLNFAERQMLASMQSA GSLSGNFPSSQAVGGRVGESTSSLRSQLPSVDQLQKDPEGFKERLEQFNELRAQFDAE QAAAESKASEAKKEPEGPENQAVSQANAEFAQDTTASTSQPAEKKTAAQPQAELTLTE KVRKTQADNAKSSQPAAGSDLPMPFPPPAQSTTIAAPTAQRPASNLPARYGERSASGT PETSSDAAALAPPPTAPWAPQPGAGIQKGPSLKEIQEAEAKKAAKKEEAAAAARRAAL EQEAAAIREREKAAAAAANSGLPATSTWGTGSPVGAPAGSPWKQPAALKNAMGAGGSG NGAPKKTLAEIQREEEMRKQKAAKEAAAAAQSSTTPVPSMGKRYADLAGKTSASPGPM ASAVAAQPSQGGGWATVGAGGKLKVPTGPAAAQSRSSSVGNVKTTPSPATVKAPAKPA QTSLKDAKSLAMEEFKKWVHRELARGLIGVADIEQFAVILLEMPLDPSLLAEAVYGYS TTMDGRHFAEEFVRRKKLADKGIVEKEPISAANDPRNSSNGGWSEVAKKGSANSASAA AAAKDEIPGFRVVPSKKKGKK MYCTH_2305669 MSVPSTQRPSATCVQVTPDISIQPPLSRRGNGPGLVLVVSKNLD LSSHEKTLDPPPLQKWAEEGYSVAQVRIGDGSSITSQVGIAVAELQKLPECSGEKFGL VVIPDENTASHILLLDAIRSLTAIVGAVFYGDCPDVEPPVPVLAHLPGNTNRPSGEPM RPEGLTIHSYPGAGRFFIIPAHADYRASAAAVAHTRSLSFLKPLMGGPYFDLEAIWDE HTRYEFADRSVEETMATMVDQPYVNHVPTLTGGIGQAKLTTFYRDHFIFSNPNDANLE LVSRTVGIDRIVDEFLFNCTHDRVIDWLIPGVPPTFKRLSIPFTSVVNIRGDRLYHEH IAWDQGTVLRQLGLLPEYLPFPYALPDGRAPGNGKRFEYRVPVAGGETAEKLVDENAV PSNEMILFGVREVPDK MYCTH_2118778 MRFSWPPPNYTNPVSRGPTLLIVESITLSIALLSLGLRFGWDDW LMVGSAVFGTSVATCVVLAFVRYGWDVHVWDLTESKMISGRQVSLAVQALFVPATSLA KLSTSEVAAMVFVVVLNIVFLIVLFTECIDYDCVSEAGTLLAQASTTALADFSVWVLP MPWLYRAKLPLRQCLAVITLFSFGLLVVVAASIRTYWIHFVVQET MYCTH_2305675 MSPSSTPPSAEPCITFSSGRDLNTPPDLRILHFNDVYHLDPSSA EPVGGIGRFVSVCKEYREAERFQGQPGLVTLFSGDVFNPSLESSITKGSHMVPLLNLI GTDCACVGNHDLDFGVRQFRNLTSKCNFPWLLANVLDLALGDGVPLGNAKKTHMITTS NGIKIGLLGLGEREWLDTINALPPNVIYRSASDVARELVPQLRAEGADIVIALTHMRE PNDNKLAEQLGGENIDLILGGHDHYYAHSFINGTHVLRSRSDFKQLSYIEVRRAEPPG SGRRWDVDIWRRDIVRSVPENGETLALVNKLTAKLKKSLEKPVGWTAAPLDSRFTTVR LGESNLGNFVCDIMRHHYGADCALMAAGTIRGDQVYAPGPIRVKDVTDCFPFEDPVVV IKTSGQAILDALENGVSLYPALEGRFPQVSNINFKFDPSRPVGSRVLSVEIGGESLDK GRTYVLATRGYMGRGKDGYRSLLVRSEGGECEEVVSEENGMLISAMLRQYFMSLTVLA KWSGWGPSLERHWSKVADNVAKSHPILGKSSASAPVNPAEGRSQVSQSGSENRSGWAE WTPSKLRQRRSSLPPMHGAAEDDSDSESGEELERARENARALDRELAIMRRVFAKWCR RAGVRGNTCDELTEGECEVAWTRPIAPRVEGRIQMVTGASS MYCTH_2118780 MAANTATVRHARHVPAILELIRELADYEHALDAVEATEDKLLDT IAFAPSDSPAAGSSSTLPQTEPTSPSKPARCLVLTTPSGTVAGIALYFYNYSTWRARP GIYLEDLYVRQSERGKGYGKRLLVELAKEVVALKGGRLEWVVLKWNEPSIKFYESIGA KMMSEWVGMRVDGDALNKLATLLD MYCTH_2305679 MSCPLRIPKPQMPLHLYRHLLRESSYLPPLVRRSADKLIKARFR RDKGDAERGPKHVRDGLHELRVLRAANSGDMARMRRVMLRAFGRIGRRRRELVSRLVQ RDMPTNTKELAEYVAEMAPHAEKYKTSDWLDDWDVEKLRTFALSQINASLNNSPKMPI TINQVTPEKKLPKENAWGRPLPIKLARTKLKNMWKSAADKIMPPLPVEEWKALQAIAE GTAQGWLPPPRRALAKSMSEASQAKRSWDWQSYAIKPVAIVDRPANRRNKLLTGAVDD NTPTGDPRPIGCHNYTRRAWRRMFEYIWNLTATMKKQPDGKGWDIRWGKLDHQPASAT AGMMEFFKDFPYAEKPKTRGKNSTT MYCTH_2305680 MQPSPMRGSSQQQPQHSQPSSQQSFSMSQPSSQTTGYRQYADMP QKSQTEPDMGIYSAVYSGVNVYEMEVNNVAVMRRQADGWLNATQILKVAGVDKGRRTK ILEKEIQTGEHEKVQGGYGKYQGTWIPFERGYEVCRQYGVEEMLMKLLTHKRGQEGEG ADVDTPTKEQAMAAQRKRMYNASSQESRTNGMSGTFFKNISSTASHAINAISKARFDS PGPRNRSGPSRAPSFHRQSSMQDANDIPANSQQSFVSDYGHAESAFGSQTTQAAPGET DQPPRKRQRVLTPANSFGGLTPTYPQIDGYAANYPGSPTEPNESFIYSQAGIHVEQEP NYAPGPLRPLPHEMSPEAEAKRSMLMGLFMDPNGPDEAKKNVLRSMTPQELDMPIDNQ SHTALHWAATLSRMPLLRALIEAGASPFRVNKAGETALMRACIVTNSMDHNSFPELLD VLGVTIDVRDDKGRTVLHHIAVTSAVKGRNSASRYYLESLLEWVVRQGSAPSSQNPQG SFSAPAKMGIGRFMSEIVNAQDNSGDTALNIAARIGNRSIVSQLLEVGADPNIANRAG LRPVDFGIGTDVEGHRNGEISERNGGSQGSNQKTRENSDEVVSSITHLISESSSLFQN ELKKKQEAIDALHSSLRTTSAQVGEARRTLESLQEKLKAQQLARQKVINLKRACQEEE YQLLQLEQRHGRLDVDAANAWEMELESILDNIAAARAQQQQQQQQQQQQQPSDNGSYQ KVVEEQVARLPRAAIIRARLNALRDRSAQTRRAVEALRARSKDRELKYRRLVALCTRR PENEVDGLLDTLTRAVESEKGELEIARVRRFLGGVEGVA MYCTH_2305681 MAQTPAKFPEVQGGGSLIIAWQIKGKKVLVVGGGEVAAGRILHC LNADANVTVVSPAARLNPEVAYRVAQKQVTHVDRNFEPSDLDGADMVLVAIDDPAAST AIWKLCKERRIPANIADVPPECDFYFGSVHRDGPLQIMVSTNGKGPRLASTIRKFIAE CLPSNAGRAIETVGELRVRLRQIAPSPEEGSKRMAWMTKVSDAYAWDEMCELTEEDIG NLLRFYPDGNVPSLETLKAMRVNPEFGDVGFDGSFGFSVGA MYCTH_2305684 MSARRLGGGRVLGSGKGLAPPPPAHAPRATSPFAPPSESSTVSL RSRNSTPVSLSPSSSSPLPDFSQDLASNVTVGGPSNGASAGNKLACPICEEEMVTLLQ LNRHLDDVHQELPEAEQDEVKSWFEKQVLKAKRFQPLSLINQKLRGLDVFESNETQPI SVSAGPGRPVETVIDPEELVTRKHWQRPTGHDVCTDPLCDRRLGPLSGSVNCRKCGRL FCEMHTMYQMKLSRSANHEPVRGVWCRVCETCYKSREGYNDHTGLSRDHTADFTAVRA KKVERQRLEVQRLEKRLTKLTRLLVEAPPETGVNSTLLTPLGGQRSQRKMIEQSVVTW EDDAAVPRCPFCKQEFKPWTFRRHHCRICGRVVCADPQTNCSSEVGLNVANPNAIATT EKATAAPENGQVSIDIRMCCECKHTIFSHRDFLESIKHRPPDQRAYETLRQFEHGIQM LLPSFHKALQALQPPDENPDRPDKPPPTHAQIQEAAKIRKRLTDAFAKYDLAAKRIRN MKTDSPTQLRLQKAIYASASAFLHANLIPLKSVPSMLKSQSSSQRRLLSGGNGSSHAI SSPLRNGESARFDPETSSLGGASEVSTAVSAALETEEKEARERLVVLEEQRFLVQEML NHARGARRFEEAGALTRNLEELDKEIEAAKRLVAGVEERWEGLYAGT MYCTH_2305685 MASCTALMLPSPLGDGNGEFPDAETRFWVGDADAAARERRSNPA HFLSLPLLLLRMPAPLKAVVGDFLASTFDCRISPMRLGTRSLVGSWEAWIRSAGLPST GALSKDAVLSFGFHVPAPSASPTQPLDEEDAASNQQQPLGLKSIDVIIPAAELRKFLA AGKRVVESQSRQTGALGALGWQGDLKKRRLLAGRLYEEGWEWRTASGEPGSSTDRQPF MEALACYLGEHLSLDLFHPGVRVTKVACGGFVMSESRLKVFEPVGAGDVEDERISSLG PRGAILELLGRLADKAQTQAVAG MYCTH_2305688 MSEEKRPVVSSLQDLTIIEAFDRGATESKYVTFYLVNPEDELYF GQLFKKKKEITIEEYNSALEHVPDSEIYPEVPQGVALTIAPGDLDDTSAFIKRPGIAC YESVKGTEFVPKELLEETLIMEQISKTPHPNIIRYLGCRIHRGRITSIVLERLDQTLM QYVHEPGFAQLDKTKFVDALESAVAYLHSLGLAHNDINPYNIMIKDGGQPVLIDFGSC QPFGGRLRSLGTPGWYEEIFYTSEAKHDTYALNKLRTWLENPQ MYCTH_2305689 MLDVVALSIGLAAALAYVVLEYPRLHQSWRLWSHRSQLPPGPKK IKTGIRKPWLWFRELHKQYGDVVFLQMGPTPTVVLGSAQAAWDLLEKRGAIYSSRPRF IMGGELLSDGLRGLMAPYSAFWRRWRKLLHSGFMQRQSESYRPIQSLESKVLMHDLLT NPEDYRTHLERYAASVIVTVTYGRRVEDVRTDAVVRMNAEAMERLTLVNIPGKYAVER YPALKHIPSLFAPWKAQVLEQRKKDIKMYMGLMDDVKKRMAAGTLPDCFAKHILEEQE NLGMTDLEVAYTAGSPFGAGVETSAGSLASFLLACVKFGDSFIPRAQAELDRVVGSDR LPTFDDLPSLEYVRAIVSETLRWRPVAVLGGTPHASTADDVYKGMFIPKGSTIIAPLW SIHLNEADFPDPHSFQPERFLGQREYPGNLGHSAFGWGRRICPGMHLGSASVAINIAR ILWAFDVRPARNEKGEPIDVDIFAFSDGFNSTPLPFECSITPRSDKHARVVEDEYRRA LGELQQYSAAATGM MYCTH_49700 MKVTAVAVPVLAGIASANPVDVEARQSCPEVHVFGARETTAPPG YGTSQGLVNMVVQAYPGATSEAINYPACGGQASCGGIDASDPENAADCKSGASYNTSA NQGTQAVVSAVTSFNQRCPDTKIVLIGYSQGGQIMDNAYCGGAGATLSGSALNAVKAT VWFGNPHYLSQLSYRVGTCQAGGFAARPPGFQCSPGNPDNIKSYCDAEDPYCCNGNDA NHHQQYVTIYGQQALAFIKSKLDAA MYCTH_2110715 MRSLVNLLLLAGAAAAAVITPPRSDTLGFTAPAPGEVKILNVTA IGSGCPAGHAYVNVDATATIFDVAFDEYIVAVGPGTSVSDSRKNCRISINLQFPSGYQ FSIIETRFTGYASLAEGQTGTCRAGYTFSGDNSQEVVFQKNLVAPYEDNYNLLAGVGV ESFSPCGSTTAILNVNSEIRITPLSTPNKGTMTVRTPNKAKLQWRRCDSSS MYCTH_2315408 MVDSHGVSRRERTLGALLGVHAGDSLGATVEFQSWEDIKNDYPR GVRDIVGGGVLDWPPGHATDDTDLTRAVLLAYRDAEELKRSQPTESPDVVKLAAQYMV EWYDGRWPGRTPGQIPRDIGGATATAMANFKRTNDPRASGAGEGRAGNGSLMRCIPTA LFQPDTAKALLTES MYCTH_2305695 MAPQPNPSRPTPKHAPAHPSGLRQAYTASTSDAVDDDSDSAPAD RHHATSSHHHAAGPSRQRATDTTPLLGTSLDLREPVHEGPCNHGTFSPRPTSPTSSIP AIYFSPSESETESSGQGIDGVLSASSKKKNWRRRWAAKIRSQKMSTSSALAERHGVED SALMYLSYYLPVMVWAKKYSWSYFKGDFVGALTVAGMYVPMALSLADNLAHVPPINGL YAFVFNPLVYALLGSCPAMVVGPEAAGSLLVGTVVKSVVDRSGGDEDAALLAKICGIV AGMAGAMVLIAGIGRLGFLDSVLSRPFLRGFISAIGVVVAVDQLLPELGLSRLADQAR VGHASSVDKLVFIFRNLDQVHRLTFVVAATSFVIIMVCREIKRRLQPRYPGVAYIPDR FLVVVSSAFLAYWYEWDKAGVAVLGKVEAASGGLFAFHWPLRLANMKYMREAMSTSFL IALLGFFESSVAAKSLGGEGFAGIQLSPNRELVALGTANLVGACFSSLPAFGGYGRSK VNKSTGGRTPVSSLILSGLTLLCITFLMPYLYYLPKPVLSSLISVVGWSLIEECPHDI SFFLRIRAWQELGLMAIIVVATIFYSLSFGMAIGVGLSLLQVIRHATRPRIQILGRIP GTNRFENAEANLDHLEFIEGCLIVKIPEPLTFANTGELKTRLRRLELYGSNMAHPALP RLRKEDSNRNIIFDIHGVTSLDGSGTQVLEEIVRGYRDRGVRIFFSRGPGHDSPVGQL LRRSGIVELVGGEHHFVDDVHEALKLTEAEERVELEASDRPATRS MYCTH_2305697 MDEFSDDGFDDLNDTVLQELEDKAIQITQAQKLAQTQAAPQPQH NALEYEFEDDDLDDTVVIDEHAQLAPRPPPQQAHPVQQPRHPAGITGTQRWNQHLPPS RPSYPPRPQYGPTARAAPQPLSSQRYQPGPSQRFPSLPGRPQQPPSQSQFARPPPPVP RPSPYAPQTSQVRTGAGPANQNEVIAALQARLSELESDLTAAKGEASILRSKYDKARA THEADIARLKKETAEQLARQERIIEQARNAERATATELQFARQDLREGLGRAKSRRRD GPATPRKDRTWGTADGFDGVEILSSPSKTQALRRKDSGPAAVPASERTPTKGKRKRPI VDSPKFALEIEDARESVFDDSHSVNAQSLSLAAASQPFSPDFLKLFLNHTTMHGQPPT FDMFSRFCFPSDPERSLASIVLQKLPQMGSPGDPTSLLVDFADTLIDLWHQCLSERYY GPIYHLVSLLLYTLDLNAVEVAPHILSSLIPVCATTCRLVALPRLNSADGDLSGHPDA VVRQLCLNIDVTQCLSVLYLAASGCLPQPLQNPTSSDQPQDTPQLEFWKTMELDFVLT MLSPKNPEEDWSAMMILLRTSVAPHSIGPIPSSATNSTNRRSEAKNADAVAATLIDCV SSFLCEPPKWATPRSAKEIAARLAALRTLMAFATGHFGARQIAESDVAIPRLVTVLCW ALDRLYDSDLPLSPEPQAGAGRPPDERGDSMELDHPNVESAGGMEAHGTSEGLTDVSA EVTDAAPDSMSLLHQIIAQGTRLLHFLVTDHRTSDAANISTKLAASHGGSQRYFLTLA RLNFAEEDLVLEAGIDAETVELAHELLELAVTPDEGDEISEMF MYCTH_2134429 MTGRSRRGVKFPHNTKHSNGHSDGRRSSFSDVSEEGSPSKAKSS GTLDDIKEKSPTAEEQQQSEYEKKKANFITRTFWTFVMIGGFFAALFMGHVYVLVIIT AVQIVSFKEVIAIANVPSRARDLQSTKSLNWYWLATTMYFLYGESVVYYLKHIVLVDK VLLPLATHHRFISFILYVFGFVFFVGSLKTGHLKFQFSQFAWTHMALFLIVVQAHFIM NNVLEGMIWFFLPAALVITNDIFAYICGITFGRTQLIKLSPKKTVEGFVGAWIMTVLF GIILTNLLLRSKYFICPATNLGANIFTGLNCDPNPVFLPRTYSTPQLFFLPPNYTISI TMSPMQIHTFFWASFASLIAPFGGFFASGLKRTFKIKDFGDSIPGHGGMTDRMDCQFI MGLFAFIYYHTFIAFKRSSVTHVLELAIMELSTDEQVEVIKGVLKYLTNQGVVKAETP ASFDKLLAASMQH MYCTH_111331 MAVERIGSILKHLSPGSSLSQITSKNADDVVITLAIRTPLTKAR KGGFKDTSLEYMIYALLKEVKERSKLDPSLVEDICLGNVSDGKAAYKLRAAALAAGFP NTTSCSSLNRFCSSGLKAVADIAHAISSGSIEIGVAVGAEQMTVGGDALEKPFDEKVT CHSQEAVDSMQPMGWTSENVSRDFNITREEMDKYAAESFQRAERAQKAGLFDDEIVPI TTTVKDADGNWKEVTLTKDDGIRPGTTVEALSKIRSAFPQWGPTTTGGNASQVTDGAA AILLMKRSTAIKLGQPILAKYVGSTVAGLAPRIMGIGPTVAIPKLLAQYNISLADVDV IEINEAFASMAVYCRDKLGLDWAKMNPRGGAIALGHPLGATGARQIVTGLSECRRTGK KILLTSMCIGTGMGMAGLFVNEQ MYCTH_80109 MLLCPRAVTAALATASAASTLILPVAAASSKRGLVFTPNVTAPA DDKIWIEEPTTLTWYYNYGPNPESVYQDVPQSEFEFVPMMWGAPDSLDDTSFLSTVKS LIKSKGINITNVLSFNEPDGSFEYGGSQIEPSTAAQLWVNNMIPLQEMGVRVGLPACT GGSSGLPWLQNFLAECSELISSKDKQRNCTFDFVTIHWYGNFEGLASHMGEYSAAFPN KTMWITEFNLNDRDLEATQSFYNMSTEYFDRLDFVERYSYFGAFRSNVSNIGPNGAML SDNGSLTDIGAWYLGRQPTGILPTSSSSLHLPLPQKVVAVFAAVVAAAISLA MYCTH_80104 MPQVRNPILPGFNPDPSILRVGDDYYIATSTFEWYPGVQIHHSM DLANWELVTRPLNRKSQLDMRGDPDSCGIWAPCLTHDGDRFWLVYTDVKRKDGSFKDA HNYIVSAPAIEGPWSDPFYVNSSGFDPSLFHDDDGRKWFVNMMWDHRSRPRTFAGIAL QEFDPKAGKLVGPRKNIYQGTDLGLVEGPHLYKRNGWYYLLTAEGGTGYEHACTLARS RNIWGPYEDHPQKYILTSKDHPHAALQRAGHGDIVDTPDGRTYVVHLTGRPITQFRRC VLGRETAIQEAYWGDDDWLYVKNGPVPSLFVDLPAARNDDDYWAEKRYTFEAGLHKDF QWLRTPETDRIFRTDNGKLTLIGRESIGSWFEQALVARRQTHFSYDAETVIDFKPADE RQFAGLTAYYCRYNFFYLTVTAHSDGRRELLIMASEASWPLGALRSPYPGPVQIPNEG KVRLALKIRGKELQFYYALEGEELKQIGPVFDASIVSDECGGHQKHGSFTGAFVGVAA SDINGTAAEATFDYFVYKPVHHESDRYEI MYCTH_2305708 MIQVDGRLRVRGDLEIPFAAAQHFDATFALALRSVTHPMLTRAP YLLYLHAVSFQQSRRPEVAQNEKFKAPKHSPLAGLLPPRSLRSPLIITNYTIDVNADT LCPWLGQHRLRVTRVHVRGIGGKQRHRRQLGEKLPDQRSDVDGDGRRTGFEMPAR MYCTH_2305709 MELLRVSLAAVALSPLILFGVAAAHPTARSIARSTILDGADGLL PEYDYIIIGGGTSGLTVADRLTENRKHSVLVLERGIFQNSSSVTTISGGSRGLFDPSL TFNINSVPQAGLDNRSIAVIGGLILGGSSGVNGLQVLRGQREDYDRWGSYFGPNSDWS WKGLLPYFKKAWNFHPPRPELVSQFDIKYDPSYWGNTSDVHASFPTTFWPVLKLEMAA FGDIPGVEYPPDSASGETGAYWHPASVDPATVLRSFARPAHWDNIEAARPNYHTLTGQ RVLKVAFDGNRATSVVFVPANATDHSTARSVKAKKEIVLAAGAIHTPQILQASGVGPK QVLKEAGVPLVVDAPGVGSNFQDQPYVVAPTFNFTKFPFHPDFYDMILNQTFIAEAQA QFEKDRTGPHTIASGYCGSWLPLQIIAPNSWKDIARRYESQDPAAYLPAGTDETVIEG YRAQQKALARSMRSKQSAMYNFFLRGGYEEGSVVYLHPTSRGTVRINRSDPFFSPPEV DYRALSNPTDLEVLLEFTPFTRRYFLETRLKSLDPVELSPGANVTAPADIEAWLRSVM IPSSFHPIGTAAMLPRHLGGVVDENLLVYGVEGLSVVDASVMPDLPGSYTQQTVYAIA EKAADLIKSRA MYCTH_101224 MADKTTETVPIPGPPGLPLVGNALAFDSELPLRTFQEFAEEYGE IYRLTLPTGTTLVVSSQALVHELCDDKRFKKPVAAALAEVRNGVNDGLFTAREEEPNW GIAHRILMPAFGPASIQGMFTEMHEIASQLALKWARHGPDTPIFVTDDFTRLTLDTLA LCTMNFRFNSYYHDELHPFINAMGNFLTESGARAMRPAITSIFHQAANRKYWEDIEVL RKTAQGVLDTRRKHPTNRKDLLSAMLDGVDAKTGQKLSDSSIIDNLITFLIAGHETTS GLLSFAFYLLIKHQDAYRKAQEEVDRVIGKGPIKVEHIKKLPYIAAVLRETLRLCPTI PIINRAAKQDEVIGGKYAVAKDQRLALLLAQSHLDPAVYGETAKQFIPERMLDENFER LNREYPDCWKPFGTGMRACIGRPFAWQEAVLVMAMLLQNFDFVLHDPYYELHYKQTLT TKPKDFYMRAILRDGLTATELEHRLAGNAASVARSGGGGGGPSKPTAQKTSPAEAKPM SIFYGSNTGTCESLAQRLATDAASHGYAAAAVEPLDTATEKLPTDRPVVIITASFEGQ PPDNAAKFCGWLKNLEGDELKNVSYAVFGCGHHDWSQTFHRIPKLVHQTMKAHGASPI CDEGLTDVAEGNMFTDFEQWEDDVFWPAVRARYGAAGAVAETEDAPGSDGLNIHFSSP RSSTLRQDVREATVVGEALLTAPDAPPKKHIEVQLPDGATYKVGDYLAVLPVNSKESI GRVMRKFQLSWDSHVTIASDRWTALPTGTPVPAYDVLGSYVELSQPATKRGILRLADA AEDEATKAELQKLAGDLYTSEISLKRASVLDLLDRFPSISLPFGTFLSLLPPIRPRQY SISSSPLNDPSRATLTYSLLDSPSLANPSRRFVGVATSYLSSLVRGDKLLVSVRPTHT AFRLPDEDKMGETAIICVGAGSGLAPFRGFIQERAALLAKGTQLAAALLFYGCRSPEK DDLYRDEFDKWQESGAVDVRRAFSRVDSDDTEARGCRHVQDRLWHDREEVKALWDRGA RVYVCGSRQVGEGVKTAMGRIVLGEEDAEDAISKWYETVRNDRYATDVFD MYCTH_2118798 METNKVSRTLRYHTKVRSGCLTCKKRKVKCDEAKPHYRCCTGSG RRCEGYRSDRTTPPISAISVPRRCFQYFQSQACKPLGGYFHSSFWGPEVLQTAVHYPS IRRFTPLTLQQCNLAIRQLASLDQAPLASEEGVTFCTLTASVLSIYLASIRGRFLEAF QHVRSSPKILQDFDRSTCHHPDATCHHAGRHLARSARQQWLATGSSARRSKVARTPWI SWCRSFLSPEMARVK MYCTH_2305715 MSQMNGGSRSRQRIRKPAPALDIPDIHENAAERKRILNVLAQRR YRQRKREARLAARAESLHQRLTENQAELEGDTSPCSADETNRFLRQEHAQRGSHETLD EQQPSSEHLTFPLDSTTLSNLGNLDNDEVQLLSTTSPYYIVSDAFNPDHTIRTSPSLA DDYTSGDGTSSIASSSTTFPDSYFLQVPPLTLLRGLFRIAMRLNAASSVFSLTSISPF NLGLGPDPSEIPPAWRPTAAQLSVPHHPVLDLFPWPPVRDRLIEVLSLTEQSAEIGLM ETPRLVNFIYDMEDAGEGIRIWGSDPYDETHWEVGQVLYERWWFVFDRSTVEQSNYLR MQRGAAPLRASQYR MYCTH_101222 MQWKALALALFVGRSVGQGTPVTPSPWLPVPSAIPPMLRFQCSQ LVIDRLDPLGSPGLIPSPHLHQIVGGNSFNATMDPATHDLVTGSTCTTCTFSEDFSNY WTAVMYFRARNGTYKRVPQSPNLGLTGSGGVTVYYIPPHDRSVGVTAFKPGFRMLVGD AASNKPGQDPKVCHRCMPKEGELSHLNCAPPDSQEFTKEPCAGGIRSVITFPTCWDGV NLDTPDHKSHVAYPISGNTDITPDAGTCPDTHPVKIPQVMLETIWDTTPFNDKELWPE DGSQPFVWSTNDKDGYTQHGDYVFGWKDDSLQRAMDAHCTGDVCDVLERQSVEASVKC TIEQTVKDDVDGWVTSIPGQDPLV MYCTH_2305716 MPGNREYHIDNETRTALQTKTGVRFLLRAKLKAESRHSSDVIPW AQSLSEKVTRAVAEAAGHQLTHFAIQLNVIQLLSHRPYALICYDLFLHKCDEEVRSQF SQNPYQPIHEIIKRGNSCYIIRSKRLDDIVANEYKHRQECDNGPRLYFADSLYPPLYV DGRRVEWSKDGTRDPDEPEGWDWERRWVFGPEEDELKGGGEEE MYCTH_50515 VQENRALPGRMRNPYRQSRKNASVRRSAVSCPSPHSQVGVRTSG TPRPSLSPGSLYYPTRVGSSPSPACYYARGFLYCCQSLRSKCSRSASAVCPRVGCPIY LAARYVDDAP MYCTH_2305718 MTIGIFPASGGLGTSTYTHLLRLVPNDQVILINRYPEKVAQKHI EAGVQVRKASYESKPSELEAVFSGIDTLFLISYASHVHDYRTKVHLPAVDAAKRAGVT HIFYSSLGFAGNYQDHSLAEVMQAHLDTERHLAKLAAEAPAGSFSYTVIREGLYSEST PIYTAFFDPRQPEEVDHGEIRIPHDGSGPGVSWVKRDELGEATARLIANHAADKKGFE FLNRTVLLTGPRVWSLAETVDVLSSIAQRKVKIKPVPVDEYVKLPQVLAKFGSEELAR TWATAWDAIRAGETAVVTPDLERILGRKPEEFDVAVRKWFA MYCTH_2110729 MLPFVIKSSTLAPPDWEKRGAPNATFVFDRTVFYVHSSSYGPLQ VSYYSKRVHPTNTSFAEALRTIDLRPSRSGFNGGLLSGSAYTTETIHPASATRSSSHT SYLTCAIQNIQLKVYNMALASKILFRGDKETGVTVSTEGTSLYTLTATKEVVLFAGMF HSPQLLMLSGVGPRPLLDSLRIPVISDLPGVGQHLQDPIFISVQSGVKTPSLASELAD PNRLGPNLTAYINKKAGPYSSAGGYIAFEKLPAASRAVFSARTRDGSPTLRTPSSWKV VPEVTPGRSVETDEQILDYIQNKAMQMWHASATDAMGNDPANGTVVDWRAKVFGVDGL RVVDASALPFALPGHPQVTIYAFAEEIAALILEDIKQ MYCTH_2118800 MASRQLQKIRLVRIAHVYYTYVDLDQARQFLLDFGFTVTEDRGD TVFFKGYSTEPFVYYAIKGAENAFGGAGFVVESMADLELVSKTLPKVTAIEDLDAPGG GKRVTFYDPVDNFPFYLVYGQSAIPVTAHLPELEYNYPQSKHRDVNKTLGHFGCCVTD FAKALDFYMTRFNFKPSDLIYDDKGRDITTFLYLDQGMERVDHYTFFFFKGHQWLRDR GYNLVWGVGRHILGSQIFDYWYDCLGFILEHYVDGDLVDETTPISRSQAGSNSLYV MYCTH_2110731 MAGEEYTRLYSWGNDPKRKASQHARDIIGDDSVDIEILDVSKWW INKTVAEYYSDGNIFCLGDMVYRHPPFNGLGSNTYIQDAYNLAWKILYVMSRRVGRGL LKTYSVKRQPVGVDIITRTNQGLRDHHLWMRAIGMTEPDVEKRKAVLAEFKDKGEVRR RRRQQFHEGIENTGTEFHGLGIEMN MYCTH_2064798 LDQSNINTAYVSGMEEELNIRGNEYSLFGTFYNIGYLIFEIPSM MIISRPKLTRWYLPTMETAWAVLTFAQCRLSSVPQIFGLRFLLGVLETPAATGSMYLL SSWYRTDELFKRAGVWYISSNAGSMFGAYLQAAAHTNLDGVGGMSGWRWLFIIDGVIS LPIALAGYFLFPGLPTSPRIWWLTEREQKLAQARMRADRVRESTKIGKRMLKRVFGHW HFYIAVLTYILFQCTTYVSGQMTLWLKDEDYSVEQINVYSTGVQGTAIAIGIIATNLV MVYPIWVILSIISGTLLFCNVCLLVWNIPLGLHFTVYYLLGSTSAVTPILFPWVNVIM KNDNEARAFTTGAMMTFGWIFFSFYPITVFPRLEAPRWRKGYTVNTVFTCCYWALLIV GQLLWHRDRKKRKYVTQGSDEAEKLRLEDKEKNAASTQHVERTLGATN MYCTH_2305722 MNHVSGRLRSQLIRGSTCCSLAARFQRQFPITCRPLRPRVFARQ LQRFAPRAAPSQTDPEAKAKKLDQKVLDEQEQEVRVRQNQVKRPWHREGADDPPTVGV EKEAAPVREGRLLTTPTRLLKLILPLSTTVEKDRDNNSKHDYGRSISPNDTIRPLALL VHPQQPLSYVERLIQAELPPVIEDGKEKIPSVYFRTEDTEHGDNKPTTRTEARRRDEE SASRTAGPTHVESYSGLGHEGPKRQGSDKNWVRWSNSTELGDFIRDAARGREFAIEIE GYNVEMRVSVPSFSDRTYYMRAQLRKLSRQIDEQSQIKRECDMLAYNRANLLAKGGFA LLSGWWGVVYYVTFHTEFGWDLVEPVTYLAGLSTIMGGYLWFLYISKDLSYKAAMNVT VSRRQNALYEARGFDHKRWEQLVQEANALRREIKVIAVEYDVDWDEAKEVGDDVKEVL SEERSKAGHRVRSSEKERDEEFTEQERKKKRRRVHKRGQAEGRASVVAWSTVRP MYCTH_2305724 MGPDIIHLPDGQHFHVTPVFAGLFFKSAELNTPHNPFPIGWTIV IHTEDDTGDVEDAPSTVPGSGGAAGGPASEKRTHLHSFKRPTLQNDSLLISSISNPST SDFRPPASPTRQIALMLWVTLYWYFHQPPPPTTVQTDASKLTPDAGKPRGEWIVRIRR DGMLRGRNLLPKLEHMGLIASLDSTVGTEVGDTGDNWSDMFVTQRMFWQIPGRLFLFT LQPVGKSTRSCPGSHAPSDPGSPMSGEYSSVHPQRAIAEAGDLPGASPPTSLPGAPPV PISPFFSASHLPTYYPPPPLHYVITEHVRHPLRPKPPQMGEVFYTRFIPSVGQYLSFR VASLSPRPVPYMGPVGHRPPVHSHLVSMTDSALLESWHANPRVSNFWGKYSPDMLTNA LKSRHSFPAIGLWDGVPFGYFEIYWVKEDLLGRYAGSVVDDWDRGCHVLVGEEWARGR VQSWLTSLVHWMFCADYRTMNICLEPRVDNVRFIQHLQNAGFTKEKEITFPHKQAWFG RLRRESWEGPAL MYCTH_2127454 MSSSEESDQLGYLAAPSETDESVSEELDQDSDGEPCDKDEAAKS SQFFDLEAAGSDDETSGSEQSSIWDDNVGLDTWADDFFFPQFRSLPFELRHRIWQFFC PDIAVKSRVYWFEAHTRYRRDGFEKMVVVEGPFLEQQTRPVRSLLAIHRETRELALKA LPDTISFGRRGVIRFNSANDVVFLGSLEVAMLDFEVMPRLRGFSEHIRHLAVDPAVLS DLGSRPSVLFEAFKNLRAVYYLVSPGEHDPRNLRWCTSDKVKRYYVTTFEEEPGLGED GQHLYCWPDIENHVNFAEAEVPLDGLANDLLMRDDPLHIKGVEFNGTPIWPMVQFLWD SDRRRFDDLQAWDGEGTLEWESSEGPDDDDDDDDDELDDYESEGIDDFDISEDDQTSD GEESDVLHDDYSDQDRDVVGQGAIDLAGGESEDMARFSSPEQSSDTLRESEDSAQESD LAGPRARRLKRSRARVVDSDSEEDSEAPIPQKRVRMHGARHSSVVLSSSDEEDARPRK RQNRRTRAVAPSDEEDKEDDDEDDEADSDVGQGQNSRNDRSGTSSSEDDDEEPDNEAT LSRPRSLAEKLQLHRKLKPIAPSSSDDDSSSKEMSEDNHDRRVYYADFQDDEEGEEAS GDGGPHDEYDLEEEDEDQEDDEY MYCTH_2305728 MTSHPEFGERTPGSEVAKVFADQIRGKTILVTGVSPKSIGASTV LNLASQSPGLLILASRTKSRIQEVADQITTKYPGVRVETVLVDLGSQESIRRAAADVA ALTDKLDILINNAGRVVTSRQATQEGIEQQFGTNHIGPFLLTNLLLPLLRKAAETNPP GATRIVNLSSAGHRLSPVRFSDYNLEGKPVPPEEDHVKPLPGAFANCTPDGYKPIVAY AQSKSANILFTISLQRHLANHGIASYAVHPGSIVTELSREQDDELNAEFHKLIKYWKT PDEGSSTTLVAALDPALNEVKGFYLSDCQYTEPSAHAKDAAAADRLWKLSEELVGEKF SIGG MYCTH_2127456 MSGNGRIYRISALAVLGGALFGFDMSSMSAIISTQPYLCQFNQR GFDKEGRCLGPTSDTQGGVTAAMPGGSLIGALVSGWLSDRCGRKKTIMIGSVFWIAGS VVTCASVNLPMLAVGRFINGFAVGICSAQVPVYITEIAPPTLRGRLVAMQQWAITWGI LIMYFICFGCSYVDGAGAFRIPWGLQMLPAICLCVGLSFEPESPRWLFKKGREMEAKD VLAQLHGGGNANSQFVQRELQDIKATVSQEHKHADASWLELFTPSMMNRTLIGVFTQI WSQLTGMNVMMYYITYVFTMAGLSNAGTNEVLIPSSVSFIINVVMTVPALVWMDRWGR RPPLLIGAALMCLWLTITAIMFGVYARDAEPGEFASASESMVVSGPAARVIIAATCLF VASFAPTWGPVSWTYPPELFPLRLRGKAVALATSANWTFNFALAYFVPPAFENITWKT YVIFAVFCAVMFLHVFFFFPETANKTLEEIEQIFDDKRPGAIKYIGTPAWKTYNDRKA MITHELRDSISDSEDGDKAQTNSTEPGARDGIMTATSNEPMPASGNDA MYCTH_2093327 MVPPNDTRSPPSGTKRPAPDNDRTISPPPLKRKAQVAISKSAVT NFFTPTSQKAKDRTAWFERRPDDDSPATLLVAKHVPESAAPNGLNTAVKRPKIAAFDL DSTLITTASGKRHSDDPADWKWWHHSVPGRLRQLYNDEGYRVVVFTNQGGLALHPDPK AKAPKSAKNRVPAFKQKCNAVLDQLNIPITLYAATGKDIYRKPRPGMFSEMKDDYDLT DSDIDRENSVFVGDAGGRIADAKGASAAAKDFSCSDRNFAHNIGFKYQTPEEFFLGEE PRDFARDFDLSNFPFSEEGQDGEEHVEKTNDKDIVLFVGPPGAGKSTFYWKYLKPLGY ERVNQDILKRHDKCFKAAAEFLQEGDSVVVVLHSSADLLRADNTNPDVDTRSQWIALA RKHGVPIRCFWFRTPLQLCEHNATVRSMNKKLNPESRQALPRIAFNGFTSRFKEPKIE EGFQDIVPVDFKFRGTKEEYDIWGQYWI MYCTH_2305732 MTSSDGQRPPALGHDAIEPSDPAPPQYALSKQNSSGTDEKKGSV PVEQYGVDAEKQSESVGSLDARPNPERQTRPIVALWQRHWKKVAQLVTFMVFTAWWIY GLVFHRHTKGKGWLIPSLIYIAILVRLITLHIPSKLFLRPVRFVWLNTVVRVYDLIPD KLHQPLAGLGTFAVFLVGSFVPEETGDNTRANRAISIFGFLVMLAVLTVTSRNWRIIP WHTVIGGMLTQFIMAVFVLRTKAGYDIFKFISDMARQLLGFAADGLSFLTDDDFAHRS WFLTGVVPAIIFFIALVQMLYYLGFLQWFIAKFAVFFFWSLKVSGAEAVVAAATPFIG QGESAMLIRPFMDHLTMAEIHQIMTCGFATIAGSVLVAYIGMGLNAQALVSSCIMSIP ATLAVSKMRYPETETPLTAGKVEVPKNEDEEHTVNALHAFTNGSWFGLKIGATIVACL LTIIAFVGLINGLLGWWGGYWGLGTGDKPTLSLELILGYILYPVAWLLGVPKNNLRQV GELIGKKIIINEYAAFNALVSDKRYMEMAPRSKLIATYACCGFGNIGSLGIQIGILSQ ISPKRAGDVVRVSISAFLCGVLSTLTSASIAGMLFNDTML MYCTH_103032 MKRSGLLGTVALLFPALVSAYALPGECTGVCNNSHDPSIIRRAD GTYFRFSTGGKIAIHTAPSLTGPWTYRGAALPAGSSINLDGNQDLWAPDVAQVGDQYY LYYSVSTFGVQNSAIGIARSSSLDAGTWTDLGSTGVTSSTGSAYNAIDGNLINEPGTS NYFLTFGSFWNGIHRVRMTPTKTNGNVYQVAFDPNDPAMEGPTVFKYGDYYYLFFSKG TCCGYDQNRPPAGQEYRIMVCRSTSPTGPFEDRDGKSCRSGGGTLVLPSHDWVYGPGG QGVYQDPEHGPVLYYHYVDTRIGYADGQKKFGWNRIDFSSGWPVV MYCTH_2305738 MKGVSIAGAFSGLLSLASAASFSNPLKPFNGSDPHMTYYDGYYY LMTTTWTDLQITRAKTLEGLKNGETRVVWTDSDPSRCCNVWAPELHKIDNKWYIYYTA GNNQNLDGQRSHVLEGGSDPWGQYSYAGQLTQDWGIDGTILRVQNQNYFVWSCFSDGI QSLCIAPLQSPTSIGSPRVLSQPLLDWERVDTPVNEGPAPLYHDGKIFLAYSASFCWT PSYQLGLLTYNGGDPTAASSWTKTGPFFSSANGNYGPGHNSFVNSPDGTEIWNIYHAT SISTGNCDGNRYTAAKKVTWNLDGSPNFGSPDPLGVVTPGPSGE MYCTH_2305739 MPQISEAVKRDHGLIQRAYRRLRNADPESRNPSEFMWVLNRYLM VEDLVVSPILDNHVARGGERHRRLSDDYDSMNAKLRHMRRFDPSDPSFESALRAVWVD LEPHIREEASGDLDSLEENLSRSESEALGKKYDDIKDLLQRPYGKNGVPDERTLSAIL EMPRQELMVKIGINVA MYCTH_2305741 MASGQDQDRPSFASGTNPDYDLTTPIDPHGVGLRQKLTSYGDAH FSLFMRKLFIKAMGYSEDALCRPIVGVINTYSSFNPCHANIPQLIDAVKRGVQLNGGL AIDFPTISLHESFAAPTSMYLRNLMSMDTEEMIQAQPVDSVVLIGGEARPRFLIETRA VSTQFNLNGLLIILTGV MYCTH_2305742 MACLLVALGMMPIQGATAPAVSSARLRIAESTGAHAVQLAKTGL RPQTILTRESFLNAITVLQAVGGSTNAVVHLTAIVNRHPALAGTITPATIDAVGRQTP LLVDLKPSGDNYMTDFHNAGGMPALLHQLKPLLHLGALTVTGRTLGEELERVAFRPLL PPDSPANCIRPLGDPLYPSSALVVFQRGNLAPGGAAVIKASASKDRRLLRHRGRAVVF DGPADLAARIDDPDLDVDRDSVLVLRGVGPVGRRHPGMPEAGLIPIPRKLAAQGVQDM LRISDGRMSGTAGGTIVLHVSPEGADPGSVLGVVRDGDVVFCDVEERTLSLEVDDDEI RARIEQRRRDMAAAAKAGKEEEEEEEEEEQPWAAREHVRGYRGLYMREVNQAEHGADF GFLTARGPHQNEQAQG MYCTH_2305743 MSSGLKPLLLPRLVEEKRKLEEQDDGEREPASLYYYTHDSPSSD LTPPSPATSTFSRGHHYRLSGSNSSLELISPPCTDTPASPTQSLHATRPSRSQLPDVE EEPLEREEDEPATPPKHSDRDFGFLNYCLCDSPCSHNGEATQSTPYPYMVADLDYDLG FLSDGDFNASPRQKKRRHGSESGFSSWSARLGSRLPSLPRWRSSSISRRHDLTFAPGS DPSLADSRPSFSLAASSRSSSISRRARATDRAQESVPGTPALSFYESAESVDLASPRE NLPATLGREVERDRVNATTPLLPPLVIEKAPSHHTRPPSLQTSPLQSPSMMSAPVPDL SSAAKSFTTPPLSSKTSVSSLHRGTISGAFSDSPSPIPCLFDHRDSWSDRLGHANFII EPRPYVPDTADLATHQAFRADWDLARKNYAKHLARIGEHYGSTSKTYALTAAKWAEIE QEWQRDEDDLLERLGRQCKDNPSVVSQLRRTAEERVPTSIPLMLSDDGKFPELGDVEI IGPMMRETTMARDGASDEKRSGASGWLKNLAEKVRLRNDRPKSKTQ MYCTH_2065222 MGAASRVALVILRIWQLICAVIVLGILARFVHFVVDAGMTRDGR IIYGLVVASLSILFAILCIAPFMYSFLAFPADFAFFVMWLILFCLLITRTGTHTCSSP WFTNYWGFYWGGWWLRRPFLGRPGAFIRSGCSSWRTVLAFSFMVMVSFLVTTFLVRPP LHSRVIGPLV MYCTH_83051 MFATRILRQAAAHAERTPSIKFIGKRTIPASIDHTPKPHPASPT QSLPASFTSGSSHSSFSVYRDHAQQFGPLRKTIKADVGIGGARGSQLGPVNPPEGVFF DRNELPARFRRQPLSAAEIEAIETGGATLFA MYCTH_2305746 MPPPNFLHENREPGCWAVLADRKFFSLPKLFVKRTLRRHEWSDL GDNYILTPSAALPQRFRTDVAVQQYLRERTNIPLPAFASTFEDDGAMYLVMEFVQGVR MDELSEDDQKVVEKELLLHVETLKSLRSDTPGVPGEPLMIAPQRVCDFHWKYHSAWRP RSGIKGDFVFCHNDLGQYNVLVDPKTLKITAIIDWEFGGFWPEWFERPFWSRRGNSYA LEGEEDDTQRCREWLMANCEEVELPHLPTLHDKLNSMPGAPKNSGDKPSGG MYCTH_2305747 MKGFFGSNPAREGDGEAAKCPVDHKTRELWLQQAQQARAANSPA VSASPNPQSTERVAAPPAPQAASSWSSWFRLPSFGQQQKSQDAPATQTSTPPPPPPRR RDELQLDETREISSIPRSSNPGPAACPANHEQETGASTTGNWVYPSEKQFFEAMKRKG HQAAAEDMKTVVPIHNAVNERAWAEILKWEAPYVQPAVKGGCGGPRLHSFAGLGSATM SPRARINTLLGYTAPFDRHDWVVDRCGTKVEYVIDFYAGRNDGAGKGKLNFYLDVRPK LNTWEGIKMRALRAVGLS MYCTH_103025 MSRSFYDIDSAGEVLCTYTDSGEKEKLKSEKTEVPLLKAILYAF LPAGYPHTVTGDYLAYQTFDSLQAFASSITSLLANRAVLEGLGVGDSSSSPTGALILK ITGDTISRIATILFAHRMGQAIEPECKFYRFLADIFNDSAQFLDLLTPALPYLPKLGV IVSAGVLRSLCGVAANASKASLSAHFALTGNLAELNAKEASQETVVSLLGMLVGSLVV RMVEDKQVVWMLMVILAGVHLTMNYRAVRSVKMRSLNRQRATIVFREWLDHGVVLTPE QVAQRESILGNGRGNMSSKTNDYTGFCDFGTYGDLMSWNPRGYHRYDFETSTYFMGIW HRGGHFYIRIALKEGTKHPLSAWFDAVNHAYHFDSALKDGLHSHYENEMPLGYVSDEQ KQTIFAAMTAAGWDLEVNALETRLPVRVRVGDGRKGPHLSEKDPIRHNGLLEAKHD MYCTH_83048 MAERRVYRATTTAPVNIAVVKYWGKRDPKLNLPTNSSLSVTLSQ ADLRTLTTASCSASYPAEEGDSLVLNGEPSDVSGARTQACFRELRARRAALEAADPSL PKLSTMPLRLVSENNFPTAAGLASSAAGFAALVRAIADLYELPASPSELSLIARQGSG SACRSLFGGYVAWRMGEAADGSDSTAEQVADASHWPDMRALILVVSAAKKGVSSTSGM QQTVATSGLFRERIARVVPQNMAAMEKAIRERDFASFAEVTMRDSNSFHATCADTYPP IFYMNDVSRAAIRAVEQINAAAGRTVAAYTFDAGPNAVIYYLEKDTEAVVGTLYHVLG GDISGWKDAVVKGLKPSLSLDEGVAGILKSGVSRVIMTGVGEGPIKTDEHLIAEDGSR IR MYCTH_2305754 MGTENELDVEGQTEPPICGLKSSVTCTASPTLVGTVRSDGDATD ADVNADVDADADATVGRGSITSTVDTARVLIPECAHTLTVPDVCALLETNIDNGIDGS EAARRLQHHGPNKVEGAKGLSVWTILLRQVSNSLTLVLVITMVLSFAIADHIEGGVIA AVILLNVVVGFIQDFRAEQTIQALYALSAPTCKVIRGGQADTIKAEALVPGDLVRLGV GDIVPADLRLVHSINLSTDEALLTGESVPVSKHAEMVLKDRDVPLGDRINMVYSGSTV SRGRATGVVVATGMATEVGKIAALLKRNPQSDGRQRLSVAGRAWARFRSGLRVLLGLD GTPMQVALSKFALLLFALAIALAIIVFSVSRWRVTSEVLIYGICVAVAVIPESLIAVL TIATALGTRAMAKGNVVIRKLAALEAVGGVTNICSDKTGTLTQGRMVAKRVWLEDGTE VSVRDTTHPFDPASGNVRVGEDEYSPVLSPAAEKKAARLRALLETVALCNNSSVTTTQ AAAPAAPGAPAAPSGGAGAGAYAAVGEPTEIALQVLAMRFGMGKPELVRLDGGGGGRQ LLAEFPFDSSCKRMTVVYADEAGDGGASAYTKGALEALLPLMDLSDEQKTAVVAKAES LAAEGLRVLCVAKRSVDPGLFSSTTTTTAPSPLTGVDDAEKVVVQEGGTRALPAGHDT TEHLRSAVEKDLRFLGLVGLYDPPRVESAAAVQRCQRAGITVHMLTGDHVKTATAIAY EIGILSRAANHHGGSNDYDKNNNNNNRDTTIMVASAFDGLSDAQIDQMERLPLVLARC SPATKVRMVEAMHRRGAFCVMTGDGVNDSPALKKSDVGIAMGLNGSDVAKEAADMVLT DDNFASIVTAIEEGRRLFDNIQKFLLHLLVSNIAQVFLLLIGLAFKDEDGVSVFPLSP LEILWANLVTSSFLALGLGIEEAQPDIMQRPPHDLSVGVFTRELITDKFVYGTAMGGL CLAAFSSVAYGGGPPGSRGEGGASRALGRDCNESYSDVCRVAFRARATTFATLTFLLL VTAWEAKHFTRSLFAMYPEEEEEKYNNNNNNDNDNDNNNGRHGRRRRRRSGTGPASVL RTVWRNKFLFGAVTAGFVIAFPVIYLPAVNRIVFKHDAITWEWGVVAACVVVYTAIVE SWKAVKRRFRLGTTAAASAASPASRTVGEV MYCTH_103022 MAPVYADHPFALIPTPGFLARSRNEEPDMFDRVASEMALVHNVI IRGLNSIYLQAPYIRPEDEKAFLDVHHGNKEEFFFPFAEEMTGVKGIMDANIEQHKAF HDGVEELEAYVGAVLADEDKYDGSKVVGTIDRFGTVLMQHLTDEVASILSLRPYGDKL AGLPKLLDEEGGKSMKKMGNFVGVLAFANMDLQYENAMWQDWPSAPAPAKLIMRYVFW WIYADARKFGAVDRAGNLRHLYAVPESA MYCTH_2110752 MEPLDGTGAAPGASLDRDPEKTKTRTRVHERIGDQIPHEMYYGE FYDRDLRIDAVMVRYSSPYPGVRAVAEIMDDSSTPTETARAYFLAISSYKTPGFLLLL FFMYWLPRRRLAWWEKYNYVLSAALAADVAVGAVVIFFAVQDNPVELDW MYCTH_2305757 MNSSIRRLHVAQEEHFVHEVPGTYPRLCRLSDGSILAGFTRFLP RGQRALTVVRSTDGARTFQPHGEVTRSFGDCDNLFLLEVPPRPSAGGEPRPSAPTVLA AFRNHDLDQNGVHTYFRITVCQSTDGGRTWSYLSQAFEKPAPFGLWEPFLRMSADGKE VQLFFSQELAANDQDTMLVRSSDNGATWSARQRVTGAGEALRDGMVGLAEARYCGGHS ALVLVMETTRRGTFSIEAMVSFDDANTFGFRHVVYQAKEGRNAGAPQITAFADGSVAV VFMTDEDGEGPSRWPRGATIKAVFGTFNPDGTLQWSAPQVVRNAVSCWPGIMRIADDA AVAVYESSSSIRGRVLKVATLSAS MYCTH_2305759 MKPILIAALFGTALSSPVIAADDPISTPTPTELQPGAYWIRAVA APNFHKYFQTIPANVPGVAILESHTTAGQFNIEDGQLVNKVSNPPLYMWVEEPADKAD PPRTLATGFNTTKNTFGTFAWQGDALTWSDPSINRQNLAAWLVCAKQELFINTGAYGW QTPEGCADQTIHYYNGQTANE MYCTH_83041 MALSPPSNSPARWLLSALLATSSLLPVAQGQGYKVGTPDEIRES ARTLAYDLMLFYKGNQSGEIPGILPGPPTEHKGDYYWWEGGAMMGTYVDYWFLTGDPS YNHVVTEGMLHQVGPNADYMPPNHTASLGNDDQGFWGMSAMLAAENKFPNPPEDKPQW LALAQAVFHTQAAPDRHDGTCNGGLRWQVPPTNAGYNYKNTIANACFFNLGARLARYT ENQTYADWASKTFQWLWDVGYIDHESWKVYDGGHVEHNCTDINKAQFSYNAALLLHGS AFMYNYTNGSEIWRERVDKLLEGILRDFFPDGVAFELPCEGRKGACTADMLSFKGYVH RWMAVVTKLVPDTAGTILPVLRTSAEAAARQCTGGDTGRRCGFYWSEGVFVDPAVDKT SGAGEAMSVLAAVSSLLIDEAPPPATNATGISRGDPNAGSRSRGPSEPLAPITTADRA GAGILTMLILGGFIGTWSWMSIGD MYCTH_83040 MFKFPNLREGLVYISLLVPAYAMAQSFVGGDLSHQAPYGLPVQD FAAAFSRSSSDATFPIRGYNTAKSDGSCSAVLPDDCIRQLQADSVASKAGRTGSCRNL AIPDSCVGHFNGKNGGTAFEITPIGRSGRADRQSMFFAAGFDPVQKGNKSALEAAARH IWPVVLAWTHFGKTGDVQDSAGWLSCAKTTESKEVTGGGQRMGAENVLSIPGWLFLIW AITISLGKYGSFPSNLIIGRPYHLTFEVLDKAPGENFSRLRVVPTDELYAEVFAEESA PECSTPAAGTNETVISATDGEEFRLVDDDGNVVARSNHEVIDENARQTLTQDEIEELK REGTNAGKDVIAKLLLSHTAIDQKTSFSLAKYKLLKTKKYIRRFQVLPIDVPTFAQWQ LEERDASKIMDLRAEMLGLVGCWGNVHYGGDDVMLPDPKAPTDAGDEARVPVDEKLLR GRWLVVDDTSGLLVAAMAERMGVLYEREETSEETTENGAATEQRPATAQHPQEPQPSA STPGTQQQQKDGDTEMADAPMTSTTTRQSDGRTKQQKAHPSDFAIPYSQTNTITVIHS TSQPNLSLLNYWGFDITSPNHPPHPLLNHLLTLTWLQLLKPELDTSYSTPPLTATPET LASWKPSRRGNFHRKRRRYARIRHIVDSTRAGNFSGLVCASTMDPISILRYTLPLLAG GAPVAIYSPSVEPLAALADCFSVPRRTAWVSGSVPEIEGLSNEELERWQGNDRFPLNP TLLQGVSIQTSRARRWQVLPGRTHPLMTERGGAEGYVFTAWRAKPAEGKVAAKGKFKR RKVDSETATPAPA MYCTH_2127482 MPAYNSVFNASEPAPRLIGNFPLFPLRTKVRGPVYPLPFPDPPL PANESPDPSSESYDILDEVLALFRANTFFRNFEIQGPADRLLVYGIWFVSDCLSKIKP NATLREATKDVTNLALDLNFAIPGDPAFPLNQMYEPPRDRQDAEVLRQYMSQVRQELA SRLLARVYDEGDAKPSKWWLSFTKRKFMGKGL MYCTH_2305769 MPTSHEKSGSPERARPSKNGSPTQSTPATRQPSRRRMTRITRAE PGSLYDIMHDHAGTPLYVVPICWTDQHAQLLGARFRKRATITTPVPELVPGVWLEPSK MAQTLTSELHTLAREDATPARAFCKNRAIKHILSTLFPTTLSRPKTGAELNLYFGHRV FKKVVRIPCVWKSPSCTDTSFDSCPALPATSFNGVPSSPRDAGMPMLAYINKSQLAAV RKNLYTVLRGPGGCANEPVSNLQRLRSKMLIPADPDRDRYIIAILLAMAQAHFYHESS SRSSSQSDSQSGRKSFRIMPPSFRDVQVQVITHDEGNDNDPNFVVYTATVTATFLNRF MFPHKAPRGPAGEDGDAGMDISYTPVSFWPILGLKERLAKALGREIAGDAIYDDPNYI GLWGPLIEPQQSPVYHPVSLKRRRTDREPLSQVFNSSFEEEPPSSSDDRPVLSPAAKK RRTARRVNGSSTLEVC MYCTH_2305770 MKGFTTLVTAALLGRAVAAPPEPPTKIVEKRAAPTVTIASGSIV GVSRQATEAFNGIPFAEPPVGPLRLKPPVRLNSSLGVFDATGIAPACPQFFADSDSND FLSRVIDTVTSLPFFQKALKVSEDCLTINVIRPKGTKAGDNLPVLFWIFGGGFELGWS SMYDGGPLVSNAIDMGKPYVFVAVNYRVGGFGFMPGKEILADGSANLGHLDQRMGLEW VADNIAAFGGDPNKVTIWGESAGAISVFNQMALYNGDNTYKGKPLFRGAIMNSGSIVP ADPVDCPKGQAVYDQVVAKAGCAGASDTLDCLRQAPYDKFLAAANSVPAILSYNSVAL SYLPRPDGKVITKSPDVLVKEGKYAAVPMIIGDQEDEGTLFALFQSNVTSTDKLVEYL GGLFFHGATTEQIEGLVNTYSTSILAGSPFRSGILNEIYPGFKRLAAILGDLVFTLTR RVFLEAATTVNPNVPAWSYLASYDEGTPILGTFHGSDLLQVFYGILPNYASKSIQSYY ANFVYNLDPNDASGGTSAKSKVAESWPRWTGTERKLINFFSNRSGYLNDDFRSESANY ISSNVGALYI MYCTH_2305771 MRIRHALVGIASLCCLLGTASGARISSRDMLSRRVVPPSHTLHE RHEAGNVEGWVKRGLADAESTVPVRIGLKQSNVDAAHDLLMDISDPRSPNYGKHLSRS EVEDLFAPREHSVAKVKRWLASAGVDEGRISQSANKQWIQFDAPVYELEKLLLTRYHI FENLETGVQNIACSEYHVPRDVSHHIDYITPGIKLMAGGREERMVRWRKADRRSLVAG LASQGRKGAHGMGHGGGGGSRSPDDPVVDDSPFRVTGPCSAEITPNCIRAQYQLPNGT RAASGNELGIFQGLGQHYSQEDLDNYWKYVAPWVPRGTHPELRSINGALGPANDTLRA GEEADLDFQIAIPLIWPQRTVLFQTDDEWYQQDQQRADTKYPGFFNTFFDAIDGSYCH MTAFNMTGNCVTPECRDPEYPNPNATPEQGGYAGALMCGRHRPTSVVSVSYSGTEDSW PASYMRRQCLEVLKLALQGVTVVESSGDFGVGGRPFDPRAGCLGPDRAVFSPRVMANC PYVLSVGATALVDPEQEQQQQHADRGGSGKEPRLVEVAARTFASGGGFSNIFGRPKWQ DRHVREYLRKTNLSELGYDNAAGMSFDSLRPPPAGGKLFNRLGRGYPDVAAVGQNFRV VLRGYPNRMHGTSAAAPVWASILTLINEERRAVGKGPVGFVHQVLYQHPEVFTDITVG SNPGCGTDGFPVEEGWDPVTGLGSPIYPKLLKLFMSLP MYCTH_108416 MTRPSVLTGTLLLTVLSLPTFVSGRKFDASLIDYNLNRNRSATS PYDYWGSRQDDEPDFKYAPSPENWRMPFYTIFLDRFVNGDPSNDDIYSTPYETDMMST QLRFGGDLEGLRDSLDYITGMGIKGLYIAGSPFVNLPWGADSYSPIDLTTLDKHFGTI KTWQQVIDEIHARGMLGDLIGFEGYLNESTPFRTEEHKVLWKDPERRYYDFDIGNEYN ETCNYPQFWLEDGTRIDPPGLKGCYDSDFDQYGDIEAFGVYPDWQRQLAKFASVQDRL REWEPSVAARLQRFSCMAIRMLDVDGFRIDKAVQVTVDAQAAFSSAMRKCASEVGKKN FVIFGEITSGNDLGSIYLGRGREPKVAKELEKPDNAIALEPSSSDSSRFFIREAGNSA LDAGAFHYSIYRFLTRFLGLSGNLEAGYDLPLDWVDAWNHMVITNDFFNPNNNKFDPR HLYGVTNQDVFRWPAIKLGVERMLLGYFVTTLLMPGAPLVYYGEEQALYALDGTASNY VYGRQAFAPSPAWQAHGCFQLTIGQYIGWPIEQGRHGCTDPGVSRDHRDPSAPLRNIF RHMFALRDSFPVLEHGWFLQTLSKRTEFITLNGSTTPTEFGIWSVVRDMYHPLQNETE TPVWLVYHNREKSASYTFACDDVNDAFVSPFDEGTEVKDLFSNGKSIRLEASPRKNNF TGTGKSAGCLSSIDLEPFEFRAYVPAGEWVAPGPMITKFAPGHDVSLDSTDANGEIDG IVIEYNVEMDCDSFKDAMTAVVTTDGAHNATKLTFQSPKCSTITPEKRFGYTGEIQSS WRLETSLRNVPDGVIQITIDKALTKGGISTNTTDRFLIRFGRINNPVVFPSLANYSRT LLAGEEGDNVYIQHSAPGATHWRYSTNWASSWSEWFPYDSSDTRPVVPKLKWDGTDLQ KWTGTHIQVQYYSKPLGSSAFIQHADSNDVQWERSLPHIRIHGPYNKWGYDSGLPGSM DLIYHHTWSLHFMYEWPANFQLNVWGINPDKQPDVTAIFGDIDGDGVVDRLPPSSLAK NVINITKPPPMPALAYKLVFDDSAFKFRYEPAGNMGLQIVIFVLLAVLPVIFAVLAGW IYVRSFYQVKVNKSGFTRKGWMPLGLSSISMLDLKSFGKGSVEMKAMTPSPAQSAAAV APVGATGSRRTVLIATMEYNIDDFGIKIKIGGLGVMAQLMGSALSHVDLIWVVPMVGD VDYPTDRMTRAESMFVNVMGQPYEIEVYYYTTKNITYVLLDAPIFRKQTKANPYIARM DDIESAILYSAWNSCIAETIRRFPVDIYHINDYHGAAAPLYLLPRTIPCCLSLHNAEF QGLWPMRTPEEQKEVCDVFNLSPEIVKEYVQYGSVFNLLHAGASYLRIHQRGFGAVGV SKKYGDRSLARYPIFWSLKNIGQLPNPDPSDTAEWDPTKDVSKQSKDIEIDQAFEEKR ADLRRQAQEWAGLEVDPTAELFVFVGRWSLQKGVDLIADIFPSILEKYPKTQLICVGP VIDLYGRFAALKLQKLMEKYPKRVFSKPEFTQLPPYVFSGAEFALIPSRDEPFGLVAV EFGRKGALGVGARVGGLGQMPGFWYTVESMTPSHLLQQFKQAIVSALECKPSKRALMR AWSAKQRFPVAQWVKQLDELYSESIRIHHKEARKKKLEALSPSSPNPSRPPSRLSSRP PSPTSTATYVDHGSSALSPGPETHLMPPHSSASPAPRAMLSPDLPSPSAPWVTGTAPS SPRDSAASSIAGSIYGNATARESTMSVDSFGVRAQKDGMDSPNLTPNFGLAPPRATVG QSHRNSSLLSLPDVVGDRHDLKLQQVDQFFNDSNGEYYAMFEEMLESLTASNSANELC IEAFIKKSEKEWFARYRDAKLGRHSRIGSPANSRPASRQGSGRNESVVSRGRQRHRSI TPSSLARSAFDVSPPPNDVDDEFLLGDGYQPPTGLKKILSIRLGDWPLYSFLLALCQI ISVSSYQIVLLTGETTQTPEKLYMVAATYIGTSLLWWAMERNFKSVYPLSLPWFFFGF AFLLIGVAPFIPDWRVANKIEDAATCFYAAGASSGALSFALNFGDEGGAPTKQWITRA LIVSGFAQVYSIGLWYWGSIVADTDPTATVFVGTSKVPQAVVIGVPICLLCWAIGVVL YLGLPDFYRQTPATIPGFYISLYRRKVVPWFFVMIILQNYWLSAPYGRSWQFLFNTQH VPGWGIFLLALGFYCGLWALVLYAFSYFSDEHTWLLPIFAIGLCAPRWAQEFWGTSGL GWYLPWAGGPVGSTILSRCLWLWLGLLDNIQGVGIGMLLLATLTRHHVLTVLIGAQVV GSVFTMLARATSPNALSPNTTFPDFSQGVMPGIASPFFWVCLIFQLIIPIGFFKFFRK EQVSKP MYCTH_2305783 MRTSIISTAVATALAFAGLGSAANITEWKSRSIYQVMIDRFART DGSTDASCDVSRFCGGTWKGLLNNLDYIQDMGFTAIQISPIVKNIEEHTSVGDAYHGY WSVDNYALNDRFGTKQDFEDLVAELHKRDMLLMVDVVVNNMAQAFDNVVPPKIDYSKF NPFNDKKYFHPYCNVTQWENATDYQNCWLYPYGVALADLATETKPVSDELGRWVKELV ANYSIDGIRIDAAKHVNDGFLPGFVEASGVFAFGEVLTGLPEDMCRYQSLGLLPGMPN YLEYYQLNEAFNGGSMADVAEMRNEAASSCNDTAALGSFLENHDMPRFANRNDDMALA KNGMTYILLNDGIPTVYQGQEQHFKGNGTPFNREPLWSSEYNKESPLYVLTATLNKVR NNAIKLSSDYISTPSETLWADVNHLCLRKGPDGSQVVFCINNKSSQGESYQISVGGFQ KNEKVVEVLTCKTNTADISGNVTMYMNKGEPKVYVPQAALSGTGLCDKTEEDGPSSGA AALGLTGSVVLTAVIGWAVVLLA MYCTH_83025 MASNTDKKPVIIVGAGLAGLVAAFELTQQNVPTIIVDQENAANL GGQAFWSLGGLFCVNSSEQRRMGIKDSRELAMRDWFGSARFDRDKEDYWPRKWAEAFV NFATDEMESYVKARGIGFLMNVGWAERGDGRADGHGNSVPRFHITWGTGPEVVRVFAE PVKAAEKKGLVEFRHRHMVDEIIVDEKTGRAVGVRGRVLEPDDSPRGVKSSRNVVGDF EIYGSAVLVSSGGIGGNVEKVKACWPVERLGPKVPENFVIGVPAHVDGRMIDIAEAAG ANVINRDRMWHYTEGLQNWDPIWPDHGIRVLPAPSSLWLDATGKRLPPFLYPGSDTLA TLKYICSTGYDYTWFITDQTIVAREFALSGSEQNPDLTNKSIWQLLTQRVFGSQGTVP VQKFVKHGVDFVVRDNLEDLVKGMNELVSTVPGAPQLDYAQVVEVVKARDGQFENTYS KDAQAMLIHNARTYWPDKRSRVAVPHRLLDVKNHGPLIAVRMNLLTRKTLGGIETNLD SNVMRPDGTAFPGLYAAGEAAGFGGGGVHGYSSLEGTFLGGCIFSGRAAGRAMAREFL GEGAKGTE MYCTH_2305791 MGQAQPQAIQSPTGGCKRKRPIEDPIESPPDRERRRRITPTRTA GDTISEPGIGGGCPEPTDPISYWVENQCWPEKLKQPEEALEMDYMEYPLARKRSVSSS SRKRPSSTMTRTPTDEKPREEKSTAYRNPQFPLLLKALGSYMEASDLGITDTSEHLIS ALLSGEQSVPRGTLFDDDVFADACRNLKNRNEPRVIQDISRLIVPSAESLALRDRKYK CLVESVNEGWNHSIPLSRITRPQPDYSVGFKDDAFTKEQLTKLSPLVGDIVAGDRSFF MATWYMYFPFLTCEVKAGTAGLEIADRQNAHSMTLAVRGVVELFRTVKRESEVNRQIL AFSVSHDHTSVRIYGHYPVISGKDTNYFRHLIHEFSIATFNGRDKWTAYRFTKNVYDI WMPKHFENICSAINQLPSDLDFNVPPLSRGTGLSQELGTVIESEAETGSASAHAERAS GSTSGVGQQDVTPDTSFIPSRAGKRRKE MYCTH_2305792 MDSRSFSSRLRELVKFLSTVKGDLANVTGPPSLLAPLSVVEVGR FWAERPSVLAAPAREPKPEKRALLVLRWFLIALRGQLYVGVDLNNNHNHDHNHNHNQN NNNNGKKTPTSSTSIRKPLNAFLGELFLASWTDETKGTTTTTTRLVAEQVSHHPPITA MHVSCDDDSEGGGEGLVRADGYARVEMVFGGVSAGLQIRQVGHATVRVDRYGEDYLIP LPDVRVRGFLAGCLYPEIAGVYHIVGSNGFRVEMKFWGEGFIRGKRNSFEARVYRDEE EEEEEEEEEEGEDEDESQNKENNNNKKKNKEKKRIRVVYYEVAGCWSEGWTVKDGRTG ELVEVYRVDGPENGPARMEMEPVEKQDPWESRRAWAGVLRGLAAGDMRSVVAEKTKIE QAQRQMRATEAARGLVWEPLFFNSYPSDDHPVFHRLSQGLGWQLHADKTKGVWKLDDG RLKRIQRPFRGDITPFGY MYCTH_2305794 MTSMRPDGHVLWSTDVAVPVSRLADTVDWSKAECSRLGLFASVV GHVGDGNFHVAMIYDPRDPAQAAAVARCVRRMTDRALEMDGTVSGEHAIGIGKKACPR DELGDETIGLMRALKRALDPKMDHEPGQGV MYCTH_2305795 MVLSPKWYQFLVGVFASLGSLLYGYDLGVIAQVIASQSFKSRFS PSDNEEAAVVSVFTGGAFFGAMAAGPMGDKLGRRWTILCGALVFCLGGALQTGAQALS YLYAGRSIAGLGVGVLCMIVPMYQAELAHPSIRGRITALQQFMLGIGALAAAWISYGT YVGFAPTNDGQWRTSLGIQVIPAVFLAALILLFPESPRWLIDHGRSEEGLRTLAQLHS HGDVDDAWVQAEYQQIRESVEFVRENEAKSYAELFRDRSCFRRLFLACAIQGSVQMTG VSAIQYYSVTIYGLMGIEGDDTLKYQAISSIIALVAQALCILLIDRLGRRWTLIGGNL GNCVTFIIATVMLARYPPGTSSNKAAAWGFIVVTWVYNFSFSATCGPLSWIIPAEIFD TKTRSKGVSIATMTSFALNTMIGQVTGPAMKTVGYRFYLLFVVCNFTNALFFWAFLPE TAKRPLEEMNRLFTDAPLFVPTMNRSDWALNDLELRVEEVKAKQESVAAHADRR MYCTH_83019 MATFTRIEDGESPSITIHPSHKIAKISDNIYGGFTEHMGRCIYG GIYDPGNPLSDENGFRKDVIEALKELRVPVVRYPGGNFVATYNWLDGVGPKEKRPKRP ELAWIGVETNEFGTDEFMKWCEVVGAEPYLCLNMGTGTLSDALAWLEYCNSDRDTYYA NLRRKNGREKPYNVKYWALGNECWGPWQVEQMTKEDYAKKAYQWAKALKLLDPSITLI LCGETGYSSWDHHVLKECVRWDVHGLGDQNQTKSLIDMHSIHVYTADREHLPNATAPR SAERAIQMASALIDLARIENEVPATVPKQKICFDEWNVWDPVRAPGEQGAEERYTLSD ALAVAVWLNAFVRQARDLGMANIAQSVNVISPLMTTRDGLVKQTIWWPLLLFSRYMRG HTLALHVRGPEYTGRTRPAWIRAAIETPYLDCSAALGDDGFVNLAVANLHEQNDYPVD LDGLRIAHGDRVAVFLVTGDHVGVANTADEQKVGIAESSWDGKGKFTFVKHSFTLLRW KPAE MYCTH_95427 MKLPTIYNVQLVAIIATLGGMLFGFDISSMSAIVVTDQYIEYFN NPTGVIQGAIGSALAAGSVVGSAVAGPLSDWMGRRDSIMFACLFWLVGTAVQVATQNV GQLIAGRVLNGFTVGITSSQVPVYLAEIAKAEKRGSIVIIQQLAVEFGILIMYFIGYG CASIEGTGSFRTAWGTQFIPCVFLMLGLPFLPRSPRWLAKVGRDKEAIETLANIQADG NTQDSRVIAEWEEIQTVMQAEREAGRGWRKFLLNGMWKRTLAGMSVQAWQQLAGANVI VYYLTYIAQMAGLTGDVAMVTSGIQYAVFIVFTGIMWLFIDKTGRRTLLIWGALGMGF CHFVVGGVMGAHSTYHPEGVGNPPNGNIVIAVNKGAPANTVITFSYLLIVVYALTLAP VCWIYAAEVWSLGTRATGMSLAAMSNWVFNFALGMFTPPGFVNITWKLFIIFGVLCVT AAAWFFLLCPETCGKTLEEIELLFSGPDAPHPWNTRKGDSRLAAEIAAVEARRREKTE AGEVEAVPSVGEQEKV MYCTH_2305804 MSSDYVEVRVALQRRVRRRRPWLEAAQTPFRDDAPMVGPPKTTA ADFEMPRLRRCPFDLDSMVWEARMGGGLDGYVWKVRFGTQGPFVLKVFWDAQPVDFPT YYAPQRECQNAALLQMMQTAVEQAAAASRPILVNPKPTTWDDAIANLAAFSDEARLKQ PSPADQGSGPPQEGFCQISTMPRVKKCYGWLTIPGTIFRELHWTLRPPPVQVDRIKRY LTPDQEYIAIVYEYVEEGENDPETMQKAMDFFWLAGFSCAFSPLLRNWKSGVLVDLSD IVPPRGYGWQEKLYKDGPESAHVLLKQPLPAGPVRARACT MYCTH_52068 MKFLDVLLGAAAASSALAAPTCTTKTKRAGKFKFVGVNQSCAEF GQDTLPGQLNKHYTWPAKSSIDTLLATGMNTIRIPFMMERLIPNQLTGTVNETYSAGL IDTVSYVTSKGAYAVIDPHNFGRYYTQVITDVEGFKAWWTTTAGLFADNDKVIFDTNN EYHDMDQSLVVNLNQAAIDGIRAAGATSQYIFIEGNSWTGAWTWVSSGNGESLLNLSD PEGDDKLIYEMHQYLDEDGSGTHEQCVSGTIGRERLQAATEWLKANGKKAILGETAGG ANDQCISALTGMLSFMEENSDVWQGWLWWAAGPWWADYMYSIEPPSGTAYTKVLPSLQ PYI MYCTH_2305805 MITRLKPFVTPALTAVSILLIFVYFSKIWLLPCSNSRREAYFYQ RPHNYPFPQCVTLEVAHPTPDPTPPLPPPHRSGLLPSARDPECERFPDTSKVLLVMKT GASEAFARVPTQLLTVLRCLPDFLIFSDMDQNIAGQQIHDSLSTMLPGVKEGNQWCVV GQGECDKLGDPAREGWRLDRCKNVHIAKKAYQMRPGY MYCTH_2305806 MHVLADGSQRQGRQPRRRNASTNSNMVIWGRPVDHQASPHPLSR RGANAEDSQREYLWNTPDGDKPDFAEAYTYGDEILISWNALNNSIYDLWLTSWQVGPD PVVLCLASKSETKPNPPTSLFQGADLLTTADKGAVNLTQDGSLKLVTSDPPAAEFARE TKYVFRFKPPTSQGEFVASDPDLSSPGFLLVEPSFHQNNFVPSTTGTTQSPSATPAPI PTQFPATPSLDVATPPAGSEDTKPNMSPIAAAGLTIGLILAVVLLVAVEVGYLMWRRR RRRRRGHGDESDEKTPAPARRRWWKRRSKDESDRGLFIQVGKAERIVDDKVWMSPELP GDSTWGQSVLHELQGSRLGRGNPPKRSLTINSSVVELEAGRGVSEPRV MYCTH_2305807 MDLEMQTGQIDKPLADRTAQDGGRDDPVTGALAGRRNRMVSVLW VGREISLEVKSVGEEE MYCTH_2305808 MRRSSLDLTEPARNIEESNGQPSADMTDATADNILSPPAEEMEL IGDDSEATARVYTPPPHIAARLFYRPTNQTRRKDSAASSRRNSISSATSRSSHGGVRN GGPQSKYIAQHLRRASILEDRKARLADRAAHAEKVRLRAALAKARTRNASVSEERALA AAQARERNLAEIAAACAEEVRRAKAVAESMKEKREQEIRKMKLQMEERLAEAERRREE LRSRNAAKAKGRERGQSLGTRKPPAADVVPGAQEAKHWARAPLTKEVAASKILWFWRA SKRKQYVAEFSALGLSLDAVREASFDEVTMLLSQERVLVLTSRILRICGLNEGDPGSV EEMAAVRSFLSAFLILGHPSQVLSNKDTEMAEEAELGQAHPIPKDSLANPQSQELVSK ARDLLVLFENVLGRLTAFNHYTPPLALLAAFPEVYATFYNALIAWKARDSSSLVDLMV MQFVELDAIWESVKDTTDGSVDQVYKESIRNNQLLLLVRIKRLAGAAKGKQLVADAVK AARKARAKKPVGDTKPRMAEQTVTETAMGVLGVEESSAQPQTQTPTPPATPSRKPEPF RISVVIPSGKSLLPDNRIVVHELAINKEFRTEPHEYHEQQEHLLAPLFREMRSTMQSQ NREAHFFLLLKVADLIREKLQRLVKPGNSMHTFIGELLDTEIAQRQFAMGSFSYEKFF QAMGSLLPKLCAPVRDDEVKVLVEEKLSHGSYVDRLEALNGFIDVMLSDYANYLLQLA APRLIEQAPAYEARAFAADLEAGRHDLAVATKCWRAARQKLFTEASRRDPEGINHPGS RPTANRIYAQLLVDLFTQLSPVPREEVPEMLLLDHKRIIEAGRLTRHIITTGAILLQC KNLLKRDVRAPWRSEAQRILAVLEKSDDPRATDMTPDVAAEGINAALEAGRCMPAATK QHLRGLVNKLLAASAEAATIKPREVELQEPVLRLLLARLRGHVLARLTASSASEKVKA TSTAGEKLAGLGLAEFVDKVRDMVDMMGRVSAVDRDAHGVWWDRVAELVEGENTQ MYCTH_2305811 MTDRDILPDTFKPVHYDLLITDLNFNNWSYKGSVRIDGELTKPT TEIVLNTLELKLLRSKIVVSQGKSDETWEATAFAEDTKSQRSTITFPHELPVSAKASL SIDFIGELNHDMAGFHRSQYKPAAPAAASVHRDDEFHYMLSTQFEACDARRAFPCFDE PNLKATFDFSIEIPEDQVALSNMPVKESTPVGEGKKLVSFERTPVMSTYLLAWAVGDF EYVEAFTDREYNGKKLPVRVYTTRGLKEQGRYALEHAPKIIDYFSEQFEMDYPLPKSD ILAVHEITHNAMENWGLVTYRMTAILFDEQLSEAKFRNKIAYVVAHELAHQWFGNLVT MDWWDELWLNEGFATWAGWLAVDYLHPDWEVWPQFINEGMDQAFSLDSVRSSHPIHVE VRDALDVNQIFDRISYLKGCSIIRMLASNLGIQTFLKGIAIYLKRHAYGNAKTEALWD ALSEASGVDVNSMMKPWIEKVGFPVLTVTEGKQQISVKQSRFLSTGDVKPEDDQTIWW VPLAVKGKVGSQGIEPLALTSKELTIDGVCDEFYQLNANATGFYRVNYPESRLRLLGT QLDHLTTEDKIFITGSAADLAFSGYATTGALLSFIQGLKSETHYRVLSQALDSIGTLK SMFGDDEQINKGLEKLTLELIDKALKQVGWEGPTNEDFNTSLLRKRLLLAAVTNSHEE VTAAALERWSAYEADSAKSPIAADLRAPVYRAAILKNPAAAVLGLKNEWFTTPAIDGK EICLQALGHTADESLVENVILPFLFNRSPPAAAADSVPTADMHILAGVLAGNRVARPL LWAYLRDHWDQLDAKLGGNPILVDRMVKVSLPKFSDLETLAEIERFFAGVDTKGFDRT LEQVKDKIRARAAYKARDTEGVRGWLVANGYA MYCTH_2305813 MDPPVLSFYGKEPVPLPSRFGQIKRSLVAGHEAELEASWARLIT ALREHVDDIASRGSSLTPSIDFADIHNPEARAAFSRDLKRYGLGVVRGVVPRADAQVS IDETVKYLEKQTEFKRPPPQDPTCFDFFWTPAQVRTRAHPRVLEAQRFVMSLWDNNAD DRMAIRFPIAYGDRLRIHGANIGNVGPDAAAAAAANDNDSKADDDQEASGSLPDAELD DDLEAQQAAAELLGDFTSSTIIAQVDNGSLERWEPDGYGRGGTYDAVFKGEWEKYDPW DPTHRVTATSDLYNGYGACSIFRMYQGMVALSTIEPGLIRLLPSPKLATAYFLLRPFF SPKTKPPERRDGPEWDAFLDPSNWALDKEQSTIIHGAVPGHAQRLTELWHPHLHLRRT LQTIPTLQTGDYIVWHPDLAYHITSNPNVMASRAPTPPPATAAGEEPAGRNGRPVSIL VYVPAAPLTQTNALYLARQRKTFQRGHPGPDFDSTGSGLGSEATHIGRPTETDIAEVG GPAALQAMGLAPFDVAPTPPQSAGSLSSSPPSASAPAGEKAGNGGEDVEMADSGSGPG SGVGPSASGASSMTRAEAEVARMANIILFPDRYDFYMAKRNSSGTKRSKVRVERLLNP VDPPSPPKVSKDKGTTTTAVAAADGKN MYCTH_2305814 MKVAFIEHNAPETPRGGRQGGPVSGDGQSTLDKGQHFARLSDNP ATPSYLFRGHQSMFKPENSVSKAARRREKHKNRISFTETSEGVWVRVQHHGPPVEFQV SPLRWKAARLVYHTKHFVSRLRSPGKVSIPSLPPTSTDRIPAAAAAASRRTTPSSPSS TSEASFLDLAAAGPPSFLIAAVSHALPRPADRLAPSSSSSSSAAAAAFPLARIFPTLD EMTSILLLPLHNSVGGSGRASGSRRSGGGGSSSSSSSYRTAIAYLIVAATLNHIRRTT LCPPTVGGYQYPWCVMMGGVGANPLEDLRLALSNPDVFVGAALAGYGTRWALVARVVG GGVLATWVVELAVGALLRRILLLFVTAAAAGAQEKKGVGWSSAGKGTWEVGGSAALKV GYMLWLLMGVECAFARGMNAAAWLVAGYKLLLVAGEEGVGRVALRKAIQGKLLQGCFV ACHLVYYLMGGIGPVVWRSSVSAARGQPGSLLTLTGIVWGVWMLLRYRSTFFIALEVS GFFIFLGYVVFGLGVLAWEFIDDPLGLKVHTALDTLNGIKGE MYCTH_51742 MNSHERDSIEGEPLLAGEERDKVDIDNRETPSDPDHGDSFRTGD GKLGRRGLASRFRDRKRTTVLGLLAVLTFVVTTSGMLFLVPIFRLMEDAVCHLHYEKP KSEPVEERMCKVDAVQKELAFLGGIGAMINSVVGLIATLPYGVLADRIGRKPTFTLAY VGIVLCFSWGPLILSIGEFPHVHLSVFGPLFFLIGGGIPVALNTLHAMASDISSDGDK ATGFLCLSFGAVSGGLIGPVSAGLLMEHLGPWAPVRLVFCLTPFVFMLVIFLPETLPI SLRETTTEEERRPPAKRVREEIKELGISFSLLKNRNIALSLPAFLVQPALFAAYSSTM AQHISTYFGWTLAQTNYLLAPLGALQLVVIALLPLISGFLTKQSGRFRLSVFSKDLLL AEISFIFLVLGAILEGCSREIVLFLIGLTIGTLGSSQGPLCRAIATSYVEPQQTSRLY ALISMLETGGAFLGGPVLAWCFNIGLSRKGLWTGLPWFYVAGLVLLAFLSLLFLRAPR NKTIAVGPESESNEEFGYQSAEEPV MYCTH_2305819 MPPAIEAQPAAESANRPDADKEQPSVPHQMQLQPYTPLELAVGD GAEHEQESNAGLTRTSFADGARFLPAPTDFLSWASFGEVTLRPRKNARRSRLDRIISP LKSVGAGLPGNVSVEGLGGLIESAHGIFHSVRRLDFMESERTMYMYSPPTPGGPRVPA PDKLPRRELERYEDELFDLSKYNGASDYLTAMAWTEEAMSGSLTLYRVLSGIAGEATG VRPVAAMRDVPSQVLARMRTDGRVRRKAVVTLIEDDDRTFDWKANPGRGPRYVRLASS GVRSPGRSSSNSSRYRGPGMADADGVCATLPQFFALLHSRRSLLENVGSSWVTQTRTD DGEAHPMVFLTKSPQSSPHEGNRVHVAVLVPNDALWAEDEFIWTDQTGLAEFRQRQYW DLIEPPSRRARGRSRSRRRERDDAGPTRSPIRGRS MYCTH_2118844 MARDRSGDPSGGDEITPAPEPAGVRRKELRDKATDFLASHGAGD SSFTYEEERRVLRRIDFRILPLLLGAYFFQQLDKSSLSYVSVFGISRDAHLVGKQYSW LGSILYLAQLVMQPLAAFLLVKLPTGKVIGSAILLWGSALAIMSACTDFRSLLGLRFL LGAFEAMIAPSCVAVTQMWWRRGEQTMRTSYWNAMNGITAIVGSLFTYGLGHIESRVL FKYQIIFLFCGLLTVCYALVVLLLMPDSPMEAKYLAEREKVIAVERVRANQMGVASRA WRWDHVWETLWDLKTWCWFIAIVSISGGISTFGSLIVKDFGYTNFDAILFNIPFGAIQ FFVIIGSGWAATHWQRKGLTIAAVSVLPLVGTILMLTVPRNDHNKGVLLFGYYLVSCL AAITPLIYAWQAQNTGGDTKKKCTSAVVFIGMCTGNVIGPLLYDESQAPLYRPGLISN LVMFALVGSISALIPFYLMFLNRRHAKRREQLGKNAVLVDESMIAKIQIIEDSKAVEV EDANNRRQRALEEDNALRDMTDLQNEDFIYVY MYCTH_2118845 MATTRKRYAIVGTGARSSFFFNAIGQDYRATACVVALCDTNQTR MNQRNKRLEAIGHGSVPTYPAADFDRMIAETRPDEVIVTTIDRTHHTYIIRALELGCN VVTEKPMTIDAPRCLDILSAVDRTGGRVRVAFNYRYAPHNTKIYELLRSGAVGTVTSV HFEWLLDTSHGADYFRRWHRDKRNSGGLLVHKSTHHFDLVNFWLRTRPLSVYAQGDLR FYGRENAEARGVTRFYSRARGSEAARDDPFALHLDRVPALKELYWDAEHEDGYYRDQS VFGDGISIEDTMSVLVRYRNGAVMTYSLTAYAPWEGFRVSFNGTGGRLEVEVAENSYV NAAGEQGPEGAAERRSILLRPLFGKPREVEIPAADGAHGGGDKILLRDLFGEPQTDEY MRAAGHLDGAASILTGIAANKSMATGQVVYVDDILKLPD MYCTH_2315428 MSSESSTPNCTPQPPMVVHNLYIPDPSADEIDLSTNQPWMVATV INDDDLMFGGKPLCAWYEEDRRRFGCATVDEEETRGRPRERARADAHYERSQKQPQHH HHQARQQHPQHPLQAQPQHQHHHQQQRQHHLHRSGDRSPDVKEE MYCTH_95413 MPPTTAEPPLITAKSGQRNLVRDGARHLPGVVASWWPCETESGN HWHSIESAHNKIQSGVSYILAGTCGAKVLGQREAWWHALRVNCSISLLRIALSDHRCS CISGSHVKQPAHRKSLEWFFGSMYVSQEDFRSCAFVLCRNLVTTAKETDPARGYVITI TRDLANSVQSLDGDSHSQRTEPAFGDGNGISDRTPIAFFNPRYNLQISDHPTRTGAIA ITAS MYCTH_95412 MDGTTALLRADQLPARVWREAGRSWVHERNLPDRGWRESVDEQV KLVRVKRRRRPQVETRRGRLLCFTSEVGFSQGAFELLYGREANLSARALPHPQTMAAP VQVPCRVTAGCPRLNSLWTGIRDNLKENMEEAGARQQTGKLTNVEHNLYATPS MYCTH_102997 MAHSTKDGGDKDTPEADDPPVKSWKRYVWDTWDLPRAERRMLFK VDAFILTFASIGYFLKNLDQTNVNNAFLSGMKEDLNMYGNELVTSTSVWTVGYVIGQI PFNLLLTRVSPRWVIPSLELLWGVATISTAPIKNYHSLYALRFFVGFFESGFYPGIHY MLGSWYTPRELGKRAMIFWLAGSVGQMFSGFLQAAAYTNLSGVHGYAGWRWLFIIDGV ITMPLAVLGYVFFPNLPQGGKKTWWITQEEHELSVRRMAAINRAGKQQWSRAKLRRIF LSWHAYLLPMLYILWNNAAMQDAMGYWLKSFNEDPPPVPGRHFSVAEINNLPLPTTGI FVVMSIAWGWLSDGFCHGARWPFMYIGAVIGIVFAVVLREMPLYENITGRMVVYWLSR IGSGAGPLILSWINEICSDDTEKRAILVAAANDFAYVVQAVAPNFVWKTTDFPRATKG YTWSIILQCLLVLATAIVQYLLWRDKKRAREKEAAIPQSASSESTAGALEAQPREGEV ARKDESVDDK MYCTH_108407 MAISPPPPLRVLISGAGIAGPALALNLSKLRAPLQCSITIVERH PSLRAAGQQVDLRGPGIQAMRRLGIEREVRAAVVDEPGVRILDWRRGAPQAFFGRNDS GKGRQTFSAEWELMRGDLCRLLYDATRDLPGIQYRFGTTVDSWEHVRGGRAVRARLSD GTEAEYDLLVGADGLGSRVRRLMFADKKRQSNGESSNGESNGSGSRTANGLFPIGISC AFYTVPPEPGDTSVATFCHYPGRRWIMTRRDRPDCLRVYMGYAGAGAADERFSRVVRH GTPAEQKDAWADVFGRDLADAWGVRRFVDGLRSPQADDFYTQEFAQVRIDRWSDGRVV LLGDAAFCPAPITGQGTTLALVGAYVLAGEIARACSSSSSGSGSGGGAAANDDDDGGS PWDNLPAGLAAYETTLRPLVKGVQDVPVKTIVSLMCPEKPWLIKLFHWIMWFIATLRI DLLAARFRSEEDSWWKLPDYPELVSPKA MYCTH_2036724 SPLSEPGPHGYPKRFAVRMAGLRGFKIYGADRRRPCHYVELHAR QQVLRGSGRRRDKSRALVTVQENWPSWSLFPLDDEPATFTVTIHHHHLIPDPHHDGGD DDGGLVRADETTIDVDHVRCRPVTFRFQLAVPTTPADATGSGSGGGSTSRTTLETFEW RRAPPACWETRGIRKKTLPAMATGDERPPEEKFVCSPSGSVLVRLGGGGGGGGGGQRC APGGRDRPMGFTRAGEEILASYARARGCGGVRCYFQFWGSAAAGTLGEAFTHVAVMTG LAVYQDEEDETAGRRGRRK MYCTH_116603 MIPAPLRASPVLRTIRPRTLAATGLVRSSASFTRRPVPILHPSA CQNVPSVLRAVMSTKPPLRPNKIDKEFEKQVAKKKLEPRPEEVSRASSVRQVFKKSQA PPPSEEPDLMAGLRGDLNTVKETFALATVPRVPFALGLAGTLPYLATSLSTLVLAWNL NNEWPTRSRFANAFLFNHEQAAHWLHILEPIQVGYGAVIISFLGAIHWGLEFAEKQTV ENRARLRYGIGVLAPAVAWPTIFMPIQWALTAQFAAFCVLYYVDSQATVKGWTPTWYA SYRFVLTGVVGIALVISLIGRAKVGEGRLHLSSGELAGRIRAKPTAGSDRDWAKEEED ERKRIKEQRKQEEEKKKEEEEEKVRKEEEEKKKQAEKDGAADSRKKGEEQKEERDSQA DGAAFDSNKDSGGNESGKGGGSQKDEQK MYCTH_2305828 MLEGLVAGLLNRFLGMYVKNFDPTQLKVGIWSGDVKLSNLQLRR EALDQLKLPINVVEGHLGELTLIIPWSNLRGAPVKVFIEDVFLLASPKEEAEYNEEEE ARRKQRIKMEKLDSAELLKERSQEGLSQEEQKRTQSFTESLVTKIVDNLQVTVKNIHV RYEDAISAPGHPFALGFTLEEFSAVSTDGEWAPTFIQDSTTTTHKLATLESLAVYWNT DTKLMGPGREAHTPGAEVMPHDDMLKNFKTMIVRSDNDLSANHQFILKPVSGQAKIEL DKTGNPQAPKFKANLLFDEIGLVLDDQQYRDALMMVDLFHYFIRHQEYKKYQPKGVTP KEDPRAWLRFAGDAVLSKIHERNRRWSWDYFRERRDDRRRYIELFKKRKQNQQLTPDE ADDMNKLEWKLSYEDLRFWRSLARNQLKKENAEALKNRPPAQEQQQQGWLSWVWGAKP QQTEKQDEMENTEITEEQRKELYEVIDWDEKAALATEVDVPRDIVKLQIETSLSTGSF TLKQNPHGNTRDLVSLHFDVFKARGLKRPDSFLIDLSLGGLRVNDGTTEKSLYKEIVR VKDAPTRNAQKRLSIAELEQAGDEAFFHFQLEQNPLDGRGDVAVTAKLKPLEIVWNPN VVVGVADFFRPPERHMESITALMETAGATVEGIREQTRAGLQFALEEHKTVNAKLDLQ APLIIIPETVTSQNTACVILDAGHISVTSELVDRETSKEVQSKQSEAYAEQDLGRLET LMYDRFLVKLTSTQVLIGPSVEETKQQLVAKDDQRMLHIVDKINVDFVVETSILPKAP NLTKLKVSGHMPMLQVSASDAKYKALMRIIDVAIPRLGGDQTQPPQGHITAPGRPRAE STTSLQSRRRSDRRLSQLGLPFATQQQEAIVIDDDELDDEDDKFEDAKDGFPDEQLRV QQRIFEFNFTVDKLRGSLYRSDPGRQRPDQLLVELVAERFGVEFRLRPFDMGAEVSLG SVTVDDFVENPPAEFKSIVSSGDVEDRQQARDLVHVRFVRVQKESPEFMTVYDGVETN VDVAISTINLVVTRKTLLTLLDFILVTFTNNQSANGPSASQKTITDQDLATDAELEPL SPVEQPEGGAIRVKVDLKSIRLILNNDGIRLATLSFNHADAAVFLLGRCMRVSTKLGD LSLVDDVNLGTSEDSSLRQLVAIQGDELADFRYETFDLSKPERYPGYDSSIFLRAGSV KVNFVEEPFRKIVNFLVKFGKMQAIYNAARQAAANQANQLQQSQGRIKFDVVVKTPIV VFPRIVVSERPKRDLITAYLGEIYAQNKFAPLDDSKDSEIAMKLSAGIRNIRLTSSFH YSHDREEELELIDHVDLGFKITYAEHKDGVMRPDLEVQGSMTDFNLRITPYQLRSLLE ISQSVPAAFSGDVEQHTADAERDVGGATLERARTTPGYGGGNTNEQLIDMNPELETHG EAWTKLDLVFTVNEIGLELINASEDSPVGDLDAASLSKFSLNSTRLKTRMDSNGSVEA EFVVQALTIYDTRQHGANKFRKIMTSGNTGVEQLMASITMAGGKDRNVIAMVAIDSPR FIFALDYLFAVQKFITIGTQTPPEPDVPEKSPLETPEEMSDVESVQANFSGEGSESSS SRVAQPRLQGEPKKDEPQLRLAYRVNIVDAQVILIANPLSASSEAIVLGTKQVILSQQ HALTFQVSQCGMYLCRMDRFADSRLRIIDDFSLQLSMDNSQPSTTKIHADIEPLILRL SLRDILLVMQTIARASELSGGTATDETIHSEQKARQLRAPNLKQRPTSGRASSSLATR TGRASKSVGSISHGAAVPPPHDIVKPPQRQEELTVTFDGIRVVLLGDVHELPILDMSV KDFTASAANWTSDLRAETALEMYTNIYNFAKSAWEPLIEPWQVGFGVARDQRNGVMSV EMSSKRTFDITITTASIALLSKSFAFFSQDQDVLGKPRGVEAPYRIRNYTGFDVIVHA KRQSSEEVTTLRLTDGQEVPWSFEDWEKSRENLLRTEGAGANSVSVQLDGSGFDMVKN IRLSREGEFLYALKPRTDGVLHKLLVDVKLGTDNVKYVTLRSPLLVENETDLPVELGV YDAHDGHLLKIEKIAPGESRPAPVGAAYLKSLLVRPDPGFGYNWSTDTLWWRDLLRRP IKTLVCKGEHGDPFYFQMCARWDKSNPVTRNYPYLRLKLSAPVTLENLLPYDFKYRIY DKNTKRDWSNFLRKGGVSPVHVVELSHLLLLSVDMQDTLFKPSEFAIINAGSAEDFKK ETHLVCRDDAGMSLNLKLHYFRVPDSGGAFKVTVYSPYVILNKTGLGVSIRSKGFMQQ AKAAAGQPLIDLSDGTERKTPPIMFSFNNDDHRNRATIKVGESEWSKPQSFDAIGSTT EVVLHSAGKNAEIHLGVTVESGLGKYKLVKTVTLAPRYVIQNKLGEDINIREPSSSTL IPLKAGSLKPLHYLHRGGVKQLCLCYPGFDNQWTAPFNISDLGITHIKIARAGQRQRL IRIETLMEDATIFLNLSMEQRSWPFSMRNESDTEFTFYQANPNIDDDGTEDRSGWRPV RYRLPPRSIMPYAWDFPAVKVKELCISAYNKERHVKLAEIGNLMPMKFVGANGQAKII DINVTADGPTQTLILSNFKQSKSLYRQRSNAGSGSSREGFEAKALDINTTFSAQLRLS GIGISLINSQLKELAYLTFRDVQLRYSDSPMYQTVSLAVKWIQVDNQLYGGIFPMILY PSVVPKRAQEIEAHPSLHAMVTRVKDDSYGVEYIKYATVLVQEMTVELDEDFIYAVLE FSKIPGASWSSTEEQDKLCDDNIDIPQPKQQQAGRDIYFEVLNIQPMQLDLSFVRTER VNVEDKTSSKNPVMFFFNVMTMALGNINDAPVRFNALMLENVRVSVPVLMQNISNHYS QEALYQIHKILGSADFLGNPVGLFNNISSGFADIFYEPYQGLIMSDKPEDFGLGLARG AGSFFKKSVYGFSDSFSKVTGSFAKGLAAATMDKQFQDRRRITRARNRPKHALFGVTA GANSFLTSVASGVGGLARKPLEGAEQEGALGFFKGIGKGVVGLATKPAIGVLDFASNV SEGVRNTTTVFDGSELERVRLPRHIPADGVVRPYSQREALGQSWLKQVDNGKYFNEAY IAHLELPTEDMVVMVTYGRILLIRSRRLQTEWDVPLKDVQTIAKERTGLSLTLRGGTN GPFIPIGQESGRTFIYRMVGVAVEEYNRRFKGLE MYCTH_2315429 MSSPAKNESNSPAPAEEKPAETKPAETKQAEEKPAEEKPAETKK AESTPAAAASPAAASPAEASSSAQAQTSAESGAQGGILPGQHWTQQPINDADSSYDSD IASSTNSLTSSILAYRTISGRTFHSDRTPAEYWGPNDEKQTECMDIQHHAFTLLLDGA LYRAPLEVDKIQKVLDVGTGTGIWAIDFADEHPNVEVIGTDISPIQPGWVPPNVFFEM EDATQAWTFAENSFDFVHMRYLYGSIVDWNQLFREAYRVLKPGGYIETFEADSAIYSD DGTIKEGSPMDQWGKVFEEGGRKFGRTFMPVSENVQRTGLEAAGFVNLVQEDFKVPLT AWPADKKLAEIGAYCHLSIEQDVEGLILYTFQHIMGWSSVEVHAYVAHLRRQMRDKTV HPIGKLRLIYAQKPL MYCTH_2064545 MGQNLSLSEPFADTSGAVLSPTDRANDIILAAIWGLSLYGIGMI WCAVGLLRRWAGPNNDRGVTMLSVLAAFLMSTGWPVILAYFAFSNQ MYCTH_2045079 GYVFHDSCWRLLQEASRPAPFSLQRLLDVCRSLPVLPHSHAPSW GHDYGGAAVVDKTYSFPWEEEGRYDARDFSGPDPVFSADPYQVPGVDRLIAEDPQEPP PAFTHIARPSRILLGRDCFASLPAELCAAIAMLLPTADALRARLASWAFFPLFYSQQF WASRFGASGDRSWLFETRDHSPKDWRWLYRHTHKDVHLNHGLRNRRRIWRLLEGVVNI MTLVWNNLPPALPLLWSLDSVLQATDQRIEATGLLWSAEQPGGADYFRYGCRRFRTQR VAIPDTLARLSVSTAAFPDDGYIVGMSLSTLAGDHIRLGYCSPSEHSVELSHLWGFRL AIGSRGLQGLQCITGPAGSGSPWLGYLDEVPQTDRLLVAGRILGLEAGFDGCKMVSLA VYVRSTPLRGALPHQSRFRDAAVWYPTIPPPNLCLNEDSFPQLDSYMVGYNPLFWCHF GGPGGKYLRHLTSISATSSDGLLRIHFSYNKEVPPEHRVLGRLSIVEEHDYVLGFSID GPGGERIEGIKM MYCTH_2041611 MSNMLASRKVPLVLAAGIGSGLLYMTYGANQQPRPRQQQGEGGG VGVSETLQAAAGQGGARARQYDPKDTRLHSADPAAQSKRNPDKTRGDDL MYCTH_2127519 MPGGAAPPAGTADVSRVEAPVTVKAYLMCVFAAFGGIFFGYDSG YISGVMGMDYFIRVMEGGGDGVVLAAWKKSLITSILSAGTFFGALMAGDLADWLGRRV TVILGCAVFIVGVALQTASAGLGLIVAGRLVAGFGVGFVSAIIILYMSEIAPRKVRGA VVSGYQFCICLGLLLASAVDYATQDRTDSGSYRIPIGLQMAWSLILAAGLFFLPESPR FFVKKGDLARAASTLARLRDQPVESAYIRDELAEIVANHEYEMTVVPHGSYFQSWAMC FRGSLWESSSYLRRTALGTSMQMMQQWTGINFVFYFGTTFFQQLGVIQNPFLISLITT LVNTCSTPISFYTMERLGRRTLLIWGALGMLVCEFIVAIIGTVRPDDDTCVKVMIAFI CIYIFFFATTWGPGAWVVIGEIFPLPMRAKGVALSTASNWLWNCIIAVITPYMVDTDR GNLGPKVFYIWGALCTCCFVYAYLLIPETKGLTLEQVDQMLAETTPRNSAKWVPHSTF AGGDPKPDEKEVGHVEKLDGYSSSA MYCTH_2305838 MATSALPGSYPDSIPPTPDETMMQQQQKQPSQLDQQRRQSSNLH KPSDPRGHKHTDSGVAIPESGPIRSSHHDDKSHWYGPNEAIGGGTYVRGHHTTLFTGP MKSPIGIELGTTTKPTAARETKNSAVSSKDENRTSTAAMIGTSAVEGGAGKTEPKALQ QQQQQQQQSLEPSRPLSDEPASHLGDADGAVYNTVTGHGSAADDHEEHHHLPPKSAPN SSSPGTAVVEGDVTNYPRGTGVYNTVTGHGSQDDDLRRRSRAIAPGFLPETAVRDDVL HLAEANSRDGGHVDAQAKRETAPQQRAFPLATGPSEGRNDGRASESPSRQGAAAAAAA AAAVADRNQEGVGALTNGKGERSQAVAAGGAAKGNERRSSQPTSPIEKASSHEEGWHK GNNRNRKHGIFGIFHRRKSDAKEVTRRKSAGDQDEPANQDATVVGNPPSRPRKPSRGE STTERRRSRSSAKTEDETSAGGAAAAAAGTAAAGAGAFGLFHRAKRKSTGEETQEKTQ DAANSNRAPMSAGAGGAGPAGGTMHQTEEVPTPFEHPREPPVRPEGVGHGLAAAGAEN QSGATQGTQQKKAGATTTNEPGGNYYNTLASGTPSGLKKQARGTTSRHEEYDGDGGDG GDEDDNRLEYNVLSSGTPSGVKVKPKSRRRSAHADTATATTGTDGGGLPAADNDTNTS CTAAVVGRVGYGSAAAAGAPTIPSTRTQQQQNQHELLPPIPPSSHGPERDGERAAGAR HAPAPGISNASQESLPGVTTYGPRAAEHDMSPEVMPAAYYVASSSSSRSALAPAGATR DRGGRVMHVCRHCGLENDITEYLQRAGRAGDGPVSH MYCTH_52839 MYFNRLAHTAYLSRLGSRNSLRMASTILGASGREYIQGEVLQRD PKDNKARIFKAGCGNESFVFKHVSQPFYDLSQHLALEFAGSSRLRVHVDANQDERILV YPYFRNTLLALIKEDPDFPPAERVKVLRVVAEAIQELHSKDWIHNDVKPDNILVDWAC DEDGNKTVTKAALGDFDIALKLEGAKPLYGPHAVGNAMWRSPEAQTGRGVTKASDVFS FGLVCIYALGGGDFLLPHNYEELVERGISPEQEILTRHFAYFGPVTEGLLKQIDSEVW SRALKAASRTGQLAVEDDPLLRFERWGEGLGPAAVDMISGMTRLDPAARMTMEQVLEH PWWTEE MYCTH_2305841 MDGCLPRPDDPNDPKWRWPWWKFRLPPDALFTTLHDRFNTRSCP IQEPYAFLLDVRECADQSAGVDEFYTKLAERRDQRRSELERAWDETKRRMEEFLRDEP ICGFRDCKSRDEDWFDEHSQNDTRADRWINFCRSCSHMSFDRLVSFFDGFVRDKRKDV EERVREFYTCLGSHRRRKLAPDYSNPLVPPRSTRTDTMKKDPAEASDSAENPPHLCPP LSASSSTPPKQTPIQNERAEDGQTQASAIPRSSGKRSRSGLGAEENKEEADAESGHVP PPKRRRRSPPPPRRRPLRHRRLINAHAYTFADASIIAVTYTNTDILSRVQRSSCSSSA HHLGGGESSAEHPPHLASRDWGE MYCTH_69999 MPTETTKVTPFFANYRYKVDLRQGLEVIVPRAVVKAEQIYTLYK KLKKELEFVRTRIKSYYNKHRLEKPCL MYCTH_2127524 MVQNGGNFDLDTDMATDELENREKYSQKLVNEAYAKIKALEGAK VKRIKIELPGKYGGTKEDLVGFLTNLRSYFRLNDDKFLDEKAKVLYVATRLEGKALRW FELTWNDYLTKEDEDDRDAFM MYCTH_2064311 GLPIVIVVNRGSKFKGEVKAILEELGVKCIIISPYNSRANGISK ARYIPITATLVKITIGTRKN MYCTH_82995 MSRVAKRSTKRSVKTKERIALAAAINELGFEVMPCSHCFSRGLC CRMIESSSRCGECVRRGRSCDGSGVPVLSLSCIVDESKRLDRLEQDAEEALRADRDLL AKAQCRLDESLARLDRIRRQKRSLLSRGSEMVCRGLTSLDELEEVEQQESGAVLGVQL NGGVDVVDWGAVFDSVPVLPLVDPGSAGGTNFFDVPFFLFVLCFDVHGNLGVFRCWFK KRNMKNIRLWAHR MYCTH_95399 MVKANDKKKRAYDVIEVAATSYEDKGSDTDSLGHDGNGEDEQAP YSELVTVDPETGLAEWDTAGEYVPPISILPALSQWGFTVTQRRDGSWTTDTQGIERPG PNALFLQERIECYAEHLDDQQLSNDVRNPEYQFMRANHGKDEPLEYLRSTYSGKDSGK NSNTSQATPRGCTLDTRHMRKCPGSSVWHTNADTTSATNSKTITGRPDKKMRTEAYAL WNGSTMQETERPNCSRRSKPAI MYCTH_2065250 YLNDILIFSKTIDEHQKYVKAVLDVLYVYKLLVNKEKSKFYVRK TVFLGYKISLG MYCTH_69995 MPCSWYMSQGLVCKMIVYTKRYKAYVRRDRSYDSSSILLSSYKL LWRLRQQKEFLIEKGTNMVAYSLSTLDELEEVERQETPAMPSLQINDAVDAID MYCTH_95398 MNRSQLLRRPAVRLVIKRGSTITKAFLSRHGPSSYYGLAIGLYS GCLFGSKWIVLLGPLDKLPPVNLIIIIWSAYKVISALKLDIANSKDLFIFTITFKPKF NTSIYLLLPYPELINEGYIT MYCTH_2305843 MRKDWARRMSELLAPSGVLVCLEFPLYKDLSLPGPPWGLREGIY WNVLAAGGDGMIQDEAAARNATHENSGRGAFKRLAYIKPERTYEVGKGTDMLSIWGLK ERACCTSSPHFDA MYCTH_2305846 MAQLLTPRQAEELHRALIAYLTSNNLTSTAAALRAELGLGEDVF DAATAKKYEGLLEKKWTSVVRLQKKIMDLEARNAALQSELDNSTPTSRQNKDPVNWLP KSPARHTLQSHRSPITCVAFHPVFSSLASGSEDQTIKIWDWELGELERTIKGHTKAVL DVDFGGPRGNTLLASCSSDLTIKLWDPSDDYKNIRTLPGHDHSVSAVRFIPSGVAGGA GNLLVSASRDKTLRIWDVSTGYCVKTLRGHAEWVRDVCPSIDGRFILSTSDDYTSRLW DVSIANPEPKTTLIGHEHVVLCCAIAPAASYPHLAAIAGVKKPPATSAVEFMATGSRD KTIRLWDARGTCIKILVGHDNWVRGLVFHPGGKYLLSVSDDYTLRCWDLTQEGRCVKT ISDAHGHFVQCIRWAPSVVKDVPVVNGGDGQGAETNGTPKKSSGAVEAQQIRCVIATG SVDLNVRIFAN MYCTH_2305849 MAEEEDYSSLPLTDRWVHKVWKVRKQAYEEAAQQFEKTPDEYDP AFHPFTQDPSLWKSAAADSNVAAQQEGLAALCAFLKFASSDAGLRARHHAVTPIAEKC LTSTRAATKASALEALLLFIEIDVPGPVIEELLPVLSSKQPKVVAAALSALTQIYHNY GCKIADPKPVLKILPKVFGHADKNVRAEATNLAVEFYRWLRDAMKPMFWNDLKPTQQS DLEAQFEKVKAEGAPKQERLLRSQQAEKERAPAGDGGGDYEEDGGEAEEPVEVDAFDL AEPQDVLSKVPKDFFDNLGSSKWKERKEALDALYALVNVPRIKEGDFNEINRALAKCM KDANIAVVTQAAQCIELLAKGLRAGYAKYHSMVMQPIMERLKEKKQSVADALGAALDA VFLTTSLTECLEDITTFLVHKNPQVKEGTMKFLVRCLRTTKEVPSKQEIAAMVESAKK LLAESSEGLRSGGAEILGTIMKIIGERAMNPHLEGLDDIRKTKVKEFYETAEVKAKDK PKPPPPAARPPPGGPKKATGGVGVKKGPAGVKKPAPAPAAAPPADPTPAPAPRPGPGS KLGMPKPAGLGGLKAPQKRTLSGPAPAPASPKRPAPAQSPPPAEDEEEPPMSPPPAKP APRIGLGRGGLAGRSLAKPAAPAAPAAPPSPTPASGLTAIERAELEELRDANERLLKA LEDARHDRSKLLSEIQELKNQNASLIEDHTRDVLSIKAKETQLVRARSDAEAAEQTNE RLRRELERLKRALSKAEALNAAAGSGSPGSPGSLGFRAMSPTHDDFYRDASNASRNRM SMASTLSEEKENGDGMPHPCTKLSPELRYGGRNASSGRGSPARGFRREVSVEEKEPRS VDMGYGGYNDRAGSRASSRTGGRDTPSSMNTSATSGMESWKRAAEVTSQLKARIEQMR ARQASRPQ MYCTH_102985 MAAGPRPAPPIDASTSWLLLEEETTEARLLVLVSKVLGISTDKV LPHESFRDLGGNEQLAVALRKACMAAGMDVKVKDILRCSTLAELQTCITPCAPQNRPS ATDAVEPVMIAPLEIHRASRMSIMPEPKDGQLPKPGRANRTDIERALGTEAEVGRIVT IRPKAGPLDGKLVALLTLSSAQTTPRNPAAINLIPQSHALFAGTQVAHLKRAAETLLA PEAIPDVWIVLDGMPLTEAGDIDLRRLRTWAQNMNENVYHQALSLEHQECLQSPETEM EKSIQRLVSKVLDIPQGQIGVNFSFTQLGGDEMTAMELAARCKHESIYINTSEALGSM TLAELAAIAASRGGLAHKWDEETTGCFDLSPMQHLYFDTAMGGDLKRRPVLDGSYRFN QSLLLRLKKLFSFSDISAAVEAVVAHHPMLRSRFGRGLNGWVQRILPEVAGSYTLSHS AVQSERELENIIERTQMSINVETGPVFAVDYLTTNDGQQLVYLVAHHLAVDLPSWRTI IHDLDELLEHGSLLSQRSMPFNKWVDLQKAEALGPDPGSLLPFALQPGDYAYWGLQDT PNTYGDAAEIGFSLSNELTTILQSSCNQVFKTDSVDIYLAALVLSFAQTFHDRPVPVV WNQEHGRDPMNPDMDISETVGWFTSLCPVSIKAEPADDFINVLRHLKDTRRSIPARGA QYFASRFYHYDREDLVAKDWPFEIIFSYAGTLQHLERDNGVLEQLTIPGSTLASPTSD IGPNVGRIALFEISAMVDQGSAKVKFLYNRFSKDQARIKQWVQNYEHVLLEAIGRLRY HPQELTQADVPHLDVTYEGLDKFNKDRVATLQLASVRDVETIYPVTAVQQSILISQAQ RPDTCYLHAVYEFASPNGDPIDISRICTAWTQVTMRHAALRTVFTESVTETGLWDMVI LRRTSPEMLFIDTAPAEDPVYELSNLPNLRPTPSKPLHRLTVCKAPTRTLVKLDISTA LCDSLSIHLLLHDLRRAYATERAIIEPDQFSYPHYLYFLKMVRQESSLAFWREKLKGV PPCLFPRLTVLPGELGFVNTGVELDITSYQLSGFARTHKSTVDAILRLAWALVLRCFT GSNLVCFGFQTLGRDDSILGMRHAVGSFSNTVACNYELATYSTIAAALHMVEEHLVTC LPHQHFTLAELQHAMGMKGGERLFNSCLTFTEEPAGLNSKFTTRTSFELKPISLQQTF DVDVVVNTRFTAGKLIVDIGQRVMSPEQSVNVANTFGKAIRAILSSPNTAIGLVDLFS DRDYAQILAWEAESPPQFKEPVQSVVHDLISRQAKMQPSSQAICSWDGSFTYLELEEE ATRLAHHLVDVGVGPHSVVPVVMDKCKLAPVAMIAVLKSGAAFVPVDSLELGMIQPIF ERLNSRVAISSERAAPVLGNLFDRVVILIDELMNVLPRGQGPLTSMATPGDPACIFFV PASSTEAKGITFSHAALSTALEGQGHAARISPLSRVMQLSSFNVDICITEIFTTLSHG GCVCIPSAVERLQDFSAAVNRMQVNWSYMTPLLSRKVDPMLLPSLQVVCFRTRGLDED TYNIWHGKVNVILAYGPQEVCPLGIAFVEALGPHHFKSIGWPFAGNLLIVNPEDHKKR VPVGAVGELVVEGPTIGVPYPNRESTMTPLSPLGPAAEGKARYFKTGHRVRYTERGLM EFISGSRDDDGKDGRVVNLTEIEQYLRRCLGQGIDVVVENVIFRGKAKNDTVLTAFIE LGDRLGNEETLSSLSPTTKEQLALAKQLVELGLKNRFPQSMIPSAFVPVKHLPITPSL KVNRRRLLKMIAGLTKEDLVGLAKVPNTANFQHLKPLPLTQSEEKMRAIWARVLGVEE AKISTFDSFFTLGGDDIVAAQLVAVCRQQGISVSIADILRNVTLAELSQAVTTVDSPH APQEQGTSPAPPATTPSPIPLPPNAIKEVFIEKVIAPGVGVDASVIADAAEASSAQIR YIETGMLGGRTNINYLVFNFTGTVDSKKLEDACKTLVSIHPILRTAFVPHNRRVYQAV IRSNSIEFTRQHCQTRRLANMLDKEIKKDQSTPIRFENPVTKFIFLDGGKQSILILRL SKAQYDDLSVALLVKDLKRLYDGSQKPPRRPTYCDFVRTTQAANSLGAEEYWRALLEG SAVTQVVAHPQPYPMSTNVQTISNPAISLGSLSSLGISFETVLKGAWAMVLANLSASS DVVFGELVDGRHIRLPDGHSVAGVMGPTVNATPVRVQFPDTPLTPLNLLQYIHAQRVS GIPFENLGTLTIVEKCTPWPYWTRFSTLVQHQYQDTAINPSEPKSFHLGSASCKFTIH ESNAQDVPDMFVRSLVRPPDRVEISITFCADRIPEKFAEHALRMLCSTVSLLTSVSIM QPVIPCGYQYRNMIKRIPLPPKAAHAEDVELAESVMNSLSNEQVEAVQTIIQDTWTSI LNPRALGVPESQIHNAAFFDLWGSLIPAAQITEQLNTELPKLQHPGADASLQVTMEEI VENPTMLKQFELIATKLKALSAKESQKGKETERGAWTAKPPSHQSHKRKPSGSISIPT PSFSSRIRRFASTVARTASPPTNNSNHNTAAVIRHPAQSQPPPTPTSPVAIGLASAMV ADLSPLSPKIIGSVSPNNSSGTGTPRTTPSTSNSSPGLQVPSVAPQLPSLPAFEPIAE ETESLDGMHGDISSAAPPAAASQPVFRQSNPPTQHGIGIVFGEPDSMTEGSTASSANA STDATLATTLVGNGGGVANAGGTMAGAPPVRSEIKNGEMEWDGQKDGDRDTLGPLPGA INAAYLAKAPGNMRAALPNTPLMRGAAGGGSIGTPSSVGEEGLEDLVSPLSAVTLTPA SAGGAGRYHAQQQQQQ MYCTH_2065457 MCSFILQRSICKDCRTPLEVDRVDHLSCGKPPKRCPGTKKRGVS VRHVDAAECSKCVLEVMEAEELARQEEEEEEEEEEEEEEEGDLLGSGSPPLRPMKLGD WMIDISELAQDV MYCTH_51627 MKSFISAATLLVGILTPSVAAAPPSTPEQRDLLVPITEREEAAV KARQQSCNTPSNRACWTDGYDINTDYEVDSPDTGVVRPYTLTLTEVDNWTGPDGVVKE KVMLVNRPTIFADWGDTIQVTVINNLETNGTSIHWHGLHQKGTNLHDGANGITECPIP PKGGRKVYRFKAQQYGTSWYHSHFSAQYGNGVVGAIQINGPASLPYDTDLGVFPISDY YYSSADELVELTKNSGAPFSDNVLFNGTAKHPETGEGEYANVTLTPGRRHRLRLINTS VENHFQVSLVNHTMTIIAADMVPVNAMTVDSLFLGVGQRYDVVIEASRTPGNYWFNVT FGGGLLCGGSRNPYPAAIFHYAGAPGGPPTDEGKAPVDHNCLDLPNLKPVVARDVPLS GFAKRPDNTLDVTLDTTGTPLFVWKVNGSAINIDWGRPVVDYVLTQNTSFPPGYNIVE VNGADQWSYWLIENDPGAPFTLPHPMHLHGHDFYVLGRSPDESPASNERHVFDPARDA GLLSGANPVRRDVTMLPAFGWVVLAFRADNPGAWLFHCHIAWHVSGGLGVVYLERADD LRGAVSDADADDLDRLCADWRHYWPTNPYPKSDSGLKHRWVEEGEWLVKA MYCTH_95391 RCRLPNASALSSVAVPALDNSLIEYTGAVSHRRAPSHQRRALER LDMAKLDTKRGPGVPSQTCFPGHVAVVSTTLMLLLPRSHWSDYSKPRGRYDLALGAHG TSPIGTANPSFLGYATQPQPSRSRPGVVVPEAGAELCPTQVCRTVRDYRRTRPNPTIP GCLDTGSLVHLLRVLHEPTSTTEKVPLSERMVPYRDIFPGKQYHGNVPTLSWCLPDSV GTCFSVPAKEGLADYGNIGRPRSVVALLTEGGQRATISSIATSWVGSVTNKPSTIAHT SPAAASKTIDSGYGS MYCTH_2134461 MSSNEKKSAEQQYFPPPPPGPPPVQTTQTSPPKHPDETPLPDYP VPEFAPPPAAAEEDIYNASPVDEHPPKWGHGHGHHGEEGEGEAKKKSNRLSAFGHAIT SKVAGPVNALANKFGSEGFMPESLDKECEKAARILRSFCKEGIYTDDAVPPAATTPAE TAGTQQTSSKPPASPSSKAKKSHALLTIPSKVIARAQGLAIFTAVRLGFQATGSSGSG ILIARLDDGSWSPPSGIQITSIGAGFVAGVDIYDCVLVINTREALDMFIKIRVSLGSD LAVTAGPFGAGGALDWGLPAGGDRQRGKEAKGSANPSSGAATLAPSPLSADNTQFQTP PVTEDLDEDDYDDGGKGKHPARRPSPFRDALKKPVYSYIKSRGFYAGVQIDGTIIAPR DRANAEVYGKGVSVGRILRGEVPARSLANLAQQQHGGALSTWPGGAKVLYDVLRGAEQ GWKGHGQQQQQQQQQQQEAAGQQAPPYSAHSGTVSPGYGGPSPAFGAPPGTGGAPTSA WVPPPPPPGPPPAAASGSTAAQGVYGVTAGMKDLGIGSSSGAAPPPAVGTSSAAAAKA AEAAAEAARAQQEEEAYPPPPSYSDPPAEDDLPPAYEEDERYKHFAGDSKTGLH MYCTH_2305857 MMGLGVAKHRNRCFSMRNTFTRAVVLTIAMLAVGGVVLRSDVDV QSAIAKTAASAREAMSLQKPLGHPESAGWGGPVDHPPKQEEQDEKNVTAEDSKPTPSS SSRVMTCDYDMDRLRRWQQQFGLQETFEYTKRYIQASRQDIPRRSITRLQQDFLVDAV KVVDVNKEYEAETCPEPLLAPVTKSPYPATANGSDFMFGVSTTYQRFTDPRTSPINEW SYWLTDGKGNSNGGKLVLLLVDAQPEELEDAYNKLSSVGIDVDVYRSSSSLIMAVRYL ALAPTLYNHPERPRRKWLVVCDDDTFFPSFHALADRFAQYDHERPMYIGTFSEDVNNI ERHGPQAFGGAGVFLSRPMAKIIAENFENCSSEQKIQESNTGWGPQGDIMLRNCIYQN SEVKLTLLNDLWQLDIMGDPSGFYESGIKPLSLHHYRGGIWHLARPFQYTKVAHACGE DCTFQRFQTADGFIISNGYSVAYYPRGIDFDLNQFERTFRAAPEDKGWNLDFRMGPQR PSLHNTGRKIAWELLEATVNPDGSVSQVYVGKHNDSRWTNPDGTPMNNRDGIIEIVWL PEA MYCTH_2305858 MDEDYIPTGPGPMPKVPKLLKGSKKRPKKRHPPPVATDAAPGLF TLLDPAFANSSYHISPGSETGPAQPPPPPPPPAPDHTPEADPRIWDLGIDPPPLYYSI HRAPARPGNVYCEACFRDEVARWVRVRDGVVRILHLLGGGAALRELRRLSSEAEQAEQ HATEAYLEKRSASRARLRSPPPAASGCLHRHGPGSEGQGGEHGGDGNACAAAGGSVTA KKMIIMAHSGRSWEAPHFSEYMSEVVGIVLLRILAERPTAGLAETQKGEVHKLLEGLR QEVGIEPRFFDAMTTACRGMLVQWRMAVTIPPDESHPSGVDAESRWWWEFDNALQDAS DKVQLSTHMPSLFASTLATKARDAAIATLTGREAATAQNQITVLENDLQPRPSLAQME RILGMSTPRSLFLRSISDKLAAAKRRLEQQQRSAPSQAAAAAPDTGSGSGLPSDDALL PPAKRRKLSNGHAPPRDPEEPAPLACIYCSESDASRRYTARRASSYYDGDAAGQARPW TKTLRWAFPGLSPGAAARVRRAEDWFRLVASSRPVEVVDIFGWGHHRGGEGSGPRWEE QGLLQHHEVENKKGEEDGEEEEGVIEFMMDVTGARTRVVLVRMKGVFEILLGGRDGSS GGLAGGGGGGRGSRVRFAPPPVLVCDTGVVVEACRTVGRWEDWRAGELKGFRL MYCTH_2305861 MSHSSEETPLLSPELSSPERPPPSTSSRVRPKVVNRMTSSAPQP ERPHVEAHMGQYDSIMRDVIIGFSDGLTVPFALTAGLSSLGDSRIVIMGGLAELCSGM ISMGLGAYLAADTERQHWEAELERESAEVDACPAVERTEIYDILARYGVGREAAAPLV AELTASKDRWVRFMMDFELRLPEPDVGRAWASAATMGLSYFVGGLIPMLPYFFLSRTD RALLVSVAITVVILLIFGFLKNWLAIRTRKAGLWGSVQTLIVGALAAGTSYAIVRALD HGNV MYCTH_2305865 MTASNILSIPFRKSTQISLAPSIRQYISKKYDQHPDMFRHDLET IDALRRDAVNSRDAHPSGIKRLQTYAGQLVWMSGKFPIDIGVDFTWYPALGYHTEHPL VQNNLKYELMNILFNLAALYSQLAVASNRSNTEGLKTAASYFSQAAGVLNHMKTEILP ELRMPNPPDDMDEATLESLIQLFLAQSQECFWQKAVMDGYKDASIAKLAARVSDLYNL AGDAAMRSEAISSAWIHHMSAKHHHFAAAAQYRAACDCLEKKRYGEEVARLADAIACV NEGLRECKGGYINKAVVEDLQGLKKRLENDLKRAEKDNDVIYLQVVPPKPELKILERA NMAVARVPPQVAKPYEYLGDHAEFGPALFTKLVPFSVHVAVSIYDERRDRLVNNNIVA ELESMTDKLHEILSSLNLPGSLQALEKPLGLPGTLVQHADEIRQADAINRLQRGLTDI EKLCASDKMIFEEGKALLAAEEEEDKKLRLKHGTQRWTRPESRLDPSRDGGARLWNQA AEIDGYFASSTSSDAVVREKFAAVKDTLAILAGPDRGIMDYIPNSRKTEIPEALKPAI GRLRGVYNDILRLESRRRKRVESLRARSRADDIKTDILEEAARLERTYPNTPIVPAHF EDFFDKRLDRLYEPELEVVEKERADQEKLVADLQRANREFEAQKRSIGEKGNHEREKA LQRLDSAYYKYKEIVSNVEVGRKFYNDLSRIVEAFRNQARHWVNERRKEARALEDEIS MPPLASLSLGPPQSPQANSYQSPNPASYYTQTQPPEPRQNSAHAHQSPPPVEAQIQSW ADNVQQQQPRPKPPVAPVAAMQGAWTPEMGIRFSGPPAAGVNSAPAAGRQGSGNPPVR GTWDPNSGIRFG MYCTH_2305868 MAEAYKQHFYGHVERETRRYLGLLLLDPARFLDYTREYCGRVMS RLAWDDATQGRANGESADTTLHCMSVSGPITNTATPLWHLPAFANPWYRFEIRREREQ RAWWLSNFRLAKDRMRRGDLPGDTWAYRYFEQVGREGNGDLDQTEDQEVFASCMIGFL NLVGVITISGPLKFFLMAMALHPDCQIKAQAEIDRVCGDRMPTMQDFADLPTVRACMK ETVRWRSSVPLGVPHQAERDDEYRGVKIKKGTVVLACEWALNRVPSRYPDGDSFRPER WLEPGWPTYQEPLTRYPNFREGQAMHTFGWGRRTCLGQHLVDDETFVFAAAVLWAFDL RPKACPRTGEPVPIDSQATNSHVILEPRPYQLSIRVRSAERGKRVLEGYREVMGELRL MYCTH_2305870 MQLPLETEALVVHAPGADFAMTPIVIQDLRPDELLVEIKYSGIC HTDIWLQSGAAGPLVEFPAIPGHEGAGIIRAIGSEVKDKTLRVGDRVLLSFTACGECT PCREEQFSRCADFARLNLTGLRRPDGTTPAALRDDGRPVRSQFFGQSSFSRFSAVHEH CVVRYPFPKEEEEEEEEEDTLAIYAPMGCGYQTGAGTILNIMRPRPDQTVAVFGAGSV GFAAIMAAAAVSVKQVIAVDLVERKLELAKEMGATDAINTAALQKGTVVDEIRRLTGG RGVDFAIDTTGVPSVVEKMLDCLAYGGTAASIGATPMEARISVDVGAFFANKKTWIGV AEGDSHPPKV MYCTH_102977 MLSSGFWKRRSLRVPDNQRTKAAELTLRESLYPLSLVTILFFLW GFSYGLLDTLNKHFQNTLGITKTRSSGLQAAYFGAYPLASLGHAAWILRHYGYRAVFI WGLFLYGLGALLAIPSIMHHSFAGFCVCIFIIGNGLGSLETAANPYITVCGPPKFSEI RINVAQAFNGIGTVVAPVLGSYVFFTFDDQTALRNVQWVYLAIACFVFLLAGVFFLSV IPEITDADMAFQAAETHAGADDRPFHTQYRLFHAAFAQFCYTGAQVAIAGYFINYATE TRPNTDSSLGSKFLAGSQAGFAVGRFGGAAMMQFIKPRKVFALFMTMCIVFSAPAITQ RGNAGLSMLYLVMFFESICFPTIIALGMRGLGRHTKRGSGWIVAGVLGGACVPPLMGA AADARGTGFSMLVPLCFFVAAWTYALAVNFAPPYRSVVDAFSTTDVGLREKQREDVGA EKGGEAGGKGGVTGPEDASEDKPDVVNSEKV MYCTH_82981 MFASRRLPGVVARLGMNQPARLMSTRQGQARVPSSIISTISTAR QATGRNGLLLNGGRSTFASTIIRGAMQSRGVVVESTAAALVTAAKVGGAGAATIGLAG AGVGIGNVFAALINGVARNPALRGQLFSYAVLGFALSEATGLFAIMIAFLLLYAY MYCTH_69975 MPALAALGVTKLWIPPACKAAAGPEGNGYDAYDLWDLGEFEQKG SRRTKWGTKEELVRMAEAARREGVAVLFDAVLNHKMGADRRERAVATRVEDRDRRVEV EAEVEGEEKREIGAWTGFEFPGRKGKYSGMRWSKEHFTGVDYDDLKGEKGVWKFEGKE WAEDVDEELGNYDFLLAADIDHRHPEVRADLFRWIEWLPSQVQLGGLRLDAIKHYSFS FLRDFVAHVRQRVGQGWFIVGEYWREDSEYLAKFIEFMDHQISLFDVQLVSNFSKVSV LEEKGDLREILDDTLALWKPENAVTPIAPFFIPFAYAIILLRANCGLPCVFFADLFGS VRQDAQSDFASFVPPTSGGAILPKMMLARRFWAYGSQYDYFDDPHCVGFTRVGHSSQC GGHGLAVVMTNAWEYASKRMFVGKQHAGEIWTDLLKWCPGRIIIDEDGWGVFPVGHRS VAVWVNTRAVGREVADTFALH MYCTH_2305878 MFVLAAPRTLVGQRIARARVAPLARLPLLHRQFRAKAIYSAFPA TLHYYSPRRVSSLFDIKEKDSRPDDLPDEGVNVAPDGLVYPGTQDRFTSAQSVSNGAE FRPNTFHMQELTRMFYDQYYLELRDEGKEVEEPQIYTVAKGTQVPGHLMLIHEFEDLF SLQPLRGMPLNDLNRALDEFYEKHAVKESAHDWFENHPLAKAMDGAKEEVWMAK MYCTH_2305882 MRSFSIAAAAATLAGFAVAADNSTSSGNSVELFIDDALGGQGLY AASILNACKDHTVYAIQCTSARNDIVDDTICGDSAPTLTLTEGPSLYSVAYSTATSTL GHKAEVSVGESCNLNIESREAFCVATVSVSLDGTSTVTSTSTSVTGSDFHRYQVPITA GAQKTASATGACTAGGDGDDANAAAGTGANVVRAIGAALAVGLAGVIAL MYCTH_2305886 MASYRIAAPDEYLAITGMGIKNVKITKAAWVWPFQRCVRFSVQP HDYAMNLQAMTKEKLQFLLPVVFTIGPDVNQRGANTRRFGSSVGAGGEEEPGDDYRSE DQGDALMKYAMLLADSGNTGKDANGHQFVENIIKGIIEGETRVLVSSMTMEEIFTERE VFKRRIFRNIQTELDQFGCKIYNANVKELKDAPNSVYFESLSRKAHEGATNQARIDVA EAQLRGNVGEAKRKGEQEREIAKIHAETAVQKTERDIERASAEAVLATRKTELNRDVE IARIAATRKTEAQDEELKREVQIKRAAAEMERLRATEVVKATIERESQQQAADAKAYQ IEAEARANYEKQKQDAEAAAYKTKQEAEAWSAAAIQKAEADLQRRLKEAEGLAAMADA YARLGQALGGPAGLLQYMMIEKGTYVELAKANAEAIRGLQPKISVWNTGAEPAAADAA HHPPTTSSIATMRNIYQMLPPLMTTINEQTGITLPEWQFGRLAGQMSQLERSENQPNG KK MYCTH_2305890 MGLPTEDLPPPTYTEATTTSLSSSSPSLGRYYGTSSPLSPDATG TTAAATRTITTSGAGGRLVSSPLTHHLRTLPARLRASHLARQTARAALELDLAAVLAA RVEGFLARDDVVLRLGSSSSFSSSSVAELTLVPASAVPRGAGLSGAVERRREGEIVRA ARVDVVRRLRVLRGFADEKGGDDDDDDDDDEDEDDDGGMLDGANRHRRRRRRRRRFSG APDPGGNGGDKKGGGGGGGGGSLRQEIVTEFGDWGRFDSSEGVEGGGGGGESWWFGDE DMARRLAAYLRPEPDLERKRVQASVAENRTAAPSKEEKPSGWARWGLGVGGGRKKAAE RASSGPLPSPVSPVNPASGPGPAAAAVGEDDAITMAVRAEEVTFRWENDFGLWESKTG WGIVVTLTMQP MYCTH_2305891 MASPGANNSGSGPGPAPAPDAHLSPDAMPSPRDAVAHQDAYYSS SFWSHQNVSFPSPSATNGFGAAQRQHSLTDATLPPQAEYNEQHQHLQAGAQYPASASS TTGPPYVCGIEGCTRSYPRHGEL MYCTH_102971 MHLLPLTVSATAVVSAASSPHAKRAAIDECLKNAKVPVTARNST EWKTDASPFNDRLPYTPAAIAKPATVEHIQAAVLCAAEVGVKANPKSGGHSYASFGLG GEDGHLVVELDRMYNVTLDPETHIATVQPGARLGHIATVLYEEGKRAFSHGTCPGVGV GGHSLHGGFGFSSHSHGLAVDWITSADVVLANGSLVTASETENPDLFWALRGAGSNFG IVASFRFKTFAAPPNVTSYEINLPWTNSSNVVKGWGALQEWLLNGGMPEEMNMRVLGN AFQTQLQGLYHGNASALKTAIQPLLALLDANLSSVQEHDWMEGFRHYAYSGEIDITDP GYDQSETFYSKSLVTSALPPDVLERVAEYWIETANKVRRSWYIIIDMYGGPNSAVTRV PPGAGSYAFRDPERHLFLYELYDRSFGPYPDDGFAFLDGWVHAFTGGLDSSDWGMYIN YADPGLDRAEAQEVYYRQNLDRLRRIKQQLDPTELFYYPQAVEPAEV MYCTH_2127554 MNPNPLSDREKALENKYIKEKEKEMAKKRAEKKQQSAKDADHGS MGQQQQREEPK MYCTH_52332 MRSSAIWSTAFAALAHTAAAVTGAAEGFAKGVTGGGNATPVYPK TTAELVSYLGDSEPRVIMLDRTFDFTGTEGTATETGCAPWGTGSGCQLALNKNDWCTR EQPNAPKVSITYDKAGILGITVKSNKSLIGVGNKGVIKGKGIRMVSGTSNVIIQNIHI TNLNPQYVWGGDAITLDNTEMIWIDHVTTSLIGRQHVVLGNNPSGRVTISNSKFDGQT SWSATCNTYHYWGLYFTGSNDMITFKNNLITHMSGRSPKVAGNTLLHAVNNYWYDSKG HNFELDAGAMVLVEGSVFQNVEAPLQSGGAGRLFASSGTASACAPYLGRVCQANGFGS SGSLSGSDTSFLQYFQNKNIAGATDYNTAKNVLDTAGFGTI MYCTH_2315436 MERALRVTRPAGLLAARCSPVLSSTRTSLRLWQQQQQHCSGPTT TPQHTGFIPSFQHGRLSTVRSNHTDAQNSGQENTQAATAAEGQKGGDVKVPEGAQTGD VQAGDRPGGDRSNKTSAKGKATTTKKKKKQPKKPERFTRMKNDAGVVEFNSQKLKFRW WDTPSNPDLVLSPLWLRDSCPCHLCVDPDSGQKNFSTTELRDRPVIESAQVTADRTLE IVWANDPPSGGASHKSVFPAEEVKEWLMDDKWQRGRVVPRDPERILWDKAQYEALLAE GRCRVSYKDWLSDEPAFWDALLDLRQTGLIIITDVPSDEAAVERIANRVGPIQETFYG RTWDVRAKPQAENVAYTDKFLGLHQDLLYYDPVPGLQMLHCLSNSCEGGESLFSHGVR AAYELRLKDPAAYKRLAELSVWFGYRKGDNHYFATRQTIKQGAKGIPSEVRWAPPFQA TFKLASGPSRGRNLYQWKRAANRFQAILEDDHNVVKFKLKEGECVIFDNRTVLHGRRQ FKTGSAGSRWLKGTYVSYQSYLAAATRLAEHLEAGGIQLPLARATWVEQEKVKQSLQE RKRADFEQVFSEKKKKSEPVPEPSPIPAAKSAGAAETTNSEPTQPKQ MYCTH_2305903 MTSSRLPAFALFPIAAWRDQLPADEWVSCLNAWVALLGSHLSLS DPEFSTISINDDSLQAFLTSFAREVALGGAATLGSSSAAKRLVKDCFSVVARLLRSPS PPPGLVQWEFLSDLSRVYGKKKVERLLDSVSANSKGHLDSSLSSLKRFLIKNMDAGLN GGDLKGIEERLERVNDLIRVSTSVAEFFVAGSDFVDGLISCYKITNPPLRRALIATTY LCLTGLAEGQKMSALTDQLYSLKAAADTHRAGPLNANDSLVAELVTSTPLLQRLQRKL EESATPFNRTKSVLAELSAFKKPGGGLTKPKRLIKRKIDKGKGIAEYDEAQAQQDLNV HRMSQISQIQDLFPDLGTAFISKLLDEYASSTEEVISHLLDDSLPPHLQSANRSEDLA PQQPSPLKPRRDSFVPRPTPPLSPSNPPIPNNNNDDDDDNDDDDLALLAGRAGGKLHI GKRPGDADALLAQRPTAGSKSAILSALAAFDSDDDERDDTYDAADVGGTVDASASAPP PDGYGYGYDKDKDNDNDNDNDGASALPADAEATLFRAWMANPGAFARDTGARKGSERA RLRAETGMRDEAIEGWAVMLGRDAGMKRRLTARYGEWTGEQAELPSTAWRAGDDREEG GSEGTSGGRGRGVVVVVGGGRGRGRGRGGRGGSRGGGAAASPGPDSDLARRRKEANKG SRANHNRRDQRARKMARAGFAG MYCTH_2065718 MTVCRYYQQGYCRYGNACKLEHPRKGGQQNYNRFGALSGQGNQG MGGRASDTPAYPGLTAEGIERDLRSELPQWILSCYGPGRDAPEQLWGGYPREQSFEEI RLHFMLGAMAGNPQGALSDIQNLYQNAQQQIQHTLNNIPAAIQFIIDAAKTHPNRIDV CKATSGGAAPDGAFGSQRNAFQQSAPSANPFGATSGPTTGGGVFGQPATLGQKPNPFG APAAAPAFGQPTQPAAPAFGQPATLGGSSPFGRPAQTTSAFGQTSVLGAKPNPFGAPS LGQPAQPAAPAFGQSGFGQPGILGQRPNPFGTPAGGGGAFSTAAQPAPQSNPFGQPAQ QPQQAQNAPNPFGQPANNQPANNPFGQPSAASPFGQPATATPSPFGQPPQQQQQQQQQ PAINPFGTSATNAAPANPFGAPATSTALANPFGQPATTSPFSAAGGTTASSAPNPGAN PYGPNVTRQHPDISTYATHNPDKTLRMFKGKPVTYEEPKPGSKPVPMVRNFDGTMVRI WMPNGAPPYTTQTEAEPEKYQDPTVMQQWKAFVETGRFAGGVMPEVPPKREFCMWDF MYCTH_102965 MTFSAEHLQLWLGLVAISGFLYTTCLVIYRLFFHPLAKYPGPFL AKLTDAYMLYYAWRGDRHLEFWRMHEKYGKFVRFGPNSLSINSNTALKDIYGFRANVR KAEFYDAFVHPAPNTHNARDKDLHARKRRVLSHAFSDGAIKEVERYILANIRTFCEAI GDYGRVIHEKKGWSSPKNMSDWCNWLAMDILGDLCFGKAFHMLDRPDNRYAVDLVGVA AQRHLLCGTMPIINKLSLDKILFHRIAAGRARYMAYSRQQLAERTALGDETDRRDFFY HLLKARDPETGQGFTTPELWGESNLLIIAGSDTTSTAMAATLFYLVRHPDALAKVTAE IRSQFASLEDIHQGAQLNACVYLRACIDEAMRLSPSVGGLLPREVLKGGMTIDGEHIP AGIIVGTPHYAIHHNPAYYPDPFAYRPERWIVGSEKGDGVDVSDEAQVALAQSAFCPF SIGPRGCIGKGLAYVEMTLTLARVLFLYDLRKAVGVADPGEGKPGAGYGRDRVGEFQL VDTFTSLKDGPMVEFRRREL MYCTH_52514 MKPILGVGALLNLGATVKGLVSSRADWPNGPLVTSGRWIHDASG NNVTYAGTNWPGHTDVMIPEGLQYQSIETIVQKVKSLGMNAVRLTFAIQMIDEIYANN GKDITLERAFVQALGQTNGIKVLNQVLANNPQFNTSTTRLQVFDAVAAELNKQQIYIH LDNHISKGMWCCSSTDGNSWWGDTYFSTENWVRGLSYMAEHGKSWPALTSIGLRNEPR EPTSNPALAQSSYNWQSWYRYMRQGADAVHGANPDLLIFLSGLNFDTYLTPVVRGEPL APGTDRFDVADFAAGPAGKLVLELHNYETGATSCDALRANLDRNGFEALLSPDDAVAN VLPVVMTEFGFQMDDRTWRGVYASCLAQYLPERKAGWTIWVLAGSYYVRSGTQDYDEG WGLLNHDWSDWRSPGYVDGALKAMVRETLS MYCTH_2305912 MLCKSQSTTAPATLPALKQSSPKPKRTRLSLLLALWASSLAASV ARPASPRSVPANGFSKALCADRRAVTVFEHSNGLEWDLSENSINPEQAHSQTYHTAFG FEHSKRPLFMRVEVEGKLRGRSRQMKHDLLKFSPRSAEIYIDGDDFARHVEFKKPLDQ IARSLDMAMQMENIKKIPVEMPDQMPAQFTRENITQNSPSEAQMLLPQQRPVSLRKNW EQARARKWKNALNSKDMSAASLNNTLRIPFSSLFGDSYKARPLATWTPI MYCTH_108391 MLSTANSPKAPSLKAAVRDLHAFRGARSYRHIPLAYSAANVPPL LLLTAEYLTCTGGSGSGSGSSATIDLLGLNIFEATPCASSTWTALRAARRRSSPSPSS SPRSAATCASRPGSSRVRRKGEGGGVRRSMIRGRKTTSSAPRRRCWGPDVREHLLGRQ RVLITSGPSTPRASSAGWSTSVRGGGGGQHRRRRRRDADARGRVRRVPVLFPDRPPPA CPTRDQAEGWLVDADEPLPTIDGLVIGTVTVEETITGTGGSPLGSFLFCRNTRGKPRV SQLGALTRSFATTSKDARVDRPPWRQQLLQCRQQRQQRQQHASNKLRTPKERRD MYCTH_53905 MSGVSFLRRVSLHGFRGRSKSGVPQPETEKPPFKTAPIIPETQT VLLLHAARQPYELTENYPVSDLRDDHEVLVQTRAIGLNPVDWKAPELAGEVIKRRSSS RLKVLVISTDYRDLRKSAFQHYVVALDYNTVRLPPSISHEEGSTLGVAFVAAALSLGV CMGLDFSSVLDGPDIYSLIRQLPPDALAPDVRDECLNALPSQERAQPGDWLAVWGGSS TSANLTIQLARLAGLRTIAVADTAKHGVRLSNHRSTRPDLLVDSHDPARAVAVIRANA ARNGGRGVRFAIDTRGKDSAGWLARALARDYDAEKNDGTKDKETNPAAAAGAPPSPPG TPDSDDEDSDDSDGGRPGDRPARPILPPDAHLIGLTGLPKEPAPAGVVYHTVPIKVFH EVPAVGRALVEWLERLLARGLVAPADIIDVERGLESVNRGLDRMRRGEISGGKLVVRV D MYCTH_2134470 MAASRYKDKDAGVVLSFNGQWVSWSHTAVAYLAFLSALIVGSAL HYHKIVRNEWFGYPDEWFPSVSATIGDRYPERSIFMIFIAITSGPRFALVGLWYLLTA KPGRTLPKAIAISGLIRTLTCGGWTYITSTDDHDWHDILMISYIVFTIPWTTGCIALS PPNAKAIKYRKYLASAFFGTLVPLVYFFIQHKVHRVPGAYTIYAFFEWSLIILDIAFD AVTALDFDTFEVVVRDVKGASMGANQSSVPTAVLEKEKEKATAGVFSAGFRLDEALDI AADIYHGFVFWSILTSLGVVVWYFPLWHMGISGFEALIMTSVAPALLGIRSLRSLVLK NQRLTHLLSLAGLVAYLVEDPTRRLFVVGFGVAVSCLGWAATLSSDSVHPARLEMRVL AWVIGLLMSSVAKFAWYTNNPIWPIMHAANGGWNGTGLVLAVLAVLRFTRRAPLQGGN SSEAKSGSTLLSALGIGGLFFALHSLLSDTSTMILWVWDGYPIRGPVSNVHGWYTVAA MTAGVVAGISRPALVTTWTAYGVGCVGAALLTLYHHWLGYCGGLALAAYLTAIAIPLI SSAAKKSPAVTFGLGFLIYNFMVLFHVWVVAYAFVPGGPLVRERTDWIMITMMLLIGA GLLDLISQQAKSARVQAAPRGATKTNHRKYHLGALAVLNVLFLCANVLRFPANDYKPY HAENRLITAGIWTIHFSLDNDMWSSEYRMRDLLKELEVDVVGLLESDLQHIIMGNRDT TQFLAEDLGMYVDYGPGPNKHTWGAALLSKFPILNSTHHLLPSPVGELAPAIHATLDV YGTLVDVFVFHSGQEEDPEDRRLQSEYMARLMGSSPRPSILLSYLVTTPLQGNYNTYV SATSGMHDVDETDDDRWCEYILFKGLRRTGYARVSRSTITDTELQVAKFVVPASEDDA ASTWSAPKALRDRRVPEEEVPEGMRFPAMFRGEGVRGHRYHVFDEPRYYAF MYCTH_2305924 MAGVSDKARFYLERAAPELREFEEKGIFTKDEIRALVSKRSDYE HLILSPGTKPSDFLSYVAWERSLDRLRAKRCRRLNIRATSGVGSSHASHARTFGIFER AVLKHPGSVPLWRAYLDFAGGEARASKRWRRICTRALRLHPTDAGLWALAGRRAAQAG DMERARAHFLRGCRFCTGEATLWVEYARCEMEWLARIEAKKAGKGVRKGVNAMEAVKA TEAVQEGDVMMLEDDDDDDDSGDEDGLMLPDPDAQGQGKMAKPQVFDEETTKKMEQSP ALSGAIPMAIFDIAKKQPFYGPAAAETFFDAFAVFTAVSSQPKIVQHVLSAMEESFPN HPCTCSCQVRQPLIGVDVQTAAFPKALRESLARLKSGMERTEDKKTLADKMVVWIDGI LETEGLDAAIKTVLEHTKRSLQSSTC MYCTH_2305926 MLLLHSCTDQTNSESQPLDKKSPAEGKAASETATLSDNVAASEG ERNYWLMKAEPESRFENGVDVKFSIDDLRAKTEPEPWDGIRSYVARNNLRAMKKGELA FFYHSNCSEPGIYGTMEIVREHSPDRASVRPLESSRMKP MYCTH_69953 MAVPEQKVIFILGGGPRIGHAVAKKFLSQGYKVAIGRRNTEKTE LDGVLPVYVDVTQPETIEEAFREVESKLGVPSTVVYNAAALTFPPDNDPFGVDPADFS RDLAINVDGAYAALHHATRLARRRNVSPLAFIATGNVTPFKAHPLAVTLGPGKSALAH LISLGTSAYDAKEFRFYFASQVTDDGGPVPYPEVSGEAHGEAYWRLVNGERAEWDVRF TAAPDSSPKFA MYCTH_2305929 MSSWINEAAVPNHNGNGFPQINDPSAVAGSMMDPSAFMGNPAQF NPQFANPQQMVMPNGPMRNASPSFPNPMYQTNSVVPSKRPRPSNDNMGQSPRPAPGML PTSRAETPQQSAFPGFQQPGMAQQSSGQPSPYPHLQPNGSTNATPSPIMANQMRPGSV PQRVASSSPHPFSPAAQQFPQPSPLSTEHGGATPQSFMQQNAFQQNFNPQFSAAQSPA RPSPSPNPITGQMMPQQMGQMQMGQVPQQMQQMQQMGQLGQMPGQVSGQMMPSQMAGQ LPGQMQGQMQGQMGHQVPNMMFPQQMGQPRNALEQQKLLYQMQLQQQAQQTSMQMQQM NAQNMMQAPHPNPAQAQAQAQVQTQAQTQAQVQAQAQAQAQAQAQAQAQAQARNMMAA RQGAVANGQIPPGAMRPQQGVPQQPMMRLPPPEQFMKHLAAFMNSKGLPLDMHPVVDG RTVPLYNLFQIVYNKGGGYRNTTQSNSWLQVAQIMGFAPHQIPSAAQQLKSIYERNLF KYEELWTTQQKMRLQQQQGSMANAQGMQQGTPTKPMPSGQMPPQMMQAGQQAQLQSQV QSPAKPPGPQHPNANGFPAPQQPPHGQQPPAAGPNQGHSRNSLPRSVQATPTTDEFPL PSPAQSKAGTVSVPGSAHPENQGPADDTGSSMKFPAPFSTNPDEYVPCSREITTHGGL DVKAMKKIGDELQRAKIDVPLPIDYGNVDIHALTKSIQSGIHGEVRLALDTLASLTCS DYYFVSPTQPIPIPQIDLRLCDELVEALVECAEDQLDLLVENSEEASNEITISPYEEV VRACRTEGLALRSVAVFGSLAYDLDRAADRLICITTILRNLSWREENHAPLADETVIR FLCVLIRYLGTREMLLRTHANTLDLMKDLVTLLSNTASAVEIPGREQAYCLLQFLLAF APTPGPTLHEDRLFFPPYEPSLHPYLPHAVDSLAKLLARDEPNRTHYRNIFAAEATVV TSPPCELLTRTFGLAISPIPDHLREHRPVNLPPLVEARKPLLMQGLLSADILAGLAPG FESGVTRSWLASGNGFAQNLFLLARQLSAQFEGSSVRPGSGQSRAQPKKDLDLVYIIG LAVSMLRRLTEKALDPNDPTGESSIPPEVLPTKESVLGLLQLQSQEWAKEGILADLLD YASMVDKSLGRRG MYCTH_2305931 MLRPATLLRGFATPSRLALRQTALSTTPLARAYSTPSQPPSTTT TTTRTTTASNPPPSSSPAAQEPASVTQPQVAKPYFVRRTPSNQLAVYHLAKRGGNKKL TTIKKVEGDRARFRSALAQGLGLPEKGVVVNNLTGHIIVPGHRKEEVTGWLEKQGF MYCTH_2139409 MASAPTANGDAAAADYNLISKLAKHLDRHLIFPLLEFSASQLVD EESGEVKDEAKAREISQAKYSLLKKTNMTDYVANLYCELQGLEEPPAEFAEKKQKVFS QLQKYEQETSKIIELLERDDVVNNLRSDKVANLEFLKRDHQVTMEMVDALFELGNLQY SCGNYADASEMLYRFRVLSTDNDKVAYATWGRLACEILTMNWETAMEELQKVRETIDS RLSQNPLAQLHHRTALTHWALFPLFNFEKAREPILELFFSAGYINTIQANCAWILRYL AVAVITNRSRAKNPGIHQKQMKDIVRIVKQEAYEYQDPVTRFVHALCIDFDFEEAQRQ LVLAEEVLRADFFLLAHADDFVDSARHLIFESYCKIHARISLQDLSARLGLNADAAEK WIVNLIRDTRLDAKIDYKEGTVVMNHPPSSVYQQVIEKTKGGFFRTQVLSAAVAK MYCTH_2080800 MWQQPMEASESHWPRLVCLTDPLLEIGLVVTHPEPPATGKSRKT PAAPVLLNRAEEILHVEAVHIPVPSAPGHRELTIAVTVNRGTNIESFGVPLPGKKSRK SVKAEDKDKALEEALNSLVDAERGNDAKRRQSRLVSSLLARADLSASQDRATFAEQSI HGGHGSRRIESHGNHRLRLSSGYGGPSFGGSYNYNRINHLPEAPVDNLLEELRAGGDF EGFHNMGLDDHDFDGLAREMLFTKIQSVSMENTNMRYSLSSKPAKTQAKVFILAGPQT AADEQGRSILLIAIQDPVDKRLQLLTLYVQGLEDTAPKKRKAKRSPDLDDLLIIPGEP RRVQSVVDSCKISDGYESIMILSEDRNGGRELSLQSPWGKVTTLALPLLLFDNLSSLE FSGSHRVNVAAQGRHSLGVNLTGTQIDAMCHADSRGTVDLRDKDGKYHRIRIQLQPSS PQVRMVLDVCRSVLHPSYADRVVAGWWHVMQWLQDTKRQGLVHGIVDIEWSAVTILLL SSFLAMGHNSETSLRTLGSEVVTAVAKNKWEAMHLRETPNSSACPPWMRKKAWQWLLD ESPEAPGTISAEPTASSDYVLFHIHLARRWMASTSGLSAFGFEGYLPTALSRTGEPRN TAAWSVMLALHLFVEEQKLNILSSEYLSPGQTDLKALLHQLAKWLGWHRYVALYALGA QAEPTPANDLFPFVLSDLAEPPSEYCILSWIQEHLAGGHDTEYPTLSDLYANATRDSA GGRLRDQLWASLTPRTLMFERLLARFKSVTHRLEAVTAMHQCGFTSQILETLPEAILT ALQDVISTCQPDPPLSWPKDLLALIGRTDVSSVLQPTKTWQSLSSDVKVPSHEAKWDF QTLCRTLEGPNDHVEDAGAAERQAVVRSLFREDRRLNEAQGMLSTSKHRVVRLDPKPE WTEADYLEKQKELVTTIANSTLAISAGRGLLHFALRYPLLTQKYQISAFNLSCLVKPA NNMVSVDKNMFPEEKISWAFFHQGVSGGLAISPQAKGIDTSWILYNKPGQDLSNRHAG FLLALGLNGHLKSVARWVAFKYLTPKHTMTTIGLLLGLAASYIGTMDALMTRLLSVHV TRMLPRGAADLNLSTATQTTGVMGIGLVYCNSQHRRMSEIMLSEIEQVDSPGEEDEEE GGAVRDESYRLAAGFALGFINLGKGSDLKGLRDMHLTEKLLTIATATKRVELVHILDW SAAGAVVAIALIYMKSEDKIVARKIDVPDTLLQFDYVRPDILLLRTVAKHLILWNEVD PTFEWIERGLPVEYRPLYQLTSISKLRSRDLPFFSILAGLCFALGLRFAGSANVRVRD LLVHYLDQFMRIVRIPVNNYDSELARNNACMCMDVLALSCATVMAGTGDIIVLRRLRA LHGRDDSTTSYGSHLAAHLAIGALFLGSGTATFNTSNLAIASLLVAFYPLFPANVQDN RSHLQAFRHFWVLATEHRCLVTKDLVTNQPLNVPILIHLRPDSPSAAAVAAYQTTPMD TNEESPSGPAESATITLRRQTPCLLPPLDDIERVETDAKALGYWDLAIPFADTPRLRD DFRRNQTVYLRRRPADEGTFSATLRSLSAAVDDGAGADEAIMMEGSDRDQQWRKKDGE PCLEWVFGLDALAGLSVAERAMVLDRLGAGGGGVGEGEGASTAVDARLTLRAGADGVG GWSRDRLLGLRLLFEWAERRGSFAQGKGPGGTERQQQEEAGTTKGKGKAKKGSSGKSK KKVSLGAPAPEAGSSEQEKKADEVAAMDGAWWLRDSAIDELKGRTWLAGREG MYCTH_2305937 MTDSSPQPGDNDRQETSETQPSPGCATDRDTSVTKAGTPGDAPL SSSQSATMAENCPGTTEGLSTDNRDGSDDDEEDDEGDEDEEEEDEEDEEDEEEEEEDE EPKLKYARLTQHLGPVYRNGDATSAFLVAGDKMIVGTHNGNIHVVQLPALQSIRVYHA HSASVTSIAISPFPPPLPTARGEAAPRSVPGSPLRPPTETSEGHAPPAAASRRPREPP LVPNIPSNNIYIATSSMDGNICVQSLVDPKDVQLRNFARPVQAVALSPEYKHDKMYLS GGLAGQLVLTVGAPTGRSTSMTTGATAQASGWLGSMVGAGTGKDTVLHSGEGTINTIK WSLSGKYVAWQNEHGVKIMRTKLHLDHADADDAWKRIGHIDRPQTEEWETMAGVWKAR IEWIDEQAVEHDETPTDRAEVVLSPAAESLRQQQLKSSRKIERLLVGWGGTIWIVHIH PGGIGTGRNAGERSAGRAEIVKILRMDCIISGLSLYTQTLLLVLAYCLPDEDEEEEDG RETRGHVRSLSEASRENRRSGGIPRRQNNQPPELRLIDLSSQAEVDKDGLTISRYERL SSNDYHLGILPAQNAAAAASSRGALETLAGLGSEMLNAALNPLSLFSSAASVMSRGSD GASGTNVPPPASRTGRASVHPHLLKPGVKIFIHSPYDCVLATRRDLGDHLAWLLDHHQ YQQAWQLVDEHPEIMAGAPDATPSSPQHTHSTDDFYDDSASVTEGMRSFYSAAEKEKR RIGELWIQDIIETGDWARAGQVCGKVLGTPDRWEKWVWTFAGANKFDEIVNYIPTERT RPPMPGTLYEVMLGHYLQVNKPRFRELLERWSPDLYDVSAITTVLENQLKYRDVREDS VEDGEVGRDWRIVMESLAKLHEANGRNREALRCHIRLQDADSAMRLIKEGHLADAVAD DIPSFIGLRVPQGQAGKMSQAELEEATAEAITLLVDEAQHGLVKPEDVVSQLQEKSLD LYTFFYLRGLWRGEGIHEHSDESRARLATDSKSLVDHFADLAVHLFAMYEQPLLMDFL KTSTAYAFEKAAQECEVRNYVPELVYLYSKTGQTKRALYLIIDRLGDVSRAIAFAKEQ DDPDLWEDLLTYSMDKPRFIRALLEEVGTAINPITLVRRIPEGLEIEGLREGLKHIMK EHEIQYSICEGVAKVLRSEVAAAQRLLRMGQRRGVKFEVAPPGDLPYENEKDVPLPAV EAAAPPPPPPPAENRSSAAAEQAPPAQTNGDAAAAAAVARPASDSRKARKWAPGHCAE CLEPFVPWETETLVGFACGHVFHVSHLLRRLRPGEEEEVDEVLLRGIAEPRASATHLV GAKVTHARLLRDRIAGGCPVCVCADKGGEGRY MYCTH_112025 MAPFGRIYTYPNNYRVQRVQAIAALNGLEVEVVPDFQMGVTNKT PEFLAKFPLGKVPAFETADGSLQLTEGQAIARFVAESGPKADQLVGADPKTRALIEMW TCFAEQELGANLVPPLLMVVAKMFPYDEARYNFHVAAVERALKRIEHELKDGRKFLVG GQLTLADIMIAGVLQLGTKFVVDKDMRKEVPAVEAYLKAIMEIPEMKQAFGDLQACET RVKPE MYCTH_2305943 MWEVGSQGSEHRGVAGSSPAPEDGREDDVMDTDEPSWDLPDSLD GTNGMMDPRALDTSRVRTGVY MYCTH_2064750 LFLKYRYFVKVYIDNIIIFSKTEKEYLKYLYIIYKILDKAYIYI NTTKSFIGYLAIKLLRYIINGKGITKTDDYITTFKKLKFPDTLDSLEHYLRIAG MYCTH_2305949 MNPKGARYFGFNFLMPNPTTVDFCPGAACRTMSDFLKCTAAATA FLRASMKLKAAADLNKFPRTVDGLNKFFEHPGGDGVFLASLFADHYNHKTPKPITTVP TIKVAGVGSGAGKVQGKGGAGVGVQVRA MYCTH_2305950 MEKKRPRYRILSFTTSHEGDKRQWFSLEIQLHTSRFRISVSLSN FRNSPIRSEEFQKYFALLLSENDNHNDSFEETDEEGDAPGRTALLDCFDWAVTPFLAD FERLSPAPPPLGSGQLTLSHFLVTASFECNLTAADEILAPGEIERVEMDEDCWPTLSS GDAWTTSFPSFSPAEIVVICDDPEHPFDSNPTRVRIGQQHLYFKESSEPDDVVAKKEV ETYERIDSANLGAGVRTSRLYGVVRNERNQLIGLLLYPIEEDTLLTFAVGPETPDALK DRWAQQIQDTLAALHRAGITWGDAKPDNVLIDVHGDAWIVDFGGGRTEGWVDSGKAGT VDGDLQALERILKFIASGGDDMMDYSKE MYCTH_52807 FASGCLLWQGLKAATGKEKDARIKEKKESSSGESLYYRILPEEF ATYINYTRRLHFDEKPDYSYLRRLLRRRFAAEGFKHDNVFDWTEKRFHEM MYCTH_2305952 MKCLSMLATAFGLLAMASAMPVIEERDEVQTVHLIFHAGPAEYS LAIPADGSVHKTNSDLSVNIIDAPDYNAFYQCKFETQNDATLASSISPEGVNQIMVGP PTPIISVSCQGMCVPTYGDCYRDGQWVGPCCAGYCAANKCRPWVNPWGKNE MYCTH_2127585 MSVCTPSPTYRAYSSASTRPIPMPINFGRSRAYRSSSPVKRAVY LVYIRNNPTLATITIILKGEDKEEEVVE MYCTH_2305956 MARLDELQFDPARLKKASVEDYSSSESDGDEEDEYLMPSRNPHD SEFADLNPRKRRRTGGDAKERAALGIFGSESEDEGPGGRWKHKRLRDKAVSFVSSAKA DEDYDVELDDDDDDNDDDDEEEEDEANDQKVDDEYDDANPGAVPASAAAGEEDEDEDE DEAMAGVGLGFKGPSAAAAAAGQGLGWTPPTQQAMFNKRPTAPPKPFVKSKVDSANPL GNGFTPTSARGPTLLVKDEEPATPRPVLPSAFSKTKGGKTKINANSFGARMLAKMGYV EGQGLGKEGQGRNVIIEANLRPQKVGLGAVKEKTEQERQEEKRQARLRGEEVIDSEEE EKKKAARKKKAVAGGIGSRPGSGTSTPKRPKPKYMTLDEIKKAAPGLKIPEAFTPILD MTGPGKKLLTSSSGLMTPTGGAAPAESVEAAENRKLVRRAQNDFLAIVEEWQGLQERK AYLDLQLKQEQQELEELSASLQGNRSVTAASEAASRPAESGEAEPKADICHRLSQVIA GLAEASNSLSDSILPQIKSELSSLAVAAIHPLFNQYRQLWDPLEEPKPPFVDGLNSIR GLLGLDNETKKTYRKPTATPYETMMYEQWLRTLSATVREWNVRDPDQLTAVLDAWSPL LPPFVRAQLLRDIIRKLEDALQKWQPKKHTNNLPHRWIFPWLPYLPPSHLDPRSSTGL VADVRRKFRQLIDSWDFRRGVIPGLKQWKDVLRPADAGGRDSTATPSASSTTTTKDMW RPLVMNHLLPGMARSLRTHFRVEPQDQAPYMEVLDRILEWSPDVVRPSMLGEVLVAEV FPAWHAALYQWLLLEEADYNEIGAWFQWWQDEVFPDDIKALPSIVAEFEKGSAMIERA LDLGDRVRADLRPPEKGPALKTTRRDRDSGGRAEPAPEQQQQQQQPAVLGRKPEEISF RDVMEAWCQEHDLQFMPDRSQLHAEGPMYRITGPEGKKGALVYFKGNSLFALAKDQKN PLEIRRDNEEDWWTVLSLVS MYCTH_2110837 MGAHTLQPDQIAQYKQVFEIFDKDGTGDITADELGQVMRELGLN PSEAELRDLVSEVDINNDGVISFDEFLTLMSQTVKEVDTEQELLNAFKVFDKDGSGTI SSDELRNVLKSLGENLTDQELDEMIKLADRNGDGTIDYHEFASIMK MYCTH_2305962 MWSVIQQRRLRRQAESQRNDSQTEKGGRTSSGQATDGDAEKPGQ FNSQDNKIWVKTTGDDDPIDPRNWPLLDRSKNIAILAYLIFVQAWAGAAESMANSAAS QEQGHSKVAENLTIAMYLFGIGIGSLFAGPVSESVGRNPTYLVSTFCFLFFVLGAAMA PTFGGRIAWRFLMGLSASPTLAINGSSVKDQFRPVKRAFVFPIIAWANVAAPMIAPVA GGWIVESRGLGWRWTEWTTLIISAPAFILALLFLPETYLPILLDWKAAGLRRATGDDR YVSQHAESSTFKERLKKQATMPARFFFTEPVVAVLGIYLILVYILLFTFLSGFDYIFK DTYGLSTGMTGSCFAAIAAGTTAYTLLAPGLNSWSRRKTEYVKGAPVEPEFRLWSGIV AGPLLPLSLFWLGWGDYSSVSIWCSLAACFLFGIAVLAIYVSSYEYIIDSYGEHSAVA LSSITCVRYMVAGGVVMASRPMYSGIGVHWTLTLLGCIAALLAPAPLVFWKLGLKLRR KSPYAESPDEDK MYCTH_2065690 MTSLLSIGQRLKGRLSTYSIVKELHRAADQGAVFLATNHDGKKC IVKSIKGHWRLQNEADILKRYQGRTPFLRPLLDEIVEPSDPPSIVLKHLDTDLLTESN RKRLSRPEIKQVAKCVLQALKVLHEDGMVHTDIKLDNIFVNYGKNQRFSEIQLGDCGG VVSQDSEFAKGHLIGAGFTRSPEATFQIPWGTATDIWSFGNAILSLLYGGNYHLFNPA IDKVRPEDDLYELTVLKRMYKFFGPFPRSYEDFNDEETMIFVNHLNSQGPPEKPFHLV TKREIPPADNVFIRKIMKLDPRDRPTAEQLLQDEWFTEESEDTRDPLPGEKGDTS MYCTH_2110839 MADPIIDFAHHAHKFPSLESRGRYELQRDCAAIAAEAAEHAVQK FKPEQIMADAEARGNRARRVITAREEAAGEPKYTVTRMDVLEVDFYRLVATAARNLVQ RRKRVLEQDVAERKELAERVTGELSRLSEQYRASKEDVMLALEAKASNDGGFVMVPNS ESVGTEPQEGSSVEGWIHAAVDDEFCAGIEVETDDDWSTRGKQYFIVIKKGIPR MYCTH_2305964 MVNVWSRYGGTAMLSRDPRDLQLVSDSVDFLKRQLEAGNVIYGV NIGFGGSADTRTQHLEQLQSAAIQHLNVGILLKADKTDAEEADRSQDNGLLRSHTLPT PIVSATRLCGAIRACGSAS MYCTH_2064803 MPGVTSKTSASSKYDQIPGPLGLASASLEGKVALVTGAGRGIGR EMALELGRRGAKVIVNYANSDSAAQEVVNLIKKNGSDAARIKANVTHVNEIVRLFDEA VKKFGKLHIVCSNSGVVSFGHVKDVTPEEFDRVFNVNTRGQFFVAREAYKHLEVGGRL ILMGSITGQAKGVPRHAVYSASKGAIETFVRCMAIDFGDKKITVNAVAPGGIKTDMYH AVCREYIPNGDKLDDEGVDEYAAGWSPLHRVGLPIDIARVVCFLASQDGEWVNGKVIG IDGAACM MYCTH_2305966 MLLGYHLLWSRTANVVGNDEQADRLQKLIIENNYYVGGAVNPRD SDLKITYDDEKITYNGFKNFTTGAAVSDLIVLEGAVEGRPPEEHIFAIVPTAQAGIIF SYNWDNIGLRLTESGAAKIENVSAPWADALGWDPATKKPDPAVLGIAFPSLLLPSIQL VFSNFYLGIAIGALEFAKQYTVRHTRPWPYGGENKSAATDEFYILSTYGNFFAHLRAA EALADKAGEEADRIFATYGNNRAALTARERGDWAEWVASIKVVATDTGLRVTSGVFEV TGARATASKVGLDRFWRDIRTHTLHDPVAYKNRELGRYFLLDQVPEPTWYT MYCTH_2305972 MFWRFGGYANVSTIDTILERDNFELEELLDESDLVQELKQHNAR LVEYLRDPQVLEKLLRYVVAPKLEPVASPDDEEEDEDGDEKAKNALRASTASEGSSRA DDTSREDREKKRNRYALISTEILSSDTWSMYDALMESKPLLRDFWQFLKRDAPLDPLQ ASYFTKVNESLFDKKTEEMLDLLKSMDGAVQDILRHVECPMIMDLLLKIISLERTESG QGIVEWLYTQNVMSALVSFLSPEHSWATQTSAADFIKAIITVSANASQNEQTCIGPNE LTRQLVSKPCVEQLIKYMLGGGNPLTCGVGIVIEVIRKNNSDYDPEGVDINAPPSSRD PIYLGTLLRLFAEHVPDFMNLILNAPAQNQHLSSTFGNKIEPLGFDRFKTCELMAELL HCSNMMLLNEQGAEELIAARDAQRHRLRAEGQLLPVSNREPAPAEDLSMRVSQSSASE QGRKLEVMNATVEDDGFEEVSHAADEDNTHGVLELPDAPAQPPAPLVDKDDEEFVDEP LTSPNPGAHDPAVRPHLPSKEGVETPGRGEAKTDIPATGSAVESPQSKSQETPERAQD LGTQEPEQAVKTDSTPPVSEGENAENKIGSAAPVEADSTAHGEIQPRPEDIPAPLFSR ENRQSTSGEPPSSAEGASGTTVTASPEQDPRLDQAKTEDSSAPQPAQPGGDSSVATGD AAEPAPSAPAQPKPVVGDFLKMQFVEYRVVPTILSFFFRYPWNNFLHNVVYDIVQQVF NGPMDRGYNPTLAISLFEAADITNQIIKGQLASEKSQAESKTRMGYMGHLTLIAEEVV KFTERHPPELLSEVVLEKVMAPDWVNYVEGALAETRERDNAILGGVRPEVAMNRASGQ GLMGGGFSGLSSIGLGGGASSALADAGLNGGSDLAEGAGGGGMGPFSISSGTLMSGFG SSSDEDDDEEQDTEEDVNNEFRAYTDPLNANHNSMDPPSIPPPPPPPPPLNIPPSRAR LQLAARLAMNKKNAATAAASNGGQEGSSPPTADDATGSGVFSLPNTSASERLRNPFAD YEDDDDDNSGSGSSDGEPETVEGEESLGLGAGNSTWHRGSWWRDVVRGSRGRRRRSGS RDEGDAQDMEIERFGDGRDDDSSDEEGGRRLADDDYIEDEEFGDFAMPEVEERTTSST VSGIDPSRKSIIHKPTAVHPTASTIKSSGISPFSSLWPFSREKREGEEAATVTTKSEG SNASAPAGSAQGNGGGITEEPVELAKEEEEEEAVIGEDGKKIDRAVEATRRTSIEDPD EDEVDVGEEIIVHRGAGVQ MYCTH_102943 MSTVSTQDGAGANGEHEAVIRKEVPSFLTRLSYAGYMYGLKSIL AAFFWLREWKESRNPPEGGPDIVKTYECRPHLPVRIFFPSSYDQTSPVALPTLFTIHG GGFCVGHQRDDDEWNRAFADSYSVLVISLNYSKAPTYPFPAAPHDVEALLLAALEDAS LPIDRGARAPASRTAILGFSAGGNLALSVSQLPAVRAHPLAPRAAITVYGSLDLSCPP HEKLQNRPYKPALRAPRGNWTWTGTGTDPVLALAPASDWSYIPYGQDLRDPLLSPLYY RDRAALPAFVGVVAAELDMLAHESWRFACRLSRGRAVPDRRSADARRRVCGDPHGRVT SRPGELIGLLPGREDERFAFEETVYASPPPPPPPSYYSSAGSGGGGGGGVAAVGGVKW LLVPDVLHGFDNPHMRALMGGTETIKDAELKTEAYRAELARWLKEVVWRV MYCTH_2305976 MAGPIPDDLDRERFEGSSSQARTETDPGRELGLASTKEGNGDGD GDGDCEKRNGHRGSKDDASGSKSDNNTDTDADADTDDDTDADSDYDYDGHRPTPLDLR LSRARSASTVTTVASHNTAAGRRASTLTGASIANANASASASNNNNTTTTNNNTLTRR TTNLLSRIRSRPAVPAFTHPLSHIPTGPELLVDFDGPEDPYKPLNWPLHKKVVTTLLY GLVTMSATWASSCYSAGTAQVAAAFGVSSQVAVLGTSLFLVGFGIGPLLWAPLSEVYG RRLAVFAPMFVAACFSFASATSKDFQTLMLTRFWGAFFASAPVTNTGGVLGDLYGPAQ RGIAMAGYAMAVVAGPALGPIVSAALVAQPGLGWRWTEYLAGIIQAAILLVAVIFIDE SYPPKLLVYKARRLRIETGNWALHARFEEWDVSVAELARKFLVRPIQLLRTPICFLVA LYASFCYGILYMQLGSIPIIFAEVRRWSHLVATLPFLCIFVGAVLGCAINVYNQLLYN KAYHAAGNRAVPERRLPPMMLGSVLFAGGQFLTGWTSDPDIHWLVPCIGLVMLGTGFF TIFQAALNYLVDTFTKYAASAVAANTFLRSCFACAFPLVVGPLFHNLGVGPGSSIPAG FSVLLIPVPFVFFVYGRRIRARSKWSRASVHDGDKQKREM MYCTH_2080826 MMNQHDTCRFGALVEWAEQHGARLHPSVEIYLDPVSKYSLRVSP SATEGLQPGFAAVSCPARITLSYLNALVDGLLDPSALSDRSAQSARLDQETSSTGAFP PRFTRSVPPHVLGRFFLVKEYLKGKDSFWWPYIATLPPPEQVAVWALPPFWPDHDIAY LEGTNAHVAIQEIQENVKREFKQARKLLKEEDFPDLPAYTQLLYKWAFCIFTSRSFRP SLVLSDATKRRLSALLPQGVQLDDFSVLQPLLDIANHSPTARYTWDTTSVPDTCRLIC HDPYQPGTQVYNNYGLKTNSELLLAYGFILPETPSLHNDYVHVRKRARQQPQPQPQHQ QEQPPPQSFLISLRPMAHPSSLA MYCTH_2305977 MLAETVLAGLALASSAIAAPAPNPIEFVKRAPTPGVVIQKCSTP GVLALAYDDGPYQYTSRLVDILDAAGAKATFFWTGTLYGCIYNQANAVKKAFASGHQI ASHTWTHPHWASLGEAQIRQEITKLEDAFVNLIGKKPAYVRPPYLETGGQVLPVLRSL GYKVITNDIDTGDWNHYSPQQSEQAFLQAGAGGNGHIPLMHETYDSTVNVLTPWLINW AKQNNLKLVTVAECLGDPDGAYQAGNFEPNGQNSCY MYCTH_2063115 LFLKYYHFIKVYINNIIIFGKIKEEYPKYLYIIYRILNKAYIHI STIKFFIRYLAIRLLRYIVNGKGIIKTDDHIAAFKKLKFLDTLDSLEHYLRIAG MYCTH_2305978 MCDYTQREYSCGHFRWIASKWCRDYTMTHKRCQPNVTHFEYRAE ELCGECKPKEYPPWENLIKRPKQQTY MYCTH_95335 MDAAQLAPSQHQHLSIHTSAGQATMNGVLPSPQYEYDREWRWPW WKFELMPDVLFTTLHERFNTRTCPIQLPHTFLFDVRACAEESHDIDTFYAKLAEKRDQ RVAELEAAWEEVSDRMHSLLNRGPVCGLPGCKSMEMEDENPFSKSNNRFARSAAFCHL SRTMAFDCLINFFDGLVRDNREKDRRREEDLKTPARNLELTYDSESIGAGQPPTADAD DAFAGDPVRPTPPQPIRHPLSPCLSPESTPSDEVDPPQIFPDQKDAGGESSPNPVPAD DPTPVSSGRHNSAPLATEATKQAAGAPQCDPPSTRRRPPGGLPSRPQRKRKRDEPESN SGGGGATDTAVGDSEAQDTGVGQITERPPANRQAARRLGGGKRGRTAQEERACRQVSD EADAPSS MYCTH_2305979 MEPELVDIVIVGAGLSGINAAYRVQTLLPHHSYAILEARAEIGG TWAFWKYPGIRTDSSMGLFGFPWRPWPHEVSMAPGPAIKSYMEECAAAEGIDKKIRLG HRVIAMAWSSDEQCWTLQVEVRHGDGDGGGGGSNNSGAGEKKVIKAWWVIGASGYYSY ERPLPAVIPGIERFGGQVVHPQFWDESVDYAGKKVVIIGSGATAVTLLPNLAKTAASV TMLQRTPSYVFGLPGEDKMVSRLARFMPLSWAQTLHWWRSMLLETVFTWLLTTFPNWG RRFITQEMRKQLPAHVDVDKHFNPWYNPFEQRLCFCPNNDFFKALHRPNARVVTDTIE TVTETGIRLRSGGAELEADMIVTATGLYFELLSGVDVTVDGESVTASLGRRYIWNGTM LEGVPNAGLITGYTAASWTPGADVRIRQLIKVIRHMDRTGAAAAAPYVDPRERASLPV RPAVGLSSTYMVSAHDRMPKVAGKAPWVNGANWATDVWRLFWSDVKQGMKYTFKGDKE KRV MYCTH_2305981 MEMLAFNPELLYSFQASAPGSRAFHLRLIELAAVAVHQIAVFLY KQDTRVHDHHTNDPDYTVDGATSWDWEPPQQSGGYYPLLVPPPPWKTLFSHPYYVAHN QYPDGLADVVGYWAEDRIFGGVVLFDRSQTWRRCDGEGPGASEPNFYMHSARDDVTFR LWQVKNEEQEALVGFLLAPTPAPADNGPLPILPTRSHRVRIDPDRAIVDSKVYRDVWE RPEPLHNRDRDDHRPRNPEDYPEEEDTQARIFALLYNKKPGSE MYCTH_2063204 MTVASQLFGFPSLTLAAAIEFLVAIRLFPDLVSSHGRLTLAAGA ILVNYAFGLVFWAFLYPNFFSPLRRIRGPRAIVSAAYRALIVKEGPSGNLFLDLVRRY PDEDLIALDCFGRQILVAKPHLLADLLVHRCYDFAKPRRISAFLRRVLGDGLITVEED QHKFIRKNTMPAFRARHITDLYPMMWSKAGILVRTLDRQIASASSAESKGSGSAVLEL TSWAHKVTLDIIGVAGMGRALNVVEKPGDPLQELYEELLEPDREKIIFAALSLAFGFP VIRMFPWRMNNLFIYLTSSLENICRDLIKEKRKAIVENKDDHFDILSLLIKTGNFDDE VLKDQLLTFLAAGHETTASALTWSSYLLAKHQEYQKKLRDEVTEALGEKPLAGEPPDD LAGVLKQLPYLNGIMHETLRLYPTVPLTMREALRDTRLGDQAIPKGTEVVVSIWQVNR SAEIWGPDADRFRPERWINADDGKANRHGGARSNYDFLTFLQGPRSCIGQEFAKAEMR CLLAALVTSFSWDLAMDESKIVPRGVITIKPEHGMYLRMRPLHHSAA MYCTH_2037656 MVFPGRFSTGCLRCRQRKVKCDEARPSCRRCCIYGKPCPGYTDQ FQFRHRGTQLGPGSSAASGQRERAGEAAGATVAGAGKKQGQQHQHQHQHQHQHQQLQQ GAVQTLPWDRALVRAPDPSYDDLSLSYFVRRFVSPNPTDGFPGHLSFLPSLYDSHSQG LLEAATLSVAQMAAYNKFGGERFRVQSYRNYGRAIRMLQDIIRSEHQATDDRVITSVL LLCTLKDISGEGSGDPGEHAPGLYYLVEKRGPEQIATSRGAELLFLALIRLVIYSFLH DDDTYVDPGSIATAWGAFDPLLRALSMMSRTLSLRKRLLSPGAEQPPLVDDPVEQAAV LHGCFETLDAFHRWDEEAAEYWKTTFEGRAVPTALGEVAPPSSSSAAAAGGGGGAAPQ HYDVETACTIILTRSERLILLMSMIAYHYYQERDGRGAETGLAECVPVLGQHVGMAID DILASVPYALGDVGPGGVPSSLAHDGAAAIVIVQSIRLVASCALASPAQLRSANDVLA RLNAGIGIRAAAG MYCTH_2305985 MASVLYSPVIELLDSAKRLVLSPLLTGPLLYSFTHPDAVRRVLA AIAQHLPEQAAARLSSLPNSATVTVLQVLVALGLVRMVNGALNSMAANSWRLTAAPGW DWPNEIAVVTGGSSGIGKGIVERLAALGVRVAVLDIQDLPKDLQGNPRVRFFRCDVTS SESVGTAADAVRRELGHPSILVNNAGVAKTAPILQTDENFLRRILGVNLMSMWFTTQQ FLPRMVQVNKGHIVTVASIASFVALATAADYSATKAGALAFHEALASEIKHQYKARNV LTSVVHPNFVRTPLIEDFSSRLERRGVRLLTSDRVADDVVAQIKSRRGGQLIIPPSAT GVSGIRGWPTWLQELLRDGVAAGAVKP MYCTH_2063555 MKETQTPTAQIQVRNNRANRLLSYCYTLVATPFLLSRFALDVVL YLVPWMRPAREWTLNQAVRVRVVRLVLLYWSLLRWGDRLHLRPGREGNRFEEKPEDYD YYYYRGDLAVTTTTAAEDDDDDDAVRPEPIGATWTPARPPPPALVRPGLVVALHFHGG GFAVGNGRDADAGFAAQTLIRHMGCTHVCAPQYRLASSGSGNGRFPAPVQDALTAYLF LVRERGIPGRQIVVSGDSAGGTIALGLVRYIAEHGAALGIPRPGAVALWSPWVDVAAA LQHDMRASPNYRTDYLNNEFGRWGAAAVSGYGAVDPSGPYLAPLHHPFRLGEEEEEEA RPPPMFIHGGDREVLWDDIELLARRYADAGWTVHLHRSRHCPHDLILLGPRIGFAAEA EEAARAARTFFLASTDLKLRERVCVCVCMPAMANGTPAGPGRPDDDGLSFDVLIVGAG ISGINAAYRIQTEGPADTTYAILEGRDSLGGTWDLFRYPGIRSDSDIYTFGFPWSPWR HDTALASGDQIKAYLAQSARSQGIDQHILYRHRVVSADWSSAGKEWDLRVVVDGREDQ PPAVFRARFLLLGTGYYDYEKPLEAAIPGIETFAGKVIHPQFWPEDYDYTDKEVVIIG SGATAVTVLPAMAERARRVTMLQRSPGYVFSLPSRGLLTALLFAVLPAAAAHYLCRLL WLVRSHLTTAFCRAWPALAKRVIKRATLRQLPPTIPWDPHFRPRYNPWEQRFCACVDG DFFAALRSGKADVVTDTIRTVTPDSIELGSGRALHPDVIVTATGLKLRFGGGIDFRVD GEPFRWRDKFAWRSAMLQDVPNLLFLTGYETASWTLGADVSARLFVRILRRLRHRRAA AVVPRPARPDMPERPMMSLTSTYLRTARDVLPKGGTGVWAPKTNYFADMARARWGDLS KDLEFIM MYCTH_2305988 MSETSVTALTGYLDNLTAEEEAKLQEAWAHLLRLCGVEGSDKLP PADRTGELLEQHLDPAGLKSAAVFRERLWSFILVDEPDVLVLRFLRARKWDVDRAVAM LASAVGWRHERRLKADVIRRGDAVGPDAPADDDGSFLAQYRSGKAYVRGSDREGRPVF VIRVRLHDPKLQSPEAMERFVLHNIETIRATLRHPREKACLLFDLTGFGLKNMDFHVV KFLVQVFEARYPEYLGVVLVHNAPFIFWGVWKVIKPWLDPVIASKINFTSGGADLARF IAPEELQTCFGGKDGWEYKYIEPVPGENDRLESEKKADVEAERDGLIRRFERLSVEWA ALDARSAEAKQKSADRREVAKQLSDAYWKLDPYIRARTYYDRAGVLSSSGAVDYRAAQ MYCTH_2305992 MRFSTLLVAASAPVGLFARESIDQLSPGELVAFLEKHNLAIVPK SELTDALTELNALLKSQQLQQRHVVPIFRRDNGTANKSGQGQGGGGDGGATTSVTDSD DEGSTVTAQLLGLDDLADLIRGLIKAIGDLPEILKAVEGLLTSEFLNGFHDAMVYLAQ TLQPPAPELLQKLVKKAGPLVDLLDGLDLERLVDQLKGVDLAGLIGGVLKLLSDKNLE NIESLVSNGAALLTPSFVNQTSTLIGGAAPLLAELGPLLEKVTPLLDKLGDVDLEGIL DAVSPLLTKESIQGLVTLLQNAQQLLTQETVNSLKSLLKTAGPLLDSLGDLDLKGLLD QVGPLLEELGKVDLKGLVEAVSPLLTPKSVRGLLGLLGNAENLLTPEFVKQTKGLIGD AGPLLSALGEIDLQGLVKQLQPLLSALGKVDLAELLEQASPLLSQISPLLEELGKIDL AKLVGQLSPLLDTLGEIDLRGLLDALSPLLTPQSVKGVLGLLDNAEDLLTARFVNQTH TLVGGAVPLVAALEGVDIEGLLKKVAPLLEELGGVDLAGLVGAAKPLLDAVRKIDVKG IVEQIMPLLTPESVKAIVGLVHNAGVLLSQTFVSQTSELIDDATPLVATLADLIHAVL KEFVGKQ MYCTH_2305993 MATQPTTTTTTTTTPPTTTGPQGPVANAAGTRPENVLDDASSLN DGVHEKGAPVGLSKREKAKQHFRRFWWAYVLALVIFLAIFLPILFKVIIPAIIRNVVK DQELPVRGGALNFTAPTHLNMSMDTMLDTPLGVKIDSVPLNLYQPPADPEHDDTDVKG PFLRLQMPDQHVNGETKIHAPEQVVQVLNQTQITAWFNEFFDKELVDLHIKANDMSVH LGALDYKVDMDKTVKVPGLNYLKGFGVIDMKFSIPAEPSGRNLRGHLLIPNAGVIALG MGNVSFNIMAGDVNLGLVRLYDFDLRPGNNTPAFDGEFYFDQLIPNLPAILDSQRSAL AEGVVELHAKGNSTINYGRHIPYIEGVLNIKRVPMRIPVTTLLVDLMEALVSHGDGNG NGTSSQGVPLLDHLGDVLGNRTLFEQMLSHWDSTGGGGDDAKGSASGGKKSSSLTRSV RRSMHTNILKLGLRRLRSKF MYCTH_53804 MKWLGDFYERQWEGRWAERFARVRPYLPPINFITLHYAYFILSC LFFALVFWGSGSSSSVKIGFLDSLFLIMSALTSTGLNTQNLSQMSLGQQIVLFLAMLL GHPILVSLWTVLFRRHVFEKRFRAIVKRERERKLRAGSSIWLYSGFSDLLALARLKSL SKSKSKCDEGQQQLPGLGSRIRAGQSSTSEVPGSESGRPRERDVEEGLAPIPENRLSR SPGARSVVFVEPPRPPPGKAAAQEINRDRTAEVRNFLQEKRKNVGRNGEFFNLTLKER EYLGGVEYRAIEVLVVTVALYYVLWQVLGALALGAWIAANAPEIPTANGQNAWWTGIF LAVSAFSNTGLSLLDAGMTAFQSGYYFVVLVGAVLMLVGSQASPIFLRFIIWVCSRLL RLATDSPNYEVWKETFEFILHYPRRVYINMFPARPTWMLGLWLGAFLIVDWIMFFLLN IGNKALDKIPPGPRVVDGLFQSVSILSTGFSIVSVSAVYFGLQVLWLIKMYASAYPTS ITVRGSNVYEERSLGIYAGDEPEMPHDDKGMKEKEGQQPLSPTSVSSQASRLSRLSVA SRGGIDKIVDIGREGGQFISRQLQRRMTGFQGVGVPPGPLPRRPNKRPKTGALASAQP TPYIQTSGGGGGSTKDAAGRGPDLMAHHVRSQLSHDVWWVALAFFLVTVMETSHTLAD PAAFSLFNILFEVVSAYANNGISTGLPAASYSFSGGWHAGSKLVLILVMLRGRHRDLP VALDRAVKLPSMDLDDKEEDDAEIRRFISRTAATPYMAGGEQSSPQARSPGRSVIRPF APV MYCTH_2315449 MSTQELSMDLPVIDLDVFLHQPRDSPAVQAECAKAADALITYGA LVLHDSRVSEQDNDTFLDLLEDYFAQPEADLKKDERPELAYQIGVTLENTEKPKCAVD EPCLRVIERLAPSERPLDISAHSPDPKCRFFWRMVEQPPYKTQFPVLNAENVTPEAPH IKERWGPVMNQWGTAMKNAVEGLTQMAAVGLGLPVDTFKDAGRYGPHLLAPTASDLQK YGQKDTILAGFHTDLNFLTIHGRSRYPGLHIWARNTGRRIPVKIPPGNYLLVQAGKQL EHLTGGLIKAGYHEVVVNERTLQVIEERRARLPDRPLIRISSTFFWHLSSDYDLVPIP ELAERAKLVRAQQFDLGKDEGEEVEYPPMKVGEQVQNELKHIALMASS MYCTH_2306000 MALHTSLSLLVIGMFSAAAVSAQHVLVPFSQHKDVVLSARASSE RGALKSSAGYAYVVDATVGTPPQNLSLLISTTTGDTWVPDANTRECSPQWYYRTYYDD SYGDDDDYSDALSGSLCRWGSFNSTLSSTYLPPNSRYDDFSVSYADMNYVSGRNMTDR LAFADVEFDDYPMGLVSSAYRWIGVLGLGYNSSSGYYTASSSAGVYDNLMDRMVLSGK IATPAYSIWLDNAQASSGSLLFGAVDRSRYSGDLVRLPTGYNSYSYSYQYGFSVTLNA INGTSASGVPMPAIRTNDFPVDVVLGHGEVFSFLPDSLADQIAAMAGATYNKSLGYFT IPCDAGERNNTKFVFEIGGEGGPKLDVETADLVQPSIMYSDLTWYDSPLESGMCFFAI QKYYTDSSSTSSYSNLYSLGGSILRRTYVVYDLANHEIAVAPVKFPSDGSTPTPEIKA FESYGAYAPGASEFCTSNYCSSNYGGSGSGSDDNPDHKYYGPYGPGFDYWKKIAIGVG VSFGVVALVGAVTAIILCRRASRGTGAAKEVDEEDSAGHTTPAGSSSPTVAQNPIGGS TVVPPGPLPAIQERSEAQTGEPRLPASGNQIIVQPATPPEPTGSANSNTQSAAVSAPS SERAPQREVRDDASAPEAGAAAPPSPKGKEK MYCTH_51515 MAVTDAMTDLIKSVGELLSSVSDAAYAIVHSFVSGIFNLFAGLY AFLSDLGKGVFDLAGGVGKFIAGNAVIIVVLVAAVFAYTRFVRTPQAQQAQGRKPAVT KEVGAGKKTN MYCTH_2306004 MYGYSSSSDTDDEYYYRKHKGAAPTNNNSNDANKKKKKRKLPPQ QSINRIWKRFSNKRFNKALAVLPFDPVLPPVLCDRSNELLTAGYERAVEECRRKVQKI IQECRRVNTRYRDPGWDIDWDLKMEKGHCLNSLGRNKFDLSMSSMMNPNSVVPKAVKR VHEIFENPTFLANVSGSDVKQGSLGDCWLMSSLSGLANVKDGIRRICVEWDTRIGIYG FVFYRDGEWIYSIIDDKLYLKSPCWDSPSLQRDLLQQIDREDVERVYRKTYQTGSKAL FFAQCKDQNETWVPLIEKAYAKAHGDYLSLSGGWIGEGLEDLSGGVTTELLASDILDL DSFWENELSKVNEEFLFGCSTGLLDGGYGDRDGIEEGHAYLVMEARTLKNGTRLVKLR NPWGMNKKGLWEGAWSDGSKEWTTEVQEELNHQFGSDSVFWISYEDMLRKYQHFDRTR LFRDPDWRCCQRWIGVDVPWKPQYHEKFHIKLTREGPLIIVLSQLDNRYFRGLQGQYT FRLQFRVHEQGRPDPEDYIVRSHGNYLMSRSVSVELPSMLPGTYSVFISVAGERNPDV PSVEEVVKRECKKRVENEKLAQVGYAYDLAHSKGAAHLEAVKKARKKADQKKASEARQ KERHRLWEKRHLNRQITKKQGRKNNQKLEAKQAAREEKRRKAEELKPQDKGVQTEEPK QDKPEEDKEQAKGAASEEKPKAEGKADSEAKKEDMQTQGGDKADDGDDSPDSTPIATP VETPTTETASQLADNADGTGDSESKPEEKAGSCAGSDSSGSPSPTPKTDASSTPSPDV KDKDTEKVPVPPVDESKVSGGPPPPPAAAEKKAPNMYVTSDGESSASPISDWEELYSS DDMSRKPRMAAPAPPNTATVSKYHDETEDENEPDPWNAIAIVGLRVYSKDEDLELRVV MEGGELLEGGMGEKGGVDLDNAQANAGGARTTTRPDKKSEEEEEEDAEAYEGDSEVEK KKKEKMMGKKGKKKDNDGDGSEGEGVADKEQAVVDDGMAPYAVIVQKGKGDEEYETAA ETLMD MYCTH_2306006 MAVGYAIGNSGLYELQSCSPDAPKSASRQRRDSKVTSARSRMGP CSSSGSVKSVPPTERGCLIESDD MYCTH_2306007 MSPTGDQPPPRVAILLNSYRSPFISEIRDSYVRCIGAVRPDAQL AFFYPADKPGDFPDPAAFDLIVIGGGNADPRKKHPWILRVHQFILDVVANHPRKKLCG ICWGHQTISMLFGAELVDMETPELGVTEAQLTPAGRRFFARQGGNDKVLLQQHHRRKV VTPPKGFHELLVENQSFLSYNNAILTLQGHPEKDARCAKLRIRDATRWFGVEEDDKAA LASFAEAMEREDDGPEIWRRIFQWVREKHPGHEGRL MYCTH_2064349 MSSPSLNKIAANSPSRQNPSELEQAIAGALYDLETNTADLKVAL RPLQFVSAREIEVGHGKKAIVIFVPVPSLQGFHRVQQRLTRELEKKFSDRHVLILASR RILPRPKRSSRSRNTLKQKRPRSRTLTAVHDAILTDLVYPVEIVGKRLRTKEDGSKTL KVILDEKERGGVDYRLDTYSEVYRRLTGRNVVFEFPQTTIADY MYCTH_2315454 MSQLANLYFAFALTEALVLRSTSDLRVWRTVLFCLLVADFGHLY TVSALGPKIYWNVFEWNAIDWGNIGYVYFAALMRVAFLTGVGFVGKGERLRKAA MYCTH_112014 MSVPEKFTGFQVHSAETWLEFHKNEFQPKPFGDYDVDIKIECCG VCGSDLHTISGGWGEQHYPLAVGHEIVGTAIRVGPKVTLIKPGQRVGVGAQSYSCLEC RQCKNDNETYCLKQLDTYGAVWPDTGIVSQGGYSSHVRTHEHWVFPIPDALPSTIAAP MLCAGLTAYSPLVRNGCGPGKKVGIVGLGGIGHLGVLFAKALGAEVWAISRTHAKEED ARKMGADGFLATADKDWNKPHVMTFDLIINTANSFEGFDLDAYLSLLDVHGRWVSVGL PEGDGIKVRNQQFLKNGCFIGSSHLGSRKETLEMLQLAADKGIKTWVEEVPINEKNLA TIMQRLHKNDVKYRFCLTNYAEQFGA MYCTH_2064870 VAMAALAPYTYIQCPCSDAATLGRPSDPNSSTGADAEEDDRTFD PRAPRSNYSLYPLEYLMYCEDCHQIRCPRCVSEEIVTYYCPNCLFEVPSSNLKSEGNR CTRSCFQCPICIGPLAVTSIETKPDPSLLAAPDNTAAPHSGPYALTCSYCNWSSTEVG IKFDKPNGIHAQLAKLRNGGSTRLTAKDRKERRKDPAYRSQGTNTGEGGSGAEEKLDL ETQFANLKAFYQSQLADPNSMDTGLAALGGLGFSSPASLSRLMSIYTGGSLQDRKTRS RVGAMREAIRPDEGLQIANLDESAAIEALRSEGYDGTASTAQLADQPPNLGEEHLHGR RRFVSELRPIPYLLRTKRSKRCPVCRHIISKPESKIQTTRFRIRLVASSYIPSIAIRP LSLSATGGSSASGAAVPGAPLEPLKPAHFLLTFKNPIFETVKVTLGAPAETPGRFASK VTVLCPVFEIDANTDVWEEALKEGSSGSGGGGGGERGERRRRNGDEGGLGSAGQPGGN QLEVGKIWERGRNWVSIVVEVVPAQLKVEPGDAPLREDEDVLEVPLFVRVEWEGEAGG DEVIPSAAAKDKGEAKEKRELAYWCVLGLGRIRR MYCTH_2306018 MAPRSGSSGNNVASQGGWAHTPSTLILLWMAVSLPLVVWDTGYM LLRPHTMPGGRLHYPVWVPYALYGEVDHMYGSKQWNLRNPFAAGQSIMNAVETLLYLV YLGLWYAYGSPPAPGARRAVGGRVGGLAVLIGFSAAVMTVSKTVLYCA MYCTH_2306019 MICRACLRARSAPTSETFLSQRLLQTAQKQSISTFTARLRPSMT AGVRASCSQLSKRPSRHQPFSTSSSISSAAAQPQESAPTPSGTPEKPNYLSEGESQIW DILVAEFAPTQLVVQDISGGCGSMYGIEICSEKFRGLNMLKQQRLVNAALGDLMKEWH GVQLKTRVP MYCTH_2306020 MVRLDWAAVLLAATAVAKAVTPHTPSFVPGAYIVEYEEDQDSHA FVNKLGGKASLRKDLRFKLFKGASIQFKDTETADQMVAKVAEMPKVKAVYPVRRYPVP NHVVHSTGNVADEVLVKRQAAGNDTFSTHLMTQVNKFRDAGITGKGIKIAVIDTGIDY LHEALGGCFGPDCLVSYGTDLVGDDFNGSNTPKPDPDPIDNCQGHGTHVAGIIAAQTN NPFGIIGAATDVTLGAYRVFGCNGDTPNDVLIAAYNMAYEAGSDIITASIGGPSGWSE DPWAAVVTRIVENGVPCVVSAGNDGDAGIFYASTAANGKKVTAIASVDNIVTPALLSN ASYTLNGTDDFFGFTAGDPGSWDDVNLPLWAVSFDTTDPANGCNPYPDSTPDLSGYIV LIRRGTCTFVEKASYAAAKGAKYVMFYNNVQQGTVTVSAAEAKGIEGVAMVTAQQGEA WVRALEAGSEVVLHMKDPLKAGKFLTTTPNTATGGFMSDYTSWGPTWEVEVKPQFGTP GGSILSTYPRALGSYAVLSGTSMACPLAAAIYALLINTRGTKDPKTLENLISSTARPN LFRLNGESLPLLAPVPQQGGGIVQAWDAAQATTLLSVSSLSFNDTDHFKPVQTFTITN TGKKAVTYSLSNVGAATAYTFADAKSIEPAPFPNELTADFASLTFVPKRLTIPAGKRQ TVTVIAKPSEGVDAKRLPVYSGYIAINGSDSSALSLPYLGVVGSLHSAVVLDSNGARI SLASDDTNKPLPANTSFVLPPAGFPNDTSYANSTDLPKLVVDLAMGSALLRADVVPLS GGAATATARLTRTVFGTRTIGQPYGLPARYNPRGTFEYAWDGRLDDGSYAPAGRYRFA VKALRIFGDAKRAREYDAAETVEFNIEYLPGPSAKFRRRLF MYCTH_2306025 MKFLSTALAAVMAGSAMAAPVLENTTSCPCNNGGDDGYDVDAPT VDLPTAYSTTAVTISTATTVATTVATTVPISLNTSVPVPNGDDGADVDVDTADVVVVV KTVVATVVDVEAKVKGHLDLIAQICAGADIDAQALLKVLVDLKVDLQVLLDLVPKLNG LVIDANALVAADVQVILDLVVRVEALIAEIQTVLAGLAKLKAEILAVIGVELHACLDL VVSIVGPVVNFALAVVAKLSAIVELKVLVGQVSAVVGKLNAHISALLHVLGPVFKLLH L MYCTH_2306027 MKFFSSVALFLASLATANPVSHNGPGPYHISEFYASKIHDSGTC IYDFDVTAPGLDGAVHCHASVDAGFSGATWLAYVYEGAGNCNNSAVTWTFFQPASPVG SDAQFNVTVNGVKRRRIIPGSDISVNLNNETNPFDNDVYYSGPREFDITESVNN MYCTH_16301 MASLRSLLAAGLLFLSAANAHFELNQPTPLEGDKMDESLESSGP CGGGVPDLSKNTATDFHVDGDAVSLLLAHPQANYLIRGTLDDKAAGNWTQLFPIVQQS GRGDFCEPSVKAPKEWAGKKGFIGIACAAPDGMLFQCAAVNFVSGAADEVPSSCANGT SVSISFTDDASLSALLGDSSTNTPTSTAGSPSQSTSGS MYCTH_2306028 MEGTHTHMNMFSTVNTDLARRYWYTVAGFAGAFMMIRAVNFYKA QLRLRRKAANSVEFPTKPSNRVLEIWATLTAVVREASAPQLHIPFRGFSWLTPPPLGR LITLLVYWIIIIYMMTINVVVKDVFFWERIGYRNAWVTITQVPLLYLLASKCSVVGFL VGTSHERLNWLHRWVGRTMFVTASVHGWHFFTDWVRTDFVEYEFRVMPSVKYGLGAWA VLLWTLLSGLAPFRRMAYELFVLQHLLSAAFFLWLIYVHVPSNARYNVWFAIAALCFD RFCRIGLLLWRNVKFFPDKSKCRGGQRIGHQAQFKAVGESITVITIKDVHFKWRPGQH LYLWVPRLGPLEAHPYTIACAHKLPGTCICNSIQLVVRKHGGFSRRLHEFAAKTGGKE HQTVFVSGPYGAPPRWDIYETIVLISASTGASFTLPILEGLLKSKRTNCVKRVDFLLA ARQGEEIDFYVSRLHTLIGRATEVGIELAVHIAVTQGASTFMQDPRAAGIQNVGTASV ASSGSNLGEAMDEKVAGGSSADVEQQPVRGARKRASEASTDSHVYFSSVRPDVDDFIR GAVEATGGETSVVVCGGPSLVSRVRNCVAALSDERAVHKGTGAQGIHLFAEEYNF MYCTH_2306029 MEQLAQKVGGMSLDAITEKYPNCHPEINPFDFYRAHLANVLSGI TGVEPKIIYPALSWTSGLDKGDLMLAAPALRIKGQKPDELAQQWADKFPEDDPLFQKP TVVNYFLAFYLKPQPVVQTVIPLIQKQRAEYGRNKYHGLRDPKDPSKGKKRMIVEFSS PNIAKPFHAGHLRSTIIGGFLANLYEGAGWDVIRINYLGDWGKQYGLLALAFEKYGDE EALKQDPINHLFQLYVRINSEMSAEKEQIEKRKQEGEDVTELEANSLDEQARRYFKKM TDRDPSALAQWKRFRDLSITRYQETYARLNIKFDEYSGESQVSEEMMERIGKEMQEKG ICKEDKGAMIVDFQELVPGKEGKRLEKPIVRKRDGTALYLTRDISELLARYEKYKFDH MIYVVASAQDLHLRQLFKIIELLGHKDIADKCQHVNFGLVLGMSTRKGTVKFLDDILR DVADKMHETMKKNETKYSQVENPDATADTLGISSVMVQDMSGKRINNYTFNMDAMTSF EGDTGPYLQYAHARVSSIRRRAGLSDEDLANADLSLLTETHAVNIVRLLALWPDIVQT TLRTLEPTTVLTYLFKMTHALSSSYDHLQVVGSERELMKARMALYDAAHTVLGNGMRL LGLTPVERM MYCTH_2306031 MSRKAAWFRQRKLCNFLMHTSTSASLHSYPTLERDRFLYLLFLW PDQYIEWIEQLTSRTVSRLCWGTPQPGQILRHTTLGLLETISPSGSLPNVLNFLRHLP FLLSPWKRKEKGRRELEMRLFDANLAFVQDGLDRGQAGPSFVRAFFETKEDPDERVRQ RWGDVPEAVNVVGLMAIAGALTIGSPLQSYMLAMLHYPEWQSRLQDEIDAVCGGACPQ WDDRERLPLLRAVVKEVIRWRPPVPTGIPHASEADDVYNGYFIPAGATIHALEW MYCTH_2306032 MGGMAWGFNIGKKRDPQHAGRELAVHWNDYTPLLIAKPSIFPFE ATPRSPEKAGRMRAMYGASVAAGRLPRDMDLGQFRDELGDQVYCDVDDDLMRCEQEEE REEEEAGKARDVPAVGGGEQDEHNGEMKEAEVMGREETGEVEESGENDKAGDVDLSEP RFSPKFQRHSPSCSGRERPSPIRFVEVAPDVGGENW MYCTH_2306033 MSTPRRSTYVYSGTGYQPFAVQEDRPLPDPYRSLRGPPPAQAEE AGFQSSQSTIRGSPTASGRSSTEYSESYVGDIEKSLHNDTVPSLPLHSLQSDNGSHDG EQQDKEKYGAPGVEMKSTTTTTGAAADHSGETGARARPKLPIRERLKHFTWAWYTLIM STGGLSLLMRAQPHQFPGLFQIGLAVFVINIVLFVLVTLAQIARFVLFPGIFARSLGH RREGFFFPTFFLAVATLITCTQRYCVPEDADEARATAGTLLWLLRAAFWTYLLLATCV AVGQYSYVFSAHSFGLQTMMPTWILPIFPVMLSGTIASVIAGSQPPEEAVPIAVAGLT CQGLGIAVAFMMYAHMVGRLMQSGLPDREHRTGLFMCVGPPAFTALAFIGLATGLPQD FDHDMDGFVDAVVIETMGLVGAGFLWALSFWWFGIALLAVLQSPPEHFHLGWWASVFP NTGFVLATISIGKAYHSEGILWLSTALSVVLLLTYLFVLYHHVRAVIVQDIMYPGRDE DVEDH MYCTH_2306035 MTSNGVPVNQPPDPTLDAVMDGLPEPFPAPDPTRFPNTRRRISS SATKGFRTNPPDSQTSDPDTCRICRGEGSEDEPLFFPCKCSGSIKYVHQDCLMEWLSH SQKKHCELCKTSFRFTKLYDPNMPKSLPVHVFLRHTAKYLLRNLLVWMRAALVANVWL VWLPYLMRTIWSFLFWISDEGLGGKAVVSGVGSGEFDLIAGASHNSNVCPSSPLFAAT TTVASIGGVIDNLPPESARLVKGLYGIKLTSSDPIYSAILRLFFGPVATRDKPAVGLN ATGAAPTPSLYHKSLLSDVDFLRNLTRHPSINRAVIHVLEGQIITILVIVCFILIILV RDYVVQQQPEINMRAAFAAVENPVQPAAVEPANAEVQAEAEPDDEQFEDVLDETTYAF DDTNSETADEDLRATLRDRLPDEPAESSSRPMSPNLVPPTIPAQGNDMQGLDRTTIQE YLDIYREAGGNLEEVLRIARERNLEVKLDHWMRLTRSMMARQRDNDAVRTDQAEPSGS VALREEKSDTNPFGPLVDESETSEENNSKGKEILVEDAEDTWGQPMGLPLRPRAHTDG PLINNTVNPFANNNWSFTDLPPDPPSRDSVVPESGIQRPSEHAFPAWEAPESSSDATA PRDRGASTDDSSQALPVGPDSPNRADAQPVPQAPNARGPAGFIGRIADFMWRQVDAID PDDLAPVDFLGDFAEDEPEEEVVENAPANEAGQDRQGADAAGGLDAEAIEDAEDLEGI LELLGMRGPVAGLFQNAIFCAFLVSITIFVGVFIPYNIGRVTIWGLANPMRIARMLFS LSKFLQDCLLLFLGLASSTISESFYLLSRALWLSSVADYSLRAVNASWFMALGAANRI GAGFVTELPLISASEVRNFSAISHAALFVLKDRIVLALSSLWHFVEFFFGGDYTVKGA EAYAAAAKILVFVKRLLPTVFNPTSWVLNFDLPKNTDTINHELAYWAGMDRFWATLAG YIAISLMAALYLRRGAPLSTTQAAQEWEASIIDGLNQASGVMKVILIISIEMLVFPLY CGMLLDVALLPLFEHTTLKSRLLFTLNYPVTSIFVHWFIGTGYMFHFALFVSMCRKIM RKGVLYFIRDPDDPEFHPVRDVLERNVTTQLRKILFSAFVYGALVVVCLGGVVWGLSV SLPVLPIHYSSNEPVLEFPIDLLFYNFLMPFAVKFFKPSRRLHAMYTWWFRRCARALR ITWFLFGERRVDEEGQLILPQDSPDRNLPFWRRWFLEVDSNGRVVARSWRGILQGGTE KSLATKKERIPRKESLIRSGQLIPDGRFVRTPASDQVKIPKGAAVFLDVDENNKRLDK LPETDIYSTKQYQLVYVPPHFRFRIFLFILLIWIFAAVTGVSITIIPLVFGRWMLKQL IPPHIRTNDIYAFSIGIHILGSASYALFRVRAIYSTKNYLAAALGALGHRDVFRRTWH AVSRAARVAFTYFFTLVVFPLLAASLLELYALVPLNEVMYGSVLRPGFPNSVAAGSVK PTVPTHTIRVVQTWTLGLLYLKLAMRITNTVFRGSRVSNAARAVIRRGWLDPDVSILT RVFVIPGLTIWLVAVATPLLLAKTVIANGFAHTLIRNFVTGPVHEPPDQALYDVFVVL IYRMSFPFVAFVILGGLSLWSMLGVFRSWQVRIRDEAYLIGERLHNFGGTNAFRDRAV WRHGRRRI MYCTH_2306036 MDDTNGTPRTSSSSSSRAANGINGATKSPVNGHAPSNKTRTKPR GPGLFSRTFSIAARLLTWYTILTILFRCPASLDACDDTSPRICKPYFQLKHVVAPHVE PYYDAYAAPYVEAVRPYYNIVDQKIIAPSWGYARQYGAPRLQQVQAFAEVQWEKTVQP QVKRYQSLARAQYDEKLAPHVNTITTALGPYYEIARTNSLQTYHEFLVPAYLYMQPYL VEGYRATSNFASNTIFPTCAWTWNKTYNFLNGTVGPQIRAIYVENVEPQLVKIGKRLG RYSTGKKSVPKPPTDSSTSASVKTTSSFTKPAVSVTATSTSVYAPSSSSSTSATETKK DRAAAESPRLRSTLQPIPPPEVDENLEKEDPRRRETREIVAADLKDWQERYAKAADEA AAEIDDRVQEITKTMMRQNARVTGKAHLDRLQSTAVDELGALRSAIIEIIGTVKKGGA TPEDAQDQIVKAVRKAGLAVKEKGQAVRTWREEYEKELQNSVTQAAETHFTILENIRD LALQKIGMKWAWTDGITYKDWAKYHQLKGRFDEWKNDLRNHVISHPSIEVAQIEAANI EDEAMEVAASTAKELARLKQVANWKLTAGDDTPEFDSTLMQQAAEAVEAAKLAAASAA EAIGNVAKEAKDSVLDGISEGVSLVSEAANQASSAVLGSKEAEGGSEEQGADSEDVSI ASETSDPSSEPAVEASLVLEDSQSSPTEVPRTEDATKLGVVASSEDASEPGEQATARA VDPSSELSVASEELSSVADATSEPTPIVADQPEIVEQPENASSMIFETPVILGNSTEE VEDVGEDKASPVELPTEQSGSTEEHEDNGDLGVEEDALPVSETATVRPAIFGAAAQSV PNRRPILDEDTLDDDVSAAMESMREDLKSAYTSAMSLANDQYSQALSIVSAQIRGTPE PAHEKLLASVTAAYSKAMASASSRLDDAIKGASDQLYGSTTTKSGILPTELPVPAVEW SQIQSIASERLQQGLQWAEEQYESAKVAVGLAEATDAVKDKASGLKDEL MYCTH_2306038 MDSFIPMGGVQLPAFQRRLSRLYNDTKKSSDFVKEPVHHAEADP EIKALHRKLRIQKDRLVTWGLEWSDPSQSAEVLIDSSLNKAGLSEVVGSIMSTIKDIL AEAEPLWHSSRRLAGEKVEPEEAAKKGEKIRMVVWDKNRFEDLIRDLTTSIDTLYDLS RTRSSQASAALRQRMPAPTPAPEDLRPFESSRIQTPRQIDPKSLTNLRSLQAEPMTEL AEQERPREIVFMSKQAYAELTQSTAGGRQPHAPLLLEYAPFDPIYSMTGISPSMGRFE KVSAGLQQEPQRPPGSWTGLPRLLGYFEDMDNSRFGLVYQFPRTFNPVTFEHLTQNPL YNLCSLSDLLARPDFEPKLEAKFRLAANLANTVFDMHARGITHGNLISENISFCNAVG TDPEVSGITQGEVDIRRPLISSFDIFSDPQSQDAPKPYSLYKHPLDPRNSTLSPLANN ADSKTFDLYSLAMILLSVGLWTKLENLVPNTESPTLPESVLEQLAIRCGSLYMKAVQT CWNAVDQEIAGGQTTEQIVSRVQFKASRYLEACCILDGVSNLEERLGDDLGEPRPEPV QPATAGPSVRKSEDSPSSQKPESKPEQRSAVESSQEEAQAESQAEESIRSSKKMRLYP HAPLPPDIIEKWNTVFMPQINSALRHFYRKNPESVEISLESIGESPQQTKPTVLVVCT SVGKVKAILKKKLGNLFDGTAGVALKVCRGQVLRSRKQPSRSMAKSVDEGQEEVVAAN PGFQERPRNGASIGAWIGDRHLPPVSLGGLIMVDNKQYGMTVHHMLDDPDQVVQAGKG GPSRSMAGPRSDLAAWYAEQYEHSEPDPDSGSEVYACEFSDSDLDTLSESAITSDYSD GEEEEEEEEEDEQFTEPGDIPGVEPGCGDGYIITQPALDDVPEGFYPSAETEDEDHLD TYSVGEVYASSGIRRRTENGLLHEIDWALFEFNEDRAPDGNLIPTLTPAPKSEGRRRM SWESEPADDEGNEFSFVHPTSVVPSSSLPGLEVQCMARTSGLQTGQVLQAIASVKIYG RVSPSHIYQVAGTYPPQSRSYPRPRRPVLPMGIPGDSGAWVVDREQGAVCGHILAWSE RKRVAYICPMDVLMLDIAETLEANEIRLPGGEIIFSRKPLSTLAGPTTREKRGSARMS TQSSRLSRASGLSRVSEQGSELDDDDSEDAASSLVSPLQQNRQSVQSRRSRLSQRSSY LAHPPQQQQDDVDALTPAPGKSTRHDHDDESYDEGVEVELPPTKEFMDMQLSEKDVPV GMMGWIA MYCTH_2306041 MTKVGFPRIRVLALLFIALSLGLVGTLLVTRDDARLEPLPLAHG RPDPNARPAIKTASPRETNIKEWSFAPPRVPCVGPRGLVLGSEENDDNLRESIIDISY PRPFVGSHETLGLGQTWMTAAERYGPYGWEEEKPSYDRIKVAWNSTDWAALQNQCLER NARRFKDTTPIRPTERRFRMRKPGEEVRLPAIHPQAAEHVPRTAIVFRAFEGYNYTKE DLVNLRATIAETALRYGGEYTAFILVDVKNKERNIFSSEENYQRAVRELVPPEFQGMA VLFDETLLQSWYPRIKSHITTYQVMQPLQLFGLFYPEFDHYWQLELDVRFTGHAGRYL AAMSDFARAQPRKQSIERSTWFYMPTFHGNYSDLLAAVNATLEGGGGLGWDMRIQDFE PIGPRPPVDDPRDDNFEWGVGEEADFIAVGPCTHVQYMVDWAWRHWYQGLRAGTGTPR WMCQPAMGRASRTLLLAAHHAQAVQGLAVHSEVTLPSFALWHGLKLVAPPHPVYRDPQ WPLDKMNEMYNGPGDVESHKKPGMVGMARGEAIYRITPHAYITTSASFWYSSAFPDKI YDAWLSRGFRGGNDSDDLPYLLWRSEDGKIYAPNMMLHPVKTNENLIDLPAGPGPVAY AAMGGVVVFILCLAAAGLRWWRLRRGGLLYTPLRR MYCTH_2306042 MTAPVDSDSTVASASLATCPNDEVQSSERARQREIAAYFSAASF PPGLPAAFQEKPSLCTDPTLNALTQLGALRLNVDRQYQYVICEMTCSHSFVEMKCDPG DTVAIGVCKLRNCDGVCPATMKAFIG MYCTH_2306043 MVSLSSQESTQSGQSLATPQEGASEAPPMTPRDDLRTNPMEEQL LAAIAHSPAERSPSRSASVPSEHSESHGFISSANIKITFFRAAATIRRTMNLDCRMFL DAVPSSYLDRPDQPSLDSRDPPRSPTEGPFCATIVKPVAGHGTDAATHSNFQLPEVSP HRFIQAYPRGHVFTADELGPIDDSYGLGKPFQSRPAADKKSLRLRNDITALFRILPAA KYIILLPLWHFQRECWYTATFGWVEDPIRAVNVGELGLILAFGSSVMAEVSRLETLAA SRAKSDFVSSPSHELRSPLHGIMASSELVREGISDRTLLSTLDMPDSCATTLLDTFNN LLDHAVVTHSGLKRGSGALLTSRIRETDLGALVRRSWRSLEWDISQGTRFRCIRGAAN VDLPVGHRACRTIPCSSRYRPRNASGSFPSTWAFGNVLS MYCTH_2306044 MDDNDRYLTISPPPTPAFDDDGGGGGGGTSTTDGYLRAALEDIV TNYPPRSRYPQEALHGLWSGPTGVAYLLLTVSARRPDLTLQGRPAADWARAYLGPGGS RGHNLRLGSHGCGIADEKMAYEAVRCAAAAAAAAAAAKEGESSSSPGGLRDSVRRFVA DCAAQVLAVDEYPDEMLYGRAGALYLLRMVRAWVGEASRELVDPAIAEISNTILNRGP RWRWHGRRYLGAVHGDIGIVTQLVLTSPELAGRLQPVLERLLDMQLPDGNWPSSEESG ARGKGLVQFCHGAPGFVLSLTALRPHFPELRDRIDAAIERGRECIRMQGLLKKEPSLC HGIFGNALALAPGPERERFLAIATPESVTRMKRADPSVFERADYGRSYATTTSYASSA VWAWLVWRDEEPKMLGYNDV MYCTH_2306045 MFTSRFPVRGAPVVRSASLRAARRTGRRFQSSSSASSSTTGGTS SYSSSHFVSGVMGGVAGAGLLYGIYLMTPTGKMARKINAAAKEADNKYQQVAATLRDK TPSTDEAVDKLKQFCYSYVIWIPGGRQYVDTAFNDLAAIRESHAEEVDKIVSETYREF QDVARAGLSLESASKAYDALVNLAKKIASLSGSAADQILQRHPQLNDKVGGSIRELKE MGAQYGPEARKLVDETWSQVNDVLSRGFSAENADKVRKIVQERIQQVRKAGDEFWDKS LEQAKPYLDKSPKLRELVTNNRDVLKQGNVAGLFKQLKSLGDGGDAAKVEEYVKQAVD KAKDKGSEAAGGRTGLSALGQFLGASSDDAGRKLQDHIGLLSEAVSEHSSEAKQLLDE TKNDLRKLVEEKAKKAQKIVERTKNESSKE MYCTH_2306048 MLEKQSPMRIAVFLRKKSPFYSLRNDEVLAKACSTLGIDPVESR AKLMTVCKWDRLTVFVFNVWYDGYDWATAHHKVDLPVVLVDYGKKVSTVKICSQNFCD EVNHFVARQHELHGWDSKPPYFEDHTLPGVVPTYPNPRCVKLIGPDGIARRQVKTPPP GSTGVYPP MYCTH_2315462 MSLATSFTSSFSGSQTSSKRTRAPDSPPSNSQPHKKHGSARRTR QSASPRAAGSSTQASTSSGSAIPPPASTKKWR MYCTH_116553 MHLSSSLLLLAALPLGIAGKGKGHGHGPHTGLHTLAKQAGLKYF GSATDSPGQRERAGYEDKYAQYDQIMWKSGEFGLTTPTNGQKWLFTEPERGVFNFTEG DIVTNLARKHGFMQRCHALVWHSQLAPWVESTEWTPEELRQVIVNHITHVAGYYKGKC YAWDVVNEALNEDGTYRESVFYKVLGEDYIKLAFETAAKVDPHAKLYYNDYNLESPSA KTEGAKRIVKMLKDAGIRIDGVGLQAHLVAESHPTLDEHIDAIKGFTELGVEVALTEL DIRLSIPANATNLAQQREAYKNVVGACVQVRGCIGVEIWDFYDPFSWVPATFPGQGAP LLWFEDFSKHPAYDGVVEALTNRTTGGCKGKGKGKGKVWKA MYCTH_69854 MPYSYYFSRGLCCRIIESSSRYGKYVRRRRSYDGSGVPVSSLSR IMDESKRLDRLE MYCTH_2306052 MWRKNRQRQRGTTCVGRDLNRNWDVHWDRKGGAATGPCRSTYRG SKPLDAPETRALAVELQAIKESQGVRLFIDWHAFGQLVMYRRSQRPPPSS MYCTH_2063030 MSDSQKSPSIEDLTPEEASRIIHSHRKVRYGTACWPCRQRKVKC DNKSPCENCVKREHPQLCSYKPNRSATTGKSVSTSSEHPTSGRKRSRSPDRQESRSQS NEAREAIRAYVFIDPDNTETARYVGQNSIPALLREQTSVSEPQEVNGIRQDMRSLLGL DNSAPFPLMSSRHLDRLTLDISSELPSDREVMKLFRTYKEIPQPFWGFVIDIDDLESR LMVYLEERAKNARSSIKSTKPVSASWLAILFAVLAVGSQYHDSPYHVRTRDSQKYIQI SFHFLRLGNFLLRPNLDSIQALLMTSFVLLNDMKAEASWALLGLTCRLAQSLGLHRAQ PLDGRQTPDAARKEMARRKLWWTCLWHDTLTSLSFDRSPMTNIPCCPIPMSPTANTEG WAYLEAMFNLCQIVSQRLNPDAIATATYEQILDNCAAVENIRSKLSIQLRSKETCKSA LDRLQHFAIRLHTSFMISVCCRPALIRRDSNHLDATQRKFLADRCKANLTETVRMFLA MHQLSVIPTRSWAFTYHGLSSAVLLGILGETKADPEVRELQGDLISALSATAAKDQTS PQPHIRKSDLDIELSGPLSRALAALKNIYDHGSVVAPPIKRESSTSGPASGARTPLPQ MQAPGFPGNGALAQDPRAVPATLDPHQNAALAMTELQQQSGGAVADFSAGIPFSQTPP VPGAQQQLPDIAAFDPASYMSPMDLYDSIFWESPDPFNTGMDAMNFDFLAHPPPGQPT QQQFYF MYCTH_2306054 MVALSGAALPVTPDKNTRDKIEIEKVVRKLNTDYGIGIQIPDPT LSPNDRRNLGARDEQFARWDRIHRGIQFLYYQRGDVLEQALCAFFSEAKAASLRWAPK PRANPGTFPSIRTPPKAQTADQQENLQNILIKVINDYKARMTPSLRLPKPSGAAVVAR PGDESDASSPKSASESPSSASSKRSFVRDDDHESKRLRAKEFSALRYQSPASIDALDK VPSRRRLGGARTDLSPERRSVDEEPGISSIAASSNSSSSNVSSLFSHSEGQQLSQTTQ DEDVYEKRLLATRGLAFSPPLHCDLPRRSAPQASAFEELGDPVQHPSQRSSTSSGDGS GFAGSSNATLGKTLETPRNRGDRLSEARKPSVIHSRLQNIWPKFPAWLHDAPLAIAWE ITRICLHCKVDLEDSALKYDPSWASSDMLTIWKSLMQLDVFRGKSFPERPSAEVFAAG LTSFESRGNAVVMSAALEFNPEEDGPLFLLDMRPLQFDEGCRLTRRFGPDRFLEVLVP SPTALNAPPIIKKTQGAAEDIIRWLTQQPHFLVGRQWRAFFSKDAGYRKPAKDFTLGP DAKAIYKERVHFFAESGPNFRPAVIRAWHDIPTDTVQQRTQLKVSQMLDWLLQLESNR EQPYLKLFSRIQLGLSKTTPTVTFEPGQIIHRPEDILSPTKKIMNDGIGRMSRSVARK IRDLLGLTDIPSAVQGRIGSAKGMWLMDVTDHGDADWIETYPSQRKWNCDDADEPFHR TLEVRKVASELKPASLNLQFLPVLEDRAVDKKAMRCAISNRLLNDLAKQFEDQKTALK RPLQFRQWVHENSNNNRPERVKHGQVPFLGGLPADKNEILALLMNSGFDPKSQRYLRD LAWELQKQKCDTLRTKLNIKVGRSAYIYMVVDFWGVLEENEVHVGFSSKFRDELDGVS YTLLADCDVLVARSPAHFVSDIQKVRAVFKPELHALKDVIVFSTKGDIPLADKLSGGD YDGDFAWVCWDPEIVDNFENAEVPVEPDLSAYLRKDKTTFDELVCRTGKTGRRARHDA VYDMIDKSFQFAMQPNYLGICTNYKERLCYYNNSVSDEGAVLLSSLVGKLVDQSKQGI IFTADSWDRLRRDKFPGKMYLDDPAYKGNYWSGPGEPSHIIDYLRFSVAKPAIDHELQ SLHESMGPESSAGASDGAAHWWDPDLTTYYEHLKGLAKDTRSRSLKSLLDALQRAIGS AELEWKRTISSRSSTLSYPDRVKKVYAKWLEIDFASVSGRCSDGIGSGSKLAALLEQP FLAERGAGATSYWALLKASTAFKLYYNTSPKFVWQMAGYQLAFIKAQVSSGVGGMPVL VTPLMYAGLATDGRFVKQYVARLEGDGSEYHDAEGGRDSGDEFE MYCTH_2306056 MARTRAQTAAQKALPSPASNSQGNRLGPRKDSSRKRVSRHAERN RLHPTTPIETPIPKEQPAPVQSRCRKRKHSIEHVLETSPDPNPDPDPDPKRQCRSPQR RTEDAFVEPAISSGVYKHTDPIAFWVKEGRWPEKDWPEETSKTDFTMDRLPLARKKSS SNLSRKRSNSTTSWTTPSDQKPREEKSVPYRDQRYKTLLEVKGSYMTEAPLGLAGAGQ ALCRSLLEKTTLVPSDNTLFRDDIFETTCQKIDNKNEARVIQDISRLIVPSAESLATF GAKHLDILIESVNEGWNNSIPLTSPRPQPDYSVGFKRDAFTKDQLAKLSPFIGDFIAG DQSFFIATYYMYFPFLTCEVGALEIADRQNAHSMTLAVRGIVELFRTVKREDEVNRKI LAFSVSHDHRSVRIYGYYPVINGKDTKYYRHPIHEFSFTTLDGKDKWTAYRFTKNVYD MWMPEHFKNICSAIDQLPSDLDFDVPPL MYCTH_2306057 MHLDHPVNQSLQQIDENSWLFGGGVSAVWRVGDAICKAKKYTET LERSLLDASSPIVLWCCQISRHRQGFDCWRKRAQVQSFDPSRWDLRCS MYCTH_2118950 MSAATLPLEDLELALELQREDLEGLKKAGKGKGREGETDDFNLA IQLYEAELASCILVTSDYSMCRSIARAVRLDADLIGPILLEEEQAALDREFARTLSPE GMPTTPGGRASIVSALDEQLLAKLEALYVSTEEHPAESSIWAASRPVPWTGGGEGEQP KIECIGCGDKRYRSDVSRCPCSHEYCRECLASLFMASLSDESLFPPRCCGQPIPLDFC RAYLPTNLAGEFLVKKAEMETPNRTYCHQPTCSVFIPQQFIDGEVATCPRCQKTTCVT CKGQSHEGDCPYDVAAQELLRVAAENGWQRCYSCRRVVELDHGCNHMTCRCGAQFCYA CGLVWRTCGCEQWSEERLLARADAIVNRDVDAGRLDDVERANRLERERQNLMLNHECE HRAWRSRGGSHQCEECYNFLPLFIYECVQCRIMACRRCRFNRL MYCTH_95293 MKFLRKSDRGSVLGSTLFSLAFLFYSPPTAAQSPPPDGAVYDYI VIGSGPGGGVVGANLAKAGYSVLLLEAGDDSPGAGFGVYTPTVTWDFYVKHYPEGDPR DNQYSHLTWLTPDGRYWVGQSGAPEGSRLLGVYYPRGATLGGSSMINAMVVWLPNDSD WDYHAEVTGDDSWRAENMHKIFQKIEKNNYLPRGTANHGFDGWFQTQMGTMVQTNRTG PLQGNGVMTTYAQDWNLTIPMSDLLIRDPNEIGPDRDQTSSIYGQVSHQFANGNRYSS RHYVQDAVSSGANLTVSLTSLATRILFDTVTEPDSPRATGVEYLFGKSLYRGDRRRAD GAIGVNRTAVARREVIVSGGAFNSPQLLLLSGIGNATELEALGIPVIRDLPGVGRNLM DNQEMPIVGTGSPGGGPGAVAGVAMYKTRHPAHGERDMFLFGGPGFLFRGFWPNEAVH LPDEPAQPVYGVSMVKGSSVNNGGWVKLRSRDPTDTPEINFNHYAVGAEYDLEAVKDT VAWIRSVYRRVGIATVEPPCARGPDENGYCGEEDEAWIHKQTFGHHPTSTNKIGADDD PTAVLDSKFRVRGVRALRVVDASAFARIPGVFPVVSTFMISQKASDDILAELEAESR MYCTH_2306062 MAEADVQKVIDGLGGRVNLQPRKASPVQPKALRSADIARMGAAR ISVGPQLYNAVVATLTAAANEVFAV MYCTH_2306063 MGLLLWNTASNAPYPGAPSSSDGLSNWDRRGAISSSSTQQRQHP TRTHPSATRPSHLAETSSPPGPDAGRRYVPTEERDDCHHRKVRRIRSAASATPHRDNH NGPPGGPFCLTIPHQEEDHRRPPDRGGFNGRRSRSRGPSARGALVSSSLFATTLPAGQ SGTTRRRPPSLERQGAFRDERTARRRRRWAPSGEDDDDDDDDEHRQDAERCRIRLLLN DERELDKGFAPDRIAREGPGCYCYYCCSLPTWRPRGQERRGEDEALAGWLLSGSSSSS STSSFSTSRLRQVDAVREEVPPWRDEASYDGQQLTVIHELADDLSDDVLLSGVSSVAG QVDDRTVWAVLDDRNHLDAAAFQAVGAMVVGMDESRRSSGYAGHDGS MYCTH_2110896 MPLGSELFSVPMMVIKGLDGIIQDGSLPPQSRDCISSAPASVPF PDVYVPPEGVRPGDRVPVLHNFHGSAYAFSSKDIFFSPMGLFDLMHRQNKTKFVAVAN NYRSVLLLLLLLLLLLLLFLCGTNGNTGVTWADGEDLDGNVGMLDCLAAAEWTAAHIH KCGGDRKRVTILGQSAGAGIIYYLTVLDGGRGQTSVSNPELAFAFEAKLLALVLGEDM AWPTYGKDKRMYNITNTFEATTMPPKLRHRCELLSRFVLDPANGA MYCTH_2306064 MTTKKVLLVVNSEYGQANVFLAAGHALQALDKDVQIHFASFKQI ANDVATSSKYSVKCTPGAAPWTFHLLDGPSFMEAIQYKDGPRTALERIMGQRPTFSSI MAMMKVLVHLFLPWDQPEFDLVYKSLVRIFDEVQPDITVVDSLFAPGLTACRALGRRH IVLSPNTLKDFAVAFQPRAALLWKFPIMGSAFEFLVPWRNIPANTVYGLAQIYFSLTD TRLRETRARARQKLGAELVTFESLMMNPPAGLKILVSNRPEIDFPLVIPGHLTACGPL IRPVAPVADDDGELDAWLRRGPTVFISLGTHRVLEEYEAVEMARALRVLLDAVEAWED TLRRRGGEGGEGGEGGSNVGVGGVPGKLQVLWKLKRHKPGQDAMYEVGPGTRVHGILR REIEADRVRIVDWVKPQPSAVLQAGTVVCSVNHGGANSFHDALTSAVPQVILPAWLDC YDFANRAEYLGIGRWGNKQAMPSCAEAELGPILVDVVLGPRAAEMRARVRDLADLCAK TPGATVAASSILDELKSYDEGEEGEK MYCTH_2127657 MLSTAVRRLLGVRFSTADEGASEVTDDGTDGMLPDPAELGNILP VRHNEFLGLGNQALEDKVDDVLATALGAPSSPAVGRSAPVVRRAGPCDAYSEACRPVI QANACFAAYIVFGTKEQVLQCVDVNDLAKAEEAICACYGCAEQAVQDWAVETLGCEAP SD MYCTH_2306068 MLEYYYILLWAPLYAALVGADTDPLLIDCYRWDGGVTANNTKCP NSNACCGPTATCLSNRLCHNVGDGPDLFVRGPCAVKGWDPDCAQICKYDEQGGVFPRV TICADGSLCCNNDPQCCQDGKGVFLDEAGNIASARATAATTSYPPLGGGPSRYTLTPS ASTTTTPTARSGSSTSNSNNPSSMRASSFTSEPGETAAAIASSASSTATRTTAIDQPP SGVLGSSSNSGSISEDDDNGGGGGGGNTTGFKIGLGLGIPLSVLVTACVVYYLAARRH RSHFVSSSSGSGGGDSPASYYQHPSVPPQQQQYPGSAAHSPGPGPAYYVYGGTVKETG TGPAGQQPTVAVVPVEAQGQSAAELDAVGAGRNGAREERYYNHGVEMARGWYAPGGPG GVVKS MYCTH_2306070 MAANETTSAVADGQSGAPDAAPAAGSPAETNNGHRGNRGGRERG NDKQKRKHSGFGSAKGPVPDKRERVQNDRNAKRRKVIDEDNKGSSYMSITFTPEEIAA EGRRPKRKVAVMIGYAGTGYHGIQINHKDKTIEGDIFAAFVAAGAISKANADDPKKSS LVRCARTDKGVHAAGNVLSLKLIVEDEDIVDKINAHLPDQIRVWGIQRTSNGFSCYQA CDSRWYEYLMPSYSLLPPLPRSFLGKKLAESANEKGVYDEYVERLNDVKDFWEQVEKN DIQPILEKLEPDVRAEVLRKLHDTQDQDLGDEGAQTKADSDAAAATNAGEDTEMKEAQ GQEEDVEEKKKEKPKEDAEGGSAEAGAPLENSASETQGQSAARGPRAEPVLTPVERAL REIKAAYVAAKRRYRVSPVRIQRLQQALDLYLGTRNFHNYTIMKSFKDPSAKRHIKSF QVNPTPITIRDTEWLSLKVHGQSFMMHQIRKMVAMAVMVVRCGAPLSIITESYGPRRI SIPKAPGLGLMLERPMFTEYNKKAASLGKEPIDFSKWEDKIAAFKDEHIYRRMFELEE KENSFHLFFNQVDNFRTDYFLWVTAGGVEASHERSERTEKVPKALETELGDEADGVEE VGT MYCTH_2306072 MDRDATSATNLLERKNILIADILTSVRDYFNLALAPVDDTASTG QTAERSLAMETKLSAMIKSTEDLVSLSRRIRELWIIGALKPVTGEADQGMDMDSEQVF SLLGALRERQRQSLLQHAAAAGGGFTYEVGGLDGPAVPGSESK MYCTH_2080893 METDGAKEKQENALSDSSSTAAASIHAVKADAETKTAEGRGDSK TAHPRDAESDADDVDVELGAQSRDGDGLNLNRTSTRTSVRSRLSRVLSRKRTPQQRIP PTPIPVSDLDAGVVGWESQDDPAMPLNFPQRKKWLIVGLLSGITLLTPLASSILAPGI TTLNRDFDNHNDVVGTMTVSVYLLGYVIGPLFLAPLSELYGRRPVLAAANIFFCIWLI GCALAPSIASLIVFRFFSGVGGSGCLTLGAGIIADVFRVDQRGTAIGIYTLGPLIGPT VGPVAGSWLSQTIGWRWDFWIVFIVSVIVVGLYELLTTETNPRILMRKKVERLKKELN RTDLRSCYEDPGAEKLSTSRVLLNGLIRPTKMLFLSPTVFLISLYLAFTYGTLYLLFT TIPLVFQATYGWSVGITGLVYICLGLGNFTGWAVVTATSDRGVVKRTKANGGVFEPEM RLPLTIIFSCFLPITFFWYGWSTYYKTHWIVPVIGLFPFSLGIIGLFLPLTTYLVDCF PMYAASAIAANTVFRSLVGMLLPLAGPAMYENLGLGWGNTLLGFICIVMIPVPLLFFK YGGKLRKMGLQLVN MYCTH_2306078 MAPSGEPVLWSLYVYAPNKVAPVFFAIAYGISAIFHIWQCFRHK AWKLMWLHSLCAVFFTIGYALREWGAYNYLYSPTDKTPLIIFIVSQVLIYVCPPLLEL SNYHILGRVFYYVPYCAPLPANRVLATFGGLMAAVEALNAVGVSLGVNPSGNSQSLGK NMTIAAISIQVIIIAAFVALAALFQVRFARTHLRSRAVRTTLLVLYASMALIFARCVY RLVEHTGNTEVDFKDMEALRRLSPLLRHEAYFYVFEATLMLLNSGLWNTWHPGRLLPR ENHVYLAEDGTEVTGEREEDSRPLLARTAHVLTFGILFRNKTKGGHHRMQELSEYPPS SRRFP MYCTH_2065658 MTENPRRHCWECLRRCLVCDSTRPACNRCAATGYPCPGYGDVKP TRLVWLAPGKVKSRTRRRKEATTVSAKPNEPRDYRQPSDSDPQTSTPALFDPSDAILR IFLSRFGVYTDADALVHGAEYCNFHTLHAMLTRPEQSMVVFTKTYVLSSNSGTIQPYI AYRLPLFANRFRSQTTYAMECFLQQGASLNWRPHADGAIKLVQLRGGFASMATCKTLG PQLLILFFVAVIGNTTCPASDLSMTQAHLAALDVVQQLSRTAAVPFQMCPAPLFAEII KINHLRHRAAKYASRGLVLPREMAQEALETLERVRAFSPDHWASTKPRSRPDWALIGH IYHAAVALYWASSLQSLSVLQAAAPPPPLCATAQARLLRGLLVRALACPHLRHFILWP LVVLGVQAAGEGGAAARDFVAAELPSLARSGGTRAPLTAKRVLERFWALGETRWDACF DRPYAFVMQIAADTSRIVSGGGGGCS MYCTH_2127665 MESLTAATPSLGSSLVLSLADLNMPEQFGFAQRQVITLFCMPSA NQDALLAQQAGLSPNELFKETVNFGLLKEIPDAPLVLYFRSVSGTIASGWRPNSYVPL MYCTH_2306081 MTAAVTDPTDVTPPNADYLYEDDDDDLEDIFNSADELDDDLLEN PSADLTKSYNRQRQIHGAASQSAAGLPASNSQKPKANTFASIDDQIAELSKHAAKIRL DNVKQNQERDKDKADRATAELVLDQRTRMILLQMINRGVVNEIHGAISTGKEANVYGA VLYPDGGGEPIQRAIKIYKTAILVFKDRERYITGEHRFKAGAEKGNNRKMVKLWAEKE FRNLRRLHAAGIACPEPLMLKLHVLVMEFLGDRRGYAYPRLRDARFTGDDVDERWRKL YIQLLGIMRRLYQVCSLVHADLSEYNILYHDNRLYIIDVSQSVEHDHPRALEFLRMDI KNVGDFFRRQGVDTLQDRTIFNFITAPDGPVDEPEMAKAIEHLYEVRPPVADTAEAAA ELEVDNEVFRNQYIPQSLDEVYDIEKDATKKVDELVYRHMLADSVVRKNEDEEEAESS DASSDAGSDDGAALSDGNSGDEDESRFEKRRPRGRKFEDKEEKREHKWLVKEERREKR KTKMPKAVKKRLVATTARKKK MYCTH_2306082 MPRPASSAEPRSRPTSYHDSPSPEFNVDHVPVEVLVRHLLAAKQ SLSSMALVLRANDLTTHARQMHEESVILGAQTGFLRRLIDEEVVVLRKLRRGMGRALD RGRREFVQLLRTLDAANEKLEGTMRMLRETKVDPVFRPPGEEAKNLMDFLDVNSVEAM RNTLKGSVDELQAAQESFDGDIYRFDHDLRLLNKTLAAAPSPDSPASSTAYKPIPHLL ATLVEHSEAMATHLASLTSHFDMCVKAVRATEGGAALARRRAAEATHDGDPVSISGVI TEQESHLPDLEPMDPQELAEMVQVVVGDAQEVDEVVAEIQAGLQQMEQNFGALKEQAD RIKGAWFATLGAFQVLEDLGSRLQSYVAAEQEFEQRWEAEKDIIYGKLEEMDSLKRFY EGYASAYSGLLLEAERRRAVEDKIRNTLRKARDNVNNLIEADRKQREHFRQEVGEFLP GDLWVGMNSPPKKWELVPVDADDGGPSGSQDGLATPTVAKPGKGKAPVR MYCTH_2306085 MRCWTATSRGSPRQALTLNPAAPTPSLPADGPPSSSSSSSSSSL VLVRITHVTLNPADLNTLRLLPPWLPFRRAPVPGMDFAGEVVALGGSRASTDADAAPP PPLRVGDRVCGAAGLREVFTGRGTLAEFVLLDRALVTPVPAGWGGREAAGTMGIAGQT ATAMVKRVVPGGDLRSDRRGLEGRRVLVNGASGGVGTVLVQICKGLGAAEVVGVCSGA NEGLVKGLGADEVVDYRLHDPLETHLVERFGEHQFDVIFDCAGSQGLYSCSPGYLKPE GRFVSIVGGWSQGVVPYIRNKLRPVFLGGTPRSYDLFLLSASGEIAREVATLVEQGVI KQAVIDSEFPMEQAVEAFEKLATGRARGKIVVNISNS MYCTH_95278 MTLRSLAHRLALSSYLGFAPGLPSSHNDRSPSGMLLVHTDILER YPTDHPWYEGATSYNSVPNSADPMPDAGSRNNPLSARWSSLSIHLPFKKNEERTGKLI PHELRQRLIFVYSPAETLPNNGILDPGIGGGGKTDLVLVGECIALLDASRALAAFGRL LRCPKAPRLSPSTGGPFSRAGRTRPAWTRYTYDRVAAAISSLFRPYSGTLASPSYLAA APTRVSPLNNVALPASEWDHVVRYHSTHAEIEQGFEEIRDEALGESKVTNHQAMSGQC GKAGQFEVVLEPYTAQATLPSW MYCTH_2306087 MPYTPPSQRSPASSGPSSPEGSRRPSFHQPSSSSSRPALPRSAS YLTRHRRTPAATQPAAGQPSPESASEDLKSMMASSSVRQSPPPITGVSGMPNGAIMSP PDSASDDDDLPQVRSRRVENLKELHEAVSQIPQQRARLTPNGGSPEQDRADAGDLLVL PSQATALAEGMHHSFSTGSLDELKMGDNRRFTHSRSATEPHVSIGRSTGSSTTGSDEE SDEGSQQKPQMVRKKSGELVRPALRPASRRRPSSMPGTPTFSKVVHFDAHLEHVRHFL QVDRPLAVSAGSSPVDSYDSDTEYPFSSDGRSTTRSPPFEWEIIMTNFPVDTPVRKAQ MVRLEKVWLSTDQKSLVGSVAVANLAFQKFVACRFTLDYWKTTSEVAAEYVGEAVRPV DTDTPYTQDRFNFSIKLSDLANLETKTLYFCIRYVVNGQEYWDNNNSTNFQIDFRKKF LPQNGKKGAAGAATRSFNGLPKSNRRSGQKSKPSSSASDEFGDCQKLNFDKSIHDYLG ETSGPTGLRLKAVKSAGDLPSDNPSKGLLGPSGQFASRYDFGDSLSKAIQNAKGEMAG RSEGLYMKSRKKAGSPTSAETGAEKEKAQAPDPTGPSTTTAGAPITSPAESPSAIISS ASYEEIVSKWCFYGSKPRGQSSKPRDGPFEGADDTSSSRTASYLEVRWATTTSGTAAP GTRCHAHGTKARTLRTFSF MYCTH_2127673 MGSAKFLGAKRHSRSLNGANGNCEAADIVCPSPVNHHPGSALIQ VPLASEQYQEPILAGSTTGSGRE MYCTH_2110911 MYNLYEILLSEVVVMEPISIASTFATLIGFSMQLYSACKDYVDA VRGACPNDIKLILVETSSLTASLKAAQDLLPASNGPEGDKEKLEESLKECRHCIEQLI KMVPKPMLKAEEKKLSKKEKVDLFLKILSWGPSKKETCATLLRSINMHKATIIFHLTA EISRDVKRIGQEVGSVRTSVQDMQDKLSANERAEICMWLEQVNPSRNHNSAGEVREKE TCRWLSDSTEWRSWKDGSGSGSKLRLLWIHGVPGAGKTVLAHTMAEELASEARSDGHL GFAYYYCSYTRSRDETAPFLAWVVSQFCRQARFIPATLREQYERRLEPGIKDLYAHLE AVLNRFHRAYVVVDAVDESTKHENLVNVLCTLGSDARFAKLRLAVTSREMQDIKAAFQ NRAIALPMANGGVKEDIRRYVESKLKERPYTRWNQQLRERAAHLVPSRAEGMFRYAAC LLELLAKCGDPGAVSRELNQLPPTLDATYVRILEMIDHKSIDQCVRALALTMAAIEDA GPIRAENLVAGVTGGARESFTTIEDICNDCICLLGLSNDGTVELAHYTVREFLGSGRL PQHLRAFALPEEKARHMYHKTLMATAVKFSGTPNVRTMRQDDNGIPVEFGPYAVCQTR VAMFWHREELLSDPETRKMLIDLLNPYAACYPGLQLLGQDGHSDSAHYHLFEWLTRFN PHAEGAERTAAHLTMIASMRNPDLVTTFLGSIIGGDKQKAAALFSTEMQVLLPAQWAI FRKTGTYDQHPERVTVLSFYEQGRARGYHTDEVLKMLRHMLSSHQANKESRPGAGSGK RSSSHSTHAPRPESRGSTSSSSSHHVTATPTTTSAKGKAPVPDPGPSELDKLSAARTS GSPEQNAQRYYGDRRQ MYCTH_2306093 MKDCRRDPSPELPDGWFNWIKPFFAIKDDYILNNCSLDSYFFIR FLQRLSIICLAGVCIVWPVLLPINGTGGSGLAELDSLTIGNVKVGNKLYAHVFVAWCF FGFVLYMICRECIYYVNLRQAYLLSPNIAKRLSSRTVLFTCIPKPYVDEAKLRKLFGD SARNVWIVKDTSALRALVEDREETADRLQQAEVRLIRLANAARQKYIKKNPGASCLNP HLYGEVISSNASQIDPEKGQGIETAANLAQPQASAPESVSSEKEADPEYTHPYGLDPS LPDVRGSVAALWIPAQSRPHHRPLTNFGRRVDTIRWTRARLKVLNKEIWQLRRRFRRG DGSSLNAAFIEFDSQTNAQAGFQLLAHHQPLHMSPCYIGLQPDEIIWSALRIRWWERI MRRFLMMGVITAAIIFWSIPAAAVGMISNVKSLSEMLTFLSWIMLLPGPILGVIQGLL PALALSWLMAAVPWMLRGCARVAGVPSHALVELYVQHAYFFFQVLQVFLITTLTSAAS AAVFEAIQNPLHITDMLSENLPKASNFYLSYILIQCLAAGATRLANFGDLIQHELIGK TTANPKRRFYRWRKLRRIHWGSEFPRFTNLGVIAISYSCIASLILVFAGLGMFFISYV YRYSLIYVCDPGHDTKGLFYPRALMQLMTGLYIAEICLIGLFALKKAIGPLLLMVMFL VFSALVHISLNEAVTPLLNNLPRTLALEKDTGLVAEDERPGDDTALPTPLRPTGSLAA DYYNMADENSDSDSLPGGAHNDNNEDHGLDTDIQLRGIEGGSSLKYQLTEWAKSRLKS ALNPKKLITTNNNNNSNNSNSNGNSSSQGDPSASGLTRILTYIKQSITPDPSRPPNAL LTFLHPEIYQSFRVLQQRVDPGPSSAEALELPRDYAKRAYWPPEMWTPAPRLWLPRDE ARVSRQEVAHNADCVGGVSDRACWLVMSESDKMRWGVGKGRIECEMENSPLWEGEKRW IF MYCTH_2306097 MEVTAPAARVLEVRTRIITQTITRPSTTITALVALGDAPSDLLL LTPTDASATPPALAAAAPTTDLAVPSSSSSSSTTLSSKQLGALLGSILGFAFVILLTC CFLSLRRRQQLRQRRRDAREYDRHHRRYYYYYYGDDDNDGGGGRVRVASSTWTTVPAS VWFPPTPRYTTYSQTSKEQIGGVRRYP MYCTH_2306098 MPLWSDFHFWSPPEDPERPPFPYASVFTVTITEHVPPRPFGLPS VYPFIEPPYDTSDEHLKTLTQTELVVSCPPLEADDDTDSVVPEKPAEATLKVERPIAV EDGRGPQLVACTVTPQNGQGKPFQAVAKIFDPLYYSFENENAPHRPVATTLAADSDYS IEAAAYAHLQKTGHTGGFAPEYYGSWTFTLPIRHRGIQHERHVRLLLIEYIDGVCMRD LCYRESAALGFTEEHRLDVLARILDGDARLEHSGINQRDLLPRNIMLKLEPEAEDPLG KGRPRVVQRAVLIDYNISVVYHLAPEAWKYRKSTELPLNPIDLFWSTSLDDFGYWVPQ SWQGNRRAQQEWLIERFGGQNALAYAPVSRELELDDA MYCTH_95272 MSTCSLLLILISAVIRTPGRPEKPDKRSFLVASVPHRAGPNMGA GAADRDCDLGLTRRSKSAVRYRKWLKGRKGDCSSLGRSIDGMAQLAVGLTGATAGTTT NGFPKSDWLELFVCFLCNIQRGMKMTDCLKVPARSSELLLQSILQFDQSRLRDQLQPA VAMLTPGYANLWVHFYPIGNTPAVFLTQGLPPEKDANILLLGCGDVRNIFFTVHSDGS RRMDITCCDIEPAIIATRNVLLVTLILDDSNGQWNNDNWDLYHHVFINKACHDRLQKQ AKKLHTLATSFEAWREGDYGNLVAFCDRGTLTRVAEVWAFYISTATERQSWMKKTFKT AIETRNRKRRGTGVILSGLRSATPAGMLAGLDLNELHDQFWKHGTVDMDHMVRSQATY ANPLFVSPDSTPRVSKLEDVVAAARAELCTWSDTFRGRGRENVRLRFFAGDAIPFCYT LQNRRATGFDRTVCWYRSRHTSLDPLVLVEDDYGTDGTAPVSFNVIDTSNLIDHVGGL NLLTAASPLLDGDASSSLYTETLVQRKRSYQEQLDEILGGHLPTSSLLLGLFPVEYWT NTCPSSIGDEQLMGFIQSLQQTTVEPLRQLYSRITWKRPPCSSASHIERLHMDDEQLA SLLYRVYVDMFPGENIGRMFSMATMNPESILHASLPTYTRASFVSFLVLVKKRIVASW DRAMDILLARIESNRTHLIGCLFLQELYLYMHLLDIHSVDTFKSFAKRDMGMGTAPKG APSIAHWQDMPSSVCITLKVPRSALRVFTDPDFSTVGTIPVHGVVRDSSGGYVGWENY FAAVQLGFGSLSPSGIPFSNAFELVITEDYQGWHGNCPLFVSFRVPSWMLLLEPRTAI VGFCMQSTPTTASRFIRRLGVDLCIFKTNLGDTNHVYISKDLPNQAGTIVVAGFAKDA FASPAPENSEVRTTVSVTINPNTARIACMTARLDVVSDALKSALLGSCPVQSTTTSPC TFTITINKTPVKVTFPVPVVAESYTMRIARKSAYIELIAIVMPNPAVHPSATFTSPLL FSNCPDNTNDPVPILWNTPQISLPTAPVISLCNPRALSFLSVHTDQMFSTREARLRNN PILLAAATRPERARIALKESLHCLFMQFAGLNSNTRNPASPRRESIFALHNPNQGEGG GGVNNAHLPLDPAPRPRPPHRPPRLRRAPAHARHHARAGTPRLPGGRRGPARRGGGIH HGRCGRAKAMEGGAARVGGAVSRRGVGAPGRMAPVSVDMGEEVVCGCGKGVFPAGWEP DVPMWEVVKRFCVRAAISPLFASVLVEDVMPDVEPFGPGLGQDGRKEKGDGVTGCRSC AREKSKEGGELKAFAKCLKVKYCGRTCQRADWKRHKKECIAKHS MYCTH_2306100 MAPSEAEASQAAEQPASRSRSRASSVGAQYREGEYDTIEDVRTT SLYSALSGLLTSGKFSDMIVRCGGREFKAHRAIVCPQSSFFDRAITDGFSESTTGVVE LPDDDPNVLERFLQFLYTGNYEDETILDWHKPAEVSMMTPEEVAELLAEVPGVSVTAP AAEQPLNDAASSSSAAAPPETAGAADTANPPPPGGNNDDDSDTEDGSYRSRLSNGEPG EPEEPAEEYNEFDAEDPEEDSSTDDHRSKDQINRRHSQLLRELGDSFAAERQLLNELR TRQSLFLPLRLYVMADKFDVPALKLLARDRFYRAAELAWREVECFPDVVDELYTTTPA SDLAMREIVCRLVGCSIKDDEQRERMAPVMRKHGDFAVGVLNYFIESERHIWT MYCTH_2127683 MTKCTPGTAWLACGTGDHPLTASWVEKGLIKTNWDTFSLDTSTF VANGTRYLNPWTIGGTAVAISRPTLPWERIGYKVNEGPYVIQRNGKVFLTCSASASAT DSNYCMGMPTATEGSDLLNPASWSKSQNPIFASNPKTNQWGPGHNLFVVSEDGLSDIL VYHDRGYKDIRGDPLNDPNRRTRMQKLYWKEDGTPDFGVPVPEGNTPVRLRSAAGLGQ DVRYYTGSDGPTDTPALADTQFRIASPGLAGGSMVSLESTSNPGMFVRRADDGSAQFV SSDALGSASAKASASFNLVPGLADVSFEASDASDQYLRLEASGRLTTVQAGEDAQEQA TFFLE MYCTH_2306101 MPPLLRATPPRATSASRALQRHHRHAAAAAVTGISRPGARRFLS STSRAPDDGSSTSSTINPANSVLTRPPQSAPLVSATPLLSTNPTTLTTTHPSRTATTA ATQARWSSTVATDDKPKMYTASFAFFEALWDAGVEHVFVNLGSDHPSIIEAMVKGARE KKDQFPRIITCPNEMVAMSMADGYARLTNKPQAVIVHVDVGTQGLGAAVHNASAGRAP VLVFAGISPITQDGELRGSRTEFIHWIQDVPDQKQIVAQYCRYAAELKTGANVKQMVN RALQFARSDPQGPVYLCGSREVMEAEIEPYQINQDQWEPVELGGLPSSAVRKIAEALA GAKEPLLVTGYAGRNQAVPGALVELANTVKGLRVLDTGGSDMCFPADHPGWLGLRYGV EDAVRTADTIVVLDCDVPWIPTQCKPKEDAKIFHIDVDPLKQVMPLHYIPARHRYRAD ALTSIEQITAMLKSDAGLKAQLTGEESDKRWAALQESYKKRLEAIELRSQPLANGEFG TTHLCAKLRELCPKDTLWAIEAVTNTLFVHDGIRPTLPGQWINCGGGGLGWSGGGALG IKLATDHEARRNGEKGKFVVQIVGDGTFLFSVPGSVYWISKRYNIPVLTIVLNNKGWN APRRSLLLVHPDGLGSKATNEEINISFEPAPDYAGIAKAAAGGDLHAARVDKAADLER VLKEAIEAVQRGQTAVVDCKVAMGC MYCTH_2306103 MAEGETQPEAPASGNGRDSSSAHTPPPPPIPAADPRLQDVTNTG SHASSPDVVAEIDDIFKVSPQAALRLLSAGIEALVSMTGDIPPTPPPRSPTIPHMRGM EAEKKSIVRSNSEKNLARLAQRGSASNSPLPGRSPLQQAQSSSEPVPAKPPPATAQSQ VIDGVRLRTTGPAPRTPPSSCSGSQSSQPLAPYIIVGENSQPLNQQHSAITRKFYCRV PPPISITDYLLRMHRYCPMSTGVYLATSLYIHRLAVLERAIVVTKRNAHRLLLAGLRV AMKALEDLSYPHKRFARVGGVSERELARLEISFCFLTGFELAVNAHLLSQHWELLRRG TECWNVLDDDLQPDVGFVKPEKPPGASDVKAEA MYCTH_2315464 MDEGDIPKEVPRQEEFAGEPENIVRGHKANLSNPQTSDRAKENS RRVLESYDEPYDASQASHGTAEQGDKDPGNVVRGLKASISNPGVSEGAKKAAREKLGQ LGA MYCTH_2063631 MTESAPYQRDDRLGAELSRDAPSGQVNDPSYKTRGTEAVPVVDD DAPIDDPLKPGSADSDRQLEQDEREAVDKGNVLKERTRKAKPTGTYAEPSDAQMGLRE ER MYCTH_2306107 MDYDRILQGKYPAKRHAKRVVDYIRTKVPDATGVIYLESRMTKL LEDNDEPEPFRQRRFFYYLTGCPLADSFLVHDMDSAKTTLFIPPIDPDSVIWSGLPVS AQEALEKWDVDDVKYTNEINAELAHLAKQKSKATVFAIPGQVSDQVTFLGFGETNFDV LKQAIEVCRVVKDEFELALMAKANAVSADAHHAVMKQVRHAKNERELEATFLASSISA GAREQAYHGIFASGRAAATLHYVHNDKPLAGKLNLLLDAGAEWNCYASDITRTFPING KFSKESREIYDIVLKMQLECIAALKEDVLWEDVHVLAHKIAIDGLLKLGILKGDKDEI LANRTSVAFFPHGLGHYLGMDTHDTGGNPNYADKDPLFRYLRIRGKVPAGSVVTVEPG IYFCNFIIDPFLKDPKHSKYIDADVLEKYWDVGGVRIEDNLVITKTGAENLTTTLKDP DEVERLISSS MYCTH_2127689 MSFASYSFDGTVQAIPLVETNHQRALRPVFIKQRKLGGKRGKTL RAFSRFVLVPVVEIALILDDDDDDDDSDADYDDDDSDVSTVIDIGLAHWGVQVGDYLW ELHTDRKHAKTLSMQRLTRSQIWRADVGEEEVGNTNMTDVEIDRAAHAALEKMKTKCG GKYSQVGNNCHDFTPRALWRASTAALKLVARAVTLPYYQLFRQMTLLPVRSIAASVRD GDDPEVIAKKLRLWRERKSAEFQIVQVAGTLLAAAVIGSFSWDGSQQPHWIGPAAWYC SLILSFSAVLLASSQASIFRAVSDSVSREHGFSLVQELALVMAVQRTEDDAELPLTRG LESARVRWNLVFIWQAPTMMMSYSIIGFLVGLVVYVTAPLYNQESFHGSSKAAVVFLL CAGISGSMFMWCSFWGYRFEDPQTRIF MYCTH_2306112 MASEYDFVVVGSGPAGCALAYGLVHSAKKPKVLLLEAGGKNGDP NLRVDGQRWLTFTNKDVNWGYKTTPQTHCAGRELDYSRGLGLGGSSAINFGVFTVGAR DDYDEWARIVGDDAFRWEHAQARLKRLENFQSNPPAGVSSKYAAPKPSDHGSAGPLRV GYAAECEADLVPLLDAFERAGYPLNPDHNSGNPIGMSLLISSAHKGLRSTSHDLLVPY PENLTVVTEAPVQRVLLDGKRAVGVEANGKRYLASKEVIMTAGSLDTPRILMHSGIGP KEQLEKYNIPVVHPCAAIGQGLRDHAFVPLVYTRREGSTDRASFYGNQAAMDAALEEW KQHRTGPWTKFATEMAIGYFKSDAIASSAEFKSLPADEQRYLNAETVPHYEVFTHFPI HWFLPGFRNEDLNYSCLLVFLYNAQARGTVTLQSSDPDVPLLFDPNFLAHPFDRRSAI EALREVLRFTRTEAYAKDIVSTIAAPKSDSDEDLLAYWEQTIGSSWHMTGTVKMGKPG DADAVVDNSFRLMGIDGLRVADMSVVPVLASCHVQAVAYITGATCAEKLVKEYGLE MYCTH_2306113 MAQNAEAFTLLSLVLFIIACRTLVRVRNVGFRGLQLDDYLMPVA GILCIIDLVAAIFVVEKAHGLTNSYMTDEQRASLSPDSQEYKDRVLGSKIQVFGWVLY AASLWCIKACVAVFYSRLTTNLAHLQIRVYIAYVFIGVTWVITTLLLLFGCRPMSKYW QIYPDPGICQPTNSKLYVLSVLIPDILTDLYLLSIPLPLLWSTNISVKKKISLTVLFS GVLFVIMAAIIRGVVILTSGPEGAVTGSEWAIREEFVSIVVSNLPILQPPIRAFCNKI GLSVLFSSHKSNHVTPYEGRTIGGGGGSYPLRSQRNRSNKTGAHDDTVTAWDSDERIL CENGAQTNGRNIVVTREVAVESESGSVKEQAGWGPSPSESGVPASHPKPVAQRGFVVA MYCTH_53112 MARRQLSWARVLFFGLLAPLATAADANAEAEGGSADGLAYETIQ NVDIYTDNATAPTTQTPPVEGWWDSRICSGSYCVYTNRRIANGRGLVAVTRTDDFQKF ERIEQHLNRGENNYLQDPVPFKTTDIPNKGSGVTATKNIRRGKPLMAWSPVLAVHKSF FEDVTKRKERDRLLEAAVSFLPEATRAVFDKQRARPGDSEGTNRRSVEDIVLAHPFEI DLGYVSYRQMNSEEHSRHYVNYPEVAVFQHDCRPNVATFIDASFALRATVARRVQEGE ELTVAYVDPFLPREQRASWVRQYRGFPDSKGCPCSACSPPGGPKGGKAAQGDKRLKEI LRIRGELRNPDSTKVDFATIERFLKLYEEDRLHAKLAEAYELAALNFNYLGDDKRAKK YADLAVQAGIIEQGVDANDVIAMKIMAKDIKGHYSYRYTLKRRGK MYCTH_102878 MTWSDFSDTTSEDWRKCQVPGCHKKHTGHTQHNKRKVYSDFCFR HTCWVTYPEEEGKGYYCSIPKGSDDRYCAFHMKCGEDDCARTGEWPGAHDYARWFCRE HRCTYPDCRLRATDRQQQRCAAHLVKCAVPACARPAYQHRDGALDTMCAAHYGTQRCL WPNCARRASSRYCSAHGCRRPGCDRPRARAVPDTPASPAAADGNNGANDSPYLYCLAH TCKTAGCRAGARLEDVHCERHARARERRRPVSLGVDPLGLGFGWEEQEQEQDEEEEER EDRRGGRYEPPTAAAAARGGDEWQREREWKRLSDDLERIRRRQRELAAERARVERARE EREKAERFYARYMAGSS MYCTH_95259 MLVVGLWYTHEEQISRSSWWYSFSGGSLPVSPLINYGMGHIRGG SMQPWQYYLINFFSSAWMQCIGLGTSNVAGHTKKAVYAAATFIAYSVGNIIGPLIFDA SYAPRYDKSFTGVMICFVICFLCAEILRFFLARENKNREARYGPPNDVHSLEDLTDKE NKPFRYHL MYCTH_2023245 SMANLAAALFEAEQFDEAEELGKRMLDERVEVLGDNHPDTLVSM SNLATVYKSRGRREEAGDLMVETIQRQSSQLGEEHPSTLISKFNLALIRLEQGQWELA EDALVRILGKMETVLGREHECTV MYCTH_2306119 MSEKLAVLAEGYKLTPTRRRRPLAQPNKPIQGSTAERKLDVGFV DDPEAGKDSRCHWSQILIPGELKSNPSADTASKAWLDLGRYAREVLAAQDVRRFVLGF TICGSLMRIWEFDRLGGIASERFDINKDGLQFVSTVLGFLWMNEEQLGFDPTITTQDG RRLATIKRNGQTERLVIDKLVRRAPCIAGRATTCWVAHREGEPGIKFVIKDSWQYTER EEEGDLLKEATDKGVVNVARYYHHETVFVRGEIDEIRSNVRYGLNIMEATNYRPGRSE VSPGMSVVGAPRKGRSSTASLKRSSSQTGAALPPRKRSCLASPTKADSSALPNRVHRR VILRDYGKPIYKASSLSALLAALEGCINGHESLHRAGILHRDISINNLMINEDNDNPS WHSFLIDLDLAIREKRDGTSEAKTGTRAFMAIGALLGEQHSFMHDLESFFWVLFWICI HYNGPGKGEVVTQFDRWNYMDTDELAKLKLGTVVEEAIFMKTITDNFTPYYASLIPLL NRLRKAVFPKDKPWEREDEKLYAQMRDILRQEGKDL MYCTH_2306121 MVSLRFLATVALPCIGAALTPAAVYDAGYGTSWPIHLGIGNGGA GQSGLIEALANAFIEDSVSNGSEPFRVAWYQSDTTYSIKNLEDGLIDVAITYSPAAEK IAIDQGIALEPSYYAFRDHFLIVGPPSNPANISSESDVYTIFSDLHQAAEAANSTPPV RFLSRYDKSATNIKESVLWASIGQVPWATAYSTWYHQYITFPIQALTAAILLDEYTLT DRGTLLSLPTNLMSKTTVYKASTDEADDPLLNPAHLLIGAKAANAETAQSFADWLVSP AGQDVIKGFKKNGEQLYSPAP MYCTH_2065257 LNTLGLLSTFPVFQTYVETGELFETSSSNISWIGSIQSFLLQLT GIIVGPLYDRGYLRSLLCTGSLLVVLGLMMLSLCTEHWQALLG MYCTH_53171 MDQRAQIIRAPSRPAITGKVAVFLAGTTTGTTAAAAAAGADWRE TVTLAVSHLPVTVLNPLRPDWDGSWREDPSFAPFREQIEWELDMQERADLVVVYLGPG TDAPVSLLELGLCARSGKAVVVACYPGYRKRGNVQIVCRWFGLEYVDGEDDLAGRVVK RIERLLELGN MYCTH_2306123 MAGITVHNSLKPGAPVPFVPREEGKVSWYACGPTTYDLSHLGHA RNYVSTDIIRRILMHHFGFKVNFVMNYTDVDDKIIIKARRKRLLELEKEKPYTPEQIR DLVFKAFRAYAESNLPLLTADGQSALDENNYLDRRDAAYGRVLAGGTLSGEGKPSDAE AKVKMHLSNMDSAAKSLRDASGFDGAEEVLLPYLDSLYKETIDTSDQTIFTNLTQAME KAFNDDMRALNVLPPDAVTRVTEYVPQIVKFVEQVMAKGFAYEANGSVYFDIAAFEKA GNTYARLRPESKNDKALQEEGEGSLSKSLEGKKRPGDFALWKKSKPGEPYWPSPWGPG RPGWHIECSVMASDKLGEQMDIHSGGIDLAFPHHDNELAQSEAYFHECGKGEHTWVRY FLHMGHLSIAGSKMSKSLKNFQTIQDALATTYTARNMRIVFLMGRWNDGVEISQDMRK QADSWESTIDNFFTNVKAKLTEAGSMTEGVKNLSLTEGTGDSLIAELEQAKTDLDSAL RNSFDTPGAMQVILRLVKEANIHMNDKSAQPSLQALEAVARWVTKIVGILGLDANAQP PYDGLGWSSTSAAAAANLDPQTAVKPYAGVYAKVKADIEALNLAAPSVQNLLQGQTPD AEFAELEKIGERDVEKLAMPYLRATSRLRDELRSIVATLEPASKQAVLALSDRIRDYD LTDIGVQLDDQADKPSLIKFVPAAKLIAAREEKAALLAEKARQKEEARKAREKAEEEK WAKAKVPPQEMFKGDPKYQEWDADGLPTKLADGTELPKSQAKKLRKEWERQAKLHEEY RVKFGIGKE MYCTH_2306125 MGKRSKNHPPRAYHDGLIPATHDTYQSYPEVVPDDYDQVKHEKS YPELAPPPDADSKQQQQQQQQLAPDDQHSTILPASSAHSAHHPHQEQQQQEQQQSQLS GSGAGALPLSITPVIPALHPLPRTSGVHSLRQAWSELDESDGDDDRRGSLRYQEDRPA LWKRPILWVVVVMTAVIVALAGILGGVASGRIETALGSSGKTSGGSASSTPSVMDPTC PSANNLNYTSTAASMPKTFRIQCGSNYPDGDGDLGRLDDPVDNLAGCLDACAKDKRCV GAVFSPGSPASCWLKEFLGVVDKEGEATEMVSGVLWQ MYCTH_2306127 MATQDQVAPTPAPAAEAQAPAAAAAAAATRSDSDPSILAHVEHY HATRCAASPIYAFLLGTPADKPLVRFTHARKGLFTARLRLDAQHLNSAGGIHGSVSAT LVDWAGGLAIAAWDLRAATGVSVDINISYLSSARLGDEIEIEGRVERVGSNLAFTEVR IFKVDARGERGAVVASGRHTKFVRDTKK MYCTH_2306128 MVSHGSENVYSARVKFGLRSSPQRWGQEPIFCVQRFGRTETKLP DGRIVYIVGEHEDSYDPDFYIYNDVVVVHKAGSNAGGESAATGAVDDSDRDSDSISDS ELPDYLPKRREEELADNLMGATLAAGADPADIDIYGYPTDVFPGTDFHTATYVREEGS NGKEYIYIIGGLGYITSPHRAATMTHRLDLQDHTIQRIETTGEAPPPLAGSKTEQKAQ LHGDRIVYTVGSDTYVLSLADMRWSRDQAHAESPAVG MYCTH_2110936 MAEELESSAFRDGPVVRMMVLETDESHPETKSRRGTFGEILHHH FLDAGNAHDPPLGIETDTRYVISEKAGSMPKFQDFKNCHALLITGTTYSAGGNDPWIL ELLALLKANIASELWLHHPRMCFSGIGTRHIKHYHENNSTVHPTRKRSVASELILNYE NLHTD MYCTH_2064932 MGSNSDDITVDNVEIIGFEVIDLRFPTSLDGVGSDAMHVGTNGS HPYVRLKTNHPDLVGEGIAFSNGRGSELITLALDVFARRVVGKTMERLTRDMGKTWRY MVSDSQYRWVGPEKSVTHLAVAGVLNAVWDLWGKIVGKPVWRIVCDMTPEELVRCIDF RYITDVLTPDEAVAMLRRTQEGKEARLQEALANRAVPAYTTSPGWLAFGGDKMREVLQ ETIRQGFTVFKFKVGTSVEADRDRLRAVREVLGYDNGYQIMIDANQVWSVPEAIEYMK QLVEFKPVFIEEPTNPDDVLGHAAIRKALKPYGVGVATGEAAQNRVTFKQLFQAEATD VAQIDAVRLGSVNECLAVMLMALKFNVPCVPHNGAMGLTELTSHLSLIDYVAVSGKKS MLEFADSHRENLRHPSRIVDAHYVTPLEPGYSIGYTDEALEKYTYPNGSFWRSEIGQQ IIAQPTGGEL MYCTH_102871 MSFQRRRVALACTYCRHRKRRCDAGRPSCRSCIEADVDCHYDDT PSQRIDSSGGSREIIGRLQEIETMLQHHLSGIAALASGIHTIASQSAAGALAATSPAS QASTLQAARILSHDLAGAGSSQQWALPLPIDSQPDPSSVADAELAPLTIPVGHKTSSN YLLRLPATKQLIGEYPADLFFMLESRNSFPPEAPVHPTSPVKPLSAAELDKDVLDCLV SAFFTQVYQYHPILDKADFSRIYRAFHENYYSQPWSIESVLCLVVFALGAASLAPPGA QGFQTSPPGMSYMESALPLLLSMSSWDVNYSLLLPQALVLASVYFAYIIRPLQSWRLI YSASSLLQFKLCRLSARAADPAAKEIILRIFWSCFLVECDRLAELELPQSGLQQLIDQ TSLPSFDGVDSAQSTAYLAEISIRRLLNRVHHTLYPRKQNVLSLSSTSLTAADDLSAA EIASITSVCEELHRQLNSWYESIPEPSRPSLGTEPTGSDRKRVLRIRYYAARHIIHRP FVLYIVTNNLQQVSNSTVLEKASVCIESCRAYLHNTTEILRKQSQYTWTFSLS MYCTH_2306135 MGFFDGLRGNWLIACITVVNATSMAWFGYDQGVFSGVLISSDFK HWFPETNKANIPGITSSCFSLGAFCGAIFAFTLGDKLGRKKTIALGLLCNVVGAILQI VAWHLPQMIIGRVINGFGMGLTSSTCPVYQAECSRPAVRGKLVVVQSVCNTAAFCLSN WMNYGLYFSGGALQWRFPLGFQLIFPAVVSVALLFVPESPRWLLLRNRHGEALAVIAR LEGKDATIHDDKVTAEYLSIRTALQHERKDRPPFSDVIRFRDRQQNLRRLLLSCGTQF MQQFSGVNALGYYLPTLLQQSVGFDEQMSRLMTGVNGTIYLGAALCCILIIDRFGRRK MMLYGASTMGACYLVAAMCLRAGQAGQADKKQVRTRESKLWFPAAC MYCTH_2306136 MAKGEAMMKARPQYAGKLDFVQISDFDQMNNNEQLSIFDEAVKG VDGIIHTASPLTYNTTNNEAELILPAINGVRALLAAAAAANRDPNNKRAIRRVVLTSS FAAVMDASRKAPPYFTYTASDWNPLSYAEAADPSTSAVVAYRGKKFAELAAWDFVREH GGGGGGGFDLVTLCPPMTFGPVAHPVESVERLNESNAMLWKVASGARPLPVARVPFWV DVRDVAKAHVEALLRPEAGGKRFLPAAPERFTYALAARIVEEEFEWAKGRVSTEEQVV DESHGVDGETAARELGLEYRRFRQTVAELVAQAATMKGSGLT MYCTH_51992 MSELGCQSKRDIERCKVIFGAAVSSSPKVHRLLTTAGTEPVQWT LAFHATEQPVDPFIGIWNFGRGEFEDANLNLQQRDGYEYARISHLEIMPLSLAENEEH DPKTSPRPKLELVDQVPDTIFSTSQTMSQTAKTMMEQKIAPTQETGNNSKHSKPGPRR AAAAQLSPPLTIASRRDHSKSATIEKVYGSEMTPNKMSHSTSPSTEDSCRSIPRKRLG TKRKPKRPLQLFEDVTSSLPPTQVIGESQSLGQRSQIWNHRQSAELGTEPTTQDWLVE EISGSVSPDPLRIFDGISLSPEALSDAQTSPGVALLRHAAPSPNIAYQLRLGSSTNDG GLIRLEPPPSVTNGHNCMSQGELRASASAKLLDITISDDEQDLRMVAVEEHHALSHTA AEKGEGLDISFNFSPHTVSRRASLFQDIPNSSEDEE MYCTH_2306137 MEPHRPRSSHKVSMTWHEDEATLTRMMQFADECIETKTVTTTTT TKRSYPPLFLREPRDVLSLDSKEYPLAAKPTPPELRKFTFDLDDYETRSWKNEISDPQ VRRSQSIDYTGEIKREPGVDSPISTTSHRLNEQLEAYQGRSRRSTRGVSPNQTPMPTR SSRAATKPPSIPHTASDKLRHAVSRGYSRDAARVLRRSSGYLATPSTSELATGRLERA ARLRSFPADVADSGTSQSTSVYDVDSLADSESQTFSNVATPPITDPELPPFDDADDSL PPHASDPPPRRSLSTVAAQDVSLPSPRLSPTLAATQVQPTQADDEAEEAEETSFSTQL TRSDRQQWMDESQATDDTEVPGAMDLSPAPYGASLSRSRSYRRPHLDPGSMLDSFDAM PNELKTWMMYQFLRRCPRKTLHVVADVVNPALKCDFLKQLPLELSLHVLSYLDHRDLC RAAQVSKHWRHIIDSNETGWKELFDRDGFRLPPGELQRAINEGWGWQDPVGFEGCEVD LSNQSRLTFSEHELIRSAAKADVPEILPRTRSAKRKRGSTQINAERAKRRVSAQEGKA DRNMPPAKTHKSEGPISAANAAAIAVPDPQLGLPSLRNLHLFKSLYRRHYMFRRSWMS GKVKPGHVAFAAHPRHVITCLQFDDDKIITGSDDTLIHVYDTKTGKLRTKLEGHEGGV WALQYEGNTLVSGSTDRSVRVWDIQKGICTQTFYGHTSTVRCLQIVMPVDTGKVQDGK PVMMPPKPLIITGSRDSQLRVWRLPEAGSRRYIQTGPPASDDQCPYFIRILSGHAHSV RAISAYGDTLVSGSYDSTVRVWRISTGEQLHVLHGHVQKVYSVVLDHKRNRCISGSMD SLVKIWDLNTGACLHTLEGHSMLVGLLDLRDDQLVSAAADSTLRIWDPDTGKCKKVLE AHTGAITCFQHDGRKVISGSDKTVKMWDVATGDCVQDLLTDLAGVWQVKFDDRRCVAA VQRDGLTYVEILDFGAVRDGKPPEELGKRKLLNEAEVQRLIAEES MYCTH_52645 MVPPRPTSGVVDPSGGIVGSFSRFWQRTYASDHIGFVLLLVGYL LLQFFVEPFHRMFSLNDLRIAFPHAEVERVPLLNDFIYALFLPLGVLILANLATHAPP HKHHVTVLGLAISLILASFLTDVIKNAVGRPRPDLLARCVPRPDTPRDVLVTIDVCTQ TDHHTLHDGWRSFPSGHSSFAFAGLGYLSLFLAGQMRIFAHGGGGGGGGGASAGDKVE KLVRGDLLRALLCGAPLLGATMIAISRCQDYRHDVYDVCVGGLLGWTVAYWSYRRYWP RLGSWRCEEPYAGPLGAQDVVGRNGYGRVRDEEEGLGQGRNVGIDLGVLSN MYCTH_2306141 MSRRYDSRTTIFSPDGRLYQVEYALEAISHAGTAIGILAKDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMICAVAGMTADANILINYARQAAQRYLLTYN EDIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGWDPQRQFQLYLSNPSGNYGGWK ATSSGANHASAQSLLKQDYKEDCTLEEACGMAVKVLSKTMDSTKLSSEKIEFATVGQT KDGKIYHKLWSGEEITALLKKHDLAKDETTEEK MYCTH_2306143 MSEPEPRRSVRATKGQHKALEQLDQAIETPKRRGGNKKTKKTAP EPEEPEEEIIRCVCGATEQDEDSGEPWIACDQCGAWQHNICMGMSQYTEDLPKEYFCE LCRPENHQELLAGIAKGEKPWEARRKAYEEQKAEKKKKGPKKGKKRTSDLKEDASQKS KQSPPPLPEPKKEPKGAGLKRKNGEVAQDKDPKKMRKGTEAQQVPVPEYSPPEDIPAN ISGLPDSRQGPAKALSKSLVASLAVAEKNGIIPADGVSKADRAEKFALQIERAVHDTH PTTSSYAGQIRTLAFNLKSNPELTARLLAQTLTPPMLASMSTEELASKELQKETAEML ARAEKQAIKITEDIPRVRRTHKGDEIIDDDHFIASEDVPSAPVSRPSAPKQESKDTPE FPPQSRHRSVSGPAVDSQQSPSRSDFDLNKVFSSVKPPSHPQGQPRASFAAAPSTGPG DDPDVDRLLDDGTHSPPYSPKEEDNDPDVVWRGNLIMNTIAEVQVAAKHMGGANLSET INLPWDKLLPRNLTVCGRIDEQQAIVYLCGLRYSLPTDVVVVSLEPTTPAAKAGMNKL IDYFVSKKRYGVVGDKGVANVRDTYLVPVPAGTGNQPEFMLNLADNFIPETRSEPMML CVIVYRNDPETIQRIHGTTNPMGTHQAAPRGPGAASQSPGTPTPTQGGFPPTGRQSVS APAFSPTSSQGAFSQYPSPRMATPVQQQPPPHAPAPQQQPKQASTIEELQRQGEATAR EVLGHLITSPTVSFLLPQAHCMSRKEWEVIKKIYEKDPRTRDELPYLSTILEREGQQQ QQREASQSRPQSQVQPPPPHPQQQNRAQSEQQLQGQPQPQPQLHSQHPPPVRTTPIPP PPIPPAPAAGPPKQTPIPPPPIPPQATTNSGPPPA MYCTH_2306147 MDGLEDDPNRVTALWPDPPPFWRDFTPENIERFESLKEDYADQQ GLSADAVIRIPNIPEHLINLQPPSEPADGKWRLFSEPETLTETLQSLEDAGIQRLGPT SEIDRDSKHLDRGFELKKLVKSLLLNYLELVGLMGHNPAHAAGKIEDIKVLLLNFHHT LNEYRPHHAREQLIQMMHAHGDQIRAETAGIRSVVDKAKRMIEGLASIQVPQLEKGPS EKEALEPRPEQLQEQRELAGWKRIDEEFS MYCTH_2306148 MADVRALLRQQRAARRIEHPHASYSDTGKLICTVCNDHIKTESL WDGHLRSAGHRQRLQTLHQASAKKTSNGYAGFVGQGSEIQGSAAPASKRKLADSDDDM VGEDEASRRKRTRPGSPPGGKPLHEAETPAKKDNNGKADKPITPPLHRRTSGAPSAGV EMQIPSRPATPSASGTPLATTPKAPPIGRSPLIPEETTAAQQESVPGAQEAQGGAAGT TAAAAAAPVDEDMWAAFEADLIHGSGTKASTKPPAAAAAAGLDDDAVISAPAMSAAEV AAKSEEEERTRRRALVDIQIEDEKEEATRALENEFEVMEELEARARKLRERREALRAQ SNGGAVPTVAATNGDSKGSPPGKENAAMGDEEDEENEDEEDDEDDWDGFRFRS MYCTH_2306149 MTGSPSFLDHRIPPVPVLRSQESKLNKEKPTLEQTSRHLRTLSL NPHLHQTRLRRTRAILPPLLTSPSRPSRADLVRRSIVLTHTAFASRRLARSLASIRLA RQLAKRPSVETLVERCVLPPECLPLAPRSPASSSSGGSGDGKRRNGSYAGVAPVAPAL IARKRAVERERVKDGLRAWVGSVWKGEVGKRQEKVRMWEERAGVGRVWRLRRFWEKVG RGEQV MYCTH_2306150 MCYFDHQLWPCGWWKWGSFRGQCPKEYRTGETCGLKLIYNTYLE PQVCKHCEQIYKKQRRVAKMAEDVKRWRCEGNRRATVEKTEREMMELNLQINQLWTEH EIRRHAINY MYCTH_2315477 MGNCSSCLGSRRRDEYDEEEDEAQHLFDDPNNLHYGSFDQQHMM GQEDPQEAQREIEALQRVVARTSDAMVDIYEIVPQDKPAQPANGPFVYIGQDATTVRY QTLLSKLSSQDDLLSAARVDWGSPDDDTIEMQQDPVPIKVDGSDSLVGNFADAAAAMR MYCTH_2306154 MEELTYNTIRLGGMSSRQSHKSPHPSGESKRPQTGDQFPKPRAK LGGRGTQPVVALNLPVAEDLGRAFFCVVSFF MYCTH_2306155 MAADTLSPYCVNESRTSVISTSATTTTATTATTTTTTTTTTTSS SSLASTTRPSSSRTSSNSVTTSATPVSSSESLPSTDGQTAAPSNTLSTSTSAHHPSST STPTATAGPHNKGGLPLSAQIAVGVVIPVAVILLVGALWFFAFRRPNRAHNGPGHRRW GTGGTASSGFATGSRGPTPPPTYDKSGLDVVVVEPPSSLYEQQQQQYATAPDAEHGRE RAAVGEEFELADLRGPAGGLGNGGVSDGARSRAGGTREVSPLSDASSGGPRGQMPPHP GDVVVV MYCTH_95235 MTACFSRTGVVAYRDYDKHDKFTEWSGWHSRDAHQSETSQEALL SFVRDLRMHGGADWAEAIKTGLALAYEHMRLEAKTVILLYCDAPPHTEVDGGSIDKFA SENEENVFSYLPTFRARTVCNDRLNPARDQLISRFGLRVSRMEDAEKKERLNRLLEAS YDFAADIAEMIKAVPDESRYLCVCLEQTVRFSQSENAADDANDDSMESSRDELLEIGR SIPMAMAQQEHGRNFWSLLLHLVLPGTMLAARPAALLAAFSVRMGIQPLQEVAYKELV AWRDNWNTLDIPETWNVNCLSLLLEADQKYWLAVAEGHPGAGSKALLTEEDRELFDVL VSYKLLEMNLDMELMAEVGWSPEKNKAPLGPVVTCQVCQFPRSVTMMAPRCLFSAADT DTTLGTWVECPMKTCRAQYVVYNPPRLHVRPKCHYCRQEGITSKSDPNYETITTAPCV ECTKCKSRVIWAAAYRPSSFDPSTYQCPACPAGTPSTIITAEATPLILASENGTAWLL RNDGATIRDRWNLSLQRLLPASIPVALTIRGKPVHNAAALVATLRQWIAARRIQARAC SLCFASAAHRDLRLACGGRRRSGHQDAPRPRLRRGDGEGGRMRPRQVRVWEAPADTLG EIYQHMTQVHQT MYCTH_2306157 MPRIKQESGRVRSITTPDSIPDVQSETPSERDQRLRLIRSAAPS IDTRIRPPTASGPPQFEEDEGDDLIMMQVRHNPKAEHDIIMGSQSIQGSFVGANRALR GIGQKLKDINDALGELQARGIQHVANLPELVLVGDQSSGKSSLMSAIAGLSLPRSTGT CTRCPIHIRVSRADEWSCRVFLKNDYEFCPPNHPLTERDVTSTNKFPPWVKLDPSRQA RREFKTVRDQLDSEEIETVLRCAQVAILNPSTHYQFFIPKSRGEVPDSTREQHLEQVR KKEERAEAQFSPNTVALEVKGPDLADLNFYDLPGVFMTARRAEDSFLERVVQNLTREY ISRQSAIILCAVPMNQDPENSFALKIIKAQRAHNRCIGVMTKADLLSSEHGAASRWLA MLNGEAHQTGFGYFITSRQGSDLEEQNKMEEAFFNRTADATGHWPEEFDDFKEKCGVE KLKAFLSRKLGEEFAKILPEVKHKVESRLHDIDNQLKKYPDPPPNPELEIVKSLAEFT NRVKERVTNQDFMSTWDARFGEPFKKFIINLKPKFNVRDNAKASSRPIYIDLDSDSPS SSPTARKRSAPSTDPAGAGATPKRPRAQNTNGVVKTEPQDSPFFASSTTPSHVRSGTP TTPSRGARSKSLMDIRNLIRRAAIPGQPGLISATVYEPLYIEAAKTWAPHLEWFINST FAFLQAEVFAILDAAFAHLKNRAVYKESLEHMRAFIEAHKAELRAQLLFIYNLESQRL CTKDEETLKRHQAAEMKILVRHRNHFRIAAFNGEELRAVPKMEDMTDEERKQEELKMA KELKNLGPDPFEPELAVAAYIRGYYLTAANRFVDYVSIHVMSGLLPRVASVIDTYLHE KLGLTGRATTQEVLQRLMSEGPEIEQKRRDLRAEKETLDGAMDIIVNLERRERDQAAA AAAASPSQFGSGFDASQADGVFEVGHANGVAGDRGTIYSGTAFGDA MYCTH_2306158 MSLQIPLREKPSGAGGATKAVILVGGASRGTRFRPLSLDVPKPL FDVAGHPIIWHCLTAINKVPSIHEVYLIGYYEESVFRDFIKDASTEFTNLSIKYLREY QALGTAGGLYHFRDAILKGRPEHIFVLNSDVCCSFPLNEMLQLADEKDAEAVILGTRV SEEAATNFGCIVSDSHTRRVLHYVEKPESYISNLINCGVYLFSADALFPSIRSAIKRR NDRPRLASYRSSENLASSFLIDEEESQKSEVIRLEQDILSDMADNKQFFVYETKDFWR QIKTAGSAIPANALYLQKALQNGSKELAQPSANIIPPVYIHPSAQVHPTAKLGPNVSV GPQAIIGAGARVKESIVLEDAEIRHDACVLYSIIGWSSRVGAWARVEGTPTPVTSHNT SIIKNGVKVQAITILGKECVVGDEVRVQNCVCLPFKELKRDVANEVIM MYCTH_95232 MPKSASTKRFRARERIQPSRACAPRPRVFPFFTSLPAELRLRIY SLILHISRAIDVTGIWHRKPKLDLALLFVSKQIYAEAAHYFYSVNTFCLLEHCDAHMG NNYDFTRNPGYNWLLSIGMNALSIRNLHIYMRTEWPMTYYTTALLPALARRAPNVARL ALIAESHRVLEMPFGQWGPPVWKMHPNYVETLSPLDMYRLYCALEDEAVMAALAGHEG EKEGEGKMAQEEQEEQEQQQQALEEGSAAEASMDTNNDKNQNEGEEKNKEVEKKQQAA GKDKKADEEDGREGGGEEAKGKPLFPSLRLLQLAGPQESAALDCLCVELRCRVQAIRG LVDGRELSGIMRLWNGVERWMRWYDAVPGLLPDGTVGVRRATRKRRGQEQDDDEY MYCTH_52064 MFSRHDNRLVYSYDAEKLWIEPWGPDSLRIRATQLSAMPPEDWA LLPPPEPATSPEITISPDSATLTNGKIRATVSARGKLTVSDARTGTVLLEEYSRNRLD VRDPKCSALNVAAREFKAVPRGGDAHHITARFESLDRQERLFGMGQYQQPLFNLKGAD LELAHRNSQASVPFLLSSRGYGLLWNNPAVGRAVLGTNLMTFEAYSARALDYWVVAGD RPADIVRAYAAATGRVPMMPEFGLGFWQCKLRYQTQEELLGVAREYRRRGLPLDVIVV DFFHWPLQGEWKFDPAYWPDPDAMVRELKELGVELMVSVWPTVDKRSENWDEMVERGL LVRVDRGMRTTMDFQGDTVHFDATNPAAREFVWAKVRQNYYAKGIRLFWLDEAEPEYS AYDFDNYRYHSGSVLSTGNIYPAEYARAFYEGLAREGHADDAVSLVRCAWAGSQRYGA LVWSGDVASSWSSFRSQLAAGLHMAVAGLPWWTTDIGGFHGGDPREPAFRELFVRWFQ WGAFCPVFRLHGDREPRQPQHGTTGGAACLSGGPNEVWSYGPEVYEICKKYMALREHM RPYVRRLMRQAHEDGTPVMRPLFLEFPDDPRCWNTGEEYMFGDKYLVCPVMQPGVAKL AVYLPAGAKWSALEGGGGGEEIEGGQVIEADCPIDYMPVFVRVAA MYCTH_69778 MPCSWCVSQGLVCKMIVRIKRYKACIYRGCSYDGSSIPLSSFFR SSIILRMLNVILNLS MYCTH_2064957 MTTYTRARPTMMRVFLVQTAQGLTPSSGGYKANVSLLRSLSMQG HATAQICYAVEDEIDQYARRAELKGISPNITHKPLPVVDPAGKVHELYVKTFTDEYKR DELTSRMATLINLFSEHIASFQPTHVIFNDPITMKITESHEIRDTFKRINIIHTAEQL PFGPFVAGVDGHCLSPVVENKMLRELDGIWSVSKAVQDYAWKYGRLKTTFLVHPCMTY LDQTSGGMPRIRNNIDKEEIGMVNPCPHKGLSILIALAQKFPHMKFVTWKSWGSRTAH VQQLQALPNVKIEPTTRNTDEIWDRVKVLLAPSLWYEAWGIVVTEAQLRGIPVIASNA GGLPEAKIGLPYCIPVKEVTGERHANGDYVVPDQDIGPWEDALQKVMTDREEYQALQI LTATKAGEWLRSLDPRAHEKWLLSMMEQA MYCTH_2119011 MLQVDNFPAGDGAEVTEVYQRCILVSGRCSTSLALEQDEGYIRV ETNDDSDQTLFPEQRWPMCRGHFKALVLLSPGINKIIITSGEDAPCRLETPPLHLAIL IAKDSPLLIDCPPAKLGSLSSAHSSLDAAISKFRMTAYMWQALTAEDLRAKGLGRRSF RLEEEWNTDTLSQRSLYVPTASMVPKVHLIRTERTVAELRDAQLAQQNPHARQANELH RIFSDALLAHGPPFTTHNRPIVAGLILDSHYDLTGNSTSSSTNSSTSKPYILAHAALG SHNPAGLSLGIFGSHLTYAWPRFLEEVPACLLDATPPGDTVGNDNGECNTMWQACAVG QGAFLHEVRCDAGIVQVVFSPKGGKKEKDDTLSIANPLNSLSYSRMDLVKQFGTKRPL ALEVTAMNGKQCSIANVWELFRTRTVIRVPGTNIRLMKQSVSSRPDTDWGDEDSWAWG VMLKKRDSKGKLVAATKIDIRVGCALDGAEVYYEDGTKIPCGPRGPDGQDPGMGGHQA RKLAIPRDVEISKVAVTEGVPPKDHRIIGFFGTSGRWGMCYEFGIVTAPRNTVLSDSV YDLEELQNKPEQAGHRNKKRRVSDPESDGDDDTSDDECKNAEEYCTSEDEDGNYDDGS DPELEAVYQGLL MYCTH_2306161 MEIEIGTPPQKVMLIVDTGSPNTWVNPQCETSNTPSDCAKYPQF DYTESSSINITDYVDVLRYGSGSATVQYVYETVSIGSATLKDQIIGIALESEDIPLGI LGLSPPVRGVNQYPYILDTMVDQGLIKSRAFSLDLRGVDNPTGAVIFGGIDTGKYIGT LAKLPIIAPSSAPGGADRYYITMTGVGLTLPDGTMVRSEELDVPVFLDSGSTLSRLPT VIHQALAASFTEAMLDQESGLFILPCEYTDMAGSIDFYFAGKTIRVPLREFIWRSGDY CILGVAPEDDEPILGDTFLRAAYVVYDQDNRNVHLAQAADCGTNLVAIGSGEDAVPSS TGRCTELPTPTGDPTRTRAGSSNLDMTATRPPANTFTGRLPTGIAGGPGPARDGSTTT VTGGGLQPMLPTGSPKGSEGTEQNAAGRGVDSGLGAAVAAVLGVVSLLVLML MYCTH_2306164 MQPSNGAAPPPSGGPPDANAAARQFAQTISEEDLFQRAQTLFAP FIAYPPNRPMVFVKFGGPEKQAEGDMQMLAFNWLRQERQRNPSCNIHVPEVFKIFSRG GLTFIIMQLVAATPVQQFATKFDPRTWDHNKSRYYDIIAEGVRLLSCMPVPTGATPGP YTRGERRIKHLLFKDHTAPVVYPTIQDLQDHLNRVAERGYRNNPHPPKIALEKELVFC YTDFNDENFMFTTDPDGRPRLYIVDFEHASFLPLSFLAYAVLEPDSRWFLCSWIAKKF GASLPRNNIAVMQRLCYMFQVSSANIGLRKKQLAPDTLDQ MYCTH_95226 MGQTCSYILVQPGDGCDTLAARCGITGAQFTEYNPSPTLCSTLQ PRQPVCCSAGSVPDLTPKPNEDGTCATHLVVPGDDCWAIADKNYITVDNIEHWNLKTW GWEGCAGLKEGPICISTGDPPMPAPVEDTFCGPQVPGTARPSSWDQISSLNPCPLNAC CNKWGQCGITPDFCTPSESSTGAPGTSAPGQNGCISNCGTDIVNNGSPGGSMRIGYFE AFGVDRACLTMDAYAIPSGYTHMHYAFGDITADYQVDLSQYQTQWERFAAMRSFKRIL SFGGWSFSTNVDSYPIFREGVTEANRLRFAQSVVDTVKRYNLDGVDFDWEYPGADIPG VPIGSPNDGPNYLAFLKTVRALLPTGVSLSIAAPASYWYLKGFPIAEMAEVLDYIVYM TYDLHGQWDYNNTWANPGCPLGNCLRSHINLTETEYALAMITKADVPASKIAVGIAGY GRSFGLADPGCTGPHCLFTGPESTAEQGPCTGTAGYIAQAELDSLVSGGAATSGLARR GAVRTWYDSSSDSDIMTYGGGTWVSYMSHATKASRISRYASYGSAGSVEWAVDLAQFV LGQDEHSPGLSVAQKESEFNDALALSNYDTSLFADYNFTDLATRLESFRGCNGAQRRA IYSGWQQSWKIMNLILSETKNGLDLNSAAALEYLGPPADSGSKKSAFETIFRTHATIQ PGYITTPFDWRLPVRCDDPSNLCPCGKPSNTAAYTMLPGEDPTFNGRQSINFCPLYFA SPTLDSAMQYANKDEWPADIYADVTKYYPNQGRTWYHELMHVDWATGASPQGVPTGVV HITDLMMGYKFRDDDEGIGWTWVHAYGVTNVKALARVYLSSFHVVRNADSLTLYALAR YIQKALNNVYPHLPLAGNPPNRVKGKFEVIGYFTVDSDGTAVLAPGREWESPEPNWAA NGTDDTCSGLSDVGGDRGPDNAAVTLTAGWATQSDYPADYLSSYSSWANLKPTSTAPA DPSPTPNLTPLTRGRIDCFNEADFPGHADIQSDYQDTFSVEFSSLDITIGPGDAPIPL RRTDRYGVNYDYRVEWVAGCVTTVERQSFRFPLGMSQSLITAYLLVREDYTKCNNGGV GGSCQVGCLLYTFEGGRGDQGRFRMPVAPSQYPPSNHSHYVPGENGGIVIDDLK MYCTH_52735 MATSVAPDGKSCDYAPEEGGYFDPPLQRVSYGTKPGARVFGWPA KGGVYTMRAATVDLEFLGYGRFEPVPRPDPKDPNTAADEEAHCNKMRQLGARWWESEH AWARSFREVHAVPLEEKFIATGWPAGGGVWVLSGDVEAASEKNAGMLFNAYTMEERCN VIEKLGGTFYANPKDCPDLDLA MYCTH_95224 MTKKKESSSCSHYSSRAGRVPEARVAKSSLYGVAGSDRPRLNLL IPKLPSSSVSNTHEFKFKSGAGTGNNVATAHATSGGSRQSQSLRAGRLHYGDVGGTKI PPKDVIVILSDDKSSDATNAAFLVKHKAARPDHGCQHDPRPFAEPCETMSKDDISKCL LHDERDKATSRQERETQQKSDGSYRSASYDHHSNGDQTSSSAYRHLPVPDETGEPTKN DLVRLLYIQEQQASPSVVPDHQSISEPKLADAQY MYCTH_95223 MTCSADIIQRRIAGLHVTSRNTYLRGYLSELATIRPDDSLANIY HIVSDVFLPILVDLASVSSREPMTKALGWELTPTQPSTPSERHGQTVTLRRRYTRGMA NGPYDDSEQPAARDVGRWLQERQLGVSDWIGSHTATPAAADRFRWAGAGVDDHERSAE LGDPIGKGP MYCTH_95222 MSPFKLRKVDLMDYYILNKGSYPILEIGVAYLGAPDRQTRTTDG FQHSFALMDWSDEMWFFFLLDIVAATFAPIVVAVQMVGLACCDREYSDALDILSRRSS PSDQTEVWFWRC MYCTH_15789 RPSTSARRTTFGLDDTGVCAFFTEVSDRIKFGDGDARNSQGTCG QALSDSSVSALTNRAEKVDLNGLSGREACERLQRDFLDNFDSDCSASVPGDRWTGITA KVESLSGKESPEPISRRQNKTSNCWPVLPKSNDLTLVERVNTTVSLGQLTCVKAIDLA TATNETQSAKDGDGDS MYCTH_2306173 MEYKRQQASSPKVPPRLIIHGGAGNITPSNLTPDRYAEFRTALL TIISKAHSYMTTPSPDDGNGGGGEKLPSALAVATHAVSLLEDDPLFNAGRGAVFTRDG LNELEASVMVSRGRAKRAAAVSGLRRVRNPILLAREVLRHGDADLRPSKPGRLAAAAD ALATDVAAAAAAAAAAADDDDDDASSAQLNVPSAQGHTHLHGETAEQLAEKYGLALVD EGYFWTKRRWEEHLGGLERERRGGGGWAATWSKDEYVPQGTVGAVALDEDGIVCVATS TGGLTNKVTGRIGDTPTVGAGFWAEEWVERAQSGGGWSRQPVVTLAGALRSWVADCLP TPWLYTPLPAGRGELVTTRSVAVSGTGNGDSFVRTAAARTVGALARFAGDSSREAVTK VVGPGGEVQRSAGDRWGKTGEGEGGMIGVECVVVRDAEGSVVEVRSELLQDFNCGGMF RAWIDDRGVAIAQVFREGEEVPDTYAGEGRPENPRAWSGEKVGLV MYCTH_2093591 MTASRIAQLMAPFLAALYPPRIANEPPVKNPAIWSSRSWSTYAS SFFLMPLTAQSNVLNKPPQTPKLPPKTGARILIAVMAPSLRSPYGLFLNPLTPCQIVP PTAYWETHTPMQKAPPKSLRMTQGQGSRV MYCTH_2306177 MAGLQGRELDDGCPDSAPDQLHELRHDGSVLTIAVSDKYIFAGT SKGEIAIWSLGTYHHVQTIQAHKRSVLCLVLSEDQKYLFSSACEPIIGVWCPKTFARL YEIYSTYDVGDVFSIAYSSQRETLYLGTQTQDIQWVSLKDPARKVPHDSANHPDRRQH RFFDSRAVGGTSTPRRMEEHYALIPKAATVLEIDPGAIRQYAHYGWVFCMLIAKGPTV LGDPEEDVLISGGGDGTIKLWRLSDEAPDHDDGVLGDIEEMMTLGEDDSESVMSMAVD GSFLYAGKLRGIIELWDLDTKQKLRVIKAHDGNVNTLKMSFGLLWSGATGGSASKHST VHYGRDKNGLPQNVSQKYQCLSRWKAHDAKILSSATATHQADQLFITGANDNTVRVWR VNGMPTECEQEVGGSENMMITSLREFVSFKTISSRPEFTEDCRKGATYLGSLFKRLGA EVEMLSTGKLYNPVVCAKFSGKLEPVEKRKRILFYGHYDVVPADTKGGNWQTDPFKLE GRDGYLYGRGVSDNKGPIIAALYAVSDLLQAKVLDSDIIFVIEGEEESGSRGFQETIR MHKHLIGHIDYVLLANSYWLDDEVPCLTYGLRGVLHATICVDSRHPDLHSGVDGSNLV SEPLTELTLLLSKLKDSKNHVKIPGFYDGILPLTREEDQRYDDIASILIRRNPSHGPV EALKRSLMARWREPNLTIHRYNVSGPDGSLISSHATANVSVRLVPGQEVEDVIEALKK YLQDEYARFDSDNTLTISIDNKAEPWLGVPGNYIFRTLEEAVMRAWAGVGAAGSSASS SSSPTAAAKGSNTGDKGSVVDGVTVALPANATDGEDRPKTRKPLYIREGGSIPPIRFL EKEFNAPAAHLPCGQASDAAHLDNERLRVLNLMKSREIFSTVFRKL MYCTH_102849 MRLLALAFAAPGLLRLALAQLEVSPDGTCGPGNRYSCLGSSYGN CCTEKGECGGTDEDCGPGCQPEFGLCGRGVGGLPGTTEEPDPTKSSSPTRQTALPTTL TTTRRTALPSTITTRLTAIPSSLLPPSQSQAVSTIVVTSIVTQSTLVTVSTTTALTNT VVVNTTSVHFTTTTSTSYSIVFATVYNTINVTRISTAWETATSTTTQTIDTTQYFNLT RTSTYTQPITVTSHTNATRYVNATSTDFITRTTTVPLTITSTSTSTITINSTRLTTAT ETTEVPVTVTATDTDTEYTTQTDFLTATTTAVTTETHDVTRHWNTTSTALRTVTVTVT ATTAAPGEPATTTTTATATVTTIVNPSISTPTTETETKTETEITTSTVTVTGADPSEP SGPTSTVGPSSVPSSGTTAETTSSSRGQTLTVGMY MYCTH_2119021 MPGLSADEGDEGAPPPSQSHFAKFEHFTPNEAASFDDEFARLAS SQQWVPGSQEYTRQRTIAIKEELELHYFSQSQQLDDINEEEELSAEEKTLKGYQALCR EVGIDPSDSIAECKKQLKNTLVNIVDLIDARRTGKRVKVWDSFEEFRAYTLLDENRID IREAKRPPGYLASLLRRLSGPPSRKKRNVPKGGWGLRVVSGRITKAAQPSG MYCTH_2306178 MDTSQPSIFQRFRRRYTMLPKDDIIDNIGPVAARPSRYGDEDDP IRPKRPLWSWHRDHFGGALLFNVAAFILPALYGTLAKLWVANIDRSLVVTTDVYTYIG IVAEVLNEGLPRAAWVIIGDSASRPWTQRLGLTHTLILFQSVLGLIMSIGFLAGAATF AKGFVPIGVRDASITYVRISAFSALSSAIETAVAAATRALDKPDVPLVINTTKFAVNI ILDLLIISRFHVGSYQPTINMQAGIQLTCNMTAAFVGLGYFLWTTTRSSLHDDHPEEE DNDDHTEPTNTRPSLRALLVLLRPGLLTLIESAVRNALYLWLVTTIVALGSTYATAWG IFNTIRWGLVMVPVQALEAASLAFVGHRWGAWRREIGTNTLRPGRVAFRTVLRIVKPA LLAVGIAVAVEVPVAIALSLGGARSFARYLSDSDEVAEVTAYMWWTIDWCYVFYAAST QLASVVLATRPKWYLYQSLASNLLYVLPWAIVCQVGDLDEGNAWTYHSLVFGGSLVFS FVDIVVVDALWVWSLMTGRARLERFREG MYCTH_2306180 MAPSAVETVQQTVEDIKQKVVPVKPAETVSGPEEVLPERLEGHR EPLKLSGALDHLEYFDVTPVIAREYVNVDLVELLRAPNSDELLRDLAITISQRGVVFF RKQDNLTDDLQKELVDRLGKLSGKPPTSGLHIHPVSNSAREHGTKDDQISVISSEQAK KLYSDRFQGFLGGGKYRQSGKNQWHSDITFEPVPSDYALLRLTQLPKTGGDTLWASGY ELYDRISPTLRRFLDTLTAHYAQPLFNETAKRNNFSIYTAERGAPENVGDSLDAVHPV VRTNPVTGWKSVFAVGHHVQRIHGLSEEESRHFLQWFVQLVVENHDLQVRYRWKQVND VAIWDNRSVYHAATPDYAFEDGLGERRGSRAVSLGERPYFDPASTSRREALKAEAAAA AAAAAPA MYCTH_2306182 MSLSYPDYSGQMFAISVIAGRGRDDLLCQKHERLAITAPHREPP PPPQLVKRSYYVIEIQVLSLVASLHA MYCTH_2306185 MGLTLGALLLAAWATRTAYASCAHGTFLSPRAEDGTVEVGKFGY TGTIGPLNWAALDSPANGACATGTRQSPIDMVDGVFELLQGSDVELTVNDMPEGAEFE NLGTTVEVITQGGSLKVGDKQFELQQFHFHLPSEHLDNGTSQAMEMHMVFQSEAQEIA VIGTYINIADTSAAAPSKRSRVFGRQEAKPPATGAVAATTLLETIFSVVDKIAVPGTK TTTPPLVMSEVVDVLKANAFQIYSGSLTTPPCSEGVSWHVSTARLGITPATFVKARDV IGFNARFPQNKPGEPNLLMVSALGSAAAAVAAIAGAGAGAAA MYCTH_2064854 MGSSSKPLKTGTWSPDEDKRLREAVAQYGNSWVAVAAKVETRNG DQCAKRWNENLNPELDHSPWSPHEDRLLLHLVGTFGTNWKSIADNFLEGRAPLALKNR YSLLMRRMRRKR MYCTH_82782 MQSFLQHRRLRLAAERDVARLSKPQSGSPAPTDNGKNESSSLEA GREANGKPNLIPGVELSEDGTVYQVGWADGDPENPQNWGAAKKWWATAAVCLIAIAVS IPSSIDAPVAPQFNEEYGVGPIAGSLTTGMYLIGIGVGSMFAAPFSETFGRNWVYFST LTVFILFCVGKALAPNYGAAIVFRFLVGFFGAAPLTVGGGTVADIWGPLEITFSLPFV TMTSYAGPILGPIIGAYLPNIGFRWADWISIIIAGAVMAIIFFLQPETFGPTLLEWRA DHLRTLTGDSRYQVPGHASAGSLGRRLLTNCLRPFAMTYTEPIILVFSFYLIILYIVL FTFLNGFPYIFAQTYGISESLTFIIWTALLAGDVVAIPLIPIVYGWTKKAAARAAEAG QPLAPEVCLYFAMLGGSVLMPVSLFWIGWTCYPSISIWSPIVGVFVFGYSLVTIFTTT YIYIVFVYLQYAGSALSFMTFSRYVISGALLPASVPMYENLGPHLTLTWIGAVAAVMA PLPFVLYRYGHKVRAMSKNVQNKA MYCTH_11658 VPLTPIDHLHRPNYIKICYWFPLQPDVDPKDVYNYLGQGLRKMF SRMPWLGGKVYLQEPNTPGWRPGQREIRYEPWDAEGPVPHQLVYKELDTELTYADWKN EGFPPEAFPDEELLDVPVEGDMEAGCDIFVAQTSFIPGGVILCMSTCHAAVDGTGMVI VMKAWADNCRSLYDASASAEQDDFPPETYDRALPDRLWEEEAGAPVPENPDDWTRGLV GLEGPQTAADDPAKLAYRDRKAVHRTFYIPAAKLAELQKICDEPGEPGESGAAALSTS DVITALMWRAHLRARAAVAREGEPLPEQTVLEGAVNGRLDFSASLPPLYLGNLTFYNQ AVLPTADVLDPAVPLARLARAVRKGAARANAASLNQAYGLLKTAPSFGLVRPRFRRVH GFDLLISNLLAFPVDDILFGARFFANSGRAEALRAYLGKFGRHARCSLVLPKRPAGVE ISMNLFEDEMEHLERDEAWTKY MYCTH_108317 MTADHNLPNGSASHTTANGINGHAAGRPRPREPIAIIGMSAKFG GSASSPSKLWDMVRDGKSAWSPIPKDRFDVDSFYHPDKDRPGRNHARGGYFFPGDIGL FDAGFFNLSADAAGAMDPQLRLLMESVYEAWEDACIPLDKVAGSETSVYTGVYGKDYH ELQTRDPEVLPAAFLTGNGMAMMSNRISHFFDLQGASMTIDTGCSSGLVALHQACHSL LAGESDMAVVGGTGVILNPDMYIAMSTLGMVGADGKCYAWDARAQGYGRGEGVAALIL KPLRAALRDGDQVYGVIRETGLNQDGLTKTITSPSVESQIKLIEKCYGRAGLDLADTG YVEAHMTGTRAGDLAEATALARTFGRARKPGDPVYVGSVKTNVGHTEAVSGLAAIIKT LFALKHRVIPPNINYQTPNPEIPLEEWNLAVPTSLVPWPADKALRASVNNFGYGGANA HVILEAPPGEYEEKRRARAPKGPAESEQSRIFLVSGKDQVATSGLMANLAAYVRELPD DSTLGGIARTLDEGRTRFRWLAAVRARTRAELAERLSQPSTKPKPQPAHVTSGKRPRL GFVLNGQGAQWHAMGRELIAAYPVFGDAVRRADAVLRGRYGADWSLLEELNRDAKTTR VGEMALSQPVTIALQLCLLDLLASWDVRPSAFSSHSSGEIAAAYAAGVLTFEEALGAG YYRGQIPSRSKELSAVRGGMLAAGVSREDAARFIADTRSGRVVVACVNSPESVTISGD IEAIDEVEARLRAEELFARKLKVPLAYHSHHMRLLADEYTARLVETVRSRPDEGWTRR YRYASPVTGDIVTDSEALGPEYFVRNLTSPVLFSEAFDKMCFGEDGTVQVDVVVEIGA HGTLAGPIRQILKRRGTTELPYVSTLSRNVDAVETMQNLAVGLLEQGYPVDLSAVNDP LGAEPALVYDLPKYAWNHTTRYWTESRVNREIRSRKHKPHELLGSLLPGDNGLAPTWR NFLRQNGSSWLVDHQIQGYVVLPGAGYVAMAVEAVRQHLGAGAGGPGSVGDNDDDDGI TGFRLRDIEVLNALTIPDSSAGVEVQFNLRPTEKDDWYEFRVSSLTLSNTWTVNCTGF IRADTEEYSPLPTVSPDSFFHPGARRADVEPESLWADLRRMSMYHGPVFRPINSIKTA RDKALTSITLKPVVEEAHDYVIHPTTLDGIFIAAYNGLPRKIRDAFTVVPRRIKGITI RRDLHRRGGQDIDCFSQVHSADTRGFDATIAVTNTNGGNGSGTGGRVSLLVDHFYAQA IPREGGDDSDAQQQQPGIISKLQWEPDLTFPVVPARPATSAQQQQQQQQQQQPLVFRP DDKQADFERKAPRVAFHLIHDAVREIEKTPDRLSPKYRDLFGWMKGVVATAARGELGP RSDTWARTSSGTKQLLIDDLNAKPVPAGRLLVRVGEKLPRILRGEVDDRAVAELVNAD NLLSRYYVGHPTLEGTTLKQIARVAEQLAIDRPGATVLEIGAGSGVVSKAVLEAFGAR HGADGARSVLGHYDFTDNSNNNSNNNNNNNNNNSGSSSQQQQLFFDEAAKKLAPWSDL LTFKELDIGADPEEQGFAAASYDLVVASQALHDKAVRRDALRNVNKLLKPGGTLLLVE TTRDPVERELVFRVLPGGKSPTLSAREWEEILRETGFTAPRFPVGGADDSDDASISII LASKGAAAPSYPSSVSIVYAGRAPPPESWTEQLSEAIRARTGGSSVVAVENLDELEVS PETAYIFTPELRAPFTARLDEASFEKLKAFLLDAQLILWLSSGGLVDADEPLVGATNG LLRVLRQEDAGKRIAHLDFDLPRTADANGDDNPNPWTADKIDYIVEVFERTFEKGFAS AYPDHDWEYSVKKDSGVFVPRAYPVLQARDTSDLPPLNLNRDDATYLVIGGMGGIGQH IAAWMMEKGARNVLIVSRGAEASPDVPSMKAMAEADGCKLAIRSCDVTDARAFADLLA WAASSSAGGGGLPPIRGVVNAAMVLRNSVLDHMSYALWRSGIRVKVDGSSNAHALLLP PPDLDFFVQLSSAVGVPGHPSQAHYAAGNTFQDALARHRAVRGLPAVTLDLTAIEGVG WMAQQGDEEAQQEVVRRIRKVGLSPAGIDLVMDLVEAAIRDPLRASAADSQVVVGLST YASIPDGSVTKADRRFGTLRLATKRAVAGADDDAAGGAAASGSGGGGKDGVAELLRAA ADGSISRAEAAPLVVDAVAARTAAIFNLGRDEIDGGRPLSGYGVDSLVAVELRNWLVG CLKAKVSIFDILQSPSLDDFGALLVEKSELLKGLA MYCTH_69746 MSPFPADLKTARSDDKFTPPDSPRWKERVSVESITLTSDDNDAL KLIGTTRFEPRSDIKNILITGGAGFIGGWVTRHLVVQYPEYNVVCFDMQNYVSSTANV SCLGDFPNFTFVKGDITWQSAVDRVLAEHDIDCIMHFAAHSHVQNSFHDPASFTLNNV VGTQVLLDSARRHGRVRRFIHVSTDEVYGDIADECADENKQFLPTNPYSASKAAAEMY VYAYYKSFGIPVVIVRSNNVFGPGQYPEKIIPRFFTLLSKGQPLTIQGSGLNKRRYLY GADAADGFDTILHKGVVGEAYNVESESGVTNIEVAVRMLGLFGYTPHADFSTRLSWIP DRPFNDHDYRVDGTKLRRLGWRQKVSFEDGLAATVDWYRRNLKVWWPDEGSLDKIAVQ TPAVIINDTGDANPLGAIDARDGVDLRKAIAA MYCTH_82772 MPHMLLEDHREKMNGHKETPVDYLLFGNGWIASQVKQLLLEQGK VVATSFARLENREDVMRDLKRYAPRRVMNFAGVRGLPNADWCEDHKVETARSNVLGVM NLVDCCYLLDIHITHFGSACVYEHDANHPPDHHFTEDDEPFYHASFYSHTRLVSEWAI RHYPNLLILRVRAPIAANLDPNNLLTKLFNYKRILNIPASGTVLPDLLPGAILLAEHG ETGIYNLISPQPFTNNEILSLAKKYVHPSLTWENFELEDQKAVLKAPRCNPVFDTTKL VNKLRELGYQVKDTHDALEDMFIKMGEQLARVASFGGLSSEEALLALTTRERAIGPRP GEQQVNASPIATR MYCTH_108314 MSRETDCSERALENFCGSCSRAGCSHGHHRAPNRPEMLNRSQNA FAMPSQDRPGLNKRTLHRQPRLSPPGRQRESRLATQSTDDAEITRSEKTARHSDIPRA LVDVHHDVRKRTVIAPSEDARVATRLATPPLAPHRFGDWEPTALTARGRQSLEWETET LLDPNGTGPDGMASYPCPYRKRNPARFNIRDHEDCARSPFDSIRALKQHIIHYHQRKP ALRQCRRCKERFGAEAELEEHLLLPKDRICDVKEPCLDDYEDGITEKTASILAATDGD DARSWTWQTIWCLLFPGDPEIPDAAHLCSNKASFFAEFHPVAELAEVEQAFDEGQGSL REELREKLELLLPEPVDPSYLGFLTGQLELVFETHRIDVIKRTLARCRPNVLISGEEL SRTQTQPAEQPSVPKKPSRRSRRSTVLQALQRSTHAPYRRDSSAGGTTTRNINNESHQ LGEQSLLRSAEHHPSARKPSPLLHARGSLSAAGPRDSRDSGIGLPCDTCSLEPGGEDG GFSPESFKQRLLRQQLMGA MYCTH_2127750 MDPTIPEFRPQALAQPTDEPRPDTSEESQWRTTCRTCGEKFKSR NQLMRHLYKSHPGKPAKSKASPKATQLRIAPQSPVAPKPGHSQPHSQSQSQSRSRVHP FALLSYQQQVAFAGHVLFAIFSIGMKQLEEEGKEQQQRERHQEQLQNHGALSLQGAGD WKPQKGPTSATPGPEAAVPSQASPDRTPSPDQISRGKPENGAESEDSDDEDGGVALFG PAYGDLPFRPGEKGNVALEDSKGACELDYYDWDLS MYCTH_2306196 MLLAKFLEKAEKEGSIEEPLSHCHCHCIYHTHGSSSPNSLNDNP ENAQPPHPSPAGMGNADTRIVRHDTEPVHVLSPTRTETFPDNEDGAHGLGIHPIDSNT SAGTNKRGFAEKLIRVATALERVSPDRFDDHLAFKQGKATGFPEIPGEPARNRNLAQI RRQWGEPTVDPEDSLAAPRGRRSRASSFSGSVSRSNSVDPRAHSPKPPPRTPTSGPES SMLGLPTTHSPEGTSESVFPARPSVELEKTKSHGTVVTLHEGPNSPAIVLSSDDQENE AQPPTTVSSVIESTQASEQMEDSRPEGRLAVPAGEGSAQRITAAEYQVPPRATTRLSP LDRQPASHIIEQAGRPGGSPSNQISDAAKHDTPPTQVASPPTTSSPATEQQLSSSRTK EKRQIEAPSIETDDATLKNRALQAPQPAAASLPPVEQRPPLDHVEVERVRHAEEPSVR TGYASQQDTAPQQSTPPTPATSGLVVRRPPLHRIEDSVSDGRKESPASESAQQHATQK RAPQPADGRPTEKAPLPSSGDLKRNQE MYCTH_2064480 MPVLPPPSSLDILVGRTVANASDPEPLQVVCSWPLSGQYGGGSR ILYYVLVAACVLARKTEWLRNACLAAALIFPATAAIHAIVLASLHTDDAIDMDIYGAL QMCSIGILTAPATVRLSRTYFNNPGRNVLFVWTVLVVAGLLALTVEFFRTEAKDCRDS TGRPVYHLDNFPGDTKQNCSLVCEEGNPFSPMRTGSADNINLVPKPYYLTFGAATLVA AASCVPGILSMVSIWNKIAKLNWSKQFGTPDVDEVIEGTNGATPRGMKTVNDVIRRLL SVVEIPVVCAIPSLFAEED MYCTH_2306198 MAGDQPQEVERPDYEQIKSWRSIVTLVVFILANVVVLFPFHIPL YIPRRVFNIICDGLSALRIISPREADDEKTESRHGAGKVTSSFVRFNFPMNMVTAPLI ADLFLLAILAIGRKEVKEGTYGADNIFPLDIMLFFLSLAYIAISIDASGIIRYLAFKV LQWGGDAGHRLFFYLYAFWFALTSFVGNDPVILSGTAFLAYMTRVSSNIVHPRAWIYS QFAVANTASAILVSSNPTNLVLAGAFNIKFIVYTAHMIVPVLATGVILFPFLLYIVFN NEALIPRVIKMHELPAEARTRKPVNPNIPHARGTAEQQEDAAGEQGKLLSLEEIINPF LDRKGAVFGALVMAVTLISVLALNASAQITEENPVYWVTLPAAFVLFIWDLSFGWIHR HETREIARKGREELQRLQLEQALRRERERMAHAAPGERFASSDESVSAQVGAPSGGLE PGGNVLGKDSMDGNVTTTGTTPSKSPTQEFRGAGNEKSAAHGSLGESQLQKPPRRATL VSLAKDAFTWSQETFPTATAVIAHLPFALVPFAFSMFVLVQALVTKGWVPIFAKGWDA WVNKTGTIGAIGGMAFLSVILCNFSGTNIGTTILLSRVIQAWQVLYHFKETEISDRTL SATIYTMALGVNYGAFSTAFSASLAGLLWRDILAKKHIHVKRLDFATINLPIIAIAMT VGCVVLIGEVWIMKDDTPASDRYA MYCTH_2127753 MELDHQAGPIGLASLPDELISLILRNFCLHCRQGTRETPHAYFP ATGQKREERSWYAVDVSALHAMCLVSRRFLPFAQEILYHEFAWAYGDSSASLMDDWSH RLTAFLRTVARKKDLAALVKRVYICLTHFFIIPETEADSVLEDAAHARGIRLADFLDT EFLSSDIIACLVRNKNTLHTLHLKYDVDSQTQDGSARSLAELESSLNTFPALRNLFLT TTLLYQMIDGSAEDDSILTRLLPPSLVSLQLAVVDDNPWLNLRLEISVMADLARAVSQ GHFPHLRRVSCIPTTKGRLDMHRLEAMFSCAGVKFGYDGWQFHDGVAGERVYSLGPSP AYSTALTVGSDDESLQSW MYCTH_2306200 MASPSVIVFGPTGGVGSVVARTAQAAGARVTLAMRDPSKPAAAD LPATLPRVRADLSDPASVREAAAQAGARAAFLYLVIGLPDRMRATVEALRDAGVEYVV FLSSASLRPGVDVRAVTPDQFLPWQHAGVEAALDDVFGAAGYVAVRPGFFASNLLQYK AALAAAVSGDGDGVVRVPAAEARLDFILPEDIGRVAGRLLVTRDARGPVTLFGPESHT LREALELVGQALGKPVVVEEFASADEAAGYLIQTVGYPDPVARYLVEILKRRAQDPSP EEIKEHGEAAGNILKYGGKEPGRLVEWVEANRAKFLE MYCTH_2306203 MPMPSLRRLAVVGLASHAACTSIKYTTPPAASVICNEHSYTYQG LAGYGSLPSDARDQYGDTISFGSSMAIKNWTRTGNKYKGTMYGLPDRGWNTNGTQNTI PRVHVFELSLTETEATVSKPSGPNVEFKYQRTILLHGPDGKPMTGLDPDFTGGLTYPG FPTMPAATYPGDGFGGPGPGDKRISLDAEGLVVEEDGSFWISDEYGPFLYRFDEKGRM TAAIQPPDALLPIRNGNVSFNSNTPPIYDSSLVPSPEDPDHGRQNNQGFEGLTISADG KTLWAMLQSAAEQDGGASSSKRRNTRLLKYALRRTDKKKTKNKKKGGDVEVTYEAEYA VPLPTYENSKGKTRVAAQSEIHYVSDSQLLVLARDSDAGRGRDDPLSRYRHVDIVDIS GATNIAGPRFDDVRNGNITVGGTSDPSDDLVDGITPAKLCPFIDYNLNSELNKFRTAE GDLVHNGEPVNLGLLNEKWEALALVPVIPDTKGKGKDEGGTCGGKRDGDEYYLFTLSD NDFITNNGYADFGKIHYVDDSATVPFLNSQALVFRITLPRGSKPLIS MYCTH_52496 MKLKIVASLAMVASAVAQGVTEKIAPEGDAPSGCRPNFDGPFQI TVVPLTDKAKRDLALETRATCSGEGTLVLRLSDGVLTDAQERTGYIASNYQFQFDGPP QAGAIYTAGFTACANGSLALGDSAVFWQCASGTFYNLYDRWSAEQCSPVDIVVLPCGG DAGAGSQEGQNTVGGQVITTTVVVPLSDGQPQVITTTTVIPICQIDDGAFPPHTPRPI LPLF MYCTH_2306208 MADYWKSTPKYWCKHCGVYVRDTGLERANHEATAKHQSAVKRSL REIHRNAEQKEREKERAKQEVDRLNGVVSGVRGAASSASKSTTKPGGGAYGAPPPQVS QAERQKQLEQLAELGVNIPTELRGSMAMAGEWTVTSTRVIEPRSEKTDGTDPESVPVE ARATGVKRERERAEEEKEQEEAIKGLFKKPRKWGIGSKTMPTEEDAELDALLSGPLVK TKKEESEAPQIKAEEAPEQPAAGSDIPESVKKETSPPIKKEPEEDESGPGATAADKET SPPPPSSVADSGAPAVVFKKRKPKNIRQK MYCTH_2306209 MSIRKSSIIDSVVRVPSPAIGLAVAADGQTALRYLCRPPGFVGT LSLIRLLLRYGADVNSPDAHGRTALHHASTFSRGGDQVRELIEFQGGPAVSGLVIDAF DSRSWTPLHYACLFRSWDEPGDRAVAAARLLLESGADVRARTSNGWTPLSLAAFSASP DLVHVLLDHGAHADDLLLSRLSRNAEPTLVPIGRIVFLHWDYGHRLVDLLPEAMNELA VRKARVATLLEHRLGISVPLPPMQEQAVLPLGSRLDPQAPAASLPKFFVDRLEHPFGI AVENHGDLTADDFEKDIDGVLGVLDPLGLEALVVPVSVPIHRPLNWLDIPVNASDHPW ISR MYCTH_2110987 MKFLSLLTAAGVAAALPTSPAEVSSAGEIEARQLASTRNELENG DSANCPKAIFIFARASTEPGNMGISAGPNVASVLEAAYGDNIWVQGVGGPYRAGLADN ALPAGTTRAAIDEAKRLFNLANTKCPGAAVVAGGYSQGTAVVSNAIAELRGTGTATQE QIRGVVLFGYTKNLQNGGRVPDYPTDRTKVFCNVGDLVCSGTLIVAPAHFLYTAESLG EAPRFLQSKIDAT MYCTH_36130 FTVYYLDNILIFSKMIDKHQKYIKVMLDVLYIYKLLVNKEKSEF YVRKTVFL MYCTH_2306212 MLPEAFSFIPGPYQVPALASLLLFVFLVIWYGLSWRRLSHIPGP PLASLSYLWMLRIARSGEQSARYAHINAKYGSLARIGPNELIIDDPAVVRRMNAARSP YRRSSWYSAMRLDPYQEDLFSMADTAEHDLLRAKMSFGFGGKELPDVEGDIDAQVDLL VHLIRSKYLSDENGVTCPFDMAITAQYFTMDVITKLVFGKQLGFLETDSDVYNLIHSS EGPLQLQVLKSEMPLIGRIFSQPWVLKNLGPKKTNAGGLGRILGTAEEVVAKRFRHDA KDEMDMMGSFIRHGVTQQQCEVNTPFILVAGSDTTASAIRGTMLHLATTRHAYNKLRD EIDRAVAEGRISSPVTVEEAMKLEYLQAVIYEGIRVQIPFSGLLMKEVPPEGDTINGV FVPGGSRIAHNTLAIMRRPEIFGQDVDVFRPERWLGVSPEQKQLMVQTTELVFGYGRW SCLGKPVAFIELNKVFVELLRRFDFEILYPKRPWRELNFNIFYHSELWMRVTGRH MYCTH_2306214 MTATSKPVTASGTAQDQAQEAASASSSAPISDLPQPTRFITTHN EAGQAVVHSETKFSWAPYDEGNLAFAVPYTTSAFPPELNGEADVAEHERVVASGTLGL VRPGGTVLRFVDFAPGYACGMHRTLSLDYGVVLEGEVDMVLDSGDRRTLRRGDVAVQR ATQHQWVNRSQTQWARMLFVLQHCKPLVVAGQDVGEDLPPESGLPPSGNTGE MYCTH_2306215 MRATATVLFIASLASTALGAATKFYSDDKCEHEIGKKVYNGFST GDAPIPKEAVAIKSDSIMDVWYSYQRNDGKNCQGDLILRLDNGKCYNLEKDLGSAGCT RLCVNALGGGECASSPA MYCTH_2065104 MLKLDRITRSKSATKLPEHHVAAAGSAEAASGWASYVPSWSPSP GGSRPSLSEARVAGFRNPWPSWHRPTLAETWNSFRWGEDGDGDGCVELAASHLPDRAD ADADDDPAQPQDPPKSMRPSFADAREGPDSVGAKAARLLRVETPDFSFPPGTTAKTTW LGHAGVLVQLPPLDDAKLGRPVRALFDPLFGDRCSPSRFAGPVRSYPPPCRVQDLPPI DLVLISHNHFDHMDAGSILSVWDHSRDSARFFVPLGNAKCLLGWGIPADRVVELDWWD SADFTCVHCTPAQHNSSRSFGDTNTALWSSWLLELSPATHHHHHHHHHHHHHHHQHHH RHQQEQQQQPYRVFFAGDTGYQFHPDPSWPPSPNNPGGSEYHDHEDKDKEEEEEEDED EDEEGGPSPACPAFAQIRARIGAPQLLLLPVSVGATFSYLRSFFASFPLGLASSFPSS SSSSSYTRFNPFPRHSAGVTAANHLAPWDAVRVLRAGSGSGGGDGAVAVAMHWGTFVP DPEEVLRTLGALEWACRKQGVRFARELRRGKGQATAEDTGGRDRGLVFVALHHGAGVV V MYCTH_2306216 MLQVLCSATSLVLLATALVLAIRRVFFRGLWSVPGPFVARFTDL WYAYRVFRGRFEKDNVELHRKYGPVVRYGVNRYSIADPLAANAIYGPGSKFTKSSWYQ PWSSPSPQDWTLFADQDAKRHASNRRQYQNTYNMSALVHYEAYVDECVELLCQRLSGM GANAWSQPVDMGHWLQCYAFDVISLVTYSRRIGFLDAGQDVGNVIQNIDDALAYASVV GVYPWLHPILFRVRNWLAGSRGKGRQYIINFTKECMAVHQEKPSGQPVAEKNSNSSED QWQQQQPTTMDFLSKFLQKHTETPSAFTRYHVLAGCVSNMMAGSDTTSISLSAILYHA LRNPAVFRRLREEVDQLCPRDHDENAASARVTFAQSQDMPYLQAVIKEALRVHPATGL PLERVVPEEGLTFNGIFFPAGTIVGINSWVEHSNKGIFGDDADVFNPDRWLHGDKEKI RVMNRHWMPVSFPFVFLPPVPPGLLFVGNYTPCLTSS MYCTH_2306218 MGSGLMPPTYFQKSSSLPMNTIIRFVPQQTAWIVERMGKFNRIL QPGLAILIPFIDRIAYVKSLKEVAIEIPSQSAITADNVTLELDGVLYTRVFDAYKASY GVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERAALNTNITAAINEAAQAWGVTCLRY EIRDIHAPKPVVEAMHRQVTAERSKRAEILDSEGQRQSAINIAEGRKQSAILASEAER AEKINRAAGEAEAILLRARATAAGIEAVARAIAEGKDAAQGAVSLSVAEKYVDAFAKL AKEGTAVVVPGNVGDIGGMIATALSVYGKVGDAQARTMAKELLAKGGIIDAETPAVAS STTSTTTTTEGRADSASQSAPAPEKSA MYCTH_2139484 MTTQLLASELANLIQESKRKHNDLRQAAEKSLDELKNLRASTEV QASEELAQRPNFVNPFIIACGTKNVKFTGIAIVCLQRLIVSRALPRARLSQVLEALQQ ATSAGLDVQLKILQALPALLSNYSADVKGDLLVTALNVCFILQSSKNAIVNNTSAATL QQLVVSVFDKVVAEDKSGTGHPPVGEVPGRDGNIPLPAAALDAYRRPEYLRVSSLFQT FGLELIESVLTNHAAIFTTHPEQADILRMRVMPFITSALRGRPNFATSVRLVRILYTL LRRHLAILPTESGDALEILTHLLDQDTTLWKRALCMEVFRGIFAEHALLRRIFMLYDA EEGQKNILKNLTATFVRVSTEKPSVIGLGHQSTIPVAASNTGASSDQVMLEASGVTGI ISSSVGPDGNNTGISSQWSTMRVPCIDQLDKADAPTIPESYIYSLTLSCITSLSEGLA KFILPLTVSSEGRRKRVPKPEQGRNSPAPQSDETPDKLDRTASFKRNPVPVNPLTLEN HPLYAEIKICAAFIEECWPAVLATCSTFLYAALDSDYYHGLVRAFQKFAHVAGLLQLA TPRDAFLTTLGKAAVPPNVLTACMNAAGPARPPPSPVAVEGSGNIFSNARGLLSVDSL VSPSLLSSEKQRQPSMDASGATLNTRNLLCLRALLNLGIALGPTLASSWSIVLETLQQ ADLVMFSSGKAAGRTPLAAKGPDPQAEQEASALLANFNTEIRAVETAASRLFESTVDF PNSAFVEIVGAVCNLLEHVEPPSEPSSRPQSPPSTGSLKTPPLPSKRVMSISVPSSAA PNQENQFGLSKLGDLASINIERLLAYPPDESGWTRLVTELIDVLGSVSNTAPVRARAA ETLVRILLEEASAVASQPDEVRGQIQHRLLETFRNSLAPLQATERQVSLAKHAVDVDI HKIILEGLKSLLENCGESLISGWELIFEIIDTVFIERNISATGAEDNNRPSLRPNLLT RAVKLIRPSFASLQLICSDFLPSLPNACFLNLVDTLYKFCTQDDELNVALTTVTFFWA ISDFLSANRESTSITEDMISASGEQSLIKLAVEPVGEGSGAALWMLLLLRLTSVATDQ RLELRNSAIQTLMRIMSAYGESLSPEAWSVCMRAVIFSLLSSVERELRAVDKPSAKEN GPEEWRETATVVIKGVSDLFGSYLSLLTSHQSFSEIWRNLIGHFQALIDLEMLDINSA TYSAVRDILHRCTEQERLGIGKESLDLAWELWSQGIPVPKHETDGKTSDNQKCLLVWV EALLPLYELIREDFTVERVRRMLILFRDAMQHATPGAYASDIEYVTPLQGKILEVFRM VRTDLQGVPSAMVAQVAEFVSLAFNQEDVAKATSEKRTYVAMSKESMTILQSLIVKNA GERDIYETGAFANALSALSRPVILKYQFRIVTKSVQPWRVATQSALEVLKAALPRLAS MEFPRETVQNIWRTIVSIANGIISADLSEPTAATADIAGDQAFDIASYRQLRGLIIPA LGSEVVLDETRRAFAEGLFRTSIIHSPSPAEAAIIYGKPGSDPVGLSSFLKPRNGRTI DPAPTKRSLMSEVCLDELFALVEVHDDEETSSTAGTAGTAGTAESTHDRHVRLARTVA PYLILRCALTLRGYVADQPLRGRMPQPMSQRKELSRILRCLVDLRSEPGSIPDAPNVD SETRKHLLRVYPLLVSAVQVAGTAGDVEVLGLIRQALDVVGQEFGL MYCTH_111987 MAAQVPRNFKLLAELEKGEKGLGAGACSYGLEDPEDIYMTHWRG TIWGPPHGNHENRIYELKMECGPNYPKEPPEIHFVSQINLPGVSPTDGKVDKNAVGIL RDWTRIAAELSKNARPKEDPLSLEAALIAIRKYMDEHKKLPQPPEGSKYPIYNN MYCTH_2064609 MPSFFVPARSSRHRLACFALYRSLIRQGLRVPLPDELSKDSPLG PANPIRTLIRNGFRRNKRDTSPRLAVSALKNGYRFLALLSRAADASTPEHASVLAFLR ENEARVLAVKAKAAEEAAKRISTAPIPGRTPIITKVSAEGEPPVYVPTGPPRPLSSFK SGVRKPPTLAAATAVPFLRLKKPQPRFLERVIRQKVERRAKKAIKIMEMQNEGMIDAS DEDEWERLVAKMLAENGQQPGGAYKEEKTYRDSLSEAVTLTLESLNRDREDSVARAKA LWQIVLAEQEMALKEEKEGLAKEGKGAEEPRRKASSHQV MYCTH_116510 MRYSEGDLPILNAEVYHESPAFGSPRRLAQSRKKQASSSLPRGS EEGPRKRARTQAVGVPGHGDETKRARGRPRIDTRDEIAADTLEKKVRTLKYANEEMSN AFMQLHDFALSSGLLDRLPDFGHQLRQTTERFLSLAREVSDDDADDDQRNGIASQTRR EPNSHRAQPGSPRQPDAVASSLSATSSPESAAEGGPVALFGEPNIPLNTVTQADLTPP LTLAQQQQQQQQQQQQPLLALPPPEEPPNFAYEILTQPTLENASFPFQPAPFACSSHD LSLPPLIESPPVPQTYTNLEATFGRRLQRYSLEQVLALLSMPDPPQRLVKRIFGFCLL LESTETIRRRLRRMLLREPQQSLFNWQYPFFHLGGAGTHFDVSASSFSIPTTTTTTTT SSSSSAPAPAPAPSSSSLPTTTAPGKPHRVGNQGTVDVLKPQETAGFAMGPFSAEVNS VRDQELDEDMRIILDGFAGEYYDCDEAELYLYQRGVVIPPGADLVTVDVDLSQMESYK ERGWRVETSRGLLLDGTSSPGSGRSLAQQGAGARSWSMGDGGGMAEPALASVFPPGDS FLPATTVAESLAARRGDMLPFGFLPPSELGDSSGYLPNCQRVVVEVMTLLRQITKRGI CLGRTPGFKACDIDDAFWESVKASLM MYCTH_2306229 MDKLREEAHVMENLDHDHIVKLVGTYCIQSSLYFLLWPVAVCNL DILLNDIDCLRTNHGDREDIISRLHALDLKDLSAIEGPAPAVRNPAGRDSCPLEYLRQ VMGCITRAVAYCHGSNVRHLDLKPSNILLNPGRVYLADFGIAKDVHDRDNTMTIGVQG TPKWRAPEVQQHNADWSMKAADVYSLGLILLNIFTVIYNAPLNEFEAILEDVSADGRA MKLDEYLPKLERLVLASREVDDANAATFSPKHIIGLAARMVSQDPSSRPVIFQVDSEL VELGGLDQIYHSKCCKRSSRYLTERMNAKLIAVTEERDRLRAEHGQMAKRLQILEAKD ETYEHRLRNERKAHADNLVKLQAQLDKERAERQRLETLLAEMQQSRKQHRPVLPRPSA VRQPTSGTSGTSSPQAQPKPQLPAHAVPQQRPQATPVQQPRPAPSVGQGPRPSYSQCV KGAATPQAATVTAKPRRDSLIPSPSPSAIPPHSSNPDQPGFPLRSRNSGSRLPRAINP TTPIRSNTPVLNGDLSSTDSTQYSMSDSVFSRLSLSKASLAGTSVAGTPSTHSPAMVD TQKAANQNASPSSPRHGEPGQHSPLSPEEPPHGLGLGLTDLERRESIASAGTGGGGDE SVRDTASVISSSAAAAAAAATPSSPRALSSAPSGSVLSSPRTTHASMEATVRAGVRVP RLPTAQSWAEVAAGKQR MYCTH_108304 MPMMDESLERHTGSDAGFAASTGGAAPPFSSGLLASVETISRAT SPGLPCGEHQPEDDKKRYRPRTFSYFRLLPFDVEDDARRDAALRGILKNLYISIMAED FSPGALHWTRELQGWLNLKFEMTRELRARLAHLYYHLALAPGLDHNTADRFSRMAITL SKKKHYLKPGEDLIFDWRPMWKELKALVLPSETASHQGSRRRSSKHLLKLCLHASSYF DPRERRAILEELLPYFSTSAMSNAYIVAAALNAMLPTSPAPPSAPQSQPSDIFPTFFH LWSLMNRSKAFDVCFIDIFSRMARDHLGCTHVPFTEHGIFAREQADLIFTAILRLTEI PVGQANSPYTSLDYGAGLGVHLEKDKSKYPVPYMVARWIVHSLSPLCLDRESSILANL EGLMESIDTFFHPSNQGTWTGFLAQLTYFLTDIFVSRWNREQSGELEVPPERRINGEL KKRFVLALKEVTFMGIFSKSSKVVNYYYSALQGLAYLEPDLMLPGALQRFYPSLQGLV EVHRTTSSLCGLQMIANIMAKQKGYRCHITALLALALPGIDANDLGKTQYTLNFIQSV AYSIPFVPLANQDDKIHDTSLAMNWVQAEMERMEREGQDVKICYDKELTDEDEANILR SSTAGLGEFVLALLGKVFALLENLPDASHLRSGSPEDNVINTLPAALTPLFASLSPEL FDMALDKLAAFVSSHVLHQARDAMAWICNALCKVNPEKTLKVLIPMLIVNIKNEIEYN GAASDRSSGTEVLPRDRALVWHVSMLSMCVVHVGAEVLKYKDELFGIAEYMQERCRGL PTIHISNYIHHLLLNLTHTYPIDNALYEPDRIARGLDVDDWGRTTPPSELTIKWHRPS HAEIVFALELFESQAKSAARRIEQLMSDDPPVSRKGKNKEWSDELSRSLTALRLIISG VATLFDPARASGKRGGRGANGRVKNDADGDAMMEEDNDPLAEVADDDELERQFHYPAG YLLSPKDPVYERIHSLREDIGRLLSRTHAFLNANQEDDVACFTALYATYRTWITDVGI ERSAHPLERLVRLYKADISPFRISGLRKVYPRPLLIKRADAYQLQRVKYNSAYRKKSE LDKQLLLDLAESCTSSYSDVRRVAQGAQDSSLKVLLGGRPLVIPVVLDRLRKALEEND HDRIKGAMYTLLFTTLIKTAIRDWRFAPDLMRLYIETASVDKASIQNLGSSALYPLFE FGKPLERMIIFDRDVVEAIRPDEDCSATIKRRHAFIKERRTKVEAKKAALGLELTEKA RDSHWKVANRCIVFALNCTLRFDSLGNPAFVDLIANGANDQHPSMRMNYLSAFSALFT AIDMRAVYGHDYRNYLIEKEYDENKTQIAVPTDDPNVTEKFLAQFENYDDVNYFVDCD HPGWLVWGKELTVCRADPKPFLAYDEVENRVREQIGKIITRDWFKKCFEYLKQEPRDA GSDRFRMQNAVLLMNVFDLMHYGKTAATFEDVMELVKEVYGDGGDKHQHRATAEILGA LLTGSVDDPKEIRDKVWKFAAPMMLKIIADDLTPDTLSYWVSCLHVIIDGKDPRRFRE LIEALAAFRLDLNSNAAFKESAKLQLIDLIISDAGWHFRHEKPILEDCLAHIDHPYKA VRESIGRLIASIFRTQYHESFKDVTTLLEQNKAESAIGVRAYRPSQQFADTIRDVFAR IEKWRHERTPGQHTPSSYTSGSKTVLIWLDCMLTSQECIQLVPFFPDPFIDQLLHMMD VKEDPELMKLAYHVYRHLPNIPFRSGEDDAFIAALIRVGKTATSWHQRLRALVNMQVV YFRRLFLTQPAQRRMLFDAVGDMLTDPQLEVRDCASATLAGMIRCSPAKIRNPIIYQL KERFERELRLNPMPKKKVPGTDTPVDAQRQIVRRHAAVLGLGALIEAFPYATPPPKWM PEVLAGLATRAASDPGVVGKATKAILAEFKKTRQDSWSVDQKYFTSEQLEDLEGVLWK SYFA MYCTH_2306233 MDSRAFRSHIRRFSCKPPGHDAARQRENQRRHRARVKSRIAELE AALSDAQARLDEALQRIDALTTEVQRLRALSPSSLTAPQPADRARLGDAAAKSELPES PGCCLCSQAAGSLTANAAPDSRDMFRTGRASNEVSTPQPKGQAETVDATAPPAMRSTE PVITGGTRGAAEPAAEIDLEDLNDDCALLPAPGEGESTIPCREAYSIIKEWSASSEFD LAVANEWLRPGFRRAIAPGTGCRVQTHILFAFVDHLTPG MYCTH_2306234 MDSAENLYDKVREHYSAASRGTSVKYSEAVAKSFGYSADELASI PQAANLGLSCGNPLAIASLKEGETVLDFGCGAGFDVFLASKKVGPSGRAIGIDMNEDM LARANQILASSRANDGGDNGNITFLKANITSGVPLPDGTADCIISNCVVNLVPHADKP AVFAEMYRLLRPGARARARVALSDILAKRPLPERLRADLAMYVGCIAGAAQVAEYQAW MEAAGFRGKISFSSCFLFIPTSPLFVL MYCTH_53288 MTGNDEGGGSSSSSSSGSGRGPDNNRVSVNNYSNKTKPAYPPAY VPHASSCGKPKVRVEWKKLKESEKFAYLGAVKCLMDTKPVGVWSKATSIWDEMAWAHN EAKWNIHETDNFLPWHRYYIYMLETLLSKHCSYRGPIPWWRETDDTGNLAGANLFSPN YFGSLPPRTEDGKTTCITDGWFANTTVLLGPGAPTCLARGEDKRIAAEVTTAALDLCQ GDRDTKYERHRRCVEATIHSSTHRAVGGAMESISASPSDPVFYLHHGFVDWQWARWQN VESSRKTTISGCSEPSPVPGKCVELTLDTVLKGYGLIPDMKVRDVLDIENGILCYTYD EF MYCTH_2306242 MDSMTPDVNMDGITLLSPAELNVLAMERFIYKRVDEDMVKYLAE AAAGVIQCDSDMMPPPTQVSRRGYPTRAVRSTNTPLPSLEQFIWQLVDSSNVQVPTLM STLVYLRRLKSRLQPMAKGLRCTTHRIFLASLILAAKYLNDSSPKNKHWAHYSVVSTK DYIFGFSRTEVNLMEKQLLHLLDWDLRITEEDLCREFERFLAPLRIEIQDEHIEMQKE QRRRRQKREQEMRERKLEEERLARQREREMEADLWRPLAQSQAAWVASREHTAYVTPP SSRGTSRSRQATYTPSHSRGSSRDVSPPGLESSGSSYAGSTTSRATTPLSEADITTAH QPSIFDGHEYGAGGVYDSPMDVQEVFDERPPSVPEKDVVYLQQQQSRGRMHHHHHHHH HHQPQVAAKQPQMLPFEITADQLRDLEQSGRNASAKKFKEIFGRVFGTAR MYCTH_2306243 MPSRSWKRSAAPNPTTYRAQLAMFSVLATRFGSDVERSCRSGPY RFVPSPPLLSRAMSPRQGRGKKDADEGWAVCLATRSLITVLCKTDDAGTGATRRRGVS TDVIRRSLTAPSVNSGYFRCTIQGEGMLLKIATG MYCTH_2306244 MENYQKLEKVGEGTYGVVYKARDLNNGGRIVALKKIRLEAEDEG VPSTAIREISLLKEMRDPAIVRLYNIVHADGHKLYLVFEFLDLDLKKYMEALPVSEGG RGKALPEGTGAQLQGMGLGAAMIKKFMRQLCSGVRYCHSHRILHRDLKPQNLLIDREG NLKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGGRQYSTGVDMWSVGCIFAEMC TRKPLFPGDSEIDEIFKIFRLLGTPTEDIWPGVTSYPDFKSSFPKWVRDHSVPLCANL DEAGLDLLEMMLVYDPAGRISAKQACNHPYFEDLEPDPRTAQYNYH MYCTH_2306246 MTVDIKHLDAHEQPSEELRAKWKAVSRTEPKDVRSEDVDDLQDP SSAAEFRVAGSISSEVLNRSFRHLCPGDTLEFQATKDVPIYYHPLLPGLLIIPSLVPP EVQKILLRRLIHRDLSNPVHQTNLHLHFHLPYPEPVTGGGDADDVDGNNNNNDNDKDN DKDISFFSYPPSSATSFSPKDPSVHKPLTIRQALERKLHWVTLGGQYDWTRRVYPESS EAGGRSPPAFPADVAGFLETLFPETRAEAAIVNFYSPGDTMMMHRDVSEETDKGLVSL SFGCDGLFMIAPNEEGEAVVVPGRNDGREEEEEKKKKKEFLLLRLRSGDAVYMTKESR FAWHGVPKVLKGTCPDYLEDWPAEGGRYEEWRGWMRNKRINLNVRQMRD MYCTH_2306248 MRLGQLATRAMLGGVSMPMLRVDVKTSPNPAGLFVRQSCDIGET MCGGGCMPFGSDCCDEYGYGYSGYCEIGRYCTPEGGCCRNGKTCSGPPSGCDEGEELC NDGCMPEGAVCCPNGGYCRPGEVCVGDNYCEAGSSGSSSGCDEGKITCDSDYCIPESG TCCNMGLGEYCKAGYYCTNSGCCPNGRTCTGNGGGGSGDDGDDGDDDDGGDDSDFTTT TSTDFELSTSAASFESTPTTTSQDDSDSDLEPTSTDSDVDSFPTDEPGPTTTETSSPT DEPDSPPSSSSPGSGGDDGFTVPSAGAKSGLAVPGGCLALLIAGVMALL MYCTH_2306252 MAAPTTYDGVEGRYEGTLFNGIKFWVAQRVPIRSSIVGKIKDNG GKVVLLEKHADVLIADHARKDCPLRSVSWKYIEDSVAKGELLNIENYRIHGTIEPRPA GSTMPVKGTRVPFTQLDEQILVTWVRRAGVDTLGNKIYQKLAERYPHHTWQSWRDKWV KKLSLLPEDQLPPVLEELPSPNPQPGGGIPSNATPSVAALPMAPAATPQPDVNPAPPP RSDPVKRGRAVFTEEDDKLLIEYVTQRMRAGMLPGGNVIYQELEREHPQHTAQSYRSR FLRYLSSRTPNNKPNHRMTETEPDSPSVQPVPASKPATEPPQPHTRPAVPTTPPVPRS AAKSTTTSLPRNQATVDNRPPPSSSPSSSPPSPPPPPPPPQLSITRAVAHADNQRLKI LEELRYRKQRILAARKIQHAWRAQRLRKKLRALLAISRFQARAQGFLVRRFLSIPIDQ PEGSTSLLQTERVFSQKAPVTDEREPEQGQEGIEPSASQGEAEFSIFQQENESEAIHT NVGEDTAPGLGTKITPVLAREEFWRNFNEYNMLNNITSSPWAQVGNHIVDFWDLWRCA TAEPDHASRDWEVISENLGFDWIAEPHVPVHLKVAFEKHLLGFEEALREFEQWEDKDG EEEEEEGEGEGEGEGEGEEVGEKGGYGERNEAQEEQRDCLPQEFEAGSAAEPDDNTVA VSQTSDDFISSPPLVGLKRARLPSTSPFHSLVKKRPRYDQSSEIPETPETRAARAGQG TAGAWAAATNQQTPTRPPRRPEPARQRIELETQLPPPPGQIEGADGSGPPTPSRQLLS DVGAASSPVRPPRTASAAVSSAEPRLPSIEYDEEEDSDSSDAFESISNLPVAVGRSRP LANSGGRPPPRSLPWPRDRGGDRGKQAATMPANTSSPHPWPAAAATTNIRILPPSSST AGRTSSLTPQSVRRRTPINPAPIMNHFLSQRKYPPQLIARAIKATTCQFANAGAVLDS LARGKGIPTNLPGVWTEEEDAALRGIEDWMDALRGRMPPPPPRLSAADAADSQGQPGG GREDRVFWRLVIKHGRERVFGRREFLKVWERA MYCTH_2306253 MYDRHPTVLIFFLVLLVGGEALYLPAAWPQLSTLQKTTGSVAVF LPYLFLYLSAASDPGTITEANHVPEMARYPYDFTLFHPGAVCATCRRLKPARSKHCSV CRRCVARCDHHCIFINNCVGAGNHHWFLLLLLSTAVLTLYGGVVGVRLMTAQMRRRFP SWALLPWRADGGRGMSITDWLVVWSWGMQDGGRGGGGGSGGVWLAAVTLLALMISPLV WALLGYHLWLIYCGTTTNESMKWSDWQADMDDGLAWKRRLDPGRIKDLTVEPAWTRWP VEAEQVLVRTNDGKPPTGEVLPGYGEWEGVWRLKDVENLYDLGFWDNLVDVFLPYFMF RDPYVPVAENRLRRKKKRRARKIYLA MYCTH_2306254 MATPKRISPTTLPANITRQIRRQFSSSPSQGFPSKYYPPSSKTN PQKKRATLAEPRFPAISYLPSPSNDPQQHVVPSQLPSAASLQQASTVFTSQAPRFLYS ASRFLHIPVNTHTPEVCLVGRSNVGKSTLINALAGADADTARKAHGLKARTAGLAITS RVAGSTKSVNAYGFGPPSKAQRIAALERAREVKERLRIETGSRSERRAKRDLLEPPPQ HRLIMVDLPGYGLGSEAEWGTEIQKYLARRQMLKGAVLLIDAVAGIKEADRMVLETLR DAEVRTSVVLTKVDKLMRDTREERARSRVEEVCRSVWNELRRVEAGSATWLEGAEKGW QREIWVTSAGDPDADGNGVGIVGARWEICRLAGLVEDKRVLNAPPPKPAAQKIVSFDQ IQWMADSEANKARILPQRPSF MYCTH_2306255 MAARLRSLYRSLLRELPPRPLLSNPRAPLHQRLRDNFQPSPSPS TTTTTTTTTTGPTAAAAAELRAAQAEQFLAYLRAQRTYVTLLERYNPGMGMDDEERVR LTARRVGMDLPVEYDGSAEDSVEGGEGKK MYCTH_2306257 MLSPSAGKQPERQVLAPKALPTPPTGSRSSSSHRGMGVDPLSAP NTPLPPLTKQAVITQTTDQFARGAIERFTAFARNEAAAADDAERVRLFAEFFVSESRI RRERYGAAISAMGSEILDLTRDLFRPMAARRESSNSITSETVELTPQSSEPRSHRGSI GSALGGNTPTSSQTPAPPSPATPANQNWQSSGYMPSLSPILSMSVSDALDEEDSRGRP ASRWWESDSTGAPGGRLERSKRESKYMGVPKEAREALQWIDDTHPSPGDGSGKRDSFP PEKTGWHDQDPAAPPQNFSRSSLASSSAPATPNPDHLDVSRLVTLPPPYPRHHPAVNN NHPELAQTRAEVRAISDMKEIEVIKDAFEQDSAKMRAEAAEATKKRRQALRQHLQEQV SSGTIGYAEAAAIEAEAKTEEHNQVRELERKDFDSFQTAVVMPVNEILQTRINAATNL FQSLRSRLFDETHESNPNLPQEEGDEQPELLEKLTLLKWIFEARESLHRSLYDLLSDR NDRYRDMVLVPYRLEGQNDKVASAEAFFAEDAAKRALAFAEEALRRTQEFRDVVEETV VRGVEVQLNAFWDIAPPLKRLLDKIPADGADLEGFHIQIPAAEFAENPSYHEHPLQYL YSLLLHAEKSTYQFIESQTNLLCLLHEVKEACVTAKAKVMELDGRDPARVEETKAEET RRLTDDLKEKVRVVQDQWNSALGETVGIVKEGVGGYLLETGGWDESFEEGGVGGV MYCTH_2306260 MVLHNPNNWHWVNKDASAWARQWFEDNLTKIEAKEGDVTAKITK VISMIGDVEVAQRKGKVITIFDVKLTLEYTGSTPEDDDISGTITIPEVSHDLTEDEFV FEIDIYSESKDKQPVKDLVRSKLVPQLRTEFLKLSPALIAEHGKDIQHAPGSNPSSGF STPKYLPQTTSSAKPATTSSSKTTKTSIVNTTTVTDNEEFRTTAEELYQTFTDPQRIA AFTRAPPKVFEGAKKGGKFELFGGNVSGEYLELEQPKKIVQSWRLDQWPAGHYSTLQI EFDQNDVDKVTTMRVEWTGVPIGQEEVTRRNWLEYYVRSIKRTFGFGTIL MYCTH_52463 MKFLLTTTIAALAGLVAASPTPTVNIGGQLYKRASPNDVCDIGY ASTNGGTTGGAGGTTTTVTSFAEFSAAAKGDDKKIIIVDGSISGSGSVKIGANTSVLG KAGASLTGINLTIKGVKNVIVRNLKLSKVVGGDCITVQEATNVWLDHLDLSGDLNVDK DYYDGLIDITHAADWVTVSNTHFHDHWKASLVGHSDSNADEDTGKLHVTYANNRWTNI SSRTPSIRFGTGHIFNNYYDTITTSGVNTRMGAVVLVESSAFVNAKRAITSLDSDIDG SAAVVDVDLGGSTNDAPVANAAPSIPYRYSVVGSANVRDATANSGATLAF MYCTH_2306267 MAKTLFRLVSFAAALSTVLGHAVVTVPTPRGAGPYYTQRCGETY AVYMEKDKAGPIENGVAKAGSELGCNPFLCRGYQYEDNEAVEYEPGQVIDFHVDLIAG HHPGYANVSIVDLEANKIIGDPLRSWDDYPNATATTPRSDIDFNVTIPNTLGTACSTG GKCAIQWYWYASGNKQSYESCVDFYVKA MYCTH_108294 MITDQIARVLSQHWPSILVTVVVAWLVRNRYHNGLNKYPGPFLA SLTDWWRFFDVYGRRPERSHIALHKKYGPVVRLGPNALSFSDPEALKTIYGLNKGFIK SDFYVVQQSVVKGHSLPSLFSTTDNDFHMQFRRCVNSAFAMSALVQYEPFVDNTTKLF LKQTERLYIDKSEPCDFTRWLQFYAFDVIGEITYSKRHGFIERNEDVDGIVAYLTKLF LYVAPVGQIPILDRFFLKNPIYLKLSEWGILDATFPVAKFARARMAERLPELEKGEPV LPTSEKPTVKSPDLLSKFLAAREARPDFMTDTLVQTMAVSMAFAGSETTAISLSAVFY FLLKNPPALERLRREIDDAAREGRFSDYETGLVTWQESQTLPYLDMCIKEAFRLHPAP GLPMERVVPPGGIEIAGRRIEGGTIVGCSAWVIHRDPAIFGDDVDAYRPERWEVKGEG DEARVKVMNGTMLQFGMGSRTCIGKNISLLEIYKLVPTMLRRFEIRFDDPNSEWEIVN AWFVKQKNFITRFSLRDIVMPEKGVKAAEK MYCTH_95166 MVCPAVMPCGGVQVTGLHELQRAICTPYVRVAQPQPAKNTGSQP MDVETPEVGARLARAAKAWRARGQATGIPGLAVFAANWAARLDLTSADGILGGLSPWA SSGTGNTIPTSPAQLSMPSTETRLRQWSGFPRSPRENSADAAVSSSTGTRQVFFAFRV RKGGVGLGIITSTPRHKDPDPIVPLWGHAARLSFIDGMSTEEGTIVRDIRARGRCCPG AVGRFRVALTDHVISSRSRGMPGSLVQDRQPGTGLLVKINDLPG MYCTH_27318 TQPTVGNLSGTPVLFYPVPTPWPSAPGCEKYLYRALMETTMVAY DPRAVVAGANPEASSCYVPQHSAWLGQNPNTTPSTALGPTFVCPEAWSAVHSTVLKSN SAGETQFTYCCP MYCTH_2306273 MTKLPGLVASGGLLLTTLGSVAADVYTPKHEAGRCAIRGHCGSK SFFGSQLPCVDNGLAEEPDAKLRGQLVELCGPKWAQGPVCCTAEQVDALKSNLQTANQ IISTCPACKDNFYNMFCTFTCSPDQSLFINVTKTMEKNGKTLVRELDQLISEEYGSGF YNSCKDVKFGPTNSRAMDLIGGGAKNHAQLLKFLGQERFGGSPFQINFPTSYPEENMG PLEMTPKKCNDEDPSFRCACIDCPQVCPKLPAVKEEGSCHVGALPCLSFASILTYGVA LFVFLAAVVGRFVWTRHAKRRSERLRLLTDATPSDDEDEGYLTQNGAMFDRPRNAYIV NTWCDAAFSRLGHTAARFPALTIATSTLVVLLLSFGWFHFEIEKDPARLWVSPTSEAA QEKAFFDENFGPFYRTEKIFLVNDLNASAPGPVLSYDTLLWWIDVEASIRNLRGSKYG STLQDLCLKPAGDACVVQSVAAYFHNDPEEVDRHGWKAKLRECADSPVGCRPEYGQPL EPNMILGGYSESGDPADATAMTVTWVLNNYPEGSPEAARAMDWEEAMNNRLLALQDEA KERNLRLSFSTEISLEEELNKSTNTDAKIIVISYIIMFLYASIALGSTTLSFRDLLRN PAISFVESKFTLGVVGIVIVLMSITASIGLFSWAGLKATLIIVDVIPFIVLAVGVDNI FLIVHEFERINISHPDDVVEVRISRALGRMGPSILFSAITETICFALGAFVGMPAVRN FAIYAAGAVFINALLQVTMFVSVLTLSQIRVEDSRADCFPCVQVKSARIHLNGNGGSN GARYYEVPAEGMLQQFVGKTYAPRLLKKKTKAAVIAAFLGVFAAAVALLPEVKLGLDQ RVAIPDDSYLIPYFNDLYDYFDSGPPVYFVTREFNATQREQQQKICSRFTTCQQLSLT NILEQERKREGVSYIASPTAGWLDDFFQWLNPDNEACCVDRRKPCFWRRDPPWNITMA GMPEGDEFIYYLERFLTSPTNEDCPLAGQASYGSAVAVDSERSTIRASHFRTMHSPLR SQDDFIKAYASARRIAHDISSSTGLSVFPYSVFYIFFDQYASIIRLTAALLGSAGAIV FVVSSLLLGSVLTAAVVTATVAMALVDILGAMALMGVSLNAVSLVNLIICVGIAVEFC AHVARAFMFPSRTFMERARNRFRGRDARAWTALANVGGSVFSGITITKVLGVAVLAFT RSKIFEIYYFRVWVALVVFAATHALVFLPVALSLVGGEGYVDPESEGSLEEDLASRRY RALMPEGESDSEED MYCTH_2306274 MNEPRPPDDADGDVADQNRKRLLAEYGSVLDESLILSISNERDL VKDYDEIKDVLSQLAEPARAEAASGFDPSGLSSVAEIEGLLLDETTTSGNGVDSSAEY ATTISDFSDRSENQRLTEQTDLSEDEKMQELKLVFQDRWKDYKLKLVLKRYDGNLERA FDELLNFQYLEEEGLLPKGIDGFFAPDDDGKPSNSGAGRAHKGNAKNKKKLVAVKYNV VSSTVDDSELEGAKDFVAPTNSRGARAGRAPAAQPLAALPAPSVRPLQPPILPPPTNT DFGASSLRSAAALRRMGPLGRQGAVVYTDRAREERNLLRAHLSRAAEAHVDQQSTATV LDLHGVFVMDGVRITKQRVWAWWNSLGEDRKALAKREGFTVVTGVGKHSAGGVSRLRQ AVGAYLKNDGWRVETLTGGFYVTGRI MYCTH_2306275 MEWFRFIREIVWHNSGFGSLRKIIPFIEDYEPWFEPQIIPLPAA AESSNTDGNSSANGAGEDQEPAPSVVYPKARYYSVADYRELYLSGTLTPTDVAEALLP LIRRDVDNPTEHSKAFFETRAEKVLAAAKASTRRYKEGRSLGPLDGVPTAVKDEYDVE GYRTCLGSRNTYFSPEDHGTSWCVQKLEAAGALNLGKLSMHEFGLDTTGNNPIYGTPR NPHNPTYYTGGSSSGTAYAVSAGLIPIGLGSDGGGSIRIPSSLCGVFGLKPTHGRLSF RPGANHCISCACLGPIASDMSSLAAVFSVISVPDPSSPFPPLPTPLSLRLPRPDDPKI LGIPSAWTARATPAIQALCNSLVHELVTSHGYTTVPIEIPFLREGQLAHAMTVLTDAA TLLPPDKTRNLTPANRILLALGRVTPATDYTLAQKLRRLLMQHLAWLWQEHPGMLIIT PTTACEGLPILDAAAEMRWGVNEGDRTMESMEYVWLANFCGLPSLSVPAGFVPGRKGT ADVPVGLMATGEWCAEEQLLRFGRLLEEVGADRRRRPKVWVDVVAESKKRRT MYCTH_2127797 MFLTCTKLGEKHSAEQARREFRRWSLAAKLSILIPAHVMEALWR LRECTQECQGPRGCPPDLDHSTSDRAAPQAGLILPLLNKEKTPSWQSVPVSPSKAVPK NYFQPTPFITDHSYLIARIGGSSSTVRSCRSPPPDAVDPITPNAPANSVRHSSSFMAS MYCTH_2306276 MYGDDEDSGAEDNRPLSFIAAKYGGEHLDKALEPDQPVGDRLRL MRATSDQTSATTHLSPTDANGLNGGGLRKAQTLPAPLSTDRGSSSDRPKSPLPQLSPN PSLRDVQNAEASQYPLNGMDNADDIAQELSNLQALRRMSMDVGNTTDPDLLQFSGVSL VEMPSIAPKGDDDEADPSRLLWVPARVHPELEPTAFKNFLEKRVQTLKRRSGESLLSA DGLQGNNSGSLRRKKSMLSRQVNTNSDSGEGNNDGTEGLGRQRSLHEHAAELSLSELV SDPTKVVQKLAQDTRQENGETDSPILPMAPGMGLRRSTKTTYRKGGSQRYGDRAPFSK RLAARQSGNETKEEPPPVPALDPSIGKPLTRVQSEPITENYSRPTRTVRRQQNFSREA PASISSPTAVADEPAIGEDASPATTTSPPKTQALPVRSSSTSAVHSPAVPVPQIVETP PADESSQPTQPPPEKFSPPQKASLQSHPEQSPVDSPTRSTKRPALTKSSVSVSAARSN SVKEQGSSAQNPLAEINQPQALPGSSNTTTSSLTFIPTFDSIEKKFDKKSKEKDDNES IASHKSTSSWKWFKSEDKEKKKREKEKEKEREREREREREEQARKAKARSGDKTHDHA RMDVLQSSIDNVAKGRESLRLDRDSLEGIPQEERKKETNRKASEGKKEKEGFFGGLFG VSKKNRDKESSHKKKEHRPLTPDPPPRPLRPDIDYPWTRFPIIEERAIYRMAHIKLAN PRRPLHSQVLLSNFMYSYLAKVQAMHPQLQIPTSPQQKRQEEERKRREAEEAQLRMEQ QMAQQAVQDGSFDFEYHRSGSNYGDAPVQHDYVDDAQIYDYDHGDHPQNGGDWDADAQ AQGHGRGHVRQASGSGSGQQDSYYYAQGSNRRPDNDDENSDMW MYCTH_2306279 MASQPRQNPYNQGYMPNGAAPPHQTAPVPGATPLLPNHGRIIQT GPIRILCIADVRGNLRSLNELARSARADYIIHTGDFGFYDETSLERIAEKTLKHVAQY SPLISETTKKAIAQGVQGSVKSRFPASELPLSELPQLLSGELKLDVPVYTVWGACEDV RVLEKFRSKEYKVPNLYIIDEAQSMLLEVGGVKLRLLGLGGAVVMHKLFDNGEGRTTI AGGQGTMWTTLLQMGELVDTANRVYDPTETRIFITHASPAREGILNQLSVTLKADFSI SAGLHFRYGSSYNEFSVNPTLDHYRGKLAASKASFNDVWETVKGEVEPAISQVEAQQT LLKNALAVVEKMPSTAAGGNPFGGAPAGQSAAALGQVDESAFKNLWNFNLADATFGWL VLEIQDGRIGTEMRAQGFNFSHRGAKQQPNVQPAPGPSGAGTSPAAPTGPSAPPAATP AAQPKAPTPAQQPKPSAPTPAPAQSKPATPQPAPATSPALKEQKAAPAAANGAAASSE TAPAPASKTPADNIIGLFIMNAQSDEQVRDLFAEEDKAKIVKIDRWGANNKVAQFKTT EDRDAAMERLPDEVKNRAPGQEDRSKPLVKIFSPPPRQFSRGGAGNWGSSRGGRDGGN SQSGYRSAGGGGASDSEGAGRRGGRGGRGRGGERGRGRGPRGGGAKGEGAGSAPSADS MYCTH_2306283 MAARTALRRALRPVRNAVTHPAQQVHFTRPFSTTVPSLAASSSP SSATPEYAIPDPSRPTHFGFQTVTEGEKRERVAGVFTSVAESYDRMNDLMSFGWHRVW KDHFVASLNPGFSPLTSDGDPRGPQHILDVAGGTGDIAFRMLHTAHVLNGNPSVRVTM SDINRAMLTVGKQRSAQLPASQRAALSFVEANAEDLSRTRPLHPYPSPSEPTTHDSQT QKPEQAFFDPATATGSIPDSSVDLYTVAFGIRNFSNIPAALREARRVLKPGGVFACLE FSKADKHPLFNSLYKEWSFRAIPLIGQLVAADRDSYQYLVESIERFPSQTEFRDMIVD AGFVVAGEGYEDLTGGVAAIHKGMKPRD MYCTH_95158 MKGIRLSRPHGPLLRRGGARLCPSVRPAPLLAPPLGALADHQQR LQHRRDASSLSQRLDSKHVMFPGAVKSAFSNTMRFEQPADYPALPTYRVVDQHGVVVD PDFKPDLSDEEVIKLYRDMLTVSIMDVIMFEAQRQGRLSFYMVSAGEEAVSVGSASAL TKDDVVFCQYREQGVFKQRGFALADFMNQLFANHKDPGKGRNMPVHYGSRELNIHTIS SPLATQLPQASGAAYALKIQRMQDPSTPPRVVVAYFGEGAASEGDFHAALNIAATRSC PVIFICRNNGYAISTPTLEQYRGDGIASRGIGYGIETIRVDGNDFWAVREVTKKAREL ALQDGGRPVLIEAMTYRVSHHSTSDDSFAYRARVEVEDWKRRDNPIARLRKWMEAKGC WDENKEKEARESIRRDVLKAFSEAEREKKPPLRTMFEDVYEELTPDLKQQMKELKSQL ERYPDEYELEQFLGGKESLDK MYCTH_2306286 MSFRVTTRLPRIYQSFPTKLFRVNFGGPDVVLRPWKFASALFDI KTDREGYVYSRAEDVDAGTYLGPNGAIMRPNSPMLHAVLTKHWMHRDEGAVIYEIEQG TEVTQGLTLVHERSDVFSLQPDTRMLLSEFNKVVTQFLQTNGRVYTKKQFLEAYPRPI KIEGAHIVRSIINPGQNNEAAKRSIERPKKRWRRDYEGRYAFGAFKGPKF MYCTH_2028839 LEENLRRGHICLSISLAGYLILFVPKKDRKLRLYVNYRQLNEQT MKNRYLL MYCTH_2306290 MSSRVTRSSARQAASQRAASANPTTTAGAPSSAPAPPPPIPPTP TPAPSGRKRKNHTSPPQVAIESPSSTRRSKRQRVTQALPHPQPSPASAATPSSSRRRK GKAPATMSSPGSPTSPASHLDNPTSASSSRRSSRNKKSASTAKDDPTAPATSSNRRSK RTAHNDAAPQDQDVVMGGTEEHQKASVAPPPPPPPPEDRVPEDSEENDEDEEGLRRYD DDDDDEDADPFSGFGGPGGSVPGLSNTLRTLTGMVSGTSARLRDILNNLRQKGDPTMQ LIALQELSELLLIHNEDTLSGHFSPDAFVKELVALMQPNELTGEENPEIMLLACRCLA NLMEALPATTSNVVYGHAVPILCQKLLEISFIDLAEQALSTLEKISLEYPSTIVREGG LTACLSYLEFFATSTQRVAVTTAANCCQNLDLESFPVVRDVMPILLNVLGSSDQKVVE KGSLCVTRIVESFRFHPSKLEELISVDLLRGILRLLLPGSTNLIGDHIHTQFLRVLAI AARASPRLSAELFKMNVVETLYQILTGVSPPSGTDDLASKLDSVLIMQALIHRPRDQI IETLNVICELLPNLPRSADPALVGLPEVGSSPESVSATSSGSRKKTSNEKRIELLEDC KEEVRRFSMILFPTLTDAYSSTVNLTVRQKVLMSQLKMLSNLDQAILVEALKPVSYAS FLASIISQQDHPSLVLSAVGAADLLMRRLGSVYRYQLYREGVISEITKLASQDLEPEA KLSTNGSPSPDPETAEPETEPEPESNRSSAEPADDRSDHAESESEDDEGENGEAEEED EDRSHHSSDDDEDDEGQEHDNEDDQNRDDLSASPFSSEGSTMSISGPPGRLISDLPSM KARIIANAKRFLEIHENEKESKAMKKKATEILDNLSALASDIENFYLRRAFPSQLALE SGTELFRKLASYFDSDLLESVTSAELLASGVVRVLEEVLSNPDEQLAVAAQTAFLQVF MGYTVKSKPKTATADSPATPLSVLIHKLQDLLSRSEHFEVITVHHQSFDSNRSSAASM LAKQLRLKLVADEDSDIPRHYRNIMVSIHAITTFKSLDDYLRPRISLSDRPRGSKRDA VSRALAAMASSGLPMSAAAARLMERSFPPSSGSNSTPPPPPPAAASSHSPTPRSARKS KSRSDPPNDSPATPEPSSSREKTSLRRSSRRQAVSDGDTPAHPLPAAEDKFENSLECA DERQLSDDEEMGDDGALDMVGDVDEGMEDAPTPDPSAVNLEVAGGKVTARKEDGTKVP TPSQSQSRPTAALPNRSSALTSATASPTPAASSRPMSYAAAIQSVPQDWHIEFSIDGK VVPNDTTIYRAVHSFAKNTDDQYGRNIWSTVHPIKFRRVPGAPPAESAAFGSTAENGS DAEEGGTPGSLSKSPVTASILRLLKKLHDLNANIDDVLAENKETLRVNVEPLSQFVNT KLTAKLNRQLEEPLIVASNCLPSWSEDLARLYPFLFPFETRHLFLQSTSFGYARSMSR WQNAQSQEEARRDRRDERPFLGRLQRQKVRISRSKILESAVKVMELYGASQSILEVEY FDEVGTGLGPTLEFYSTVSKEFCKKKLKLWRDNDPNGHDEYVSATNGLFPRPLSDEFA ASEEGEKILQLFKILGKFVARSMIDSRIIDINFNPIFFRIGDESAAVRPSLGAIKSVD PVVAKSLMVVKKFVSAKKAIEEDPNRSAAQKVIDIENIVIDKIRIDDLYLDFTLPGYP EIELIPNGAQTQVTIDNVDLYLEKVIDMTLGSGVRRQIDAFRAGFSQVFPYSALSAFT PDELCSLFGRVDEDWSLETLMDSVKADHGYNMDSKTVRNLLQAMSEFTPAQRRDFLQF TTGSPKLPIGGFKKLTPMFTVVCKPSEAPYTSDDYLPSVMTCVNYLKLPDYSSIDVLR EKLFTAIKEGQGAFHLS MYCTH_2306295 MPPRRNPRRSAGAPDTKSNPATLTTNDSATPAEDATSATTSRTT QATKTKRYESTPVPQQITFPARRKIVRKYGGRRSLPARLEEETATASRRSLKQQTLTQ IDYVRSSSGFEQGDLLEDEVIGGPHEEKKGLKSKGEAEAASVAGRRKTADPRSKRRKT MGDMPTPNLEKKGPSFQTQTLTQLLGNRVPEMDRDELRVEDEAESAGLPSSGGGPSVK HSAPPEKGKGKALATPIPHTPTGKRIKVNLDEVPSSQPTPFTPLLGHSPIPPARSPLT QKSTNVDAPLPTIETVSKLPRSLVIQDSYSMDGSSAGYLSSTAGDTPVKRERGETPSQ IPKREPLAEIPVASLELGVSSTPSGETPTTRRKRLFTEIPDSDDELESLGSTPFKTRS TQQTPLRRDPVVDNFEIAADPSLPPSQSSREPRAAVTETPASSSRSNKENESPAIKMW EDEDAAGEGDDGGSPTPKGAKRSQTADRAGQVSPNTASQFWTASAENVGCVGTRRGTS REPLTELVVAEDPTVFRSERPSAAPRASRFHGSMGESSCRGRHATPQLGDSEETASEA EEEPMPVSILRKATSQGVPRADGTTKRKSTPEPNGPESSTSEPPGTPTPIVRKVQIEM PQSSGEEVCKETPREPHGSSPIYQRHTQSRSQARSQFYSQALESQRVPLEVIRSLSPQ TDRSDILISIDAKTVEDIVNGIRDHVFRNYRFPVQASRCWIFTELPVGEVKYMATLGP AQEPGQIDSHSGRGNTEFNRGTLGYKFAHKLLQVYQLNNPVPLAEMEDNGLGAGPPQR YKYVPPAIVGQLLMNLRCPLFAEGDFEDEGEETGDKETEEEEGGEMTISQELADQIRS DIIHSTQLMSSERRRQQHRDDEYLIPASQSPTEKRSVALKASAPAAAETAAAAMPPPH SSQQLRVRRQPRSPGQTRRQPTTTTGQASTSNGNDGDARRYVPPSQATTASDVSASPS QAPSPSFPPARSSSSSSSSDLAYSVPRPPLPDSGELSLLPELPRYDRDRSGGGGGTGL LLHLSSSQVGVLPPDSLLVDEGQAPPPPVEVWDSEEEEEEEEEVE MYCTH_2306297 MNGREVNRAEIFEDEKRRIIESCFAKRDEDGSVIETYITHIRIT EYQTSPSSPPPPSARAPQYEKPRVIIVAVRKSGRVRVHKSKENPNGTFSIGKTWFLDD LSAIESFTSPTVNPNAQQWAGDVGFVVTLGKPYYWEAQTDKEKKFFIASLIKIYNKYT GGRNPTLTGFEQRELEQVLGGAQVSRRQERPPPRPSAPDSAASSAGPAGSSGYNPPHG GQGMPAPGISARVPSRSALGPSGKSSPAQSIDTGRPSQEQQSLLRLATSNKSQESIAA STITRSDDGASLRPSTRDGANGASPYGTPEQTLQSVTEDKPPARKRPPMDPLRPLQVD KNLIPAPLMSPGMRREPTVPPRNVERVSPRKDSISRKNEPAPAPAPAPAPAPAPAPAP RAEQVTTPQETTRPDLPAGSPIAAVSTPPSAPPPTTAISPVPTPPADNTPPQPPPEPQ EETKPGLGPMIKKRSRGEIAGALRKAANAASAFKPRPGGAAERLLLSQNKPSDGPDGI TSVVPAPPRPAQKPPEPAPGVEPPPKAADRNAAPGIPEVKVTESDSATTSSKAQSSGG KEAEDKKAAEASAEEEGPRRSVVAGNDTKYLTTLGIDPSLLDNRANEFAKWLDYFGWV PGEKMRSRTFEEMRADLDRELSKAQAGGWLARFQEGDERVEAIKRGIDLAISECDELD NLLTLYSVELSTLSDDIAYIEAQGQGLQVQAANQKLLKKELESLLETCAIGESDLAAL KTAPLETASGVEDIEAALVTLFKAMIKIDPTLGNNESRKSDDLNGDQSLGLDSDYGKM RIVQEKRETYLSESSQFMRRLVIFMERQFSEAFRQTKAALDGALSKKADARNHEPGRD LLWMYSPLILYARDVDLANWDRILQIYEDKGHPVYKTEFRDAVEAWKKNVRKVTGDES ELLFTSQQEKKEEGLATTARKLTVKRSQTLARSLRSPLGDGSRTNLAADKTPDGRALP YEVFAGVLDDLLPLVEMEQNFIVDLFHATTLEQSDFPELVAASRPQDRRGGDLKRHRL MEPDRDLARRVTKAMESIFSFLESSLQQLMDWVLSMDPLQGVGVLATLERKMADMSQS NQDFLNNILQKLHGNLEAKFRKFVDDQVRAIEETKVKIKKRKGVIHFIRIFPHFTTAV ENMLANVDPSLPIRRMVDREYDRLLKSMFDSLKVIARENPAPGTGPATALSSAHPSAA AADPEDKEALNFHILLIENMNHFLEEVDNPRHLEVLDDWREAAQRELAEHMGLYINAV VRRPLGKLLEHLENIEAQLQAGGKSPAAVAAQPSNSKAVFNKILSGYDGREVRKGIEA LRKRVEKHFGDEDAVGIGGGGGVGQGGSGSLGVGGAGGGAGGGGISGTNNTLVARVTK ECEKFYGEVEMRIGRVTTDVYGGDVLFEWPRAEVRAAFSSLGAR MYCTH_2111026 MVTLGSFCRRPLDRVRSNAPDNGSTLEGHGASDLDGTITAHDPS DLTHHAGPVGQQAESLPDETASWPRSRPDATDLHLTFESNTRHEGDLAASGIAALESP RAGDHSEAGYRCPSKCACTEFYYDADDERDEEDEDGDDDEDEVYDQPAVVIIHQGASG AIPLSSRPALLHNPNNALIFASFASRHDSPASSTLRAWFDLPSPGASACATPSVVAAS RDEEVRIGIDDDDASASSTADDRSALTYYTDTAYGDYDDNDNDDDEEHVFRWRGDLVT AAELADAAAVVELELEMGLLADGLARRLLQGSSSGGGDSSWSLSSWSLSGGFDESQSG GSGSSDADSVWDADG MYCTH_2306298 MGTAIRVLPSRAARAVNLLRTVQYTHPPSCPCHANPGHHHHAGP SASAAAATTVSRFADRASSAARGGRRGYATPRDAAQQKEYAFEMAASSIRFGPGVTRE VGMDLRNMGAKRVCVVTDPTVDRLEAMRQVREALDGEGVEYRVYSGVRVEPKDYSVKE AVEWARGYAPDAFLAVGGGSVMDTAKLMNLYTCYPEADFMDFVNAPLGKGRPVDRKLL PLVAMPTTAGTGSETTGTAIFDLASRRAKTGVAHRNLKPTLGICDPLNTRTMPAAVRA SSGLDVLCHALESWTAIPFNERTPRPANPILRPAYQGANPISDIFSLNALRQTVKYLP RSVRDPDDMEAQTEMLLASTLAGVGFGNAGVHLCHGMSYPISGQNPGYRHAGYEVDAP LIPHGVSVAVSAPAVFRFTAPSNPERHLAAAEVFGVDVSNVKRESAGEVLADALTRFL ADLGDQPAGLRHLGFTRDHIDDLVEGTIPQARVLSLAPGLAKELEQERDQLRRLFEDA LEH MYCTH_2306300 MQLKDDAATGGIAPVPETSAVPDVLDKTPLGSISGPTYATGQLL VQQTAYKLSDKIFSFSPETFDLDLAVREWSRADEKNIHGETTTVVPLQTRAGAGTFAL GYIFSRDFDLSKRHIPQTLLAPSLSLRHLRATLDQLSLLYGVASPFVAHIAAADYSAR DGLVADYESALQIAEDLGLGLVASASAYEAQHMSLLATLLASLLPTLHTYDGLRTARE TLKVVDALSEGAIAETYKKLARQVGLLNKRLDTAGKVVELLRLFNAELGTSYAPFEYH GHESAESVLVVFGSAEAQLAKQVVDALAARGEKVGAVNVRIYRPFIEEAFLEALPESV RRIAVLGQVRDAVAVGDASVQSALHSDVLTAVSFADRPREPVVVDVKYAASTVHTPSS IATIIHQLTAKEDGQGEVSLVLHALEQARQYTFWDVDDSSAVGAPAALGKLLAREAPS HVYVHETYDNLIQGGAVRTDIRITEKPVEAPFAVEEADVVFVGEEKLLKDVDIVKGSK RGGKLVLRLPNFKEEDLEKRIPASARKQVREKGLGLLVLDSSLSPALEKKDAELLLAQ LAFLKVTQPELTVAELSKFGQLGRDKAALAELADALRQALKAVEVPAAWAEVSEAPAA PLRALKPTSFAPFEKDEQEPAVKLDSWQEAAKGLVFKEAYGTRTELRPDLTVKTSTVR VKENRRLTPPEYDRNIFHIEFDLGDSGLTYNIGEALGIHADNDPRQVLEFIKFYGLDA DDLVQVPSREDAAVLETRTVYQSLVQNLDILGKPPKRFFEALAGFATDEAEKKKLEFL GGKEGAEEFKRLSEVDTVTYVDVLQMFRSAHPAFADLARIVAPLKRREYSIASAQAVT PGSLSLMIVAVGWVDTRGRTRHGQATRYLSGLEPGAAVTVSVKPSVMKLPARDTAPLI MAGLGTGLAPFRAFVQYRAMQKAQGKEIGSILLYLGSRHQREEYLYGEEWEAYLDAGV ITLLGAAFSRDQPQKIYIQDRMRQTMADIVKAYIKEEGSFYLCGPTWPVPDVTAVLEE AIAAEAEQSGRKVDPRKEIERLKEDGRYVLEVY MYCTH_102797 MAPPSSRRPVAAGPSETVSTSFLLANLHCPTCVSTIKSALHGSC GSLVKWVSPNVVTSVVTVEHAPDASLRQMRQALEESGFEVCGITTSSGTISDSDLETG EFQPNGESSDSRFSNSTNDMGLPVSGLARWFRPVPSQASPSEKSRAHLENCEQCRSTV GTLTDEKAVLNIVSQHTTTPSTPITSLAPLPTTSSSPFIAIGGDKDQPSLWRVSLAVG GMTCSACANMITEGLKKNDWVANVVVNLVGNSAVVDVKERDKADELVQVIEDLGYEAT LDSVTDLSQGKRKATESSDLWRASLAIGGMTCAACSNTITRELMKKDWVKDITVNLLT NSASADIEGRANADRLVEAIEDLGYDATLDTVINLAQEAAEERRRTVEIRVDGLYCEH CPDRVTKSLAGFRRHLEVLTPPSRKRPIMKLSYVPDAPTFTVRQILAAIEASDPGFTA AIYHPPTLEERSKQIQRKHRLQILLRVIFTGVVCIPTFIIGIVYMSLLPSSNPTKHFL MRPWTSGISRAQIALFIMATPVYFFAADLFHRRAIKEIRTLWRPGSRVPILQRFYRFG SMNTLMSLGTTIAYISSVSQLIAAAANKLDEVNDSNFYFDSVVFLTFFLLLGRLIEGY SKSKTGDAVEMLGKLRPTTATLVEGYGTDKEKDVLVKADLLDFGDVVRVTHGASPPAD GTVVGGESNFDESSLTGESRLVKKAVGDQVFSGTVNKDASLLVRITGVAGSSMLDQIV HVVREGQTKRAPIEQVADMLTSYFVPVVTFIAVLTWILWLSLGLGGHIPEHYLDVTSG GWVAFSLQFAIAVFVVACPCGLALAAPTAIFVGSGLAAKHGILAKGGGEAFEKASRID CVVFDKTGTLTKGGEPSITDSEVYAQEAARRDALFAALKAVEENSSHPIAKAVVSFCS TQTSSRATVDDLQEVAGKGLRATYRATDPASSFELAVGNESFMSDFSVAIPAQTAAAL ERWKSEAKSVALVATRAADSQSWTLAAAFSISDPIRPEAPRIIRALRSRGTRVWMLSG DNPTTASAVASQLGIPPDQVIAGVLPTGKADKIKYLQSTLKARAGGSGSGSRGQSATK RAMVAMVGDGINDSPALATADVGVAIGSGADVAISSADFVLVKSDLRAVVDLLDLSAV VFSRIKLNFGWAVVYNCIALPVAGGAFYPIVSRGQHVRLDPVWASLAMALSSISVVLS SLALRVRVQGIGYRERRIE MYCTH_2306302 MNSTVKRKFNALLQGIGNQLPNEYSSDRSDRESLDPASSPLSQR SSAHPSRTMAGGDSSLDLQKRRRLGEVTTTPTPSKYATLQTTPMRSSPASIRSVPPAI TTTTTTVSNVTLRKWTPGSASASASPAPDPRDGLPPPPKYCPGDRDQLLRRLATFQEL TDWAPKPDRVNEVEWAKRGWVCQGKERVKCALCGRELAVKVNRKEVDGKEIAVLIASE VAESVVDTYVRLIVEAHAEDCLWRKRGCDDSLLRLPLPNPKLALQGLRQRYDELCERA AFLPYEFNLRLPPGLDLDAIITYLPPTFFTDPPPKHPPAAAADNNKNNNNNNNHQANP NPNSNSNPASTPSPAASAAPAEPTINRPALALALFGWQSLTHPQLGNPVPNSASCHTC LRRLGLWMFKSREVDPATGAVVVPAPMDHLDPLREHRFFCPWKNPAAQRNPGARSSSS SFSSSSSSGPAGMAAWEVLVQGLRNEAFIRERISGAGSGSGSAARRRKEATEKEGRRI EAAVLPGHGRSKSSVPAPGAGSSSLSGDGSGGRAGADDGVPKTPGRPVTAGCQPRITV GDADEDYDGDGDGDRDGVRQSADGLDDEEARAKKDKDMMSRLRRVKSLFNTKTGSKLR RLGGSRPGTSHSNVGGGE MYCTH_2306305 MADARDPAGAVGQPDHSTLEVVEGHYHDKAVFSKNDKVAYVPSR GDYKVVVQQETDAQKPATPGAYSDQNQPEQYSPQTTYAPGASAWKGDAFSAREPPQTD GGGKKILGLKRRTCFTVMWVASLLVAIGVGVGVGVGVSMRSSSGSGSGSGGSDEGADL GSDSAMPPRTTTPGSTGAGSADSSSSVTVTGSASRGATPSSVTLTAPRSTAAVQIGGV GGRCSNNWGADCICLDRDVCVNRWQGEPMTGTGPGDWPCPDDPNNIVACIVKPCLGKP EGSQCMWSEACRQVDNRTQTASSRGRRANRDCLEEPASAARAQGVRAQHGILLYDT MYCTH_2081074 MAPQDTYIDDEEDCCPLCIEEFDLSDRNFRPCPCGYQFCFNNIR TNMNGLCPACRRPYDDKTIQWKVVTQEEVAEFRANIQKNQKKRAAEQRQKEAQKREAE KENRKNLVGVRVVQKNLVYVTGLTPTIREDELLKTLRKPEFFGQYGNILKISISSRKG TDGQSQSLGVYVTFEKPEDAARCIQAVNGSQNGDRILRAQLGTTKYCSAWLRHEQCTN RQCMFLHELGDEEDSYSRQDLSSMNSINSQRPIHNSGPTRSASRQQAHPSPSPAVAQP MARSSSKDGSDHGGDGPALPPSANWARNPQVRSRRGSHATSAAAPSPATSNSVPATAG SAVEDSSADSSAATGAARPAAATVSKKSSATPAEKAKRIPQDALKSLLKSLEGCSLAW PKPGGDLPPEEFNKLYPPLFDIRGGMRRRALRAAEENSSVGEQQSGGAQEASEGEPES SGSLALGGEPEDRDHGRDGSGFDPRRVAQLPIQRAGTNDLFGQAVGSGFAQTTANLAA IGGSRTVTPQQPAFMRQPGNFVDHLSAQANLFQGQGHNRQGSRFNFANDGRDAASATS VKLAANPRIMAQQSSMMPSSFHNQPGGQYYANSMPGPPPGLKSTGTPPGVFGQHGFGS AAFGGATKDANEMLQLFRGRGAGGQTHDAGKLDLADPSILQARMQSQQHLQQQSNAGL GQGLFGGQSQGGFCTVGAEVASVVIIEHHYTDDELPSLDEVRSSVDALVADEPINANI RLPPGAFESLSRSGTPSVPPGFSIPSAHPSPAVSHSSLLANSVGRQTPPVALPKVPVK PPVAASSPLLKKAVTPVPESKKAVRTLAAESGLSKDIAKAKQKVLQDEDFPALDSPKP KPATTPVAQPKPAVIKLGASGSKKSPEKAADKVKEKAKDKDKENEKDKEKEKEQEKEK EKEKKETEKGKGEKEQDKEKEKDKSSPAAASNPAPTSERSETSTPGTKSSEKVSDNSS EKAADKVEKRPVPGVLNIAAATKVSQVKNSEANSATDKATSERDSAFPALPTPTPVSA PSPLPRTGPKTLRVVSTPKTETPSTPVLAGPGVKSVAAAAGVRPDTPASELISDSASI ISASISASRTNSPPPSKIGSAPPRTTTKSQQRKQRKEALKKESASIAAQPVKVEPAVE IGPIVGRKKKQKKDKEKPSNKTATPAESRPDTPSTTNPASAKETKEGSENKETKETKD AKDAKDVKEAKEVKEESSAYRSTANETTTLTDDPPLQSRYASLKSKASDKQDKSQDAS TPRTLPTPASVLRDLQAAELVPSNLEDLPIFKPVNVQVDKSRNEAVMTGLREQAAKNS MAPTKSIVTEDDQAALLAGKPVRKVVDGTRILLTPNGDCIRNLSPEEEDRFLELQQRV AEAAASPAAFVSSRHEAGGGFSLIKGRAVPNGPPSYFPPAPGAYPSDPVNKIQREEAI YYINQYVLPRLNLNSRDMSLPKAMSTWTTDPRGSAAANLSSVAPWLYGSIGGSSSSPS HLPPLSHDDILDAGAAAPELSYPGPVGAFADDLHHHDTYQQQPNQAASSSSSSSALPA GTAAGGGSGGSNSGGSNLTSPGAFGNVPLMSLEDAEQALSAARKETEKLEKSLNQLMR KNRRLLMAPVQGPPAIPAGGGGSGGGDAGH MYCTH_2306309 MASKLCRNRALASALRPANPSVAARNDAVAVVRCFATTARANVA VPKDAQNPRTAPRNQVGALKVPIVNPADKYQSKADDLHKYGSWLMGCLPKYIQQFSVW KDELTIYICPSGVIPVFSFLKYNTAAEFTQLSTITAVDFPTRDQRFEIVYNLLSTRHN SRIRVKTYADETTPVPSLTPIYDGANWYERETYDMFGVFFVGHPDLRRIMTDYGFEGH PLRKDFPLTGYTEIRYDEEKKRIVTEPLELTQAFRNFEGGSSAWEQVGAGVDRTPETF KLPTPKPEEKTEEKK MYCTH_52082 MASDKPSHRFDPNFTKQVIEGMGPNTSPRARVVLGALIRHIHDF AREVELTIDEWMEGVKFINSLGEIYVNSNKTRNETHRICDILGLESLVDEIAHKIVSD TGLDPTSSSILGPFWSPNAPFRELGGVIFQDGVPPGGRVVKMHGVIRDIVTGKPIPNA VFDIWQASANGKYDFQDPENQTPNNLRGKFRANDEGKYWFYCLHPTAYSLPRDGPSWQ LLTLMDRHPMRPAHIHIMVTHPEYQGCTTQLYPSDDPWVKSDTVFAVKDDLVVTFKPL EGDEKATLELEYNVNLAPKGYKGRL MYCTH_2127818 MGDRPEFPLAGYSGARAAAPPPRDSGRTPAQPQNLVPHSSHEVP RAHLAPSHAHPPFAGQSVRVKAHPRYLSPTKSCMDVYPVHGHVLEYGEGELLEAVHWT FTSSYRMEEGHRAGNDASDLR MYCTH_102792 MPLHHLMIGTWTPPGAIFTVQFDDENLTLKLVKRTEIPYDEPIS WMTFDHARKNLYGAAMKKWSSFAVRSPTEIVHEASHPMGGDPKANDANTNTRAIFLLA AQKPPYAVYANPFYNHAGYGNVFSVSETGKLETNIQNYPYQPNTGIHGMVFDPTETYL YSADLTANKLWTHRKLPSGEVELIGSIDAPDPGDHPRWVAMHPSGNYLYALMEAGNRI CEYVIDPATHMPVYTHHSYPVIPPGIPARDRETGKGLYRADVVALTSSGRYMFASSRA NKFELQGYVAAFKLRDCGSIERQILLNPTPTSGGHSNAVSPCPWSDDWMAITDDQEGW LEMYRWKDEFLHRVARVRIPEPGFGMNAIWYD MYCTH_2306314 MASPYARELEIAQLAVQRAAILTKRVFHEKAKGTVSKDDASPVT IGDFGAQALIIAALRANFPGDAIVAEEEAAQLRSDDKLREPIWGLVRDTKLEDDAAER LLGGGVKDVDDLLEVLDLGRSPGGRKGRVWTIDPIDGTKGFLRGGQYALALALLEDGD VKVGVLGCPNLPVDDEAPLAADIGANQTDAEGRGVIFSAVVGQGATSRPLGTGGLAQG KRIKMKEVTDMASASFCESVESCHSNQSEAAQIAQRLGITKPSVRMDSQAKYGSIARG AGDIYLRLPTSKTYQEKIWDHAAGDLIVREAGGQVTDTKGQRLDFGVGRTLATNSGVI AAPAAVHGRVLEVVQEVLGLK MYCTH_2306316 MLALRTGRQALRRALAATPSSAVTLPGFLVPAFQTPTARNFSAT THRPSKLGRTPLSVPPGVELQISEPYIKKNMTSYLKTYKRNITVTGPLGTLNLEIPDY INVNHDPAARRVELSVKDQNQRNQREMWGTDHWNRRAEQPEQVG MYCTH_102790 MLQKSRLPNIYAVALSELQELESEPLCHRVAARLLVNNCELVDG KDEATILTDSGRKVRDFVDSYAASLAICDLERGSFTIPKECAKFREPSLSQIAIRNEP QLHVSPGEIGLCLSGLAASDAAWSTWVSYRHKALRFCEAARADNEKAQHILLYQRLTR VIARLTDGVESELQKRMDELDRRARQSAEKLDQLNPQLNRLSEGLARLESFLSEDLDL ALKKTSESYRDGLHHAENLQQLLGLLVGNVLDSNSQLAFAHETSVQQASQRINDDMNA LIEVVSSAIASSTSLQQQLQLSHHQTTVLAHRQETLEQGMERLSTATEELATKFEDHS SMLKQVSNMTNDILDSLEETAAVATSMNESLFASRVARSWWPFVVFPTASLIMGSYGL PPSIFRNIGLLAFGEVVGFVVSSYDQLADQFLGSLEEIATNTTASSLR MYCTH_2306320 MNNLGSGTDASAKPRHAYVYGSYLGASLAAGLALTESHVPAAPQ PMTVRGLIAYNGIYNWTMFLPDHPVQRLRSKSSLRRRRRGLASPFVNLNEDPIEEEGI FTDLERHAPGLFAEPSNLFDPFASACFFFHSANLHVPDDFTTPLSASGPASTLSSEFT AAIDALANRSPSPSASAERGGGGEEGDGETAAELLSRAALLAKQQKPPRKGYLVFPPR DSTLRLPPALFLYSSRQHGPRPPAGSDSSSSRSRRKQSRNSFAAQTAELAGLMMRSLD MHELRRRGGGGGGGTGRPGKQFPRKGITAGANAMKEEGGEGVADGEEDRWKEIERRVQ TFEVPLSSSLSSSLSSSLSSSLSCSLSSSPSSFSFEEGLGLEGEAEQVIAEWLRERID EDFGGEKEEGRDGS MYCTH_2306323 MSTGSSDSGTQTPSATVEQPNLNGAQQSYTFPTEKLKRRQTQPG KTPLVLVACGSFSPITFLHLRMFEMASDFVRFNTDFEVCGGYLSPVSDAYKKVGLAPG VHRVNMCARAVEQSSWLMVDPYETVNCDEKGEPRYVPTAKVLRHFDYEINEVLGGIEG TDGQRKKARIALLAGADLVMSMGEPGLWAPKDLDTILGQYGAFIIERSGTDIDEALAS LRQYEHNIWVISQVIQNDISSTKVRLFLKKDLSVRYLIPDPVVEYIEEHGLFSEPSAN KSRSRTPDVASGPSDNKPTKG MYCTH_2315506 MKKNDVIAVIIIILFIVLALIAFGIYQLVRLARNNVHGTVTTSS SGSSGELGDD MYCTH_82678 MPPALSDEEASDREEFERLSSPKKSGRSRSSTSYNQDIPDDDDM KGKTNGNGGEDYEEDEEEFEEEVYVVEKIMSHMIDKNGKPFFEVKWEGYEKKSDRTWE PEENLIENASEALNEYLESIGGREKLHEETANALKTKKRGRPSSTTPQGGGKRSKRNG DHPANSEPPLSAKAATWKPPPGSWEDHIAHLDACEDEETGKLMVYLTWKNGHKTQHPT SVIYQRCPQKMLQFYEQHVRIVKREPSTEAQ MYCTH_2306334 MNETPSRPPEGTTTKAVKDKSCPYCHQAFTSSSLGRHLDLYIRE KNPKPPDGVHDVEAIRKLRQNITRRQPKGSASRRVSSAAVGTPTTASRRSPASGDAGR SVTRSPNSSKGDLQAGAASGSQYYPFKHRWEDTGVINDLAVRDGVARGEAEGKAAAQQ LPRREPSQRSAARQMLKQQLEMRQQIQDAEDRSRAAELALRELLGSLRAAKRQIDIGS TPFDFDPFSLDFPALTLQCLDPPPTLFASTQHPTSTSWSILPPGHAQLEALYAYFREE FRRWKIACAAATTALSEELTYPPPLDAVRADPKAEVRKAEKSAEQMEKHVYDHLEATY AIWDALAQDQREQLWRLELARGVGRRRREVDKLKEGQHLLRQEVAVLKSQIEQLTRLQ QPREFKIAPPPTLYIDEKFLTHLQEEAMRNGKHFVGLSLSDRGSDLNTVVSSVIDRWK SVIVSARSAASGLQTQRTLSPGAAGPSPRGSTCDKSTPNKQDGLQMPQQSSICRDRPR PQQLSTACTHGTSYQVSATSPNAASMPTLPETPAVPSTTPSINIHADDDEDEEMSDRD AELAGEPRPNQNDRRDAEGNPTGVSDVDADADVDADADADADADADADADADIDADAD ADADADADADADADADADADADADMDDNPGGYTEFQAQQPLQRQQQLHPVVPVPAPSQ QTGQLGVARTRAQLGGGKRSNTDDGTSGHMVTDQIPESVRGRMPSWA MYCTH_2306339 MPDSTAPAPPTEEVANLHLDEVTGERVSKSELKKRQKQRQKEAE KAAKAANAPPKPSSKPKNVAGEEEKDLNPNQYHEIRSRHINELLKNPETNPYPHKFQV TYDDSKFVEEFGHLKSGETAEDKEIRIAGRIYNKRASGSKLIFYDIRTSADTVSIGTQ LQVVCQAQLVKEGSPSFEKQHENIRRGDIIGIIGYAGRTNPKNRLAEGKEGELSVFAT EIVLLSPCLHMLPSVRFPFSDSEQRARMRYLDMLWNDRTRQVLWQRSRMVRFIRDFFH ERRFIEVETPMMHAIAGGATALPFITHHNDLDIDMYMRVAPELFLKKMIVGQFGKVFE LGKNFRNEGIDLTHNPEFTSCEFYWAYADVYDVMKLTEELVSSLVKELTGSYKTTFTT QHGETYEINWEAPWRKVEMIPELEKATGEKFPPYEELHTEETNQFLQRLCKKMNVECP PPLTNARMIDKLTGEFIESTCINPTFILEHPQMMSPLAKYHRSKKGLCERFEAFVCKK EIANAYTELNNPFDQRLRFEEQARQKAQGDDEAQIIDESFLNALEYGLPPTGGWGLGI DRLAMFITNNYSIREVLPFPFLREEKQGTKEKSAAELVGVQPLPEEGIPHK MYCTH_2306344 MALLRQTWTLALKNWLIVLGRHSLATVIRAFVLPIILTAFLSFA RNLFVPAATFGIGDVRPVRSLLNGLEVASESGRNHVVFVNNGFRGGEIERVIDDLATT VRNAGKNATILESEDDVPYICRASLRGVTPCYGAVVFHGSPTEGGAGLWNYTLRADAS LGAGRIDTDKDTNDAQVYMLPLQNAVDMAISRQKGGDGGDMTPLPSVTDEYPFTSLTD EERNDRIRVIYQRNIISFLGVTFLVATIGITYHMAGFIATERESGMSTLIEAMMATKS RWQPQVARLLSYHLSFSVLYLPGWVVSALILWTGVFAHTSAVVVFFYFILSGLALGSM TIFGAAFFKKSQLSGVLLTIVYILLAIIAQTITSPKTPTVVALSLLFTPCNFTYFITL LARWEREEWPTDLLQSPPNSPWELPGIVFFVFLAAQALVYPVLGALIERWLHGTTSSG RNIVVGEDRGDLGSDCTVKLEDFTKVYSPGIVRRMFSFIAKPKEPVVAVNKLTLSATR GQILALLGANGSGKSTTLDTIAGINKATSGNITIDGTGGLGIAPQKNVLWDELTVFEH IRIFNKLKSPGRHATKEEIRDLVLAVDLEKKIKAQSRTLSGGQKRKLQLGMMLTGGSA VCCVDEVSSGLDPLSRRKIWDILLAERGRRTIILTTHFLDEADLLADYIAVMSKGNLR AKGTSAELKDRLGGGYRIHVNNVKLIPRLPDIAGVKKKATREEASYMASTSAMAATVI RELETAGVKDYRFSGPTIEDVFLQLAEEVRAEGGDLDSRGVLSSADEKSATADGMSQT EKGLELMSGQPVGFWRQAWVLFLKRCVIFKRNWFPTVAAFVIPVIAAGLVMLFVKDQQ AVGCSPTDQLSRNEAVNIFDGGFEIFVVGGPADKFSESNLMRLFAPIYINTQGKTGGA GGEIADADGLSTRAVTSAADFFRNLTIVDSIDEFNQAVVQYRKNITPAGIWLGDDRSR PTLVYKGDGVEMVNAWFGKWVMDMLLVNSTIATTYVPFDRPFTPDAGDSLQFLVYVGL ALCAYPAFFSLYPNLERRRQVRGLQYSNGVKPLPLWIAYLGIDFAAVLAGAVISIILF AAVAPDLWYHIGYVFLVFILHGLASTLLAYNVSLFASNQLSAYAFAAAWQVVMLLLYL IGYMCVIVYAPVHRIDSLVLVVHFTISVLAPIMSVIRALFVTLNLFSTACDGQQLSSN PGAMVQYGGPILYLIVQAVILFLVLVWADGGSAGFSLWRMFKRSAPPSQSDADERAAI SDEEVANELIRVKSSSAANPDAGRPTDGLRVVNLTKTFGNNIAVDNVTFGVPHGEVFA LLGPNGAGKSTTISVIRGNLKPSAGGDVFVENASVTRHVAAARSHLGVCPQFDAIDVM TVEEHLRFYARVRGIPDVEHNVSAVIRAVGLSAFRTRQAHALSGGNKRKLSLGIALMG NPTVVLLDEPSSGLDAAAKRIMWRTLASTVPGRSLLLTTHSMEEADALAGRAGILAKR MLAMGSIDQLRQRFGNQLHVHLVCKGAPRTSDAHVEKVRTWVTQTFAGAEVEDKTYHG QMRFKVPAATAAALASGGAQEQVCAKVPHGVGHGSSSSSSSSSSSSSGSAIGKLVVLL EENREYLGVEHYSVSPTTLDQVFLAVVGRHNVKEEGYHEDGKKKKWWKFGK MYCTH_2065241 MGFLSHRRRHIEVQPEQKWDFISLNDFKSTSVFTPVAYGYLWFS LIISLAVYAVDIFTAYQLLAFNRWSSQIEPAQFIPFDISKWIFTVCILLSFANLAYEY FRAITIMRRGSVAECFLDSLAARLESIRMGEGRGWKRFLVFAELTRSKKGAEYIALFT FFSFQSWIRVLLCSGPRQAINALTLYSVYDARLQINQSSFETSLADFFDKIKALATEE PRQAVILSGMLFTLVIWVFSFLSLLLAALFFVFFLWSYIPREDGGLTGFCERKVNKRL KQIVSIKINKAMAEEERKRKKAELKAAKKNGGDRPVTMKPSLPVLDDDDLPQMPTLNR ADTFASFTEKPSRPSSPGSFEMNSLGRRPPMPTRSETKRTTGSEYSVETSLLGSAAGM GMAPPRSSTPTLPPLDLDGYPPARTATTSSNRSYGPAPHMQRMASNGSSLRDYTASPA NFTSETMPSEPPPVKSPTGSFNYSGPGPNQPRLPYPGDGRPPQRGDTRSPHPGDSRFP YAEGNRLPQRHDTRSTHPNDMRLPYPGDSNGAAFDNRLNGRASPAPSMNTYRSNPMSP RGPGPGGHPIRSATNPMAPQGQPQFPPSRAMTQPMRPFHQPTDSRGSLRSNQSSSRPF QPFQPYHQPSASNSSLRNMINAVQYGGDNGSEYGGTASRPNQQTRNDPWGHRGGYGES NGNGNGNDSWSQDLERGGGPRY MYCTH_2306347 MPTYIHLVRHAQGVHNLCAENHALPDPDLTPLGKEQCAQLAKTF PYQGQLTHLVASPLRRTIYTCLLSFEPALRARDSSLVRRTVVALPDVQEVSASPCDTG SDPSALAAEFGPGGQVDLSLVAPGWNVKTGGSAFAPVMDRLEARARRARAWLRELGRR FEAAHPGRDAHVAVVTHGGFLHFLTQDWDGMNPQAGTGWANTEWRTYVFAEEQGQDGA GGDEGQARLRETDASWRRRRGSAAPLTETEQMELRCAVEGRLREEWGGGGGDGDDDEE EEEKAQKK MYCTH_2093728 MMGWWSSGANSALDEQIEKATSSSLEDIALNLEISDIIRSKTVQ PKEAMRSLKKRINNKNPNTQLSALNLTDTCVKNGGAHFLTEIASREFMESLVSLLKAV GPGTVNPEVRAKILELIQSWATASEGRYELGYIGEVYRTLQREGFQFPPRVTVASSML DSSAPPEWVDSDICMRCRTPFTFTNRKHHCRNCGNCFDQQCSSKTLPLPHLGILQPVR VDDGCYAKLTDKSSRGGGIHHERSPSHTLHSNKPRGSSMQPRDARVDDGFDEDLKKAL AMSLEDVKNNSRGYSSNAANGTASGQQKTNGHATPKVAEEEDEDLKAAIAASLADMEE QKKKHAAALKEQASGAASSSSPAPFTLPKNDYELTPVEAENINLFATLVDRLQTQPPG TILREPQIQELYDSIGALRPKLARTYGETMSKHDTLLDLHAKLSTVVRYYDRMLEERL SKAYSQHSIGGYNFPSPRQTSGPYPSLHPSAPSAAGPAESFYTGEQQHDYNRPTTQMS YPHPAPAQLGTYDRRGSVAGPSSSQYPPQQMPQHTGGWGPATPAQQYGQQPSYPPNEP PQPVQPRQNPTQGQLSAPTPNDSVGTTPTADPNASFYFNTQPQQQPHQAASSPPDPAM SPYPNLSQPMHSYQPSLPQTPASTAAQPSQAQQAQQSQQTQQTHQPQPQSQQPYWQHP AAQQTQLPPVWQAPPSSAYPGYTQEAFPSAPQHAPKQPAVEESLIEL MYCTH_2306355 MPGSTTMLNDAPSGTLSGRSDGAKGGKRPLPHIDDITSVTVDVD PYAPVEKVLQAAESYLRQAESSKNFGRPDLALKDYIRTNILLLDVVKQNKGWVSLQRD NRAQFERYQRLVRQVHAAHGDFEAIKDQIKADNARTGVEPTSRSAGSAGKGAAINGQD KDTTPNGTAKENGVAASPPPRSKPVVHPKPKNLHGNALPPGPGAATQAKAQDLVQRFA NLRAGANNASRGAHARTQSEMSPARIGSLASSEVPLSSPPLNGAIPELPRMPAAIYNP PRGTISSETAALPTSAPRAMFTRTSSAASLSTVKANNPPRSPEGAASTSASVKRARPA VPDGDTISVEDLLRCMRTGAKDLSILLIDIRSREDFDEGHVMSQATICVEPEILTRDH ISANQVADSMVLAPAAEQLLFEKRHEFDLVVFYDQNSEQIPDRPQTPQAKAVSGLFNA LSQYDFPGASGLKISPKLLRGGIEAWTSLVGSASLQSSSTTASRKYPASPMTRSFLNS RQKYVTRPIQDPAEAKRWEDTIADTGGISPVRTTEDFLRRFPPISEHKESMVSPASPP MSRPQSPFQSRLSHEENLYKSLPSPPTRPPPAVPRRSYSGLAEAEDNSLVLAKKSGPQ VVDTVRKFRTGLQNPGVFCFANSSLQAMFATPGFAREIWTGAWKELYKVPMKPDEKFE NPQLLIKCLTNLFHWLNQGSLRSLAAKTFMEYIHFIHSKSSDGKKKPDCDIFGGSSQQ DAQEFYSFIMDNIHDETNVRRDVKPSKEEKPYTPKDGTIIQNAMDYWRDYSKYSASII DKYFRGLEVFISRCHNPACRQEIRLFQPCDVWILNLAGMGDPTDLDSLLANHQTSEHF PDLVCETCNKPGRTRKAKFARLPDRLAFCLNRFNSAGAGGGGFSNRFMSSGKIHSKVR FPIRDLDLTRYCAEPDPDMATTDDRHFAGKMRYDCYAVTVHVGQGINGGHYYSYVQDE TSKDPTDWFRCNDEVVDRVKIGSGQPGDMTEAMYQNGNTSAYMVFYRRQGT MYCTH_2306357 MPFTYSAAQTPLYKVQKPPYTIESPGYQPVDGETIPRRHPKAKD GLVERPAPGVNTTFDLLKRGVEHYGNEPAIGSRKLIKIHKEKKKVPKIVDGQTTEVEK EWTYYELSDYTYITYKEYFAQILQVGAGLRKLGLSPKDRLHMFATTSPQWLAISHACS SQSMTIVTAYDTLGESGVEHSLVQSKANAMFVDSHLFKTVRNPLKKADSVKVLIYNEH TNMPVSDAEIEQFKSDHLGLTILSFDELRALGEENPTDPVLPSPEETYCIMYTSGSTG PPKGVPVSHAGFVAAVAGLYTVMEESVTHREKVLAYLPLAHILELVVENLVIFAGATL GYGSPRTLADASMRNCYGDMRTFAPTVMVGVPQIWETVKKGIESKVNSSGALTRTVFY AALAVKSFLVRNNLPGTALLDRTVFAPIRAVTGGRLRFIVNGASGIAAPALHFMSMVV APMISGYGLTETCGNGALGCPLQWTPGTTIGPVPGAVEIKLVSLPELGYSTASTPPQG EILIRGPPVVREYFENPDETAKALTADGWFRTGDIGEFDSDGHLAVIDRVKNLVKLQN GEYIALEKLEAVYRGAPYVHNLMVHGDATSLRPIALVLADEKALKRKAAELGVPEDHM YRDAKVRAAVLKSLQEVARKEGLSAMETVAGVVLANEEWTPANGLVTATQKLNRKAIM EKYSKEIKACLGGN MYCTH_2306364 MLGLAGSHLGIHGADYSSRALFHRVKAIRLLNQALSTPAASVAE ADARYAATFALAWQASCMSEGMTEFLLMIKGCHIIRNASLLRYKDSLFKAFAQDGYGE SIRKVIGTAPLTLTPDQENIIREFLESLHALAPLCTSPLEVRFLAATERVVKIARVSA AQAFAQFSENYALIFLATNEEFTSFINPDHYPAQLLLIHFILIEFQIGYLALGEAGHR FAFRTKSCIAWMNHLAARLPEEYRKYAEWPMKYVRTLQAG MYCTH_2111053 MAYPTRASFATSFKRHFRSRPGTRQNLGRGVLIGVAGATGAGKT SLVNAILEYPELLPSSSTEAATATVCRVAWNYDDTNGHEFRAEIKFRSRNAVVKELNP VLSAMKDRKELRKHESDDENERIYAPSGTSTKASLRSDAFCKGSREALQNAQLQEESF KIKSVSDRFNETNKELKHR MYCTH_2306365 MAWVTYEGILKANGGSFQSKGTRRPFYDFPLALRVFPYLPSSLL DSRCALRGTPFLDDLREDRMQYFQVELPKIREPLIAKASDIWEKDFAEIRSLIGDAAP DILPFFELHQKSSPTKHQHRALREHILVSQVVIRGRLANSSLIHRLAPYRPGPHGGCL PAACGRPPREAAVARPMGRSVAPGSRSACCSKARRRALTTAPATTLR MYCTH_95125 MAQVWPGLEFVLVNPLTHQEETKTPRRSNWSLYRAAWPVRTVLL SSTNAQSTTPGLLFTGFKSLQGQCSRNSDLFFPYALPFTSSHFHHHVFPILFFICLWF WTSSSTRTWKNFDLVASCCGGVAASYKHVCTVQGCRSKWGVATDSRAVVPDPPPLAVS HPASLPPSLPSPLPPSEESRASPGWGFGECFSKPSESGMVISGYLGKSPLPAPGTRAP SAHPAMANRGSLGRARGGPGGGKGGGALASADAGTEKGTDSLRCIPTQPLQQREPNGT DISELVDQWLRNSGRRQVGSGSRFVRGPGRLQSSTRSRRCNKKKKFAPSIQDCHDPSP GIVALRIIPAIEMEGGQMRAEPTVSYSDTVMIM MYCTH_2306367 MPMSLEAPVLQVDANVIHKVDTTNPANLFSMWTVFARCRDSVAQ GRRLENLSWRLWNRETFCCEGEEMLMSSCATSQPRDIQYPRETAVEDLPQLSGSVDSV ADEEAVDLNAETTPVDIVRPRMQRQDSCASSRSRGKERHITSDELEKMVVSIMETKSP LSVPLPNLPRSLSTEENKTTKAEQSGSTNTDVRCETPQATSAQPAPAEQTAEPEQEAQ PRTIVTRGFSPSQAPISRTTSLPTSKAPSPCAIPQPTDAPAPKVVLPKKQQAKFALGG SSGSDEESFKNRDVEPRGIAAQPRGKMFQLGGSSGEDSPRPAQQLERAVAADNGQKKV ASFNNEVVTQTYTSSAISDTEDDYLDESAIDDDDEEWEEESAEESGSSMGEEKLQFRR IDSTANLPSRRSLITLMLAANNNRAQRLGNVASQSTSALPRTRASLNGPSLVASPNDS DEAPLMMKRGSSRAPPMRPINEIPRSGAQPSNVTATGMHHQAALSPRTTRRNMLATEL TESLRRNLLRERSQKTSTANAVLKRRHTSTDVANLKQYPERPYMKKDKEVDTDPNSWD KYFQNPFAGYAQAW MYCTH_2306375 MISIRPRGGASPRSSRQAVAAAPSSRVVPKPVPERETKDARGYQ IEQLRRRYSPQETDLGNGETSFLFHLKPSDPDFPFDLDHLECDLRIPETYPKEAPRLL VKNKNIPRGFAINIEKGWDALVQEKRGATLLSLTNALDRRLESLLSEQKAETVKLTIF KDTRHLNDEPVAEPAPQPAKPVAKPPVSRPYVPEESYTKDQIAEAKARRAQDVRQLEA RLSRLPVYQKSSDGIIYTLSLEPKRRASLPTGLQPVQSVQLIIPLLYPLQPLRILLND VDSEVAEPIEELFAQKAAEQKHMSLTSHLNYLAQNLHVLAKEAQKPPVEAVANPEVQA APSQEAEQAEHTSTLDQGKGHIHVIPRPPEWASDDSTDDSGSEDGSDAEDGEDGGADI EPQQAQAEAGPSLPTQTPERGTAILFPSIELYGVELLQVSVLNLNVKCDRCKTVNEIT GLKDNIEKTSSCKKCAVPFSVRFRQELIHQNSSRAGFIDAVGCTVSDLLPSTFNPTCA ACSTPSPAGLTSVRGETTTNVCRECHARFTFALPDVKFLAYAPGAGSGRPLPAAPGPR RRQERLGLRAGEPLPDRGACAHYRRSHRWFRFSCCSKVYPCDRCHDAAESHTNEWASR MVCGWCSREQRYSPESCAFCGRSVIGNRGKGYWEGGKGTRDPRLMRRGDKRKYKRLGA QKKET MYCTH_2306377 MFSQKKPYSAVTVTIERLTSEAVPIDDLSGIPDLVEVVNLQDTG PSEASRAIRKKLKYGNLHRQLRALTILDGLIQNAGPRFQRSFADEALLERLRFCGTAG LSDPEVKKKCTELFASWAAEYKNTPGMEQVARLYKELPRRKRVVTQEQSKVIKETENP FGEDEEDDDDRPSSAGPSKGHSRTSSQSNPLAKQTYTGYDTKKKKDKKTKKSKPFNLL AEQDKMKTCIADANIAATNLMNVLQTINREKERISENQIAVLRFEACKDLRRKILRYI HNVESEQWLGSLLNANDALVTALMTFEQLDRSIDADSDSDDELAAQAHLYRMATEKAK GKEPSSPTSPPTQALAGMHLGSPSSPARPPPPPRPSAATKPSMLPPRPQAAPDSDVDA DTEDDEDDDEDNPFADRNAVSKGE MYCTH_2093740 MATISASRAAPSSDVNASHPYTCNTCQVAFRNSDLQKGHMRNDW HRYNLKRRVASLPPISSEVFTEKVLQARAETTAQADKAGFERSCETCQKTYYSENSFR NHLSSAKHKTRAAALASRSNGKNDDEASSMSFSLGEPAAESQVDSDAEEEFNEVVDAL KHTNIHEPVSPVKRPSNPHLSAEAQNKPDHPLSQTSSGQESSTTTPSPPTPTGTKPAA AAPPPQTCLFCNYESPTLQLNVSHMERTHGLFIPEKQYLVNLEGLIGYLQEQVFIFNE CLTCGKVKANVFAVQTHMRDKGHCQIPYTTEEEQLEIGEFYDFRSTYSDGEGDWVDES DDDDEQQNGGVKLGAKRESRLVGEDGDEVMDDENWETDSDASSLDSNDLHAVPAEQHY HQYDRLNKHPHHSRSTPRTHRQAGGFHRNAHKRPHAVFYDDYELHLPSGKSVGHRSLS RYYRQNLYHYPTPQERAERLAIQDADRSNEMEVDSEQRPRGRALIPRDVRGLGVASLD DRRTRAIVTKGKKQEWANQKSKGMLQARLAIKEKAPHPATYMR MYCTH_2306381 MTRDAMRPASAGSHSRKNAEDLDLLAGLWEEARFARLPWDAPSE LRDLVEDIDNPKRVYAIHKASRRHNFQQLVQKYIVQLREGCGAEYCTTSTCFTCRKRI AGQAPVRRYNTTSARTLAVYLASQDNPENGLCPYLRPPKAPPAAVNSLIFLPNHKSRQ RNERVLSASPKTQAAKSRPSSPKTGGGSGLSSACPRSRSATLNGDSKDRVKHASNRAG SDAEKESRHPNFTVIEQPSTKDHRSFAANVFGTVAFKMLEWLTPAAIEDMHERVRVFG GRREPVTEDVVPSKVADKPEEETARSEPRALEEFPVLPVGTDGESPSKRGPSVHGQPE KEARGLKNGQPQHESQSCPRSTNARRNSNATVRTPTGPKPKRQLSVDPHAQDISAEET YATLLKSPRATGSGSDKGPRVPKAASSSLTRPISQLSSAGFFDDVVLEKMPPPKTVDI KRKTSRDMLNGPGSGGSHSPKAPSPVSGGSSEELRAESPSATADRYSELGDEALAPQA LTRLNAEVVDFVCDVIQEDGTAEKHMLEPQTISRSHNGQSGQAKLVRRKHKSGRRRDA SNLRMEWKLFVEQSFFYVLSDPQLALRSFTKKGQLYDSQTLWYCMLRMTRVAPSLVFH SLWMAAASLFAPPKALQNLRSPTTRVFPRTEQALSNLEAGRLISICLHALIAAAPLVD DSRQLFDMSRIRSHGLSLADSGAVARQPTELCLQYEDAFTDPMALRLARRLFAAIATR RHFDALIESNIGPQERGEEDVLAPLFSQLDFLNMDAVYVLDFSFPDRALHETRVPILL LDWARAVMLNDWDGAPEVPGDGPFGGALALIEAMYKRRQELLLADAQFRSDYFAERLD TLKMPLSWLSHTSTRQRLHLLDFPYMFSPSTLVSYFRSINFARMSRAFEEFASFQDKM AVMAQRAGLTQHHKDVLAERLRPAGSKYLILDIRRSTVLEDAFDQLWRREERELLRPL KVHLGESTGEEGFDSGGVQQEFFRLAIAQALNPDYGAFTVDERTRMAWFLPGSMEDEW KFELIGLLVSLAVYNGLTLPVTFPKALYRKLLGEPVTELHHIADGWPDLASGLTSLLE WDEKDGAVEDVFARTYEFSVSAFGQHITREMKPLSDSLHQHGRDSEDEAWPQFAKTLS QSSSQPAPHQGNPAEDEAPLVTGANRNAYVSDYIRYLTDVSVRPQYEAFARGFHTCLH PKSLSLLTPSLLQSVVEGVQEIDIAELKRYARYVGWDASHRTVKDFWSVVKRYDENMK RKLLEFVTASDRVPVGGVKNLMFIIQRNGEEEDPAGRLPTSYTCYGTLLLPEYKDKEM LRERLGMALENAQGFGFA MYCTH_2306385 MAMNLDLSNASVMQDEHGRPFIVVRDQGKKKRQHGNEAVKSHIL AARTVANIIKTSLGPRGLDKILISPDGDITVTNDGATILQQMEVTNHVAKLLVELSKS QDDEIGDGTTGVVVLAGALLEQAAELIDKGIHPIRIADGYDQACDIAVAELDRISDVI EFSREKTENLVKVARTSLGSKIVSKAHDQFANIAVDAVLSVADLERKDVDFELIKVDG KMGGALEDTVLVKGVIVDKDFSHPQMPSEVRDAKIAILTCPFEPPKPKTKHKLEISSV EEFKKLQNYEREKFVEMIQQIKDAGANLAICQWGFDDEANHLLLQNNLPAVRWVGGPE IELIAIATNGRIVPRFEDLTPEKLGTAGIVREMTFGTTREKMLVIEECANTRAVTVFV RGSNKMIIDEAKRSLHDALCVVRNLVRDNRVVYGGGSAEIACSLAVEDAAVKTPGLEQ YAMRAFAEALDAIPMALAENSGLNPIATLAEVKSQQVKDPAGRGRLGVDCMGRGSNNM KEAFVIDPLIGKKQQLMLATQLCRMVLKVNNVIVSGSGEEDF MYCTH_2306388 MSRHQYIRKLDYEDALDEYEGYSENEEEDELSPEDRALMSQGTA EVQAALGVEASKVTVAQIEEALWHYYYDVDKSVAYLISKYVNPRPKATKPATRPPNGK SVTHTFEPTAATFSLELGKPVHWAAKYVDLTDAGLQATQTCPESSTPSFQMTRSLPHF GTTSHVLQPASETRVPLSSLFWDMPWGNVPKERQTILVPPLAPRGGLLGGSGGPPKMS KLQALAAARKKKAEEKKAVNDKVEGARAKMEDLAVEDTQCGGKSVPSNGLSNKRLKTS DPSPEGQSVPVFGPKPTRLKASQPLDVGAAAPSGQLQAVTAQAAESEQPVSMAEPSTF AQLLCGEPSAAHRRRTPEKAVFVGFGCGYGVDNKGEPPKKPSTSVDWFDIRKRKADDS DDFEEVVVLYPNLPQSVKDVFAQPSPDDIVLAAQAKAKTKAGAKTAEAQPKKKGTPST DAVTAGVDGLKISDAPLPKSKNLNVLSELEKSKPKRSASFVVVGHVDAGKSTMMGRLL LDLKVVDQRTVDKLQKEAKTEGKGSFHLAWVLDQRPEERSRGITMDIATRRFETERTA FTILDAPGHAEYIYNMIAGASQADFAVLVIDASTDAFESGLKGQTREHSLLIRSMGVS RVVVAINKLDTVAWSQERFNEIKDQMSGFLSTANFQPKNIAFVPVSGLYGDNLVHRSS NPAASWYTGPTLIEELENSEPSTRALEKPLRMTVFEVYRTMQSPVTVSGRIEAGSLQM GDALLVQPSGQRAYVKSILSNDAPADWAVAGQNVVLHLSQIDPIHVRDGDIICDPAKP VLRADTFTLKALAFDILMPMPVEVHRGRLNQAGRIAAIPALLDKTSGTVVKKKPKIVK PGMVARIVVKLESQIPLEAGQRVVLRSGGQTVAAGLLE MYCTH_2315513 MESRTRSWALGLSFIGFFALLFSLGFVQQVKADDVSEYGTVIGI DLGTTYSCVGVMQKGKVEILVNDQGNRITPSYVAFTDEERLVGDAAKNQAAANPFRTI FDVKRLIGRKFSEKEVQNDIKHFPYKVASKDDKPVVKVEVGGTEKTFTPEEISAMVLS KMKETAESYLGKKVTHAVVTVPAYFNDNQRQATKDAGMIAGLNVLRIVNEPTAAAIAY GLDKTEGERQIIVYDLGGGTFDVSLLSIDQGVFEVLATAGDTHLGGEDFDQRIINHFA KAFNKKHGVDITTDAKAMGKLKREAEKAKRTLSSQMSTRIEIEAFYQGKDFSETLTRA KFEELNADLFRKTLKPVEQVLKDAKISKSDVDDIVLVGGSTRIPKIQSLIEEYFGKTA SKGINPDEAVAFGAAVQAGVLSGEEGTEEIVLMDVNPLTLGIETTGGVMTKLIPRNTP IPTRKSQIFSTAADNQPVVLIQVYEGERSMTKDNNLLGKFELTGIPPAPRGVPQIEVT FELDANGILKVSAHDKGTGKGESITITNDKGRLTQEEIDRMIAEAEKYAEEDKATRER IEARNGLENYAFSLKNQVNDEEGLGKKISEEDKETILEAVKETQDWLEENAATATTED FEEQKEKLSNVAYPITSKLYSGGSAGGDDDEPSAHDEL MYCTH_2144232 MTAARLTRATDPTAHCITYVFSTRVIISIHITNGRQETTIIIQA VSVYGQPPGLSVQAPVKPLKPLSHEPGGPHTVSVRLVAVRGGYDEHTVRRWAETGQCF VRLDWGFPNCNYPKFTTRHLLTSPGASSGDVSFSGGLDWAERMLNALRQLHATDYPDP PPPPPPRPLLLLPTPTPSSRGPLARLLRAKTEDGCPASAARELDDDQVSNSSVATCLT AASDSSAAFSEPPEPTTTTTTATATMNDDDHRHANEERTGDGDGDSDGDGDSDGDGDS DGDGDSDGDGDGDGDGDSDGDGDGDGDNVDSDGSSQVGQEEEEEEGERRQSSRYWYPP GLPRF MYCTH_2306396 MVRRRQRHDWRPLTLHDIGYNNRRIDVEVFLNGSTLTKVPAYGS TDWEENWIAAPIAQALIGCYTFEEGASFGERGRIEYTRSLNLTIMINGKSADATFRVL ENAENRVNVPMLVGEATIREIWGEAWPPSHLPPSRAPPLPLPLNTPPAPAPHQPGTDV HTACSSAPEVYGQTAEAPFAYPQFPSGYSYDSFGFSSGTPFNPAIAHQGSLPVMSTPW PTGAGYALVDLTGTSAAGFSSAQQAGGIATLLDHADRQPVEGDFENLAVLRCIQAHEP VVRTQQDAGPSASNVVWP MYCTH_2046242 VRFTNVEDLFNLINHTTRDFLIVTHVSPRHFTRIEREREKRHRK FRFRRYESDTQILFITIPTGVLEALHLELNSIYLEELLRMGLSHSWSLMGATTFRQDH PRENSGEGDSTGGPRPERTKGRWPTLVIEAGHSETLQELYNDMRWWFRASNHDVKIVI LAKFDHQQRHILLEKWE MYCTH_2306401 MGINNPLPSSLGSECKKCAKILTSFIDPRQAFGPEKVIPPSILA NAKGLAILTVLKAGFLGSGRFGSGLVVARLPDGSWSAPSAIATAGAGFGGQIGFELTD FVFILNDSSAVKTFSQAGSLTLGGNVSIAAGPVGRNAEAAGAASLRSVAGIFSYSKTK GLFAGVSLEGSAIIERKDANARLYGRPVSARELLMGGERPPPQAAPLLSVLNSRIFRG IGGPGGMADDRMYNDIPVYDDHRDEVVWGGKTGPAYGEGQPRDGGYGGGGGYGVQAHD EFGRPKRSTTWQDDVYDQQPRSFGQQPPSRSSTFHHDGPPGRPAAPKPNYASKEALLK KNEAIALYNFEPDQPGDLGFKKGDIITVLKKTDSDNDWWTGMIGTRHGIFPSNYVKMR E MYCTH_2315516 MSEAYERERQNNARLDELSAKVSALRGVTIDIYDSARSHEVIDS TSETFSSMGSQLRGSASRLGRMAAAGNKVAIFKLSTIIIGSVLVLYYIWRLLF MYCTH_2306407 MSRRPPNPAAERAAQNQQTIKNLLKLEPNKVCADCKRNKHPRWA SWNLGVFICIRCSGIHRGMGTHISRVKSVDLDAWTDEQLQSVLNWGNARANKYWEAKL APGHVPSEAKIENFIRTKYELKRWVMDGPMPDPATLDAEGDDDVPLSVVKEKQNVERR ESVRKASAGQSATPQADLIGGDPILAPAAPAPAPLRASSTGPTAMQVPAKSSPAPPKA TSTKDSLLGLDFLGTQPAAPPRPASTTGTPSGTQSRPDLKQSILSLYATAPRPQPQPA AQQASHAPSGSLSGISSPAGMSQAQGSLGGLNDAFSSLSFSSAPSPKPAQTDAFSSLG SFTSSRPAQQSSGSTFSALSGGSFFDSKPAASAHQSKQSIGSSSGWGSTSPPAAAPKP AAAPASSALGDLFDLSAPSPPAAASQPAAAPTTASTSSSIFNLSANQPKSSPPATSAT ATSNPLDPLGSNGWGGSDVWGGNAWATAETSKASPEPAKPALGGTTSSDWASLASKPI VPGASGGFVPKVAADEEFGGWTSSTTATDSTIKPAGGLAGADDLFSNVWE MYCTH_2127855 MTVYPDQRTPEEWRAVLSPEQFRILREKGTERPGTGVYDKHYPD KGIYACAGCNAPLYKAKHKFASGCGWPAYFDSIPGAVVRHEDRSLGMLRTEIVCANCG GHLGHVFKGEGFPTPTDERHCVNSISLVFKEDEGDEEKGQVKEEGTKA MYCTH_53690 ERSSYDQGLVSGAAPFGSWRLPGQPNAPTYKPRSDTGQPNTSSP HLTSEPTAQGPRPPRFEGYPILSSLQRPPSQPIGPPAALRADQRPWSPAFVPSRPATT LGVPGILGEGIYKVSKIGPASSGRPRVRRTTAVFEHQGPRMYTISKPFDKSISKIDAL HATRGWHPRQRLSSSLQDDPIRYVTRPSTLGVSKGQTPGKLGEDHQASETYGNMSSSL EGFSVDKQRPARIRRLVTIDNASYSRQPSEFDEKIQQSPLFNAFEETRGHVPPKSESS QNNIDDAIIFSSSPTLFESPTDPDMTDDWLLQVSQAQHQGLAQASSIWDDFVEKASKD MASAEPSDGLADILSKYENEFAERWNGVVVATAHQMREHKKY MYCTH_2306410 MAPTKPTVEHTVDRTPEYEKFIEELRAFHEKRGTNFDPEPKMGN TTVDLLKLFKYIVQHGGYDKVSDEKLMWRKMCEGLGLMRHNAPADAYTLKQIFYKNLA AYEIKTIHNKEPPPPEILEFTTAKGGSLLTRTLETYQARSKADREGSTEDGTPSRERR AEDTPTSSRASRGLREAPAPRVIFHPDTNPTRQTRNASAQHSGASGSTTHSQAHSQSH QNSQSHSGTPAAMHQSQPHPGRGAPSALYNPPGPDMSNPLVQSYQPQPPQQVPLRIVD TPASNPDLFAKKQRLQRQPAAPAPNPASLVRASLPPGTLDGPNIYERCLLALRSGIQA EQAFGLNHLVKISYERGDKYKFSQFSGLAEGLTEFALGVGKLFYDVEWTISNDPDYDD GEIGELDGINGTADILERIAQLKPKDLQDNFQPAEFTDQLVLITEAVLTIRNMVMLPE NAWFISDYPPVKDLICILLHLPPLDLVVELKHCALDIAEQITPHLVLDSEDPLYQTLL SQLQSSDRGIILAALRALGRIAMNHPTETNQLGGVPPAILQSLIDWLMLNDDELVDAI LDFLYQYTAVVSNLDVMLEGTNVEHLVVHLVRLLSHGAKRSQREVVLSEARLAYEPAS EHVIPIPKDLQERLLAMEEPERCYAWLRCFFEEDPEAQITQIAIWQAYNAAFLESLKR MGRTMINAPEFIRHISTVYQNAGAQVVREANGESQKFIMRGIRPRTFPITIDGRGYFQ CQWQPAPGQQHIQCRTWNTTAEKMWQHILADHLGEAPTSEDGKFQNREGTYTCAWDGC AKYPKPTRLQLAQFMTHIKTHLRAEEARRAAETAAVLAQPDIPSTPHGGSTVAASPSK QRGSISGAGAAGGGSIPKGARVIRPATTITLTYEETASARDERNPNAPPQAAGIPLSA ALILRNIARNVVKTAAEERLLASSSSAQQGGGGVEGESNKAKDSGVGIKGGWNERLFR PVMPRLWEVFTENRLLAPYMTSLFQLLEREREEYAFGDY MYCTH_2315519 MAAAARTARLAPRLASISRPAVARAGVSSVAQRAAFSVSARRTK SEVIKETEVPVTVYSPDHKGVASSNSDHFSIPVKNGAASAPEAAAEDLDAVTPLQDKV YRQMPRTLQKMSVYGKVIIVTGGARGLGNHMARACAEAGAKAIAIFDANQELGDEAAA ELHQKTGLPVSFFKVDVRDGNAINAAVQNVVDLYGAPDVLVNSAGIADSNIKAETYDP AMFRRLIDINLTGSFLMSQSVGRAMMAAGKPGSIILVASMSGSIVNYPQEQSCYNASK AGVIQLGKSLAAEWAKYNIRVNCISPGYMDTALNKVPALDAQKKIWKSLTPQERLGAV DDLNGLCVFLASDASGFMTGSNVIIDGGYTLY MYCTH_2306416 MGHSPPALRQSATFPTSDFPTLNEKASAPRSNGAGPHKRYSDEG KESKLPSMLRKKSGFSGFMNSLVGSPKKPQISAPENPVHVTHVGYDSTTGQFTGLPKE WQRLINESGITEKDTREHPQILVDVLTFYKETQEKPQEDQQLEKFHDARAADFRGLAT PLSATALPSPGMLQTGYGAMSPMISPPASPRFPNVAHEGSFENPRAPPPVPKPHIKDL NLMPSRPAPKPPTNHVPRIQPAYPAKDSGIGMPQPGEDASPSYLPPKDNVPMLPEEHR SRSRSNSRANGTPPYQSSHPSPSVTSPVTQTAAYQQQLLQQQQEQAMAQAQAAMTGQL GRQASKRQQPTPPASQHQHLQQQTDPNGANRMPPPQQARVAQPGSRPRHRPRQSNGVD VVAALKRICSEGDPREIYRGFTKIGQGASGGVYTGHERGTNRLVAIKQMNLEQQPKKD LIINEILVMKESSHPNIVNFIDSYLCGGELWVVMEYMEGGSLTDVVTFNIMTEGQIAS VCRETLRGLQHLHSKGVIHRDIKSDNILLSMEGNIKLTDFGFCATINEAQNKRTTMVG TPYWMAPEVVTRKEYGRKVDIWSLGIMAIEMIEGEPPYLTESPLRALWLIATNGTPQI KNEQELSPVFRDFLYFALKVDPEKRASAHDLLRHDFMKACVDLSTLAPLVRAAREARA QEKARKGQ MYCTH_2306421 MSSAAMSSDFPELGSGPKPAANVAPVWLARAPGASAPASGRASG ATTPVPEASTVPSLSIPGQNKEYLLLHPDDILPREQLKRPLPDIVKDFNRKSRAQIKI VPHAENMLRIEATGPKSDSTTQALKDFVGLIGAKLKLELDIHRWARPHIIGKGGATIK AIQEKTGARIHVPKEDGHAAPAGEDDDELIKVVIEGNTQQAAHAQNLIYEIMGERAGT VSLTLKDVPAEFYPFIAGADNSNIKPIEQEFGVQINVPAAQPSSSTPPVPVASGRPEF FAAADSFIQLRGERYAAKAARAKIEERVQELRDQLTVQPVHIQPGRHQFIIGEKGISM EQFFEETGCTIVLPNDEEDDMVRVVGPADQAAVGVQKTVDLAMGMQCSNFDISRFYRQ APGGAAVHARNVTRYLRQKRELERLGNLYSVHFNTPFSEQGALPWELYARDGANIVRA QAEIKALVDAHPPARMATVTVDPFYYQYIRKEVLPHVRQTYGVHLVIPENSEPNAPLL LVYEGPSNPESYEIAREQPKQKDISEMQKGLQDAQSYILGLMSEREPIASKTIEVPVK FHPRLQKFIKNEQDNASNPIRARVSNTGESVHIRGPSSVVEWLVAKCLDFVEREKQDE KERGFTLEFDFPQKFANHLIGKGGSHIRELREKFDVEIQVDDGKVQLKGPKAKAEAAK AHITALARQLQDETTYVLKIDPKFHREIIGAQGSQVNKLQTRYKVLIFFPRNNAKGTK DDESLADSSSDAGKGRRQQAPDEVIVRGPKRGADEAREELLSLLQYLKDTSFTATVTV QKKQLPSLIGSGGAALEQLRQETGAKIDVPSAKESTEDQVDIQIKGTKAQVAAAKKIL EEKKAIFEDTIVKKIDVPRKYHRALIGTGGSTLRDIIVKAGGSSDQREMARTIQFPKQ DADGDTIKVEGRSEIVDKIVARIEAMVAERESQITETLDVPVEKHRSIVGRGGETKRN IEAQFKVSIDVPRQGSGSTSVKIVGQSPDVEKAKAHIEDLVKEQPGETIMVPRALHHA VSNNGQIFRKFKSEYGVTVDHAGQPIPPKPAVATNARANGGSLPLITDDEDAIADAHS WKVVEQASTEEGDIPWVLRGSPENIEKAKNAIKSALDQANKQNATGYLVLPDPKTYRF VIGQGGSKVNSIRKQSGCKITVPRDQTQGDAIEIVGTKEGVEKAKELILAAVKEGLSS RSPRE MYCTH_2306422 MAASGVKETGRPLTIEELCFTSAMSCFEGWLKLDPAIGPRTMAY VTKFISRTLEKTHNLKDVRETLSKSVEVWIWLTRDFAAAIPSLTTRSIGPLSSLNDPD KGVTPLESTALITKNYPSLKEDLQLLIKLMHIARNLLVVPEPEIPQDLCAAAQFDQML YQTIILCINVTSKAYDGDVLEEAARLKLSDITELYKKLLVTCLQQAHNWIAKNDRNKM AFWSTVLFDDDALSDESDGNAYGEFRPDVAKAEVQNWFERNSRFCDKARQLLTEYEKT VASKGLPPGHLPCISPLAWNWLPEGTVRVRADDIGDDTKITPQWKEDEPDKFEQDKAY GRVSREVDTWWLRVRDPNYDEWVVPMPTVEFAQQRTENCKANLVNRYAHPYRPPEHEG SVHSAEGAPAPEGSADQEGKDGGHYVHDYNDDMIEDEDIDDDDSYGEGPMNGLLTEVP NILDPKQIEALHMIVKSCILDNAGLALSRAGENLQKTRCRMFLAMECGRSLLREILVF IAVWEKSEQSLIFQLTTQIVEAIHHSALIPFAWQSLRIPKDIISPAQTVLLRLINNMF RARNNDPPPPDSKEHLRDVKLLHFLFIQIRSRIVPECAALMHLQAQIRNDLCDPADFP VDSWDMERAKDGIQQFLELFTTVNELPETRQYLIEWEATYELLTLLKGLEAGVPKKPM VEMPNRHNKPRQNAQQQQQPPPHPDDPDAGYDSEDRPLAPPPPLEEPAHKYPWAGIKG QILHILAGLLQPPPGRSSPGNPEVQSQILRHNGIISLLNCCVYDDHNRYARERVQICL KWLMDGSEEANKYLRELIAMSPPPDLRAPQQAQGSDGAAAAAPPQPQTTTLRIDGVAG EVKVRLRVPGEPVPPRPIIPSAAAAITTSSSSSTAPGSAAGAADDHSSNNKTNNENAN NALPPIQGSRAGERASGAGPAASSSSSSALPPPRSRPEELLRDIITLADEAARLAMGR PLEGDETEEEGGEDDFM MYCTH_2306426 MTVDVQSLPTVFDRPVHIAVIGGTGLSSLPGYHAVAALSPSTPW GNPSSPILILEHAGVPVAFLARHGLHHQLAPHEVPSRANIAALRSIGVRTVIAFSAVG SLQEHIKPMDFVVPDQIIDRTKGVRPFTFFEGGVVGHVGFADPFDARLAAVVRRCAAS MRGDGVVLHSGGTVVCMEGPQFSTRAESHMYRAWGGSVINMSALPEAKLAREAELAYQ MICMATDYDCWHSTEDVDVAMVMKYMAANSENAKHLVGAVLDELCKQENSDLVLAKHW EGSAQGAVKFMTKPAGRDPEAMKRVEFLFPGFWNE MYCTH_69563 MDAGEYETNTLLISNLSAISFRIYEPIAAQSSTYTFNASDVEDA LRSDGHLVYMDAVRRGIWCFYLFSGDSTPASHSERLGLHDRMEVCGYPLGVVGDGNLE PVGLLKNRPLGTSTINTPSSSSSNGSALDMTVRGAQSFSFPPTPVVVDGKVASTPVGN AKGYGSVPAREIYQFFITAVLSSLTSYFCRAVGAILLNSRSALLPPQVFNSGDADWGQ APRSSALATFRTYLTTTGSLVISLHVSILQGLVSSADVLRSSLLHAGPTVLAAPFGTF GTLQGVVDTENQVVDSGFGQSPDTQVSRLRSDHSDKFLQLKTTCCKLLQMSGMSPSLL DGCPWLNIHFFQRKPYEQRPDGKRTPLVGSGTTAPWPAVLCFRKRKIETMMDVSLEKA LPATTGTTAHLDPLDQAKLWCQGVSEREDVVSKRKKEKDVASELDHPEPSDMKGNPQT NGFSPMALWRGSANGGPPGATGTMYPTPPDGVQLSGVTPSFDNGQTQSPDGQPTTNAA GNNLTATQRDPPAPDGFNAGWEGADTRLEQPTASFGEDNLFGDLGEDMFEGNELTDAD FNFFDENPGSGEMDLTGLPELETSTMGNQENDNHQFQEQTEGSAGTDSKMDARPISPQ FTKPELRRARSTLAEEHRQLTNIQSSNRNSAIGIKRNPSPFNRETVFKRICASIRPPS LTISKRREPFRRPSLFEKVDFDPALSPAIRKYGERGLFDFTSAALKGRENRLLPPGGP LTPGRGSGSIKQRKNLKELPSDISSILAKLASANSNSSKQQDDSRSESDDDSSPSLDE DAMEQLGRASSPAKSSVLRRRPEDDVISMAASFRELENISADSPGCGPVDLSRLSSSE IPDFSLSKYFADPEPVPLRMSSSDEDFITVAQILTEQAASGFLKLATPRPSSEVQDLR RSLVKATRCSINGLQKALPRSLAAAVGCRLRPLAEVQDVPLLVQPNGRVQVRPAEFPK PSIFLIPTPHVELRRYETQLSVLPSAVSFWDTLGLGPVQGPKSVVAVCIVPQAQGMQD NASAFLDRVQSTYESLHLGPFERLPTIGNIVDGLVLLSSDHDAVSPGLNQPRARSAYT DQMTNLAMSLSGLSMSERNLVVYFAYAPDNPGSIVDSCSAFQELFEQYKRCMADRKKQ VTNELVLQLVPLDAVATETSLVVLSPSDCARLCVETYDRCALFGGPMPSPAIMLERAL PRGIDFKLAATPSPNLLRENSCIHVAYARSVDERWVTAAWTDNRGSTQSMASYCLGRR GRPLSRALGDALHEIWETTHDLISTCKVHWRVVVTKCGPMDQHEMELWTAMAQTESRA NVSLVLLTVETDPSLQLIPPAATIPLSAPSVFYTTPVSTPQPPSVLSPDQSGNPSTPV GNTGTPAGATTPGGDSSQQQQQQQQQPASQTSQSDADGDTTLVDVTETTWGVVVSHRL NNSASLTDLNPALASGYLVKRSGPRQDDAPVAMEVNVVYSDNARAMYDVLLREMLTYF RGLGTLARARGVTERDVDVRPWHVAVVEKAVRALYLLM MYCTH_2306429 MKLTNPGPVPVYTVAGPSTARPLPDWLARRRKRSSKYDPENLNN FELLQEFEFEEASNCVRVSEDGNWIMSTGTYKPQFHVHSTQELSLSFSRHTKSENTTF IFLSSDYTKSVHLQNDRSLEFHTPMGCHYEVRLPRFGRDLAYLRQSTEVLVPAVGLSA DGSGFGEVFRLDLERGQFLRPWQVDVGEDEAGSGLQGSIHVGAVNVAAVAEKSHGLCA FGTSISTVEFYDPRTKGRVAVLGGHDGEVTALDYSDDGLSLALGTSTGQIRIFDLRNP RPLMKKDQGMGLPIKNLIHLKTPTEERKLLSADKRIIKIWDEQTGDLWTSVEPLVDLN FVTHVPDSGMILTANEGKQMHCFFIPNLGLAPRWCHFLDNLVHEMENEKQTETYDNYK FLTKPELKSLSLDHLIGKTNLLRPYMHGYFVAAKLYDQARLIANPYIWEEERAKRVKE KIEKERASRIRGVKKVKVNQKLADKILQRQEKRAKVDLEAGILGDSRFGKLFEDEEFK VDETSHEFRALNPSTKVEGAPEQDARFATDSSDEQSDSEAERKPKKPKNDVVMQVSLS TARGGKIRDTAIGEREQKQTRTAKVRTGDVVGEQQITFVPEPKKKNKPQPAPEPSKRQ DRRSASNNTFRRL MYCTH_2063330 MADIDTFPLAADGSDGSDGAFDGSDAGAAGPSTGGVVLSRGALI AIIVVVVMVAILGIATSVLFFIAKKREWTVRETIRRSARKVVTVMTPGRTEFPKSVKE GHSRLADVPPTPKITPEHLDLEKGLEQPKRKRANFSRK MYCTH_2306433 MVWQRRAKISHEFTTEIAGVINQFTQQQSAAIEEQKAKYHKYIK RLKRELVEESGVITQQMSQIDAQANRIEDLQNSKEHVESQMKEIKAKLEASESRIRRL EEKYRACKTHLNSAIQEQQDLYTRSKKQWEDTIEEVRRIEKSRNLETEMTVRKAEAVR EQMMEKVRQTIAQSKSEAVELYGKINTLTQQVEEKDAELSRERESVRFLTEKLQNIES VSSSFEALSSQGRDILQRIEEEQMRAEERQQQSMKGIRDRIDVVSARLETLSNIMSEQ PDILSSLREVQKDSWDNITGKLDSILELRSAATEATSQLSADLEHQLGKVWQRLDSQI ETLTRQLAEKAEENGMVSTLYKRNDAECEEHLNELAILRATTEKQANQIHELEASLIA SDTVHDENEKTIRLLEARGAEAEKLKRELESKAAAVVELQSKLDAKEGAYVSELQNYS SNIHELAKAFQEKDRSLATVAHQAAETARYETQLKTERIQTKLEQSLQETTLHRDSLI DQLRKLETTIQEKEQNEMRQAETICSLRQRVAVEEAKTQAAAQQLSQQSANLEQVEHR LGSQIKDLETKLRAASDLISGLENENQRQHARSETIAAGLKNWAHKEGVLIDEFDNLG TSDRSEKEISDFLTHTLELVLSARRSQTTSLETNPTGSVLGMENSSFLAGQTAEAPQA ALQDHCQNDRVESDNPSGAMAYQTKREGDAVGRRHVKEPLSHAPTLHNLRRVVVRSPA NVPSEPAAPSIDQEKMRRREAMQPKSIMKRVTRSATSKLRLEDSSFAAEDGTFNINEQ DATQSAVPQKQEDSASYAESMALNTDTPENKEALSRHPKKRRRSRTAGSEDWAGSSTN LGHGGKGESSKHSTELKTERMDSRVYISVATGHEEKGARPRTNKYDSYGEKPVARHPR RHSDSGPQDLPRTLSATNPKRLSQRQTNVRTYGSQRATGDPLELQPLLQSQSESRYWR LRSKAESQEPVTS MYCTH_2119125 MAKKAKSRIINVRLISMAMTGYFYTFTRPRTALPMSMIKYDPIV RRRVLFLEQKRKGK MYCTH_2306437 MASNSGRRLNIDNINPHVRAAKYAVRGELAVKSEEYRAALQNGA TDLPFKQVISANIGNPQQLDQKPITFIRQVLSILENPALLEKGDVLVNHLGYKPDVLE RAKKLLAAVGSVGAYSPSNGAPAIRQSIAEFLERRDGFPANPADIYMSAGASSGVNTL LHIICADEKSGVLVPIPQYPLYTASLSVLNATCVPYYLDEQKNWGTDLATIRAAHEKA KAEGVDVRAIVIINPGNPTGASLPEEDIRAVIEFARQERLVILADEVYQTNVFIGEFI SFKRMLRQMQKEQPGTYDNIELASLHSVSKGMIGECGHRGGYFELVGFDPLVQAEIYK FVSIMLCAPVIGQCLVELMVNPPKPGEPSYDLYIQEYNAIFNGLHERATALHKAFEQM EGVECGVPQGSMYLFPTIKLPPKAAKAAAAEGRTPDEFYCLRMLEATGVCVVPGSGFG QKEGTLHFRTTFLAPGTEWVGSIVKFHKEFMDKYR MYCTH_2306439 MGSDPQYAKWPLLPLAQDVFTITNPYATKAAQQAAAQHLQDAIS EHKMAPFYRYLAHPIDGILNAVGESGASAPGKPTSRKSSLVGMIATRNPAASINLPWD EALYQSLKAENDKELEQLQKEEDEAAEQAGETEIQAARGKRAEFWAKVGDKEKAIAAY EDVLEKTGILGTKIDLVLAIIRMGLFYGDKHLVKKQVERAKTLVESGGDWDRRNRLKA YEGLHLLTVRSYNLAAPLLLDSLSTFTSYELCTYSNLVVYAVLAGSVSLKRVDFKSKV VDAPEIKAILGDGEDKLLALSGAISAGPGADAEMQDASTAPSAGRVTAVNLTTLGSST DQPEAEVAVDFSPLAQLVSSLYNGRYKLFFQALALVEEQFLTQDRYLHEHKGWFIREM RLRAYQQLLQSYRVVGLDSMANDFGVTVDFLDRDLAKFIAAGRIPCTIDRVAGNGVIE TNRPDDKNKQYQEVVRQGDQLITKLQKYGQAVRLRGSERA MYCTH_2306440 MADNDNDELPQPFDAKKLAPAKKRQRSQSPNRSGSAGTSGEPPR KLKRPGQRARITEAEREQIRQRALERERLAREQAAAEELKQRSTINDVVKAHYNSVPE RGREWRKTESRIKGLRSFNNWVKSCIIQKFSPDEDHAPGAMERGISSGNKLLVLDIGC GKGGDLYKWQQAPQTVELYVGLDPAEVSIDQARERYRNMANRGGGGGRGGRGGYYHRR APRLFDARFHVKDCYTESIGDVDIVRQVGFSPSSVSSNRGFDVVSLMFCMHYAFESEE KARQMLKNVSGALRKGGRFIGCIPNSDVISSKVVEFNKRMEERKARAAAAAARKSSSG EQPSSSSTVTAPDGPPPKAEKELEEGEAVEDEKEEGEAEETASWGNSIYRVRFPGPTP ADGVFRPPFGWKYNFFLDEAVEEVPEYVVPWEAFRALAEDYNLELQYHKPFGEVWESE KDDRELGALSERMGVRDRITGKLLVSEEEMEAARFYVAFCFYRV MYCTH_2306441 MTTAVRICVCGDEGTGKSSLIASLVKDVFVANKIQSVLPQVTIP PTSGSPENVTTTIVDTSARPQDRTTLRKEIRKCNVILLVYSDHYSYERVALFWMPYFR SLGVNVPVVLCANKSDLAGSGNTPQVVEEEMLPVMAEFREIDSCIRTSAKEQHNVIEV FYLCQKAVTHPIAPLYDHKEGQLKPACTAALKRIFYLCDKDQDGYLNDQEMHEFQVKS FDKPLSPGELENIKATVSKAVPTSSTEKGLDLNGFLQLNKLYAEKGRHETIWIILRKH HYTDSLSLEDSFLHPRFEVPDYASAELSPAGYRFFMDLFLTFDKDSDGGLNDQELAAL FAPTPGLPPSWVESSFPSSTVRNEAGHITLQGWLAQWSMTTFLEPKTTLEYLAYLGFE GPNAWDSTTAALKVTKPRKRRRRPGRVERNVVLCYILGSAGSGKSSLLDAFLNRPFDT LYHPTIKPRRAVNSVELHGGKQCYLILEELGELEPAILENQAKLDACDLICYAYDSSE PDSFSHIVDLRKRYPQLDDLPAIYTALKADRDKTTQRSELQPDAYTAALNMAAPLHVS VTWNSISELFVALAEAATNPSTAFPRSEEPPDRTSLYMALAATSCAALAAFMIWRRST SSSV MYCTH_2306444 MFVLPPPPRYSTGTGHNGLPAGTPLPMIETNNVLTNPSGPEYQF LVGEGLYVLKEDLHLATPPPHPSETPLTNPNPLSTAPQPATAGTRLTLLNLDVNPLSP AVYRTTSRSDGAPGGSQENQDEGHSSTDLRESSSDAGRMSSVDNPLYRANTNTPAFGE GNSLLAPAAQKDAGKRRKPKNNMTKSNSSFISRVINHEALPKRLQERARDGLFAFANI NRAFQWLDLSSPTKAEYLTKILFTKAHCLCHDVNKVTKSATHIDVIMGFSTGEIIWWE PITQRYTRLNKNGLINGTPVSQIEWIPGSENLFLASHMDGTLVVYDKEKEDSIFTPED EGSSRPGGDASGTSRVNYTSRIYVHKSVHSKNQKTNPVAVWKLSNQRINAFAFSPDNQ HLAVVSEDGTLRIIDYLKETLLDLHYSYYGGLTCVCWSPDGKYVVTGGQDDVISIWCP SESPALIARCQGHHSWVTSVAFDPWRCDERNYRFGSVGEDRRICLWDFSVGMLHRPRA ASVRQRGSISSRPGAIPLHRAETSATAGTRGSGRLRSDSNLSSGAPGPADSEREKVLP HPVEPRATTATLPPVLVRFFPPNTVIPRSQDETVHDC MYCTH_2306452 MNNYRLENDFIVFRGSEHEASPQLLKGTVVLCLSQPLRIEDIHL KLIGTAHYAWTDAKVTATGISTSKVDKTTQIYEHKWPPFAGIGAEDPTDRSGHRIKGE TLQPGNYEWPFEILLEGDMAESVEGLREASITYKLKATVARGKLLHDFHAYKQLRIIR TLEASALEFLHAMSIENIWPNKIDYSIVIPQKAVVFGSSIPVEMRFTPLLKGLELGDI TIKLIEFHDFFITSVGYTAVREYRRERTIDSWIIPVKREEHWQDVIQDSGPDGWEGQE GWVVPATLNLPRKLTQCLQDVNVKGIKVRHKLKLVVALNNPDGHVSELRATLPVSIFI SPNMPLDEAGNLVRQVPTGAPTDVDIAPPAYEEHQLDELYQDIDPVNLHSPIDGRSGV SSPLRGHSRATSTENLPALLQSAPIHPDMLASRLHDMSLEERHRNASWNSNLSGAGAT SRTEGTSHVADESHVSPPRSAPLTRHNSGDRPSGSNTPPEHLDFPDTAELSKVPSYHT AVRTPVRVQAADVYALPDYETALSTPSSPPGTPRLDARSQTMPYHLDAVPRAAQETAA ALGSARVPTTVSAPVTAAASREPSPHATNRRPVMSHRRQFSSSGFLHSLRTQSSH MYCTH_2306456 MALSPTEATAPSRQETLASIASDEAVPDTDPNTTAGLLTQRLQA WKHAVVYLEEYMEAFEKLHKAQAKEYERALKTISKPLREGEHFDQSLGGVAGFFENMR VNTQALINTNLETEKAIKASALPIVERLHKEIKHKAKELAHGAEKSAKEVEKARSVTQ KHIELLGQHTAAFEATGGKHNPSEDPYVIARGVIHRLHKQVTEENNQHNDLVAVQGNF EAFERHIVQVLHQAMETFTNLAGGQALKVQALHADMLGAAQRIPPDFEWKAFTKRAAD KLVNPSEPPRTVEAIQFPNMSHPSTKPLIEGSLERKSRNKLSWGYTTGYYVVTPCKFL HEFKDDDNLRRDPTPELSIYLPDAVIGAPSGDKFSVKGKDRSGHVGAKLAGTSELNFK AHSPAEAEKWFQVIRTVAGTGPGPASPPAVASPVDEKPKPVVAVPAAAAASPQETGIT GGETVASPVALSASGSGAAANPVTAAAGAAGGSTGSGGSLEKKATGNGAVAAAAEPAA AGKGEKA MYCTH_2306461 MTKQWDKYREIIIAEYRDQNKPLHEVRRFMMEKHGFRASYVQPP LCWLRVASSAKRPHLLGAGDAGTKWISNTSRKRIAL MYCTH_2306462 MPSLTLFSTRAYRSRFDRWGIHKYSRRRRESSASPSRRNSVSGG DTQRSPRHSPDPDGGGSRAATPFPSTSNELFPAEICATIPVAGFRRYGRRSATGWRS MYCTH_2306463 MPRLLQRTRRPDARAPGAPEVEDGPLELPPYEPPSYPMDASIKQ KLASLSNNRETDSSRRQYEKHLSKSSTYLFESVGSINDILYARKRSLAHMAEKRRARG EEAKNDNEVSLEEYVAELEATINELTDSSEEALRWVIDCRAELEDHQAVLEKVMEGLN AQQPRPEPKQRNTKEKASRRRVVGSDDEDEEAQEPEDDEDGDATPEDGPPLVGVKELL EAARKAKADEYAALSAHQRYALNNDYISFKRAWHDALHPEDQVPLPDPSTWFDEHGRP TKGTVPDANEDDDLVVEREIIDLKCPLSLQIMKEPYSNHKCKHTFEKSAILEFIRSNG GVAKCPVCSKDLRIKDFYLDEVILRKVKRAAEAARRGVDDTSDIEPEDDDSSMIVGKS VNVKKEKDRSRRRMEEIDADDD MYCTH_95095 MHPNILTLVATVFVGKAAAQQVGTYTTETHPRMTWQRCSSGGSC TSVKGELVIDSNWRWVHDKNGYTNCYTANEWNSTICADNQSCAANCALDGADYSGTYG ATTSGNALTLKFVTKGSYSTNICSRS MYCTH_2139555 MPEIAEIARVVHYLRLHLVGKKIKTATAVEDNNVFGKVGTTGAE VSRALTGKKVLSAGTQGKYFWLVLDQPPHPVMHFGMTGWIHIKGDRTAYTNYYKKMKP EEMDLWPPKYWKFHLITENPAVEVAFTDPRRFGRVRLVDCPGESIRKFSPLVENGTDP VVDTDVFTEDYLREKMRSRHVPIKALILDQTIISGIGNWVGDEVLYQAKLHPEQYCDD FSDEQITRLYKSIRHVCQFAVDKLGDSDQFPEDWLFHYRWEKGQKGGVGHLPSGEKLA FLTVGGRTSCYVPEIQKKSGRIVPGVKEEPIESDLEKEKPKKVVRAKDAIAEDAMPKS KKRKAEKDEIPAKRSRATPKKSATSESQATKGEVAERIGSNVEHGRRRSSRLQRKTA MYCTH_2306466 MANPARPAEWKKAVADVMPHVNPDSLSISDLPSTESASELTFAH FLCLRILWKTYTSKRFKLDEYVDQVSAAKAAQRLETPKSKAFFDSVQQMHTGYPEDSI FSLVRLYFDMVYKLDATMDISSLKVVLTPQPVRFGRHREWIPESPLARKGSPSRMDLD LDYSPPDKPSPAQARLAEEARLAEELAAHHGASTPPTRAESPVSDTSAHPDGSSPARP SEFSPTEDEIIVNMALLLLLNSLTEPEEALRARGYRWIPNRELLRILKSLPAGNAATT ASGRNTAKYLEARTDGCLRHTEHQLAAALIEVKPCARSKKLGRIQWQEGAQMAAYIYK LLYLEKPPSSDFGLLRCRKAGVKRRLLVSQDHGEIYITIAEYDSTYERFLGLHHSANP SGKISDTSFLKMHCYGPWNTKSKNDLRHVCRILMALSFYLAK MYCTH_2306472 MVVKKTREVNHIVCPIADNVSHKLNPDHALRSEITAGVSMIRRR ITVTHDTYSPIPVLLLSFYHNETVRITQMCWNGERNPITIRQSRLINIVSNSFKREEI YLLLRWMCCIPLREPKVRRKQSTTGEPGRPATPLRTGTGSNSSAASKSKPASTPVVTH PAVANAPTPTGTPTQKTSGAVSSNNSPARSEAPTSARRTTNKDTNASPPRPTTNLPLR PTTPPTASAPSKKAAGNTTARTTPGGNGAAHLPGHHATSLGDNSPPRAKSAPSAAKQI AGLPVRGGSTSGNGGTSSNAGVSHAKH MYCTH_2306473 MPQDPNLYGQRPPKRQKKEMAISGSVAFASQLSSLLAESSTNSA TSSSSSTAVNGRTRSSKLKTDDLSKTKVKRKEGPDGESKPSSKLTLKSPSGTEETKAE RDFIRRKMESKARLYAAMQRGDYIGREFGLVDFDRKWAETQANKDKTAGSPSSDSDSE DDEEEEEEAGNGDDVDTTLHEYTDEFGRVRYLTRSQILRLQRSTASAAELENMSARPK APETLIYGDAIQTEAFAARDGAEAMEALARKRDRSPTPPEATHYDADREIRTKGVGFY KFSKEEEKRQEEMRALEEERARTEALRREREEKARKRKQEIEERRKEILERKTKKLAD SFLEGLEKDLVGG MYCTH_2306475 MAAASARQVNIAIIGVGGVGKCFLSQLEQLAARRPNPKLVLTYI CTSKKALYSTDHVAIPINTAISTLSSSDQTPLPLPKLVDFLGTSGSKTVLVDNTSSQE VAEAYPLFLSRGISVVTPNKKAFSSSYKLWQDIFSAAEQSGAKVYHESSVGAGLPVIS TLKDLVDTGDEVTKIEGVFSGTMSFLFNSFAQTSGAGGKWSAEVKKAKQLGYTEPDPR DDLNGLDVARKLTILARLAGLPVESPTSFPVQSLIPRELESVATGDEFLEKLPAFDAQ MEETKAEAEKEGKVVRFVGSIDMATKQVKVGLEKFDLSHPIAALKGSDNIISFYTKRY GSNPLIVQGAGAGGDVTAMGVTSDLLKVLSQIA MYCTH_2306477 MGGDKIKKDRRQKREAYRAAMREEGISELPRKKFYRQRAHANPF SDHRLIYPPHPDQMDWSSLYPDYVVDEPQDQQSPAAPETPSDNNDSAPLKPKRISKDV EVADIGCGFGGLLVALAPLMPDTLILGLEIRVSVTQFVEDRIKALRAQNPDSRLYRNV GVLRANTMKFLPNFFRKGQLTKVFICFPDPHFKARKHKQRIVSTTLNSEYAYVLRPGG IVYTITDVLDLHEWMVQHFNAHPSFERVGEEEQEADPCVGIMRTETEEGKKVERHKGQ KYVALFRRLEDPAW MYCTH_2119140 MFQRSSSGTNLADIGLSSVKRASVAGYNPNTTASLKPYHTPGPS ADNDRRSSVYRARPSAVAGPMSGHQSFFQQAPQAAGVPRDPRPLKDRSYQNRIGQELI DYLAKNNFEMEMNHKLSDNFIKSPTQKDFNYMFQWLYRRIDPGYRFQKNIDQEVPPLL KQLRYPYERSITKSQIAAVGGQNWSTFLGLLHWMMQLAQMLERYALREYDDACLEAGV DDWLNMDDDAGDEDVEKALAPHVQAMARDFERANAKYIQEMEMLEGENARLLKEIEEL EKSTPDPAVLDNHFKIMEEDKAKFEEYNTLALQRSEKYEGRIQVLQEELDKLQGELKE AEDERRRLKEAVDDQGISMQDIDRMTAERERLQKSIESAEQRLEDVKRKVTEREMEAS QRLDDLERAVDRYNTVAYQIGLIPSTAVNAKGRDYELQVTVNGGSNFTSSQLQGSYSA GDGDRLLADPVTGYQPAHVLNLDLRGQVKSSFLALRKEVSERRKAAIDAMMEDHDLLD RAKEAIEDKRNEVEALEHRVRAAEEEYERTKEVTTAQKLASDAQIEKMEKELAKMRAQ LTESVQLMEQREMNTNIEYEQLTLRANALREELHTETMRMLNDVIKFKMHIQKSLEEY ETFVADELEKELGSDEMRDDTQSLDM MYCTH_2093808 MTIREDLVASAAKFLQDPSVASSPVEKKVAFLQAKNLTQDEINA ALARAGTPAPAQAYPPQPQPASAVAQPPQYYGQYPPQYPPYGWQQPVPQPLPRRDWRD WFIMATVVSGVSYGLYSLGKRYVYPLIAPPTPERLEQDKKSIDEQFEKTFALVEQLAK DTETLKAAEKERTERLDTALAELETTISELKSANKRRDDEAQRMRDDLQNLKDSIPRG LNNQKDLTDTRLREVNAELKSLKTLITQRMNPTATSSTISSYLRPQASGSATPSSPAA TPAPGENASTDAKEEPKPAGQSTPLNGARPSPFNSGIPGKASIPAWQLMASKSAEPSS KPTANGTGSEGSSGAQEEASGSA MYCTH_2306484 MMLALLPRVPLMMRVALLHMLRLTPQSKYLDLRTEVAIAILRSF TNPSSPRSITATQRMAAQAPELKGRIWVSTYACPPPPAEERGLQDAIAKAIDELRDPR VPTPTYEMPEPAHVEAEWTGYRADATPNSRLPDLPERDLYAEMMKEVKSPATVLYFHG GAYYLLDPANHRPTTKKLAKLTGGRVYSVRYRLAPQNPFPAALMDALMSYLALLYPPE GAFHEAVKPEHIVFAGDSAGGNLSLALLQLILHLHRNSHTVLWHGAARAVPLPGGVAV NSPWLDITHSSPSCTANAAFDYLPTLDQQLAAEARRPPCPAWPASPPRRHLYAPDSLL GHPLVSPVTARSWAGAPPVYVCAGWELLADEDKFAAHKMWREGVAVVWEEYEAMPHCF AIVFPYLREARRCLEGWAAFIKAVAEGGPTAAAATPESRFLTIRAMSLEEVAVEPDSL WTDGEEVVAERVWRKVRERLVPEESVAKL MYCTH_2306485 MDRHKAAVAKIAATVRSFYAAREPYRIFHGSTNSTRPRPSASQT KTVDISALRNVLSVDTARRVALVEPNVPMDRLVEATLPHGLVPPVVMEFPGITAGGGF AGTAGESSSFRHGFFDDTVRRVEMVLADGEVVEATRGGGEGEEEGGVRADLFRGAAGA VGTLGTTTLLEVQLMEARRFVRTRYRRTRSVAEAVEAVREEVRREENDYVDGILFSKD HGVVVTGQLTDEAPPAGQKVQTFSGPWDPWFYLHAKERTAPERGDEGAAPVDHVPLAE YLFRYDRGGFWVGAAAFEYFGFVPFNRFSRWFLDDFLHTRMMYRALHGSGESARFVVQ DVAMPFETTERFVDYTSSELGIWPLWLCPLKRRRPPTFHPFTTLPEGVRKEPGDDDDD DDAGDADMMLNVGVWGWGPSDPAEFVRKNRELENKVRELGGMKWLYAHTYYPEDEFWS MYGGRGWYDELRDKYNAKTLPTVWDKVHVDPDVARAKKRHWLKRLPPLGGFYGIYKSI QSKDYLLHRHAKWKWKGE MYCTH_2306486 MPREYEQYRLQLIIEYNRLLAWGKAAGLVDVPEGSTLGTTLGAD GIELVAIVARIQWLLSEFRELNARYGNELPQSSRYGGEGDDKGADEQPTDIDILKEVS SLAVSYEAKKKERRHLRGTNHIREFFEKAGRNTKDIVTHPARVRWIAIDKEAFGALLE DLHALTERLHELMRTYREKQIDDIMAKTYREMILTRNDVQDLRDMLAAVTSLISTSAV TRKNKEAHPNDRTLQGLVQLKKLSRTSETILAQLKRDTKFDIHQTRSDLGITVRQYTE SDLSEEFTWNETEVENPEFLPRPRGILVTSEGDIPVWLEWKGLGDVPPNSLKDKESAL RTVALAEMLHLPKPPSLHVPDCVGYFDDRDVSGAERYGWFFKMPEGSDYDTRVVSLYE ILGNARLKPSLSQRVAMASKLCSTVLNLHAVNWLHKGIFSDNVVFFFDGEQDEGKEGE GGVSKRATGRAGLDYEPEKPILSGFEFSRPDGTETTARDVDIVWDLYRWPGIQRQTPT ERNSKKTYDLYSLGLVLLEIAHWEKLGTLMHLGVKARGSKEGEKLPNIPLEESKAVRD WLLEVKSGAPFEAAGRPNPLKELRNIAGDRYWKVVERCLWAHGERGFGVEERADQSND SEVGVLLQEAFTKQVVEELEALQL MYCTH_2306488 MTDVASPPAEPHSLIQEPASALRSSKPKPKRAPTRVSIVAPKGS TDPVVTSPRSGSSSTPPGLNVTSTTWKKLILTIDGGGIRGYSSLIVLRALMHEIERIE QSLKPPASASTHTDRIPREQIPDEVFREGKYLPCHYFDYIAGTSVGGLIAIMLGMFGN SVDDCVNEFHRQNKAIPLTDDASVVSSIDFPLVYRRSTWPTKRTRSFFDTFARFTVTA TGRTLPGSPAAPSVSRTSSQASAASSEFRKDTYQCQTLAWCAEVETRRSRRPYAFCTY AEEEGDPEQLISIPEVAKAITTPSRYSFKPFKLGSGQFVDGSKLIRDPTLEVIKEITS LLDESEPPIDLLLSLGTDEHHAWFYEKLRSLATSRGSPASAAKDKPAIGEEEGRSYIH YHRFEVPGIRLGWRKRFFLRQIEEATEEWLSRPEQKERVAKYAQMLVERRRARAATAR WETFALGVRYVCFHEECAGEGEKSAENNRLFTGRGDFFDHLDRRHNLTKRAARGLVDV EQELDKGRRFGWC MYCTH_2306489 MFNLKTRDHLRRIETGKITSTANGNGSTSFAGFGDMDGERRPLL GHQATSTLHAEPGFWRHLLIHAESTPGIDSPNPFVRWPAQVWNVTKITLFSSWINLLL VFVPLGIVAGELKWSAPWVFTLNFFAIIPLAAVLSFATEEIAGRLGETLGGLVNATFG NAVELIVSIVALRAGEIEVVQSSMLGSILSNLLLVLGMCFFLGGVYNMRGRDGHGQEQ SFSTATAQTTCSLMTLASASMILPAALYGILSQAEERNKQASILVLSRGTSIILLFLY CLYLYFALRTHKKLFEPEANEITGDDSEEEHQPLLGPWAAALVLVVTTLIISVCADYM VDSIDALVATGKISKTFIGLILIPIVGNAAEHVTACVVAVKDKMDLAMGVAIGSSIQI ALLVTPALVMLGWAIGQPMTLHFETFETVAFALSVLVVTYTVQDGKSNYLEGAMLLGL YIIIALAFWVSPTDALGKI MYCTH_2306491 MSQSPSSTGAMGIGNVLNIKAESGGQQASQQGATSELQQQRPPQ QLQQLQVDRQGSPQGSEQPRYSGPMSASYPSPTAMAPAPLPPVPNANMAPAPMVPHEM QQNIGIVHPAYQQTQQPPQAPAHQPPAKQFPCSTCGKPFARRSDLARHERIHSGIRPH VCDYPGCGKQFIQRSALTVHSRVHTGEKPHRCERCGKPFSDSSSLARHRRIHSGKRPY KCPYADCQKTFTRRTTLTRHQNHHVGTVEDSARARAEALAQGANAAAAAAAAAAAARN KSQSASEQASNHESPITTPSPGQRPISMSPGAELAGMNNMQYLSNSIPAHLRGDVHVG SPSPTASTGYNNGMRPTSHPTGYAPPQTLEPSIEQPQQGPGSAVGSPHIGSVGWASPG PVGSPTQSPNGNGYVYPDPESYPSGTPIAQMFYNNAVATRRPESAEPANPSFDAKGRQ GELWTNAQ MYCTH_2111103 MFPRSFQKAISGPPAQQRRTRISVLLVGSKHEVPSCCMNVHTGR RGPIPKLLCVKWFMYGVLGKDVQRVLRAASVSRSAPTPLPPSLMVPSDRGLATSSIVI WQVPVSLVARKSPEQSSRVPAHIHPCGTQGGSGLAEKARAQHWPISAIGSALSNVE MYCTH_2306494 MARSGRVPKQSRQRLMSFVKYISKKPQKLQNRQQSKNCRSTGRG GGVAAVITPLPTNLDTKPSGSTVLFWLSRFFGSTTTELEARDARECSPSPLHQPQLRV EPRRTDDPPGPLPFGLAFPHGDLPMAKVLHATGASPSPRASNNGEVPRMLRLCRSEPL PPSSTSHA MYCTH_2035523 MARFTIEIEVYSDLICAWCYIGKRALDKAIHLYKTEHPDVEFRL TWKPYMLWPNAGVSAYRKWAILEHIFGPRALSIRSRIDQLGERYGISFRWEGRSGNTR DAHKLILLAMERDAAAA MYCTH_2306496 MSNSFDRQQASFLSSMSAAASKLGSGAGTKRSLAPPSPSPSVGS TTSAPAPGSLTPRREREAPGNVVYSQPALTGTGDSIISQMAYAVSWLRTKDEPQTCAD VLGYLSATSRPEKEQEFFVEQMRRHPQIQWIPDPSLSEQTWRSGTYVHRPIIPNVKSK TQLLAYLQKKTDASGVSVKDLKDGWPDCEAAINELEAEHKLLVVRAKKDGAARMVWLD DPSLFHEVDPQLKLLWSRVELPSVENIVQKLLAAQQKPASEDPRLKAAQAPKAEKKKK KTQRRTGKSTNVHMEHLLKDYSHMKR MYCTH_2081200 MASTKELTYQDVAEHNTKKDLYVVIHDEIYDCSKFVDEHPGGEE VLLDVAGQDATEAFEDVGHSDEARETLKQLKVGTLKRGPGDPKPKTPAPGAVAPAANN TTAGFGVGLYAIILIGGLIGYAAYTYLQQQQAAAQA MYCTH_2063277 MSSHKAIVSSFVEGAPPGEARNIKALTISSPGLVNELGPAFQKY NEEQFTTVKLPGGSQPVIISSHSALGNGRYYDLESSCSFAFDHITQKASAVESYVPEG DGVDLAKSILKGLSTYVNEHFTNAAYGAYPIENGSKIAIIIVANKYSPNNFWNGRWRS LYIFNPASSALEGSIKVDVHYYEDGNVRLLTNKSATATVPSGTGAAIAKEISAGERKY QEELNRSFTSLSEGAFKALRRQLPVTRQKIEWDKVASYRLGQDIGGGSSRR MYCTH_2306503 MKHSSFRTLSRALARGSPLSPSAPALVCSQRRRQYSTHPPNARL NLPIDYSTTPLLSHTSQSALSNPELPPEVRNGTTKRLNLFQAINDALSIALAEDESVM IFGEDVAFGGVFRCTGKLAETYGADRVFNTPLTEQGIVGFAIGVAAEGMRPVAEIQFA DYVYPAFDQLVNEAAKFRYRDGACGRSVGGLTVRMPCGGVGHGALYHSQSPESLFTHI PGLRVIMPRSPLQAKGLLLSAIRSNDPCVFMEPKILYRAAVEQVPTAAYTLPLSKAEV LKEGSDVTIVSYGQPLYKCEAALKQAERDLGISVELIDLRTIYPWDKETVFKSVRKTG RCLVVHEAMINAGVGAEVAAAIQEDPDTFIRLEAPVARVAGFSIHTPLMYEAFNAPDV ARIYDNIKKVLEY MYCTH_82558 MARDPPSATRRSRSRHPDPDPDPDRDGYREQRRHRRHSSSAADG SQHQRRTKRRPTSTPRAGVAGVAAEYHASEEEGGSSPSSQVLSAAALARLNRENAAAA RGGGGGRRGERERARGRERQGIAREAEAERERERELRRERRREREREQERERARRRER SRGYEIVEVSPTRGNWKSGDREAGGTRRRVVSGPALEEGRSGGGGGGSSGAGAGGKAW GGLRGGWRGSQDSVTLEKEALWKGKKVPWYQQKKKLWILIGVCSVLLIIIIIVAAVVV PKAGSGEEKRDDESSESSDGNLAGISPDSIPEGAPSWLNPFVWQDTTDFNLTYTDEMV GDLPVMGLNTDWDDSARANENVPALDEPWGDYAKRPARGVNIGGWLSLEPFITPSLFE YDLRMGIVDEYTLCKYLGRRCESVLEKHYATFVTEDTFREIRDAGLDHVRIPFSYWAV QTYEGDPYLFRTSWRYLLRAIEWCRRYGLRVNLDLHGLPGSQNGWNHSGRLGAIGWLN GTNGDVNARRSLEIHDRLSKFFAQPRYRNIISHYGLANEPRMTFLDTGKVLQWTADAY ALVRRNGVSDAVVVFGDGFRGLGNWQGELTGLDRAALDVHQYVIFNTNQIVFNHSEKV RYACEGWTEQTLESMDRATGFGPTLIAEWSQADTDCARHLTNVGWGNRWTGTYVTPDG DGDVTTPRCPTMDSSCSCDQANSPASQWSDPYKRFLKLFAEAQMSSFEKGWGWFYWVW DTEDAPQWSYKKGLAAGVLPAKAYERDFDCDLSKIPSFSDLPEYY MYCTH_2306507 MDEKEESEARSGRDPSRSDAEVGEEEGTETATESQTVVTAATPP SIDDTEKGLLSSTTSSSHPNPHEPIRTAVPAAATTPTTEAAAAAPAPAARPKSPPSPA AGRRLSDETVATLRRERSHNGWGCDGDVEAGGATATESDGGEKGLPQTQTQTQKQQQQ QQQGEGEGSEQEEKDPFEVGWDGGDSDPMCPRSFATWRKWLIIIITSVGSFCVTNASA SYTATYAQMDAEFGNSRLVATLGLSFFVLGIALGPFWSPLAEFYGRRPIYLCSFAGFI IWLIPSAVAKNIETMIVARFFQGLAGSAFLSVSGGTVGDLFTRDTMLAPMSVFALAPF VGPSLGPLVGGLINMYTNWRWTHYVLLIWAGVLLLTIALFVPETYHPVLLKRKAEELR KSTGDQRWRAPIEKMTRSVSRTVAYSLLRPFQILFFEPMALILNIYTAILLGMLYLFF GAFPLIFRTNHGFNLWQVGLTFMGLLVAMITACLSTPFWSRLRQNMVAKRHKETGVLK TEPEDQLPPVIVGAPLITGGLFWFGFTTYPEVHWIVPIIGSGVFGLG MYCTH_2306512 MAEDNGNTVREASGAMSESENEYEETEQLVKDEDEKMSDQRLAS EGADTSGEAKKKFDPKDPLRPRRKKARRACFACQRAHLTCGDERPCQRCIKRGLMDSC QDGVRKKAKYLHDAPPEALRPVLGPNYNPNPTPSRQNGHRNSTVSNSETSSNQGTFFS QSTATQFPMFSASQTPIGSMSESLPFASQQSPVSPSFQASGNQQISGMTVPQVSSPMA NFGALPFDPSDPNIFNFNLEGLNFGSQYGAMEFGMLGHMSSGAAETPPQDNNTMPRSA SGSLSFGPGVFGNGVNQVYEGSVLEGFLGLDANHNGVYSQGNLQHGLPHAYAIAAGPT SVQSPSTDTNSPQPTPLGFEGSPTTTTYSSAPANKSANQRSATRQSNALSKLGQQSIL GKRQRDPSFIYDSVKEPFGYVASFHKLFGLIQSRFSAAHTSRIAKSLASIRPSLMAST RNLTRQDLVFMEKYFQRSLFEYEEFMHQCSSPTLACRRTGEVAGVNKEFTALTGWTKD VLLGKEPNRNVNLGGSAAASSNAKGRVGLATPRLKSLNTESLGSADGPQPVFLAELMD HDSVVEFYEDYSQLAFNDSRGHKTRKCRLLKYRPPEKEDGATEGNADEPTPQKDPRSS ILSNRVAKIDGEHGISRLERDGKLECSYTWTIKRDMFDMPMLFVINFLPCYYRSNNQL AV MYCTH_53055 MAAPTPNRPAVVGDPSGPEAPYPLQMSGLVISGFGRGSKELGIP TANLPVDDTKTPWISSTPSGVYFGWASLRLPPSHPDYDQQQQQQQEEEEEEEGLNGSV TGKKGPRLRGRNGFTVYPMVMSIGYNPFYKNTVRSAEVHVLHGFSADFYGVEMRLLIT GFIRDEKDYGGLDALIADINFDCDVAKRSLAREAWAPSGLDVEVDLPGNGAGDGAGEG VGGAKVLRGTLDCDWLIRPSELVEGKGE MYCTH_2306514 MTSTLLFGGTGAVGSHILSTLLGDSAVTAVHTISRRAPKSTGPT LQATVEADTTQWAARLKAIQPVPSTVFSSLGTTRAQAGGIANQWKIDHDLNVELARAA KEAGVRRFVFVSSAGTGGLVARMLPYSKMKRGVESTIRELGFETAIILRPGLIIADRE VPHQGGPLLVGAARALGRWFGPSKGYDWWAQESEVIARAAVHAAKIAEEGKAPEKVWV LEQSDIVRLGRTEWKN MYCTH_2306516 MLDEFEVNVDDDSAFEVAEQVVRLRGECLRGKFDGVEALRRRWE SRKGNKVVFKKAEDQDDETDWDSDDDGADGDEDEDVEMDDAPPVAAPPRRERQEPEVD EDGFTKVTRKKR MYCTH_2306518 MDIAYDHIQESNFPEEHSDSPSADKKPAAQTSLNADLQDAYRAF SSSPWGARIGGFLGTVVKQGESVYRGAQQELSAVGQDATRGLTDLRQSIINHTRNLSL NTSPFAAGSSADQASRETGDNNETTPTTASRNISSEDALKESETVLSRLRGEAAKRLK DLQRAEDAADEALLRFGSNLRDFFRDAITIAPPAGDEADNQGSTVLFESKDAHGKRVI HTSRFDAQLHVIHTSPERFTKDGTGAEFEAWAKDFDVDKKTADISSDLEKYLELRATM EKLVPDKVPYADFWRRYYFFRHGIETAEARRKDLLKAAAAEEEVGWDEDSDDETEDGS SDASAAPNRPRSTGSSGTIQPPAPAPDQGLLKPSEPRKSNDEKSQAGSDASYDVVGAT SGVPSQAPSSPKEARKVDDDSDEEDWE MYCTH_2306522 MTSSQNPLNGLVLTPQQQSLLFAALNSKRPSNGLSNNNFNNMPL FDGSPLQGDGLSSFQTSPDLDYDYDFPGPDATLDFSFDDTNQPKMIGDLPGTKRALNS DSGDAESPEKRGHPDDGENPGAKRRESEEKVAKKPGRKPLTTEPNSKRKAQNRAAQRA FRERKEKHLKDLENKVQELEKLSEAANNENEALRAKVEKLTVELNEYKKRLSALTGGR PVQQGVAAHFGNLLLNNLNDVSFQFEFPKFGSLPGPPANSAKKPSATPSQPPKQNSAD HQSPSVNSQDGVSPGNSSSYSQGGLDSQTKQDLANTSSGSFNPPTSNDKRTNGSSTST DSHYNTGGATTTSSPSAFSNSNAGVPSSSCGTSPEPSTQSPAASKPVDTLSTIGEEQP SLNNQSQDLGHFANAGTDDFSHWLPQTDFQFDPQLFGGYRDPQETVLSQTFDDSFFND ALDMDFFTPYNLPIPSPVPPKKDLISQIDAAKENDEPAGKAGQLLKCNEVWEKIQNCT EIQGSDFDLDGLCADLQKKAKCDGCGPVVSQEDFQETLNKYFGKDKDELSKTIQEHVR VEKKQP MYCTH_2306523 MHPCSIQPKASKTKEVGGNAAHCDPVPSQKSPNRAISSQRTPPF PLPIHSTCASLSTPNSSAAQARP MYCTH_2063736 MGNSQGKPVELDGEVNLNHFRLLRVVGRGAFGKVRIVERKDTNL SFALKYIRKDEVVRSESVRNIIRERRMLEHVNHPFICNLRYSFQDIEYMYLVVDLMSG GDLRFHISRKTFTEEAVRFWIAELGCALRYIHGQGIIHRDVKPDNVLLDADGHVHLTD FNVASDIVQGKVLTSKSGTLAYLAPEVYAGNGYDVRADWWSLGVLFYECIYNKRPFEG NSEASLSAVIQAAKPRFPVTNPPVSLPCMYAIKSALEADPNKRLGSTWESFTQHEFFS CIDFEALERKEIEPVFVPSSEKTNFDATYDLEELLLEEAPLEARARRQKPREKLKEDA TDKEIREDELYRMIETDFRPFDYTVAAYKKYALAGGQNSAAHGANLQPQALTTDEATP SPATNGATPNQSLNKQQQQRQSSGNYQQQQQQQQQQQQQQQQQQRRAGAPSRPPPLAP YPQSYSPNAGSSNRGNRGGMIVGSPTGGVQVTLDGGSSWSDLARQDATLPADASGANN ALGGKPESSGGGVFGFLRGKKGRGNSPKPKERGVLGKEGARVILG MYCTH_17585 LSYKDDQDRRSLKPRSSEGTKSGSDDQVAENTEAAFNPNMTSPE EAKESAAKHSNGNPLEASGANQEFSKPRAPEE MYCTH_2306528 MATQYEVEHNIKPAATAPRRRRQIDMSTFNAHLHNLLPDSSSSA SQTAQAGDRQEQQHPRHHNPHAVPNPSDTSALFRLIQDQMGTLATTAPTESNRAFLQS LVEALELDVAHPPRQIEGVSQEFLDGLDRVPRRALRDDDVCPICAERYLDDPYCLVVE LPCHASHRFDLECVGPWLRGKGTCPMCRKELGKRKEVVVAPKRDGEGGEEDEDDEDDD MNGLYA MYCTH_2306532 MHTASVGLAVTPTIISTFLSHYLHRKPLAHKPAAHLSYDEGLHL IRSFLVHASRHTVEELQAFTSQWVPHPRWVRVDHVTIPEQDLARAAQLLVEQLGPEGI RQVGGREWWQWRKPKSPLKAEWIEMKADYQKRKKNADPGNRVMLYIHGGAYYFGSVDE HRYQIQRHARKLKARALAPRYRLAPQFPFPCGLQDCLATYLYLISQQRPNTIILAGDS AGAGMVLSLMVILRDRGIPLPAGAVLISPWVDLTHSFPSVAGDCPLDYLPPSGFHHKP SLAWPPPDEEELEALKKAASVQKKSIVAQEGRHAEESGMPTVQDVAKTTHRMTFVIDG EQVEIKEQIQMYTTNELLAHPLVSPVMQPTLGGLPPLLIMVGGGELLRDEQIYLAHKC ANPAQYLPPEALMNEEAKALVDRYKPTDVQLQVWDDLCHVAPTLSFTRPAKYMYRSVA QFSAWALARAQNTEVDILCDDEISVISSSSSSSSSSSGSQEKKVSKRAPQNSSKEKAD YHASIGKAGDPLPAFENHMIRQRVTHHGAILPLPEPAELPGCRMARDLVGVVKVGTVR KWLEHKRRWDARFQHTKARVHRRRLRDVERGGYEVFGEGEVPPPSALAGRRKVGEALK QDREAKRKRARNFGMSLWALWGSKHDEATMHREALAAKAPEVGVAVPGQGEGARTFSD LEKQEEELAREDGEAPPSQTGRVPSWRELVGEKQVESAGGAPASSAEGGLDLRPAGPV GDGDAGEEGGAAAGAAPSESARLLSQDDAALHTGVTGKRVMIGGLATPFSLRREPETA SMVTLATPMDQRSTRLSTADSSSFVAAPSVKTTEGQEENAEDSGVALHEGSGVDPGET PGSATPFATPFLSPTTPGERPGLERFVTAEEDVAKANS MYCTH_88392 MQPTRVLQALRYRRLRLTTKDVNKGYYKGTGTGSMGRHTKRGGY IIEWNKVRTYVCPPLDGFKLTPFVARTIAPTHGVYEGVPLGPKDPAMYLSRWKAENGL D MYCTH_2306539 MPGRQAHGRPLLPTSGGGSGSGSGSKFGKLHARTVKKSRAKATA RALDAFATAAEQIPESTGGVRTRGLEDGPHPAAQKKRQRRDEDGDDGDGLGFDDGDDG YGDGDGVHGDGAGSGHPKKRARRDADEDGFDGFSDGEGGGGGSDSEEWHVGVAGEDDD SELDSDEAFGESDEEHFQGFAFGGSRSKGKQAKKKRSRDGDEDDEQDEGEAEEDSESL GSDAIDLATALDQFSEDEEEGGEEEEEEGSEESGSEDDDESTDADDESEEDEDLDDPS KMDALQSMIAGFAGEDEEDEKPAATQNKTKLSLKDLGLAGVKDPHMKRSLRLMNKEEK AVKPGSSKKLDVPLAKRQQDRLLRSAAYQKTNETLDRWIETVKHNRRADHLVFPLAQN AHDRGLDSGELMPINQKTSGTELEQTILTIMEESGLGPTAKPEKKEGDEAKKAELSKE EQREIARQRRRERELHSREVARAKRIKKIKSKTWRRIHRKELAREQEAEFQEKLAAGE LDSEDEREALDRRRALERVGTRYKESKWAKLGKKAGRAVWDENFRAGLTEMARRKEDL RRRIEGRGGDGSDDDDDDGSDVSDGSAEGDPRRRLLAELDRAAAYDDDDEPQSKLFQM KFMQRGEELRKKENDEAVAALRRELESDGAAASEEEEVEIGRRQFGMGDAAAAARAAS QPKKAKATELRGPTKPSAAAAEPSSQSHSEPQTSAPGAAGAWSAESATSAAPAAVPSA SSAAGVWSQAEPSGGKSKKGVKAKVEDLDVSSAAIASIKTSKPRPKPQPREAAQNGVV VPADGEQDSDDEAALHLPLAIRDQKLIERAFAGEDVHGQFEEEKAEIEREDDEKEIDN TLPGWGSWVGEGVSNREKKKHQGRFVTKVEGVKKKDRKDFRLKDVIISEKRIRKNDKY LASQLPHPFETQQQYERSLRLPVGPEWSTKETFQDATKPRVIIKQGIIAPMSKPMY MYCTH_2306540 MPSTAADQIPASQFDSVPDTVEAFRNGEFVVVLDDPSRENEADL IVAAESVTTEQMAFMVRHSSGLICAPLLPHRAAALELPQMVARNQDPRATAYTVSVDA AHPSVTTGISAHDRALACRVLADDAAGPDSLRRPGHVFPLQAKPGGVRQRRGHTEAAV DLCRLAGKKPVAVICELVDDGAEVPGRAIRQDPGMLRGDACVAFARKWGLKVCTIADM VDYLEKVEGKIEVNGSS MYCTH_2306542 MRPSLVRHVPSALRTSRRAASRAPAAAIRFQQPWLQFFPSRALS TTSPARHDPHLSSASSFSSAASSSSVNETEISHFSALASSWWDPHGPSRPLHLMNPLR HEFIQSCLASSSSPSTSSSTQTSDDGSSSSSSSSSNRDRGASGLRYLDVGCGGGIFAE SAARLPSTASVTAIDPSPTILAVARAHAKRDPALAGGGGGGKLTYLSETVESLALPAD PAARFDVVSVFEVVEHVDYPAAFLDRVGEFVRPGGWLVLSTIARTWTSWLTTNLVAED ILRVVPRGTHDWHKYINEDELRAYFAAKAGVWGVPRVMGVVYVPGLGWKEVKGSEKIG NYFFGVQKVG MYCTH_2306544 MTQAVLGVLPILYNTVATLVALSRVRRMLRHSKLTALTRSDVVN RVIEVELPRYAVRPMDRFADREQYWSLSRHPSNIPGGSWTTFNWRMNVIGLSTQRIEY ADQLRQPQVEVAFDHLVCYLLDLGAHPDPHGWRLLRSSGLWTPVGCTLMRAPDGLNSA LTIAPLDGSDGHLSLAVTWAGPWTTRDHSHLPPYWVRLNPAPSRQPGLELAATDGGEQ HEEDYSKSHADGEGPSEPLQNSESSSTRKPSPGLPCAEKTTTTTTTTSHSSSHSHSLS LSRHPITVQISADGIVTAISNSQPPAAPSPHAAAHGSANEGGNGNDNDNGSLYIDHLR VRPSSSAGAWFASAATAYGTSSQTILWNYKIPDDILAFARRETVPCGVLVLLGVVDEA ATPQWATAYPDDHGRQLDAFMRRTMAQREALAAEARLPPAQRAIAERERIAREGSERM REMREKLRIQAERQETRLMEALQSPKWDTKLVAEHNLAWLVSKGEVDERVQGVKEAVG NVLHRMVLDGEFTSRLCRMLDLWKAWAENGGMRKSDLAALQEDQVTFAYATLLVAIIK DTSTALEGTVSMDLQECLRLWRTVRLG MYCTH_2111125 MAPKDSKAGELERKASTRRSARVSLDDGNDGDDLALESTIADGF RPTHASGSGTPSVTSGTSARSAPSTPPLTSASSTTLVSREDPASPATSGGSSSTGKPQ APQFSLLVQGEANSLNRQITNSTGSSVYIPHDGPYRGPSAASHPYHLYTQSVRPARTL SMGTSSTVPLSESSYRGPTGPSHPYGLYPQVDSIEADAAQAAIPLGFRGLPDQYQRRV GPEGEEIGDIIGPDGHTEQLPPYTRYPDEAYVRKAAAVEGCPGLVHGAATALPPILTS SASTIPPLDGAGGIGLATRNPEFESTDDPGSPGSRHSTRSFRSDDSRRGIRMDDEGLS EKREPPKKWQAWMRRKACGIIPYWAICLTAIILLVMLVALGVVVGTVLSNNNKQKPPP PWEQGDWKPPSDVTPIPTPTDLQPLATGTFGLPLLTNRVSNTCLKNPTLSMAWNCHIV LSGMTLNVTKENKDYRASLDCNHALTSKGNTYAYGEQPPLLEKPVKLKLVQDNFEAGR GPAWYKRVKYTKTVILPEGWLESDQDPIALKRAPYTATIGASMPDFKRKGIAQPGDKP WICTWPDTWLELFIYAQQNSSFANWPPEPPPPSSTAGNSSSTPSTPPPSSSPSTSTDG PDSSSEKGSHRFQDGHKTDDADSWDMSYHSLEIHARSEGAGPTTPPPDTASTTVEATS TWNTATASDPFGPIDTGSDFPHIAQPYPRVVKLEERRMWTKDAPRAQCTQVQIMGPGQ EAQPLQRPDGKLVVMDIEEVGPFGGGSPEGEFPDKKMTDGVWERSDWEEDEDDWEQAV GDGEEFGIDGLPDISPCGCMWFLT MYCTH_2306548 MSGRPSSSSSSRSSSGSHASGDYEELRLPNSKAIKALIYFCGRD PRKVKSVRRYYDDDFDDRSSGSGSGGSMFSRSSGTSHVYLVESTHPYWYQDGHPDAAS YSFSARKRKLSKKGRHADVFPRSPRTSTWNRHARVDDDADYDDDDDDSSSEGSAEGYG GQYAGPYPPHPGNTPSQGVPPPPPHPHPPPHHHPGFQGPPPGAFQPVYSYPPRTTPQP TPVASYPVPPPPPPAAAGGMPPPPPGGHFVPGRGGVQVFVDG MYCTH_2306552 MDALPFSAEGGEANVTRFSQQQLLDFFFPGFSGVSWTGFYMPSC REQSCFQGPQGGLNSTPRDRVLI MYCTH_2306553 MTASEREEISISCFGRNPWILKELLHEARDAYLKKDEAKTAIYR GTTRSGTAEPHWQRCMSRTVRPFSTVILNDEAKKTLIDDVTDYLNPATRRWYANRGIP YRRGYLLHGPPGTGKSSLSLALAGFFKMRIYIVSLSSVTANEENLATLFAELPRRCVV LLEDIDTAGLTHTREGGAQDSVADGADNGADASTNTAVPNGHPQPPNQNANGRLSLSG LLNILDGVASQEGRVLIMTTNHIEKLDKALIRPGRVDMIVKFDRADTSMTAQIFRAIY APLEADEMPSSLSSSSTTTTTAAAAAAATTSSVSPGLWWLERGTKSTVPLLTPEEEQR RDAAAAEVARKKDETIARVNELAEQFAAKIPAHEFSPAEIQGYLLKNKRDPEKAVAGA EDWVVATRKEKKEKELKEAEEKRAKEEKEKKEREEKEEKEKEKKKKKRKDKGRKKSSK KTKKSKKETESESESSTLESSSSAAESDASESEREEKERSKKKRKPAASAPTPASPAE GGASDASAPVELRREEEEAGSEAKAQPGDVIPTIAVDTVKSKEAAERPPQTPGADSGY GTPS MYCTH_111934 MASRPQNIGIKAIEIYFPSQYVEQSELEKFDGVSAGKYTIGLGQ TKMSFCDDREDIYSMSLTVLSKLLKNYNVDVNSIGRLEVGTETILDKSKSVKSVLMQL FGSNTSVEGVDTVNACYGGTNAVFNTINWIESSAWDGRDAIVVTGDIALYAKGNARPT GGAGAVALLIGPDAPLVFEPGLRGSYMEHAYDFYKPDLTSEYPYVDGHFSVTCYTKAL DAAYRAYCKREAQIANGVNGDVNGHANGNGTAELAVPKTPLDRFDYVAFHSPTCKLVQ KSYARLLYHDYLADPENKAFAEVPPEVRDMDYTKSLTDKVVEKTFMALTKKRFQERVN PSIQVATNCGNMYCASLWGGLASLVSHVDDAALQGKRIAMFSYGSGLAASFFSLRVKG STEKIAQVLDIPNRLAARRQVPPETYDAMCDLRKKAHLQKDYTPEGDVSTIAPGTYYL TKVDDMFRRSYEIKQ MYCTH_2306562 MADDNERPGLLSSCSIAFVQSKSLSSTLISQLSQVVKDHGAEVL EPGRKGEIRVPQATHIISNTIDFDQYAEAQALMIPVVKSDWIKATIARNKLAQVRPFS PDPRMIFSNVVLTCADIPPTDKEAIAGAIMALGGMESKDLSRQTTHLCALSLDHPKCI EAKKKNPKCKIVLPHWFDDCFRLGKRIDEGPYLLPNPEILTKAPEDAVKVPASQQLDG AVSTVPTGPYETSGSEKLVVFAQKKVMLSEDLPINAMLRKTITDKIIKGDGEIVDVVE DCDMFVCQYRDGEQYIRASQLGKDVGNLAWLYHMMVYNEWTSPFRRLLHYPVPRDGIP GFKDMRITISNYGGEARIYLENLITAAGATYTRTMKAENTHLITARMHSEKCEAAKDW NIEIVNHFWIEESYAACQVLPLNNPKYRHFPPRTNLGEVIGQTSFDEPTLREKYYPGG EERMTEAAKRKRKINERAQKNALNVGLDRDFSVMQDSSPGAQGNSAGKAAATPALGTF ATPAKTRHIRSGKENDTPSVISSASRSAKAQALSKLQDLAPDIALYEKEKKRVAKDGH GIWGGKRAADQLDKQRADRGSSPASKAADDAEDEPENKRPAKRAKVSLPEVDMRICLT GYKRWVENKGAEEADRRKLRSLGIQIVPENAPCNYLAAPGMVRTMKFLRCLAKGPDVI NSSFITACIETGKRPPIKDHLLVDKANEARFGVTIDKAVRRARANRGRLLWGVPIYCT ADIPNGVDAYKNIAEANGAIFKVYRARSGSTIRPTTEEEDGGAEPDPVYLLTGSSPAE RQLWPRFQEMAHRGHMEPRIVMADWLLDVAMRQELSFDPKYLMTNRVWE MYCTH_2063758 MSAPPPRSTAEDPNATSSSSSFTFPPEYSFPPFFTRQTNLTTHH AQLTKWASLVLAYCRHHRIFKLSLSGDPTTTTTTSSSSSETTGGDGGEAAGPDTTELF YNRRINRRLSLADIREVIDFLRRDGRAEYVTTAKGGSAEGGGSGGGGDVAWIYWRTPE EWASLVEGWVDATGQRGSVLTVYELVEGEGTRGSEFHGLDQELLLKALNVLVKRGKAQ IFGHEDSLGVKFF MYCTH_2306564 MALRGRDIALAGVACFIAWGYAVNWFPALRWAGHAFVGGVLLAV AGLLALVLLTSRGPRHRQLRQSHRPRAAAFLAPDAWKTEVAALRRRQAYTKTPLCPEY PKLSAALDEVLECIIRDFIRSWYSHISKNPVFTDEVDKAIRCALLRVRDRLQRLDLAE VLTTRFVPILTAHFRDFYDAERSVRGRKLNRSVTETEELDLAIASKYREGKLHPAASL AFSDTKTIQQDYLRQTMSKILPVVLPENMLASRAVSILIREITSCAVMFPVMQMLSDP DTWNQLMENYGRATLQDRSTVRRLRAALDEHASPAPKAGKPVAFPRLMPGDSERRFEK FIRVIRKVNNLSDARRFRSEVASQLKRDSQQENADHVYLRRLELGKRMLDQRVQHLAA GGGRRPPLQQPAELAVPSESRLQNAPLVEVLRDPSTLSYFMEYMDRQRLMPLVQFWLV VDGFRNPLEDDGPEGEELPLQLPPWTESDRLDLAQIDAAYLSRPELNVPASSKLVIQE FLRAGKRATPEQYYRARRAILRAQSAVLEEMRAKHFQNFKQSDLFFKALAAEEASKRT TLANARPRSGSSLPRSTTYGPSSRPTPVARLGSRLQAGPNNRRAGSTSDLKALNTNGG NDFDPRRPAGEPHSPPPLPDADEEVGDDTLADSMASLDQDVSAAQPMLDTKVVQAMEQ ALNHILEESKPSTAEDLRASLFGDDDAGSSIFSPLSDAPKQRASPAPASRSNRGSVDL TRSSRSSLDVPRPAEQPRSSRGSFDARRSGELPRSGRASLDAERPAKGQEKPSLASLG LVSAASRIGVFEDDDLFEDENRYLSDEPSDLDDDADADDADAVHEAAPGDLGLAEAIT ALTNDIDKLIAQEAVVDSLLKKAELTNNTAELRVLRKSKASLQREIRRKELQRQQYVI QESDNSLYGRSTIKIKSIQLGREDDGKEVAFYVIEVSRNAGERMPAATWVVTRRYSEF LELHQKLRSRYPSVRNLDFPRRRVVMKLQNEFLQKRRVALEKYLSELLLLPEVCRSRE LRAFLSQSVIHPAQGGASDQNDAANSSSSSKKDMITRIYDSVADGMEDILGSIPVLDQ LSLAGQSLIAAAAGQLGNVPLAALAEGDAAAASAEGGVMMNAAEAEAELNAFEESKGQ GEAEPFVKPICDIFLEIFELNRGNNWLRGRAVVVVLHQLLGGTIERKLRENVRLLLVR EDALLRYVGLLRDALWPGGGPNDDGSARTNKAPRTRTEAEKARTRSEAGLMLATLVPD LVGSVVGRVNAQAASRRVFAAFNNARLNAHLAFTLLDEIVDVLFGE MYCTH_2306567 MTEVSSTRLYLGNLPRHATKADVEAHFATHGTGEITEIKLMNGF GFIEYKDAMDARDVVPAFHGSDFMGERLTVQFARGSRHREHGHDRNSAPRPRRTPHRM QITGLPNDTSWQDLKDFARQSGADVVYSETNRNGGTEGFVEFETAADLRTAVEKLDGR EFKNVRVTCIAATQPDYPRGDRGRSRSPRRYLPPPPVDDYDRRGPPRGYSPRRDGYRE PTYRYRSPPRRGPPIDDYPRGRYDDPYRGGPRDYPPPDPYMNGRGYHDRRGPPPPDFP PRDPYARDPYPPPRDYERRY MYCTH_2081249 MAEPTGLVAKSGIELLTFGTPNGFKASILLEELKEAYGKEYTYQ SINISKNTQKEPWYTALNPNGRIPTIIDHDRNGFAVFEGLAILTYLTRHYDPEYKFSF PVDSDDYSVAEQWISWQHGGIGPMQGQAGHFLRAAPEKIAWGITRYVGETERLYGILN ARLADRDYIVGPGRGKYSIADISLIGWVNASLYAGIDLASQFPNVRAWLDRLLARPAV QKGLTVPSGQPSRFSVPTAERALKGEPGLEDVKDSLEATKKLVADAKEKYNYKLSERK LDKNDEPVAAAPEPETETEPKTESKPSQPEKQAAETEPSFAELGLDPRLVQAIAKQNF EKPTLVQRKAIPLALKGQDVLCKAKTGSGKTAAYVLPVLSTILKRKAVDPTPFTAALI LVPTRELADQVFKAIEQFSAFCAKDIHAARLTENVSDAVQRSLLANVPDVVVSTPARA WHSVSSSALSLAKLQHLVLDEADLVLSYGYDEDMENISRSLPKGVQTIMMSATLSAEL DALKGIFCRNPTVLDLHEEFGAEDEKLTQFYVKCSEEDKWLISYLIFKLQLIKGPCLI FVADIDRSYRLKLFFEQFSIRSCVLNSELPINTRIKIIEEFNRGIYDIIIASDEKSEL FGDEAADAEEEKKKKKQKQKSNSNDEAEKKDEGAEQPKKKRRYKKDEEYGVSRGRTAR AGRAGIALSFVVPKELHGKHKPTTIKSTEKDEKVLAKVMRQQAKLNRKLEPYNFNKSQ MEAFRYRMNDALRAVTKVAIREARTKELRQELLRSEALKRYFEENPTELAHLRHDGEL GHATRQQPHLKHVPDYLLPKEGKKALASEQIGFVPLKKLDGKDRRHRKGKPKGRSFKV GGKKDPLKTFKVRRKAR MYCTH_2139585 MRGLSVAGASAAASPLLLGLAAAAPHKQRAPQYVVNKQRADEVK EAFQVSWDGYYKYAFPHDSLRPVSNTFADDRNGWGASAVDALSTALVMENWDVVNQIL EYIPTINFDNTTTEVSLFETTIRYLGGLVSAYDIITNSLSLGIQKQPDVEAILTQAKR LADNLKVAFDTPSGVPDNSLYFNPPRKGGSTTNGLATTGTLILEWTRLSDLTGDSEYA ELTQKAESYLLHPEPALGEPFPGLLGTNIRIEDGKFEDGNGSWGGGTDSFYEYLIKMY IYDPERFAEYRDRWIAAADSSIKYLVSHPTTRPELTFLALWRGKELRFSSQHLACFHG GNFILGGLTLGEQAYTDLGLALVEGCHATYVGTATGIGPEVFAWQDSQLPLNASNNQP PPEDQTAFYEKSGFWITNGHYVLRPEVIESYYYAYRATGDTKYQDWAWDAFQRINKTC RVGSGFSSIKDVNAPDGGGFDDFQESFWFAEVLKYSYLIHAEDAPWQVKADNTNEYVW NTEAHPIRVAGGK MYCTH_2306581 MDDDEYGDAYDVLVALATQAGPSRAPQQPQPQAAPRIQQPTPQR LDRAPPANRTSSGSGPPKVVQPAPQALPARGSGSTILVSPRQKGNPVLACLKSVPWEY SDIPADYGLGLTTCALFLSLKYHRLHPEYIYTRIRNLRGKYNLRILLTLVDIPNHEDA LRELSKTSLVNDVTVILAWSAAEAARYLELYKSYEHAGFAAIRGQQATSYAERLVEFV TVPRNVNKADAVALVSAFGSLRHAVNADPEQIGVVGGWGEKKVRAWCRAVREPFRVQK AGRRRGGAGVGTGVGARAASGKGALGEGSTGRKEEDEIEAGTEAAVAESRVDAEAASR NGRPDPTVPVRTPSVTATSSSAAAPARKDDELSDGVAAALAKLREKG MYCTH_2127928 MKRYATNHGLSVSPPKDVRRPTHFLSRTSSVDLIAEQYRAVLES RHASVHSGGEEEKEEEGWEPALSSGGDNDDSTGSRCFLSHEVTFEDPSRMVAEFPDPS PASEDGTLVSFKGDTVYFKPVSFSPVPSPVPAPAPNSNYDREPEAEAEIELEPELEPE WPHAAADAAAAHPLSRQKSPENNVSLQICLDLLTRELSSAMPAAGHCTGGRSSAPDAG MSALQVWVMIEAYERLRDHMAELSSSNEQARSMERMFDMWLRALYSIHDAMAEKAKAQ AGRR MYCTH_82505 MGEITITGWTTRDVRFPTSLDKTGSDAMNAAGDYSAAYCILETD SSYTGHGMTFTIGRGNDIVCAAINHVAERIKGRTLSSLVAHWGRTWRHLVNDSQLRWI GPEKGVIHLALGAVVNALWDLWAKTLNKPVWRIVADMTPQQFVDCIDFRYITDAITPE EALAMLTESEAGKAERIRDAENNRAVPAYTTSAGWLGYGEDKMRALLQDTLAKGYRHF KLKVGGNVEADRRRLTIAREIIGYDRGNVLMVDANQVWSVPEAIEYMKQLAEFRPWFI EEPTSPDDVLGHKAVREALRPYGIGVATGEMCQNRVVFKQLLMAGAIDVCQIDACRLG GVNEVLAVLLMAKKFGVPVVPHSGGVGLPEYTQHLSTIDYVVVSGKRSVLEYVDHLHE HFRHPSVIKDGFYQTPTEPGYSVEMKPESMDRFEFPGGEGSWWRSEEARPILEGEKI MYCTH_2306584 MTAISPGDHEGYMRLALEQAQKSPPKPTNYRVGAVLVDSAANEI LATGYTLELPGNTHAEQTCFTKLARKHGVPEESLGEVLPAELALYTTVEPCSKRLSGN LPCVERVLRLAGSIRAVYVGITEPDTFVAENTGKRTLEAAGIPVIHVKGFEREILEVA TAGHVKE MYCTH_2306585 MSAHDDLTEGEPPAIDPYEVLGVERTASPDEIKSAYRKKALRTH PDKAPDGKKEEAKEKFQQVAFAYAVLSDPARRKRYDETGSTSEAVVDSEGFSWSDFYR EQYRDAVSEEAIEKFAAQYKGSEEEKDDVLAAYEEFEGDMDGVYESVMLSSVLEDDAR FREIIDAAIESGRVPRFDAYVRESKKSRQARVKAAKKEAQEADELAKELGVYDKLRGG GNKKGKKDSEAALAALIQRNQASRASALDKLAEKYGAVSKAGKGKKRGAKDLEEPDIS EEQFQAIQAGLMKKKRK MYCTH_2306586 MTDATKPKPYPQYCFHLSPTINRWCHFRISDIVALKSHPGFRGQ DVYFYINHPVKWVRISGMVVAVDERETRHFYVIDDGSGATLECVVSVAPRTNAPAATA TATTNSSSGIAGSIPIVDAPIDVGHVLDIKGSVGIFRGSWQIRAEKIVHLRSTEQEVA FWEKVAQLKTDVLSKPWVLDEKEVRRCRKEEEEEEQGRHSGRRHRSAGERRGSKRGGK TGLEKVKSGLEKAKAPHTEEARALYEDAEGKNGRETKTLARRPIRVTGLEKRKSAKPV TRVIPMTGKYDALGL MYCTH_2306588 MGRPWEVVFTLLIALQGVLSLPTRVITSPGRSDNTAWIVASEKH PAGGVIPPILETETAKRVTPVSNLTTSVPKPTPLLVTASAVASLHLSLPTGAVNMPGQ DIFADPISTDAPPATFGKKGDHPVPRLGVRGNGPFETNKFYGSLQLGTQTSPVFLHPY SVVWARGEGSSKSWGLAISHVEASQRVYGETNQSTGAAEYFLNPVGIHSVCLSAKELG NDTALTTEELTDFSVRVGLRPSAEAPPAVQFPLVQGLGFITAIYDGATPVLQTGERFK AVTRASADPKDGVVKYKLELESGTIWLAYAHHTEGDPLDLESTDTGLAQAKGPFRGFI QVAKDPGDGEKVYDQAAGAYATGVQLSGSVDGARGTYTFSFKKAGIEGTTLVMFALPH HVGSFDDATKAKVTDLKLQTTTKGVGAAVVADSWTMVEDNLPTNIGFLPWSPEAGSAA NISDGTKQAIHGVAQAEVSQDIKQLSDVDSMYFAGKALAKYATLVLAIREMLGDQAMA QTGLNQLKEAFARVAQNQQKHPLVYESKLPCRRPEMSLPRIPRGSVRATDAAKNKQGA WGGVVSSATYDTGDTGLDFGNTLYNDHHFHWGYFIYTAAVIGHLDPSWAEENKAYVNT LVRDIANPSSNDQYFPLWRSFDWYHGHSWAHGLFDALDGKDQESSSEDTMHTYALKLW GSVIGDTNLEARGNLMLAVQSRSLRSYYLYTSDNTIQDPKFIGNKVAGILFENKVHHT TYFGNNTEYIQGIHMLPLLPQTPYVRTPAFVREEWETYFSNGRADAIAGGWRGVLLGN YATVDPKAAYDFFARSDFDSSWLDDGATRTWYMCYAAALGKL MYCTH_2144279 MSFESNGSQSSQSQPIPHFVWDDADREVSDEWLEPVRTALLDPK KHKVFHHCSKEYLKPPSPFVQQGPELGESGSTIVYRVTPPEGHPYRRPLALKVIVCKE KTRPPGPDSKARSNALKEVKTMSSLRHPHIVVYVASFEDYCIQTREIGRRPRGRDSTT LFRVNQRIKKHILGIAMYPPAVCNLHTLMDEVFQNPRGSDWIIRHLHTYFGCLAQAVA YLHRKSVQIRHKDIKPDNIVIDDFGLPVLTDFGLSKHFETGQDSVGPTPKTLKYADPE AMHEERRDERSDIFSLGCVFLEMATVLLGQPPRFAEDQLSANRNGDGHSVSSSGSGGG SEADFNYSESLHNLDAYLTTLSRLSRNVIASEPAREPSIHAVLAILPHIRRMMSETQA SRPRAHELYPWFRHLYDVYDTPGPCANCEEERRSGRAIASSRRRS MYCTH_53508 MVQAREPNSVRFEDTLIDGGPSLNLDGLLMKPTAATPRRLPDIT ANLDTHQEAHLPQGIRETDEEKRQHWFVGSIDQGTTSSRFLIFNGEGEPVASHQIEFE NLYPKSGWHEHDPHVLVSSVEECIEGAMRKFVDLGYSKSDIRAIGITNQRETTVVWDS VTGEPLHNAIVWPDTRTSALVRELKAREGADGLTELCGLPLSTYPSSVKLLWLIQNVD AVKQAYEQGRLAFGTVDSWLIYRLNGGAKAERPVHVTDSTNASRTMFVNIRTLQYDDK LLAFFGIDKAKVQLPKIVPSSDPECFGKVASGPLENVPIAGCLGDQSSALVGQCGFSP GQAKNTYGTGCFLLYNVGTEPVISKYGLLATVAYDFGRGRKPVYALEGSIAVAGSGVK FLMDNLGFIKNSSEISALAESVPDNGGVVFVTAFSGLFAPYWIDDAKGTLFGITHHTN KGHIARATLEATCYQTRAILEAMEKDSNHKLESLAVDGGLSNSDLCMQTQADITGIPV DRPRMRETTALGAAIAAGLATGVWRELEDLKQVNRNGRKVFYPQMERAKAEKMFKKWE QAVEMSRGWCQDQDQ MYCTH_2306594 MILHQIEALADAGVTDIVLAVNYRPEIMEKYLAEYEKQFGINIT ISIENEPLGTAGPLKLAENILRKDDSPFFVLNSDITCEYPLKELAAFHKAHGDEGTIV VTKVEEPSKYGVVVHKPNHPSRIDRFVEKPVEFVGNRINAGMYIFNTSVLDRIELRPT SIEQETFPAMAADGQLHSFDLEGYWMDVGQPKDFLTGTCLYLSSLAKKGSKLLAPSTE PYVYGGNVLIDPSAKIGKNCRIGPNVTIGPDVVVGDGVRLQRCVLMAGSKVKDHAWVK STIVGWNSTVGKWARLENVTVLGDDVTIGDEVYVNGGSILPHKTIKSNVDVPAIIM MYCTH_2063165 MGGFYMQYLESLCRRRGWHDPRYECYRDGNGYTCLVLVNGREYQ TDLAYESGSLAQENAAMRAFMVCRNFSVNGGMLARNGIVQGLPADESSRRARKGSRHA YSSSSHGHRSRSSHHSSSSSTTSLE MYCTH_2306596 MAAAQQRRPSASPSPALRSSESEREEEEIEFDRKAAGIMIPDLF TQFPPVRDPLVTATSTVQDETIEACLPFLSGEDENLQYNEHGVPRLDRDRHVRFLHQC LRRLPGRFVAADASRPWFLYWCLSALTMLGEDVASYRDAIVATARSMQNDSGGFGGGG GQLSHLATTYAVVLSLALVGGQEAYEVVDRKAMWRWLCSLKQPDGGFQVCLGGEEDIR GAYCAAVIITLLRLPLDLTPESPAYTGDSSVNLFTGVADYVQRCQTYEGGISGQPNAE AHGAYAFCALGCLALLDHPGRSISSYLDVPRLIAWLSARQYAPEGGFSGRTNKLVDGC YSHWVGGCFPLVEACLNSSSSSSGPMAAGGPAATITTTTITDRHRPPPADESLFNREG LIRYILCCCQDQTKRGGLRDKPGKMSDAYHSCYVLSGLSSAQHQWELDELNDDNDGDD DSNELKKEGAAEGAMEAAWAVLPYLDGPQVFDSKDRVRPIHPVYAIPQPCVRAMKEYF DRKQGF MYCTH_2306599 MSYDFNATGGEAALLEQLVFDHRERYSYPAVSSAAPSQDLVSTA LATTTTMSHPNRQSLSPVTSWLPLDPASTMSAPMSMHSPNSASGVPSSPDDQSAGSPG HQSSTMSSPLQQSSPYQTAAHSQPAGLVPDWSLPLQAQQQPAADLSQFIQDSALMSFN PYTSNFQPAPVEYLPATTQATLDAGLQLESAFPTMSPMDPTSRVMQWGGANWQDFDQS LNFQTDSLPRVRSIGSHSPTGTFLEVLSLGSSSDNGWTSVDMYPSCDTFTHSQTQHTQ NQAIFNPSQTLHLRTNSDSSDANSLEFGSYEEITFPYSPFSPCSDGFVDTANNHRNCM PGEHHHHASTSPELISPTAAVAPLPINNKAISASRPVVGSGSSGSGCTSPPARRNSGT RKSPIAKATKPVIRRTSTGKKDGTGEKKVGRRRGPLLPEQRKQASEIRKLRACLRCKF LKKTCDKGEPCAGCQPSHARLWQVPCTRIDIKDIGYFMKDWKADYERHLGRGMSVFNV KGFAQKETLMWITHGYGFCLPVMVREVYVADESCFQLDWVESSLQDQDPIDFEIRTER LDVGQDGISMEALNEYIDKHVDEGFEQFIDDHFEGTPFITEIFKTAYRFYTKERLPVI RKALKLVVAYNLTLHITMVEQPPTEEPMEGQIDDEDSKYYGKVVAPVMINFQIKCAMA DMWRELQKDILEELSALYSSVYSGERLKNWPTIFMLASILLAVWEEIQFDCHYRVPDP VAVEKFCNDMETVPVGVIVGLFHAISQKLPAFTEWDTRRHGQLLNNNPAVCEAMTEVR QHVLKHEAYLRTRSETKFDRYDFDSLSNKLLSKLVIRSN MYCTH_2315548 MQIFVKTLTGKTITLEVESADSIETVKNKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESADTIETV KNKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESADTIETVKNKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESADTIETVKNKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGQ MYCTH_2306607 MPPYYHLIFELYATPDPTVTSPASTGKGSTSTHDDIWIPSPGAS IFDELPSHPRTKAHTRQQQQQQQQQQRRLPEHWLQRRPELDGYYDYGLDRSADGENYR CEESSSISVAAVAAAAANTRVIDCGAQRGTTHDTTSDGTSYRAPLNERQSRAIHEAES APRVEDGFGPDKAVRDWRFGRVRIESFDSSVDEEKTGKMAGGQETGDAAATAAAAATP AASLGPNLGGMGLATKARYVPLETKNTEAGWGIVHLYREGNESGVVNGQSGVSDGPSQ AQRGGDEEGTILCIPAVPSYMSPSDFLGFVGEKWRGYVSHYRMVMTSTMSRYMVLMKF RDSGRAREWRKAFDGKPFDSVETEICHVTFIKSITVETPNQADPQRRYSEGNKDRFSP TSPLVNSLKPFPPPTPNLIELPTCAVCLERMDDTTGLMTILCQHVFHCTCLQTWKGSG CPVCRATNPKPAQNYDPDDPYSQPFGSGVANICNNCNCTDDLWICLICGNVGCGRYNG GHAKEHWKMTAHSFSLELETQHVWDYAGDMWVHRLIRDKGDGKIVELPRNNGNDQRPA APGGGGVASQEDVVPRAKLDSIGMEYTHLLTSQLESQRLYFEEMVNKAADKAAKASAA AESASRQAAEALSELAALREEHRVLKDETVPSLERELSKEKNRAAKSAELARNLSKAL QEEKEVSAGLMKRIEHIKTQSEGTEKLVEQLRAENEELKEMNRDLTMFISGQEKLREM EKEGMVEQGELEEGIVGVAEGSKGRRKARGKKK MYCTH_2306608 MSSEAAKQAPQGAKSSAPSKELYPMSNWKYDGFLWTMSVLVDLF FREVHPRGAWKVPRHGPVLFVAAPHANQFVDALILQRTLKMEAKRRVSLLIAQKSVHG FIGWASRQVGSVPVGRAQDSARPGQGTIYLPDPINDPTLIRGVGTDFEKDAEVGGMLF LPSAKGQSGASVDIAEILGPQEIRTKRPFKGKLAMAQLTARDDIDQNGNLTNVDVHGP APGYQGTKYKIAPHIDQSKVYQAVFDRLKSGGCVGIFPEGGSHDRTELLPLKAGVAIM ALGTLAEAPNCGLKIVPVGMNYFHAHKFRSRAVVEFGPPFEVPPELVEMYKNNRRRDA IGQLLDMVHSALSAVTVSTPDYDTLMVIQAARRLYNPTGKKLPLPVVVELNRRLAMGY ERYKDDPRVIKLKDSVTEYNRQLRYLNIRDHQVEYARLSWHIVVLTFVYRLIKLIILS AGVLPGLILFAPIFIATKYISRQKAKTALAGSSVKIQGKDVIATWKLLVSMALAPVLY GAYTFLTMYWVYMDRLGGLVPEWVSLWMVFWACWIIFPSITFAALRFGEVGMDILKSL RPLALCINPSSSYSISQLRERRAELSAQVTSIINAIGPEMFPDFEHTRLVFDAYSRGV SNDVSNAFLNNTGSGPGSPTHSRDQSGASSPNVDGAASPGISRRNTTQSSRAIPRNES FSNIGQAPIFATRPPSRSRSRSSSAGGGLGMAGFPVSGFTSLDSKEGFDQANRKIREA MRERGELRRRQREKRRMSLADEDGLTSDEEESAESASGSALTDYEEARKAK MYCTH_2306610 MSGALKSLINGAKALAIGRSGSKARIDELFPKVNKAVDGEDCDR DCESCSVQYPRGFKIDESDDLYGFVKGWSTHVLVATGKTDWVRDVANEKGSVMQAIAN TKGPTNGRLMLSASNMPTPHNTTSYSEPTTVLLLPAFVLIDNVTPATVPTLIDSIVSQ APTTTSPLRPFSLPRSLPAPLPESTPAAIRDLTTRPCPHRALILLCSQKTRDARCGQS APLLRKEFQRHLQPLGLYRDLDDERPGGVGIYFISHVGGHKYSANVIIYRRARFDEEG PGGQKKKEEEEEEEEEEEEEEEEEEEEEEEEKNKEKDGKSENKEGREQEEGDLGAAQC IWLARVRPEDCEGIVKFTVLQGKVVKPERQLRGGFDREKGLLSW MYCTH_2306612 MSSSLAGINTTYALPASVGLQQEASGAAPPRHHQRSKSSVLRSL MGGGHKRNNSDGSALPSAPLVAPLGTTPAFHMSQQNLAPSSRHSGVFHVGFDAAAAAA MEPQRYAHALGELQQNRQERSPERRGCERADQAPPSPTKTAFSSISLRPFGRDRDSSK PPKSRDTSPTKPKKAKSATNLAVLLRPKSIKNLKQLLTEDGDGGAKEKEKDKENRSPS DFPGNDLHVSSLLPPNPIYAQFASQNFGQHSPQLESPLDVSFKRSGNDPTAERPGAVA ASLDNKKPRPKSFQPHIGRRDDGTGGSRGSSGSENRAKRMTWGKDSTPAAAARPAIGS RSTSSATLTAGAAPEMPAIDTKDIDKHLEAMLDRRNIPENQRYKMRNLSDTIKMELIR QDWAEMQAKPDRPVSHDGHHPQPGGGTAVPPDQQDENSMGSRAKKDKKRHSRGLSLTI VRGGGNKSNPSSPAKKKGDSSLGRHFRTKSSESVVSEPPLPYAPGYGASFLAKVKGQQ LPGDFVAYLQKVPQPELVEVGKLHKLRLLLRNETVAWTEEFIRQGGMKEIVELLHRIM AVEWREEHEDALLHENLLCLKALCTTDMALQYLHSIHATLFPALLHMIFDPEKKGPSE FTTRNIITSLLLTYIECATPQERVHRARAVLGFLRDPEPREEDRPVDFVLEMRRERPY RVWCKEVVNVTKEVFWIFLHNLNIIPLPSADNKQGQSSSTDQSGCEGATTTTTTTTTS TTNNTTAANGNNNHNNNNNNNNNSSSSPDPSHHISYLIRHFPQERPPVPAAPYVGGVE WDATNYLASHLDLLNAIIACTGPTAAERNALRAQLRISGWERCLGGSLRLCKEKFYGS VHDGLRRWVAAAAEDGWDVRDVRYGPPPPEGRANANHNKRGGAASPAKKLNGPAAAGK EGGQQKQQQQQQQPPRIEMPRLDFGGPVVVGIGPGLGSGAVRANEPWLS MYCTH_2306613 MFIHPGKLAAPPRLRRVVAYQWAHSAHRVLGAAEHLPEASGSSR SLDGSPPGNYHEASKGKLPAPPPGAVPIPPAHHEKGSFGRRAETLSGGTCHVRCRLYS RMLTSSYYNWKSHPV MYCTH_2306615 MADQFLAEQHTWTLFRNSQSDEADSWVMLTRDGQIVPIPGEKIL HTSRPRVSLDISTPRELQIADPFSLKCDNGIAYITNERVIFLPARPTEDFKSFFTPVL NFTDTHVHSSWIGPWSWGGTVRPVPGGGIPMHIPRVEVRFTFRDGGHSDFQAKFEWLK ERLQHAQELGLVPGQNLEPPPPYEPSAPGPSPSSGDPSTARTGPQLEQEQQQQEQQPP QPAPDEPPPDYVQAQAQAISMQYEERTRAEAERY MYCTH_2315552 MGFWDTITDLVEAATPWATADAEAPAKELPEETTAETTQEKSAE AETTAAEEPAAAEEPAAEEEQEEESAEEEEEEEELVDPKEKLEEECRNSKQCSPAKHH FDECVERVTKAQAEGNAKEDCVEEFFHLAHCATECAAPKLWSILK MYCTH_2306619 MTHPETAKAGHVHAVLAKGRVSTVTGPKGRQALTGRYFTFADQL LPLFLLLLLLPLLLLLRNTLEVR MYCTH_2306621 MGGHSRSWNELRGEEASEVEKAATRSIGGSDHGLGDSDAAHKSG ADDRLSIEMGTVTARTTDPALESGRLSRPASAGTECSRGATQGERGTAGVEYKVYRRR WFGLLQLTLLNIIVSWDWLTFSPVASHAARYFHTTETIINWLSTAFLFAFTFATPAVI YVLHLGPKKSIVTAAALLLVGNWIRFAGSHSSSKGLFGVVMFGQVLTGLAQPFVLAAP ARYSDLWFTNRGRVAATALTSLANPLGAALGQLIVPFWVNQPSDISRMVLYVSIISSV CAVPAFFIPSCPPTPVAPSAETPKLSLSASAKILFNQLEFWLLLVPFAVYVGLFNSIS SLLNQIFLPYGYSDEEAGIAGALLIIVGLVASAVTSPIIDRTKSYLLAIRIAVPLIGL CYLVFIWMPGTRDGGGVAGPYVVMAVLGGTSFSLLPIVVEYLVELTHPISPAVTSTLS WSGGQLLGGILIVVSGALKKGEEGDPPGDMTNALILHAVLALVAVPLPLCLGLFGRKE KLLLRRVRSDEEGRDDTGPGQA MYCTH_69410 MSAKAPWEAIPPEHTLFVLVTGGNSGIGFGIGERLIDEYLTTRS LSSHLVLIPTTRSAKKSRETVDGLRQHTKEFAATSKVLRARAGPNYDPKQTTKRVHIL SVQLDLCSLPSIRQAAHQLVSGTLSSPSDDDDFVSLTDVTIPRLDSVIFNAGMGGWYG LDWPKVFHNIFTKGLVSATTWPTFKGALAGHVIDPIKGAKGEEGTPQMGEIFCANVFG HYVFAQRLVPLMSRPAGSALPPGRIIWESSVEGEWDSLSLDDFEAVTIPAAYESSKRL TDVLALTATLPASRPYVDRFLNIANNTATTPPKIYVVHPGIVQTTLFPLNAFMFFWYN VVLYVARWLGSPWHVITAYNGACAAVWLALQEQGWLDGARAERVKWGSSTDRWGGCRV KKTEVDGWGWEGRVEEMRELRQEHKLAGRRPGAVDVTEEKLVEFKELGAECWKRMEEL RERWEAMVDAAEAGRS MYCTH_2119212 MLNKILIFTGAPEKHALDWSASGLLTEFEDAIARFVGLHSEHRP PSTTPTPKHAAWRSLPLANAKIGTGFSQHYGTIFDDGLDESASESGLEFLDTVTLPLA SGGGDSEHDPARSQFYEHSMAAHDGFTPSQLISQSTGQETTSFISDRTSSFLSGDGSH AGPIKEPLLVRGSDLVSDLRNIPSATYLLRIQPQTFTCNLIVGIISISQPRAVKTRWG ATKYLVELLVGDETKAGFAITYWLPSDNLDDSPLAGLRVRDIILIQNVAFNVFTNKVY GSSVRKNWTKVHLLYRMKLDSRDPGGYYSASDLAGTGTVHPQLDKTRRVRDWVLNFVG RETRTGTNRQARWNQPPADDTQL MYCTH_2081294 MTVDRKCQYSSPWSSTTRSPSDRSTSAGPVSTPAPAPAPAPAPA SFPGLQPSHQVEEPHSRVDMVHMQLLHHYMTNASLYPLFENCMKDIVINVALREPYVM YSLLAISAHHLSIFRPEQQQFYRHLAIRLQTEGLSIFNSIDVSFYGDSVEKRIPAFIF SSLIGIHALCDMLLHRDTDPDSAIAGFLKYMSLHRGMLTVMHGYWDELKKSELRVLFE ELVPQWFSLTSEGRDCDDIRKRLTAAGLGTDELEDALHAVDLIQCVLDAKPNAESRAY ILCSWVAMLRPAFVPMLENARPEALAVLAYYFLAMHHCRGLWMMGDAGQHFLTLLAEH FRGGEWYAWQALSPSTPLTTARRTVPMARNFVVTRPQEADAPRIAEIHLAAMDANPLL HAQFPASESLKSLQQFLEADTAEQLRDPSSGVLIARDPETGVIAGFAKWNSPSHPEEV KLESGSLRDLEGCRREFLDRYVSLAEEARKRCFGDQPCYCISFVCTDPAYQGQGVGTL LTRKVLELAKTDGLQVYLESTDVAIPMYQKLGFRVIDEFQMKIPGLTSDEITVSYREV CMVWQPGSMT MYCTH_2306627 MSTPCAVPRTAPIAIAPKPPRFPPSRQSSIHLDSFRSGFNSPDS DSVSGFPAHPCEACLNRKTDCAMGDDEESCVACLVAGTECSLIDSPAPRKRKLNGDVE TSSSKRSSPGRSDIRRRRHQQQSFSCTTTSSSLTEDMANFGGPTLLKRTLGLQADRYS QYIGPTTDFEPSLINLSPFDPHDESLLARGTLRRVSDNDTFLLLPDHNTPGYDHILED ADEIENIVAPHGRKLIDLYFRVVHPAFPIIQKGVFLEKYERSHREISPPLLSAIYILA INWWEHSEDLAGLPRPNVRELERLVRTTLADAMYRPKLSTIQAGLILSQRPEGDQWAP TAQLVAIGQELGLHLDCTHWKIPPWERGLRKRLAWALYMQDKWGALVHGRPSHIFASN WAVQNLNPNDFPDVEWDENDVEERLEIERGRVVFGQMVRLSQILSEILETFYTLEASR ATANAGAQATQLILSLAKPIQLKLKDWYSGLPAVVRMDSSLQSSLHQPNRLSSIGYLH LAYFATEITLHRRIIRSMDTAASAAAAASTPSSSATSPGVNPPPAGGSSGGVDPYIQH ICRSAAKARLISAMDFVNRLTPSHLRAFWYFASKTNFALIGTFGSLLWATSPGREEGE WYRRRLGEYRWTLSVSSKPGEGKGLTEFAMGMLDISTGLLKKLPEKPLLSRSESGVDI DAARRQSLLALGGTGISASDSGGGGVGGSSFGSAGRSGLTMGMGMRSGAVGGGAGGGG STRAAAASGAFGGRGMPGPESSSGMHSAEASGVQSPLSEESESEDEETYGNFSATAGM AGLAD MYCTH_2306629 MLDKDNMTTDTEADKATPPGAQSPKVREEETAGDGGKGKDGDDP FGAAKAGRDNADVVYPTGIRLFLIIGSLCLAVFLVALDQTIIAPALGAITDEFGSVKD IGWYGAAYLLSTTALQPVYGSIYRMFSVKWTYLTAVFVFEVGSLVCALAPSSNAFIAG RAIAGLGTAGLFSGGVVILSYTLPLRQRPVAFGLIGAMWGIASVAGPLLGGAFTDHVT WRWCFYINLPIGGAAMLAIFTFLRIKQAADEQGLPTNPPPRPTLVRRILRLDLLGTLM LVSFIVCLLLALQWGGTEYAWDSSVVIGLFVGFALMAIIFAAIQIWRGDRGTLPPRLF KNKDVVCAMFFSFFFGAGFFPLVYYLSLYFQAIQGDSAVTAGIKLLPLLISVVVTSVS AGGLITAVGYYNPFVLPCMVLFTVGAGMITTFDLDSPLRVWFGYQVIAGLGIGIGFQT GALVVQNSVSHEWIPQATACVQFFQAMGGAVFIAVAQAVFQNGLADEMERTVPGLPPQ VLIHSGASEIPRVLERMHASRYLTAVLTAYMHGLRNTYYITVACAGAAFLAACGLSWK KIQKRRPAPAAAPAEGEAEEGEAKGVDVTNNGSESAN MYCTH_2306632 MSTQQQALILDTILALRRKLKRKAYEILAPLTSVTDLPSHPTFS RPFTSRALNELIEQGRSLMQKENKALWKAKPLLTKLVGDNTWAPCGLMTAPDDRDALL FSDTVSFFNRPASRVPTGPSAPGVNGSHKNTCTESAMRGTAQGAPAAGSTRQQDKEPG SEAAANEGTLSDGHTNANGDGNTGGGSGTAESADRDGQVNGKTDHETEGKDGPAAAGG SSGKPDAAAGVADVEMAEAPGAGREGPTLPSGLPPPKVQTAPSPVLPAEPLDELFIHP LFKLPPAARQDRDLGLPEQEAEEVRRLLLLYVQKQEEICRNTKRLYEGLLKADRLRRT VWQWAKAEAHCGPNRDMSDGEDWYDKEEWGLTEDLKKGEDEVEEETAQTQKKTRNRK MYCTH_2144292 MSWPGADDSPGDYGADQHQPDRPTDIASLGVFRNNRLVELTPSQ VERALKLMSRPFEDLPQLPFFAPLFSYTTDWQKMEIAYPLVDASFHVGRLLTPPEADA LAYYRAKFCSRAAWAPPAVLLTAAYLTHRGRSTFRFPFYTPKPASFNPMSFPSASMPF ISGPAAVRLWHLLRFAAYGLMSQLVVKNIIYSYAQTSTLVGALRDDRLKVLRETMPQR RQGTVRPHSPATANPAEPERTTASSTPAPPTDFSGAPQQARQQPRWAQQAPESQSAPQ DDDSYLFDDASPVAPSQRQTPPSGSRPSSGGGSAWDRIRERARSEEGAAWNPGQQQDR TPAGRQRGEQYTYTHADQEKAHLSGRETAMNDPWALPRQKSEPEGASSDANANANGQS LQLAMASETSSLPSTATPPPVAVREATEGRIPLTTTFTPSATDCGGIYLPSSLMVYVI DNEPSCLPTGFSTSDSSFFYSPGIACPSGYWTACHDTTGASSITTVTCCPTYGDISLS CVPNPEDLRHVWETLFCTWIAPASPGTVITVTESFNGGRTSTVTESVTSPGGVNAYGV RMLYQASDLQTSTSTTSTTSTATTSTASTSTATASTDGASTASSPIVSGNPSQTTTPD AGSTGGLSEGAKAAIGVVVPVVVLGAVLLGLALWWRRRKRAQQQQREQYPSQYPPPNA LVEMPGYKQQQELQQQQHGAPPPGSGGGWNKPAEYYYYYGGGAPPQGPHELPGSRDQP SEMPSTMQAVELPSSQTYPK MYCTH_2315557 MLSKVFATVALAASLVSAQTFTDCDPTKRDDCPNPKAVGSKPVD IDFRQGPNSFFKLADGTSLKYDKDLGAVFSISKETDAPTISSNKYIFFGQVDVTVRAA RGTGVVTSFVLQSDDLDEIDWEWLGGDATQVQTNYFSKGCTETYDRGGFSPVADPINQ FHTYTIKWTPEQLDWIIDGNVVRTLKNTGVEGCSGYPQSPMQIKLGTWVAGRKDAPQG TIDWAGGLTDFSQAPFDGYYQSLHIVDYMGGRGAKEATEYHYTDRSGKWESIEVINDG KGSDDDTTTSSKTSATSTKATSTSKPSSSSSPSSSHIGHTLTTLTTVSSSATRSASST DGAASTSGPTSTSGNEVDPTSTASQTVSTGAAPSMAGNLAAVGAAALFGYLAL MYCTH_2134674 MPAPTATLVPVVRIEMGINKNTGQAPATFNTSTLWMRVGGPVEL FTPPQQQAEGLLIRSSWIASQCVERCCHVDGASITGSAVTANLRLHLSTGHTWPLSMF ERRTLRGLTDRRPMSPGQGFGFCCAEDAGRNPGQYLPDLQSEARAPSAVITDSGLQLE VQSTGYIPRVH MYCTH_82456 MAPHNDAPSFSSGYTSGFNRAQYRPVITSGLMTGHLGTGEPHSP LSSSFSTSSEASDFHHIHRPLVPGVYVPTMCFFEESTEDVDTDTIARHAVRLARAGVT GLATQGSNGEAVHLTHAERQLVTATTRKALDEAGFGHMPIIVGCGSQSTRETIQLCRE AWEAGGDYALVLPPSYYAPLFAPASETVISFFTAVADASPIPIIIYNFPGAVGGLDLS SDVIVQLARHPNIVGVKLTCGNTGKLARVAAATRNLNKHAGDESSSSSSSSSSSSSSS SSSSSARQQQQQPDFLVLAGSADFTLQSLIAGGHGILAGLANIAPKACVRTVELFGQG KLAEAQALQEVVAQGDWTAIQGGVVGVKSGLQGWMGYGGYARSPLPRPTPEQARKWKE GFRDLVLLEKSL MYCTH_2144295 MPSLRSRFGRAAREEQKTSARRMFRYWIKPPRIPEKNDHALKFG ILGSASSVYTILIQPAVYHADVKVHAMACDNRRTCQEWRRRYGIRRAYTSYQVLLDDA EIDAVLIALEPPLCLEWTIRALAKGKHVLLDAPGTSNVADAERLFSGPFLGSANCPVL LECSPYRFHPSWLEFERVISRRNVARVKILVVLPATVDKDKDLRFRLEHSGGAGLDMG YATSLMRAIYGVDPVTCLRSEVDVANPADSYGEYTQYDLTWLFPGGAVGEMRGVVALG KRMGVPVSSRDAGVTIEVLHRRLEVPVGETAAVAAAAAATSPSDALADRRMQVTRRVT LRYRDGPPSRHRLTIEEEAVFCDRETGRVVHRTRGGKSLSASTLRAADRANRFLPESK PYWTAPLYQLDGFVNRVRRTSPLSPPGAWVSPADSLASARAMDDGLRAAGIVPPPPST FRLEDLQLTPQELERFAAPPPPQAESSGRAGGSHQAEGSHQAEGSHQAEGSCQPQEPA QTEGLFHQPQQQLPTRTGDRVRPRPSGRSSRFIRAWLDALSPRGEQEETPAVSGSGAH SDVPSRQGNGSGSGSALAHGSRQTQSNNTHGEEVPIVLRTSLPDGRPTEAHETAEARP PPSSSLRSRSSARARRSVHDRFSVRVSRLAQVLGPNHIRVRALRYFQSLKAAQSQCIP QGPRGQGQGQGQGQDEDENGVELARMPGVEAAYEPPQERGSSPSQRSARSRSRQSGRS QMSARAHHRLARASGRGRARGVSPGPEEASDDGPRDTDAAQAEDGNARGRGEEGMPSP VPAQQEDRPRGGAQPTGPSFRAWNAGRGPRGREGQHDSQG MYCTH_2306639 MSTKRIPLSSSNPNVANSPMRAASGAGSAKKARALTDLLEEPYG KPPPAKRQMIDRGVASPSRASKTTRTIVQRSASRAATTTATTGTKASAATLYTPTEEE LVNLRTWHAQIRSRFPKMVFYFESIPDEQRSKLAKQVGRLGAREEKFFSIDITHVVTT RPIPPEKPKEEREGRPVAPASQEDNEQPKTIDPSLLNRTVDPTRRKLVYDTVPGRRPA IPSQDDPLRRTKTRTDVLDKAREMGKKIWSLEKLQKILEIALNPEQYMNAGLSRGNTQ SASKASKDERNLALLLQNERVNGPSDRDPTVASQDTYRFRGPYIYVYDVEEKTRPIMV REYMKASDPKDQEWPQFRIVSQGRCPFVLDESYDPQERENRDRDRGREKDRNRDKGRD RDRDRERTPSKTRVAKAEEAEEAAEPAAPTPLTADAPPKAATGKRTLAQMEDGHNRGI ADGGASQTFDRSRVSNPPTFEFRSQNAFISHAKAGRLLAGEPVASGMQPSNVTSAIRS QMVSSTTGGVLGAKAGTSKEIHGLQRKVVLQKASTPGLSLDPSSRQVAGICHDGNTTF VRSASAGQITQRKLDVANEEGSSRQREKLRRTASVPVSQSKPRRDPKPGYCENCQEKF ADFEEHIVSRKHRKFAENDENWSQLDALLSQLAREPRR MYCTH_2127963 MKVQLLRPDLRQIYRPLQVHTQWPPSRRAWNRSYATTSISAAEL EFGQPVYETHPHILKPGEITPGITAQEYADRRAKLAHSLPDGAVAVLPSAEVKYRSGA VFYPFRQESNFLYLTGFSEPQSLAVIRKTGPSLGDYTFHLFCRPKDALAEQWSGPWSG LRAAEDVFNADEAGDINALHTLLPPLLRSASRIYTDAIPSSSSSSSSSSSSPSSSLSS IFSSLPSKAPTAPLSPLVNAHRAIKSPAEIGAMRHAGRVSGRAFTSAMRRPWASEPEL HAYLAHAFARDGLSGEAYVPVVAGGPRGRMIHYTHNTALLRDGETVLVDAGGEYGWYI TDITRVWPVGGRFSAAQRDLYAAVLRVQRMAVGLCTERNGLSLDEIHRATEAALQDEL ERLGFEFGRGMRMFPGGGEAMGILFPHHVGHYIGLDVHDVPGYPRSTPLRAGHCVTIE PGVYVPDDDRFPKHFRGLAVRIEDSVCVDKDAPLVLTPEAVKEVEDIEALR MYCTH_2144297 MDSGPLRNAEFFSMPNNQAVDPIDQRSVARTSVQQPSLWDTAGL KLNAGLTMSPSAGTTLSLDSLPRPSPSTGLTTVSPSLSTASQTPAQGIRSGPGFPTRR RLRTRDGHERKRSRLSMDEESPLESVDYWLNFDKEDGLPSVSETAGPRRPDLDSKNKI PTTDGASESIPAPSANDVDEFLDDSALDNALSDDDGFSSINLTDQLSKVDTAPPQDVP PREGLYSTPLSWERPQPGIRMDPLVGLNTTSLNEAEQRRLIAIAMNPGSRMGGLGSNI NFNFTGMSATMPMSFGATLGGTSTPQGPKPVSPPQPPAAQPRAGQSTAPKKQASTGEK SKEKPKTGERAAHNDIERKYRTNLKDKIAELRNAVPSLRTVSEEGGDDDETQQSRAAK VSKGTVLAKAIEYIHQLERRNKEIVEEHRELSRRLQAFEQLLSAAAQQAYTMPAYSRT LFDPRGFC MYCTH_2306649 MASPENNGPSAPESPVLEGRDSPDLPLTMTASTMLMALPRDATA ALAAAGGFPHEKVVVRFKPVGSAPPIRRDLVKVQSTHKFENVVAYLRRTLKIAETESV FLYVNSTFAPALDEVVGNLWTCFKDSSGHLNVSYSVTPAFG MYCTH_2306650 MMPKSALSFPSSAANTVLLQIGDLPMGSYEIAPAQALETAIRFK KEGRMQGVKLEGGKEMVPTIEKIVGVGIPVLGHVGLTPQRRDILGGFRVQGKTAASAA KILDDALALQAAGCFAIVVEAVPAEVASFITQKLSIPTIGIGAGNGCSGQVLVQVDMT GNFPPGRRIPKFVKQYGNVWSESFRAIETYRDEVRSRQYPAPEHTYEMPRQEFERFVE TAKDV MYCTH_2111167 MSSPRRRIETDVMKMLMSDYEVTLVNDNMQEFFVKFKGPAETPF EGGIWKVHVELPDQYPYKSPSIGFVNRIFHPNIDELSGSVCLDVINQTWSPMYDMINI FEAFLPQLLRYPNPSDPLNGEAAALLLRDPSLYDAKVKDYVQRYASKNAVDDAGAESE DDDDLSSVGSYNDDEEEAQPAGQMDDV MYCTH_2306658 MQGFNMGRYIPPSAAGTTTSGNRLHKKHPLGSRASKLASHGILI VRFELPFAIWCAHCPRPTTIGQGVRFNAEKRRAGSYYTTPIWSFKIRHGACGGEIEIR TDPKNSEFVVVSGARRRDYGADPERDDSLVKSDFVIPTPRERAEQRESAFGKLEKTIA DREQLESANQRIGELLEAAERQWEDPYALNQRLRKAFREGRHKREREAARAEELKERL GLGIELLPETEEDARRAKLVDFGSVDVEGSSAGGVDKALAKPLFDRGPDRTEKGEGVG GPARGSRRLKSEIEAARRRDYLASEIVSNTRAAKDPFLDFSSREGTPKGPVRLPGLKR KRPAEEASDTPQTASGTGGTPNKVVPTTALVNYNSDSE MYCTH_2064169 MFFASLLLGLLAGVSASPGHGRNSTFYNPIFPGFYPDPSCIYVP ERDHTFFCASSSFNAFPGIPIHASKDLQNWKLIGHVLNRKEQLPRLAETNRSTSGIWA PTLRFHDDTFWLVTTLVDDDRPQEDASRWDNIIFKAKNPYDPRSWSKAVHFNFTGYDT EPFWDEDGKVYITGAHAWHVGPYIQQAEVDLDTGAVGEWRIIWNGTGGMAPEGPHIYR KDGWYYLLAAEGGTGIDHMVTMARSRKISSPYESNPNNPVLTNANTTSYFQTVGHSDL FHDRHGNWWAVALSTRSGPEYLHYPMGRETVMTAVSWPKDEWPTFTPISGKMSGWPMP PSQKDIRGVGPYVNSPDPEHLTFPRSAPLPAHLTYWRYPNPSSYTPSPPGHPNTLRLT PSRLNLTALNGNYAGADQTFVSRRQQHTLFTYSVTLDYAPRTAGEEAGVTAFLTQNHH LDLGVVLLPRGSATAPSLPGLSSKEEEGGQDLMIPHVRFRGESYVPVPAPVVYPIPRA WRGGKLVLEIRACNSTHFSFRVGPDGRRSERTVVMEASNEAVSWGFTGTLLGIYATSN GGNGTTPAYFSDWRYTPLEQFRD MYCTH_2306662 MASIISSQVIESLTPGRFIAALILLTISSFVVDFARKPLYSKAL PRVGPGGSFLGTLKSWAYFLTRYHTWVAEGYEKYSKQGRAFVVPSAPYRPQEIVIPRS QAAWMLEQPDRVLSTKEAHRDTLHNDYQFFGVDDEFPIRTIHKHLARNIASLIPAVQE EVHAAIDDTFGKDVENWTSLNLWEAWLGIIPRVTNRILVGAPLCRNQEFLDCQVGFAN DVVRNGFLLDMVPHIFQPLVAPFIVLPNWWHWRKSYSHARPVIEQRLYDLERQESGDD PAGDDWKAPEDMLTWLIRQAKAEGLTSELNPEMLSKRILPVEFAAIHTTVTTGFNLIL DLLSSDPSLGYIDTIREETSRVFAEENGAWTKQGLARLHRTDSAIKESMRFSHFARAL THRKVVAPEGVTNPVEGWHAPYGAFLMLDLAGTHRDPELYPEPDKYDAWRFSRQREAY EAKSAEEKGDAEEAMRVKKLGMVTTSAEFLAFSHGRHACPGRFFVAHELKMILAYLVQ NYEFKPLAERPKSIWIGSSFIPEVQARIEVRRRKRRV MYCTH_52175 MSTFNGLVAEFPDIRGNVYCSAATKEMLLRLERYPCRINYARGI LEARVQKYRHLRNLFKPIPLNTPTLLELEPENHLQVTLLDANHCPGAVMFLFEGQGKA VLYTGDVRAEPWFVNAIARSPSLIEYSSGLKTIDTIYLDTSFIDDVEFPTKSEGISEL LRKVSRYPSDTIFHLQAWTYGYEDVWLALSKALGSKVHVDEYKLLMYRSLVATHSDAK FAPSSHLTPEAPALVGFRCGNTQHSGCLTLDETVRIHSCEKGNYCSTVRNHPVVWIRP IITRLPDGQDVLEVGVGGGAQDLEREAELDYLTPGDVKALMEALGDVDCISNDLRERL GQFLLGAIASGRKVPIELKSTAFRDSNETELINGLQGIASKLKMRSERLSTNQYQPLP NIITFPYSRHSSYPELCRFVSKFKPRDVWPCTVDVPRWLREGITVEQLFSPHCSGDEF RHDQAMTERFKKHDLDVPVSLNSQSAASVSNAGLSTQSSASVPDRHREPSTPLEADVD PTSLQDSQDSTLSDFALDTRLQAFRTVLDNAKGRVGGEVRLLSTTDHHSTIEPELGLE VTQTSSSGGPST MYCTH_2306666 MARLRSVTLGAFMTLFSPWACLVFGLAVDVAGHSSQVAARADPN LAGYLGVFFLGADPYVYFYLSNGNNPVSFRALNGGSPIIKPTKGTGGVRDPTIIPGGG SEAGKKWYIIGTDLDIGKTTWDAAQRTGSRGIFVWESTDLINWGNERLVEVEDATAGM VWAPEAIWDPAKGQYLVHWASKFYSTSDPSHTGSPSNIRIRYAYTSDFKTFTSPQTLI DKNPTNIIDLTILPINGTDSNSFLRFMKDETRKTVFVEVSDTGLFGTWTRPGGDSAII QQGVEGPAAYWDNTTPGKAHLLLDFYGQDGYRPFESTNPGSNSGWTGSDRSAFPTNLR HGSVLPVDQAAYETLNARWG MYCTH_2306669 MPASPLVRVKVTLLADASFSIPLTGTPDALTQRAERKPIDPPPI VQLLVNPRKDPARTFLQNPYLILTARLIRKGDEDSQDDQSAPKESDLTGTLVSSLYSL KDTDNSQGGFFVFGDLSVRRVGTYRLAFILYELRLAEKECWLLSRTVSDPFVVYATKT FPGLAESTFLTRSFSDQGVRLRLRKDSRTVSTKKRTISQAEQIRASQGIHGYLPHDAN HDLSPNGHSPHHLRRVDSLHDQAQLDRSRSYYSESPQMRAGEYASSPYGYTPYDDQKP LKRARMDGTPDSPHLSGGSGGGYETDAYHTYTHHHPHPHSGPRTVPDPLGSIYPLTST ITTSSSSSYTTTIPSQPAVLPPVPSPYGSMPRLDTTHLPPHSPAAAGPGSATSTFLHG TAGSGGGTRRSPTIGGGGAAYPYPTHPGHGGQQAMFAAAAAAAVSQGTSLPYHPAVTA HGGEHHVAAAAAAAAAAAAAAANAHHHPHPGAAAGLGIVGVGAGLGGLDLDRP MYCTH_2306673 MSSFTSKGLLSALMGAATVAAHGHVTNIVINGVSYQNFDPFTHP YMQNPPTVVGWTASNTDNGFVGPESFSSPDIICHKSATNAGGHAVVAAGDKVFIQWDT WPESHHGPVIDYLADCGDAGCEKVDKTTLKFFKISESGLLDGTNAPGKWASDTLIANN NSWLVQIPPNIAPGNYVLRHEIIALHSAGQQNGAQNYPQCFNLQVTGSGTQKPSGVLG TELYKATDAGILANIYTSPVTYQIPGPAIISGASAVQQTTSAITASASAITGSATAAP TAATTTAAAAATTTTTAGSRCYRHALDRRLSFFRPACSYHRCRYLQPCSPDPLRWSEE APSPRP MYCTH_2127975 MRRARNEIAVGGLKLAADLATDQHLGALSTEFDGAYPPYLSPSS RTTTNLPAFDPSSNLTMFHALLYQRAAS MYCTH_2306674 MTDYYNVEARTRRLARFRRAKALAAEKRRIEEEERKLKEEEELE MELQRSTVARLTSAVPSAFSASDSNTLPTPVTPITKSVNEGEGRDTSRVIPVKRELEP ETSPEARQEKVPRLEAPPSTRSRDLEDRTREDDKRDELYSRRELSPRHQDRHDQSPLP RPHYRDDNNGEDNRRVDRYRGEDSRYRESERRSSYPIHVDLGRKGDTRFFILKSFNEE NVRRCMEDCLWTTQIPNAEVLSKAFAECKNVILFFSVNKSKAFQGYARMMSAPSPDNP RPSFAKGIHWETSDPFRVRWLSKTAVDFWRIGHIKNPYNDYLPVLVGKDGQEIEEECG AALLREMEGYAAAAESSRSYGGGKRPAVESYHLGRRESGGGRFYVDRYRG MYCTH_2032127 EAARGFSSRVQNPTTKLTGAQLTYLFVMQALPSMLIAGALNFAV AYPMYASPRPPPGPPPAGSVPPFLFRPPVSLVVDAALTTVVQSAITWTCLAVLVNRAL SRGEVAPYAPPPPPAKARWWWWWWLWGEPRNVAARWFLMLDHYNAERGSRLLGACERL CCCCCSSSSSSSSSSSSSGQCARRVGRWVAFGLASLGRAVIVALLGYLAMIGPTIGIC AAVGTRFDGDWVFLGRWDGALFKLVYGGVLGFITSPALALMWMLRAGWIV MYCTH_2306681 MMPRRRVGAEDGAVGPHPHPYRTLLASFAAWKLFLLTIALGSIL AGDAYDTSAGLLLLQGDQEEGRSNATAGRPPEEGLGIRLITRLTSWDAVYFVSTAHRG YRFEQEWAFGAGLPFTVRNLLRGLTHIGVLDPSAAGGKPVPEALTGIAIANTAHLLSA LVLYRLGQVVWRDHTLSLVAALVHIISPAGLFLSAPYAESSYALLSFSGFLLFALGCR AEGSPTRRDLYTIAAGALFGLATAFRSNGILNGLPFALEALRHLPALPKRPFDTLRRL LALGVGGVMVAAGSLGPQTAAYLRFCSGPSGALPRPWCHQYLPSIFTFVQQHYWNVGF LCYWTLSNLPLFLLATPMLTILTKSGMDTLRGRSIPAADGSAESARLLSLVQSAAAAE VLLAVLAVTTYHVQIITRISSGYPAWHWWLAGNLVRGEEAGSRIVMFMVLYASIQGAL FASFLPPA MYCTH_2306684 MPAVRFREGQDDLPPTPSTHEDSISESELSDVTFSIDGALSPRS VRSRRPRRASRKGTAYYLGYPAPRIIGKTKVVQKVLLPRLLLQLQQVSQEGRSKPVLE VFPALRITGPVVAPRLTKKFPGIFGVKRHLGCDDIVLLRRDDGDASWDGPDSEAEESM ESRELLAVFSPLKHSNEAELVLNDGSVWMAKPLPNGNFDFVHTDADGKVTTARWARRQ TAGGAVSPTTDSSISSTAPQARYTFSIINPLTRRHPVMATLTPSTLNVFDTYTSVSPS HARQRPVNRPRRSQSVTSPPTSPPTPARVTPYSPSDMSLSGSTSDGENGSAICIPPSP EAEASNRTVHPIDDRTKTLISITALWVCLQSGWPQSHHSAPVSNGETAASSTSLAASS TRPRGRSRRNTWTTRSSSASDTPQSPTADPSLAHGNQPSRETAKEAAFRKRSSMPVPV PTSTHLSGEDIGGVESFTPLLLLPQPPRQQLSPARPPTRRTTVSTALDSRPPHVRSSP KRATSLGAAFMRKRLLASSSSSNSTVDRGEAPEQAGTVSAKPQLLPSLATTQGEGGTI EAETAPQGGEKPLGTGVGVTLDSAACRGSASGSKKSGGGARSKLVRWMYKLRSSSSR MYCTH_116384 MKGTSALQLLLAVAAAVAPAGAATAWKNVNIGGGGGFVPGIIFH PKEKGVAYARTDIGGLYRLNPDDDSWTPLTDNLGTNEKWGRWGIDAVAVDPQDADRVY AAVGMYTNDWDPNPGSIIRSSDRGATWEVTELPFKVGGNMPGRGMGERLAVDPANSDI LFFGARSGNGLWKSADGGVTWARVESFTNVGTYAPDPSDATGLNSDLIGLTFVTFDST SNVVGGATSRIFVGTADNKTASVYVSEDAGATWKPVEGQPGAFFPHKCVLQPEEKALY LSYSNGAGPYDGTLGAVYRYDLAARTWTDITPASGGDLYFGFGGLSVDLRKPGTLMVA TLNSWWPDAQIYRSTDSGATWSKIWEWAAYPDMNWYYGLYTDKAPWINAGFISQDTKR LGWMIEALEIDPHDSDHWLYGTGLTLYGGHDLTKWDTVTRNVTISSLAAGIEEMAVLG LASPPNGSELLAAVGDDCGFTFRESQDLGTSPQTPWMNPIWTSTTDVDYAGNEPDHVV RVGNSQGAPQVAVSEDGGVTWSAHPGADGTTNSGTLAYSADADTIVWSSGSAGVLRSQ NQGAFAAVGSLPSGATVAADRRNNTVFYAASGASFYRSTDTGATFAKVASAFGSKVAA VKAIAAHPVVAGEVWVATDAGLFRSIDYGATFSAASGSITDAIQVSLGKGDGSAWNVY VFGTGPEGTKLYASADEGATWVDIQGEQGFGSLSANRLVGSGNVAGQVYVGTNGRGVF YAKVSLSAAAN MYCTH_2306691 MYILKPSWLRHSGEQKDFEVYSCHISPDGSRLATAGGDGHVRIW STEAILNADKPDSGRPRQLCHMSHHLGTIHSVRFSPNGRYLASGADDRVICIYQLDSN PPSHAATFGTNEPPPVENWKTHKRLVGHDSDVQDLAWSYDNSILVSVGLDSKVVVWSG HTFEKLKTIAVHQSHVKGITFDPANKFFATAGDDRHIKIFRFTPPPPNATQHDMVNNF VLETTISAPFKSSPLTTYFRRCSWSPDGNHIAAANAVNGPVSSVAIIERTRWDSEINL IGHEAPTEVCMFSPRLFHTQKPGENGSDKGNSSLVTVIASAGQDKTLSIWNTNTSRPV VILQDVASKSISDLAWTPDGQTLFASSLDGTILAVKFETGELGWVATSEENDKALQKY GGSRKGMGTAEDVDGLHLENHSKEGELRGAESRMGALMGDFQTEDDKGRPVSTNGTKS SAKNGETNGAPEQKQKEEGGPKAAPGEESAEKTAERIAELKSRVQVTKDGKKRVAPLL VSSSATGLLSLPQSQLVGAKSTKPAQSDTPQAILDLSKPFHGLPKGGIAALLLGNKRK AVALEGEEEDEPAAKRPSNGPVPVLVDTPEGLEPPPPTTPAQGVVPTPEFLRPAVINP AISVSQVRLAVPKVRSHIVRPLQRGVLQGESTLDEASRVPENVILEARNPVKPREPSH ITASKRGARLWQDFLPRAILLVTGSKHFWAAACEDGSLHTWTPAGRRLLNGIILESQP VILESREHWLLCITSVGLCHVLNMKTMSAPHPPVSLAPILDIAVTSLSPDGATPAPGV TSAHLNSTGAIVVTLSNGDGFYYSSTLYAWQRLSESWWALGSQYWNSNDSSISALSST AVGPVPTTTTTTTTMTTTTTTTTTNSTTGAPSDKGAAADEVNVSAGIIPFLERHTTTE FLLKGRAFTLQRLIKTLLSKDGFEGFESTVSVAHLENRIAGALALGAREEFRLYLFMY AKRIGAEGLRGKVEELLNSLVGGVLQEKGSGGGGGGGEGKGWFSREEKLCGWDRRELL KGVVLILGKFRDLQRLTVQYARILGLTAGWEEEGGEGGEGGENEASERMQVEQ MYCTH_102652 MARETRSQTGNSKPRVIPVIDTAPTITRKAAPKKKAKGAKPIGV TKNKAPKKEGFVTKVKSAAKKTEKKAVSVEKETQNSVEKAEKAEKAEKEAKPTTTTKK KEPAATK MYCTH_2306697 MGAKAPEHERSDGHGNPPSLDTSNSICGGEPRGSHQRQDGDGCL GNGGGDNDDDDDDGDDDDDNGSDGDNHRDVDPAAADGRANKKKRRKRSKKKSKKKSKA NAPIEQSWPPRIPLDQLFPAGNFPEGEVQEYQATARTTAAEIRYNNRRKCWEDETFLG NYRKAAEIHRQTRRWVRETAKPGQSLHDIATGIEDSVRALLDNAGLGPGDGLKSGMGF PTGLCLNHQVAHYTPNPGQKPVVLQQQDVLTVDFGVHINGWIVDSAFTMAFDPTYDNL LAAVRDATNTGVKTAGVDVRISDVSAAIQEVIESYEVEIRGKTYRVKPVRNLCAHDIK QYRIHGGKSIPFVKNRDQTKMEEGEVFAIETFGSTGRGYTVDDVGVYGYGLNYNAPLN VPVPLSSAKRLHKTIRENFGTIVFCRRYLERLGVEKYLAGMNCLIQNGIVEEYVPLMD IKGSYSAQFEHTFLLRETHKEVFSRGDDY MYCTH_94999 MKSSLAHLIPAASLGHAISISLPPLIPSIPGVTEPLNDLAPPLP ILQVPTPPLDSPPFEASDIKPKKIGYFWTGAGDKKHKECAPPDFLATYSLDDDTFGTL LWVTDVPTSGNDPHHLGPSLDGKTLIGGGLLSLLKTQDTAFYFDTSNPYRPKFLKSNR ALLSSITDEIRAKPDGGFFITYMGSAVGTSPGRLVETDAEFNVIHEWPEDVEGTLNIL GQQFNPHGLSIDWERGNILTSDFVEPISILKPSLGIKKANTLRLWDLKTKKILNTITI PNGGGIQDVKHIPGNPEGAAIATAVQTGQVWIIYPQRKDANGKPGVAELLYDLGPKAR DTTAIYSDLTQDGRFLYLTLTTANHIAALDISDLGNVKRLDDPDEDQPTIGPHYVKVT PDQKHLLVTDYFVQTGSIGLINTPADFKALYIDILDDGSLAFNRSIDFSRDFANRGGG KPHSSVVFDLTDPEHPLYY MYCTH_2306702 MPPPTEEIRNWTRRLPDNSSYTISTDPSLIQLDAINAAFDTDMV YWAKPLPLPALKRCVEQSLCFGLYFHEEQEEEEEEANRSAKDAAAAPAPAPPKKKKMV GLARVVTDYVTFAYLTDVYVLPAHQGRGLGRWLMACLDEVLASWPALRRCMLLTRDEA AVRMYGATIGARVLRGRAANDDVGGEPDGKGKGSPKEKGLFILERKGPGIGFTVGEGG GGR MYCTH_2306706 MGKSSKDKRDAYYRLAKEQGWRARSAFKLLQLDEEFNLFENVTR VVDLCAAPGSWSQVLSRVLIKGEKFGRSAWQDREAKLRQHMLNIVPSDEAPGQEKKPG SGDDASPKEQPQPRQDVKIVSIDLQPISPLPGIITLRADITHPATVPLLLKALDPDYD PNSMDPQASQPVDLVISDGAPDVTGLHDLDIYVQSQLLFAALNLALCVLKPGGKFVAK IFRGRNVDLLYAQLKIFFEKVYVAKPRSSRASSVEAFIVCINFRPPKGFKASLAEPLG VGSRLPEMLAARNASAPTTAPVLMQNHDTGAWDTRPVRTTRTTDEDGICEVEVDDLCP EPRDKDTRWIAPFVACGDLSAFDSDASYKLPDDHVSLDPVQPPTAPPYKRAIELRRAN GGAYGKPPGK MYCTH_2306707 MAIDKKRKRNEGHGKRSKAPPASSKRQKSNSGKRVVNVDALPWK SVDVPEMFDDAEGFYGLEEVEGVEVVREGGTVKFVTAADAESEEDSEEFEGFDDPPED AQVSPEGTEVKGSASEEPAAPEASAKEPKAAKKATGADGKDAPKRDAETQKKDKKRGK KEKQSSKVESANGDPELEANVFAQAASLAEAPAEDNDLDMSEWVPLGLSPQVLSAIAR LKFAKPTAIQAKAIPHIMNGHDLIGKAATGSGKTLAFGIPIVESWLARRAEAQTTDKK QPIALILSPTRELAHQIRDHLKELCAGLTTGPYVCSVTGGLAVQKQQRQFEKADILVG TPGRMWELASSSNSVLGALRGISFLVVDEADRLLKDGHFKEAEEIMNALDRKAPGEEV VEDEGDSDDETPRHNRQTLVFSATFNKNLQQKLAGKARYNLMGDAESLEYLLKKLNFR EPRPKFVDTNPVSQMAENLREGLIQCGDLEKDLFLYAVLLLQPTRRALVFTNSINTVR RLTPFLQALNLPAFALHSDMEQKARLRSIERFRAGANAANNKKNNPAPSSSPPASSIL VATDVAARGLDIPEIDLVIHYHVPRTADDYVHRSGRTARANHSGISILLCGPKEAVPT RRLIAKVHAAAAAHMPRAKLASSAGVGVQTVDIDRRLVAHLRPRVTLAKKITETTIAK ERGAKEEDWLRKAADELGVEYDPDELEAAGSWKGRGSGRKMREKEAAAVSKAEVKQWR WELKELLSKRVNTGVSERYLNGVDVDALLRGARGEFLGQVEGLGL MYCTH_2306708 MHMASSSAPRPTTARILSKAESATGLMKTSNFPSTTTLDSGSGT SSTVSTPVAPPRESYPGLLPTWEAEQAIREFIDRHWSHRADYIKVDCWLTLPELRALG RLSREEGWLACRRLVRVPAIHEEVALSLWEHLAEEEEKEEEKEAEEEEEAGDAGPTAV VTVPSFSQMPLADPSAAGDARVVPGNNSSHEAAEARTRRRWPRPRQRRLRLRLRLKSL VTSLLLFPEARPPPPPPKPHTTPALNRKAAGNPHPCPFCSGDCTTSLAAAARQQQQQA HKPAFWRRENYASASPPPCPPPSPRLSGDTLTASRTGESAGRAEASASEKGDARSKPP RPVGPVFFLQPAPGLRLSLWKGQQRVGRERQRRASG MYCTH_2306709 MSEAYPSPQLTGVGRPPRSGRISSSPSLHETQRPRSLHLLVAAN GSRDVAFAEAITVRLSKEPQISTRAIVDDMTHRLAQEIIVLQNQSLRRGSPDGPSPRD IESCQQSASELVEWADLLVLAPVDADTLAKMMCGISDTLLLEVLRSWDASKRIIMVPG MSTQMWENPVTKRQMSKLHRKWGWIRVMPPILWHYDKSPHPKRIVEWDGFNELLGIIK NQADFLKLGHDMEISAQPGTPPAMRAKARSTLPPEIWSMILEFTNDWELATCLGVYTN LEMPTSQGWRREPKDPNDPLQVFMHSLEWTLLTADTKAVCDKLAHAPPTFHDLSALAV HLIFKFSLTGVLTYIEANLPHIFKCFDGKTIPTKASAYYGRTEILDWWARSPSFLEKQ YDVEALNHASGHGFVHILDWWRRSGLPLKYDEQAFEAASAKGHVHVLEWWREAGRQDP SIVIKPGRSLLAAAQWGQVAVIRWWEESGIPVGHQDAVCKMASRWGQVKVLDLWRQLR GDDKFQFDNQILIEATLHAHIPVLEWWRQYAHGELPGMGGRKGNRVEYKTMDVEEALE DSLGDQTKVRRWWAENGLNLGLGTSEWMKIRYL MYCTH_2306711 MSSKRHSMLPPVSSGPKPPVQFSSSIVIADSAVLTGTHPITIHS ESVVHPRARLDSLAGRVTIGRRCVVHERATVGAVGASGRVTEAAVTLADYVTVEVGAA VEAGDTFIGEGTVVGVGAKVGAGAVIGKHCTLTPHTEIAAGEVVPDFTVVYSNGMRRT DKRGVAELKNKGLARQIDVLRRIIPSNPAKFA MYCTH_2306712 MLSRTAAPTKASTRTISSAVSAISTTTPSQCRSFATVQDANAAA TVRTYGGLRDQDRIFQNLYGRFPPDLKHAKKMGDWHKTKEILLKGHDWIINEIKTSGL RGRGGAGFPSGLKWSFMNFKDWDKDNKPRYLVVNADEGEPGTCKDREIMRKDPHKLVE GCLVAGRAMNASAAYIYIRGEFVQEAAILQNAINEAYAEGLIGKNACGSGYDFDVYIH RGAGAYVCGEETSLIESLEGKPGKPRLKPPFPAAVGLFGCPSTVANVETVAVAPTICR RGGSWFASFGRERNQGTKLFCISGHVNNPCTVEEEMSIPMRELIEKHCGGVRGGWDNL QAVIPGGSSTPILPKHVCDDQLMDFDALKDSQSGLGTAAVIVMDKSADVVRAISRLSH FYRHESCGQCTPCREGSKWTEQIMKRFEKGMGREREIDMLQELTKQVEGHTICALGEA FAWPIQGLIRHFRPELEARMQKFAKETGGEALAGGWTHNAREQGKLVSPGM MYCTH_2306713 MTSGITVFEQFVRFGTDAYGIERLLRLLQALTTILLHFPTLSYW FLVFLAPFSSLPATLKTSPTTISPTTLTLLRKRLASLRQAVRLFRFLDSFAAAWSVLS SLQEQPPPQAQSQPQARHDEKEGRQGGPTKRTPATPGPAAGVVVAVVVEKWLDFGSKS FTGMYLLLESAVFVEAVLDVPGLRVWDSPEAVVRLVLDGQRFWFAGLVCGILAAAVRL ARSGGGARGGTGQVGGGKDGDSKDGGEENDDEEEEEEEEVREERKAARRKVVRRLAAD IMDLAVPGSVVGWVPLAPGYVAVLMFGSTILTGMEVWERCGRELAAQRAATMARP MYCTH_2306714 MPGNKKPKASGSQKKGPAAAEKSGSTAEPPAQLLDMVESFLSEH DFSDAHRAFTKQRAKKGWKSEKSDVAGKDSLVSVFNAWKTSSQKATTATAARASSDES SSSGESSSSESESSDSDTDSDSDDNTDVEMKDVAEEESSSADSSSSSSSDSESEDKKE TAKPAANPLKRKAASESSDSSDSDSDSSSDEEAPAPKKQKIAAAPAASESSDSSSDSS SDSSSDSDSSSDSSSDSDSDSSSESEAESKPATKKAAAKKETSKKKKTESSSESSSSS SSESSSSESSSSESESDSSSSDSDDESEDEAEEAAKVPLPESGSDSDSSSDSSSSDSS SDSESDSEKTETKTKTKSAKSTSPAGGGSDSSATLDRTSPKVYPVDKFAPLPPDPATV KTNNRGKGGNNNNNNNNGKVNQPFSRVNRNIQVDPRFASNAFTGHEWGRKAHEDLSVT RGKGFTKEKNKKKKGSYRGGRIDTNAKGGIKFDD MYCTH_2306716 MGISSSQSSPGSDRNGEKAAGQGKLLALSDIVKTTRRRRLAGLV ANPFRSSPSETAAAKGSTVTGRGSVAAKRPSQREVAHPPDIDRIREIPGQFLRELRGS SEKGRPGEESTSFWISKELEEKLASYSEKKAQEYSDLRQKGTEYERSLGFDSACTKTA KPIEVKANAVFQHLKKNDIARFYETAPKKRGYRGQEHPRFYGDHFLSNADLIEQTQLF ALCRAMPKGAHLHIHFNSNLPPSVLLGIAKDMKRMFIWSNIPLDRPEAYDLCRIQFSI MNEKAVEERGAGNLFHKDYGGGTVMQFQEFREAYPGGPEAADAWLQSKLVFQEEEAHN LLQTAEGAWEKFNARTQMMKGLFNYKTAYRRYTRQCLDEFVADNIQYAEIRPNFMSSN QVWEDDGSSRIDNVGIMNLIIDEYEAFQKDHQRRILKGLKIIYCTPRSFGEEQVGEAL MQCFQFKTDKKFSTYIAGFDLVGEESKGKPLKAFTRQFLQFQALCKAADIEIPLLLHC GETLDIGTDTDGNLLDALLLGAKRIGHGFALPRHPYVMGEMKQRGVCVEVCPISNEIL GLTPRISGHSVYNLLANNVPCTISADNGTLFRSRLSHDFYQIIAGKPDMTLHGLRQVV EWSIEHSCMEPELRSEVRGSWEKMWDEFCQRIVDGEFTLKDGAGEMAAEGDVAADKPV GGLPPV MYCTH_2315566 MNIQDLDLDEFTAFEGGASTTAYSSPALASVFDLSASASSSTTT VGTVSPQDLLIQEPFMSAPNSTALTALTSPSLYGESPEFGDAYDVSPTFGSGDFDTGS TDAWYPLFPQANPRPEAAPKPASAEQSPASKSDDSGVASAPGHRRKSSGTSPPSSRPS SIAGVGSRRRDKPLPPIIVDDPTDVVAMKRARNTLAARKSRERKAQRLEELEQRIVQL TAERDHWKNIALAHGAKE MYCTH_2306719 MQAVPSLAGTGTATAAAAVGLVFGQPPEPEVPWSSPVQHRFPAD YDGFRAQAVPPRPSTSAVPNYHPCSSPTEEFPYSSFHQLADEYPSPLASNPTSPLSPV SPTSAPRPMTGNSAMLPRSSSRRHSFIRPGDLASQPISENKRDSVSSRDFWIRRLSLR PLSQHGSRRSSVGPDSSSMAFSHGSGVPILGQPPTSRASPNKLVKRTTTSGQNGAGGD ARRRGSISQVLTLRRPATSHQRSATMQEFQHQGALAEPLSRGMKVPLDGYNPSPTSMT FAPTRDNAGQSGRWTSFFHARRSSVSGGDISGLPPGSSPLHNLFPRRRLSLARSHDFR AYLTKPSHLAEVSVSTDEVEVPPDQLTSGNKQDNKQDTSLPAADLATAADSMADRPPR RSFSMHFSSASNWIARTGNPRRPRRSTIDAKSGAGSDVPDPGAVPHGQAQTPVQLRLG GSHAPPKTEENPHHKPEPELGSSHPVRTRKRNSSSPLPPLNHLPNLSIDVTRGPTKAL QSPINYPHTPSVTTHSRGPSGERSVTLAGSDVSARDGTFRDDEDTDFKSDDMYDSFRT GASSNRMRSVETPAESVFDESPPSTANNGRTKRLSIQEMLGRSWDGETKITEEDEGVD VPTRPGHQAGSSEFDSQGTKNGFGFEFSGTHGSSLADPSLERLSFDDDDDDDWAQDDE NTLSNHLSPPSSTNSRRVSPTMREALRNISGNGSLDCQRDSMSDRPRSNIFDWSEPSV HDKLDSGMPRPKTAHGKQEPDLRGGRTISRKPPGAAHIRSQSVPVVVPDLSDGSKQLP KFGTWGLGTKTASEDWDDDFEFDGDESAICSPGGKDSAMSFSVVVPASIQATQPSVRA HSGQIRELSLLVNDLKRLCRHGKDLDILQGPLASKWADAESIIALASPDEEDEDLDST KPSMDFDRSKIDEQFLEDGFDGSFLDHIDKSFGFSESEMSKTAVIRERHSPKRRSVFS PEDDIFGNWPLNERSATPSRCSSPSRNSAVVSTVIEAIKKRQQKNDRVKGPGHNTPDT KLFFDTNSLQELVKRAGQLRDTLSDAVRKAELLTQSPAGTPRRERHSRHQNPDGSPAF TRVFVEPGSSPPRRLPNSRSNPSILSRASIDSPRMQMMTASLMADVQCLKYSNRDCIC RTTSLLV MYCTH_2306722 MEAEIRAVLPNIDPVISEYSAGYLTHASTAWAGDEDASGRSPLD EAAATITELLLSASGNAGAATHDKIRSLVEKWVDKYAAASNSEERRGPAVRRLDQTIQ VSAQRNISSTLAVATGSVDLESANTRKVESKVDKRKLEKAERKIAAKQKKKTFKTVEY EASRLLNQPDPTQSYEEFYMAVNPLQMGSQGGKSKDIKIDNFDVSIGGNRILTDTSLT LSHGHRYGLVGHNGVGKSTLLRALSRREVPIPTHISILHVEQEITGDDTPALQAVLDA DVWRKVLLKEQAEITQKLADIEAQRASMADTSTDALRLDKEREAQDQRLGDIQAKLAE MESDKAESRAASILAGLGFSPERQQYATKTFSGGWRMRLALARALFCEPDLLLLDEPS NMLDVPSITFLSNYLQSYPSTVLVVSHDRAFLNEVATDIIHQHSQRLDYYRGANFDSF YATREERKKTAKREYENQMAQRAHLQAFIDKFRYNAAKSSEAQSRIKKLERMPVLEPP ETEYSVRFKFPEVEKLSPPIVQMSGVTFGYTRDKILLRNVDLDVQLDSRIGIVGPNGA GKTTVLKLLIGKLQPTSGTISQHPRLRIGYFAQHHVDALDLNASAVSFMAKNYPGKTD EEYRRQLGAFGITGTTGLQKMALLSGGQKSRVAFACLALTNPHILVMDEPSNHLDIEA MDALADALKEFQGGVLIVSHDVTLLQTVCTSLWVCENGTVEKFPGDVQAYKKRISAQA DAAGVVKAH MYCTH_2306723 MREIVHLQTGQCGNQIGAAFWQTISGEHGLDSNGVYNGTSELQL ERMNVYFNEASGNKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDQVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICMRTLKLS NPSYGDLNYLVSAVMSGVTVSLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAHSFRAVSVPELTQQMFDPKNMMAASDFRNGRYLTCSAIFRGKVSMKEVEDQMRNVQ NKNSSYFVEWIPNNVQTALCSIPPRGLKMSSTFVGNSTAIQELFKRIGEQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAGVDDEEGEYEEEAPLEQEE MYCTH_2111197 MTLRWGGKELVGGRKRKLGEILPVRLQLIVWYDLNRRVLGLGST LMMTRGVAQCPISVLCKDKAVPSLWKQLAPPAKWHANTADHRGIALFTDGGGLGLGGK PHLPVPSSDPSSDLNHVDDAAPLRFSKAPSQPEWLELGPPFGSSTLHVVMTTGSVLAE AVLEASQRLKKGWAKALTSSAHTSPCCDLVA MYCTH_2306730 MFAMSQHTYAYPVQSAPAPARQYSNHSTSSAFSSSANPDEDWTK ISDLAERRRIQNRIAQRNYRKKLKKRLEELERRAGSSDDTSSSGNEKQSAPAKAKRTQ TTKAQRQQQAPPPPPPPPQPQPAQAKPTVQGQYTPPMHHDDEYLFPPSYDGRERSHTP PMFVYSTYPAPPEEMMMAQYGGMQGYRPMTTEAYTEYLSPPQPVPVTLPSMTHFNDAI KREPGYPSALPAEDGLSYMNYNGYPSVVPGMDMNAGHPSPYDQMPHTPPSLSHSYDHS AACSDSGSYDAYPTTPLSMPGSPGLVQQG MYCTH_2306732 MGHVRATTLRFGVRRAHTPRVRARVCACVFVTVVLPLTRMKGEL PVRFSHSHYAPSARHRRELSWEKRPRGEGQKCGMFMLAAELKPSSS MYCTH_2306733 MAAADVDLAYLSTQGGVPESDLNTIVTAPTADLVAKVLGAIVIK LRDLEQEKFQLSVELEAAYRGAESRCEQFKATTDKALKEVEEVRQKLQSEESARRSLE NELEALRSSGTTSLSEIETLRARIASLETSNRETLAIVDSKTTANAGLAEELQKQHQK ILKLNQEITALNQSVQTAQTAANSAKYREESLKQELELAKKNNDWFDNELKTKTAECL KIRKEKGARIAELQRQNEEALSNIESLTRTEQQLRKRLEEAQAKAEEALTKVQQLQES AARAEESFRQELESSRRLVELKDQQSQTHRNRLKEVELRLEQVKDDCAEEIRRVRREL EKEREDHAQTEQQLQEIQSEADRLRAIADSNSGVPGSAPQTPRANGSLQGRPSSPFGT PASIRGKASYRATETLEELLRVKAQLAGEQRRSQKLQEELDDAVSLWEAKMPEYQEMV AENERLRLESAHMSELAEQSYEQRDAAVKAARKAEGAAAAAQAEVKILQAQLRDLSTQ IHVLIFNIHAREKGMDQLTEEEVAQFERLQRGEVAENALDDMSDTHRFITERFTVFKD IYELQQKNEELLKLTRELATKMENEEALAAKQQAAQDHEEVQELRSTVSALQDEVQSI TVRMKSYMTERDMFRRMLQQKATASEIQQALGLPREGGQREVLASIEQPSQAEEANLA VALRELQAHFDSYRNDQAADRNAMKDQIEKLSVEKSALQSEVSRLSSQLTLSTERYNM LESNFKALQNEKQELQKRNQSLSEAAAKQDIRTQQVAEDLVEARGLVESLRSETANLR AEKTLWKTIQERLSQDNESLAQEKARLNTLLANQQSILNERELSESETRRRLQAQVDS LTSELGTTKRKLSEEVEESKKIQLRKEFDAQQFQKRIDELTAMIGQIKEENVQIKTTR DHLQARVGELEIELRNAQERAERLRPLPTPRPGAINERSTADSESQARIEELENEVQE LKNSLDLLNVQLDNAKQQAEQFKQLSKDMEEELTSLNETHEQYRAEMDAALEAKANAA NELQQRIEALTIGLSNSNNELNRLRDSQGDIARKYEEKERMLNSEIARLKDEEERYKE AARFHQQDLRAQAEIATKAQQEYEQELVKHAEAAQLLQQIRAEHNELKTKAAAWRAEA ESARLSLSQSEQSWEERRLQLEQEISELKTRREDVAAQNKLLHQQLDSVTAQITALQQ NRVRAEGEAPPPSVADTATEGLRELNNYLRREKEILEVQYDIKVQEAKRLQQQLEYSQ SQLDEARLKLDQERRAHSNSSKTSLTYKELMDKLNELNLIRESNVTLRNENHRAQAQL EKKNEQIAELEAKIQPLEARISELELDKGFKEQEIRQLQEAREGLQKRIESILSKYGQ ADPQEAEQLKETITSLEAERDALQQKVKDAEEALETKTNEWKTTKERLAEDFKTRFRN MKAQRDEANTEKNTLQSSLDAANQRLADVERELEAAKQELRGLTEKNKALEQQAQQAE QAAPAAAPAVEQPTAAPADSAEVAELTKELDSLRQQLEAVTSQKAAAEAQVEQLKTEL ATAISERDNALEQALAAKSGDAVMADAAPAPTQPATGLSAEERKALEERIAVAEAKAA EFERKAKELEEQSDAILKQRSDKMKNALNKKLTESKEAMEKQAQEDRKKMQEEFELRL QQELAIIKAEQQTAAPRNGVPSTPAKPSSEAQPPQTPVADLNSMNDAQIRDLVATNPT VSSIVKANVKRMVAAEVKKAKEEAEAALKAEYDQKIANARELAEKKSSLRINMLDKQN KTAQAKLSVVETAAKDTPQRPVIEVWNIAKEAKPPVPPPAPASTQLSAASAAAHPAAA SPPPAAAGQPSQPSQAASATAVKDESKPAGSGTAPPSAIPQPAKPASGIPPPVVNNPF GAPATAPSSSLPQPAATQQQQKQQQQQQQGQQQPPQQQQPQQQQQQPQPQSQPQQQEQ QQQQQQAQPQQQQQPRGIPVPSGRGGAAGRGARGGLYQAGPGRGGQGQRGRGGFGRGG GNAGLNPNAGEFNPAGGGGAGAGNKRPRDGADGGGPGEGGRGGKRARGGG MYCTH_94982 MARPRLSAATMLALAIVRTTAASIEYAYVTDMPAFSAPEAVGYN IQSLTYDACPEAVSTLQSCVCTKSNNFANLVRDLGVSVSYECGSTASEGQASAQSVLN AYCNPSKDTLILNSDCKYATYNSTNHEVPRSWARCPTEAPALASCACKKNQNSFVVSQ LINPAKSWCAGHMADVSSAQAMFAAYCAMNDATTKVSGAVHRPPPRRATQPYDLCPGG PQALASCVCLKEGMTGDVLKLVTSSVEWHCSLTATEDISSAVAVTPPTRTGRSWLAST TSHRSNRLHMQQQQQQQHRYLLHQVPSPAQSPHPQRMQPGYQSYNAGTPLPGLYGQQQ QQQQPRPEASWRAGPVPDLHEMDAGHRAGGMEQR MYCTH_2031920 MADIFNYTYPSPLAGYEDAPPLPEERAEDGKSYRNPQTGVLSSA YERFPDPLDNGRRGGFDVHVYYFQNNPEQTQYARQLWERIRREFPELRIYTFWDRPIG PHPVAMFEVNLLSPAQFGAFIPWLAIWRGPLSVLVHPNTTEADGGAELPLAERELRNH TQRAIWMGERLPLDVARFRNLGKPGPAAGAGVTEAAGSAA MYCTH_2111202 MTEHEGAAISAETLSGQVLHIPDLRPAFASWKQGVNPNHEWVKT AVEERLEDLIENKEVLAKVKATDITLFASGLFPTASAEDLKTAALYYVWLFLWDDVID GAEADGAGDALAAEAYCRRSVAFVRRALGVDGAETAPCPEEGAPTRICESFGDVGRRI VERGCRAEQRRGLFEQLREYMEGCVTEYKWRLSGRVPSVEQFYSWRLKTSSVDAMLEL CRIINNIDLPNDILGSEEHAAMGRSVNKLLIIKELKDGSFGNLVPVTMRALNLDLEGA TQALIQDIHGSIKDFNDNASAIRSRIVPEQGVGVAEQLRRLTESYQAIATTVLNFSIQ SPRYGLSKYRQEDGSYAVPL MYCTH_2306743 MADSMCGPSNGAKNLLAHIDRDRTHHQDRLVTAPHAGAGNAFRS QTPFANGAESAFGGFQQGGAPLDAAFGPGLDINNVVDARAHGHPVPGVPSTIGHMGPG APAARLASPATGGASHQEWVNQFSSMQIGGGAAGPSTAMPSQMRPTAMGALNPAMNVQ QPAFGMPLYAGVPPPPPVFGFQNPAMNPVAQHQQQQQQQPQATVSQDSALDVEAFNRA FGEYDDANFETELADWTEKQKIANNEFTEAQDKWMAEHGPRAEPTTEVKPPSADEMEV IDANLEKLAQEQDKRRSDDELARAAVDIVNSVADNTSEKFKNSRFFELMRRIGNREVV VEGDSFVNAVTGETVNTSHDDDDDDDGGGANGHHESGKGSDSATPQKTDGAAAQGQAA MYCTH_2306744 MAAGTQVIANSGHDDMIHDAVLDYYGRRLATCSSDRTIKIFEIE GETQRLTETLKGHDGAVWCVSWAHPKYGNILASAGYDGKVLIWRELNGAWQRIFDFAL HKASVNVVSWSPHEAGCLLACASSDGNVSVLEFRDNSWEHSIFHAHGLGVNSVSWAPA TSPGSIVSSKPGPKSTGNRRFVTGGSDNALKIWAYDPATNTYKLEREPLTGHTDWVRD VAWSPTVLQKSYIASASEDRTVRIWTSDPANPLQWNCKVLNFDAAVWRVSWSLSGNVL AASGGDNKVTLWKENLKGEWECVKTIEE MYCTH_2306748 MIPLTKRAAAAALLLSGVTAQDTTTIATAIGSVPSACATLTPAY SPPVVAKGWKAQLIATGLTRPRGIKSDSNGGLLVVEQGVGLTHLTLNDKGGTCVSVAK NVSVIEDSKVGNIQLNHGLELSEDGRTLYVSSSEYVDRYHYDPDTAVVGERTRLVANM TNPGGGHSTRTLLLSKSQPDLLLVSRGSATNIDPLAADQSTGVSSIRAFNISDAATGE NPYDYPSDGLLVGWGLRNSVGVAEHPVTGTIWSVENSADNVARLGQDIHEDNPGEELN YHGLLNDTSSPLLGANYGYPSCFALWNTTDFPSQGDLVVGSQFSLDNDATINDTYCAE STIPPRLTFEAHTAPLDIEFLPGNGSRAFISFHGSWNRDRPAGYKLAYVPFTSAGEPA DESDSRGAARNILTPPDVTQCSRRGACFRPVGLAFDAAAQRLFVSSDATGEIWVVLRD GEEEEEIEGRRTATDTSAQPGSTSSGAAMPGAALRGVGPEGWVVVVAVTAWMMVVGGL GFIV MYCTH_2306750 MAAAGGAASIITQVQQGGPPLNPLGDVPGDETIVMDLRGTRFKL SRDELLTLPEFVLLSLFPNGLFPEGHMGGGFSEGDAVQVDYDPASLQYMLDFFRNVAQ SIPTEPSNGQEGADGLVSFDPSARDESSRRAGIIVLREDLDFYAIPPKQDIEQPEMIE VKRAAARALLKQDGIFSGLKKSDEPGTTEAHLIEMLTAGGFNHDDRWGHRAPEPNKAV ICSLALARLRSDIRGNEMGSGAVGMAQKLLLFWRKPARRCWWEGVELENVEGLPAGTK LKVWIRRVWTLEMSVIGLR MYCTH_2128009 MSYKRSRATYEADLTAQRSPYVAFGTPLPPLDSEARDDGSYVPL WKQEVRDERGRKRLHGAYFNTVGSKEGWTPSTFVSSRTNRRKDDPNAAQRRPEDYMDE EDLADAEEARKIQTQAAFSGLGSTADDATRRNGLMDLFRAEGDTMGTRLLKKMGWKEG QGIGPKVRRTARLELRSDTGDPGETYLFAPEDVPMISFARKTDHRGLGYEGETSRKKE REKSRGGIGVGILNDTGSDDEDPYEIGPRISYNRVIGGDKKKKKAITAINPALKAKPA FIPSKKSGLGKIALGVRKCHDGRLPLDGFVFGREPDALTSEINSEGKYPPPKIPDGWV SAKQSKSQAGATGYVSTAEAAMASTLDPKARAAILGEKPLPGKSVFDFMSAEAREHLA AVTGKKDLPPARGEVPAEYALSEEDRLQELLSRVPQLGKETAVAAISRGASGNAPYRD DEAKRARYIAFLEYQAGFKPTPGTKPPKMNNEEWLREMHEFYNCARIFRPMTGFMASR FTTSTNQSSPGSGGEAEERDLLSKPPAKPQDPSEEAAKLGMFGPMTRSVTEFYPARLL CKRFNVKPPEHVQANDPGAASAASGKAKYDTATQFSATQAYGSSGQEPMALEFGSVHS SSAGTTRDAGSNLEDEAAGRQPSGPGKAVIDTSRNEALEGERAGEDVFRAIFGDSDDE D MYCTH_2306759 MPMRSQSVRTRRPPTASRPEPLPRSESSTRAEASRPHRRSSQRS TTGAAQSPRHPPSDVPAPAAEEPHDRAAANAAAADHYAQRPSKHRYRTVIPAPSGNYA FIKTIGQGSMGKVKLARKEGTNELVACKIIDRVSPDDGRLSREERERADAAREDRNAR EAAIVSLLNHQYICGLRDNLRTRWHWYMLFEYVNGGQMLDYIISHGKLKEKQARKFAR QIASAVDYCHRNSIVHRDLKIENILISKTGDIKIIDFGLSNLFSPDEDRKLKTYCGSL YFAAPELLQARPYTGPEVDVWSFGVVLFVLVCGKVPFDDQYMPALHQKIKKGEVDYPN WLSSECKHLISRMLVTDPKQRATMHEVMNHPWMLKGYNGPPENFLPHREPLKLPLDEE VIAHMTGFKFGPPEYIREELTKKITSPKYQAAVRRLEKEREQPQPNPQGTEKRRGFGF DFYKRRNSITSKETLTNGSSDGLPIGDDPLNAFDPMISVYYLVREKFERERQEAQAAQ PAVPPKVQQVPPSSPQQAQAPPPVPPSPIARHKEKHSLAEIVPPQPAHTENSRTRHRA RSHSEDQVREPVQNGLLSPDMVPTKKGGNGPVSLLRRLSTRDRRKEPSDGKTISLQKS VSKRAKSLGHARRESIQARRARREAEARDQPQTPHTPHQEPPLREETDAELGLEGEGE GGETSGGSNERLEPEDPDLAKPVYLKGIFSVSTTSTKPLSEIRADIKRVLKMLGVDYT EIKGGFSCTHAPSIAEEERYHSPSRGEERVADGEIRFEVLIVKVPIVSLHGVQFKRLG GDTWQYKATAEQIVRKLRL MYCTH_2306762 MASSFRMPAPRLLLTARSATLSSATASRLRHAQGWTKPLPPTSV SPLSSSTTSSFSTCHAMRSSGAHSGGATPSPSPSYLALSLRRIVPNPRTRAALAVGVV LLAAVDTYAYVTYWPKIAGKGRGGGVPEVGGEGDSRE MYCTH_2306764 MRWLQGIALWAALAPLSHANVPDPAKDATPYHEEFVLFRSANMA SPDKLSSGVGFHSFRIPAVVRTNTGRILAFAEGRRHNNRDYGDINLVYKRTKTTTSHG ASFSDWEPLKEVVGAGAGTWGNPTPVVDGDTIYLFMSWNGAQYSQNGGDTLPDGSKTK KIDSTWEGRRHLYLSKSTDDGATWSEPEDLTETLTPAGRAWDAVGPGIGIRLTTGELV VPAQQRNIIGRGPPGNRTWSVQSLPGAGSEGTIAQTPDGQLYRNDRPGSNSDYRRVAR GNLTSFGAFADDTGLPDPGCEGSVLLYNLADDKGPARVVFMNSASKDSRRAMRVRISY DSDAAKFNFGRELGDAPVSGAGYEGGYSSMTKTADYKIGALVESDFYNDKGGEKSYRA IIWRRFNLSWILNGPRN MYCTH_116363 MHILSTLSLAALSAPLASASFDGNINYLSPSRRHVNLGIDVPAV ARRSMKRGAVPYKPSELNFTHGIASGDPYPDSVILWTRVAPSLASDNSNVTVEGTVDL YNHETEKYVKADPNPICVEWKVWEAQEKGSKRPGHGGSGKHIVSQGTAYTTSDIDYTV KVEAKGLKPFTTYNYQFNVCKSDNASPVGRTKTAPRENAKLDEINLAVFSCSNFPNGY FNAYGNAARKDKHDYVVHLGDYIYEGAQGGERAHNPPRIIFSLWDYRTRHGQYRTDPD LQLLSQNYAWMPTWDDHEIANNGYRDGFSALNNTEDSFRKDGPSISVDQRKMNAVRAY FEWMPIRQVDLDDNLRIWRSFKLGRLLDLIILDTRNYDRSITSLGWNDHYIDLIRDDA SRSLMGSRQEHWFYNQLAESSRRGAAWRVVGSQVIFSDINNSGDTWSGYTANRNRTLK HLYDNKIGNNVFLAGDSHQNWVSDLAWLGEKPYDSQTGEGAVGVEFAGTAVSSSGQSG PILAAREKARQQVEANELMQWQEGYYRGYFILRIREEAVTAQYFGSPSVATPNAWDLP LANFTIASGKNHLTRPVAGGNVEAGFVRGGTTTGTNLTLNTETGKWDVVGFEKMYIK MYCTH_2306767 MLPGVTAYNSALVLLCATLSRIPSCVAAPWTEFLSRRFDLILDY SPAPPPEDGPPASAGALRDPAFLPAQIGGIVGAYALSLVLVALLLLALSKRRREHLRN RDLAPEEGGVFFSGFNPFPEPFLLQSEEEYKRQLEAFQQLTELEQQQQFHLYQAQPEQ PFQQLSLEIPTSPIRNFSLPSTSPLSPTRTGPLSPTKSQRSLFTSPSPTSTIRAPGLE LVVDQTVLHRDRTMAQQQLEEMYKYVMEHEQAKAEGREYEGPPIASPSSGASPTKGPK KERNKPSNLNLSRDDKAQSRGSSFLSFLKSPRKSKMNANVTISSPILTPMSGTFPRHD DQEMNAMAPRHYAPAAPPPVPSSSDLSFRRATASTAGGSHHLPTPDISPVSSQSIDSR IDAAIGKPPSRAAWRERHEREGRSSNRLPSHSHNDSSATSTAGDHEPVSASSERSTTR LVGLPASPRPNATRFPSDLSLPASPRPHQQSFQQQQQQQQQSGPNNNSNSNDSNDSSN NNNKNNASSSTSSFPRPSAVREGGTLPLRTYEQQQQQQQQGSSVTSPTAASFATTTRQ TVFTRAEGPLSPGTALPTGMRTPWTGAPVPYSPYQPFSPVVPITPSVVTRADRKRMKK LEPRTPTVEMVRSDEELW MYCTH_2306768 MASLDGNAPELFANMDGGLDVFDLGDAALGDSGLAGDFGDGALG SSEPISTAPTSVTTTTAAETVSPISIAGGTLSDASPDVAGPSHQQQQQQQQQQQQQRQ QQQHPGFFESATTPQWEFQNTPPYDSPINPFGTAPQPTWELPLNLSKPPQPQQQTSSY PQTSTAATNDPNPAPSTSAGNVPLAPAFAPSLQPAQPEHIRNIQDTLTPAQRERLKSI AMPPHLQYHSPQSNAGSPDSASSGQDKAALSSPDPAAPAKPTSRKRKSSASADDDDDE DEVEQPVKKTAHNMIEKRYRTNLNDKIAALRDSVPSLRIMSKSARGEDTTEDREELHG LTPAHKLNKATVLSKATEYIRHLEKRNNRLLEENSNMQARIAAFEKLFMAGAMSGQLP NPLQQQPAPMQYPQDTSSYLNTPMATPRGPDPPGMIPIPDDMKRILAAQQMNAGRPYP VPAQQFGQNPTFMRQQQIQQQQQQSQAQSGRWNPYLGKLMVGSLAGLMLVEALVESEP SGDSTDSRGLGLVPSVNYITSFVRSSHVSVGGYYVSAIHLLAKLRLLSLLGFVFWLAC SSFVDTSAFKPSNKTENTAAVVKAVPSLASPIHVRRQAWLTAIQTVWVPRHNIFLEAA ALLLKTWKYTLRNLVGSHSYLSLAGLSEEQEAARIKAWSIALDAQLAGGDVEINKSRL TLTLIASGTLPDTPLRLMLKALHIRVLLWQLNGARWAANVIAAKLARDRWNEARQLNR ILNSLPEGDASADDALPEYLATLIEQDCDEVLTDAIIQRVHNLAWNRHTTHNVVGKID GMDTVVEDAAVRSPMDAVAAWYSCLILHRVLLASLRQPRDDLAAAHRPEDAIALAAKI APIGSNAQVRALVARAVFAEGARGSHIVAALQALGPSVNPDKHQDYRRGVPPLIDSPM TSIMPDPDAQMALRCAMGIAQLQKFENPPTAAYPIISSVLPAGTDIEKVSLLGYAAAF RLMETLNRHAVARETSARSLERLAGSLRIWMGCERAAGEKLGVDAAMRSAMIKRCLAV TKSVVGMEAEADPGYWSMDDCAGADGEGEDGC MYCTH_2306772 MRFIIRDNAEAASAYVANYIVDRINHFAPTPAHPFVLGLPTGST PLGVYKRLVEKYKAGEVSFENVVTFNMDEYIGIPRDHPESYHSFMWKHFFSHVNIHPS NVHILDGNAPNLEAECVEYEAKIQAAGGIDLFLAGMGEDGHLAFNEPGSSLASRTRVK TLAYGTILANSRFFGDDLEKVPKMALTVGVQTVLEAREIVVLALGARKAIALQRCVEQ GVNHMWTLSAVQLHPHSMIVCDEDATLELQVKTVKYFKDIEQVAQAQGFEQILPSKVR TGPATVPTTIVTDEFPASTIHSPQPTTSRLLRATPATEYPVRSRSPSPDLSAPRRHLR PVSPPAGNESAEFTEGTEYTTVLSRSPTPDFVPDRMASRIGDPSLLRRSTPNPERQRP RSPGLPNSAPLMNGIKA MYCTH_2306775 MFERATGGRGLFVKIVQYPGVASVANGSSIAGVEWRKTVATLER DREVGTLAW MYCTH_2306777 MPLVSAMMSLREALLAAVRSLLRGKSFLKALLAFWTASPVTPIN SQDAGAKVNADPAADFLAEAEHLLLGFIEAGGLKRFSRKLKRQFKERLWSNTECMLPS YNSQLPTGRESGQYLALDVGGSTLRVALVELGGKGAEGAEGSIIRMDTFKIDSHVRNL RGARFFDWMAERIQQTVAKDSTRGHVPDVPLLMGLAWSFPIEQTSSKGGKLSVMGKGF LAHEGLVGQDLGDIIKSACQKQGLHVELSAIVNDSSAALLSEAYVTPSTRFSLVLGTG VNIAVHLPVNTIDQPKFGDRPSSWHEKASHVIVNTELGMFGHDILPLTKWDKLLKADH PRPDFQPLEQLVSGYYLGEICRLALLDAIASTGIFGGNVPPSLRTPYSLDTETLSLIE ADTSPSLSDALQAFAARHPIPSYRPTTADMSFLRTLASHVTRRSASVVAASLHALWEL KAEAERAMLASLPDPSGAFALETEAEIALASSKTTVAFNGSVIEQYPGYRARLQAFVD GLLAEDDGDGDLKKGSIALVEARESSLRGAAVALACLS MYCTH_108157 MAGFSSMPALSSGAAPGPDSMSISASEMEAFDASMAFDDSMLSV HPPYLFDNDGHANHTSPASDPVNVPQIPFTPTAYDFENFSTTFEDPFSYPARPFDGTG RSHSRGPHDAAAAPTDSHRSHHDLYGHMGIGGASPQDDDDEGPDNKLLSFGPPIPGKA TLLTDTGQFVEPAMTGELYGMFFVAEDVFGADSNATGRPLELTCYRRNLWQISGQITL PRHVAQYVDDQGRRGPVVELAASITAVESIEGRAAEMITIPWRGSNAPLGAEESKAVS APPVVPLDFSGSGQEVDGGSRVSVPVAWKRLQFKHATANNGRRKGLQQHYVVQINLLV KSKAGEYIKVAEIQSGPVIVRGRSPRNFDSRKEVPLGDKRPLERRNTEGSGSAAAKQE KQERQDDGTPVKTQRYNPTTGVQQSPSDRATPYGMNTPNPQHPNKKLAVTSPNVNRPP VPSWGSLEPPSSANAKQNNAGNANPNAAAVRQRSNSTTGPQPLPLSLSLSEDERSPPN RSSSNDSSQSPRLTTKASTRSSTGGGAGLASAGQAGSSSGAHDHLGGSLDSDDMLYEY FPLSLDDWMPPVDAIYRPHVVHHTIVPPEVKAQQVRSKAKRYFAAE MYCTH_2306784 MSTLDGDLDPLWQDLDWAIGQMLIMGWDGTEVTPQIRTLIEQHH LGSVLLTAKNLKSAEQTARLVQELQTIARQAGHPYPLLIALDQENGGVNSLFDEDYIC QFPSSMGQAAASSADLAYKIAKATATEVSAVGVNLILGPVLDVLTNARYQPLGVRATG DDPQEVSQYGIAAMNGYKDAGVATCGKHFPSYGNLDFLGSSLDIPIITQTLEELSLSA LVPFRNAIATGKLDAMFVGGCGIANPSMNVNHACLSEQVVDDLLRNELGFQGVAISEC LEMEGLRNEIGVKTGTVMAVEAGCDLVMLCRAYDVQLEAISGLKLGVENELITKERIY TSLRRIVRMKKSCTSWQKALNPPGISLLSKIHPGHLALSLKAYDDSIAVIRDNEKLLP LTSSMHQEEELLLLTPLVRPLPASSMTKALLEKKQIDPVTLHDKWVHRDRGAIMSGEG VFRELGRSLARARHGKLLHTSYTANGVRPVHENLINRASCIIIVTADANRNLYQAGFT KHVSMMCSLLRANGQKKSLIVVAVSSPYDFAMDKSVGTYICTFDFTETAMAALVRVLC GKIKPRGTLPGTLRKSRKVLKSRQHWLVEPYDRDRDAKGLQDLLQSLARASAPAHQFL QTTSAHSFELFSPNIEEAHFVVRNSSTQALYGFCATYFVQGTGIIGALFVDPAKRNVS IGRSLQRRALRGLTQKRGIKKVQLGMSFPGVFLGIPADDSAGLKQWFTNGGWDLQFPR RLVNMAVSSLNSWIAPEGLLQSIQRAGITFDLIHGLDNADNVLAHVSAHASPEVLELY RFALQETKSCGVVRAKGHAEALLGTVIVCKPGSTLASFIPPLQPSPARPDEPVGGIVS PIVAPSSAQATLVLQGLALMGLRQNKTHKSLKSVLSWVQDDAHEPLLAMGFEVVQVFE EFTNSPDNWQDFS MYCTH_2306786 MPSAIRSGSPRHTGITKLTNCRLVRGDELVWDDIWVSSATGKII RSQSAFYDELIMPDEVVDLGGRIVSPGFIECQLNGAYGFNFSTMTDDMSQYGKQLRDL NKKLARTGVTSYVPTVTSQTSELYKKVLPYLGPSGSSRRAHDGAESLGAHVEGPFLNP TKNGVHNTSVLRVANSLSDLEDMYGAANITPSASSSSSSASSQDSDSSSTSGPEEEGK VEEKKHGREGTIPIKMITAAPELGAMTSLIPALASRGIVVSIGHSEATYEQASAAVAA GATMITHLFNAMRPLHHRNPGIFGVLGAPEPQRQQQEQQKQPTTPPPSPQWQQQQQQQ QEQEQEQQKKQQQPPKRPYFGLIADGIHLHPATVKIAWHAHPAGLVLVTDAMHMVGLP DGRYPWTNGEGEHFIVKKGSVLELEGTGGVIAGSSITLVECVSNFLNYTGATVPEALR AVTATPAAMLGLEVVKGCLEAGADADLVVLSENNDEGGRRELVVDEVWKFGVRIFERG RDC MYCTH_2119272 MPFQHHRRGAPAPNRALAATISTGEEKLASRFQHHDDADNPFLS HPRAARAGDKYLIGVGKADITGPVVEINFAGYANMEQVGTGLRQRIYARSFIIGEVGT NNRFVYVVLDTQSGDTAVRNGVLEGLAALGPEYSVYGQSNVAITGTHSHSGPGGWFNY LLPQVTSLGFDRQGYQAIVDGTVLSIKRAHESLQEGYLDFGTTTISDANINRSLYAYL ANPESERAQYTDDVDKTLTLLRFRRASDGKSIGVLTWFAVHGTSMLGNNTHVSGDNKG LAAYLFEQSVKGTDQATDGFVAGFSQANVGDTSPNVLGAWCDDGSGSMCDFKTSTCAD GKSQSCHGRGPLFQKLDLGVSSCYEISRRQFSGAKALYDSFDSKSTPVVGSTVRSFHY FQDMQYYTFPLDNGTVVQTCPAALGYSFAAGTSDWPGAFDFTQGDSGSPNNPLWQVVS GLLRAPSAKQKECQGPKAVLLDVGEMDTPYAWSPNIVDVQTLRVGQFIIIVSPSEATT MAGRRWRNAVKEAASEYSITGDSEPFVVLGGPANSYSHYVTTPEEYAVQRYEGASTLF GQWELPAYINLTLRALPYLAPTAKDSPPPGPTPPDNREKSLSFITGVVQDGTPPGKSF GAVIKQPPSSSARGAVISATFQGANPRNNLRLEGTFAAVEKLGDDGKTWTQVLSDYDW RLVYTWKRTNFMLGYSEVTIDWETDEQDAPGTYRIKYYGDSKPLIGSINAFTGTSNSF TLT MYCTH_2306791 MMPNPHVYGHHQYPQAAETAWMHQQTSHQHHTQAAAAAASVAQQ QHYNRIASAHNAAGVLTQAHAADNTLESTVSEDNRRTLQYIADLLNENTREGALLELS KKREQVPELALILWHSFGVMTSLLHEIISVYNLLSPSQLTAAASNRVCNALALLQCVA SHNETRTLFLTAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDSSEVINFLL TTEIIPLCLRIMETGSELSKTVAIFIVQKILLDDNGLNYICATYERFYAVGTVLSNMV AQLVEQQTARLLKHVVRCFLRLSDNARAREALRQCLPQPLRDQTFSAVLRDDAATKRC LAQLLINLSDNTMEPTVGQLGL MYCTH_2306795 MRIPGFKAAIFPEESLPVFNNVANLGLLVFLFLVALEVDIRLFT HNWKAALSVGLAGMVLPFGLGFAIAYGIFHQFQPGNTEHPVGFGTFGLFVGTALAITA FPVLCRILSELNLLRCDVGVTVLAAGIGNDVTGWILLALCVALVNNSNGLAALWALLC CIGWTLVLVFLVRPPFMWVLRRTGSLQNGPTQGMVALTMLMILVSAWFTGIIGVHPIF GAFLIGLICPHDGGFAIKLTEKIEDLISVLFLPLYFALSGLNTNLGLLNDGITWAYVV GITVCAFVGKIAGGTLAARANKLLWRESLTIGCLMSCKGLVELIVLNIGLQAGILSET TFTMFVVMALVTTVATTPLTKALYPPWYQKKVDKWRRGEIDWDGNPISSSDSDQHHEK PVESQIRRLMVHLRLDSLPSLFTFIALLSPESVSTPVPAQSDEKESGSQSEVVRVKKK PLEVHGLRVVELSNRTSSVMHLTEGEDFYSLQDPVVNAFLAFSQLHDVAVSGRVAVVP TDAYAETLMHRASEVSSDFALIPWGEYGSVSDDMSLPVSMSGSERFRPNANLEFISQT LQQAAHTCNAGIFIDNGFGGITKSVDRPGLSRSKSALSIRSFRTELAALPVANKSHHI FLPFFGGPDDRVAIRIVLQLALNKHVTASIVRVNWPTSAPAETSTASAAATTTTTHPP NAQSALAHQEQLDAAFFSTMRDSLPADLAPRVVFSELDISPSTAVPTALTEILLLAQR TVGQTPKNAGDVVVLGRRISRFGDAVPGSADVQGTGGSAAGGSGAVGGGGPAGAGAGA GGGDGGGSTDLRRTVGAVAEKLVTTGVKASILVVQAGGRGLDAVYA MYCTH_2306799 MAVGTRKRVVVVGLGMVGISFIEKLLKLDARTREYDITVIGEEK HVAYNRVGLTTFFEHRKVENLYLSPLEWYESIQDGALSYHLNTKVTKIDPSRKSVTCA DGREVDYDILVLATGSDAILPRAVPNYDATGVFVYRTVDDLEKLIAFSAHQNGTVGAV VGGGLLGLEAAKALTDLQCYSKVKVIERGKWLLTRQLDSVGGAMVADQVRALGVDVLL DKSVAGIEVDEQHRVTGVKLADGESFACSTICFAIGVRPRDELAREAGLKCADRGGII VDSSLRTSDPDIYAIGECANWEGQVFGLIGPGVEMADVLSFNLTQAKLHQPRLYKQPD LSTKLKLLGVEVASFGDYFADVDGPKLLPPKARRALAAKSATNGANGANGTNGAHSTH GVNGTKPDTSSSPVKALTYRDPFQNVYKKYIFTADGKYLLGGMMIGDTRDYVKLVPMV KNQKELEIPPAELIIGAKKEGDDGDDLDDDTQICSCHNVTKGDIVKVTKDGTCKSIGD IKACTKAGTGCGGCLPLVTSIFNKTMKDMGNEVKNNLCPHFNYSRADLYNIIMVKRLK TIQEVMREAGTDPNSLGCEVCKPCLGSIFASLWNKHVMGKPHHGLQDTNDQFLANIQR NGTYSVVPRMAGGEITPDKLMAIAQVAKEYNLYTKITGGQRIDMFGAKKQDLLDIWKK LVAAGMESGHAYAKALRTVKSCVGTTWCRYGVGDSVGMAVRLEERYKSIRAPHKIKGG VSGCVRECAEAQGKDFGLIATEKGFNVFVGGNGGAKPRHAELLAKDVPPTEVIPILDR YLMFYIRTADRLQRTASWLESLPGGINYLRDVVLNDSLGINASLEAQMQELVDSYFDE WAEAVNSPAIAAKFRQFVNTDETVDNMELETDRHQTRPVMWTKEQATDDFASLRHRWS QTTWQPVLEAAYFRGADDLPNGISATIKRGDTQLAVWRFKGRYYATQQMCPHKRAFIL SDSLLGEDPAGGGDAASEGEGRQRPDPYISCPHHKKNFSLGSGACKNDSALSIATFDA EERDDGMVYLKLPPVQELDAALGTKKWRVKKGEAGPGQFAEVDKRIGFVGQRAKKPGV KTMVNGELGMRKGLELMTAGGGCGGAPDW MYCTH_2306800 MAVEGATQALIAAFFFGIVLNAASAALVLFVKGYGLSAVFRDSQ RLVLVLFLLSAALWAQTDFITILLDITASNVPCQVGIIFATVFDQLARFSVEQFLLWA LNLDNGAKLSLMQLIPQVLVLARFLAGAVFIGFIRPQTDDFCVATTSALPVGILVTAL DGAIISLLIIRAYSAGGAAKDDQYGKGVTADRARALMAVLLGLVFWTGTSVPMLLGLA TLALATRTALPAGGLLIVIIFVAGGADTLLASRKASSHPPEAPSPRRINISRDVSTSD TDYPPTRFEDLKEAALRSSRTFVNPREAPKVKDETGIMFATEAERIRAMPKTGLVDFG KGKIAISHPILLQNGDQNPATRIALMGLEEAAAAEKERRARALQDESARVGVRAAARS NGMAPEEALKRGISLKRKEVASASIRETLFPGTLPPGEGGAVARTTSAQPSPGGEETR RRSPRPSLQEESAHARPAFPAKAEEALETNPQSRLSRPLTSQQPLLRPNIRPSRTLPP SPPTPPPEPTKTPLQRRPTIGLPSNPRAQGVKVAEEPGSQHRTILFLNNIQYNDPSTV EAIIKTAGNPSSKPSFPAAKPPSPQSVVNRPRPIPRKPADSPAEFSPAFGHRRTRSSG SLMGRKSRLASSPGSPTALPPLPPLPVRSATVASRPHPNNTKSMTVEEKVTLFFPNPP SATASKRRSSVPEVPRVPAFYLGTGTSPTKQQHGQRASNQTTKTSTGSESVSDVEEIQ RRSGKTLLKNAGEAGSAWLRAFGGNDGANSSDESQPKQADGKRGSSPVLPPPAQPRVS AWTETTYDNSDDEGTNWSSINTPEVAIGVPVVRRIGLPASIRMPKRQDTRESQLSHAT DSRSRETLPIMLNASSAQQADAQGSPASEAEAPVATPQLPTWHHRVGDQCPTFSVRKT ETKARKMPPPAPLPLHTISTRKIIAIHQAEPSPLESPAEAIRQIRAQLRKLDDLPQTT PESASRRMELLKDLEREMGQQAEHWEEIKHDMGRDSMSTMQSLSPTVRDSRHTSVASA LDVARASAQRSTDLEHWASQEAQMQRDNNLEALKIPEASVRDSPSPKLSKWQKRLTEA QMDYMDAKLLTASNAAFTQLSKAQLASPTPPDSDDSELPPLPILDEGIPAQQPAEEPT KHVSLWKPAPKVAAAPTGLLWTAPSRPAPEPEAPLPGLSLRPAQRKELPPLQIESRQL WRKPYDTANRTTSGLWRPLWASAAPPAEPLARVSSKSGSASRKPPRPVTQRPPRRNKR VTLLPDILESPEPLPDKRGTLGIFQFPWGEKSDTASIQPRQSMYMAMPGTMTSGGPSG AMAGRTGQWAPTDYSSSFFDEYDNDDDRDVVDMDSYEEDSDDEFDDSTLWEIASLLRT DSVPSRDSLFPPSFEPVVDSDPDELSSDEEEESIFIGLDASLEVLSEQQRDSATLESS TLLVLKDALESKTPPRPAVSVGLPALPKASLDSREVPVTSDSTPTPELSTMARHTTEV QEIKTANEQCSTGLWIPPSPASSPPARDGLFVLGRNPSDYRSTSEEPAALVIRRAPRP VDQRPLERLASTKLWAAEDAVNKRERNWILGEQPMLKARKAWRPHFTREDWQAALREA IQASRPVTRKPRRIAATKAEWEAALQEAVFLSTTRLPFDSSTRHPVFAAASLTARTEL FHPAATGYTYDIAAVHPVFFGSLAITCPQEAVHPAMSAHAEKILLLQQCSETHQSSGS QGYSASHGQTETEEDIRSSDQHQHLQEEKDEGVNEVQTSSYAPSPIPTALESASSYEH DMIQARTEALEQERLFVERAVHKEYRRRTSMAPPAAIVEQQPSMTAEGLAGVEMVQEL QRRLSLRIRQSLVFVHPAPVPVSEEPKETPTAESKEVRAVSPGLREPVRDRQGEQLLL WKPRVPAAMGLSTGLWSANSEHNQPVTAPSVEEDAYAASQRAQRRRTLQKKQRTQEVL AQMPLVDFTGMGLWVSWTQALTTRTMDWLHSACVSGPNGAASTSAQKLWTTPARSVAS SAPNGLWTAPRTTDRPSPGLFLSEDVSAAPQWTIRYHRRRQETSAYVDEVQHGAELWS QKSSSVVSRGLRTKHDWLHSTCVPKATVPEARREKERLWTAPLRSHVTASQTGLWETS TAGGRSPVSSLPEDNNTLACSHGAWSGSPRRQLDMSTQPIEIDLGAAELWTRKNSPAS LGSMDRRDWLHTACLSVSVSASASAPAAPAPASTPSPAPTSGYPSKQLLWTRPSSSSS STTTRSGLSTGLWTAPTTTSARPSVSSSSAAAAMVVDEEDGVAAAARARRRKAMQELQ ELQEMQEVLARIAAVERGVDPSVHFPGMKMWTAPRAAVAADAAAAADEVGSGKAGGRD WLHSLCVRPTEGGRVEVFG MYCTH_2128027 MPLRELQYPTEPYSKVNRHKERADYSLETIHQIVNSCPILHVSF QTPDSPFPAVLPMIGKMGSFSRPSADLGEVLDLYLHG MYCTH_2306802 MKTARSAASTETETETGTKMEGGGGGGGGGLPVTVAASHLDGLV LALTPNSHSYNYRSAVLFGHAVPVTDPAEKLYAMELVTDGVVPGRWARTRVPPNAAEM QSTGLLRVRVAAGSAKIRSGGPSDERGDLADEALLDRVWTGVVPVYTVMGEPVPGGYN RVTEVPRYLEEWRVEANKEAEEFAKEAVKKEAAAEKAKE MYCTH_2306804 MAPKKASSSSKKSTTKVPANDSSPTISTATSTSTKESFEADLRA LAAKARNETFANRARAQARIYLWSATLLTLLALSSVVSQLALSPVYGSIPSARWHAKL LMAACFVGWSSNLFLDRTLPFRPEHLLPVIALWTPAVHFFLGSVSSSLTAAWGPLVTE ALTLFPLVGLSAACVATSLDGADLSALPGWLADAAPGLGSYAFFRAAEKVLGGLVQRF VGRSLLTTRTGLEVVLGALYALVAPSKLLLLALPAVLHTALFNTHVPTSTALARLNSG LAETGYVVLDRAESITGYVSVIDSPKEGYRLLRCDHSLLGGEWVKLIGQGRLKGNQVA EPVYSVFAMLEAVRLVKTPEPIVDSEAKALVIGLGVGTTPAALVAHGIDTTVVELDPV VHKFASQYFHLPSNHTAVIEDAVTYTSRQAADEAAPRYDYIIHDVFTGGAEPIALFTY EFLQDLHSLLKPNGVIAINYAGDFALPPPRIVVNTIRSIFPACRIFREHPRDEELFAK SGGDFTNMVIFCTKQAGGKLAFRLPGARDLLNSPSREASLLPRHEVKDADFLAGEAQA GGILRRNETDRLEKWHEVSAAGHWAVMRTVLPDAVWEAW MYCTH_2094032 MSLPASLSELLEFVDFNQRSFYVSAASIAFNPTFWNIVARREYR TRFLTRAFGGNSQRACYALAITIFSLGLLRDFLYERALRDQPSHPALEGPLAQYAAYA LLGVGNLFVISSTWRLGITGTFLGDYFGILMDDIVTGFPFNITNAPMYYGSTMSFLGS AILYGKPAGILLTLHVLIVYLVAIQFENPFTASIYAKRDKERAKADGKPYESKKEL MYCTH_2306810 MSDSRGRGYGGGRGGGRGGRGGQAGEGFRGGGGRGGYPGGGRGG GDGYRGGRGGGGGEFRGGRGGRGDFRGGRGGGGRGGRGGYGGSGPDVFLGAGPSIPAP DAAVTELEDRWIEKHGIQSRTTGASELESKMADLSLGTISMPKRPGFGTGGNPVVLWA NYFNVNLKLGAVYRYDLRVISKKLTKEQDDALSKQQESASKKAKGKPKQASGQQPNAP KDAREAKGKKLSEVIKLALDRLPGEPAIATEYKQQLVTTEKLQVPPDGLMQVELAEPG RNPETWYVRFDGPSSINIAGLMDYVRSLEDKNDGVFPKFPEEIDALGIVLGHTARANL NTAAIGSSRFFAIDQARKDQASMPPDSRIEILRGYVQSVRPATGRLLLNTNVTHAVFR KAVKLDELFQKCGLANLHLPQQRPNHALRTLDGLNKFLAKSRIECKVPGERPGEFFKI QRGMAGLATTKDGKDEDQKPEFTESGFRFGTPATVRFYLRKPKDLGAKPPPGLSFDTM VLVSDYYKARYGIRADPGLPLINVGTAGKPIYILAEFCTLLPGQPLKARLSPQEQDAM IRFACRPPPENALSVTTSARELLALDNNMLLDKFGITVDKHLITVKGRELPPPAVGYL RGNSIERVTPENGGWLMKGVKVCKSGRRIANWAFLVIGKARPPIDFGTIKSAVGGFAR FLNNNMGIDMNMQPVPANGYQTAGTSEEDLRNAFRTISKQKPQPEFILVLLPDKDATT YNIVKKLGDVEYGITTVCVRQEMLTKEQGQMGYFANVGLKVNLKFGGINHRVRDETGL VDKTMFVGYDVTHPTNLPGGAGDNAPSLVGLVASVDNSLAQWPAVTWENKSRVEQVGG KTDEGQFIAHFKDRLRLWQKHNSNRLPENIVIFRDGVSEGQFSMVLEKELPNIRQACQ ETYPARPNAQPRLSLIVSVKRHQTRFYPTDRNHIHPRSKSPKEGTVVDRGVTNVRYWD FFLQAHASLQGTARPAHYTVLLDEIFRHKFGPNAADALETLTHNMCYAYGRATKAVSI CPPAYYADLVAARARIHKSELFENVQSLASSEQSSVSRRKVHDRLKDTMYYI MYCTH_2315578 MSALRPSARLAARIAAASPSSAAGTTTTTTTAVAPARLRHFSTT PRRAGGGGMQYDPPSGWLWGVRPGEKYQNEGWEGPFIYGFWGSLIVFAIAYAWKPDTS IQTWALEEARRRLEAEGILEDPEKK MYCTH_2306813 MMRRLIPRCAPRATVFLTPRRSPLGLLQAARQYSASPAAAPQTK LQDIDPAQLVLNTTPNPKPLKKPEDLVFGRNFTDHMLTIEWDQATGWQRPHITPYQNL SLDPASCVFHYAFECFEGLKAYKDKEGRVRLFRPDKNMERFNKSAARIALPTFDSAAL IDLIARFAKLESRFIPEERGYSLYLRPTMIGTQKTLGVGPPGSALLFVIASPVGPYYP TGFKAVSLEATDYAVRAWPGGVGDKKLGANYAPCIVPQREAMSRGFQQNLWLFGEDEY VTEVGTMNFFAAVRNKQTGRKELLTAPLDGTILEGVTRDSVLSLARERLAPEGWDIIE RKFTMRELDEAAAEGRLIEAFGAGTAAIVSPVRAISWRGKLVNCGLKDHEESGEIATK MKNWIEARQYGDDAHEWSYVC MYCTH_116348 MFANALKSISSTNISSNYAISPNLTSTAGPWKIYPAKNKKTGKE CSVFVFDKKSLDVHRSGMNRAEVAEFKKAVEAVVERLKKEASAIAKLRHPGILEVVEP VEETRGGGLQFVAEAVTASLSGLLQEKDDQERRGAGARASRYVTEDADGNRRRREIEI DELEIQKGLLQVSKALEFLHENAGIVHGNLTPDAILINAKSDWKLSGLAFCGPVDNST SHSSIQPINLRELLRHTPTLPRTVQLNLDYTSPDFVMDNNLTAPADMFSLGLLIISLY NSPHKSPLSCNGSLSAYQRLFQSSQTTPSSSNNFLSSRPLPKELTNHVLPRLITRRPA QRMTASEFQQSEFFNNILVSTIRFLDTFPAKTPNEKSQFLRGLIKVLPSFPKSVMEKK LLPALLDELKDKELVSLILHNVFKIIELLPSGRRAFNDRVRPRLKEIFVTNTKQTQEK DPVRDAGLMVVIEQLAIIGENCGGKEFKDDILPIIFSALESSTPSLVDAALRSLPSVL PQLDFSTIKNELFPVIATIFSRTNSLAIKVRGLQAFVTLCGGSNDPDGDDGLDGLGPQ KKKATSSTALDKFTMQEKIVPLIKAIKTKEPAVMMAALKVLQVVGRVADADFVALDIL PVLWSMSLGPLLDLKQFQAFMELIKSLSSRVEEEQTRKLQELAGGNANGSALKDDFMS FGPVAGSSFDGNGPTEADFESLVKGGTGGVSANPLDSGWESMGSSAAVTSPTGSSRKS TPTVAFSWSTPPATSPGASADKLGAGKAQQAGFRSVTPDLSSFQPMAPMTTQFSQPLQ PTPKTSTLSPASPTPQTTSINWGAATSTTTAASSSSNTNTNSLWSSPPATQQQQQQQQ PPTSAFASLSLGQQQQQQQQNQNRASSFSLPPPPSAGNSGATSSLSSFSLAPPPGASK PAFSGLGGINSLNSLNSLGSLSATANKTASSGSSMGSGMGMGMGMGTMNAALQATTTG NSTSMNSMMGMGMGMSGLAQQQQQQQQSQWQGQGQGQGQSQKTGLDKYESLL MYCTH_10995 SSKNNSSGNGATTTRKPSTRVKNVVDYDEKRAFVELGSEEVDGE QNDVSNPGLNELEERVNDLSESWETESLFQDALEDLAEDRFFTDDPEACTPDEATKFR QLLRSVGPAIFCERTIGSGLITAKKLLTAFGIRPPAFLEGADDEAYYSLLSLALSREL SKRAKLPKYNTIDDAVELLKNSNNIIVLTGAGISTSLGIPDFRSKGTGLYSKLEHLGL NDPQEVFDIAVFRQDPTIFYSVARDILPSHDRFSPTHAFIALLQEKGKLLTNYSQNID NLEAKAGIRPDKLVQCHGSFATASCVKCGHKVPGESLFPEIKAGEIPRCRKCAQGNRT TTNSSGGSRKRKVQRDGTDKKPRRRPGDYDSNSDSEFDSSMTTPTNWTWCGVMKPDIT FFGEPLPDEFSRRLTEHDRDLVDLVIVIGTSLKVAPVSEVVPFLPPHIPQIYISRTPV SHVNFDIDLLGDCDVVVAELCRRAGWELKHEMIPEGQVVETKLAEGWSSRHIFTQV MYCTH_2306817 MASSGQDTKDESAPSRRTRAPTINIDTTAVSPSPAALETDAEAG TQAASTPTAAHSSPTDPSSSSHKRSLSQTRPEVQAAKASDARDSRPTSPHNVLSPFSF RGPGPNQGFLAVPNAQRSRQNSLNSTDDARSVASSQDVTVIGGGSSTGEKSTSPFGDR SDNYQIMNDKDALKPDQGKEADFVVEDNPFAFSPGMLGKMFDPKSLSAFYKLGGLAGI ETGLRTNRTTGLSADETALTGTVSFEEATSRPPEKALTEAVSPPSPDHHRKPDPNEPF SSRKRVFRDNRLPVKKGKNLFQLMWITYNDKVLILLSIAAVVSLAIGLYQTFGQEHKA DDPAVEWIEGLAIIVAIFIVVMVGSLNDYQKERQFARLNKKKQDRLVKAVRSGKTVEI SVFDILAGDVLLLEPGDMVPVDGILIEGFDVKCDESQATGESDIIRKRPADEVYAAIE NNENLKRMDPFIQSGSRVMQGAGKFMVTSTGIHSSYGKTMMSLNEDPEVTPLQSKLNV IAEYIAKLGGAVALLLFLVLFIIFCVRLTRQYASMTPAEKGQQFIEIFIVVVTIVVVA IPEGLPLAVTLALAFATTRMLKDNNLVRHLKACEVMGNATTICSDKTGTLTQNKMQVV AGTIGTTHRFGATTAPGEPVSPEKEVGIRELVSTLSPEVKDLVLKSIALNSTAFEGEA DGERTFIGSKTETALLILAREHLAMGPVSEERANAKTLHLIPFDSGRKCMGVVVQLEN GKARLYVKGASEIMLEKCAQILRDPSSGLASTTLTEDNREMIKKLIEMYARNSLRTIG IIYRDFDRWPPRQTRRLGAEKDEIVFEDICRNMTFIGMVGIKDPLRPGVREAVRDCQK AGVVVRMVTGDNRMTAEAIAADCGILQPNSVVLEGPEFRNMSKAQQDEIIPRLHVLAR SSPEDKRILVKRLKDKGETVAVTGDGTNDAPALKMADIGFSMGIAGTEVAKEASAIIL MDDNFNSIVKALKWGRAVNDAVKRFLQFQLTVNVTAVVLTFVSAVQSDDQVSVLTAVQ LLWVNLIMDTLAALALATDPPSDSVLNRKPERKGASIISITMWKMILGQAVWQLLITF LIYFGGVSILPGPDDMTEGQIHTLVFNTFVWMQIFNQWNNRRLDNEFNIFEGMNKNPY FIGISAIMCGGQVLIVMVGGTAFRIEHQTAVMWGIAIVLGVLSIPVGVIIRLIPDDLI EKLIPSSWKRRGDSKVPPVTVSDDDERFSAYPEPLAEVREELAWLKRVKGGRLNNLKF AMQHPRETFMPWRSPVPSREHSRSNSIHRLPQTPTRADSFSSVAAPTPDSRRRSRSMR SRSNSALGAPTVMAGIVAGSIAAGWSPIDRRGEPDFGQFPRPSLSVQESTNESQPAAS RSVLGDVVLSDEPQEIGEGPSEKPAAAAGSGHVPILSVPKPRSPATPKPAE MYCTH_2306820 MHILVVNDDGPPSPHSSPYVHSLVRELQAHGHTVSVCLPHTQRS WIGKAHIIGQTVKPLYYRPPPPSAPAAGLMHEDDEEGESATITTTTTTTTNNNTNNTN NTNNTPEQKQGQQGKLQQPPRHGTTHARPSTRPGTEEWILVDGTPASCVQIGLYHFFR DRGPVDLVVSGPNYGRNTTAVFALSSGTLGGALEAAVCRHRAVALSYAFFTRNHDTSI IEKASRQSVRVIEALVRDWPDDGSVDLYSVNVPLLEGLEEGKVLFTPMLQNYWGSGSC FTEVDGSVDGEDEDEERIREDEGQVEEDNLDGPGGAGGGGGGGGCRAACHTHKHFKWS PRFADVYKSVDEAPPGNDGWAVKEGHTSVTPLKANFFQAATELHGKELQLGVSRRSTF GVVPKLEEATSAHPAQDHLPVRTQAATQPRVYALIDYDDEYVQPLILSAVKSLLPPES YTLVRPPSSWSKSAPEIRLGDLIPTRDAKVLQIMPYESIDFDYASTHPDTCLVNSYMI RKALIRKHFLSATVDNWVAKHPDSVLKTHVKRSEAFEVDYAEFLDDALVEAFDLRESL ERNAAALGCAGSGGDDVAESVAERGDDSTVPTAAAATAGTATTITNTTTAETGEQKDD RIEWWILKPSMSDRGQGIRLFSTMEQLQGIFDEWEPESSDDEDEDDRDHIMTSHLRHF VAQPYIHPPLLLPELGNRKFHIRVYVLCVGSMRVYVYRDMLALFAAKPYRAPGSSGPD PNGPDLDAHLTNTCLQTSAATNNNNNNNTTIAPGNHKDGSTPSASAAAAAADLVLRFG TLPLDPDRSASIVAQICAVTGDLFEAAARAMPVHFQPAPHAFEVYGLDFLPDAQGRPW LLEVNAFPDFRQTGPDLAGVVAGFWKGVVRSAVVPFVVNPPATAAAAATYDGGDDDDG MVLVREVDLGKR MYCTH_2134725 MADTMEPLVPSTPSPGPTSRIRTPPAPQHGFSDSYEPYSPRKSK RIAQRAANRTPSPPPSRLCSSRQNNESSLASPKSTKKPFIPNMATPALSPQKKRMPPI DPSRRASGTLTAEGTAHAAVALGLSPAPKSESLSARRGATAGAGMLITPAKTPQKPPN DKVKAKVKSVARNLFHTDEEDIMASPRKARTETHNNPDSFYSGELAETSFEIFTDSHE RIPEVDDSADNPFYVSPQKPPAPPEPPRRRSKRNTVTIPGEGKVSIDEAVRREDGMLI VFRGKKQFRKFTDMDERSTREGLDEGDGGLESAVESPTKRHFTRSSIKPRLLFPTDKS DEPAKVANEDEEAATDIEEHVLAGLEADKPETPGDMIDEAPATPAAPRYAPASPPTTA RTTRSGTKKAAELTPKPPKPPAKRSPFDSWRRVKGGSQTTGHKRSGDDLSTTAPKRTR A MYCTH_2306824 MADKLDKLDSSDHEEEEDLFASPPNRERGRSKATANQSAVFDTD ESREAALRKELEGVRKINEVIEGVIGTLERARGNMNTVSKTVDNASTLLNTWTRILSQ TEHNQRLILNPEWKGATHDITEMENEAQRKQQEAERRAAEAEQRREEARRRAEEEERR RAAGTATSSTTWGVGRGVTRGRVRGLTRGVTRGGTTTSSSSSSSLPTAYGADTTSSSI ASSRTTSGMARGGFGYTRGVRSRGVR MYCTH_2306825 MARTRAKGGATTASSKDSTTTTTEPWSSIYDLPAESENPPKLFV LPKNASPAARIVTLQHPRYGRPARFLVCPEAGFFEFTTVSPSQSSPRSWLVQPDRSTV ASGKAASLCTQTIQSPALHLATPYDPLFLLLPALCGAPTITTTTTTTTGRDQERQGQN EQEEQSQQRQQKKRLFLSLDDHLDATADPSGHLADLLASCPATRRRVEARLAAVCDAV PAGDETMYRVSEAKLARELLAKAKRIVGEEASKLPASMEERFVRRELEPPVLGVRIAR GTGSGSESGSGSGTATPASSSSEGESQESSVSSASSAETAASSGSEESTKTTTSTAAT SVAADSDEGDGVATSATGASDEVVRLQRLRVAFSFICSRYVSPAIAAMLNESLSKFTE LVDFRPLDDYLERLAKLRQEAAAARSADFSRKRATDEEQDARAEKRRKQEAEEKARKA NMSRGVRNLMKVDTSGMKKMSDFFKKKT MYCTH_2306826 MEEYKAKALDLPDIPSKPQEFSREEILSAAMGRPSGGRLQRSNT VPSMRQGAASTFPSTTTTTANVDLEGGAFEPYSGLHLSKRILPHNVLARAVSGKKSYL LKDLLRQVKAPGWSLPDDETDIVVFAIIASKSDPRSHRAGPESDGKPRQDRGKYMVLT LVDLTYEVDLFLFNSGFDRFWKLTPGTVVAILNPGIMPPPPGREATNRFGLVINSDED TILEIGNARDIGYCKSIKKDGSCCKAWVNARRTEYCEFHTNEAVRKARSSRIELSTTA GFGGDGPRGRSNSRQIFARSEEERQRGYYDRATQSQYFVSTAHRSTDPDDERITGIAD RKEREENLKRRLAQREKERDIARRLSEMGAGAGKEYMSRAASRRTATTTTTSGAGLGS SFSSATSSFSSTFPTSSATTSATSIGASTNSFQHPDKQQQQQQQQQQKEQERPRWDAR ALGLVGRRSADQPKIDLGPAPTATTTTTAPTKRKRPESSSSSTVSGATQRGGGSQEAG SNNNTRAPLGWGPGLREKLSRMREGERLDGRKPGATATATETDRPAPTISAAAAAAAA AAAVLGTGGGSAVAARRGDKSPVRKKTRFVTDKGIREAGRDSLGEPLSAAVKARRQVL LDDDDDDDDLIIVK MYCTH_2119294 MPLTKSFSEPNRGLKRPQWQRSKSNPASRTNGPSAPLPPLPVST KTKSKLQAFQFEAPPDVDAKDALSKHASPPAPNRVIDAVTPAGRTAWQDLLTKPEAPQ HDEDLSPGERILWRNDHDIGPSVAISPLLPRKGRKRARSSSPISSPASKNATPAVDVK KLAQVLGTPRADPALELWDRFSGPGTNTSPSGLTNPLLAQLMVSSSPRPKDGTALGTE RPLRKAISCGAHWPKRRKFERADSGTTSASAAEFLPDSKSSMVSALLETVNGEIEKSN STHTNANANASLAKNRSPSRRSPATKGSDRQSPSRRQQGGSSPLAKKSTKAAGEEALG SMFLVDKASSDYGDDDFDEETLLELDASILVQGDDSTMVVSSEDLNQQAPPVQKAPDD GFGDFDDADSFDGAEDLVAEVEAKHASQNLLQGRQLQPIETGVADDDEYGDDFDGVDL DAVELAATQTAAQPFSASPTQKPKAIQRYLVTNVLESSHVDEHGREHPEKILLVQAER TKAVRTVHLRGDWYDTQASPKAYVHIIGAFEPSGRCIIDNNRNILILHPDQLVSSTVV ADSFTCMRRAVLQDRVKATSEATAPLVYGTILHEVFQEALMANNWDSDFLESVISTAL RRHIEDLYVIKVSFEDAQSHVLSKMPELKAWAQAFVAASPKPGAFVQGRNGERVNMCV SKLLDVEEHVWSPMYGLKGNIDATVQVTMREGKTSRTLTVPFEVKTGKNATANHQAQT VLYNLLLSDRYDVEIAYGVLYYMETSQTLRIPAVRHELRHMIMQRNTLACYIRERSVQ LPPMKRSKNACARCYAQTSCFTYHKLADGGKGETSGLDEKFDEVVKHLTPTHRDFFLK WEDLLTKEEKESQKLRRELWTMVSTEREKLGRCFANVVIEEGSASEDKHKAKINRFSY TFVKENPAPGFSFLDSQLTVGEPIVVSDEQGHFALALGYVTAVKKNRISVAVDRRLHN ARIRQPGFDEVDNQVFASIMEVAPEGGNPLQSQGKIREAPIRYRLDKDEFSNGMSTVR NNLVQIMADGPFGSAEIRRLVVDLVPPRFKTTPTQYTVPGRDSLNVDQKAAVEKALRH AVLDIPNMDALRARHFDAASFISHSQSRTQLSPSKKTPVSFCPGPRPGTCWLADLLQP SARVRLVNTDALLPASREQAKGNRIVNPCEARIVAQLVDALLAVGVPASEIGIVTHYR SQLALLKHTLLRSAAAATAAAIGGGDIREVEMHTADRFQGRDKQVVILSLVRSNEQCA IGELLKDWRRINVAFTRAKTKLLVVGSRSTLGGCGEDEMLARFVGLMEHREWVYDLPP DALEAHYFGEEGGAGAAAATNKGGDAVEEENGSGLCKGGNGPGRKGLLLFPPGSDGKS AVEGKENQPSVQTGRTVEPKKVARIGERALLRGRPVLRDILNDMMDGGY MYCTH_2064467 MLTTLYSVSLEYYRDLGRHSRKKRGRGIVPQPTVPPTSGTSQTL SLAGWNVRYDFKSAIFAEYRLEMDVALRSYEQAYENLLSSELMELIPSWSPRWNEARF LADVIAVRCLRCLLWNGQHSAAARRWLAHRERIADFVDRRGRGTNNYGWEAWEARWAV VMADLIAKTDIPELAPATLRLYLPPEKSVMGERLQPWELLHHPGYWYRLAARHTQARR AYAHAIPDDDRRSPSDSPASHVAKSAFTYDTYMCPEPHEEYPLGRAGVNHGQIIVDYL MRACTEFLDRRQTRFAAEVSLECARELALAKDWQNTVRLLGPIWKESPFRTEGWIAIA EELGWALRTAAAEVGDAESVLLADWELLSRDFARRPDWHYDISRSLEGIRLSSKPSVS IRDGQLLSFISASFLFKDEEGKAGQSVHSQLSIRSNAQQDSAPVILNSVYLSFTGSLD GVILHHQSGGQAAQRKCSTTLFTVPLTKQADSVTETESETESESGAETPDEVDNGVTL VGFADLTLSPGQTLVFNLEIPLREPGETRVDSLTVNVGTDTFELRQSLTFDERRNTNL WYISPSATKHVVHPQPLAVRVLPRPPKMEIRCPSWKEQYYTDEPISLDIEIENGEDIE ALAKLDVVLLGDKPPLFTVIVPNHGGRSSSSVRSEEARLSGAPVGTIASSGSLTVCLR MPQIERSSRYDMTVKVTYFLATNPGTPISQTAGFQLNIVSPFEANYDLIPRVHPDPWP SFFDPEGVPIPSDGDGGDDVAHPPRGISQAWSLIIRYASFAAEPLRVTDIDIAIQPSP TSRCSAVAKHTNLPPSGAGRLIRPKTMEEAVFDLSAQKLTVDDRAHAPLDMSLIIRWT RPDADADADADTDPRTDGNTTTLPIPRFTIFGTEPRVLATVAHHHHHRRRGAAPIPLT TLTVTIENASGHFLTFGLSMEPSEQFAFSGPKQTTLNLLPVSRRAVEYRLLPFGGGGG GGGGGDAGGEGEEDRDGAAVVEGIWVRPGLVVRDKYFQKVLRVVPGGDGVRIGKDGFE VWLPTFGRRGRKKREE MYCTH_2306830 MSAATANTPPIPPRPSRSPEKGPTPTIPPRPATRRFQRSTSPNP DRFAPSPLNEPSFLASPKTSQVGTYNGHESDPVHHRSTSVELPSLGEEGQEYAALAEE INSSKEGSTSPEHTRTVAEDLKLHAPKPSLPASSAKQRVMTVTRTDSERAAAFGIGRA SSAEDSIPGVPSNRSLKKKASTASQLSGTDREFDEEHGIPAIGLQVPMYKNAGDVQAP SPAPSAEGAKGGNHTRRTSAHGNLPPGSYGLHGHGVVPQDKLEKAYYEKHPDLLKKEH LPHHYDRPNDFSMSREDLNKIVRETASRGSGLGVKDYAGTPSDQVGWQALEESASRIA SPEPKRASIHVDEPNCRRSVMFSDTESNVDEVERPYATPILADDEVAKDPSSLSQQPA VEPHTSESEGPSSRPTSRPASLHKETSYELRSTPLEDVEEYEPLFKDDEKEEAEKPAT EEQPKNTHNHPHRFPSADIWEDAPSSTLYTAEVSTPELFDEQEEPSRAAVPPPREGET PVQAFARYQEELAEKEAHGERAAHKPSWVEHQKQHLVAETSAARPAMQHRFPSRDVWE DVPESLQLETTVSTAQQDDAPPSPSPVEAPKPEVLERAPAKPKSPEAPSPAEKPPIPN RPKPRQPSTDDKPAVPSRPKPQIPARPVKAGPPSGGLEPAEAATPPRQKPAVPARPMG SKIAALQAGFMNDLNRRLQLGPQAPPSKKEEPAGSGAAKEDGQAEAKERAPLSDARKG RARGPQRRAPAAAAAAKAAATASPEAKPAATFTFVSATTFFEIDPDEGVLNTGGAAKE EVSEPEAKPTAETDAGKVKAEEPRAEQKPGEVEAETASGTSPPAEEGEAKAQDIVEAE VEPRKAPAPQEEREPEEAKPEPEPNTKTETEAQETKSLASNLAGETLIKEEVKKNEEH GDVEAAKAVEI MYCTH_2306835 MAAICLASSFLILFIEVPLLLRICPTSSKFDNIIRKITTNYMRA AAYAGMALPQWLTLLDGGSPTSLIAAAVFLTLTGICYLLAGIKGQAFVGSKTLGGAGV AQMIV MYCTH_2306837 MSCSEVELDNRGPGLATAATAIREANDHSGDGAQPPTQNEFSLP PADTGKDAWLFLAACWTVEALVWGFGFSFGVFQEYYTSHLPFRGDGNIAVIGTTTLGV MYIVTPFVIALSRLRPRWARYFTLGGLTFASLTIGLSSLATTTAQLIATQGVLFGLGG CFAYCPSIIYTDEWFARRKGMAYGIMWSAAGFGGAVLPLVLEALLTAYGFRTALRVWA VVLFLFAPPLSYFVRPRPSLSAAAATSGRLSAFKNVSRALSRRRQRRRLLLYQLANVV QATGYFLPGVYLPSYARATFGVSTTRAALTVLLSNIAAILSSVTLGTMTDRMPVTTCL VLSAAGASAAALMLWGLTSTLAGTYAFSVAYGLFAGGYTSIWPGIMRETANPRDPEED EHAHHAYLDPSLVFAWLCAGRGLGNVVSGPLSDLLLRGRPWLGRAPGGYGSGFGSLIV YTGVSAFVGGSAFFWRRLGIL MYCTH_2306840 MATIDFQNIATQFVEHYYTTFDADRKNLAGLYRENSMLTFESSQ SLGVASIVEKLTSLPFQKITHKISALDAQPTPNGGIIILVTGQLLVDEEQNPLSYSQA FQLCQDPAGQWFVFNDIFKLVYG MYCTH_2306843 MPCFKGIAVSIHANGTPLPEYGIQKQSRVSRINAYIPVPQPQLN PETNKPEPAKFAISITLLTPGLAIPYSAPKPTPSNPYPKPQLVGPLPSSTGERGKYHG IVTPYIPMTNSENETIAAYIYFDGRAKEEVATLLRPGEETWVNSRWVQVPESEGGGLA EREFLFREVGLERWLNGLDLKGHDAAEKLERRRQKFERRRRRQKERSGEGANMDVEGP SRPRGTLRYGADECSPVEAVLDEDSISSSDDDEPPEATGQIKVAMFRVIASGEIKKGE YSPQFDAHDDSSEDEGKGASNGIDADVEHTTSFAKPKTLDPKTISTQTVTGIDGPDKP YAVFTFFYRGERQLQKMGIMAPPKSQTTSPALKRRSGQLDFSNLGPLKTSGTVGFSAF RDKDSQPSRRKKSRKSNGTAASTAMDADSEDDDDDDDDEGDKILPSMEDLDSKDDKTK TGPEDAKFTGELADGVNRIRLKRAHSAEPDNASSPRKSPSADVSTPPEAPAPGGLAPL GSAAGIFGKNLTEDSNSVGSPLKKARPSLGADASVGGGDVGSSAGGSFPATLGDILAK AQAAQANQDQERPAPPVKMEEEEEL MYCTH_2306847 MIALHLLSPVPTADSTKPQTSSGLTLPYLPPANPRPDASSSSSS SSSSSWHSLDTLLQPTRLDLPSFLASHTIVHTTTRPALIPYVDPLASQATRILLLFYH MLSPRAAATVHLDVPMAEGLSFPSRRRRPDSAAPPLPESLLLEVQAGQGIQVYDARVT LVARLKGLRGLMYRWKVTAFVVITGAFWAGSMAMLACVFVIVGVKLGDGWEGGGVKDS AHDSEQQASDDEGGKGGGRRYTKGERAEDGERRSKAGISPSSASDRGEVAEKSVKKEE GSDEGDAMARVPQFETGHSTEADDEAESEPGKPKKGKGKEKEVKEVKVEEVGAESEDS GIGTSYSGQASKEGIRRRNTPA MYCTH_2306850 MPATVSDAPAVALSFANNFWGKDDAGVPPLLERMHNAKQTCDEL RAFYSARASIEDEYARKLMSLSRKALGSQEMGTLKQSLDVVRGEVESMAKQHQSVAAQ MKSELEEPLAAFTGAMKERRKIVQNTVEKLLKVKVQQTQLVNKTRDRYEQECLKIKGY LAQGHMVMGQEERKNKAKLEKTQISLATSNAEYEAAVKALEETTARWNREWKAAADKF QDLEEERLDFTKSSLWTFANIASTVCVSDDASCEKIRLSLEKMEVEKDIYTFIKEKGT GQEIPDPPKYINFCRGDVDSQSDASEDENYSVAQFPRSINPAFRSSSPQPSTFESHHD PNSALARDLKHSDATTPKGREVSVTSQRVPIIPTQQQQQQPQQQQQKQDNQRAKSQPP RGYHPPQASSLEYDPNDFAPVPHDPYPMDGMTMLCRPTGSDLSTAPSTSSARPSSRDS HSETSFSSQEPPVPASPVKQEQPQQQQQQPQQQQQQQQQQQQQQQPSPSASPDKKVLK KKSGFFQNHSPFRRKSTKEVAQSNRNTWHPSNGHSRPQLAAAPKESNDQIIAPERSMS PDPIAANASLALNVGQNVFAVETPDRQKQVTGPATPEDDPIALALAELKGIKTGKTPG SRVSADHYHGIATPGPGASPSRTIPGDSNSSNNSVVAAAMRGTPPPSYDQQVQVQRLG VPPPAVTSKAMKEASQKFQQQTRSLFTQTDRPGSGGGYGSRPGTRGGGSDVPRAISPA PQQRSVSPRPTSRASPHYGSSHQQQYRSASPSPYAGSQHRSVSPAPYAGSQRGSMSNS YYGSGSSSGNNQHRGSVSAASPNDMARSVSPAPYSPRGSAASPSPFSSSVGARGSAAS PAPFSPRSSMQDHNVGRPGSSMSMGRGPTGGGGGGGDSMAVQLAPVSPGGGGGGLYQD DGGSGYGTGSMRGRHARSNTATSMSMRGGAGANAGAMGLYEGAGPVQAGSRMRSRSVA ADPNRYTRDGRPILHFARALYMYQAAIPEELGFAKGDILAILRHQDDGWWEATVQGGS GQVGLVPSNYLQPC MYCTH_94937 MATQQDLQELLRLITVGRKTPMMQAMAQIKALQAVDLRSSCRHS IKQIAEAPLETVQSALKDERGARALHNACKAAVKQGSSAAKKRGATDSAAAQAKRTKT DLFMTGPVEMTPQELEKSLELPLCTDEERISRTVIETNRAPLVLAFAVELLRHTMPEQ PLSSRLSLGQAVVSANSRSKAPRVKVMGREIAVLKRGGYEWKGEEKVGEQEARTAEAR RSVPETRARTETTASATATIEPTSKSTWAVSEPITLKDSTFVARAAHISDPSQRGILV QSLLSDNPHLKTASHNAWAYRIRPASEAPSNARVREDSFDDGETGCGDLILRVMREAG AVDTLVVLTRWFGGTLLGPDRWRLMRNCVSAALAERLRKTGVEVTLGGEALWGLDLEA MRSKKTTLVGGHGSGSKMQAVTGVVGMQIHRPEQARAYLLRSFGSAAEETEGDGTAAN TTGKSTKKPKTQRELEAEKEENLGLLLGALRIVFDSWADHLGPAELDRRAWGWYIAVR PDVESGQAGWGAKGKIKLSDVLKLRRPEK MYCTH_2306852 MALRIRGLSQRALASRPICLQLTSSARAFTLSARLAASRRAIQR QQAITLRVQRPPQPGPQTPPSSPQRSSRDEPKAESLATLVNQRRWPLFFIGLMALGMS FYISVVITTALKDDGDDKVPLPPSPSPSPSSSSSPSSSCCYDAHLPAPTGLPSTLDTS NPAAAAASARDFDRSLNTPEWLMGITKLRRALALRARGHVLEVAVGTGRNLAHYDWSE VASLSQDEPEARAARERERVVRLLDRHRLGGPTLREQRERERAGELGSLDGEVLSYTG VDVSADMMSVARDRIREAVPGLSRLMRRRRLEEMPRLDASAGVGDEGVPVVEALDGRV RLVLGDAVRGLPPPPNPPAGGRGTAPAAVPPAKYDTIIQTFGLCSVADPARLLANMAA KLQPDTGRIILLEHGRGVYDWINRRLDKSAPKHFRKFGCWWNRDIEKLVRDAAETIPG LEIVELDRPLWFQWGTTLLIELRLNSQGGNGAGQKA MYCTH_2306853 MRPYNTRHKTLSLHSLGIHVPGSNASRAPTNRPSSNGASPVSMA SPASPSKESQPPPKRLKRAYSESSDDAPSLEHMRKKRDDAANLENTPPPSPPAERPSI ETDGDENAATKQIDMEGINDEIVEAVIVQLQDSGNRPHLVKELAAVLIQRLKIVQQSA NPCAIISSRLASYLKRPGWSALSPCPLGKELESAHPRRTYFYLTTCPRQPLPEPAQSS ALSQLAHNRSIVSPSPSSAPSVSADESDTERRRELSPSPEVDLSSPEFDDMDDDFAMP STPIGSYPMHGFYMPPRPIHSGSGRHGRAGSPPLEKDEKEFTQTADGLQKRKLNGDLA PSSNAPPVEQQTASLLDLERDESSLFAVMSGLPPSFVSSPAIRPSTMSLGLGRKDSDV DGWAKLDGMLEWDRSPENIELEELDGLLDDY MYCTH_2306855 MVPQPRPGTFSPSPAAQPLYTGTAHSPHPSHAGALSAGASPSTS SPTGSSSLTKIAVAQVYLLLSTIKEDKDDPRKWESQIESLRKLLDEHGMDVFTRYFAR LVAANASQIFPGLNRPGPNPGNHHLLVAEMKKLSREFPQARKIAESIETGTEDIFRDF DLSGFMEHFQLDALQKTVLALAFKLGSRADLKTKADAILSTNFPTFVNILSQANGEHR DLDPDFVAELLDRFIQVHPPNFNAAAKRELEHKVQQRYAQSPDAKPPPAQVLSALDLV RMLADKPPNALALYIHRTGPAFTADEETCTNFLQNRPTNVHLSEEQVSVALMYTTISQ TPRHNPSVLVASLRRILPDTFSWQDVVSCFDHSGARVTSAQFLRLYNALLPIAQEDGD RFDIQRLWGGEWENPETQLSFICAFASLKPEQLDATTIPRLKPTFTVEEYAQSSPQIR ETAAHAVKHPLVSEAALSAVFNVALHSMHASQSIEAKRLFQDVVIRNLAIFVVSAFGV PKPWPSMAEDTLVSLFDNFLTRSPEADFVMDSLWRRDKEWVKQRLIDAHALKPICLPL IFEHAVRQNWLDELVYLPIGFGLDLTALAHAEGYLDLQQWARRNADRAPEMARALLQF LLIKANFELQYQRPPEGQPPIKASTTLQVRTVHALLQILEEFLPKTPMQELIVVQRTC ITVYPRLINYGEGFDDIIDANGRDGNALPPAANAKMEEHYKKMYGDEIQVRNVVEILE RYKRSRDPLDQDIFACMIHGLFDEYAHYVDYPLEALATTAVLFGGIISHKLISDLPLQ IGLGMILEAVRDHHPDEPMYKFGLQALMQLFGRLREWPGFCAQLIQIPGLQGTEAWKK AEEVVREHDEELARSRNGAGMANSGIIGHDGLTNGNREDNLGSEQHPPPFTSVNVDPP PPGVVYEDPSEEAQGKIQFVLNNLTDTTLHSMFKEIRDMLEVKHQQWFASHLVEERAK MQPNYHHVYLELVKQFEDKALWAEVLRETYISVSRMLNSELTMQNSTERAHLKNLGGW LGLLTLARDKPIKHKNIAFKQLLIEAHDTKRLIVVIPFVCKVLIQGASSNIFKPPNPW LMDIIHLLIELYHNAELKLNLKFEIEVLCKGLNLDHKAIEPSGEILNRAPVEELGDMM GPEALENFENLSLNGMPGVNNALSSHPAFTIPDLGPSLSIPQTEVVSAAKLHEIVRQA LTRALQDIIQPVVDRSVTIAAISTHQMVRKDFATEPDENRVRTAAINMVKSTAGSLAL VTSKEPLRANLANYLRNLSADLPQGLPEGIIMLCVNSNLELASSIIEKCAEERAIPEI EDLMEPELEARRRHRLQRPSEPYIDHGLSRWAMTIPHPFKLQPSLGGLNAEQMAIYDD FARQSRANPAAAGPPHGPSASDARTLPSETLGDQYSAVSSMPTPAETPSMPHLGAQLQ HYPQVHLAMANGRQAGLNQIDSRSIADRINKLLEQLTTTAASATEEHFSDLPRSHPVL DIVDALVQLVIKTQQTSEEFVAYAANQISQLLFRQPEGDLLLETLVHVLETLRKIAGP VISEQIRQLFHQQPGQLFLSLPLITALLPTDLLDWRNIDAATAELLQQRKEGSITFLE QLMDLTLLNDSPLALYADFVRSLEEAWSWITEEPDVPGAARFKSKVLAPPPELPANLS KEELDAIQLDQMDYIFEEWIHLCNNRFATEKSAMIFVQQMHSRGVVSNREDFLLFTRL ALDKSVERFEQSIHSDGGLTEAYQALEALVRMIMIFVKSHQDNEQSAGVAFLESVLSL SVLVLNSHHAKRGERFNQRVFFRFYSLILHELADLARHHLSESDYQQFILKFAARLYD LRPSLYPGFIFGWTELVAHRNFVPVILRLPDRAGWAPFTKLLTQVLSYLGDLLKPFSV SPLAKGIYHGVLRFLAILHHDFPEYIAASHVELCQSIPPHVTQLINFILTATPPAFNK AADPLQPGLKADRIPEMREAPMTLFDSAGVLREIGLLNIVEHALQNGPSEDAIAQINH AINKVEAEETAFGFVPIGVNRRVIAAVVAQFAHFAVTRAGSKSDAPIFVPGASDVKTL RMLVTEVSPEARYYLLCSMVNELRYPNASTNYFSHALLELFGQDMSDPEETDIRQQIV RILLERLVGYWPQPWGLMITVLELLKNEKYHFFELQFIKSTPEVAQRFAEILRPAA MYCTH_2306857 MDPNNSQNNPTHRAEVAPGDTAGVPAGAVAAAAAAAAAVAVGHV QAKDFSATASGDSATIKEHTNQARQSPGNQPAPASSADDDTGPRFVAVDGDVGGPGPN ETFVDVIAVPCPGADPIDTWTVGVEPWSNTSNPSDAGSRSSLYRPGPWVTRNLRKAAS IARVFLYRHRSLENGMTLRSLARDLLDKVEQIRNVSIARPLFFVGHSIGGLVVKEALV LAKGSPQYRTIVDNCYGVSFFGTPHRGSSYMSMKNLKESIRELLRLQAPLPRSLTDEI NVRNTALTDLHDQFVDMASEFRLWSFYETRESLLSGSAGFSNEVQFGVPLVSVKSALL DVWQEDVFAVDSDHAHLASFGPTNVRIMDSYLADFAQAIERAAKLSLAHPHTPLHLRS LVKVEVIGFYEDPDAVLASPRQQQGGENGSVIRLYSTKYPYKEFLKKGPDRCLAERLL KGHKRRPSQRSIGDDAEEERSTRQPREEHAVPSLPRHQTDATQSSDSPEIVITSPLER PPLLRVPAQSEPSVRPSSPESEASVSTTVSDPVLPFSHNFTEEDAHTIDLLAKQQAKL LMKEHGLSATAGFSRPSPSLRKFMWVHTPFNNPVWVKEIFATLSRTQGLDFSRLFDYD NWVSKQVQNRNSDSQPAYLKATCKYLSAADRPGSPRIPSPRFSPPNMGITPNCFYLYL PYLHFDTYHSMVRRRKLIAKRRSHGRAKPVPKWVEEENLELKMIWEYIGFDPPLNCRR TLDQFGHGSLRDTHSRDDDQMLYKLTKKDTHSPWKQMGDCSSTRSLAGHPLDSPRESS SSLYSDADAEIGADPGSELKDGPVLMVDQLWLWSIDMNTLVTFFSKRESSPTEGTLFQ QADLRSSVYNELNGDLTGRTENTLDLAALIVWHAVTVLLDRSTHPDLEVFRIFDEAIG MLAERMTLNMKQFRINALHLDAGDDDDESDDSDMEAESPSAIKKRHRRELERAERENR ENTSALLELRDLEDELTTLQKLFEMQDSTVRQMKEIYTSKEFNEVTKAGQEHLDEALD YLDDFRQQTAEMLRRVEVTRNDYEKMLEMAQRQAQVDEVRWSRLQAELASSQNLSVMI FTTFTVIFLPLTFFTGLFGMNTTEWQDENIPSLKEIGAISLPASFLLIVLSLVAAFSW RVQSAFKTTYKGIKTSWKVLKGLYSERLEPTWRKEAKRRKREEKRRRHKEQMMAPDDQ SYDFWAMVKRQQRAVRTQIPIQNVNGSPTGDDWMKRKML MYCTH_2306862 MSASARLTASLCRRAAAGPAPASTIRLRQARGIRSLSSATPSRF RRRPDVRSTHAGALATRATRRHLSTTPARLYAEADDSFDPASIERESDQVDVCIVGGG PAGLSAAIRLKQLANEAGNEDFRVLLLEKAGELGAHILSGAVIQPTAINELIPDWLDE QNENRFTGATPAGKDSMRFLTKSMAIPVPTPPQMNNHGNYIISLNEFTKWLGERAEEV GVEVYPGFAASEVLFGPDGSVTGVATNDLGIGRNGKPKDTFERGMEFHARVTLFGEGC HGSLSKQVIKKFDLRRDSQPQTYGLGIKEVWEVQPEKFKKGEITHSMGWPLPYDTYGG AWMYHFGDNLVSIGQVVALDYSNPWLSPYGEFQKLKQHPLYRNVLEGGKCISYGARAL IEGGFQSIPKVAFPGGALIGDSAGFVNVPKVKGTHNAMKSGMLAAEAAWNALKESSDS GAVFLYEYEDALRKSSIWKELKEVRNMRPSFHNPLGVYGGMLYSGLEAFVLKGRVPWT LRHKVPDHAATKRADECKKIEYPKPDGKLTFDILTSVSRTGTNHEEDQPVHLQVKDWD KHTAETWPPFKGLENRFCPAGVYEYVEDASKPEGVRFQINAQNCIHCKTCDIKAPNQD INWQVPQGGEGPKYYMT MYCTH_2306863 MTGLMAETDFESHWWLVWAGILEFGGAGCLLCPAYRYSGRTDRA AQEAGAVSQR MYCTH_2306864 MEAIHTHPSNAAQAKAFTAPGSLSFPGGANEFANPAPQPNGGMT TNGAQQQGVQATNGTGVTPATPAATPGAGPAGPSGITPTLQNIVATVNLDCRLDLKTI ALHARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARII QKLGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASKHHNFSSYEPELFPGLIYRMIKPK IVLLIFVSGKIVLTGAKVREEIYQAFEMIYPVLQDFRKV MYCTH_2306866 MATAASSSIPPSAQQFLPPSSILIVGSGVFGLSTALALVRRDAF AQTSITVVDRSDPSQPGTFPSSDAASVDTSRIIRADYADAAYTALADEAQAQWRKQDK PTDLGAQGRYHEAGLLLVGDDTPPAPTAAPPASQDGLKPKLTGLDYARMSWANVVSLA SKDPQLAARVRELPSPDAIRDALGTGGSSGSWGYINNNSGWANAAASMNWLFDQVKQT GRVHFVPGTVVSLERTGDTVTGAKLSDGRVLSADLVVVAAGAWTGGLVDLAGQAVATG QVLGYIDLTEEEQEKLAKMPVILNLSTGLFIIPPTDRVLKIARHAYGYINPTTVTNPP LPLSPSQPPAPQTPISLPRTAATHPSLSIPAEAAADLRRALREMIPWPALHDRPFTKT RLCWYSDTPTGDFLIDYHPHWRGLFVATGDSGHAFKFLPVIGDKVVDCIARNCPAEFR EKWAWKVTEAKAGLPVNVVTEDGSRSGKAGVTLDQALAEDSQREV MYCTH_2306868 MSSTKKIAEFPDVEAKLQKPSRQSAFEKQKAEAEAKRRREAEET AAVYEDFVKSFAHDEDDDSNSGARHSSSRFGRGAPERPPFGASAPFGGGTGKRHFGVP SSSSLRSGPGTLGPPPSSFTKKKSYGGSQRDSDEGRGRPGLQDKDKDRESTTLPVSKA FDASDDEEDAATGDRAEEKAASKPTLRLANLPPGTSPAVIKALIPASLTVENVKIVPP SGPSGTERKSIAAIVTLSKETPATEIDNAVSALQNRYLGFGYFLTLHRHLSSAAISSG LTALSSSTTVSYPFGAKRVEEGPHRPAHQSMGHGRAYAPPSSYGPPMGGPLNRSGILH VPVRAPRDIKQLRMIHKVIESVLEHGPEFEALLMSRPDVQRDEKWAWIWDARSEGGIW YRWKLWEVVTGGQSARGKLEYIPLFEDSHAWKKPKRRLPYEYATDIDEFVSDSDYDSS EDDEFEDEQARQGDGADQEDTFLTPIDKAKLAHLLGRLPTTLSKVRKGDIARVAAFAI THASRGADEIVDMIVSNVEHPFAYTAANPDYKQRMKERDSKEEGSRDTSAAPEDKTMS DAPDMSAARLLGLYVISDILSSSSTSGIRHAWRYRQLFEAALRRRKIFEMLGSMAEKL NWGRLRAEKWKRSIGLVLNLWEGWCVFPVESHEAFVSSFENPPALKKEADGADTERKA GRWKTVEGASADGSSGGGFLPVSTKPAADQEPADDYAEDILYMEEGDEGLDSVRLQYS ASLDDAFSDLDLDGEPDDTSGAASGDGDVTMENSTETPAPMSTKQEGISIGGLQFSAS NPAPGKKRMRAMDMFADPDSDGVT MYCTH_2306870 MPIINGQKMACAPCIRGHRSTKCNHFYDRVMIPVRKPGRPLSTC PCPPGRPCACGGLRVAIPKKQKCGCPTEAEKEAENRQKEHSQVEAPMSPSRPSFRVGK PGGGKANSRKQSFDLANLERMDPASINLISAASRSGITNGTPNGVATTGSPGLQGSQA DPVPVGSRMGMMPAGSGSTFATPQNHSFVSPVPYGGMGIQYPQPDQLQHGAKPEDGLY SSPNGTFMTAIPPSPFPNGNHPSPPLGNPGQPVMATPKNNDVTSAAGSCCGSKAQKPD PAANSGLASQQGYGQQPYVPQHQASSGVSSCCASKTQGHAPTANGTPATLPVYDQSYM PQFQYPTVFRYPGDYGSWQHPIDPIIWQQVMSQTNLAMSTPMASAPDGTTNGTAGGTG NGDSTDDWTSHQCGCGEGCQCVGCLAHPFNAQMFQYVNNAYSGSNGSSPREPTTGGQG QATAANGQDSPAEAATPAVSEGSPSREEQSLSTMDYFFVNLPISGLCGGQLESCPCGD SCDCPGCLVHKISLN MYCTH_2306872 MGKWNRGELAEGWYDPDIFQKAISYYAYESPPPPNSPPSRSRSP PDVAAEDDGRNTRQDSADSDDEDAYVPPPPPPPGQERSSSLSHHRHRPPASSSSSSSS AAAAAAAAASAAASSGTKPPGPSIPTQTDLSLRDEAIAAERESALAAHRLARRAQRKE EKALLDEALPRADAGTRERRLEKKRELNEKLRGFRDRSPGGAAEVGEGELMGGAGDAA EEYRAMVRQREERRRERLSRREEMDRARRAEREERLREYREREERVVAGLRELARQRF GVP MYCTH_2064601 MYTVSLFPNVCTLTHQPIHAPPSPVKNNNNNNNNNNNNQIAESP TEAHHLWNGARVVAAHFEANPWLVRGRTVLELGAGAALPSLVAAALGARRVVATDFPD PDLLETIWRNVRGCDLIPGVREGKKEGEEEELSIAVEGLVWGADPTKVLAHLGEGEGD EERERGFDVLVLADLLFRHSEHGNMLRTVKQTLKKARESRALVVFTSYRPWLRHKDLA FFDLAREQGFVVEKVLEKKMDRPLFEEDPGDKEVLKTVTGWELRWPEHVCAAEAGR MYCTH_2306876 MRRCSLFHRLHPVPERHNTGGVECDGDTTSEKPDSVRRQRDWSA VFLTVIRFLQFAYFAGLYVSLHHLQWSSYFWDDSPWQRSPDQRRNSWRLMRWVRMQAS VTLMYHAGALVVPWLLRLLRATRRPFTSLATVFGDGWALMALLNTLVMLDSTHEEYCH GPLQGGVDFDLRNVFNSRSRTACKSLDLVFGFGGLVIVSHLVTAIVTGWRAKRSLPAA HAKVEPPSDVEQGVTQRPSREEPSPPATTNPHRELSPPPSYHSAIVTETSPDNQEYAV RVSTQSSRGRSSMETTSSLGIERYGYLVSDGWRAPEQPPVYSSRPPSLHNAAV MYCTH_2134741 MGWNLAAWLVLGRTAQLLGSLVSVVSHGYFTVRLKDSRHGLSKE TTVLELLACLLLGYSILALIIQQASRRSQKTRWLKAFVVCDVLLVAVLLGIINVLARA GLPIHCAGLTRGHLDPDVVPLPGFTTIGFSDEDPGQRGELDKFCGFARPYYVIANILV FTYMFTITATMLHVLQSEYTKNTKVGELLESLERADGVRLKLVDSSSPPEESHCLDPP PPPPSEGIITRTTSLRSNFTTSTTSATPSHTGASGVPPIPRRPIGQPPALPRRPVPST SLFSPSRAANNPGAGLAPPPLGDDENAEAALVTDGMRHPPRAQHHHQRSLSRDPSSQP AAFPRMPMLSEEDQSADAALVSDGMRPSDPALPPYQPGNRRMSGHSGDNEMRLSGYVK GQTRAQNMKDSGGY MYCTH_2306885 MARLRKESFSDDDLEILSSQAANMTLASGSQTPLRQSSDPNKEV VDLTESPIPVIRTPAAATATPTFGHPTKLAPRFGQRAKDPNHVFIQRKTRPEFHSDLY RSSGPLKPKSEPRRGPQLQMFSSLSEDAQPGYQYTQPSGSYGDKVFYTDPAKASADLK ALLEGSIEDEEEEDGQESKPENDKPKAETIEDGTIEGIKVKLLPHQVEGVKWMRGREV GTHKKGKATKGGILADDMGLGKTLQSISLIVSNPMPGPNDDGWQKHFDQVKKGTLVVA PLALIRQWEAEIKDKVTKDRELKVCVHHGPQRTKDPKDLAKYDVVITTYQILVSEHGN SHSDPSRAPQVGCFGIHWFRVILDEAHSIKNRNAKATKACCALRAEYRWCLTGTPMQN NLDELQSLVNFLRIPPYDDLAVWREKIDGPMKKGRGHITIQRLHSLLRCFMKRRTKDI LKEEGALVAGGKKALEAAAAKAKEEGRDEADVQKPAFKVTQRKVVTVETQFSEAEREF YDELEARADRSLEKMMKGKGVNYANALVLLLRLRQACNHPRLSQTKLEKDREALAADT ARPSKASAADDLDALADAFGGMGIQTKQCDMCLNDLSRDEVAAGAVRCTECVQSLQKV NQESPSRKKPKKDGRRVSVVEEEIKIEKMAKRKPRGRKIITDSDDEEEEGSWLVPEDE RGSLHLGKVGGEEDENAEGGGEDIGSEDSEHPSEEDEEDDESQLDSFIVNDEDVPEGD DQASDVDSGSDSDDETFVSVSKLRSQVVSQRSPSPSDSGADSSEDSGISESELLSGSD SGSDDDDDDLPIRRHGNGQHRNGTKPKRISTGAPSGNNIMASAKIRELLSILRKESPE HKFIVFSQFTSMLDLIEPFLRAQPGLKAVRYDGKMANDAREAALRALRTDPHTRILLC SLKCGSLGLNLTAATRVVIVEPFWNPFVEEQAIDRVHRLTQTVDVVVYKLTVADTVEE RILELQEKKRRLAEATIEGGAGAKGKSQLKLGLQEILELFKHDPRTSLGTGDDDYRFS NSRAVVEDIAHMIRRGRKGPKKRKEHEIYGRRW MYCTH_2306886 MVGEKQHPVSNGDLEAAPPALGGNDNRNVNENDNERSMAAKDEA IAMVGEQEHTVDPVLVARAVRKIDWFLIPTMVLGYGIVYYDKAILGSAVLFGMTTDLD LTVTDYSTTPPSADTSRLSWATSLFYFGMLAGLYPMSFALQRFDLGRVLGAVVVLWGG ICMLTAAVTSYRGLYAQRFFLGFVESIVPTGFMCIVSGYYTQREQSLRQSWWFSSTGL FTIIGGALNYGFAQVEGGGLRSWQYIYLLAGAITVLFGVLCFAMPNSPVTAWFLTKEE RFAAVERLRRSQTGVRCTKLKMRQVKESLLDLKTYLIFVMMASAYTMNGAVSGFGPLI VSTFGWSTLHSIVFQFPLGAICFFVILLTGYLGSRIPNIRLIMLILTCLPVIAGCAII WKSESSYRAAAPVVGYSITGFFGGTVSLIITVGMSNVAGHTKKSFMAATIFAAYCLGN IVGPQLVKSQTRPQHYPELWLGLIICYIICIAASATLYVVLAAANKKKAALPEDETER AKLAFQDLTDIENPYFRYVL MYCTH_82292 MDALLQTLGAQTVNFAIRSGLALTSRYAVQQCSRLLKSVNDKAV RAELRTLQKVLDDRIKILSPALDLIEFKSSRGNAFLEAAVPLAKSLHREIVRLGKRLD SAATAEEECYGSSANRRGSRVSEAHHAELLAIVRDIKELLARIDRDIPLLQFAITVSG EKMSSTMTPGISPSRMMQASALLSFADAQFVANPRHPMQVGSCFTLSLYMLFLGHSQV GPSPGSEDVSPLTPPGNKDHRAKEVPYGLGEGERKPIWQEVIHKARVRLCRVPADWTF DPAQGYKPGHSYGSHFPEAVSRRRAVSLRGLSDGYSYHLEIIEDLDDGRLHDGDESRS RPYEDIPMAGLRESIPIHQISKIFYTDTGRILNIGNNDERGNNPVLLLKRDINAKCPI RMRQEWFEEPEPEKETESPSSTADDSSEFDEQEDVDRQLWEESEHSPKSWASQDGTRA TCLPAHLDPEWLALEVYTEEDGSDDEDEADEVDEEGDDTRSESGARQQVLSGSAQASG DGLSPDSNILAQLEHVSISASSPAQDPGRQPAVCIQKPREDVGASYVTRSPFGSITSS LSLLEMLIRLTSLQEFQQASHLAIPDHILMFFLEETSTTGLHGEAHWQARTDAKRRMG FDPYTDSFPAQNGE MYCTH_2306895 MSNNVQESWEDDPAAQDENLARQTQQQMNIGTQQPQGGGFRPNA AAFQPTAHPFQPGAPAFNMGGGYGQYQQPYYGGQGYYPQYGGQQGYGQYAQQGYGGIY GQGNYAGQQQQQYGQWQNYHQQGQQFQQPQQNQAQQQAPKQTPTIAKRPTGTPAAADT PKPTVAKEGGAKVLSIGGDAPKPKAKVLSIGATAPAKEEPKKEESKKEEAKKEGTAEA AAKVTATKAIEKTDSRAASGKTSPTPSSGRSSPSRAAPKAAMRDADAVEKEQTADVDD ETLKEIYGKEHVNIIFIGHVDAGKSTLGGSILYVTGMVDQRTLDKYKREAKEMGRESW YLSWALDLTNEERAKGKTVEVGRGFFETDKRKYSILDAPGHKNYVPHMIGGASQADVG ILVISARKGEYETGFEKGGQTREHAMLAKTQGVNKLVVVINKMDDPTVNWSHERYVEC TTKLQQFLKATGYNLKTDVFFMPIAAQQTLGIKDRVPKDICPWYDGPSLLEYLDGMQA LERKVNAPFMMAVAGKYRDMGTMVEGKIEAGVIKKGMNLIMMPNKQPVDVAAVYGETE DEISIAQCGDQVRLRLRGIEEEEILPGFVLCSPKRLVHNVSQFEAQIRILDLKSILTA GFNCVLHVHAAIEEVTFAALLHKLQKGTNRKSKLPPSHAKKGDSIIAILQVTGSAGSV CVERFEDYPQMGRFTLRDQGQTIAIGKITRLITDSDSA MYCTH_2306898 METSGSASANVEELFSVKGLVALITGGGTGIGLMMARALAAAGA ARVYIVGRRVDVLQAAANSINRPSVVVPLYCDVTSKISLESVVSVVETDVGHLDLLVC NAGIGGPQVKPPVKGVTSLEEWRDAQMAVRVEDFTNTFAVNSTSVWFTTMAFLKLLDA GNKKGRGAGGVTSQVVVTSSIGGFNKAAPGGWAYGASKAAATHIAKMLSVVLPTWGIR ANCLCPGLFPSEMAAPIVKAAGGSMVGDGIIPLDKSVVPLGRMGDEADMAGQILYLAS RAGAYLNGNTIVADGGRLGTFPSTGY MYCTH_2306903 MSNTVKEAAEAARPQGNEMMGTAKNVKGDGDAVANDFLHTPFMR AALPFINGGISGMVATTVIQPVDMIKVRIQLAGEGKAGGPKPTPLSVTREILASGKAL DLYTGLSAGLLRQAVYTTARLGFFDTFMGQLTSRAKAKGQSIGFAERAGAGLAAGGLA AMVGNPADLALIRMQSDGLKPVAERKNYKSVIDALVSIAKSEGIGALWAGAAPTVVRA MALNFGQLAFFSEAKARLKEHTSWSGNAVTLSASAIAGFFASFFSLPFDFVKTRLQKQ SRGPDGKLPYNGMADCFAKVAKQEGPLRFYRGFGTYYVRIAPHAMVTLIVADYLGFIT R MYCTH_116322 MDADWDEVTRIAFPAPGSNDYPRPATALAFDIFAELLWAGTDRG RVVSFYRRDLQRYTAFKIHPPSEGPVRQFLFHDKGVIALGTRSVHMAMRRGPALWNIR HKDMKDLQCMSFTSKGASEIIVAGLQDTMFVIDVVKGEIVKQKSKYICAATRTGCVDL LDPITFKIVRSWQAHASYINDMDAQNDFIVTCGGSLKQQAAQTYMLDPYVNVFDLKNM TSMKPMPFPPLAAHVRLHPRMLTTSIVTSQHGQMHVVDIMNPNTSNVRYANVLSFVNL FEMAPSGEALAMADTECNIHLWGSPSKIHFTDMAIPIDIPKPEEPVPMLDWSSDTPLS SVGMPYYREPLFSVWPSDIISDVGAPPVQLDPGFLSTLKQTEWGMYGRNTRGLRRNQV EDTRTCSKPSMKPPKFLSEKARESAMSSGASDSKGEQAPDLLSPDEIESLKPEAPPMY RNLEIKYSKFGVDDFDFGYYNKTPYAGLENHIPNSYANSLLQLMHYTPLLRNLALQHA ATACVTDLCLLCELGFVFDMLQKAEGSTCQATNLFKALSATPQAGPLGLLEEESHVPS LSTMAQGLSRFLFDRINHDYRSIAPISTALEQSLFGFPQPPSMDDLVSKVLATSAVVT IKCMNCRSETQRPGTAYVNDLMYPPPKGSIRGGRAPKTTFSQVLKMGVERETASKGWC SRCQRYQNLQMRKTIKSVPAVLAINTAITSQEHRRLWSTPGWLPEEIGIIVDQGQFFC FEGEDLKLHLQRGIHNITVYSLIGMVVNIESTSPQKSHLVGVINVAHAEATPSGESKW HLFNDFSVLPTSAAEALTFNAAWKMPAILLFQIKAANNKFNMDWKTNLDTSVLYRDPT PQSGTKTFRVLDPETERPGPETIVALDTEFVSLKQPEIQMNSDGERETIRPMSHALAR VSVVRGQGEHEGEAFIDDYIAIREPVVDYLTLYSGITASDLDPRTSKHNLVSLKVAYK KLWVLLNLGCKFLGHGLRQDFRVINIQVPRAQVIDTIQVFFLKSRLRKLSLAFLAWYL LKEDIQLETHDSIEDARTALKLYRKYLEFEDAGILETMLEDIYKAGRATGFKPPRRDD QVIQRTDTPPAAGAAEGNGNGVAPITKVMIWFGERDH MYCTH_2306912 MQDGDMKAQLKDWRESNVLAEFSQPVRAIYELLAGNAGVCAGVK NVPIENRVDSFTISQRFGLDWMRSFGLRLFYTTGATANVAEAVRSFQADIEQDKEPEP DSALWSLLKAFANQEFDWSDTRLGWLLTKAIYATGKVSFGQDAAEKLDKASLAYASAL TAQSQWVPATFVLLQLSDAASREAAVRDHLGRHARRIGSPRNPNSAFSSLRKFGVPET WIWEAKALDFRARGDSQQEFLALVWAQNYSEANQAFVHRVGPDLVIARDFRRLFRFAQ LLFKVKGKLQDWDRGAAVYLLYPMARLQGKQHGLDKFDHQLFDGLVALRGQTHGDIRQ EAAIADMAEDLIRCRGGDPRLFGLLPEDVRSKYMRAQALEVIC MYCTH_2306913 MSFGFGSGGFGQNNQSSTFGGFGSSNTNTNTGFGSGTGAFGSGT NTGTTGTGIFGSGGSAFGSNTGGFGSGGFGSKPAFGTPTTTSSGTGLFGSTTTTAGGT GFGSGFGSTNTTSTPFGGGTSLFGGSKPATSGFGTGTSTFGSGTGGGLFGSSSTTGTG FGATSNPGIGTNIGDPPGTAIVPFSPTVEKEPNNPSQSNSFQNILFMDAYKKWSADEL RLVDYNQGRKSGGVGGTGAFGGSTFGGTTGFGSNTTGTGFGSNTGTGLFGSSQPASTG FGTTNTNTGGFGSGGGLFGNKPATGGLFGNTSTSQPSQTGGLFGSSGTSAFGTNTTNT GFGSNTTNTGGGLFGNTNTNKPGGFSFGNTGTGTGAFGTTSTTGFGANTTSGTTGGGL FGNTQNTTTGGGLFGGQQQQQSSGTGFGSGTGFGTQTQSTGGGLFGNQQQQKPGGLFG GGTSNTGTTGGLFGNANTGTTTGFGASTTNQPTTGGLFGSKPATTGGGLFGSSTTGQT GGTGGGLFGNLGGNNQNQQSQQGGLFGGLGQNTQAKPSLFGSSTTAGGSLFGNQSNQQ QGGGLFGSSTTQQQPQQGALGSSLLGASQGQQMPQQPFNASINDISAYGAQTLFSGLP DDKIQNPGPLATPLSGKSKVKSRSILPMYKLSPANASRLVTPQKRGYGFSYSAYGSPA SPSSSSSTPGFSQSLLAGSVNRGLSKSVSASNLRRSLNVEDSILQPGAFSANSSARLG SAGSHKKLIINKEMRSDLFASPSKETRALEDGNGSRKLAKRVSFDTGTVETTTPDNRQ ASLENGSSADDLKSDSRASTNGVNGSKPSPVSPAPEVEQVQGNELAIVPEEGSPATVR TEKKTGSTIEPGAYWMSPSAEEIRAMNRMQRQRVSDFTVGRENVGSVTFKVPVDLSNI NLDEIFDGIVILVPRSATVYPVAAKKPPVGKGLNVPAVISLEHSYPRGGLATTGRRLE RHIERLKTAIPDTTFESYDKETGVWTFSVEHFTTYGLGDDDDDDDETEVESEARPPLL SPSAVKDSTSPSDPDDTFDFKRSRRAVPGAFDDAALSDNDEVTDTAQRQARSL MYCTH_2306915 MSKVIRSVKNVTKGYSSVQIKVREATSNDPWGPTGTQMSEIAQL TFNSSTDFYEIMDMLDRRLNDKGKNWRHVLKALKVMDYCLHEGSELVVTWAKQNIFII KTLREFQYIDEDGRDVGQNVRVAAKELTALILDEERLRAERSDRRTWKSRVNGLEEYA PRYNDGHRPEASRPRNRQYTDEEDAEYRLAIEASKYQEEEDRKKRESRRADEEDDDLA KAIKLSKEEEERRRRELEETNANALFDTSEPTPTSQPQYTGFNQGYQQGSAVDFFANP IDQSQMQPQPTGYVNTAYTGFQTQATGYPNGYSNGFVQQPTVFDPFGQQQQQQQQLQQ LQVPQQSFQPQLTGYNPYLLQQQQQQPQQQQFLQPQPQDTGLQPGSNNPWASHNNSSS SPQSLKPMPTGSNNPFAQRPSTGYKAQSSLTTLGSLPEQKTLSTFQPQQQQSSSPFST TQQSQPQIQQQQPKQQQQQPQRELSEHEQKLNALLATGEGLDTFGNTGNLRIPAQHTA PGVFVNSAGAGLGRLTAETTGNNPFLRQQFTGMPAVSYPQQQQQLPAATGPAGMGTGL GSTNNPFAARGGGQQQGQQGQGDLIQF MYCTH_82275 MADAQLTEEELQQSVMQSERNAGAVQDNLDGDVEMSNDSPFTSP SHIDVGSGSVDGDGEDEDMGEEEEHKEEYDDNENAAQQDEDHTDSSESEEDDDDGDVF EEDAEGEGDDIPVTQNRRPQRRSASMANGHDDDEDDEDEGVGAVKIKPGETDDEDDSG VSSASLASSTDAESDEEAEWEPADNGDADEDDELENTAGLCIFCKQDEEHDPSEEFEV FLACIKCGDNAPETWKCPGCADESDSNSAAESGEEAELNGGTDEGGASAPRRPTAPNL ARDLLPPQKGVMKPDSHSVFNQLVLDEDPMDGSRVLRKRKTSSIEADESIIGLRKRRR NTGDDQSNDGVATGQKDSSRPVSRSLRLKIPSHSASIVKMTRHSVLVKLRVNPSELRQ ILSQRPKGQKKRSSRSRRRTSRSESTRAAPLPLSAIATPFTPSAYSQPFYSFYDKETD ELKGKPYGGILSEAEADTSKTMPTSEDRRRFDEAKQKAEEEWRQRLLKMQTEVEAPTK KSRKASGPASQIECIEFGGWEIDTWYAAPYPEEYSRNRVLYICEFCLKYMNSDYVAWR HKLKCPAKHPPGDEIYRHGSVSVFEVDGRKNPVYCQNLCLLAKLFLGSKTLYYDVEPF LFYVLCEYDDLGYHFVGYFSKEKRASSQNNVSCILTLPIHQRKGYGNLLIDFSYLLTR VEKKTGSPEKPLSDMGLVSYRNYWRLVMCRYLLSHFSEESSGKAGLSIKQISDDTGLT PDDVISALEGLRCLVRDPQTQLYAFRIDFQYCREYVAKWEAKKYVQLNEKALTWTPYV MGRSNATNFELGPALTAIAPREEEEEVKSAVATEQPLVNGDQSTQPDIPAEQTSSNIV PEPAVLQSTEPSEPPQHIEPTEPTGLMEGTHIGTDNAVNGIKLNGSLTECESAEQKTQ PKVELEPRPATTTAGSWMDQYKDVPPTRFEVVPPINGRRTDRTRSFLPRPPAVRAASA SVSRPRPRRSAGSRRSNASSRPRTSNSNSKRKPGGTGRGPGRWPKGTKKSDYGNASSG PGLPPAWIAERARLAALAAAKKEGKDGADVMDTVQVQSPTVQEGSKMQKGEGKGNGKD KGKENADVEMQDAEGKVDA MYCTH_2306919 MAPVLCANCKTERALIKRPKNHAKLCKACFIRVFEDEVHHTITS SRLFYPGEKVAIGASGGKDSTVLASVLKTLNERHGYGLELVLLSIDEGIKGYRDDSLE TVKRNAVQYDMPLTVVGYDELYGWTMDQVVETIGKKGNCTYCGVFRRQALDRGAKMLG IKHVVTGHNADDVAETVLMNLLRGDLARLSRSTSIVTGDDQSEVKRSKPLKYSYEKEI VLYAHHKKLDYFSTECIYSPEAFRGSARSLIKQLERVRPTAILDIVRSGEDMARLVPG EPLSSCACKGQKTASLVPAEDDLGGCGSQNGRTPGDEMAAMDKQLRENEAHEGLEIDV AKEMSESKKNGGEKQKALPIRNGNGASKGVARQVRGNCKRCGYMSSQDICQACMLLEG LNKNRARIQL MYCTH_2315602 MPPKSGKKVAPAPFPQGKAGSKKAPKNPLIEKRPRNFGIGQDIQ PKRNLSRMVKWPEYVRLQRQKKILNMRLKVPPAIAQFQNVLDKNTAAQVFKLLNKYRP ETKAEKKERLLREATAIKEGKKKEDVSKKPYVVKYGLNHVVGLIENKKASLVLIPNDV DPIELVIFLPALCRKMGIPYAIIKGKARLGTVVHKKTAAVLAITEVRSEDKNELAKLI SAIKEGYLEKNEDSRKRWGGGIMGAKAQKRIEKRQKAIETAIKV MYCTH_82268 MAQDSYLYALSSNNAWAGYKAHQNPNFFPKLASGQSPQILWLGC SDSRCPETTILGLQPGDVFTHRNVANIVSPTDINTAAVIEYAVAHLRVRHIVLCGHTA CGGAAAALASSSDGGGGGGNKRLGGVLDTWLTPLRALRSAHREALDAIADDAARAVRL AELNVEAGVRVLMENEVVREAVRDRGLEVHGCLFDIGSGRIRDLGIGTRPKRTVRGSG TESEEVRGTHGQLVFNGSGASLAVR MYCTH_2306928 MTLTILTDDEIRSLLENLTLDELEGFGEALASTLYEYSTGAQGE GEGEGEGEASDTRQPERISVRRADTGATTLFMPSCNSAGNAVKVITLTSASSAAAAKP EEAAATTTGTAQPKPAVIRPTGAIALFDRAGAPLGLLHASVLTGFRTALASLALVRRR SAPLRTIVVFGRGGQAYWHARLALLARGPDVRRVVFVCRSGPGVTTTTTTTDSGDVNS EEEQERSDGVRPRKSALPAVAKQLAEGVPAEVREREGWAGCEFGVLGGDEEGYATRLT ELLREADAVFCCTPSTEPLFDAATLGGDKTRLVVAIGSYTPQMRELPRELVRQALTPK GEREAGVVVVDTIEGALKEAGELIDVGVKPEQLVELGELLVPKGLSERARDTKRDSLE QWLQTGNVIYKSVGLGLMDLSIGMHIIEFAKQKGIGTHIPGF MYCTH_2111272 MKIRYVFLLFLGLASPGVSRTLLGMNKSLAGEISPAAAKRQTGE LLADLSTLPDAALSPAGWTIKNILLGNRAAVSPQDLTTVYTAPGPLDSSECRRETCCV WKYIADAMATSFRDGAGRCNELARQAVRLGFHDAGTWSKSAGGGGADGSVLLAPSELA RDDNRALEVVAAQMAAWYAEWRPRGARMADLIQMGAVVAAASCPLGPRARAFVGRNDS ATPAPPDRLPSAANSDAAALAALFADKTLSLGELVALVGAHTTSVQRFFDPLAAGSPQ DSTPGVWDTLYYNQTASSDPPQGVFRFPSDLALSQYPPARELWQTFANAQAVWNDDFA AAYVHLSVLGVEHINDLTECTGVLPLSG MYCTH_2306930 MVPPTKLALLIHLLVETPASLSFLLAPRAQLPGASPEARLILRN LGGLLAATNLAVLVLLGTPPAAAPETRQLTARLCLCLGTYHVWPIHRAWARMRWSSSS SSSSSREKEGKKVLGGPVVHFVVHVLCLAALLGAGLVGALDG MYCTH_2306931 MSTARKGRSRRFAEFEEKIAKDFDIENEAPDHSDESGSEESADE NAGTEHYVEVGKSKLRQKEPISLGPEYRGTRVSRAALEQSSEEDEDGDEDEDEEEEEE EEEEEEEEEEGEGEEEKYDDPETADLEADHVDDDAEISSDNALNESDEEALKDFVFRG SSKPRVPNGRIKKRPTAADFMSSSGEESGEENAGEEGSEEEEDGPEVSEEGSDIAEDG ARLFDLEAEVSEEDQGDEDEGEEDDEEEEGEEDDEVESEDDEEGSESGEGEDRGEDEE EDEDEEEEQDAEMDGGGDERAQLRKMATEGQKSIVAAMSQAAKADAEKGMAVRVQRRA FDSILNLRIRLQKALVAANTFTVVDKSHESVAEAYQAAEEAAVKLWNTIDSVRHTFMP ESSRAKVGDKRKREIDLDTPSQAIWESMQMVEEAVHAHRRKVLDKWSERVKKNSVALS TRRLVSSETQTLVSALDEQLLSSERLIKRARAPRSCAPAQAAKKVDEDANIYDDADFY QLLLKELVDQRSSDTGLPGESVATVRWAALKENKTRKTVDRRASKGRKLRYTVHEKLQ NFMAPEDRRTWEDHAIDRLFGTLFGQKMELKEEDDVSDEEMGGVNVEEEGLRLFRS MYCTH_2306932 MPSRISSRLFHTTRVLLHENPLGLPQKGSIPRFQRGLPTKRPIK GVAHIVAVSSAKGGVGKSTVAANLSLALARLGLRAGILDADIFGPSVPTLFDLAAAGP PRLTAANQLVPLTNYGVRTMSLGYLSPDEAAPVVWRGPMLLKAVQQLLHEVDWAAAGG VDVLVLDLPPGTGDVQLSIAQQVPVDGAVVVTTPHLLAVKDAVKGVGMFAKVGVPVLG LVQNMSVFSCPCCGETTAVFGGNQKVVQMCEERGIELLGDVPLHPNIGEDGGRGKPTV AAEPESERAKVFMDVARKLAAKVGLDVS MYCTH_2306934 MSAQLEAERKEYENQLELVVTSLKDDPDNAELQALKGELEQMIQ LLDDSLAELKPKNEPPKAAPQKAPSPPPAEQKWSRENHPAFKKAAPASEEKEKEAETI TYQVNDNVMAKWLSGDKGFYPARITAVTGSSTAPIYTVKFKNYDTVETLRAKDIRPMA QKRKADGTAVSGNSNAVGGPTSTATPSPASLTPTSANNGIVMSAAADIYPQALEAKRA AAAAAAAENADDKPRPKFKKIKATKELEKGKTKWQEFTSKGKFGKVAKKESMFRTPEG INGRVGFTGSGQAMRKDPARTRHVYQPNEDLD MYCTH_2306935 MVRDRDGDGLGANQSKKPPAAIVDFADQPQQQPPQQYHRAKSQK HVVGGATGGRMHARIPSTKGLQKHHAAASTAKLTRKHGSLSPDRGGGAALASHHHRRA TSELKLTRDPSATNLKKNTSHTNLRRNRSQAEVGKRTKSSNNLQRSVSNPAVNKLRHT GGSRVQFNLGDEDQNGGGDGDDDDDDEWVDASTSASPLLSRRGSAVSSAHTPNPPAAN EGGSQGGSPTPHAEVERTLSTGTQNGSTNGAQHGAQHGTNSHSRDKSSHNQYLTSRIL SRTPSHGAPPMMSTETVSARPSSIRQPSPPDSGTDPSQYLSSTPGTAAQARPGSSGKA ELTSRFVGHNSQEPGSGLAGESFILAANRGGLSRAALSGKDEISVPKRRQSIGALSQS RGIDALNARRAAGADNGSDGEDDEERITRASRARRSGEYIVPRDMNRTQQKLNLQRAS SSLEPAHPHPGIGIGPPGVAAGAGPLLGVPTTYDSRDPRINKMLERTGMEYLTVRRHL NPVARSISRVMQLPGLENSRRIPRPGTTSSSHGPRVSEQFNPQEQPQREREQPITSTS SMADLIHGGGASARRPPTPRSGGGGAYSALQSASSSLGTDDGSGRLHDRHSPGQGPNG HNGQAHRLSGSSLIDGTEDAGTVALLRMMWDKITDLSSSQE MYCTH_108115 MGALDRLSQLGSQISGTASAGGKAKLLEKNPDDIVVTAALRTAI CKGGKGFFKDTFAADLMAGALKGIIERSKINPALVQDIAVGTVLAPGGGATEMRAAAL VAGFPVETAVRTLNRQCSSGLQACVDIAHQIRSGMIEIGIGAGVESMSTQYGPGAVSE FSDLLESYPEAANCKVPMGVLSEQMAKDRNITRASQDAFAAASFKKAVDAQAAGLFKE EIHPIKVKYEDPKSGETKEIVVDRDDGIRPGVTVESLSKIRPAFAKDGSIHAGNASQV SDGAAAVLMMKRSTAERLGQPILGKFVAASVVGVPPLLMGIGPWKAIPKVFELTGITK DDVDIFEINEAFASQCLWCANELGLPQEKINPKGGAIAFGHPLGCTGARQVSTLLYEL RRRGQKIGVTSMCVGTGMGMAAVWVAE MYCTH_2306943 MASTNGNGPAEGEKVNTNIVTLTRFLTEEQVKHKEATGDFTLLC HALQFSFKSIAYYIRRATLVNLTGLAGSSNTTGDEQKKLDVISNELFIEAMRSSGKCA LLVSEEEEDIIYFKDSTNARYAVACDPIDGSSNLDAGVSVGTIFGIHKLAEGSKGTKE DILKPGTELVAAGFTMYGASAQLVITMKGGSVNGFTLDNGLGEFILTHPNMRLPRSRA IYSVNEGNSLYWDDKVKRYFNSLKEAPDGGKPYSARYIGSMVADAYRTLLYGGIFAYP ADKKSPKGKLRILYECAPMAMVFENAGGQAVNSKMERMLEVVPQHIHDKSGLFMGSYD EVEKVKAFHK MYCTH_51812 MTIRLPDLPDDILFLVVANLECARDLRALALSCRRLQRLASSDG WRIFVRTRFPSLAIPAPATGSHTWRQLAESMTWQSRCWDKRSLQFQLMLPRREVNGHG RQYGGGMGGFMSVVDAQFDPATQQELVVWGSGEDIYARCRQRRGRGKASRTSWHRLRG NDFGLRGGYDDVNTVKLVKRPSGRVVVAGRHNGELALLSAEPDRFGERIAQFGPIAEQ NNSVQQLSEPDTINSLDILHRSSGPLLAAAAKSTLRIYGLPEDDADVISPLTTYDLTE SVLAASSARLGGARWLGNGDTIAMALVGCKHPLRYLARTPTGWSHHAAAKNERVEREF GVSPARTVTPSSLEAVHYLHSGARRETNLLLSAWKDGTIRLQDLRTPSPFDAVYQDNV DPWSNAESLMAYGTERFVAGGSDGLTIHVFDFRWPRAYHHTSGLPCLGRSPFPRPHQP FMMPPKAELGGGARCNHVVGMRCRWHELSRDLYFRPNAKFFLNNSLRRYTSSSVWSLA RASDISPNFYIGVTGGVIEATLEQTPDTYPPNTATVDPNFGFDDWRAGAPGAVGYTAR PLWPSLMETGDGYSYEGNDRSILLPPLNRHHGPAEWMAFRGKLAKHHRLDNGYQEQDD FR MYCTH_2306945 MFSARPAPEHSARKRLISHVYSKSYIQSSTAAAAQSQTIIFDRF LPIIEASVAESQKPHGVDIYSIFMAATMDFIAAYIFGLGKGTNFLGNKAYREHFLELY RARNDYGYYDQEMPRLTKFCRRVGIPLCPKWVDGANKELGEWCLGLCAKMGHVFDSDC PSQAEPPDQPTVWDALVGGLRKEAARNGQASVLYPTALSNRELSVASELFDHVLAGQE TAGLTLSYLCWRLSQSLELQAQLRAELLSLSPNMQLDGNGTPSVPDPKQLDSLPLLHA VLMETLRRHPPIPGAQPRQTPDSGCRIGPYAVPGGVRVAASAYALHRDEAIFPEPEKW DHTRWLPWAADESQLKERNRQFWAFSSGGRMCIGSNFAMHQMKLMIAAIYSNYTTHIV DDEGMERQSDGYTGRPEHEQLFLRFEKVG MYCTH_2111282 MFGRKSPKPEAVEARATEEPVQENKSFWKSIVPVMACGAGLFSD GYINNVIGSVVTILAIQYGEVWATSNAKHYLGDIAFAGTVVGQLVFGYLSDKWSRMNS LLLSTVILLVFTALSAGSYYHGDAVGMFNILTAWRFFVGIGIGGEYPAGSVGAAESTS ELKTGTRNMWFILFTNSMIDWGFVFGAFVPYVVAAACHNGHLSTIWRTSLGIGVAFPL VLFILRIFVKEPEEYQKNSMKHAKTPYLLALRFYGFRLFIVSLIWFIYDFSAYSFGIY SSTILANIFDSDTAPLTTVFGWNTVINLFYIPGTMLGAPFSDLVGPRYALATGVTLQA IVGFIMAACYGRLAQPSMVAAFAVVYGIFQSLGEVGAGNNIGLIAAKTCATGVRGQYY GIAAAIGKVGAFVGTWVFPYIVAAGGDSETLSAQYPFWVSSSLCVLSAFLVLVGLPQI GQDTIAEEDRKFRAFLEQHGYDTRQLGLRKGESIESGSGVEVVESEEKPVRA MYCTH_2306947 MAAQSEFGDMGLSILGLGTQYPPYDLKPSSLEVLSKRFYPESPA MSKVLSINRYTGIDQRSSIGTPDHPLVNQPNPPTISELNETFMRDGVPLAVSAARKAL AEARLEPAQVTHMVSTTCTNSANPGYDHFVARELRLSPGTEKVLLHGVGCSGGLAALR TAANLCLGHTMRRKPARILVVALEISTTLVRSELESINASQETRIGVALFSDCASACL LSNSLPFPDDGPSGRPSPPPPPAIYSLLGWQHHTIPDTEHDLGFDVDPHGWKVVLSPR VPQLTQSVLLPTFTSLLASLPPPFSSPGDQQHPHQQHPHQQQQQQQQTPELKPADFDW AMHPGGATILSGAEQALGITPAHMRASYDTYINHGNSSSATILSVLDRLRHKDMDALA PPAGSGPDGRGGGGVKEHVVGCAFGPGITVEMCMLRRNLRDGFGMSASARGMGTPPET ESEGGGATDVDEASEAGEEGNPTSGRTGTAAQQRSGAQDDGEAFISEELERVELD MYCTH_2306949 MVADALVYHPAVGHYLKYVATTVGRDKLLRTLQYFARFYAWYLL RTNRPPTAIQPWETMKKQFGLVRKVLRAGKNVEHFKAAAVAADAKGTDPVLRYATVGR QLGYAGYLTMDLATLLDATGIKKSARAKRFQQEAYRFWAAGLACSIVAQLYTLYQLRQ REAKVDRKEGEGVLESKRIAMERTASRLQLTSDLCDLTVPLSALNWVAFDDGIVGLAG TLSSLIGVYLQWKKTA MYCTH_2306954 MPFDTELTRRLGIAVPVVQGGMQHVGTAEMASAVSNAGGLGIIT ALIWPDPEGFRQEIRKCRKLTSKPFGVNITLLPALVPPDYEAYAQVAIDEGIKIVETA GNSPGPVISKLKKAGCIVLHKCTTIRHAQSAVKLGVDFLSIDGFECAGHVGESDITNF ILLGRARQTLKVPFIASGGFADGQGLAAALCLGACGINMGTRFLCTVESPVHHSIKEQ IVKAQETDTALVMRRWRNTTRLYRNKVTEQALKVERESKTGEFSEIAPYVSGKRGREV FINGDPEHGVWTAGQVMGLIHDIPTCKELIARIEKEAEETLKERLALVKPAPKL MYCTH_2306955 MTAPATLDVQGRSMTYEEAAEEEFNVLSRLGYSAALETLADDLW RHRKSIEALTRHHLGLGKHDTCDVLESRHWIRGGFNICVLLNITSPGRQERKVVFRCA MPHKLAEARYPGTVDEKLGCEVGAYVFMQENCPHVRIPHLYGFGFSDGRQFTHVCHRP FLVRISHMVRRCIYRLLFDRVLSQYTRNATHHSVPFAYLLLDHINPESGQMLSKTWDK YRDDPARRQRLFRGMSKIMLSLARLPQMQIGSFQFNGDGTLMLRNRPLTCSMILLEND GAPRTMQMSDTYKCTDAFVADMITFHDQRFLSQPNAVNSEIDCRGQMAVMTLLRVFSH RFIKREHRYGPFLPQLTDLHASNIFVDDDWNVTCLIDLEWLCALPREMLNVPYWLTGC SIDQIRDDRYVEFDKIRREFMHVFEEEERTMAAEQGLTITVSRVMQEMWDSKGIWVWH CLSSVNAMYLLLEDHLCPGRLPVSVEKAVSQFWCEDPDAVVRTKLADKERYDELLRQA FEK MYCTH_2306956 MAYCRWTDMLKEICRVNNIAEPEYKLFSDRRGGRTAWSSTVYVS GAPYNSRFWYDGQYLQNAREDAAEVALKCIMPVLMCSPASSPSTSSTTPNLGRRY MYCTH_2306960 MPPRLNIWSACRALSLRTRPLAQGPSPAGPAAVLARGLADNTTP RSASNSNSGAQPPSTVPDSEPPYTSKENEEALKQLKMIEYGLNPLDPAVEGHKFGLPE LPLPSQKHTKYRYDSVVAQVTRLLMRDGKLAKAQRDMAMILNYLRTSPPPRLDPSRPL LPGAPPAHHLPLDPVLYLSLAIDSVAPLIKLRGFKGLAGGGKSLEVPVPLRARQRRRT AFMWILDVVRKKRSKGSGRTMFPHRVAEEIVAVVEGRSSVWDKRTQVHKLGTANRANL THQGVKGMI MYCTH_2306961 MEKRPAMSMEAMLDEERKEVLALLEGSSSSRPKASPSLDGRSPS PYTPRSPVRSMLDIAPVRSMLDVDSPRPQQIRSMLDVDGPSSAAKQVLSTPSSPTDSS ARANAAAGGHPRSMSDASLKPRPADFGPRLAPARPDPTSEYQFSGIITNNVGQALPKR VTQGGKRSSAMSEIMRGNDVPGLMLPGDRGRHLSASGPSMRLGSKSKSPTSRLGMRSH SPRGPLLRNLSPAGRAVLNDPDITDYTNAYRRLSDAALARSGGSLSELGRRRSSNYTA GTGRLAKDYLGPDGELLVEDSSEDNGSSSGEEGQRGRKTARPEATPKTEGTRREVKSL LAAAEEERIQVASQQPYQYRSLLDEPEITVTNPSGERVKHSKPVIHPNTSFDDPPGSG VNTPLDSDTEADLSDIKRAQKLSFAMTQIMETPEAHRTIQIITRGEYSKLVQEAEEEH RPPRKYLVATDLSDESTHALEWAIGTVLRDGDTLLAIYCVDEETGIGASDNAQVPDEP KAMKEQAAAINTVASSKTPVTGSGTILPLHNQRGPGSAGAGGTTATSSASPTPSIGRE RTKAEEDRYRTVQTISEKVTKLLRKTRLQVRVIVEVLHCKSPKHLITEVIDLVNPTLV ILGSRGRSALKGVILGSFSNYLVNKSSVPVMVARKRLRKQSKYKHLPSTNQINNINNP AARSLANAKID MYCTH_2306964 MATSTTKTTTLGPLDQLDASLDDFARSIRNPNRLPFGYQSAHSG FRSDDPSEADASDSEASAGGYSPPAWRRLGNGDRSSGFWRRGDGLANMYDAADDAADD DDDLLDGRARARESSPEYESADEGDPILAQAIRTRLPTGSLSPEKGASPEPEFHPAQQ HLIDEVVNIKREDDDGRLALSDLKDEALASKHVPDNFIRFAVRAEVQHRTEPIELAIN FVRTTFHAMTRSWTSMFFSFIVAILSYAALRWLSQPAAMRPVPDLVKVAGVARSLEPL IYYSENGIQQVGDLQATGVAVWDLGESVRSSNLTSAPIIVKELDDLSESLKTLAIELT KFFANVDGDIDGILIVMDWARRELHQVQHLPTLPFSFAFDNIHNLLSNAGILENPHTG QPTRLGVLATSLFGYSTPQRTKQALQRTFNEFLTVLEDAVSSELQHSLALFALFEAID HQFLNLARTVIREASLQDEAHADLLSSLWTRILGPKASEVAKYERNRELLRNVREKTV ANKGILVEHNHKLLTLKAQLENLRRKLVSPLVRSVNSSTLTLDEQIRGLEVVGAHLED VRMQQKSKLFHTLYGSAGSGSGAARLPPSPAAASMGGRRFATADIKDGSG MYCTH_2306966 MAAPAAPGAVDQLAADLANTNLNGGDNRAPAINSNVASGESQTA EPDTAGPTPSSSAPHPQSSASLYVGELDPSVTEAMLFELFSQIGSVASIRVCRDAVTR RSLGYAYVNYNSTADGEKALEELNYTLIKGRPCRIMWSQRDPALRKTGQGNVFIKNLD TAIDNKALHDTFAAFGNILSCKVAQDENGNSKGYGFVHYETDEAAAQAIKHVNGMLLN EKKVYVGYHIPKKDRQSKFEEMKANYTNVYVKNINLEVTEEEFRELFSKYGEVTSSTL ARDQEGKSRGFGFVNFSTHDSAAKAVEELNGKEFRGQELYVGRAQKKHEREEELRKSY EAARAEKANKYQGVNLYIKNLADDIDDDKLRQMFSEFGPITSAKVMRDNPPDHHHSAD KEGKDKENKKEGEQEAKKEGKEEGEKDGEAKEGEKKTEKKPERKLGKSKGFGFVCFSN PDDATKAVAEMNQRMVEGKPLYVALAQRKDVRKSQLEASIQARNQLRMQQAAAQAGLP QQYMQAPIYYAPGQQPNFMPPGGRGMPFPQAGLGMPAVQGGRPGQFPPYAQQGGRGAI PPQQIPLYPLGQFPPGAYGQPTNPQFLAAIQQVQQQAANLGGRGAPGGRGMQGMPVPQ GMPGGPGMAGFPPNGRPQNGAIGGRGGPNRGGNFAAGRGGVPPAGPLGGAGELNATSL LQSQLAATSNPQQQKQILGENLFPKIQALQPELAGKITGMLLEMDNNELVNLLEDETA LVAKVNEAMAVYDEYVKSQQAAGQGSAPAQGEVEAEKPKEEKTEEKA MYCTH_2306968 MFPTFTGSSRKTRNVNLSGQKTINPFTSTSWTPSAAAAGASKTV AQAQAERLQRQQERERLRAAQRIQRTWRGYRSRRNLRASRRQAVDLLYSAGGQADVER RAAEATPLVLSLYQASNPEDQQRLCLLARDLLQTRFSSFVSGAIEPPRLGKLAQIVLS ALDRLDPSTSSSQVQVLLESIVEVTKLRPQLLQPALGRLYGVLGRYCRSLGPDSQLLD LVRSAVGTPLSRKHVPESFTRAAYHEFAVSFLTQPDLFLFESNVGSFAADIDVDLLSD TLQAATGMGTKTVGSQGGLMWLLSHFIVLQKTRKHLVLHSRSLRVLYTLLSALSSQIR AAFAASEVKPAAVEAGDLEAIPEPILPPYVSDKLASLTDREEIMGLFEKFTSDHGGTS ESELEDAGFLAGYILTLVYCFPTLGDDIRMRLYLADIPTHQGPLPAVRFFWNAVSKTS IFGRIASDPDAALAILSQKPASHADTPWDREWRTILLFLELYIFVLRLTDDDDFFGIL GASNPAEGRSTRLRSSGLNLQEVKRLTTFLKHLGFTLYYHAADLLSSTSSAPNNANGS SAPPSRPKSGADNKRAANALSFTLTAGVDFEAFRNLVSTAMKMLYERDSRRQFLPHEH WLMTSKFDMEGFLSAVVLEEQRQREQAEAEDEDEEGNDLDEQPVTMSSGGLRLSRQAQ MERIGLARKRAARDVLKAAAGPKLEILRNMPFVIPFDMRVQIFRQFVYLDKHRRRGGN IDPDRWRLWVLNQHGGPFDPTSTGSNIIGRHQAQIQRGRIFQDALESFWDLQEGLKEP IQITFVDEFGMPEAGIDGGGVTKEFLTSVTTEAFAPSERLFVANSNNSYYPNPCDMDQ TKNMLREAQVSEGSEEWAEAITYRLRQYEFLGRVIGKCMYEGILIDIVFAGFFLLKWA TSAGAGDTYRANINDLRELDEELYQGMLRLKNYPGDVRDLDLDFTITDQVSLPDEPLR TATRNLIPNGESVPVTNENRPLYISYVARHRLVVQPYAQTRAFLRGLGMIIDPGWLSM FNQNELQRLVGGDSSEIDVEDLRRHTVYSGVYAIGDDGEEHPTVKMFWEVMHGLEDRE RRDVLKYVTSTPRAPLLGFGQLSPPFSIRDGGTDQERLPSASTCVNLLKLPQYRSAAV LKKKLLYAVTSGAGFDLS MYCTH_2306973 MAKPVADTFSPAENAAGYVSDANPIMGFSHMHDSGTGGLPSLGN FPLFVHPGCPDDDFRRCAYSVITRPTSRVPGSAMAAPGYFAVNLTNSVRAEMTATQHA ALYRFGFSGKDRVDVVRDPEGRPEAVERGVPYSPLVLVDLVDLMNSRSLGAIQVFPES GRIMGEGRYVPSFGTGKYDAFFCADFRGAAIRRTGTFTSNNATEEPKFLDGVRAGFHI PSGSAGAWLQFERPANNSLLARVGVSFISMDQACQNAESEMGDWHFERVESDARKQWR EKLGAIEIDATGVSEELQTTFWSGLYRTLLSPQNYTGENPLWNSTEPYFDSFYCIWDS FRAQHPLLTIIDPVAQTDMVRALIDIYRHEGKLPDCRMSFCKGYTQGGSNADVVIVDA FVKNLTEGIDWDTAYEAVVSDAEVEPPNWGLEGRGNLVSWHKLGYIPWDDTDRNGTGP MSRTISRGVEYAYEDFCIATLAQGLGHAADAAKYRRRGGNWRNYWNPHQRDIFKDPHG ATVQTDFVGFMQPRLVNGSFRYQNTRACSPVQDMHGCYYDTRLDTYEGSPWLYSFFVP QDMATLIRLMGGPDAFVERLRYFHTSGIAYLGNEPGFLPVFQFHYAGRPALSTHFARE SIPALFNASVNGIPGNDDCAMGAFSAFAMMGFFPVAGQDVYLLTTPFFPEVRIRARAE GKWAVIKVRRFDPAGKRKFIQRARLNGKAYTKSWITHDFFLRGGTLEFWVGEEEGTWG TKEADLPPSWPVEWDEKDGVGLGDGY MYCTH_2306976 MGTASSPSTRRSIRPHRKSRARCGFCKRRKVKCNEEKPCSNCVS FGLPCDLVPDGARVERCAAPTTRRGRGRPRKVWAPDCSRSCSTSAAASPDTAPTSPVP VDAPPSAHINLDNAELLLHFVTETAETFCGAENRELYRFWTRNAAQIGLGHPFVLHLI FAAAAFHLAYLVGQRDEQGSENADDDEDVDVSTNAQLPRRNSAVYLSLARQHFTAGLS GFSAQISHPGPNNCGALYLGAILTSYCTFAAGPTSRSDLLVCAVSDDDTCDSALSSPP SASSWMPFVHGVRLMREGFSPDVLFAGLMGPFKPGRPMTPLKQPVCLRDGFPRLDWEA ALDDLRSYVAAGPAAADLAAPSRECCLQALDNLIGIYAATYGRRGSSGGEITYDGPPE NQFVFGWLYRMRPEFVACVRRREPCALLVLAHYAVLLNRDAVRDGWYIEGWRQHIVER VAELLETDGECRERLRWPTEQVLPRGERRERCGAG MYCTH_2306977 MLLLVSPPLLAATLYVSHGRVATALLHGTGAGAGTNSDKEQHSR RRRHRGCCCCTRRCCTPTWTKVYILADATAVGTQLIGTVLPASGTAEAARLGAVVVLV GLLVQLVALGVFLGLDCGRLHARLRRDPSASRAMLADPGVNWLGYLVVMEVAAAMMVV RSVVRGAEYLEGQGGVVMGHEVFVYVFDALPMLVVMVGFLLLHPSRLVREVRRLEEKG WAARGETAGMGELLELRGGSRG MYCTH_2306978 MLRAVEAMIDEAPPEQGPRRFGNVSFRRWYGILEGRAEELLRGC LPDAVREAWGDGVVEEVKAYFLGGFGSAQRLDYGTGHELSFLAFLGCLWKLGAFRDEQ GREREDGEAERSLVLGVLEPYLKVVRRLILTYNLEPAGSHGVWGLDDHSFLPYIFGSA QLARPITESEPMPLEGSVPGAPRPSDVVKPASVDLHRESNMYFSAIGFINDVKKGPFW EHSPILFDISGIKDGWGKINKGMIKMFNAEVLSKFPIVQHFPFGSLFSWDPDPEAAVP AHSVHLASQPSSTVNPPAAGGGSGTTAPWAEATKMPGAAPGVPPGPGIPYSRAPWTRG VSSAPTAPRGGVPDTGPPPPTTFARGTPGTASNQYTVTKAPWAKD MYCTH_2025957 PVPENWPSHIPYLTRPAYSPYLTPTHLRALRTRPADALDPLPEI PRHLKTGPCPSVRITPITDPNHPAHGQAGLFATRDLAPGELILPYLGEVHIGTPPFGR RPSANGNSRNGGGGNGENADHHDDDDNADDGYDYARSDYDLWLDRDADLAVDAARMGN EARFVNDYRGVPDRGGNNSNNNSNSNSNEKKKKRPNAEFRTAWDPRTGERCMAVFVLP ASKRATGRARTVGIARGEEILVSYGKGFWQESREAGMGED MYCTH_2306980 MLPTPTVQTITRSDDEDEDDDIDFEDVVIEPAASSAATPERKSQ ELSLDLSAHMAAAGPPRPDRRKAISREEKARRLEIHKVHLVCLLAHVELRNRWCNDCQ VQDALRPLLPQKTVAALLPRASLNQFGRSESLRRGLQEAKDLFRLKFTITERGLWRAL WAEDEEQLKNYQLPDDIDTVLEKSDFIEAARTLQGSRDVGAQLFCALLRSVGVEARLV CSLQPLSFVSGAPTMPRQRQAQTPQKQPSKAELYAAAVAKHETKFPEFHAGATTPSAR RRLGHPHATGYRVPSVTAPSHSPSSSGAQGPRTIRGESPFPVYWVEVLDAAHQSWHPV DPLVTGTQWKPHALEPPASDALNSLAYAIAFEADGSARDVTRRYAKAYTSKTRKHRID NPTLTTAPSNYHPSDPTRDPAPGPRWYRRLLRRYNRPVPTDLDQIELTELAAAEAREP MPRNVADLKDHPVYALERHLRRHEALAPGAEPSGTIRVSAGGGGKAATVERIYRRRDV RVVRSRERWYRMGRVVRDGEEPVKSLPRRKRKREGRRGGPHRDGDDFFFDDDDDDEDD DDPDRVGLFGDAASGFTPLYMLEQTDEYVAPPVVGGRVPKNKFGNLDLYVPSMLPRGG AHVRHPRAAQAAFILGVDYAPALTGFEFRGRHGTAVLDGVVVPEEAADAVWAVIQGLS DMEAEEEQERRSRRALRMWSTFLKGLRIRERIWAGVDAEAEAEAEAETGGGKTEGKGK GKEVVNEKEEGDHVGIQDESEDDIGGGGFFVPDEDDDGGGGGFIVE MYCTH_2306982 MCFEWKNRFTCGHVGFRKVERCNSLGSGCFGPDGTEKFVDVQSL CYDCSARLQQDHPASGTAQSNPLAARDAADAAGAGTWAWARPGTVARSGSWSWSAAGS GGDKPQAGELGGGGRAETWGMSPGVSSGIPPGVLPGMSPDLSSGMSPGMSPGT MYCTH_2306984 MAFFQLGLRRASANLSRVPLACGPCWRRRVPASPSRVLQLSRAV RRSYASTATAESKSPVASLSSKLAESAKPAAPSAPSQSSGRSSSFPETNAKVVGYWLV GSAVSVFGIVIFGGLTRLTESGLSITEWKPVTGSLPPMSDADWQSEFDKYRASPEFKL LNPHMDLAEFKKIYFMEWTHRLWGRIVGLTFVLPTIYFIARRRVTPRMAVNLVGISAL IGFQGFIGWWMVKSGLKDDLFAPGSHPRVSQYRLTVHLATAVVCYSWMLLSGLGVLRT HRLLRDPEAAAKAIAALKSPALNVLRRSVAGLTVLIFTTVLSGALVAGLDAGLIYNEF PMMGTGLTPPKSELFDKFYSRKEDGSDLWWRNMLENPSLVQLDHRILATTTFAAVVAL FLYSRTGRVKAAMPKDVRKGVTGLLHLALTQVTLGITTLLYLVPISLAAAHQAGALAL LTGALVAGHRLRMPKATQALVQKALQNPSRVQTGAISQKLLKNAAEKSH MYCTH_2306985 MADDATTAAAPDMDLLMGGKPKCGSGEEVGEYDLGLHVAGLFLV LAASIFGAGFPVVAKKVKWVRVPAKTFFLCKHFGTGVLIATAFVHLLPTAFGNLTDPC LPDLFTDQYPALPGVIMMGSMFCLFIIEMWLNGKMGGQSHSHGGPMGFETHSQPARAI GAPAPVNRGNNPPPRPPRYASPDEFETDDVDYEKKMAQRMYDEKMRGYGDTKEFAAES EMPPWFIVFYEQYVRQRLEMLNMIKALSPQEAEEASLKKRGSLVGGNPVIDSPYMDVE TGQPVDPLVYRKMSLNITLLEGGILFHSVFVGMTVSITIDGFIVLLVAILFHQMFEGL GLGSRIAAVPYPRGSIRPWLLVVAFGTTAPIGQAIGLIVRDSYDPNSAFGLIIVGVFN AISSGLLIYAALVDLLAEDFLSEEAYKTLTKKDRVTAFIFVILGAIGMSIVGAFA MYCTH_2306989 MAASNLPKSSYSLYKPLGDGLFLVRRSTDGEVLLARPFGPVPGD DGDDGDDGDATALLSVVNLLNHENLISIHDELVNVPVHFLSEKGGNVLPATIAGTTTT TTTSATGPRRMLLWDYPDAGTLRDVLDDYAPRDGNHGSGSGGGGDGFMPESFVWHVAL GLLRALQWLHEGIRETYGVVAAAVADSPVDGDGGVGVGDGGCGRKRRWRRVRGRKEAE KDWMPVLHRDLRADNVFLQHPRGIETYGVVKLGNFGRCFVSGSVSASRETPVVAMEEE EYSAGLGALRERKARWDRYGTDVPKSQRPYTPGSELFAVGVLLYRMMSGRELPPAEEC PDCGCFHITGDDAAEYNPCEHACVEDVNVDSVLQALSNYTRGLKGVVMLLLRLNRNDE WSASNVLDMAWPAYEEWAANTEDGRIYRDIFDDLWFRRQNQIRLRKRRRRAEEEEEAD EAEMEQQQQQQRMELDDNVLVV MYCTH_2306990 MSRVMQMPPPPPLLLTKRVTSFLRANLSPLIHSAMLTTPAGSLL AHASNLPASALRRQAAVAASLWALQGPSNAGPGSTDPATTASIPPGSTVRARKGGRHS APSVTVQLDNGAVFVIRRLRCGMLFICMGGVEGAAPSGKLSPTHPAPAPHLNLLSRSP ASVAPSAATEGHHHPQSQPPDTTTTAAAAADPSLTPRPSTPPPQPQPPPPPPQQQQQQ QQPSAATTSTATPAAATTTTGPQPPTLTTPSSSHPPATGPASGGGASQSSTTPTATPH PGSPSQASVLSAHTTGAASTTSTSTSTATVSAAGGGSGSSNSIGGGSSSSSVSLMRRH VEELARWLDERLGGLCVSEEGIGAAGIGVGIGTGTGYHNGPGHHQPHPNQQHLHPNHN NHLAGAGIGLG MYCTH_2306991 MNDLSRMSDVEAEVAAVDDGGFGRSSTHIASPAPKTRSLSDGGN TPKLSPSPHIVEASLRQSKDDIAIRDKDHEHVSPLPSTPIRAGFAARGLALQMPQRDA ASPASHASQPGYVRPATLSPKPEHPYASPTNILPRHSRGMDFSRAATSLHHSILAHQA SPDSSPTIASRAMNIPGRRSEYGGADQPSTSLWSMMGNQERSHVSSSMGSTSHAISDS SSSSDDDDYMDEDMEEAFVTTPQAQKPGTPSASAAMGAPWMPGSPAASNLLSFQQRQR HRKVPKRKSRGPLGLSFHPAGAGSAAAVSKSPPNTLGGSSDMFPHARRESISWAANQL HISGNESDDSHRQSDGVESPLRPSIVRRAVTRRGNLLVGFCFLHQAFGRCSHSHPPAS RKRRDLPASVLHLPKRARPSRPKFAAKPR MYCTH_2064786 LFLKYYYFVRAYINNIIIFSKTEEEYLEYLRTIHEILDKAYIYI SATKSFVRYLAIRLLRYIVNGEGITKTDNRIAIFKELKFLDTLNSLEHYLRIAG MYCTH_2306993 MAPSALLPTPPSPISVPDPVPRILVPERLSPEGLALLRSSGFKV DTPPPPPSPEALLAQIPAYDALIVRSETKVTAGVLAAAGRRLRVVARAGVGVDNIDVD AATARGVVVVNSPSGNVVAAAEHTIALLLACARNVCRADAGMKAGRWERGRLVGVEIA RKTLGVVGLGKVGMKVARMAKGLGMRVLVFDPYASKEVAGQAGVELRDELGVLLPEVD FLTVHTPLLVSTANLLGEKELRSMKKTARVLNVERGGVYNEEALLRALDEGWIAGAGL DVFTSEPPVEGSVAAKLAAHPKVVSTPHLGASTVEAQENVSIDVCTQVVEILKGGLPT AAVNAPLILPEEYRRLQPFVRLVERMGSLYTQHFAERGGMVGGRRFELVYHGELAGMN NTRPLFAALVKGLVSSISDLGGRDVNIVNATLVARERGIAIDEKHVRDGGTVPPVYAS AVTLRSLAPGQEGGEQVIEGYVSGNAIFISKLDRFRANFVPEGTLLVLHNYDEPGKIG NVGMVLGKHGVNINFMQVAALESGTDKAAAAAAPPVVDRLVDSESRAAREALMILGVA GDITEELLGELGKADGILHVSLVRL MYCTH_2306994 MSLIKKLEFPEAKFDLYFLGYDSPKAVSGGNNVWDREGLIELTH NYGTENDPEYKVNNGNVEPHRGFGHTCISVDNIQAACKRIEEAGYKFQKKLTDGRMRH IAFALDPDGYWVEIIGQKPLQETENVKETDVETYRMNHTMIRVKDAEKSLKFYQEVLG MSLFRTHEAPAAGFNLYFLGYPGEKGVPAEGQSTADREGLLELTWNYGTEKDENFKYH DGNSQPQGFGHICISVDNLEAACARLESLNVNWKKRLTDGRMKNVAFVLDPDGYWVEI VQNERFTGKPNF MYCTH_2306997 MPASSILPARRVSDQSNGFPVVRSAAVGLARVLSLTLSTAYAAP LGIRGHGGVDEPEAEGASLWVLYVASAVLVLSGGAFAGLTIALMGQDGIYLRVMAGDP NEPQQKNAKRVYDLLMKGKHWVLVTLLLANVIVNETLPVVLDRCLGGGIAAVVGSTFL IVIFGEVLPQSICVRYGLQIGGSMSKPVLVMMYILAPIAWPTAKLLDWLLGEDRGTVY KKSGLKTLVTLHQNLGEVSQRLNQDEVTIISAVLDLKEKPVASVMTPMDDVFVMSEDT VLDEPTMDMILSAGYSRIPIHETGNPTNFVGMLLVKILITYDPEDCKPVRDFPLATLP ETRPETSCLDIVNFFQEGKSHMVLVSTNPGEDHGALGVVTLEDVIEELIGEEIIDESD VYIDVHKAIRRLAPAPKVRIQRRLSGDPGGKGTSDAGGGLKGDSDSALLDAQQATQTA GTTSTNSPMLSSSPKTATLMMRRSSAGLDGQLIRTTVPVRANFQEIKQHLKHLGPSNP ATNPNKTRSTTVKIKPGSGSHSSNPRSASTAEAAAGGTPDEEHDETTNLLKPQIPGKD GVQALRQTYGSISPGITFQLAPQTDGISMLRLESEDQADKSTQTSARLGHSDRTSDEE AGGPARLSQQQSPQSKQELCPSSSRESATSASSLRTDNTLVPHRHHVRSGSITENIIE SRGLRKVVLETTSSNEEEESSTPTASSPEQSRLLGRTTFGIFGRDRENGKESRKDKET EDGKEEGTVQPSSAAGRQEEEEVGLLSPDPGDEDAPASDAEAAAKGTSEEGQVGPSSV SGDGAGTKKKNRRKKRKGGKS MYCTH_102533 MVTTRRSAQQPLSEEDEISSDASSIPAETPPRRRASTIGAPSTL RPSREPAASNTGPAKRKHCPGATTEGPQLKRQRSPFDVRDTIEVQGEDDDVDAAIKAT SLRPASRIEVCLPSGRLSREGNRTISVGVPSDGSIADITGPRRLRARRLKRQEHEPDS DSSRVQRGHAAKMVQEGVLRGAAPRGSRGSRGLQPSSPTKPPADIYDIPSDGDSDQPN PAPARASRTINNRQTQRRRKPTGTSPRRPHSPIRDVRLSSTREEKQSQSATVTASRRE AHRTASGRGSNAGPPRLGEEVQDSEDEIGPEGGRSTGRDAEESVDTGATEESDGSESD EATRGPRLEGIQVRPYRDNEPTILAYSEHLSNMLELMGRRGWTEAGRRWMKLCSIMPD SDEDSPARTRLGRRILNDLGRLNDELDDIPNALDLGQQSQALASRQQALNGAISSVDK TVRKIERRAGPAPDGQGSQSGSRLFRRLADDLTKCVIPMLVLVLRTAFAIGVDEPDAE ATDSTPPRGAFTWTTVQYLMVILAWLSRLRRLISGNPSPAAEGTETFSAQQRADPDDA KQNRERFGVIVRKWIQQLRHEVDTYNQQADLRLARHQMKQRDRKVREQRQREEEVEMA AARLQEEAFRLSMQQMASKPRPLAEKFYKATEHWGLPPAGSGDTSGARNPTPSAPRSS SSSMFLTPAPARQQSALPSPVLGDRPWSEWEIDTFL MYCTH_2307003 MSDADSFEVVQPADASASAPAAEPATEPAPAPAPAPEAEPASPV ASVTSPKTTTEKKSTTGTAATKRTAATATSATRRPTAAGTAPTKSATGSTTSSTTRTS AAGTASKPAARPATGTTTVKRPPTAASTVSHRSRPSISEDEKKRTPVSGATGAARRTS IAASSTPSSPSKPKTNTTTAAAATAAARKPAAASGTAPSRTPTTSSRSSTTASAPTTT TRTATKPAATGAAAEAKKRLSSVSSTTTAGTARHAPRASLASNSSSATTVAAESTKEI EELKAKLASSESEIAELKNQIASSQEKIAELTEKAGAAEAEQATVPGSAINQEALESL KTEHAAEIDALNKQVSESQEKLAAAEAELAAQKSQLADATSAKEAAEAEVAGLKAALE SLQAEQEAKLGEAATNLAKSADEHAAKLEALQKSLKEEHEAAIEALKARHAEELQGGS ATLVANHEKEIQELNASHENALAELQKKIDDLTSSQAALEAAHEEKLAAETAAGAAKL GELEAEIAELKAKLEAAEKTAEAAKAELEEKSAALASLEAKVAETEAELQAAKEEAAK AASSHAELQKLIDTLTEENKSKDATIAKIKEETAAAADHHQKQLQQVSQDYENEIESL RGDAFFKRRFEELEVKHNELTKAHEEAIESHAKALEVARAEHEAAVKALEAKEAEHQQ ALDALQASHAEELENAKSLARQAQEASAEEIEALKATHASQIDTLRGESEASLAKELE ALKASHSEILESLKKDHEADKERILAKHADELAAAKAAGDESHAALLEQIKQELEAKH AEELAKVTAQLETASSLKEELEAKHAEEIAKLTAELGSVAGLKEELESKHAEEVAKLV AQLEAAGGLKDELEAKHAEEIAKLAAELETAKSLQRELESTQVKLAEAEEKHASAMAA IKSLEDDHAAEVEKLIAMHNEAQEALKKEGAAQAQAQLEELQASHNSVLEELTRKSQS TSEELAKVQAAKGEVDATLEALKAEHAKVQEELDSVSQQLALEKMEKFTARAELDAAR NAKPDTTELDALKEQLAALAAAHEKEVAELKASHEKALAEAGEASSKATSELASARDE IAMAKKELEAYKAEAEAKLKTSEADYKDMHDSMTQLVEEAQTLAAENLKKLADAEQQI GDADKRIAELTAQLKIKDAELAEARSKAETPKGLAASRFANAAGSDNDGDAANEPAEA R MYCTH_2307005 MDDKKDAAEAEDGAVMQQSYPSPMVDSTEAQYYEQLAQHRELEP QMDQQGPQQEHQALPAMSEHHEHHEHHELHRLQELQDSPASQQHNSRPPVSADELQLA AQLTQGLAPIMAAAVQDQGQDQQPMQPQDGQEGQVQPQEEPNLQEQLEASLQNHEREM QSHGHELQNHNHELPNHHELQEVMQHPGQPPQHHYGPNPPPQPHLPHHMSMEHLQAHG QYQLPDATPPRKRSKVSRACDECRRKKIKCDAQSDATDQPCSNCRRSNAQCLFSRVPQ KRGPSKGYIKELADRLNMIEGRLNTNADGLERRQSSEAFASPGAGDDGRKRPFSSISG GGTFQTPSPNRISPAFILPYPPPPQVPPNPPDLAMKQATPIQFPGAAGDVSPEGQPEM MDGISQNGLPPGSSHQAEQLPEIDDAVFNRYLEVIHPTFPVLASSKARVQSLVWQSPP GLQNAFYNAFFSMVKHFLPGPTGQVDGDPAITWRLLTEWEAERKPRSSVTDLVRLQTL VMAAISVDFQGLAPGKGQLRAPSKAEILGRAVGLGYSMDIYRWPVDPDPNSDLDLNSD DNVALRTWWVLVMLDRWHALAMAKPPLIADQAGVARPGLKHIVGEAVYALIRMSYILA LALPIAMDPVSDPMTQQGASLGRMVSGISHIMNWVFPTERTDYVLDLTYWHIRLVSEL LSPDLPERPGNILQAAKYLVGLLLAKNDLVSPVTHHFVILAALGLLESHRFPDAREEA SRLTKDFLECPIAASPLNGAVRDKLAELQARPGAAEPNATTTTAPTTTSQNLQQLADL ATAVDGSAAPTVAPATAPTATSVAPNEHLTDEAAAAAATAMKAEETGENGHVQQQEPQ QSEPKQQQQQQQRQRQSPEEQQQQQQQQQQQQQQQQQQQQQSQQQPEVDVRAILRRGY LTWFDEPKDVGIIV MYCTH_2307010 MLIDGEKWACEACVRGHRVSNCQHHDRPLQHINKKGRPVSQCQH CRAMRKSRSAHVKCDCGEKTQKCVHLRPVVEGHKESCCCNHGGRCTCACKREPKLDTV PESDSDEPVPSQPKIAKAGSRVRRRANTTNSDASLSFDANGHHKPTYKHAKASQKCGP YNLNRGHSMSNAGRMKNRSMDDLFGAGAVGDPAPATGSDSSSEAIAQRQRRVKSEATS PLLEGSSSFAQLNGQLPPLDLSGIKYPPYIPNSADFFGALSDYEQPMFSAGLSAASVD WSHYEGLELAGKTADFAPSNYSQPQSYGGFDFTGSEIPTMTTTTSTSGEVSEAEDFLS NPLDEFDTFQSSASISGYGFGHPAVDLFSAPDLTNLDVDDFSYMKKDASKFVPTPATT AGDDPTLLTTSAPAFGGLTSLDDDSGLWMNDFGMPTLTESPTESNMASFWDSQ MYCTH_2307015 MTSLESLRPGADPNRPVHVVFVGAGAVGCFYASRLHHPSHNIHV SLIARSNYKALAENGVQLQTRTFGDYVFRPHAVFPSVAAAAVNPQSAGAGPREWDYII VTTKALPDRSDDSALIAPLVGPNSCIVLIQNGVGVEQPYRARFPTTPIISAVTVISAE QTSPGTIRQNRWTRIHLGPYSNSASFSSSSPSSSSPSPPPPPPPPSSSSSGAHAPKEG HDDATGALTPTDSSPRESSPAGTEGNGDSDEAMISRLQAVGSHRAAILADWWARLGGI RDVELAEDELALQAIRWHKLCINAAFNPSAVLSGGRGNADMVRDPELRRHLAGVMREI WEAAPRVLGGRRFPPSAAGPERILASTERNVGARPSMLLDWEAGRPMEVEVILGNPLR IARARGVEMPRLQTIYALVRSMQEVRDRKKREGKL MYCTH_2111310 MVIRTAARSIRRPSDVIERVHADSHPKLLASRGSLGPGPGPWLA VMRRALLVLLAYAQCILKSIKGKPLFHFIDLEIKEYWDYLVLWMATFLSIRLQPTFRD WVVEFIELMRGIKRPATGSDPTATPRLTQLPLRNTAAGLAEDATGQIILGKAFEKPGL YRDGGFARGG MYCTH_2307016 MPGDREYHIDDMTRTALQTQAGVRFLLRAKLKAESRHSSDVIPW AQNLSEKVTKAMAEAAGHQLTHFAIHLNAIKLQSRRPYALICYDLFLHESDKDLRSQF SQNPNQPIHEIIKRANSYYIIRSKRLDDMVASTYKHLQECDNGPPPYFEDTLHPPLYV DGRRIERPKDGTRHPDEPEGWDWERGWVFGPEEDKLKEDGDEVEK MYCTH_2307018 MLLLLIWQVARQGEVVLEIVYRRVMEADQRTVRSVSLLRGLAEM ALKVQTFCFVAVDGLDECDGSHLGPEDAQEEVA MYCTH_108098 DRSYQDRDGCRPGPCGSSCRRRRPRYHRGSGRRGEEGGGCCSGS CPGRRAHTGSHRRGCCPRAHARRRGRPCRPCRPCGRDNSRHRRSCRPCRSSCCCSCDG AHTGSGCRTRGEEGRCGGGPPRCRDRLISLPLLCSPGDSIRLLIS MYCTH_2081549 MPVRKRKSAAAAATEEGAAAPEEEPAIRRRSLRVSSTGQKSKYF EADSDSDAKEENTPKRGRGRGRPAKKAKVAAESDEDGNGYKGEEEAGEAQKAAAKADE SDEEFDEDAPPKVTFIPLPKLRDTGGIEYADDRLHPNTLTFLKDLKANNKRSWLKSND AEYRRALKDWESYVMTLTDKIIAADPTIPELPFKDVNFRIYRDIRFSNDPTPYKPHFS AAFSRTGRKGPYACYYVHVEPSASFVGGGLWHPDADALSKLRASIDERPARWRRVLCE RRFRQTFLGFDSDQERANSKKKKKGRRKSAKDAGEDANGDDGDGEAAADVEERALRAF AERNKEGALKTRPKGFIPEHRDMQLLKLKNFVVGTKIADSVLTDPRGQEEVARIIGNM VGFITHLNRIVMPDPGDDDDSDEDD MYCTH_2307026 MTATPAADIIHDPSRPLLSALRAQGFVVLRSVLTPAELEELRGA AARLTATARAGGWPHVRTVGKQFPPWDSSLVPDRAGIWGVQHLLHPDLPVAPEDRAAF ARLYFHERLLAVARELLSIPPSSEAAADADDDGEKLTMELFNMLVRPSGPGVSEDRPF ALRWHRDDIPASASADEEMARLKRPGEPYVHAQWNLPLYDDDSLIVVPGSHARARTDA ERAAGPYEDHIPGQVRVELAPGDVVFYDNNILHRGVYDVKKERMTLHGSVGHADGSRE RARNVLQHGVGTWVDRCDFAVLSDPKQRKTAEAMRRRLVQLGRENEGRDVGYSLEG MYCTH_102522 MRLLLPALLAVAGFVGTVKGHWLGEISHQGFAPFAGANYPVFRN VKDYGARGDGVTDDTAAINAAINAGNPCNRGCASTTQTPAVIYFPAGTYLISSSIKPA YFTQLIGDASSRPTLKATPNFAGFGLIDSNPYYTEVLNWKSQNVFFRQIRNFVIDTTN IPPATAATGIHWPTSQATSLQNIVFNMPATPDVVHVGLFMEEGSGGFLTDLEFNGGAT GASMGNQQFTMRNMKFNNCKTAIIQIWDWGWTYSGLSINNCQVGIDMSNGNTMNVGSI TLIDSSFTNVPVAILTSWTENPNPATVESLVMENVVLDNVPVAVQGPNGRTLLAGGST TINGWGIGHSYGSSGPTSFAGPVTPNSRPGILLNNGRYFTRSKPQYESVPVSSFLSVR SAGAKGDASTDDTAALQNAINTAVSQNKILFLDYGIYRVTSTISIPPGAKIVGETYPV IMSSGAFFNDINNPKPVVQVGKSGQQGQVELTDFIVSTQGRQAGAICIEWNLASDAGN PSGMWDVHVRIGGFTGTQQQVAQCPKTPGNPAVNDNCLVAYMGMHVTKGASGLYMENV WIWTADHDIDDAQNTQITIYAGRGLLTESENGPLWLWGTGSEHFVLYQYQFAGTKNIF MGQIQTETPYYQPTPNALVPFPVASALRDPDFQAQCAGVEGNCAAAWGLRVVDSSDVL VYGAGLYSFFSDYSTACSTFDAGQTCQQRITSVEGSATNVNLYNLNTIGTREMLTRDG RRVAWYADNQNTFASNVAVYKSN MYCTH_2307033 MLPLQSIEASPPSHRTEENGETRQQKPKVLPCKYCSKRFRRVEH VQRHERTHTKEKPFACLWPRCGKTFGRRDLLVRHEKLVHLNEGSGNKDGTRSRKLSSA GVAPGPAEAQVDTEMLGMPQQQHQQQRQQQQQQQQQKPRLVHDQYRTDHVQQSVMSSL PPDSRLSARAPACNLDLLSDAATHLASGGEVNSMQPNMMQGLAPPPADLGPVKAYQDA MPYGDRPRDQDPGALAPGYPTQPPPPVFDDYNLFLDDYATSSHFLPPSLEAEQGFGMW SRPSAAELGRGLSKPPSAFPSRFPSLAPDMRDPNGESSRIHEDGTRAPIWRISATDHT VIRNRLDEFSSALPSDFVFPSRHTLSRFLEGYISGFHENLPILHLPTLSPTDLSPELL LAILAVGAQYRFETNRGHALWYAAKAVALEQIRRRHSHEVHGLLPTPAAYSPHSTRPS PSAGFRHSFPSVHQDRPMTQDTHREPYSANTPQSRLETIQALLLLFAVGLWGAKAILH EALSLQSLLALLLREEGLSAEANQQTTDWETWIRFEASTRTKLVAYCFFNLCSIAYNT PPLLLTSEVNLCLPSPSRLWRAENAWQWQEARQSHPNTEVSLQEAFARLLHRPPQPPT PPLTSLGSYVLIHALIQHIFLLKQTSFSSMTPFGIQRGLKMEDVEDINQALRAWSVSF EQHRQLRANELAAHGAGETYAEGAVAFNSTALLRLAYIRLHTDLSPSRSLETRDHVMI AQAFSDAPLLVRSPRLCRAVVQAIHALSMLVKMGVNYVAKTKSLEWSMQHSLCNLECA VLLSKWLLTLAAIGPAEPPPSAEEKNLLEMVRRMLDETEFAVPIDPSLAGSSGAQGQG HNPSRSTDASVTDSTKLRQLACAVIRLWAETFKGAHIFEIVRIIAAGLEGYADMMEKP RDRTPLGRMVPNQGLG MYCTH_2307037 MFRAAAAGPYDEAINKATDENLTSEDWGAIMEICDRVSSDPNGP KESVASLIKRLAHRNANVQLYTLEVANALSQNCGKNMHRELSSRAFTEALLKLANDRN THNQVKVKILERMKEWSDMFKSDPDLGIMYDAFYRLKQSNPTLQPPSAPQKNNLTDLD RQKEEEELQMALKLSLQEEERKKAAAQSAAGTSGAGASAGAGAATQQQTAPAQPVPSG TTAATVSRVRALYDFVPSEPGELEFKKGDVIAVLESVYKDWWRGSLKGKTGIFPLNYV EKLTDPTPEELQREAQMEAEVFAEIKNVEKLLTLLSASNTTPREEDNEEISVRLLPHH QLFCHVLQR MYCTH_2081554 MASAKEKAPLPFRYTFMAGAIAGVSEILVMYPLDVVKTRVQLQT GKGSGADAYSGMLDCFQKIIRNEGFSRLYRGITAPILMEAPKRATKFAANDKWGKFYK DLFGQQTMTQSLSVLTGASAGATESFVVVPFELVKIRLQDKASAGKYSGMIDVVVKTV RNEGLLAMYNGLESTLWRHILWNSGYFGCIFQVRQLLPKAETKSGQTINDIVAGTIGG TVGTILNTPMDVVKSRIQNSVKVAGQTPKYNWAWPAVATVAKEEGFGALYKGFIPKVL RLGPGGGILLVVYTGVMDFFRKIRDGEA MYCTH_2307043 MTHLLWKHYWEDDVDGFRRLLAPAVHGAQSGPRNQNLISGSAVS PAHGTSPRGPTKSRKLPGFGSGPGSSARHGHGVLGRNEVNSRDHAGLTILLRAASSTA ESAISFVEALLAHPAIDIYVQDPESGWNALHRALYAGNISIARLLLEKERSDLTGHTA SLHRVGQLIKTKDHEGFSPFDLYHSTIGERSLKDLVDLAKPENESDSDEAEVFLDKNR GAKCANNAAGEDLYAFGSNRNLSLGFGDEDDRQFPERVSLKRPDHLLRRFHREFLQAI GEEGTASQDLTKIPTLVLNRPLLIQDVVLSKLHSAVLTADPVSNLYVCGIGRGGRLGL GDENTRFTFTPVQGPLADRKVVQVALGQNHSMAIDDTGALWTWGNNAHYQLGYALPEP AKKDEDPISTVPRQVFGPLKKEVIVGIAASSIHSVAHTGTSLYCWGKNIGQLALMDAD SRSLEYQQTPRKVAASLFSSPIVMVTAVDKATTVLLQNHTVCVFTAYGYHIVKFPFAT LDLVGNIKLANRQDPAWNQINYITSGGETIAAVTRRGDLFTMHLDHKVETNPSTASTT NPSKIKGAVTQAQCIWSARRDGVRSVGVGEHGSVIISTQSGAVWRRIKRAKAKDTSSW TSESKRNDYKFQRVPYITKVAAVRASAFGAFAAIRKDSDVMREQLSIGRQSLWEDVAP LNCLAAFKASEPKDKNAEVWKFWGKDDIGERIGPVAYEVLKSADIESDLAQHVTSWSY KNDPLDAAVCTSSSPDIQIPIHGWLLSARSPVLRSALAQFRKAGSYAHEFFNISDADG KALVSFQGLDLVSVLNLVLFAYEDKVIPVWNFTGHVRPLAYRYRQIRQEVMKLASRLG MNALEAAARLQVDPKKSMDQDFQLAIKDPRFFDDGDALLQLEGAEVPVHSTFVCQRCP FFQGLFYGRSQGLWLEGRRAASADRIKIELDHADPKAFKYALQFIYGDSGAELFDHAV CDSLDDFLDLVMEVMSIANYLMLDRLSQICQHVMGKFANARNIAHLLNAISPCSVTEF KDVGLEYICLQLETMLENHLLDELDADLLLELDEVVRDNQAAQSPFVRSGRAEMLLHE NNPSLAEEIDEERQRRIREMAFKAQREEEKKLASASKTRYGSLDDPGPSTPTPDRARK VSRAESNEAPSPSLRPKASQGDMIFDMEDDEASLATSPLSKMRKPAEGTPDLDQNPSM GSSWKGSGKKIRLNLGESPASPATSLQTPVKAAKGSTTPATPVQKGQPWGTTVAPITK LDLRDIIRSETATRSSLSEGLAAQRAKESLPKAPPQKLSQKEKKRQQQVAQAAQAALL AAKAERPKNVWERPAGELQASPWKTVGKDKTPSKSLLSPDVTGPIPIYRRTASPDTRF AGQRTPSSSIVAGAKSPQPRTPAANPSHAEKATSATSSIIVPHSRVYIPPAPKTEQLL GLSMQDIMGQQTRDKEQVKEAVAKRSLQEIQQEQEFQEWWDAESKRMQEEEKRRAAAA AAAAAAAAAAAASEAEGGDGGGGGSGKRARRGKAAGRGQRGGRGGGAGSRDDKAGESR GRGGGGRSGTGASGAGGSRGAKGKGNGKGRGGGGGSSSGGGRGGKEEGVMGVAAGGDK MYCTH_2046198 MHQQSRHPPRVSSPASSPQTNPARTNNPRDRVRSGSAATGLDGG SRPESTESPGSTPSRDSIKKLDQIISNFYAKTAVIILQSRLDTTPVEGKKADRWVSRF SEKRNPLPLANKKFGLDTDEIEEFRDELRLYKQSGSFENRPPPMIIETYIDASRLTSS QSLVVVDENGKRWDVLEALNSSESSDDSPRRSHAQRRNTEVILERWRLELKCLPDVPR DEFGPALPTVYKRSIVFIRSLFVMTRMMPCWKYSQQALAKGIHPALEVKCRILSAETE YLTYDPLRQPLHDGRDAVTEYVFTDLEVPVGRFYASVTYRNDCNFRVEDAESLLSSRF MGVDENYFRPSLPPRRAENGRPDAYAEPGSLPSQRQGRGYQEAQQTYGSLSTFHGPGP LGTSPISALRSVRPIGSDTSSPSDSPSGSLGPPDPPHSLPIRGASARPMPRPHDAGSR RPSVSFQPFKAGSLSGSPRIGDQETPSSPQSLTRPGLAGPSRTGNNRSSLTMGSAASL RGGPPAAQDVPPLSASPRPPSRYSSSFTHRRSRPSFGGQSKADDDQASSGKQSLSSSA QPGSGLLAEAGAIASSGSFAADDDNISDFLKALDSKKTLKSFEPNKRGESLASKRTAA QLSRFQQMRETNNVLTDSMTSSIQLHRSSSSSSRQLANVPGMVNPASMSVSSSPGKPM SPHTPHTPAIPSRLSENSIIDYQSEDRPRPGRNRSDTTTPGDLTLEEGDDDGETPMVT QQDTSERTRPSPSSITDTTTTTTTTAATNTATAAAAAAAAATKTTTTTTTSTGTTAID IPLSPRLHHHSNNHAIAAIRRPSSAAHPHHQQQHQRLHQQGHRSLAG MYCTH_2307045 MVNDEGARGGPPFGSRAEARSCISPQCRRREGMLKAEIDRLTAE IQRLEGQAGRKAEEIRQLSRDKSALEEANKALRDALTAKLERARKHHKRVRSDPNP MYCTH_2307046 MSTRKEFVHPNLKLVPPRGRQLEDSDSEADGSIIERNGGPVHAL VEDRGIQGDEGGFVPFSFEKLPWELQARILKLILHKRDRIIHCLSRLDPFVQPDDFPG AEDLGQTRSGLMNRFFWGKRNCSLTHDGVEPNRLLAILSVSKRLHFLGVHIFYGLNTF AFSSLGELGRFCQGSGPARVARIQHVELSLTGSQYLTAPPDTRGKTPFSRRTYPLTWL ADMYRLKTLVVHLNETGRFHTRRKYEHPTIKEFAAAKTAGQPNQRMARSLRCVQGIDY IYSLRGLDWIRFYDFQKVLEAKRAVRVPVRDWSFVEDVTNTTTMPKPPQRAAHAELEN LEPLLPAAEQNWTPSLDDWQLVKSVYVKNNGRCS MYCTH_2307047 MLARSGLPGRHTEGSLLLRGRVLEISLSEERWRSVAESSELEPN EFESEESESESEASSEPDVSESIESGLW MYCTH_2307048 MPKRKRDDVPLDALVPRLRTDIFHALKQAKGFERQRQSKRLADP KTPAEKKARIENEIVVLKSLDLHHTAHAHLCTSLLKIKAIAEHSDKLPEEIRAGVPKP DVTEEERVALHNVTSSLYNRVEVKRAVEKAIEAVCRGIGVPVPEKKGKGKKGREEKTE DKTDGTRTDGAVKKKEKKKAEQAGEREGREERDKQSGKTEKEKGQKKADGVDQDGDND VDEEEEEKAVSQLDKMLGLDSGEESGDEEEDDVLVKGRTRKPSGKELDPMEITTDEDG SDEEDLDPMEVTSDEEGGSGSGSGDEFDGFSDDAEGPQSSASDDEGVSEAESSTSSES RSPPPKKAKKAKGALKPTDSTFLPTLMGGYISGSESASDIDVAPKRKNRRGQRARQAI WEKKYGDKARHLQKAAKGRDAGWDPKRGAVNGESKPWKRGIRNPLLDKDKAKASGTNE TKQTNEKKQADNEKKQPTDEKKKKPAPRKRDDTGPLHPSWEAKRKAKEKQQLSAPFQG KKIVF MYCTH_2307049 MASAGRGIQYTIPAREDFNKLAEEQKTRINEAFDLFDSNKDGLL SYEEFRFVLRALGFELPKPQTYDLLIRHGQKPANWPHDQECAPVYRLFNLPTAQAIAG TLIRARDPREELRRAFRLFDTDGKGMITQDDLRKVSKQVGNNIPDADIVAMIEEFDAS GKGGVDEDEFLRLMMSKK MYCTH_2307051 MQAALTAAWTTHIPFLQTSSPAQLVARLLRTHLSPGLHSYTFID FCAGGGGPTPSIERHLNGPIASNQGPAESGGAASDEQVSRRGGEGGQEEQEGEEKQPL QFVLTDLHPHVDLWAQAAARSPNLSYVPDPVDAANVPRDLVRRYRGPEGTKKVFRLFN LAFHHFDDALARRILRDTVEGGGDGFGIFELQDRGMAGFFSCCLFGIGTLIMAPYYAV LWGAPLALLFTYVLPVLPAVLVFDGWMSCLRTRTSDEVEALLRTCGAEGGEAEIAKWE VKSGSEMFMWPVGRVNWIICVKR MYCTH_2307054 MGLLVEYGHYILAAAVLAILVRAVSASRRSIRRNGKPLRNPPDT LPLLGNGLKFLQSRWELFGWFDRCQRQFGYETVALHVPTLPPGVLIHDPRNLDFVFKS EGLFTKGDFVKRRSWDLFGNGIINADGDLWKLQRKAGSAFLNTANLRVLTEVALPQYL AEAVRHLRSNVGKDPVDLQNVFHEITTKLMGKMAYNMEMHADDDFSKCFDYASGATAE RFQNPLWPIKELFAGSEMRKSIRVVKDFGRRIVTSAVRDRNELKSKREKTADSPDGDS KLDQISGSLIQSLLEALGDEQIVADAALTYLSAGRDTTGQALTWTFYLLLQHPSAVSK IRQEVQDLLSQHAAAEAEEEDPPHPTNSSPPTSASAPKPEVDPTLFTPAALPYTTAVF YEALRLFPPIPFEIRQAQADTTLPDGTFLPKHSVLVWCLWAMHRSRLTWGPDADEFRP ERFLTREDGDAKEGEVVEKEEEIEEEEDDDDDDDDDKTKQERKIGREKEEVIAAAGRA RRTTKFTLGKSSAEFPVFYGGARACLGRKMAEAIAVQVIPTVLVAAGVRLEGAWEGER RSQLSLTLPMEGGLPVRVVRMGDGGEKKVGAHDGNDSS MYCTH_2307057 MAEFSHSRTLGAAIVSEMGDLEASRVRETCSSPAHLVGAPFPMP KRLRWTRHPSIKESTPETDTQWRAPERTVWMRNRQRDSQGDLLTDGNVDEYAWYQYTT NETEIGRFGKARPDGTGRTPPPTPDPEKPRSSYSVFRKGKAVLGSPGGATGPPYSACT VL MYCTH_2315623 MNGPVARTDSPYTDPSVKGPRTKLLSNRSPAVAAAANMVNTVNK TGLHPGGIVPHPKTELEEELHEKAHIDYTRVAIIPNPSVAALYEDALVYETGTAITSS GALTAYSGKKTGRSPQDKRIVKEPTSENDIWWGPVNKPMDPEIWKINRERAVDYLNTR SRIYVVDGYAGWDEKYRIKVRVVCARAYHALFMRNMLIRPSREELEHFHPDYTIYNAG RFPANRYTHGMTSATSVAINFAEKEMVILGTEYAGEMKKGIFTVMFYEGPIKHNILTL HSSANEGKDGDVTLFFGLSGTGKTTLSADPNRRLIGDDEHCWSDRGIFNIEGGCYAKT IGLSAEKEPDIYNAIRFGSVLENVVFDQETREVDYDDSTLTENTRCAYPIEYISNAKI PCLSNNHPSNIILLTCDARGVLPPISKLNSAQTMFHFISGYTSKMAGTEDGVLEPQAT FSSCFAQPFLALHPMRYAKMLAEKIEKHNANAWLLNTGWVGAGFAQGGKRCPLKYTRA ILDAIHSGELAKVEYENYEVFNLQVPKSCPNVPSELLNPKKAWTAGADSFNAEVRKLG ALFLENFKKYESEATEDVIKAGPVL MYCTH_2144392 MSKPANNPTISAPTTPARANENGTKTKNCNRMPPPSSPRYTYKP AIPWAYASLPPSQLTTYLDDKKKPAKKAHLAVRIVQSHFLLKLPAILAVTLALAYLQA AIRNGHGNDGNDGNAAAPTAFLRNLLHGLWVAFAFQAAVAAPSALLRSELLFDLSGAA CFVTVVAGALGSTASANRAGAGAGAAAGDWAAAAVEGARAIGRAVESCDGRVWEEIGV DWRQGWVSALVCVWAVRRGIFTFVRALCRNGDSRFDEFRANRKKFFAAFMMQSVWVTF CAIPVVALNSIPAQGFIGTSWQASDPILSALTSSGGMLWFWLGVWAFFRGLMIECVAD WQLTKWRLDKYRKRHDEVFCRRGLWERSRHPNYYGEWLLWSGISMCCSAVLLSSAARN TTGLGLGTASVLCAVTPYFVYKTLRNISIPLIEEKYDKMYMERKDYRDWRRNRTFRLW LDGSGIIWGYF MYCTH_102510 MLHLTTRSYYAPSMRLLAAKRHFSASARRGQALRQRVEEIDFQV TPAQFRRIAATAKDRVNAIVIRHQANPRSSLDPESSFDLAAELSALTRWFVRDEVTKW TCLSSEFRSELHHNVPYELIISKEDGSPFEEDESWIKFRHWISKKQHSPALSYALESW AAHEIQIRRENGRLIKDFMQFSAPLALFDAVLKYNQTRPEGCKPVGRLYVAQMPLTDL PPRLRPDVAAPKLLAAPTTPEVPFVCDIYSSSLWLGLQPTFTPWHRDPNDNLFCQLVG SKTVRLLPPEAGEQLFRKVTTELGKPGASATIRDEEMMNGAERRAWHEAVWGPGAPKS ILETTVNARDMLLIPKGWWHSVESTGGQRGDLNVSVNWWFRWRDPSLSSHRPSRKEGI SG MYCTH_2307068 MSTSSGPPESWISSFCSLLGHEYFAEVSEEFIEDDFNLTGLQNQ VAMYKEALEMILDVEPEEDDDDDEEEEEDEEDASGDGREGAGGRQRRHHSRVASDLSV IESSAEMLYGLIHQRFICSRAGIQQMSEKYDLGHFGVCPRTNCNQTRTLPVGLSDIPG EDTVKLFCPSCLDVYVPPNSRFQTVDGAFFGRTFGALFLLTFPEYDLSRSAAETASNL GRSAEEQGMVNGMYARNIAPGLGRGKIYEPKIYGFKVSELSRAGPRMRWLRDKPDDVT VLDEARRFAEEHGADSDDDDESMTHSRRPPTARRRRPGGNPALRQRQGQNGSPMNVDT NGAESEL MYCTH_2307069 METVSEKAGIEPAARQLSTSSQSRPSTSSTARNAEDLVEEARRS NPNGTTRATGISVQQAEADFAELQRELSHMSRVSQSRGRGDKDKTQLEDGSADSEDTY EPFDLEAVLRGDLDAEREAGIRPKHIGVYWDGLTVKGIGGQTNYVKTFPDAFIDFFDV ITPVMRMLGLGKKGTEATLLNNFRGVCKPGEMVLVLGKPGSGCTTFLKTIANQRYGYT SVTGEVLYGPFNDKEFRQYRGEALYNEEDDVHHPTLTVEQTLGFALDVKTPGKLPAGL DRRQFKEKVITMLLKMFNIEHTRKTIVGNSFVRGVSGGERKRVSIAEMLVSNACVLCW DNSTRGLDASTALDFIKSLRIQTNLYKTTTFVSLYQASENIYSLFDKVMVIDEGRQVY FGPASVARAYFEGLGFLPRPRQTTPDYVTGCTDAYEREYQEGRSAENAPHSPETLEAA FRESKFARDLDEEMSEYKKKLAEEAQRYEDFRVAVREQKRRGASKKSAYSVGFHQQVW ALMKRQFLLKQQDVLALVLSWLRNIIIAIVLGTLYLNLGHTSASAFSKGGLLFISLLH NVFSSFSELAGTMTGRAVVNKHRAYAFHRPSALWIAQIFVDQIFAATQVMVFAIIVYF MTNLARDAGAFFTFYLLLLSANMSMTLFFRILGCISPDFDYAAKFATVGITLMITTAG YLIQWQSEKVWLRWIYYVNVVGLTFSALMENEFSRSNMTCTAESLIPAGPEYTDIDHQ VCTLAGSRAGTLEISGKDYIEKGFSYKPGELWRDWGIVAAMIVFFLCLNVVAGELVRH GMGGNQAKVFQRPNAERKKLNEELLRKKEEKRKARGEESDTSDLNIKSESILTWENLC YEVPVPGGTRQLLDHVFGYVKPGQLTALMGASGAGKTTLLDVLAARKNIGVVTGDILV DGVKPGKEFQRGTSYAEQLDVHDPTQTIREALRFSADLRQPYDVPREEKYRYVEEIIA LLEMESFADAVIGTPEAGLTVEQQKRVTIGVELAAKPELLLFLDEPTSGLDSQSAFNI VRFLRKLAAAGQAILCTIHQPNAALFENFDRLLLLKSGGRCVYFGDIGKDACVLRDYL KRHGAEPKETDNVAEFMLEAIGAGSSPRIGSRDWADIWTESPELANVKEEISRMKEER KAAGARRNPDLEKEYASPFWHQLKVVVRRANLAHWRTPNYLFTRLFNHFVIALLTGLT YLNLDDSRQSLQYRVFVMFQVTVLPALIIQQIEVMYHVKRALFFREQSSKMYSSFVFA ASLLVAEMPYSILCGLSFFLPLYYIPGLQTEASRAGYQFFIIIITEIFSVTLGQALSA LTPSLFISSQFDPFIFVTFSLFCGVTIPAPQMPAGYRTWLYELNPFTRLISGMVVTAL HGTPVQCAPEELNAFSAPANMTCGEYMQPFFDRGGPGYLVNNATQDCQYCAYKVGDEF YGPLGLSFDNRWRDLGIYVAYIGSNLIILFVASRFLNYNKR MYCTH_2042312 ARTGAISLRDFLFKVQVPGVSTPYCAYSQGKETIEHLVVWCPNP PRSRTDLDLVLRGIGARNHRLARRVLRWLIDLRRLPEYYLVGGLDRE MYCTH_52892 MAVLGRDITLLVSEHVDMDSLARLMLSSRANYRLIRGYERSIVK AKIARLVRDPVLEPPLGAVLSSSTPDRLGLAREVLSPMSFAVARELEARERRIARLLG SPGSPPSGGRPSQITDAIGRLVLFRDLPPRQMERLLDGLRDACRVADRIADCAAPIRL AEEDGGDEEEEEEEDEPWTSDLRRDARQAALEERIHLARQRYIRSLGPVRLAFLALLT SLAGMLYARRPPDPLHPPSAPRAPPAPDNNNNDDDPDPFRWERVIAFKEAFLRHGTVI LCALLCPPEKPYHTNMKTDADCSPPSTSSSSFSSSSRSTHHEHPRSQPTRYYEAQVAA VLTELLEYEAGGHWQAWRPADQDGGAARPIPDSLHMTMMQAFRSTEEKGEEEEEEEEG KGEGKGEGKEEGQEDTDGDRRLGAGVVANPSTEEDAVVSDASSSPTTAPPLSPPPQIP TLIQPDSREALILRWVRQR MYCTH_2315625 MATTVHVKNIGAQTEDKEIKDFFSFCGKISSIDITSEGETKSAT VNFEKETAARTALLLNHTQLGGNEITVTGDNVSTPPPQESSAEAAERGAGDASSVLTQ EEKPRSRILAEILAHGYLVADQGLQKAIALDEQHNITARFVKTLRQLDERTHATDHAR AADASYGITQRASSLLTGLNSYFEKASNTPTGKRIVDFYTTSQRQVQDIHNEARRLAE LKKEETGGSLYKGLGLDKVPGMDKVLGRFSSQGSAAAAPAPAEGGEKKDVPGAAPAEA VATESEQKPAAPSGVGNPETIH MYCTH_2307074 MPEGGQSPPPERSTGKQLHDVPASGKGTDDASHKEDVNKVALEH LTSNPRGPMEDELERKFDKHEHAKPSASV MYCTH_2307076 MPDLNSIPPSPRTPAAPPHRSSSQQTSAPPAPGFSSLNVLPSNQ SAVNASASSSLPSPTLLPPTSFAGPSMGDNTGVGPGPGPLRHPRPLTAADLHLQLEKE QEAVVNRLTRELSILRAAHNASVASNASSTSASASGTEPGPWGGDMAQPGLGTNHYQP IRHQRTSSSASARSLAANAGSVSAASLAGISSPAPVRPAAQPPIAIGGVSLSRQNSSA SRRSRTGSPSPAPPSLSGSYPHHGHEPGSLPGYFNARVPTRSTQSGASTSSALPTPAS ATATTTTTAATTGGELSPGILPATSRYEETALRRAELEEYKRENEALRRRVRELERLL REAMLLKEAGGVPQQGQQQQQQPQQEQEQEQQHDQETTRQRAVSAASATRSAVGVGVP DEEVRVGESAASSAVGAGRGRARARE MYCTH_2111332 MKYEVEGLDFMFQYPPLGPGFFVVRCDSQRIEHHFEKDPLRMYG NRTQLARHFSARNARCHMWDRGSRPPTVEEIIRNWGYRGRPLKSVVENNGKDDVNSEW VAESNESLRHNGKLMGKKTADGSHRRVPERPQFEPVSSRVGPHKVSVHGWSPSPDFEV EVAGPSRSEDTGAMPLSTGDDANPT MYCTH_82189 MEPHAYTTDEMDDMAERLSRLSSGTSNMSRHVPSLTSSMDERYD SRRRVPSGGVAPPPTPDSPRYLGQDDVFRIPTYDPAARPGMALPMQPPVAPRTPAFHR KDSYTSERRPSKGSSAGYSLYPAAPTPRPTKPLPPLPMLNRQTSRRSSSQPSLEESDI GSGDTSRYSQSSRGSTLERVPTSGSATPSSGAAGFSPIPPMVSEFRARPPQMQPQGAQ HGPLSVSLVPWKQLMATNDKPLKEPAVYYFDISTTSATLASKHGNNIIRIWSVGSGEV QNSLKVSCYTNAQPRSREYFVRSHAILSEPSNLIAVATGFGDTLEIWDWGKKKKLQSI VSANRWAAVRSNVMEAGWCPLVTYNGDADTLDLYAATHNPKKPFKKTRSIELRKAPGL PLIPKYPELAFSATGPLLVTASGPRPPRPGHPPPERETLLTAWEIHDGAPVSNTPYKV VAPWQHAELDTALPSGLATYGSVAVSIWIPASYRAVPIPPDRASATGRGGFNLAPVPV HHRYVLVWDFSASSTKTFRIPNATSCVSPDCRFVAYCDASGVESGARGCLALLDAMTG RQLWCWPDPEAGLLDATGDGSKVQAALGDLSKITEMCFSADGGFLFIGDSDGKIAVFE VREGGGDGVSLKGFR MYCTH_2307080 MLDISLFGLLAQQSEDQLLNNIWIGLLSVEALMVLGVALRGLLG AVASSRLAGRRRSHDSDFFHPGCSQ MYCTH_2315630 MSEPLTKVDSAVQGIDVPPKEQKEAKHRRASSSANGVMNINDLE AQGIELQIAKETQATGWKINTSPTTIEDKEILKKMLTTPPVKKIDLHFPLGLEVTARN LKGVTIKDALDAIHKQFKKRADDELDLPYLAGFEWDKEESWTRLIVHLRKDAGVASSS KKKKNKGGDE MYCTH_2307086 MPVTNFALKEKYKYQNGFDCYFETEAVPGALPTGQNSPQKPPLG LYAEKLSGTAFTAPRRENKQSWLYRILPACSHPPFTPAPAPNESLGLGGEPFRKLHHI PNQLRWDPFDHDPEADFVSGLHLLAGAGDPTVKQGCAMYVYAAGRSMGEREAFYSADG ELLLVPQEGALDIRTEMGWLLVRPMEICVIPRGVRYQVRLAEEGKPARGYALELFQGR FVLPELGPIGSNGLANARDFQAPVASFDEDCGETAFDGPNEYVVTGKFNNALYRAVQR HTPFDVVAWHGNYYPYKYDLGRFNTIGTISYDHPDPSIFTVLSAPSDHPGTSVADFVI FPPRWLVGEDTFRPPWYHRNTMSEFMGLIQGAYDAKKGGKGGFVPGGASLHNVMSGHG PDVDSYEGARNAELKPAKVGEGSCAFMFESSLMVGVTDWGLKTCQKVQAEYSEHSWGG VKVHWKKTTS MYCTH_2119394 MNRDNTSGELSQGTVSPSRPSTSSTEIAVAVNPTPEEDLYAAAL AMREAISQDDDPGEDGHEGDDEDDDEDDDDDEEEDEEEEEEEEGPGGGGGGGGVDDSN NAFAFDDDMDRYPASMTSSVRDHLYEGGLRYHAYRAGKYAFPNDETEQNRDDMKHTMT LMLCHGAYFYAPVEEVLKRGGEVLDLGTGTGIWAMELGDKYPNSTITGIDLSPIQPTF VPENVHFFVDDFEEDWVDPPNKYDFIHIRHTLHSVKDVDALLSRVMRHLKPGGYFEIQ ELGATPQSDDGTLTPETPYALRDYINFIIAGLRVLGSDGHAVLRMPERMRAAGFEDVR RTTHKCPLGAWPRDRRLRFCGLFLRTALMDGLRGLSHRPLTALGWTQLQIEMFLVDVR KALMESSVHAYFTLYMIHGRKPLS MYCTH_2063443 MDERAKIPVSLPCDKPTVSYWQDPPDPIADHRTTPDLPPTADTI IIGSGITGAAVAWNLLQSRNPGTIVMLEARQACSGATGRNGGHTKAASYRTYAAHRAA HGARVAAQVAALELRGILALHDFADAHAIPCDHLRPDFGPGGPGEKEGGGGRGGEGGG GGTASTSTIDVIYDPAEWAAAVSGVAAIQRDFPAGAPEATYTLYSREEVLERFRVHDA EEKVQGGVGYPAGSLSAYRFVIGVLRLCLERGLNLQTGTPVLELAKVEAASSSSPSSI STSTSTSSPPRRVWEVRTARGVIKAPRVVLATNGYTAAIWKPFQGVVVPVRGQVTAQR PGRSMPRGGCLETTYSFIYRRGYEYMVSRPDGARFAGDVVIGGGLARAERGGLSEFGT ADDGALNDGISSYLREAAARYFGPDWGDDHPDGRVRNEWTGIMGFSPDGFPFVGEVPG ESGLWTSCSFQGHGMVLCWMCARALVDMMEGRDDEQLRDWFPDVFRITRERLALKFQG RLDSIPHVGDD MYCTH_2111340 MSSSDNINTTRLHVLAAVASQEEHLPAHERLHYDCLLILAEAAN RALAGLISSEEGSEKEEEEEIDGGTWEENPPGARTTESDYDGGGSTSEAEDTIIAWAK TSTSVSRRDRRRSPPNLRRENKNMATRRRRRRLEQQRRGRQARGGGDDCPRRDDDGHR VADGASRALCQVVRRDGLGGASLRYQRQAAIAFSAVGDRTGLILTMTDAGHVRIEFTH ARTEDK MYCTH_2111341 MDSGSKDNEQGEVRLSRQRSERNRKKGSGQLEELLGAGQNKTRY PSPRITSTMTGPESQKGDATTTTTANNNTIIIINSKNDYDTPAGLLALQATAQTLVAT LDRHARRILAALGSDRATPRAEAEAEAEAVRRMLRELDAVRHRVCVGKAERPAVAAVA VEDVARNVRWLWKVGNALGDLEVWGGREEGRKEGGRARVRGRTRESAQPHDD MYCTH_2307097 MAAPYSPYGSTPGQEYLYDETKFPTPSPTPSPRGSGYYYGATPQ RPATRAHFRNGSTSGINSGYASPRGPSFSPRYTSDGQYATVNVSVSRSRKPSFSGPPR PTRERRSSFSYYPSSISHGESDEDEFVDLGGRAFVLPGRPRPRPYKDYFTVNAGGHGT DYGYYAQGGPIFDRDAGFASARFEPDRRPPPPVAGHARRNSTSVPQRPQTARPMATMH KKPPVPPQSRQATEDDAKRHKIPPGYSLKNWDPTEEPIMLLGSVFDASSLGKWIYDWT VYHHGAGSPIGDLAGELWLLLIQLAGKIKRAEGTVEKIRSKDNREMVEEFIEAGYRLT DKLRKLLKACEAPMLRSSKQKKEGQLGKSAGVEFVETLFGRDREMERTERFMASVRLW NFRFDTNCEEILRKPTM MYCTH_2307099 MSPTTWRNNFARRRKRRVSTALPEKPSKEAPENTPDLSSTSQTE KRSSGADIHDAAQETSHGSERIPQGPSQSQSGDSVTDVDAETSPWQTVPDDASIFVSE SEDGSSMHKIKTPGTQDLKQAKVWSDERHLVDSSGDESGRLREADNSRQEVPESASSS AQLAKLDEARHDEGYFKGKTLEQGGDTRFDEWVAAKLRESQRSESECAEAENCVREEA PAGQLQAAEPVHQPKPRKRTDPEIASTEPVQPTEPERYMKSPRALEEEQVPVHEQDAE AERHAESQPLTETELDAKPEKQQDSANGTEGSRQEQNASAPERSKRSKSSFDWAELSG DDDPEIGFSEWKERNLPGYQTQSRPRSEAAGKPEKPKETAREHYAFVPQPQPAKPKAL VKTKQKPAKSPKSIAAPTETITAEAASDTAPKKMLWSQIVRGSSTKSRTPAKAQSGSG SVSMSGQKKSATPWRRVQAHQPPSGQEEDFPALTAKEKGKRVDRKTWRRVQSSEQASV STGKAKGKQPEPVNPFHALEPLVERVASTANAGSPKEESDWERDWESGHEGEGESSKR AAAREPAWMRQAAKDTEAKEQASPLGPGELVGEKSQEMASHDEGDSFRTAPAQEHGIA QQETESETTRGIAAPGYRSVKKPRGKKGSKKEKRQPKHRTPAETARPSPSATTVLTGD PSMPHSPTPLSPNPSECSSTSTLGRANPSILTPLGSPKHPTGAKDEFTETHAPPGSPS PPPPPLSSPLPLGDGKPAPTQPRTTKQRRRMLRRQRQEQEQREQQQKPSSPTPDVPVR LSNTSISDVVMGRTSMMDLLRVGPVARMPLPLPLPSARADGDADDRSRGGDVPGPAYR WTPPRYARYEELEKMIAQQGVAEEAVFDMELAEKSDNDDESDEGDGNDDDKNDDDSDD DDDDGHGHDDGEDGHGHDDQGGEEDEEDDDGGDEGGDDETQEEAADDDAVRASQILLQ IQRRVANFVPADLDMTGEQWMRRVGEAWFGSDVGDKAKE MYCTH_2307101 MSDTYSANTLLSTFLRITEDRIVPLTRAGVSSGSKVFGAAILTR SDLSPVTVATNNERVSPLLHGEINCIQEFFASSPEGRPATKDCLFFSTHEPCSLCLSG IAWAGFTEFYYLFTYEDSRDLFSIPYDIDILEQVFRVPAPSDTPATLAARPLYNRKNK FFAAKSLADLLEGVTDAAERKRLGDEIVRVKDLYKSLDATYQEWKKLGAESASVWK MYCTH_2307102 MHYIRFCRPPEVQAGRSHATVKIVLTITTDLSDSFLSPRTPIQL AVIGAYTERRDGKDQLVPVNLTQGSPPTWRAGMRVLKLDLPLPPQPIETIQIRPLSRQ LTAMGTDDVLPGNRGLIMAVYADMPRPGDGRAPSVCFRSLRLSTGDVAAGQTLQIEEE LGESIARHIWDSGIVVVSLLADLCLCNTDEAGTKPLPMLRNILQGPDRPLNILELGCG VGVMGIGLARTMSLRRAGQRPHILMTDLPEAEQKARANIARQADHLGDASASLDFEPL DWEDGANGTFGEKASSRPVDLAVLCDCTYNTDTLPPLVKTLSALHRHSELFSAPEGPV ETKVLVATKPRHTSERAFFDLMSDDGWVIREQTVLPLPLLDAEGQTVEMYLFGKEK MYCTH_2315634 MASKPSTCCGKSAECVCAQQATCSCGEQPALHCNCEKASTENSV EGARCSCRARPTGQCNCARAETENQKPSSNACDCGLRASDSCTCSKAADGGYNPSEHE TDFTTKK MYCTH_2307107 MPPKRAPAAAAGESAPAAKKTKAAAGAAKIAVVSERPKKAAPAA SKAAVTKKSTTAASSAAPPKKATAKAPAPKKTTAKATASKKAAPKAAAAPKKAVASSR KKTAKAVEESDKENEVAEPQVNGVKRKREEEEDKEAGEHAAGDAGVSDQRGTKRAKKG EDEAAPAKKKVAAPKAAPKRAVRELKEINKPPTQVMDIFVFGEGTAGELGLGSVRVDG KKPIDVKRPRLNPNLKGVVQVACGGMHVAALTIDNKILTWGVNDQGALGRDTTWEGGL RDVDDEDEDSEDGDDTGMNPKESTPGEIDTSNIPHDVKWVQVVASDSATFALTTTGQV YGWGTFRSNEGVLGFSRNVLIQRTPTLVPELSRIKQLAAGLNHVLALDDKNKVYAWGA GQQAQLARRLLERDDSAALYPTGIGSLPGRAKVAKLACGSYHSFVIDTKGRVIGWGLN NYAELGVEDEAGQDGGFVMRPQLIKALEPYEITCIAGGEHHSLACSKNGELLTWGRID GHQVGQPSGSFSEDNTIWDERNKPRILVVPTVVPDIKDVVHVAAGTDHSFAITADGKV YSWGFSANYQTGQGTTDDIESPTLIENSAIRDRKIVFAGAGGQYGIVGALPEN MYCTH_2081599 MSQYYGAPPNQGYAPTGAQNLQFYSSNYAQPVSGHATPSQASYG YGGPSAGAYGASASFASGFGDASDVSGRMGEQGGLRTGWLAAFSTEGYPGEPPLLEEL GVNVGHIGAKTLAVLNPFSRIDQHLMDDSDLAGPLFFFLLYGTFLLLSGRVHFGYIYG LAVFGSVLLHGILSLMAPSAQSQQAHDPAAAAAYPGAEPVTAMGGAAQPAATGSNLTF ARSASVLGYCLLPLVATSLVGIFLPMDKPAGITLSSAAIMWCTWSASGIFCAVGGMRG MRALVAYPLALFYVGFGIIAVFSSRGSGSFAKVAGGSGAV MYCTH_2307110 MAHRLITQVVFIGTRVIGRAFAEAYKQAAASSQYQRAQAKAGNG LSGRASVSTGMTLDEACKILNVKPPQNGKADMDEVMERFKRLFDNNDPKKGGSFYLQS KILRARERIEAEVKPMMEKAEQEAEIKEGWNPKIYKDR MYCTH_2036106 SSATTRSRGRMDWEDRTQLLGEEFSGRDGTTWKRRSSVGLEVYY ELLIGYGDGEKRGNQGAARAAVRHSLDSRRSSAGSGYAGGWGDSQESLVLGMNDPNSP TRRNPVSRLGELTRADAK MYCTH_2119403 MASQKLNVLVYTGTGSTIESVRHCIYSLRRLLGPNYAVNPITES ALLREPWAPTCALLVFPGGADLGYCRVLNGAGNRSIAQFVRRGGAYLGFCAGGYYACR RCEFEVGNLELEVTGSRELAFYPGTCRGGAFPGFEYHSERGARATTISVLKDAFPDSA SLPSEFRCYYNGGGVFVNADKLAVESGNVQVLAEYTDDIAVESGATKAAIVYCKVGEG AALLTGPHPEFDAVNLSRQVDVPGYDQLIEALKTDEDARTIFLRACLTKLGLEVSQGA SPVPSLSKIHLSALHHSDVSEILCSFEDIITKENGEEYIKGGNDLFHLEKPDSRWSLA SLSEALLSDVVESKKKTGRTSPDPTADYSHVPKRIVSHEDAWPEPKETPYFNHSIFYS SLRQFREKEEAEEAESWGNVLMNHNLLSKLPTGFTFAATTQIAGRGRGANVWVAPPGS LIMSTVINHPAHYAATRPIVFIQYLAALSIVEAVKSYDDGYSEFPIKIKWPNDVYVRD PSKPNEVSYVKVAGILANCAYSSGSFQVVLGIGINTNNARPTTSLDAVLPLLEGGKKL GSFRIERLLARILTRLETLYTEFCRNGFSRDLEGKYYQHWLHTNQIVTLEAEGGVKAR VVGITRDWGMLMAEEVADNGINGALRSTGKVWALQSDENSFDFWKGLVKRKI MYCTH_2315637 MGSSNTKEDTPPKAEQEAPDALEEDDEPDEWDKRIFSTGCADEN ARLTDCYHDKKDWRACKDEMERFRECWKAQGNDKRTSTVDST MYCTH_2307114 MRSRAWLQALRPMRIASPGVLPIQYLRTPTRRSPILKQIIARSA SSSSYTAKARTASSSTPASRARAASASKPSTPSTPATPAPAPANPVLDPQAAILPAAT VADVDPQLAADIPTPEASSSSTSAASPAFAAALSGNASGSSSGNNGAAIDWSSSFHGL STTPFSPETAAVLMQPLDPLDIEIKPDGIIYLPEIKYRRILNKAFGPGGWGLAPRGEL VVGEKVVTREYALVVHGRFIAQARGECQYFSEETIPTAGEGCKSNALLRCCKDLGIAS ELWDPRFIREFKKTHCHEIWVEHVVSKKRRQIWTRKDGEPAYPYQAVKAGSRM MYCTH_2307115 MFDIFAKLLSSITSFLFPLFASYKALKTSDPAQLTPWLMYWVVL ACALLVESWIEWFLVWIPFYAYIRLLFLLYLVLPQTQGARLFYEEYLHPCLEENETAI EELITSAHDRLRRAGIAYLSRAIELLKTNVLGMPPSAEPAAAAAPEPQTPQSYTQSLL ARFTLPSTRWNTRQGAAASGQAQATGGGGLTTDFFNLLSSAVSAAASATSSSSSSKSP AGASSTSTSTSSIIPESIRAAGAAASVSFIQAQRERLRIVLSALDREEAAAHQQAQQQ QQQQQQAQGPEQLSAAAVGGGGAGGNGDKRKSVSELSVGSGLSKSRSEPDFEKLDAPS GGEEDEGSAPAGVRRRAGGAPETPAGGRSGGGWMSWGWGTGGGEGGGRSSGVEK MYCTH_2064631 MGSSDSDKAAVQHSETLPGVSSTAAERGFAATDQNGQPIVQFDL KAEARLRRKLDLFIVPTVSLLYLFCFIDRANIGNARIAGLEKDLNLTGYDYNALLSVF YISYIVFEIPSNIACKWIGPGWFIPAISLGFGVVSLATAFVDNFAQAAGVRFLLGVFE AGMMPGIAYYLSRWYRRAELTFRLSLYIVMAPMAGAFGGLLASGILSLDHVGGVTGWR MIFVVEGIITIGLSVISFITLTDRPETARWLTQEEKDLAIARVKSERVATTEVLDRMD TKKLIQGILSPVTLATSFMFLLNNITVQGLAFFAPTIVRTIYPDKSTVMQQLFTVPPY VVGGFFTLALPLLSWYLDRRQIIILLSTPLVIVGYSMFLGTTNPSARYGATFLLSSSL FAVGALSNSQVSANVVSDTARSSAIGLNVMMGNVGGLIATWSYLPWDGPNYKIGNGLN LAACCTVLILSAVTLLWMKWDNRRREGRNAEEELAGMSRQEIQDLDWKHPAFRWRP MYCTH_111845 MSDYRATIIGFVVLSGIFIIPLFILWIVSLVQARRKHDPARVGF TWMKAVFPLWILSLVLRVAYGGLQVYSQYGYFAISSRRADQIDRAVSHISATADFFHR LASILLFVTLVEIAGGYIFCLKGPSEPSPGRKLGRTVVLAWALVLVVLAITELGLGQS FASRYGTWGDAEDDLFGFYSALARLYRFSGAVVILMWLTTLPILGYAASVVHKTKGHP LLRSGAILLLVCTILDFVRHLVGMSIYIDYFLVNTALAVAGELIRDPAIGYIVNPIFD FIPMFVILVMLFSLGIRKRKGLWSQPPPEWNYPPMVIIPTAYPVMPVQPMPPQQFMPQ QYQQYQQQPPPPPPPQQPVQGLPAYLQVAQQKQAMQQQYPPPQGYYYPPQQPQQQQQQ QQQQQQQQQPVQPQPQPQQQQQQNQEQQ MYCTH_2307125 MAANAGTKLSPLRFVRTVMNSFMADSGLEPRLLGKHFRVTNATE GVVDFELNIAKEHTNRLKIIHGGTIASLVDLGGSLAVASKGYYATGVSTDLNVTYISG GGKVDDKLRGTAVCDRIGKTLAYTTVTFWDKNRNIVARGSHTKFVAQAVAASTPFVPP EGAPIADDAEH MYCTH_2307126 MSVGQQSYQLSDYLEKLPGTTFRKLYQQPSTAFAIFRRMLPPLA KVFVQALLYMPSPMLLSELDVWVRPEGKMQRDRALSILRSLHIVQITPPGKDKPQEIQ LTTNFRNSLRLALEGGGTHNSFGVPSTLPVDPRIDIAYLDSYARRKWEDILHYVVNSV PAQGEGGGGGSVGGPKASVKDLLLIGRLVERRNDTKAGVGITQAGFTFLLQEANAQVW TLLLLWLEAADRAKGAAEQGKGGGAAAAAAKADSIDMLSFLFMLASLELGRAYDTDAL SETRRNMLPALVDFGLIYIPREDTRQYFPTRLATTLTSSASSLRSVSSGFSAAAANNP GDASSLGTTPETSAASKGSVIIETNYRLYAYTSSPLQIAVLALFTQLNMRFAGMATGR LTRDSIRRAIGFGITADQIISYLASHAHEQMVRAAAATGRPVLPPTVVDQIRLWQLEN ERMRTSAGFLFKDFDSLDEYLSLSAYAEEIGVLVWKSDKKRMFYASKIEQLRDYLRSR KRAE MYCTH_94826 MSSKAQVSVRGPITSSWSELGIEDTFPDSPLDFDKAKRHTLLDA IDPKYPTQSITQPVKRDLTNHSMRAIREAQELDLSISPRGLLASTYGNLFKKLLGSLK QKSSLVLPPPQIPDPDLSVGIPDPPETSTSAIDSEYSESGESENFSDTAEDKQRAAKT GGAATLPLTVIVDTLRRAAVLFAQISWGSVQVIGAVVHSTLVVLGCSVSSDCLLLLEV VRVWLLFARHIYTALLFSALVILLKLLSTVGWVVGFFDRKWPTPETESEVATATPSVA IPKSRTFSVISSLTQSFSSGTFGSQKTSRNVSRESQVITTPAQGRATIQSHIYQHNAS GNRQTHLTSLTAPTSGSYPSLSNNPKEITTAMPPQYWAGRFMALQDRFHNELLESHRL ARICGGQSAQPFGGITPQASVAPQNNPSMSIYAIPRVVQVGRHSSVPNNSSLRPRSRS LIPCSSTSCSVLQRTSYNTKSSPPSYAHTVRCFSNDNTATVHVPYQNRHGYAHLLTTG ENTIQELPSAATPSHSHGDGDGSGMKNDKACSAITVTGSSICQEANTITINQNTPLTS FTTAAAASASAAATAAAPIDGDDASRTRRVFVHLESLCTTDAARASLRQWQAAYAHRM GNDGGLNRMEARAKASSTEQKQKQKEEHGAMGHGCVGASLYASVGVGR MYCTH_2307127 MCIVLVTTAHPKYALVAIDNRDEYILRPTSRPHWWTAEPETHHA PNGVTNGANGSTKAKSPGPVEILSSRDLQRAERGTWLGITKGGNFAVLTNYRETDTHN AASPVCGTRSRGGMVTAWLRADPAESTEQFVHRMLEGGGVKGVGGFSLICGKLRKVSG EQNIEPLAIISNRCDHAGQVAWICGQRGSVYGLSNATYLDEGEESEETLWPKIRSGRE LLSRAVSTASDEQELIDSLFNVLDTDNFPSDHTIDLEEGIPLLKNSIFIPAFGDKEHQ REMCEAQQRGKVQQRDRNLPAAETLTSVARPDEQPCGFQTGLYGTQRQTVILVDWEGN VTYTERALWDDNGNAIPRGQGDKTFKFKIEGWQQEAEPRE MYCTH_2307128 MPRGCEGNIPAVCLCAWMCVVIIPPMACHVVLCWLMPMFPPQVD TREVYGRTVCKLRVDCRCSSSEKHPNHPTPPKSAFSLCAYLTS MYCTH_2307131 MSGTNGTNGAANGANGTASQGAHFRSSPYQPIGDFLSNVDKFKI IESTLREGEQFANAYFDTETKIKIAKALDEFGVDYIELTSPAASEQSRKDCEAICKLG LRAKILTHVRCDMRDAKLAVETGVDGLDVVIGTSSFLREHSHGKDMAYIEKTAIEVIE YIKSKGLEVRFSSEDSFRSDLVDLLSLYRAVDKVGVNRVGIADTVGCASPRQVYDLVR TLRGVVSCDIETHFHNDTGCSIANAYCALEAGATHIDTSVLGIGERNGITPLGGLLAR MVVSAPEYVKSKYKLHMLKEIEDMVAEAVEVNTPFNNPITGFCAFTHKAGIHAKAILN NPSTYEILNPADFGLTRYVHFASRLTGWNAVKTRAGQLGLAMTDDQIKEVTAKIKALA DVRPIAIDDADSIIRSFHLTLQQPAAQSNSAPVEVAQ MYCTH_2315642 MAYRTTADRPTAERWDRDRFEYERDRDRHGDVRERFEEEDDRVY VRRRSRPPPHRFPDDGSDNDVVIRERERSRERRRVVYDDDDDDVGRGPRPTRRRRSSL SPPEYWRRPVSPPPREEVERSRVVIEKERYRSPSPEPPRWPAARLIRRQSSLDTFDRK PARRYWERELDRDEYGPPARRDDYRVPPHVDIPLPRAKALTPPQRYGERDEIHVAGPH RYREDDLHAHPERVRETEVIRTRRRTRSRDSRGASRRGRSRSSSRSSSSSSESRGTIR TSRSEYPKRGKTRIPARLVSKRALIELGYPYVEEGNVIIVQKALGQQNIDDLLKLSDK YKKSELEIMAARSSAGDIIEERIEHRTEVYEGAAALPPPPPPPATQVVTGTVSGNGPV IIEANPPPHYQQPEVVKTTVIRDVRDVRDVSPSRFTTSSYDTSSSYDTYTTSRTSSPT TVVAREVSSHVPIGPVALAGRHRRDAYETEDLRSEIRHLEKQLARRERSRHSRSRHRS RSRGDLVRAERLSTGELVLYEEEVERIQEPARASGPRIEKDKRGRLSISVPRYQ MYCTH_2094250 MSTKTIIATGASSGLGFELVKQLLARPPSPAYRIVLGARDVART KAAFDALTTAAALPHSNSSSSSSSSSSSSSSSGGDSGGGRHSVTVLPLELGDMAGTRA FARQALRAIARDSGDGEEKEEEKTRLDYLLLNAAITGGNDARWVGPWGWCEGLVVNHL SQHYLVHLLREKLVESNSRVVFVSSGAIRQVSDTESLEADVKAGSGAHPFTTYSQTKF IQLLGAHWWRRQLKGQATVVAVSPGLIPGTGLGRGSGTFDIPKIPMADAKPIPVGAQS ILRAFTRDDFPEDPQQIFLTSWGEWWPKEVYRLTLDESLQDKWCPSKEEIEKEAGLSG MYCTH_2307144 MGTSDDNNSSVAAGPVAGNSSLPDRRPPSVSTDDKSPGVRRAEA LAAVLTTTDRVFIFLGVFLVAFAYGLDGVLRASYQPVATASFNQHSLLATVNVLRSVI AAAAQPTSAKIADIFGRAELVVLSVFFYVLGTLIETVSNNVGTFSAGAIIHQIGYTMI MLLVEVIVADISSTRARLFFSYVPAMPFLITTWVSGNISAAVLSATTWRWGVGMWCII YPVCAMPLVVSLTLVSRRARKRGLMEKYSEAVKGEPWGSFLVDLFWRLDVIGVILLIA VFALILVPMTIAGGFQASWTAPHILGPLIVGVCTIPVFVLWQMRAPHPLVPFYLIKDR AVWGALGIAVLLNWSWYMQGDYLYTVLIVAFDFGTEMATRLSSFYSFFSVVSGCLLGL VVFKVRRLKIFIVAGTCLFMVAFGLLIHYRGDANTSSRAGVIGAQIVLGIAGGMFPYP AQASLQTVLRHEHLAVMTGLYLATYNLGSAFGNAVSGAIWTQVLPGQLNQRLAPFNNA TLATLAYGKPFDFAAEYPVGTGERQAVIDAYKHSQRLLTITGICLCVPLIAFAAVLRN PKLNDQQTLAQDSPAVRAPVGAVEGRGDTKEVSAS MYCTH_94820 MAINDVNPVLDILLEAILGVNNVERKDATPFAYPVFVVWRTIKG IAKGRVIINLYSLNKLEIITALYRKKFITVIDYRNSPTLLSRSKNIRVIGYSSSFFYS FTSVQKDITITTFAPIIITIQMVRLTYYDREYSDALDILSQGSSLSD MYCTH_2307145 MASSTCALPVTLPIRTKRVVSTGEKGNIGSSRGRIIRLATVFHP QNDRLSPEAHFPVSRVQRASGPRLVNRFNRCEMMLVIAGSCLRNGGYAPVGGCAFVFG GGDDDDDDDDDAGRDRDESRKTDGHAVGVGAVAFRLESRDADPPSSPEDTCNRAKLSA AIAALRIRDWAGEGWRRVVVVTDLEYLAKGATEWLPNWVRSNWRKPPGAKPGKKGGRD DERRCYLNRDLWEELQARIEELMAAGCEVSFWQVNGEEYDRNDQGVLARAKRAAQTAM RGS MYCTH_2307146 MASSWVPDMDPASDFSLANIPFGIISTTADPAPHAAVAIGSFVL DLKVLSANVDLSQIFPGIDGLAEAFSQPTLNAFAQMGRAVHRRVRSALQDLLSKKTAH PAVLRDNAALRSQALLPQSAVRMHLPMAIGDYTDFYAGYHHAYAVGVMFRGPENALQP NYLHLPVGYHGRASSVVVSGTPIRRPVGQILPDPAAEPKRPVTAPSRKLDIELELGCF IARGNHMGDAIPVGDAEEHIFGYVLLNDWSARDVQTWEYVPLGPFNGKNFATTISPWV VLADALEPFRTRGIENATELQGYLKEPREERVFDVRLEVDLTTAEGTTTTIGRTSSRH LIWSFPQMIAHHTLGGCPLRPGDLLGSGTISGPGGVDERGSLLEMTENGKKEVQLAGM DARTFLKDGDTITLRGFCGEEGARVGFGECRGKIYSAVQR MYCTH_2307148 MADQVRQLQQQVDTLFTSLESLRQETARLAPLQDRVLPLPSAAA PAASPLTSSSTQSRPALAFRVPSFFNGPTSSAFSVGVAKNTLKTMGYSGAGDASEDSG TLPDATPQTSPMLAPVSSGAPLPQGQAPADPIWELDEPEMMRLLQVYREEVDAMYPVT SVHSVEEHIKYIAAWMDTARRTGTMQSPGSAEVIQDTKTLLLKIVLCCALMVDEHGNS DRAARLYESIQPTVDKMLMTQPADVTKLPFLALCAGYRYLSNDEVLAWRMMGHVARLC FELGLHRREGLQNITDPALRRDALHTFWAAYILDRRWSFSTGLPFVCHDDKIDPRLPY PEGYPFLVAMIGHARLGAKIWRLVDYFEPAIVRELKPRDFEELDREIMDWYESVPEEV KTGPLDVDKMSVPSGPYDIQRLRIWTRLRLNQVRIWLYTPVLHSAISILNNAPLAQRV VDLAKETIRLLARLNNETDFYRRIQVFYHQFLTSSIAVLFLASTHAPLQFSANCREEF YMALDLVKELSAKSWVSQRLWRTIRSLRAYAPRLGLEDNPGRTAASGTSPYAHAGSGS TGHSPGLSASFNGGGSAVSPSPAKGPAATAPTNASAVPTPGSTRPMQLDDSLSNGLRL QSEMSRIYEGYTCVTSAVGAESTTGSNSIPGPAAAAQLDYDELELSIGGCGGGHGFSR QGDGSVYEHMKGMF MYCTH_116261 MAAPQQQQTAAQGPVNNDDIADWTARFNDVLARPGEYLNSQSPP NAQPWYHSFFGCFNPIDTCLLAYCCPCVVFGRTHHRTRKNANLEGYEPINTSCLLFCA TGCFGLHWIPMAMQRADLRTKHNLQGSCLLDIAGACCCHCCQLIQDDKEAAHREPLLV QQQGYQAQAGMTFPGKQ MYCTH_52498 MSPSTTLPTGSKPNQPPPESSRRCITRVTLSNGYRAYHPQNTDG NKPPKQKKRVRFVRGHDSDGSVALSEASSDLESEEVEYDLFRDFPLDEADGWIPVMVA EEEEGNDWMSLTGSWMRMGDMVEAFK MYCTH_2307154 MPGSSLWLLPPENHPLNPVLRTLITSTLPSAFPREAASSPRVVP HFFPAHVTLTSGISPDAYGDDPQGWLDRIPFPKKGRGGDDEEEEGNSGGSSSRAKVRF ERVVSQDVFYRRCFIRVAFDGVKEIAGVARAAAVLGEGLEVDAEGNVKFGGETEKWLS WWREEFGPHLSLIYGNEPILDGALREITRVVQQAGIKLSDETENGAKEGDALDGWDGG VIWLVPTDKPISEWGKPIATRKI MYCTH_2307157 MTSDEEVPRAPTAAVSGGACGKSPNLAAELLTLIITTSPTPSAP STELLSQILGSFREHCPELTDCRVVLVLDTYDHISSAARLKKGHVTAEGARKYIEYKQ NAKRLILQEYGRGERGYHEQDLIEEHGAAEFGSTAYAQQTNAVPLAITKTDDGRVTFI EPLQRLGFGLAVRSALRLTETPYVWIQQHDWALVADIPLRPLLAVMQEHSQPGDGDLD DLEAVKVPIKYVCFPSVRMVEYARSDHVMQFPALRALTNLHKQNFTVRSEDGKPAKIP LTPLFFWHDKPHVAETEHYLARVFPNRASLPRGAFIEDTIGHRARTEMKDGQWRKWAC WLYYPDEGKQLCMRHLKGRTWRGTEGELAQKLEYMRLNQGNHHDLKTAQLAAE MYCTH_52789 HGILGAAAMVALFPSEAILLRILPSRVGLWVHALMQILAICVLV AAVGLGIHLVQDMSDSDINSHFIIGLVVMACLILQPIFGIIHHEKFKRLRRRTIASYV HLFNGRICMTLGIVNGGLGLWIAGASDKLKIAYIATAAALWTLWLLTAIWGEWRIWKM TPRPCRRRKLRSPTSWTHQLGETSF MYCTH_2134806 MVEKLYITYNDVHKLCQEAAPRILAEFKPQLMIAIGGGGYVPAR ILRSFLKQPGSPNIPIQAIGLSLYEQLPDAADQAVEAAGTKVTRTQWLDLTALGEMAN LVGKRVLIVDEVDDTRTTLEYAVKELQKDVEQARAKLGSDLNTEFAVFVLHNKDKQKK GTLPDDIMNGRYLAARTVGDEWICYPWEATDIDEHDRNAAEAKAKNGL MYCTH_2307162 MRRTLLNTRPSRAVIRALGGQQPRRTFAAPSPVVRSKEQAHPVG PFYEAILRTPVPQPKEKPENPPVTSKSAPVQTPKPAPEHQQSKPVAEEKKAAEEQAAA SEDKKPARRTRKPKQETSTPNSKAKSVPSSISSSTSSSSSSPSSSSSTSSSSSVDFTA ASSSPTPPGPTLLPQSPQSSQPSQLSPPPSQPGEESSGQEPPSNDVQTRASVVFTSSL AGPAERRERLARIRAESRLVAGVLVPPRPEEPDNCCMSGCVNCVWDRYRDEMEEWAAR RAEAERRLAAEDAGPQVGVTEESMERTADSAGGMDLGVHDEAADRGAASMDDDGGGSV GNWETGTAVGRTDDHGKGGKLAEDFWDEDLYKNVPVGIREFMKQEKRLKEKHRREGTL GGKDLD MYCTH_2307164 MPRPLPPPAPEEDDELLKAISARLHPGVPPGVLPDVADPEPELP PTPQHPDPVVSTPPSGIHNTPSRRPKRNRALAERLKSSSPLKPSPIRLGKSANGALPF SLPAKNAQAETAAVTEPAPPPAESRGLKPADPDAEKKRLRDSLLAEISRLERDLDIAS RENERIRQARLSRREPSPPANGDEILDLLARHVLPPGTSAPKPDPIEDLVASALNPIA FLPFSKPSPSGPPTLQRIQAPRRTQAGEDGGGGEELPPPVSHHPLPMTAAEALPYLRV FTPLTFTAHVSPLPRAESGSGGDGTADAPLLQQHSITASSASPRGLFAARIEMTVNTK TMAVASLAVPRLDPAAEAELRPFINKVVGAGENENGGGGGSSGLYNNVSVLTWAMGEW LRVAVQRAKAWIVLEREVGGSKEAFGEMVRRMRAGQVAGQRNPRRRMRRRRRRRWVER GGEEAEAEAEAAEEEEEGEDEEADQDGGSEGEDGEGESVDGTTMEKYGTADLLPFMGR TCIDLEVPVLEGRGRGEKSMLRVQWRIGFDWTGEAQSEIGVLVGLPAKWHKHDERGQL SGLPKLFDELIQGGEDPLNAVRTVVCLLAGEQRAT MYCTH_2307165 MTRAQQTISLALLVSSFYLSLYLGLFPLPPIIQEAIVPVLPFWF LVSFGAWLLFRLGWGLLTFRDTPDAYAELMEEIKMAKADLRAKGVDVD MYCTH_2307166 MEETRPNRPSGIPRPSKIPVQTSKLPLPRTNSIRTSPSRESLNS ANGTGHLRNPKLRTTPSRDQLAPAPTATAAPTNRSQPSTAPLRAVSSPHSRAGVNQVP AGNARDLRPGPNTTGQERGGTYAVGHAVRSSSATTGSLRRQSSQQWISAGTMPEEEPG LGASSTIIAEEDANGGTSPESPSKLRPSLVERTVETLSRLPSSPSVKGRGAASFYDAA ATRPTSPIESQGSRSESNPQSDGSGGQPRSASRPGSSSGLDESISGFRSQLATSDNPL SPVQGIPLRSRRSVQSLQMSSAKTTSVRQGRTSLYGMGPPNATVAPRARTPSPGKTGP EIPAPKSASKTLAPRPLNKRHSVNGLVKKPAASAQAKPETTRKASLASRGSSATSGEG SSNLSTASVASGSTAITADSTEPGQSYKKTSAALREQIAKARAAKKAAAQQNAVGTAA GSEEPAFVPADASFDFGLSNDPFNQNRDDKSQAKVLRSRLETARTSGRLNIAAMGLKE IPAEVLNMYNLESIGQSGSAWAESVDLTRFVAADNELEMISDSVFPDVDPQELAEDED SQGNIFAGLETLDLHGNILVNLPMGLRRLSFLTSLNLSLNRLSNSCLEVICQVTSLKD LKLGGNLLYGPLDPCFSKLVNLEILDLHGNNLSSLPAEFGNLSRLRILNLSENGFEEL PFDVLAGLPLRELIARKNQLSGTLIKDAVDAMPTLQALDVSSNQLAHLCSWGRSVRMP ALHQLCVSMNRLQALPDVSTWTSLVTLAADENSINAIPEGFTKLEQLRSVDFSSNDIR VVPPEVGRMENLSNLRLSGNPLREKKYSTISTEEMKSILAQRLEPEAVEHQDEPATNG QDEYFTADDSAINTAADNHVHEDDESRSDLEHFATPPTSAPASPARSRSQTATWPVKS GGVLDRSNTESSSLHPVICSKLAATHKVSEIQLHHNLFTGFPESLTFFAATLTALSLA HNQLVGETYLGGASGNESLDLPALKELNLTHNHITGLTPLTSHLRAPQLQKLDVSFNR LAALPQGTQLRDAFPNLTVLLASNNHLADLDPETIRGLKVVDASNNDIAHLNPRIGLL GGSGGLERLDVSGNRFRVPRWNVLERGTEATLRWLRGRVPVAEMGAWKGENGEDDDDV D MYCTH_108061 MTGPLLKPGCMTQNKGSSSLHYRQHQEPDLARRRSDVVTLAARF EVETERRVITVTVVQGSDASEDDQPVPTQNGDSDDEQDGKEDGAQPTEVPSSTVNAPT STDRFFPSDIDTPSTTTTKPPINNSQDEQGNHRTGLSAGAAAGIAAAAVASAALITAA TFFLWRRRQGGIRGAVITADYPRGLEDVQGQGPNLPVVPPPAESGGGIGGQIGYDAAE GRYYPPPWLEEHDLGNGRESSAVTGSGGSRREVGQSGFRIPPAGTMPGDPGFDIPGEY PPQQSQQYQGHQQSRSGGDLGEARPSCPYLQ MYCTH_2307170 MSRTAQLEAKIEDLVTLLRHQAAPAVKTPSLSNTGTNIDTSTPT LSTHSTTTSQSEQGSSHPTPAPGLPLTRPDRGPGKVDAGPSCLSSLPGDVVGPQAPAP PAASAEPPSMPSCVYQPNATEAAERLMTFRKYMLIFLPFVHLPATLTSEKLRESHPFL WFSIMTVTCRNVDRRLVMTEAIERFVAQRVVVEHEKSMDLLLGLLAMLGWTHYHLKGD KTKLSVLASLAKSLIYDLGLNKGPYETLISALLRAPTHHSSPRDKTLEERRAVLACFL LTSQAAYTIKRMEALTWTSHMDECLQELSEQREWQGDDLLVAQVKVQLIVERLARNIS QSPDGIPPSYVLSSLRTQLQAIKAQLPPHLQQNDTILCHIFYAELAIHDVAMNKPKAD LSGITSEMQRCEAIEGCISAIQGWFDRHFSIPSYVYIGMTFTYWWSMAHCLLTLSKIS TLNEPGWNREAVRNRIDLLAVLDQLGIRFDEVSAQLRLETGPTVEEDPFSKFAKLVRT MKTNWAPEVAPAQRNPGPSATTMTDAFLDNSAEGIGMPFFQPSESETWIAGLFDVNWD I MYCTH_2019371 MTRISLTLLFLAVLATLASATVPSFCKCTCFQNSTIIPLGPQHD NNNNNNNQQPAPPPPLNPTNPDGNEDVDGTKPGQRGTQTSLFHLLAPRSSSTSCKQCN RAFCLKYNLPICKDAEEKDIKTSCFQRDSPKDRVIVWGFIVGTAGLLGWAALRRVI MYCTH_2307175 MAPLQADDMRVLGQDPLIPPALLISEIPMTEEALQTVVKGRRDA VGVIMGWNDRLLVIVGPCSIHDPATALEYAARLKALSEKLSGDLVIIMRAYLEKPRTT VGWKGLINDPDIDETFKINKGLRVSRQLFRDLTSSGMPIASEMLDTISPQFLADFISV GAIGARTTESQLHRELASGLSFPVGFKNGTDGSLGVAIDAIGAAAAKHHFMGVTKQGL AAITRTKGNEHGFVILRGGSKGTNYDKASIQAAKETLIKKGQKLAIMVDCSHGNSNKD HRNQPKVAKAVADQLREGETAIIGVMIESNINEGNQKVPPEGPSGLKKGVSITDACIN WETTVEVLEDLAAAVRERRKVTAGATNGSPKTTPLEED MYCTH_2307177 MVVAAQFPDQHRKRFREEDGDTSSTYPVGFTEHRTKRLQSLPLR TSPDSKQWFDRPSFRQPNAFAATSNVQTITPEEPIEEHMWADEPELVPAAPQLDNDLD MMDMADSVASRDQTGLEGQIRDGQVSSRADRDAPSVTGRIPTPIHCSFAAQVRGNNWN GGNAGHGDSLPATLEEQSAAALDNNQLVDISTQKYTSATATQAMADWSVVQNRRLPSP ISECGAEESQGSARMALDSPSSHGDSLSRVTHEHPLVAGLPLRGSSAMPARSGREGTP AVDSHGGNAMDVESSVTPSLPKKGHTRSKHTLNSWTALQPGMTRTFSIGYRADCERCR NKVPGHFNHIIIS MYCTH_2307178 MKPTVRLSAAPRSVSDATRFTATTLHASSKAAAPPPRFAPPKSG SGNIAPGAGAGGKGGPIHETPEQKVARLRAAHLRAKAAQTSRLDRIIAASSRVFDSAH KITVAGLIGFTVIAGLATAYTAVDMLTYNKRRNAEWIERKKKLEADSLEAARIAYMTG KATEEQIKLVEEQLERERQSGRKTSFFSDLSVLSTPEPADATANPSSSSSSSSSSSSS SSSSSAQTSPQSTTTTLPQPGSVTERVSWPPKDGALPADQEDQDQDQERKSSGIWSWL TSNLKREEADEPISSSSPPPSTPRLGYESLSPDDENGVRDSDIVRAVESKRAALKAKA QAALDKERENQRSGGPLDRVGTQEGETGVAKDGEQLTGDKPKKKGWFW MYCTH_2119434 MRRLPRLPTPASAGLVPTSTSAGPLRACAPAATISSKTPAPTIT TQNRQSRRYLSTTPVRLQAQNATEASPEAVEGFSEDYLPSYRPRIRTQLVYPRGVSIA QPAEDVSDLSYTPAESAEGLEEVGGVADWWDEPTHWGTEGGVAQYVQSVVGQFGPAEK VTDPAVLEVLAKRAIVEALVVARFAGAEKRKAVDRLFAHASGTDRLGKIVRAEVVAKE DGTATLKEAADWTRVWDVLKSAVKKARQQQQQQQQQPEQNKAEGETAEAAEAESQETA VAQTEAKEAAPAPQLTPKMAKSLMGTWNKDWKKAELRDPVVKFYAVKRIQQLTGHRIP DGKLVSIRTIDSLVKQLIEPPKPKKLAELVEQEALFKGLPNVRVFPRRVTPIDREQMV GRWKIIVKELEDRGLPVTGTGDYGPPIEKRWIEGRNNLFVAALLRSSNGGVAERTEQL KEVLFGLHKEADNSDRAAVVEALADGARDASWRLPLGESGLLDFVLSSVPVKEPRHPL NKHALRLVGNACADCDENRARVVSSGALRTFIMYIIEDPSEDDLLPFATAAALNLCLE YNAEPKTANPNTPALLLGLATSERYDADLESFMEICTPALAYLTFQDFQRVLLQNGGI ELLQLAFYQLYTRFDTTEAASDTADQLKQTLVDWLSLSSPLVGLQTAACLSLGNLSRS DESSISLVPRVRRSLVEIVSRATPPALSSRPTPETPRPPLQLTHAALSFLKNLAIPQV NKPILGAALLDPGNPFLPRLWTSTRTQPQLQFAAVSLTRLLLANCPVNIRHICAPTTA SNTRGTTSSSSSKSDNNGSKSNLALLTTTAASADEDPIKVEAARAASQVCRALHSVPV TDVLDPSWTWPSSTDPARATADEEEERAPADAEADDALRARFYAAHGPASIAPSLHHL LTHPRFPALRSEAVFVLALMSRSPEGARAALQVLRHNAAQTAGGGGAGWQAVARAITG SESEELAAALEGSSTASLRVEEAGADGKNEGGERGKEGEDGGGEVTVERLSLEPQLVD VQGHKQQQPARVARMDRENAMVLVAELLRRFPEALSSLRKPLEGLLRKGGELVAKDRG GQEQQ MYCTH_2315649 MAIKHNQTIPNNHFRKHWQRRVRCHFDQPGKKVTRRLARRAKAA ALAPRPVDKLRPIVRCPTIKYNRRTRLGRGFTLAELKAAGIPKHYARTIGIAVDPRRQ NLSEESLAINVERLKQYKARLIVFPRKSNKPKKADTPKDQQTAETTQHIRDVFGVEQP LAPGFTEIPKSELPSGVEGGAYKALRKARSDARLVGVREKRAREKAEADAAKK MYCTH_2307186 MATGCYMLAALRLRTKFTLPVGLTKESQAPQVQLHPFKSDDERG GLIVKVRPPKEPANREPHHVPCDIVLCIDVSSSMNAAAPAPATSDGDQDCLSSLEGVV TGGGLTRDPETGLVNGFSTLKGTRAKLLTREQRRDVASLCT MYCTH_2307188 MTVLPNGIKAVLAKAPTDVVILSSLRTPICRSYRGQLKDAYPEE LLSVVLRATLDKNPQLNPADIDDVAVGVVLNELGGSKAARMAMNHVGFPSTTSLYTAN RACASSLQSIALIASQIRTNMIDVGIGAGMESMTRNYGSKAIPVDFWPALRESPVKDA RDCIMPMGLTSENVASRYGVSRADQDAFAVESHLRAARARDSGAFDAEIVPVTTRFQE VDKQGNKVGEEKTITVTQDDGIRAGASIESLAKLKPAFRPDGASTAGNSSQVSDGAAA TLLMRRSTATRLGLADSIMGKFVAASVVGCAPDEMGIGPALAIPKLLGQLGLSNADVD RWEINEAFASQALYCVRALGLEKELAEGKVNPDGGAIALGHPLGATGARMVSTLMHGL GRTGGEVGVVSMCIGTGMGMAGVFVRE MYCTH_2307189 MSSDSEPSAQVLNGLPDAGQAGLEDESTTPLDEESIPASSQQSI PATEAPNSPVDPTSSFKTEHNEDRIAASVVIPSSLTPPPSSQVPSAAVTGAVNSLGFI GSQRSGIFSPPATGMNGVKREAATDDFATPTPSQIADASVEELRSMLQGCLAEHARLK MEAAHHKLQYNMLSMRAEEDTKRAAVEHEMTKKEVQALQQAEGARQARLDIITASELT EAKYLQLKAMHERVLEENEALHKKIRAARKVIQQKEDEIAALLDDREMLLNRIRENRD HFHRLCRPGGMFHGAVTPKPQAPSPQQHRATPRQTPKTSHRETHRDAHQEGFAALLQA LSQDNNSAPSTPLIGQRPGPRVPLKHTRNVQSMSSLPSTPLARTPGNSRGLLPSIDLV PQTEPPQRHTRFIPETPATPKGRERRRSRESTISAEDNQELARQALQSIVSRGSHHSQ SSRRRLSDADGGAEIYESQASQAASEMLRRDARESFELAASVGNSRDGTPAAADRSAK LQAKLFGGLNKSGLSVPGKRKYSGHHHGELVDGYPAHDPVTSPTKKLREVGGLRDPGR VGLGIQYGREI MYCTH_2063133 MLLSKLSILLAKWLSVAVYAGTLVHDEQFIPDHILRVSVAQVPS ACENREDVVVNGTSPGPAIHLLPGARTWIRVYNDMNDRNLSMHWHGLSQRFAPFSDGT PSATQWPIPPGHFFDYEILTEPEDAGTYFYHSHVGMQALSCTGPLIVEDCGSSPYHYD DERILLFQDHFQKSDLEMIQGLTSTQFTWTGETRGILLNGRGVSPNQAAVQGRPGEAS GFFGSHRFSNFRAGDGTSNSWDGIRGDDQIEPPTDCTLPVIDVEPGKTYRLRFIGATG LSLLTMGFEDHNDLTIVQVDGSEYNAPVTVDHIQLGGGQRFDVLLRTKTAEELRCNGD KTTYFLQFETRDRPDPYRGYGVLRYNLGTPVPAAPTTPALTLPAEVNNWLEYTFQPLH PSSSLSPTAEEVTRRVILEAEQKIDPATGRLVWKLAHMTWTDMSRDKPVLVDIYERGE AAMPDYAAALTNYGWDPATKLFPAKKDEVLEIVIQNTGSHYSGASGIVETHPFHAHGQ HFYDVGSGPGKYDPEANNAKLASLGYRPIKRDTTMVYRYGEGKVAPGEPAGWRAWRMK MNNPGVWMVHCHILAHMIMGMETIWVVGDAEDIVTIPLSVSQNYFTYGGSVYGNDTHA PEVYHYFDDTNKCCAAGAGDSEDSGH MYCTH_2307191 MEGQIASSTLEHASQCPSATPLTAAQLHALLDILTHHQTYAEVE SFKDPGTISEYGYPFTRHHQQPSSGPSYARDSVSTPLLAGVLRSIVLPLPGIRNLPPE FWHVQFQSILEKLAEAELSESYDKGVLGTRKTLATAASALHEAVSRGILGGVSDDAGR NFYGEYDRSKATDLVRAWEDGVRELVYGDLIEELFTCAAEKQSLEEHSAGVRAAADYV IIHLATLIHHIFIVSPEGPYLLKLLENVHRLLPYSMVKHTLRIGNAATMLNGMMRLLL AKVGVGSILNWVGLTQNADDGMNLLQRIIWLVLTWDASDFRKSAEAIEKAKGCSKEQL AAIREYLNKSRAEHDEIRKVSAQRKRSVVEIILERSNPELLASLTETQQSQCSEYLTA LLEARDRDEIINTLCRQSSDFFTQAIKDAVASFEPMIRAIHMKVDLHEHVSAGEAFLT EFINISRTKKNTSGFLGSLTPTKAETSETHIASVEDYVLLLRNNRHLLYNWLHQLASQ CPEVCDEFRAWAKETIKVFRQPVNPEYPRTALNTEDDNRPGAAGALSGHLQHLFATLP ASTRSRILPAIDAHAAYLSALEDLSLKRMQRILDNTVSINNTAITTNSAAATTPSAPS NPSSTATAPAAPTSYLIPSRWSRPGRSTPRSPSPNSASLTSPPNGTNASEAESKKRSF SGPGMYLARWQQLLDDTVIGPASSKISGGTGLRSGRDVKGVLARGKTGSGGDGTKADS DSGGVSWELSLLGKIGKEGMGVEEEPRAVDVRCVVEALGEGFKALVRSLVKGRDMNGA RTILCPPL MYCTH_2307194 MGPDETRGDYTLAVRDWPRLMNSDPAEHVSCPRACAQLQGLNLA GFCMQLERAVGAKIAEILARDCGEIETASVNSPRRPDEAPAAVGSQANEPETARQSHG DRTNNREVAHPAPFPSPSPLRTRPRGRRCALASKPSPAAPFEPAESRGPLRTRRGPRP RRGGLAPARHPHPAGRRPHQQPSQ MYCTH_2307197 MAGVSSKPAHIATVPAPPSLAKVPSQPELSALPTPTATEVDRQS TPVENDASGDTPTASPLRPSVQVQSTPTTLLHPLPTTEEHRKHPLASSAQQSPAKPNT RPATVLDQENIPPEAPTSPYKLQSSFSAKSSPHSGSALKRKVNGESNPGSPADSTGVK RARLEGVNGADVSEVDHPLLVASDTAFGRSVSFEDVYGSPEKPAPYKHIIVQYPPTVG HFYILKCDEHGVHFGEHPLRGAAKHLASAQHGYMSKAHVTAIETLGYRVRGCTKEMAD KNNQEVLKAFKDGSYKAFNANNLSQTKRAELGYPAVDPLVSQKPVQHRKQTAAITEPQ PCRFYVTSGGDLRCPVLILPWGDTSQAGLMGTLADTGIFREFSDDRRPLGVPKLPKCY VYREIDGNIVGIKGWAKGYENGGPLERKREFPVLCAESADYRMWSVGWVKAAHLSQLD FDDPSSRDIPFVREAWYYFQTRVLRRQRDVYPACGTRLSSRSERECREMPLQSTDKSF RDSVPGSRSEDVEMTDTACLVQKELASESDGKSINKRTAESRDVAKEGANNTRAPGGE DNRADATAKSATAPMSSAQHIAAQALNLQGPVRSGFTTVNAGGGADRSASRSARASPE SQSGDGSVSSTEGGGHRRVFKIHARSSNRPFTSQTQGSPSTVLPERPTDGTMPPSSAA NQSQGVVRKLSPASLQNILQDFPDPAAANPRVDPQSPKPMGARRPLPSEPIRIYSPSQ PASLSAVNEKPNPPATSNDRAGSAPAQLPPQTRDPVADEIRLWGTASAALSLSRHATP QPVAAPTLTPSPMSAPAPEPSPEPNQRREQNAPPIQLPPPTTTLLPISHLNTTPLTTM NASTGNTRANSPSMTHVSKSGTPATAGFSKPEISALTPTLPLPQNCFVPTMDVFDLAG FMDGNKELFRSAEPGQYLRLIDDHQRGVFTTASDSPVQLRIDPQRIKSAERVSAQAGA VCVVTLVYLPTEDAKEGDQKGEAGAAQTQTLVFEKARSTVRGLENGTLHARRLCRRLQ AWNPAIECPTPGFAMDSVQWRFNNQAPAPLSAPETAARPGGEKPK MYCTH_2307199 MSTPRMQRGDPLDPSIPSRDDGSTVLSGAPAPTYASFSPATLSS TAPSATSAAKRSTILVHQKSPLLLATPPQITRALAYSHPFLLPLNRLVGLLTWTTNDP WESFLLLMAFWATVLYGDVVVRLAGPLVLVLVLIAGMYGRRFSPLSSSGWSEPGLGTA DGTAVATDAKSTKAARRTKNLSVDGLVEKNGRGGNGNAGGGGKGGHTRSQSSTSEATN TRHQKTLDEIVETLREFTARCNILLEPLLELTDFLSTQRTPTSATTRPALTMLFVRIL LCIPFWILLTLPPLRVITTRRVILILGTVLLTWHSRVARVTRVILWRSATLRRALSLV TGLQFEGTTKPSSAASAAGGAGTAKSSAASSTKSKSPSRSSGTTMTGHESELTKALRR ARSGRGTGVRFTFIIYENQRRWVGLGWTTSLFAYERPAWTDEHNNAVPPKAEFELPEV EDGSNMRWQWAEGSRWRVDGVPDEAVATGADDESEWDYDGPGGRMGWVYYDNKWQNGR RGQDGWGRWTRRRKWYRDAELVEVDEEAERQQASESTSEQRKTPGPAPAAPRPPAGLN PTPAVPTVDLTPSSPDASMSPPSSIQDRQRPATSGGGDREEEHDSASLMSTSSRSAPF TLRRRLTDTSHRSTRSRRASVAASSEDDSAMLGTQTRMAVQEAGAEAGSWGVGDEVRM GLE MYCTH_2111394 MSMGAIAWLKVLEEARLSESDLTVICKPLVVESELLAGGHTVIV VSHRLGHLAECARPASDVVVRVRDGTLEGVWSDVRELVVNGDGELRGQARYRLEWPYF SLYPHE MYCTH_2119441 MAFIRPFRPEDTENAKHICRATLPPSLSSSADAVRLSPYLWTLP FTHLSPETCFVLDDGSGNAVGYVIGTSDVFALAAAYPRYVAEVLQAGQGRADVPPPEQ LDVQEPWFLAADGGAGREGDGEGGEYRARVNPRCLAQMAYKVEWLVLEGVEGKKELVE TYRAMLHIDLLEEWQGKGWGRQMIEKFVEAVRASGAQFGKGIQLGVAGENTKVVPFYE KVGFRVYPGGEREGNVWMVRDL MYCTH_2307205 MEEWYRFQTQFSPFLKLPSPGIGVHTGIEYGRGLVKDLNSRVSD KKPAQDEAVEKDVAA MYCTH_2307208 MASRAGPPSSKSPGPSGAPSARTARSTTDPILRNALRYTISARE YATLHKYVISRSRALKRRAPTVDTVQRTMEGTRGRTQSLSKDRKSTGKGKDDEDGSTV PVRGADDYNARAVRHSIRVFVATGALMKLWGVVSARVMGKKKETASASSKKQPLHKSP TLRLSLSLSTILLMYRILFRFFNRLRAHLLDPSAAPFRLRNPKIAGTLTSPYAPAVGA SLAGLALGVYPNRQLRVTVALYMMFRALEFGWNCAEDNGMVWGRERGVNGKPDRNRPR PSWWGSWMLQPFAFGQLLHALVFDRDCFPSFWSNAILKNSTAYLHPRPEDYPSGLKWP NAGEIVDSLAQMARLNWPAFISPTLFPLKEDILPFSLETISPITSGAHPLITSLSCAT LHPSDPSCSRTFLTFWLRSFPSLTRLLLVVYSALLLPRLSALYHFPLSTLHQLVSSAL RMAGFLTGALATAWSSICFFQAWLPRTFLPTQRFFVGGFVAGFWALLQHGRRGSRGLF LASAKASVESLWKVGVKRRWWRAMKGGDVWVFVAALMLTGVVYERDARAVKEAPWRKG ISWVRGEGWKDWGMEEDDEDEDREEAEALVKED MYCTH_2307212 MAPVNGIAGKPAKTAARASSRPIVPVLPLNYPQRPANKPSPAPT VASSSPSKVPNGIRPIEEKSTSDVSKQAQEQPNGTSTEPLGSANEAAATTPAAAPTQD GVKAEPTRVAASDEHDKDTPTMSSTVPARRNQSPTRHRPPGTTNPAAEPSGTSGPVPE GPIMAPHPAFLNRPAFHQPHSSNGSLAFNGFHDSNTSSPAPRPGGGGGFAPPPGLVPY PPPPMDGYGRPLLVSPAVDGFPPNPVSHAGPATPHSFHGSQSSAQAEEHAFGHYPAIN GTPGRPPASAGQGAMPPLGMNHAMNGTGHPATGSISTFQSIRDQEEALSFLRHGISDN TFNDCVLEVRFPDSPEFQDHPGYRHLHRVLRTHGHRFVLSRSPVLASVMKAQGTAPGG IIFLEANDEYMRSDIFWYSLRTLYGWSLADGILPTELHLRDAKDDLKTALGYVATARY LQLPWVHSVAVHRASRLVYWNTIELAVKFVSQIGIVSPQNDGFGVSELLEQVLSFIVH NFPTDFTLDVSASDYGFARFPPSSPTPRNPNAPPIANGTSGGLHSRQSSTTQAQMPRN PRVSSNLRLSQIKFGDISPSKNGKSSRALTPNDTLFSRIVLNLPFELLKQVLEHPHLG KLSGELSPASRQSMITDIIAERESRRHRALESADPQLRVYQERVENATGPLVVGSMED FWVNNMGFKEEVFPGDLPYLVHTWSQPTSSNVST MYCTH_2307213 MAAMSHHLSHLAAIDRPDSRVSLARSDTTYHSFQDIDLPEPEAP SGPAQQPAVRPPSPSPPPSPAAAGGAVVVSHCRANEGQPPAAPEMRRKDSGYESIAPP DSPPLPLCPERTSSPVSLFSSTRRRRTRPPHHHHRSSRSGPVSHSHRPRSSRHSVSSP CSSRSLSSPPPSQQQQQPVTYFYFPDFTPSDPTLTESAEQQPAADSTSAALRQCQCED PAYPPQPPQTTHYWTSDRTRRIEYAAIDAASKGVRGWVMRHVVPECFVPPSKRLIGFE DDRGSVIRYRLELEADEESADAEKSESARAKGWWKGWWFGFRRR MYCTH_2119446 MGTAALNDGVGKLPALGFNTWNLYQCNYTADVLLEQAQAIVDRG LLKAGYNYFMLDDCYSLKERDENGRIVEDPEKFPNGMKNFTESLAKLGFRAGIYSDAG YRTCGGYPGSYGNEAKDLETFAEWGFEYLKYDNCYIPFDNVTQENVYGRYERMAEAIR ARAEETDSAPLQLALCEWGWQQPWRWAGRLGQSWRIGGDIRPWWSALSSIINQASFIA GATGFYARNDLDMLEVGNTGIGTPPGNLSYDEAKSHFTAWALLKSPLLIGSDMASASR ETMEILGNEDILRINQDPHVGEAVAPFRWGHNADYTWDPDHPAEYWTGNSSYGVVFMV LNTFDEPRHMSFNLTESWAIRAGRLYSVYDLWTHTDNGTAYRNISLTLPPHGVAALLL NDAGPEPEAAEPYCAVWWQCSYPNVLVVDDDDGWHG MYCTH_102448 MPEYRTGQGRHMAARLFDRIQYVERLTGISMEEETRQLQTTCGI LNDLNTRLCEAIDLWSQMSFDDGSCEELTQTQASAAQSPSPNPPAEELKELPRQPKCQ HSTPPSLGDPAVGTQSRYQTQWKNWIKAPAIQDPASLILSRPTRWWMEERSHYALCQP SEQAQLESSADAVRLHRAYNHATLPVVALPAPAQYYQSSGREKNLRPGNIWTDPPRRP MPDDEPVSPRAVPASWSGCFRPRDTCPFPYSPEMNREYFRCSKVSNIV MYCTH_82100 MADPSTTPTAGTERAKPSDLDLEKGAYEPNSDMEAATVLPESDS AAARGDSDGAGEKGGADSEQDKDPNIVTWDGPDDPMNPMNWTMRKKWTNIAVMAILTI ITPLGSSMFAPGIPRILNEFHETSSITATFIMTIYILGFAFGPLVIAPLSEMYGRSPL YNVGNLLFTIFTVCTALSKNIGMLMAFRFLMGVAGSVPITIGSGSIADMMPVEMRGRA MAAWALGPLLGPCIGPVAGGYLIRAAGWRWVYWLIAILAGVITVFTFFTIKESYAPVI LERKAKRLRKETGNPNLRSALAGSFASPAEKLKGAIVRPLKLLCLTPIVTLMSLYVAV TYGILYLLFSTFSIVFPTYYGFGEGESGLVFIPSAIGMALGINVFGSLSDRLVKNNLS DGQRHRPEIRLTPFFTLPAGLAIPSGLFIYGWTIQNHVHWIVPMVGVVVFAFGLMGVM MCIQNYLLDVYPRAAASVTAALAVLRSLAGALLPLCAIDMYTELKMGWGNSLLGFISL GLVPIPLLFYIFGARLVKKFQVDF MYCTH_2307222 MRYQRRNTSFLLLLLLLLTSPYHGPTTTFALPFENLPASVGITE DTPAQVTINGNPTGNATLGLSEIPVAATLFSGVPGPSACRGSVVFRLALEEPPATAAA AAAAAEEEEEKCYDLPRAAGCGTFSASKEAG MYCTH_2139749 MTQTCESRNALPVEIQQCALLQLLANSLVLSQTAPYLSCYDVLN LAATARAFRFLVYHTPNVFRRLDLGRVKTAQFEIDAIDHGGQTWRNVQVDEYLSEEDF YAGPLRGIFSKLRRADILRDVQVLSLDGLSVTAELVHDILTDPSFSVRILSIRGVKNM NERKLRAALQYACRQSRPEGAPRLKGLYVFGPRDASPPASASPPTAAAAAVATTWNAR SQKALTASLAEEPEAWYVRRGNQFPNLPNRISPEWASTLVACAGVISFDAVLCTGPRH FNSPAWGKVYLEAPDASVPHFSVATHSLGGCASCGSAPEGWTVWGEELLAASGGRDAD ADADAGADADGCRRRTSESYVADLARFPLLAPPPMHSASLRVAMCPTGQSVKPRRPFS PSGKQQGAARFIPRCFDCIRDRYCAGCHKWWCEACYVGPRASSLSGPGPRGCLCKATV MYCTH_2307230 MSFTAKLRASNRQSTTSDSRERSSCASGRTRSTSPVKNPDDLLK LEKPVTWMTPDPRTLRDMVKATGSAQALQLFDNIWRVIQGEGYLPRELKGILKEELMV DDSRFAPADRAMVMSQKERDDACRLFPSLGADEGRMLGLLTLYGELNTIRDIVATTIR FINTPRSEAAWNDHIHGPVLRLAVSSTPHVGAENITQAAIAKAFVPAARGELETLGGK MIDYALLLRPEKHLAVRIANFVDGFEEPRTFNQSTHGVLCYEPTGVLIETKVDIRRRA EGKAQLGIWLAAWYGRVARFAPVPSADADTPTNLPFLPVLLVVCENWELYFAFDRDGE FEVCGPLEIGSTVTVDGSYRLLEVLRLLAGWVAGEFRGWVERCVA MYCTH_2307231 MRWPLAALLGSALVARQALAELTFTVEATRNGVPIPASEIRLEP FEPGRTRMGAVAEAPRAQRKTRRSNAQADSANWCGSVNMAPTGTNIQLAHGSFQHPSC SIRPGYTFPQAAASWVGIDGDSYRDALLQAGTVCKIDNSTGVVRHEAWWQWVPSAAFT ITSMPGQSNTTGFCIPYSAPFVSLCFFGRTRTCLFLH MYCTH_94780 MVVSIRVLVTSGPLWHSGLPDRIRHYDPEPPRPGQGGTEARKGE GLHVRLAPHASPRQKKKCGNSTRGSPAAGHGSVQSDGPADRAGCSTAPSWATEGCTVK VGACIGMRDGRNGTIGSRRILPTTCIPQNRFGVSTYLLGNFLTKAFHITSSARSDTGV LRGATWERGEEGAVNTTVLSPLSARFSKNRPLDRE MYCTH_2307233 MAGGVKKPVNIFKLKNQDVPKEVFNWRLWFSVFSFALLGAARGV DEGLISGAFNSEHFQRSINYSSYSKVEQANIKANVSAMVQIGSVGGALIAFLVCDRIG RIWATRQLCALWILGIAIFMGSKGNLGAIYAGRFIAGLGVGQTPVVGPVYIAEITPAS IRGLCTCLFTGFVYIGIVLAYFTNYGCQVNMGETTAVRWLVPTSLHIMFSGLILILTL FQSESPRYLIMKDNHEQALKTMARLRNLPPHHEYVAGEIAAIMDAHRQETEAVRQTGW LGTLKEAFLDPGNLYRVSLTIAAQILSQWSGAGSITLYAPDLFHLLGIADKNTNLLVT AVFGLVKLVAAVICSLFLVDVIGRKRSLLLGIAFQAVAMVYVAGFLTVMPQLSMHQEN AAAMTGSQLAASRGAVAMIYISGFGWALGWNSMQYLLTAELFPLRIRAFCTSLAMTFH FANQYGNSRAVPNMLLPVADGGISAQGTFWFFAAVTVLGGLWVWFAVPETSGRSLESM DRLFRLPWYKIGRHGNREAELEDARELSEKADKQQAQELEVAVSTRH MYCTH_2307236 MAQEEGKVIVRHEAAEEFVVALLEASGVSRKNAEIVARGLVQAD LRGVESHGINRIPSYLSRIRNGVLDPKAEPTLTQVTPVVAQVDGHNGFGFPAAHLAMD TAISMARTFGIGMVSVKHSNHFGMSAWIVRQAVDAGMMSLVFTNSSPALPVWGGKSQL IGVSPIACGAPGGPSSVPFILDMAPSVAARGKIYKALRRGEKIPLDWALDADGKPTDD PEKALKGVMLPMGGPKGSALAIMMDVFSGVLSGSAFAGGVTGPYDMSKPGDVGHFIVA IKPDLFMSLDEFRQRMDILYQRVVGSDKMEGVDKIYFPGEIEQLTEATRLSQGIPFVQ GEIDALNAEADMLGVPKLRPAAA MYCTH_2307238 MVGSRKSRASGPPPPSATLVLDNGADTIKAGIVSGDKISSPRIV PNCIARDRHKKTYVGSELEKCRDFGEVAFRRPVEKGYIVSWEAQKEIWDREFFDDKAP QRCDPSDTRLVLAEQPNSLPALQTNCDQMVFEEFGFASYYRGIGPAFNAYQDIQAIFH TPRTPETPVDVPAEVLLVIDSGYSHTTVTPVVQGRPLQQAIRRLDVGGKLMTNYLTRL LSVRHFDMRNETYIVNEMKEAVCYVSLDFKGDLEKTWKGTRGERREDYLSGAGIAKDY VLPDSHTTFHGIVRDYEPGVSARARKAAVSTEDVLTLRNERFVVPELLFNPPDIGLRQ PGLADVVMQSLSVLPIGLWPGLLANIVVVGGNARFENFIQRLQMELLQRVPDECVVRV ARPEDPVVSTWLGAANFARHEHVERLAVTKQEYEEHGAGWVARKFAGGLGVDT MYCTH_2307240 MAYNSRYPPRGSNRPPSRDYRNERDSRHRYGSPPRDSYRPPSYA GRSEREFDARWPRDTGAPSSANHRGPSGGDSYRLPQSDFTFRVEKPAGVQDSDTYRPQ GGRKGRHDGRGPQSPGGDLRSAKRHRGQQDRYSRNTNGAQSYRDGRHGRSHGGRPWRP FIAAERELLKTDHNSSNEVTFYNTSNGVTYRPLDELSDSDEAEMDISGDEAEEPSRKR ARLTVERTTSDNNPPKWSNPDPYTALPPETVTQGKKTDVVKMIRKSRVQEREIRASLP SESADFISFDSDSDSADDKAGDQVQKPLTRAVPPSVPAELNLELPPKPTVSKSGKALA LLPDPISSALGSRKRTHDDEIKMPHTRLKKATKMPAGGGVTKEWLPDPELDPTPWMEV DHSRSANMAVWLHKEVVDFYDYIKPRDFEERLRNELVQDLKQFCRRVFKDAEVYPFGS FPSGLYLPTADMDLVFMSDAYASGGHAKYHTKSFLHRFKGQLVNHKVAWENEIELILH AKVPLVKFIEHKTGLKVDVSFENNTGVNAIKTFKAWREQYPGMPALVTLIKHFLLMRG LNEPVNGGIGGFSVICLVVSMLQMMPEVQSGNLDTRHHLGQLLLHFFDLYGNKFNYQT VAISLNPPRWIPKHQVTEFAYKNHDRLSIIDPNNPANDIAGGSSNTQTILAHFAHAYQ QLTKRMVQLAQDPNRAGQSILEVIMAGNYSSFENQRKYLEMLSREGYRPPTDSQGNRQ TNRGRGDGDRAKRNQPASHRLPQGRNSGYRRR MYCTH_108044 MWQASNVYAICGFAAIGGGLFGFDISSMSGVLGTQAYKRYFNNP VSYAQGGITAAMPAGSLVGSLGSSFIADKFSRKVALQISCVLWIIGSILMAAAQNVAM LCVGRVICGLCVGIASSIVPVYQSEIAPKEIRGRVVSLQQWAITWGILIQYFIQFGAA EGIGGGSKDPNQPTAAFRIPWGVQMVPAVVLLIGLFFCPYSPRWLASKDRWDEAVRVL ANLHGKGDLNHPKVLAQYQEIQEALRLEREQAESSFSTLVQPRILKRVALGMSIQMWS QLSGMNIMMYYIVYIMEGAQIASPLATASIQYVINVALTLPAILFLDKWGRRPSLILG AFGMMTFLFVSGALQQYYGQPNTDETRTPQNSDISWIVSNNRPVSSGIVACSYLFVAT FATTWGPVSWSYPAEIFPNKVRAKAVSLATAANWFWNMVLAFAVPPLLWNISYKMYYI FGAFNGAAFIHMTLLAPETKGFTLEEMDEVFDSGRPAWKKYKKSSKLEELAREIEAGN LKVAVPIGDQNKAAGEIVTSEKKAEP MYCTH_2307246 MASTCNGHVITGIRITAAMRPFRTEDAKFKAVRLAAAAAAASFN PALRAAKTRVEANMQVLEPLSNGSIQTLAKAVCSKSKLVPESSGESAWWRVISFRCRD FLHFCRLLYFIFFVLFSGEEGGSRRRMVRLPGFLG MYCTH_2128242 MSTAGALDTLHFVDDSAVEQLLDTGEIEIEIKAIGLNFRDGMAA KSSVPLSSGSIEASESGDRVAVFTKGAFATKARTRECLAFKIPKEISFEAAASLPLA MYCTH_94772 MYDCLAELGVVYYGPLFQGMSSCYASNTCAVGNVVVPAVVLDVA KEMLNGHLTDAVIQPALLESIIEMYCLLVGARRHAINTIYLPSSVDRATVSPAAERPN PGIITTLKAWRLSSSTKTPVSRVWWRCASCMHLLERAVPTITLHTFTWPICDPAKAPD MADGVLTIRSETAMRFATLDPDAKSQLPELETADAIVKVFNFVFGSDSSATGELEFMK RAGAFFTP MYCTH_116237 MAGRIYPKLKESLENSKVEYRRLGNSGLRVSVPIFGCMSFGDPR TLDWAIGEEEALPLLKAAYDRGLNTWDTANVYSNGASEIIVGKALKRYNIPREKVVIM TKCCFAVGEEPELRAFFVQKELAESKDYVNQFGLSRAAIFNQVEASLKRLDTPYIDLL QIHRFDPNTPIEETMKALHDLVQSGKVRYIGASSMWATQFARMQFCAERNGWTKFISM QNQYNLLYREEEREMNRFCNDTGVGLIPWAPLCRGHLARRPEQYGTTPRSKGEKENVP GAHGTVEPDLTIIKRVIEVADKHGWPMSHVALAWINKRVTSPIIGFSKLERLEEAIAA RGKVLTEEEEKYLEELYQPKPINGHS MYCTH_2094324 MTQHTARVLSSGWEFKSDADEKWLPVSGSPSNVHTDLMRHGLIP DPFQDTNELEVRWVAERTWRYRTSFATPSCYGRARGVRVDLVFEGLDTFATVTLNGQV ILRSDNMFLEHRVDVGDVLVDGAEEESINTLEIAFEPAGRRGLELVRAHPEHEFIVHQ TEVSRGPVRKAQYHWGWDWGPILLTCGPWKPVRLETYVGRIEDVRVDYEICSTGRAEG DGRPIVEATVHAHVLGPAAELEAELLLSGERVASWRDRMGDDAAAGGPPPSSSSSSRR YSSPRLRIERAELWWPRGYGPQSLYELKLRILAADGLTVLAEEHRRIGFRKVELIREE DRFGQSFYFRVNGVDVFSGGSCWVPADSFLPEISPERYRDWIRLVAEGNQNMVRVWGG GVYEPDVFYAACDELGIMVWQDFMFACASYPTYPAFLDSVAREARQAVRRLRHHPCVV LWCGNNEDYQLVERYGLEYRFEEDRDPASWLRSTFPARYIYEHLLPGVVRDENPAGAG ATPYHPGSPWGDGRSTTLRVDPTVGDVHQWELWNGEARPWQLLPRMGGRFVSEFGMLS HPHADTVARFVSDPAERRAGSRTMDFHTKAVAHERRLLAYVGENFGVARAAGGGGAGA FAHLTQVVQADAVAAAYRSWRRHWGRPGERRCGGVLVWQLNDCWPAVSWAVVDYYLVR KPAFYAIRRALAPLAVGVARKFHDWTTRPADALWRRNTGHVDPRGMLTDVEFDVWVSS SRSDAVRARAVVRFVSVRSGREVGDRIEREVQVGPNGCTELLVGYKFDWRTAAVAEPE HFVIHVALWVGGVQVSSDTSWPDPIKYLDFPDRGVSVRHLGPGLVEVSAQRPVKGFVF SEKRGVKLSDNGFDLVPGDEPKRVEVQGCEVDELSWTFVGQ MYCTH_2094326 MASSLGFGGSNAVDKVNATTTPGTVATPNSGPTKMLDEHILTPA SISTLEVHGATNTRRSLLDQIFKPVLEDTAAAGTTLGQVLDRVGAATKKLARFDIFKE EGFGVFLSEAAPPQSAPPTDRTDLDISIRVKEKSRLVFSAGTDFGNAEGSAYTNAVVR NIFGGAETLTVNASTGTRTRSAYNATFSTPINGNPDLRLSVEALRSATQKPWASHEEH LTGANLRLAWLTEKGDTHALAYSSVWRQLTGLAPTASPTVRADAGDSLKSSLTHTFTR DRRDNPMLPQSGYLFRSVSELAGWGPLNGDVSFAKTEVEASGALPVAIPGLAGKSGVS VGGGLRLGVLYPLPLGYSLTGAAQPSRINDRFQLGGPNDVRGFKIGGLGPHDGVDAVG GDVFAAGSVNALLPLPRTGPDSPLRLQLYANAGRLVALNSKGTDKEGKEGLAMDSAAV FKGVKSAVGKLTNGIPSLAAGVGLVYAHPVARFELNFSLPLVLRRGEEGRKGLQVGVG ISFL MYCTH_2315657 MASRAAQKRLTREYKSITENPPPYITAHPSESNILEWHYILTGP ENTPYHGGQYWGTLIFPPNYPFAPPAIRMHTPSGRFQPSSRLCLSISDFHPRSFNPAW EVSTILIGLLSFMTSEEMTTGSVSASEAERKYHAARSRWWNSTGGGSHLKAEHGAGKG NIKAGDGGAKFRSEWPELDQENWKWMQENKIDPATGNKIVENGGPTCGPQLGIAAKSG HQAHAVVDAVIQQRDAGQGWLFRNKLLLAGAVIFVYVLIARILGEGGL MYCTH_2307257 MSLTGTGSFGNYDLTARTTGSPLARQTTRDHEEVEEKARANSAT AIADTHASQSEKDGGAGDGTDTAVEDDEDAIEQERRHSVVQALARKYTAQSHADVKGV NPFHIASDDKESPLNPNGPNFSARAWAKAVVDMVTGEGHQFRTTGVAFQNLNVHGFGS PTDFQKDVVNVWLEAVGLLRRITGHGKRRIDILRGFDGLVRQGEMLVVLGPPGSGCTT FLKTIAGDYNGIYVDENSYFNYQGMTAKEMHTRHRGEAIYTAEVDTHFPQLTVGDTLT FAAHARAPRQLPPGVSKTAFANHLRDVVMAMFGISHTINTRVGNEYIRGVSGGERKRV TISEAALSNAPLQCWDNSTRGLDSANAIEFCKTLRLETELFRSTACVSIYQAPQSAYD LFDKVIVLYEGRQIFFGKASEAKQYFINLGFECPARQTTPDFLTSMTSPQERIVRPGF EGKAPRTPDEFAAAWKNSAEYRALQAEIEEYKQQHPINGPDAEAFRASRRAQQAKAQR PKSPFTLSYTQQIQLCLWRGWRRLIGDPSLSLGALIGNFIMALIISSVFYNMQPTTDS FYQRGALLFFACLMNAFSSALEILTLYAQRPIVEKHDRYALYHPSAEAVASMLCDMPY KVANTIVFNITLYFMTNLRREPGAFFFFLFISFLTVLTMSMMFRTIASSSRTLSQAMV PAAIIILALVIFTGFVIPIDYMLGWCRWINYIDPLAYAFESLMVNEFHNRNFSCVQFV PSPAVPGYQNAGPTNRVCSAIGSVPGSAWVNGDDYINSGFRYYHAHKWRNIGIIFAFM IGFLVCYMVAAENVAAKKSKGEVLVFRRGHKPASFKENKGDAESGGIPVAGPVGKAVS GNVSDGHSSDKEGGTLQKSTSVFHWSNVCYEVKVKGETRQILDHIDGWVKPGTLTALM GVSGAGKTTLLDCLADRTSMGVITGEMLVDGRPRDASFQRKTGYVQQQDLHLQTTTVR EALNFSALLRQPAHIPRAEKLAYVDEVIKLLEMEEYADAVIGVPGEGLNVEQRKRLTI GVELAAKPPLLLFVDEPTSGLDSQTSWAILDLLEKLTKSGQAILCTIHQPSAMLFQRF DRLLFLAKGGKTVYFGDIGENSKTMISYFERNGGFPCPPDANPAEWMLEVIGAAPGSS SSIDWHQTWRSSPEYAAVQAELQRLKADVKESNPITDDPGSYREFAAPFTQQLFLVTH RVFQQYWRTPSYIYSKASLCVLVALFIGFVFFRAPNTIQGLQNQMFAIFNILTVFGQL VQQTMPHFVIQRSLYEVRERPSKVYGWKVFMLSQIIVELPWNTLMGALMYFCWYYPVG LQENAAQAGQTTERGALMFLLLVSFLLFTSTFTDFIIAGFETAEAGGNFANLLFMLCL IFCGVLASPDSMPRFWIFMYRVSPFSYLVSAMLSTAVANTEVHCAANEYLKFEPLNGM TCGEYMKDWISQFGGYLQDESNTTMCSYCTIRDTNTYLAGVRADYGDRWRNFGIMFAY IIFNVFAALAVYWLVRVPKKKLGKKKKD MYCTH_2307261 MGNASTKESRSGDGSNRIHHPGSAGARDAGSASLQSDRASSRRN RVSRGDLGGLLGLASGGGSSSQAETPHERRETKQEREARRLERERIARIAERERSMRE EHVDGGYLVTMGTYSSPEDFNKQIVRQLQIERKLAPFWRGLNDFDDQWTEPQIIAAAR GLPIPPADQTPPDDLIPRPLPTTHAPESSQNPDNLTVPIGDRSLSTASDHATSNPPST LSSPVSSHAPRASSPFKPRGKAIAAVLGGGNSRNGSSTDIMPREINLPHDPFVNGQPI EVFLYKNAIECPICFLAYPPYLNHTRCCNQPICSECFVQIKRPDPHFPEGHNENDPNH DPEESACLLVSEPACCPYCTQPDFGVTYDPPPFRRGLVYSMSPAALGTTSAAMSSSSS VNSATLSPASGSPNNGTGRRRNQSVSANDPNVVLTDRIRPEWASKLQAARAHLARRAA AATALHTAAFLIGGSENRAFRSRFSRRNTGGSGSAGASPSGPNQGEGENGDSGSGTPS QNGPDQNPRGSSRGWSGGGAGGSRRSRLEDLEELMLAEAVRLSLAAEEERKRKAEKEE RKEAKKRGKEERKAAKAAAKHAGPYEGAGSGQTSASGSALSLPGLGFGRRRGNSGASN LRIEASVANAMVTGESPEAGQKDKGKGVDRGAPAHTESAPASTSDPAGAAGTSGPPPV PHLPAGPSHLRQMSNASSVSSSILDSQHGSFTSPSHLQDPRGSGVSLGSRSGASEDGD QDRDRDRSASTEPIFNFRSLAEVVGVSLEGENAGRRLRLTEAEKKAGESGEMGPQKGD GDGDGVNVTPPADVPGSRLTPPAGAAASSAYKDLEEDIPDVQKNTPRAPDALFPPTVT ITPDTPTAAGADEESKQLGCETTMEHEPRATAPSSGIDGLYNGDGVTA MYCTH_2307265 MADDATRRPPLHAVLPPLVLGTATFNTQYVADPHSMPYRDIVAR AVSLGVNAFDTSPYYGPSEILLGDALDALMHPAPAPAPASTPAASASSASSGADRAGR AVPLPRSSFFLVTKAGRIASTEFDYSPSWVRYSVLRSLQRLRTSYLDLVYMHDVEFVS PPEVLAAVRELRRLRDEEGVVRYVGISGFPVPVLCSLVEMILRETGEPLDAVLSYGNF TVQNRTLGLPWVDGGAAGEEAGADGSSPLARFKKAGVDVVLNASILGMGLLTSRGLPE APPAADEDGDNAPGTKVSLVTHWHPSPPELRAACKRLADVSAAAGERLESVAIRWSMA EWARVAATAGLGVDVATPGVGPRRIGVTVCGVTTIAELEETVTEWRGVLSSLAHATCR GDEPYGLARQEKVLNLVRNELWPELRSWLDYVWDSPKPGYVNTRAEADRGVVPDDGVV AAFEKAKQSRQIAQLTQTS MYCTH_2307267 MQLIQSVARVAAFLGLARRQFEPDSSPYEDPETGLTFASYTSDR GITFRVAIPDPVPEDKVFDTLLQIVAPKELGWVGWAWGGSMTYNPLTVVWADGDNVVL SSRIAYGYFSPPENPDAQYTVLETGTHVNETHFQVTAKCTGCSRWGDEDTGYTELDPA YQTTFAFAYSETPVETPSDPASNFGIHDSLGHPIYDLATAQNANFDEVVGAL MYCTH_53860 MWEIAISKAAWRLHSFCLILALGSFVWGYNVGVLASVLVHPGFK QILGEPDAPRRGLITAVYYLGSWLIYVLFAHPVADRLGRRNAALGGMSVICLGQGLQT GAAGPHALAMIIAGRTIAGMGTAVISTSVPLYQSEISPPGQRGRYVVMNHVGFVAGLS SGFWVGYAMTFWNNDRGSAVGWRFSLGASFVPALIFVAALPFMRESPRWLVEHGKTEE ALETLRFYREGYYGPDEVQAELSGIERSIAAFRNSGLTWVSLFSDGSLFARMWRAALL QFLAHLCGATAMKYYLPALFQALGFSHRVSLLAGGIESTLKTGCTVIDMLLIDRAGRR LTLIAGAGVMAFALLINGALPLAYPDNVNRAADYVCVVFIFVYALGYSLGFGPAAWVY GAEIFPTAIRARGLSLAASCGALAAVIVAQVWPVGIANLGSSIYFFFMAVNLISVPVI YLFYPETKGRPLEDMEELFGAGSGASSDDLLIGPGDHAHPRESCP MYCTH_2307269 MVAPSVLALLASLAWSAVAAATTESLRATKAGLRLIKTSPEDGG QWITEEQKIRDYKSKGIGFVDITDITDPEVLAALSAPDSRNGNNTVSAQAVSYPSSVS HQAEANPLVAAVSTTNPKTWLKTLTDFYNRYYRSSYGTQAGTWLFDTIRSVAAANPSI TVTQFTHSFNQPSLIAKIPGTTSNLVIVSAHYDSTGGSSTARGPGADDNGSGVVVLLE ALRVLAEDGFKPKNTLEFHFYAGEEGGLLGSQAVFSNYKSAGKSVLAVVNQDMAGYSP SGKLSIYTDYVDSALTAYTRIVATAYVGSTTSDTCGYGCSDHASARSNGFPAAYVCDE RMDTSSPYIHTPNDAYSTIMWDAVLRHAKFTVAFLVEASYL MYCTH_51766 MELRSVVRLLVLSASVFAPGVLSAFGYTSSGNNYVIDAGSANPL VFSVSKSSCDINSIKYRGTELQYSNTGTHIGSGLGSATVSVSQISGSSRYIKVTCVTS TLTHYIVVREGESIIYMATYITAEPSVGELRFIARLLPDKLPNEYPYGEVSNTSGASA TVEGSDVFIVNGQTRSKFYSSTRFIDEDSHCVYGGSDLIHVCIMTPQQESSSGGPFFR DIDSNNAGDSTNLYNYMNSGHVQTEAFRMGLHGPYAMQFSRSGIPSVKNLDVSWFGEV SVTGYVPASGRGTVQGTASGVQSGMQGVVHWYNSNAQYWAKTSSSGAFTSPLMKPGTY TMVLYQTEFKIATTTVTVSAGQTTRQDIAGNFNTSRNTLFQIGEYDGQPTGFRNADKF LRMHPSDSRMDSWGPLTYTVGSSTLSDFPMAVFKSVNNPVTIKFNLASAPGAATLRIA TTLSFAGSRPQATVNGWSGPVPAAPTKIDSRGVTRGAYRGYGEIYTVNIPAGTLVAGT NTITISSVSGSDGDAFLSPNFIFDAVELYTA MYCTH_36979 DSSKAPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDTTRSIIRNV KGPVREDDILVLLESEREAR MYCTH_2307275 MDFEQPPQTRDPQRGLVAPDSGRKVFAHYLVGLTCNQPPERWVQ DIAAAQRAGIDGFALNIGPSDPWNCTQLDHAYRAAERSGGFFLFISFDMAAGSWSVPH VVSLIKRYQGSSAQMKVEGRPLVSTFEGPDWAPNWPTVRRETGGICLIPDWSSLGPQG VGQRLDLIDGAFSWDAWPKPGQSKMTLVEDLLYMKSLQGKKYMMGVSPWFYTDLPEWN KNWYCSSESLWHDRWQQVLDLMPDFVQIITWNDFGESSYICDPAPAQVVKGAETYVSG HSHAAFRAVLPYLIAAYKSGSTKIDWQGPETAIAWYRTSPVQTQNHHGTVWGQGGTIS ATHGAKDVVSVMAVTKGSACITVAVGDLCRLTFETSSHKRVSYFELPFDRHTTGPVSL VLNGRKTIGPEIRRWHGDGKASLNAVAIQV MYCTH_2307276 MASAGAGLAASAFLELSKKGGASPEDTGEHRMLKASRAEIRKSA GENDGTLGWLRRRLVLFLDLYIWEPICTSFRFLQLVVIFVPVILTVPAIWIGGRVPER DNERTGTLWWYGFLVQAMEWAGPAFIKLGQWAASRSDIFPSELCDIMSKLHANAPAHS FHATKRIVEDAFGGREFDDIFDEFDRTPIGVGAIAQVYKAKLKPDLAVPGDVDVPKDP HDLRHSVRRNVETVLKSKPKRVPSSYVAVKVQHPRVERTVRRDLRIMRFFASVLNAIP TMEWLSLPDEVDQFGEMMKLQLDMRIEAANLSRFRKNFKDRTTAWFPFPYTEFCTRDV LIEEFAYGIPLADFMANGGGVFQRDIASEGLDAFLRMLLLDNFVHADLHPGNIMVRFY EAEQPSLRLRRPPEQNPGMDQQAEVTEQVLSRLRPYRHNKDLWDAELANIEREGFRPQ LIFIDTGLVTELNSTNRRNLLDLFRAVAEFDGYKAGHLMCERCRQPDAVLDKEVFALK MQHLVLGVKSRTLALGNVKIGDILQQVLGMVRQHHVRLEGDFVNVVISILLLEGIGRT LNPDLDLLSSSLPILRQLGTQSGKDMVKERDFHMLKVWVGLETRRFMQASAEDVERCV RYDLLSPNV MYCTH_68944 MGWFWADTPAPAPAVPPADPKHKNLASAGTPPVGCPMHKAAADA LAPPAPASCPIPHGNPATNAALSSCPVPHSSQGSSQEQRQEASMLSKLNPLNYMFQSI SQERAPNQTHVLPTERDESSIPRGTGEGNWEYPSPQQMYNALLRKGYTDTDITAVESM VAVHNFLNEGAWSEVVEWERRFGRGLFRGWEISKRGEENAPLELRRLEAQEGGRVQPT LIRFQGRPKDMTPKAAMLQLLGRLYPSKFGTDPPFDRHDWFVSRNVDGQKKEIRYVID FYSAPPEPTGEPVFYLDVRPALTPTGAVERLMRWGGDVWWRASGGQVRESNSRNQQN MYCTH_2307282 MTAPGDTATVGRPGERNAQPLPAETRSAGTGNAGLTTATSSSPS SQRGGVGSAPDTQEHDDRAVSVTELSNYSVDLSVDHDDNGEDAFASGSITESSTSSAS VSSSVYEFVEENGRTYHKYKEGSKHIRSEYRLDKILGCVIN MYCTH_2307284 MISKFLLKGKLGLAPAESPRRVLDLGTGTGIWAIEFAEENPDSE VLGTDLSPIQPDYLPPNCRFEIDDVDDDWVYSHKFDYIHGRYLLPFIKRKWSSFFKTV YDNLNPGGWIESQETIIYFQSVDGSIDGTALQRWNALLLQGIRKMGRSATEALRCKYY LAEAGFVNLGEKKFAVPMNNWAKGKDEKAIGAMQMVNNLEGIDGLTMTVFTRALGWTP ADVEKLLVDVKRDMKDRSIHAYITIIVAWGQKPS MYCTH_2307285 MTDGKGLEGSFTAPPLAPDELPYVRLHVTPLDPELLKVLLSSAL LPKARNLSYHTLETFPEKRYGYLELPSEEAQKLRRKLNGSVLKGVKFRIEPARPSRIP SPLGQAAMAEESPQNGTTDDKLRLSDKERKRKHGAGELRGITLANGRKVKRGWTSIDE PKEKKSKKDKEKVVKEKRKKGTKSKYTDHPECLVKTILPANAAPLADADHSTPTKKKK KIKSREVIIHEFEKTTKFPTFLKAAASTGQSAAPLEFIDDKGWVNQNGDVVEAVKTRP PPSAKVLLASRPNGHSQNAVPGEESPASLSDGQTDGSSEPESASSAGNSETAPEPSKA DATPPTSPVKSNLPRPRSSGSARSLSIKIPPATPDEPKVHPLEALYKRPKQSNGEAPA EASDGKPFSFFADIGDEDAEEEADVDNREQSDLQVPLTPFTRRDLEMRGIRSAAPTPD TAHPNRKFTPWEGDNDDASGDDEEPIMYEDGEKSEVDDDQPALESARGLEKQDDEKPT SDFQKWFWEHRGDLNRSWKRRRKLAGKEKRYRENKARMARAI MYCTH_2119478 MEPLDRVSKTPTRIPRPTSTAASPRTPSIRAVPYSAITRVRTAS MMEGSYSPLSWPFPRTGDCSSPYERVNFASRDHSATAKQPDNGKDTDVRTMCRNGSTT LQPQAAAPVSSRATTVETLHTVQYGLSRTNRRASPSLDFVETQICEPRNGGSIDTGLT SAGAHGLQPMADLPERPMTPTPYSVLRKVSEVSESGNAGDGDSTHRQSATLTTQGPVN AICLKPQGVIGDAACIPVPRDEQGRVISFLHPPFKTGNPRSDPHRARLFTAPNNSTRA ERESSDDGAITNKPGATTVPGLKSLGTAKYAPTARPPSRSGSTYSRRPMTLQSEIPDL ESLRLGPRIRHSRLPLSPSMAVNGVRSVPESTKKLWTAVGRSRKIMLASAVLLELSIL NVVAGVTAVATSYIEHGHVATGLAAWAAVSGVFTLTSGAMLGLAFLRYRKMNKELVSG ESWIEMHLRSRPLPPRPQSEERRQDNGATEAWNRFVQDHEQLRRYVELLESRIGALEE GQPNVGQGHKEPDTDANGGGSNAPSGKMERALDTPRTTADSGSRTGNGTPKTSRSKIG DSLSRRQLLQPDDSVAEHESWQGGNGEATISKSDTKASIITELCEAVTEGYSPLSEQM PAGSPQLPQTPDKCTPSGQPRGNAFYHLALPGRATTPRRLEHFVDDSGSSKRDI MYCTH_2307286 MQPPWTKPLTAGVCVIIAGVLYSASPRNALADQIGSDSAMTPTK TNPTPLYVPKSVPKER MYCTH_2307287 MSTSGDPIDVLKTVVGSALTLVGQLDAVITKIATQKQANTTSEI QRLVEQPAAGGNPLDGLSLAHDSAALIKAHATKISLLIINEPFTPTAITKVVRELVAG PVPSLAAAVEVCTPARYTYTIQKDLAWRAGRVLRELKELLSRVPQDGKVLPAAKKNAP AGAPEGRGSIATTGVLWSACDDVMSFAKRGFAGALTHKVEQLNDTLKDVMEELRDWGE ETEDDDESDDGQEAEVNDGISQVTSQLESTSLSETRDAQDILDDLMNSQRHIPRDDPD KIRERLDSCLRRLRLTTLLYQAAVKRRLKPLPYVPPASHSDVPARLDEVIAVLKRMPE RFGSLAMAFYELDRVEIDRLMDECFFDAFAASELLAKPWDGQKDEFTDWALRFQVEIK KG MYCTH_2307289 MRRTGALALLSALAHGSLAAANSLPRGVGPEFARFYTNKSAFTC ISNPSITLEPAQINDNSCDCPDGSDEPGTAACSHIDSLSPEQPLPGSITGTTNTTNAL PGFWCANAGHIGNYVPFMYVNDGVCDYELCCDGSDEFAHVGGIQCENRCDAIGKEHRR LEEERRQSKERSAKRKRTMAKEARELRRRVEARVSTLKAELEGLEIKKEELQKKYEEV ERSERNKVVKAGGQGGRLGVLVGHAKARVAKLRDALDKLLDQRDDLQDRVEQLEDILT KLKEEYNPNFNDEGVKAAVKAWEDYAAGQAGEKPSEISDTEITELLKEDGESSGINWA EFENSEESDADVIYNWEAYLPGPVNDFVRDKIELLRVWAIEKGILADNRSGAKESRLV TAAREALNAVKNDISSKKANLEEQQRDLEKDYGVDDIFRALKGKCVNSDVGEYNYELC WMERATQKSKKGHGNTNMGNFVRIDKEIADEEERPDGKSLGRGERMVLRYENGQGCWN GPQRRTDVWLACAEVDELWRVTESEKCIYKMEVGTPAACEDVQEPRVRTKDEL MYCTH_2139768 MGNDGGSIPTRRELVKSAARTPTVSELKATALENLSHAWAHDPL TSEPLDMENVVSDWRGRLYNYESILKGLMPSGEDNNTPTRVDGESSEVTFTSTGIKSL RDVVKLKFKRYASPGAKDREVWACPVSLKELGPSTRAVYLVPCGHVFAEVAIKQIQED LCPECSEKFQAGDIIPILPTEKADLEFLATRISNLKAAGLTHSLKKDKSNGKKKRKAE GLNGGAENETERRKESQENGSTAASASKLDTSSRVSGINNAMTASLTAKVLAEQEERN KRRKLAAEMK MYCTH_2307294 MSIIKDQAATALDQYIKEVRNRNHAEDQRKRAARQVRDLVNIAK QEMGTEQFQRFFDTVNQRTMALIQSTDTYDKMGGVYILDALVDFEGIEPALKYSRFQQ YIGTILRGKDLNPMQPAAVVLGKMCKPGGSLISELVDAEMHTALEWLQSDRVEERRYS AVLVLRELARNAPTLMYPYVGFVFDQIWIGLRDPRHLIRATSSETVSACFKIIRERDQ EMKQEWMDKMFNEAVKGLKVNTVEYIHASLLVLKELLEQGGMYMQSHYQEACEIVFRH KDARDPAIRKTVVFLIPDLANYAPTEFGATYLHKFMVYLSGMLKKEKERNDAFLAIGN IANSVKSAIAPYLDGVLIYVREGLSVQSRKRGSVDPVFDCISRLAVAVGQTLSKYMEA LLDPIFACELTPKLTQALVDMAFYIPPVKGTIQERLLNMLSKVLCGEPFRPLGAPHLN PPSSIPPIPKDPKDPSVHERGKAEVKLALNTLGSFDFSGHVLNEFVRDVAIKYVEDDD PEIREAAALTCCQLYVRDPIVNQTSYHALQVVADVVEKLLTVGVSDPEPKIRRTVLAA LDERFDQHLAKAENIRTLFFALHDEQFAVREVAVSIIGRLARHNPAYVIPQLRKTIIQ MLTELEYTDVARSKEESSKLLSLLTQHAQDLVKPYVSSITEVLLPKASDPTPSVAATV LQAIGELCTVGGAEMLAKKDTLMPIIIDALQDQSAPIKREAALHTLGQLASNAGYVIK PYLEYPQLLEILQSIIRGEPQHGPLRQETIKLMGILGALDPYKHQVEERTPQTQRRPE ATQLTDVSLMMSGLTPSQEDYYPTVVINALLQVLKDQSLVQWHGNVVDAIMSIFITLG LKCVQFLDRVVPAFIAVIRASSPARLDYYFNHLSRLVGIVRQHIRVYLPDIIEVLQEF WNTTSSLQTTIISLIESIARSLEGEFKIYLASLLPLMLGVLERDTSTKRQPTEKIFHA FLVFGSSAEEYMHLIIPILVRLFDNPAQPMFLRKSSIETIGKLSSMVNLNDYASKIIH PLTRVLASQEPSLRVAALDTLCALMLQLGRDYLHFEHTVEKTITMYGIQHSNYDKAVE KLKKGEALPQNLAPRFEDTSLEQFTSENNPPKKLDLNPVHLKQAWETKGKATKDDWHE WFRKFSTTLLSESPNHSLRACASLASNYQPLARELFNSAFVSCWSELYDSYQEELITN IENTIKSENVPPDLLGQLLNLAEFMEHDDKALPIDIRILGREAARCHAYAKALHYKEL EFLQDHNSHAVEALIVINNQLQQSDAAIGILRKVKAYKDGIQLRESWFEKLERWDEAL NFYCQRERELPEDQPTPVDIVMGKMRCYHALGEWDSLASLAGKTWANSGPEIQRRIAP LATTAAWGLGKWDSMDTYLQSMKRYSPDRAFFGAILALHRNQFREALACIEQAREGLD TELSALVSESYNRAYQVVVRVQMLAELEELIVYKQCGPEKRATLRATWETRLKGCQRN VEVWQRTLRLRSLVLTPQENMHMWTKFANLCRKSGRMGLAEKSLKQLIDTDAPLESVI PYWADQSPNPGVERIAPPIVYAVLKYQWEVGQQPGVRNTDRSIAERTLYCLHRFTEDM ARRVESARLQLNASTQAVNGMGDGLNHARYTDYDEAAVLGPDAQHQLLEQTVLLAKCY LRQGDWMITLNKNDWQHTRRQDVLDCYSKATHYHPRWYKAWHAWALANFEVVQALTSK KELEGRSEHSIIVHHVVPAVHGFFESIALSSGSSLQDTLRLLTLWLTYGGYQEVITAV TDGFAHVSIDTWLEVIPQLIARINQPNRRVQASIHALLSDVGRAHPQALVYPLTVAMK SRQSTRRSKTASAIMESMRQHSSKLVEQADLVSRELIRVAVLWHELWHEGLEEASRLY FGDHNIQGMFDVLEPLHDLLEKGPVTLREISFTQTFGRDLSEAREWCRQYRHSQDVND ISQAWDLYYQVFRRISRQLPQMTSLELTYCSPDLLQARDLELAVPGTYRSGQEIVRIK AFDGTLSVISSKQRPRKVVILGSDGKTYTFLLKGHEDSRQDERVMQLFGLCNTLLAND SECYKRHLNIQRYPAIPLSQNSGLFGWVPNSDTVHQLIREYRESRKILLNIEHRIMLQ MAPDYDNLTLMQKVEVFGYALDNTTGQDLYRVLWLKSKSSEAWLDRRTNYTRSLGVMS MVGYILGLGDRHPSNLMLDRITGKIIHIDFGDCFEVAMKREKYPERVPFRLTRMLTYA MEVSNIEGSFRITCEHVMRVLRDNKESVMAVLEAFIHDPLLTWRLTNPASPAGPHFNS EREQAIAGPQAGRARRPSILDGPVAPTEFLAAQGAAADGMMAPPPGARGRARTNSSAV APGSLANGNGANDMAEVQNARAVEVLDRVTQKLTGRDFKPHEELTVNEQVNKLIIEAT KLENLCQHYIGWCSFW MYCTH_2307299 MTLFTAATLASIVPTLVQVAIGQPLVPRAPGCDRSVLAEAADAY IATQTAGNISLLQGVVADGWGYIENNKRRNASEGVLKKALTINHCRTNFDLFACATYT EVIVTDPANPYVIGTQIHHDADGHVKLIDTISSTTNSWLFDAQKTLEYVLQENWDPIP EDKRDSREFIKAAGDAYLDMWSNETADDGVPWGTPCNRLEGSVYTGRGRPHDSCKAGI PSNHSQAPNTHRRYVIDEAMGSVSIFCLWEHMMMAADSHEFRLEGGKLRYVHTMTECG GQRCTL MYCTH_2307300 MANLSQLKRRTRADYPYILEYRTRWTDNDMYHHMNNSIYNFLYD SVINTYLIEHCGLNPQSSPQYGMVVHSHNDYFSSISFPSRAELALRVNRIGNSSVTYE IALFEHGKEAVKSVGEFVQVFVDRDTARPNPKGMDPKMRQGLERILVSDRQSKL MYCTH_2063325 MFFNKLKDTIESFKITVSGYWNVDETRYILVMLNGRFEILIVKT DKKKKVKILDLYNRELISIIGAGNAISNTILVFYIFK MYCTH_2307303 MAVSVSRVAASGASQGQQQREGSAQPDSTREPVLHLPAPSVLWP RPYYFKDGLRRVSPYFYTYNTWCKERWRGRELVEVFQSEFRDRPIEYYRAAMESGQVA VNGKTVGPHHILKNGDLISHTTHRHEPPVTADPIGIIHEDDDMVVINKPSGVPVHPAG RYNYNSVVEIMKAERGPGFEPRPCNRLDRLTSGIMFIAKHAKAAEKLGAQIFKRSVRK EYIARVIGRFPDGEVVCDQPILQISPKLGLNRVRANGKAARTVFKRLAYYPPCSANNG SRQRNDATENQAVGVSQSTTQPTGGRKIDEGYSIVRCLPVTGRTHQIRVHLQFLGHPI QNDPIYANQKVWGFDLGYNDAEGTLNSDEDVISRLSRMGKDQVADAVAYYDEMVDDYY RKKAEKMSGEVCEVCSTPLYTDPGDQELSLWLHSLRYEDAEGAWSYVSPLPAWALPPE GVSGPTKVGSIEDLVGAAGPAIDE MYCTH_2307306 MSPHPAQKEPPKLSKGKGKGARRVSNLSEEQRNKKRENDRIAQQ NIRRRNKELIEKLQQEVEDLRKRDRVDMVSRLIRRNRELEDEVHALRKTLFLHTGRPY PTPGFEVEGLPPGGHSGDYSVPHSFGSPYLTTANPYDQWPSSVVPVPSTVTVNSVESS PGASGHGDDFPPAYVHSGVPAIDGTVIAGNTSAPSLNPAKAEYQEVDTGSSNNAYPGH NAQHPSAYLHEPPWVYPAGTYYTTH MYCTH_2128270 MWYQTNPVTRGVASRHNAPQLSGHGGLDATRTLMVRLGKGVLQP SFLEYDIPAVSELPSYAAAGAFACSLRAPIAECLRFSLGDQAFVAATLRASSAAESAE APGFPTGASLVGRPHLRRISDFRAPAGATLVHIPARAGATGIATTASLYPSIQPPSRP TGNMGSIDRQDDRFLCRDNGFSPLPQNARDADSSLANV MYCTH_2307310 MLWGRLADSSLFGRKTVLMIGLAGTLLSCIGFAFSTSFPQALFF RCLGGMTNGNVGVLRTMISEIVREKKYQSRAFLLLPMTFNIGVIIGPILGGILSDPAG SYPKLFGRIEFFRRFPYATPNLVSAFFLFCGLLCVWLCLEETLDLAVNKPDRGLELGR KLHAWLSPHRSRAGYTLLPSQGGGLDLEEPHGGGVAHSRQTSVSEVPHRSNRPRRKYT QRLAFRRIFTANVLWTLTANFLLAFHLGTFNSLWFVFLSTPVYDPAAEGGGQASDASL KRRSPFVFTGGLGLRPPEVGMAMAILGVIGIGLQLGVYPWLSARLGTVGSWRLFLSFF PLTYFLVPYLSLVPSVSPPPHAKDGVMIWVAIVVVLFFQVVGRTFALPAQTILVNNCT PHPSVLGTVHGIGQSVSSFARSLGPILGGFLYGLGLARGVVGAVFWGLSGVAACNILV SWFVREGDGHEIWLEGDEEEAEVGGERGPERADEERRERMSP MYCTH_2307312 MGDMHQMSSIDIDREFVIEAVPLTREAFAPFGDVVENPRPDLHP SRADPASSALPFNAVVANQGSAIKYQHVSRQVNLYDQAPSGRPGSAIMSIFVCAARAR IPDPPPAPGVGPVRNTVPELQLPSIFPVAVLERHPYTTQTFIPLTSDPAAHYLVIVAP SLPATPAEAPSGEALPVPASLPPATGYPRTLPGSGMPDLCRLRAFVANGTQAVTYGAG TWHAPMVALGEPGTALDFVVVQFANGVGIEDCQEVFLNGAGVGTGTDADAGRKGKISV ALRPLSGSEGRTGARAKL MYCTH_2307313 MFEDMVARVEPVSLKESPVRLNVATLCSGTDAPIFALSLIQEAL VTHGFGAGFEFQHLFSCEIEPFKQGFIRRNLPPGTLIFRDVVELASTALTGKATTASG SKAEIPASKVDILFAGCSCVDYSNMNQNKPSGRVPALDRHLKQQQPKEGKRRATKKQG SGKRTTSDAPEGGEVDGCGDGDGGEGNQSPILLDQTFVDDLDEALRELALLSSGGESA RTFFAAIKLITVIRPKLVILENVYNAPWDMYTEQIFPKICYVADFVRLDSKDFYLPQT RQRGYLVAVDAAGIGARNAGEIVRGWKRRIPSCQRPASAPVSVFLRPADDPATIQARA DMKNRSSPNAEWALCSLRHADVRQRHGIRRDDNPFSLKAMRNSRHIFAAYPSHSWMQF WDGQVARVADFMDIAFAALRNESVDLGYKTCMIDVSQNVDRNDLVNGGRARVRSHLGV VGCITPSGMPIVTDLMRPITGFETLALQGLPVDDLVVSTESQAQLRDLAGNAMTVTVV GAVTLAALLAVLETNAATGLLDQVPSARPKPGLYLEFPEPGESLERGRNRSIVFDAQM LLGIAKRMMRLCSCPAPIAEVLACADCGTTACSACRGNPVHRFVARVVTGSRYSAEQG KVRLADVLPNALMLPVPEAVVHTALGSVKGDLYRSVVRDILNKKDAVFFLDEIKVTEA VTVCYKKADSIARLVFSADSACCWYIYVAPWHHRRVELSECFDLDQPIARGQVLSGDI SAPHWSLWVPTRIDLELGFAEAQDGQLRFDRLSFAGGHDLGPDPSLQHWKRLVEARVC GTYTHHPGCGTAGSRLHVKQPAVTADGRVFLMWESARLRDPDDDHFIWTETMRRMEPH EYRPVLLHARPARSWKLDHQTQERPMSVFWPGYWSWPPEPTCSDSGTAEPYNPVRVHW GPAEAIQQAPCHADGQAPVAQMAVLAAVSATFRGFPLSASRCGTPRSDTSFYVVPPTG LDTFLRMVSFASSEVRWSRRPESLALAPHLDGSWVPVARCRVCSVTPPKIAVYARKDP KRAGVKTSEVSREKKARGGSKGNAPSERPSRKKLPATGDDSSRTTKVVIEDPDEAATF ERHYQDLPRAVAVAAHIRPDSNGFLTLDMRLLLQPKTLASRALAHLLQAHHTAARGRT AVDSGAVTSFTVTLDYTPGSTADFGPFIDSVRPCSAANTTGIDLARDFELPDADPPRF RRTMTKARKMGPGQYRLRPSQREAVNWMLQRERVPLDFVKREVEEEVVAPLNLRVLGK AEWTNRFPYCSRGGVVAHEVGYGKTVVTLAVCDHMREFDTTESVAERADKVDAVWSEE LSSLFGQLQDNLPSPEQKVKSFFCHLSATLVVVPKHITAQWESEAAKFLGLTRPKVLV IKTVAAFYGDCLMQQLQEAEFIIVSSAVFGKAFLERLETVSGPDDSFPAGLSGRTLEA WYREALRAYRVLTAYYLSGRDANIPYDQLMETIEEKLVPGLRRRRKAEIDALVMKQVP EIDRQYYKKKARDTVDAAGLDTTVGGPGNDLGAVGFKEGLGDITLCTEQRDAGSGKKR SSSDPVEAAASEAAKVKRNSKARGPWAISCLHNCSFARVVWDECSYDDDDNIRLFVAH AVANTKWLLSGTPKLFALEQVCRISAAFGVHVARPEPRITPGLPAVTKGPELAPMSKS EQFHKFCSPVKSVTLARERHAHAETFVAAYFRANALDAEVDIESEEHVVPVDMTEPDS VRYHLLTQEVLDADFDYTALPEHARREVALKGADLLGKDGSAAAKMLLGLLACGLGKH VSSVNDLTQALEERSARLGCQMKFLWDKMMWLRRWILRVFGSRAGSEEGLALQPGTSI KDSLARVEALCSNMNDALLGDGSLEPFGGIDVFLREASIVAHQQIPPQGAPPHSASDI MQSLRPHFCSDWKEGYNIDKALYTWLDFFAVTESTLDRLTEDQLRLLAEDLCWLKYKI DPRTAPFSVSFPVLALTGAHLAPEARRSIPPDIRELFEVDKRVIDSLTGDEIRHLVRS CARVEKLNTTRLDASETDHVDEDCASGASRSSLVEILTEVNVKFAPNAATEDLKEKLR RHRAGLATCENYRDGRAPPDRHRDFEAATMCTVEDVSKQAEAVNEELKRTMVHLAKTV EDLRATMLETKFIPRYSQAANALDKDGVIQTHACCGCQNLLPSATASFLVVACGHLLC CECRSTAGFYCPVRGCPAFIRKRPVIRCSHIPTPSQAGPRDKADHVVELVRQFPADEY IVVFAQYRRLISSLAAAFNRADLRVLDLSAAKDDDIARKLEAFKIGKAGRILLLDMDS ETSAGSNLTIATRVIFASPYVHQDEEHQVRTVRQAKGRCIRTGQTKKVHVYHFMVPGT IEEETLRTLGRHSPPVQEFFDNFERKPWWLDL MYCTH_2307314 MAAAPAGDSHPADAGNKFEDLSGIQAKPGENPYSALIDACHGSP AKIQALYATHRTTRNAQQREKFLCPEFSGLDIDPVLLRLEKPEIEPGFRDPRHCLVFW ARPPNHIVKLACHLQSLLQKAAPNLWLMPPHRMHLTTLEIAHSRTAREIADLVALMRP VIPALTGMTMQRRSRLVKPMISYDLSAVAVSFLPASGEEVVSPPSDDIVELDGPKDDT TRGDHYTYHHLRRDAFVLASETVPISSRYVVPSAHITLGRYLTQDDHETPEQRERWVR TIDDINKWLENEVWDVKDGEFLGEWIVGQERGLEARCGTVWYGGGRTLLMGEGF MYCTH_2307317 MDGRSYSQGSSPHGVIAPPLSERPGRTSVLPPLSEVPSPRDTER PSASTLRGALRQTVRAVTPEPAEASLDGLDGAAGDPSRVPRGFGSMSPQTSPRTIPAN NANANANLPSRRQGVVFQDSFSSAIEGTSASPPLRSASIRPRTHTMDGAFRQQLAPAL ETRNRMGSFSSATSLTVTDDLKIPSFQSPIDSYRHVDPQQHSALPSSKDKKASGARSR LTKRPTSRPSSPLSSLPPSVDSLPLPIPTTDANKVLLLMKNLCGRMRGEVEYQKEAGG PWVSGMCYIEEERGSLMFDSGDSGSFHIALIPDLRGCRVVPVERPDRDAHCLEVANTQ LGITLFLRPVVAEELEPWLAALLCWQQLRPAAAAKPQTARSGNVAGPASRTEMKCRVS SPGLREPAVIKVGKVMLWDKGAAVSPRAIVRRPSTRDLRSSQTCWRRVSCILHDNGEL KIMTENDVAVLSVIELSQLARSAIQQLDRSVLDEDYCLAIFPSYSSTSTQLSIFRPVY IALESRVLFEVWFVLLRAFTTPDLYTLDIEKGGRVSELTDPQQEPTGEVFRVEKTIFL RVTEARLRGMTWTAEGGHGDRQGRGSHSDQLVGNYLAEVILDGEVRARTSTKMDTKYP FWREDCVFTDLPVSPPDLSVLLKRVEDNTESFAHQIQATLGLARTANMTEVLCGSVDI PLHQLDNGKDHEQWLQICDDKQQPIGSMLVKVHHEELVVLLQQNYQPLSDLLHRFSPG LIAQITEALPGSLRRVAEIFVNIFQASGKANEWFMNMVEEEIDGIGAKTPMKKGRFSG RLKSDDSIESNVDREQVVRDLSRSLQGEANLLFRGNSLLTQALEFHMRRLGREYLSET LADRILEINESDCSCEVDPSKLQHGEDIQQHWDQLIQFTTDIWNSIVTSASRLPPELR HILKYIRAVAEDRYGDFLRTVTYTSVSGFLFLRFLCPAILNPKLFGLLRDHPRPRAQR TLTLIAKSLQALANLTTIGKKETWMQPMNRFLTAQRQSFKDFLDDVCAIPAERTAAPP PASYSTPTTIMGRLSPMAREGFPSLPYLIDQGRCFAALVKLWADAHPVSASESQIYEG DLLTFHNLCIDLHQRAMDCLAGIEALRSADTASQPAEDQAALSDVMDRISIGDMLNLS SYGPDSTAVWAESEARAPGSSGSEMDASGASPPFGTISISRDTRHGSFSRDLSSNSLR QMLRSSEACPNPGINLGSDGGNGGGTVRSLRNGIHPRKFFSGFIRKTRTTLPDLPVPP EPPACANLREGEGDRDGDADTAKDGRGWERTKEREEREKEGELE MYCTH_2307319 MSADETKAARSRVFFDITIGGQPAGRITFELYNDIVPKTAENFR ALCTGEKGVGKAGKPLHYKGSIFHRVIKQFMIQGGDFTAGNGTGGESIYGAKFADENF ELKHDRPFLLSMANAGPGTNGSQFFITTVATPHLDGKHVVFGEVLSGKSVVRRIENLR TQSDKPIQDAVIADCGELSPSEAISADTKAPDAYGDEYEDYPEDQVTGDETLSAPTIL KIAADCKEFGNKAFKAGDLNVALEKYQKGLRYLNEDPDLDNEPEGTKEQMDALRISLN SNAALMNLKLGAWDETVRAADNALEVAGISDKDKAKALYRRGFALVRLKDEDGALESL EQAKKLAPEDAAIVTELAAVKKAAAARLAKEKAAYKKFFS MYCTH_2307321 MKAILQRVLSASVAVDEKIVSKIGKGVLVFAAMAPGDTEREAES LAAKVLKLKLWDDDSGGRWKRSVQDIGGEVLCVSQFTLLASTKKGNKPDFHGAMGGDD AKKLYEYFYSKVQEGYVAEKVKDGVFQAMMQVSLVNDGPVTLEISVSPKQAPQGTSKE EKPPKVREP MYCTH_2307323 MEKFKAVEKAMKTKAYSKEGLSAAAKLDPKEQAKLDAGEFLGSM VDELEQQIETLEAESESIQATMKKGKGHAAKAERIAEIERIIERHKWHQGKLELIRRS LENGGVDAEQVQDLGENIKYYVSDGMNEDFMEDETMYDDLNLQDEEDQYGMNLDNDKV SSQDTQSIQEDANQEAETKPSGPSGKQRSAVDAVAASAVRRPSTQLKSPLPTLATVHS TPLPTLNTGSSATAGMKPATAPTRPAGEGLKYASAAAAAANNVGIAPLPPPPPAPSSV SGASIPAQVRTSAANSPSVPSIQPASQEKASNANVAPSSGATAANQSHKTPAKGKAVA QASPADTADGAKGAQANGAANGIRPIEETEEEEESIYHLPASLQDLVESFEVTKKRPA PINAPATQRMQAASEANKPGILDSEPPRNYQPDVKFHSHTRYPQEPLSIFDDPRLYSR IDPDTLFYVFYYKQGTYQQYLAARALKDQSWRFHKQYQTWFQRHEEPKSITEEFEQGT YRFFDYESTWYDQIFGEKMFRTCDHSMANLEAG MYCTH_2111445 MEVSRTGNTLQLDAQNHKHPLEAEKARRAKNRKFALYTPLSFGA TGPRRYWTQAFTATPIIGIIAEDRGDTVSGGSWRSTIKVRFCRRSLVMLKQDKQEVEA TSMQFFQSSALDGPGQSTATTLVGNFPALRETSKRVTRVLGALQRIRRGVRRLLGSLT RPAKIDGKENLRLAGSLASNQDSSPDLEASRLWPPSLSIFAYYPVVSACQVRLKDLWI TVRGLELDFSTFSERTAWRPNFHHLHWKKVGLPLLFHRIEAGCRRAGSPRKAQLSGFG TEVP MYCTH_2307326 MASYPNPWYPSAMNGFQTQDGGWYGAAGMKRSDSQSTSCTVDTS CTASTVASGYSSATDISSAEYACSEDTIYGDMPSLCPDLCSFREPPDANHIPSATGSQ YLDNGGSWPQPLPLSSPLPALGTRAGNEDAAWQATLAANSLITNRQPPGNGRSSLISS VAVDEAVKMHQDRGVEEPVRPAIQDVLYRHLRPRFSDIDHYYADIIQLLEEAAYQLQV RLQGPQVMEICSIAIAAVLAMLSEDAGADNNGANPGWPDSHGSPSATARASPEKERFK CHYPGCNNGASRQADLERHYKIMHLADDEKVRYFCDYKKCPRHKAPFFRQDHFRDHLR EYHKEDLLRRGNKGDDEWWSSRSPHALYNGWWRCSRCLIRVRLDKDGFTCPDCSGSCE KERQQHRTMAAGAELRADSSNGSSPSLLRRHPAPAPLGRRRIRSSS MYCTH_2307328 MSPAAAATFRASLLRTSAWSRVTLNTASSSFRATPASSVSRAVP VFAYSHLNRRTMATNAPAKIKVKNPVVELDGDEMTRVIWKDIKEKFIYPYLDIDLKYY DLGLEYRDQTNDQVTLDAAEAIKKYSVGVKCATITPDEARVKEFNLKQMWLSPNGTIR NALGGTVFREPIVIPRIPRLVPGWKKPIIIGRHAFGDQYRAKDIVVPGPGKLTMVYTP ANGEPQEIEVFEYKNGGGVAQTQYNTNESIAGFAHASFKLALDKGLPLYMSTKNTILK KYDGRFKDIFQELYDTQYKADFEAKGIWYEHRLIDDMVAQMIKSSGGYVMALKNYDGD VQSDIVAQGFGSLGLMTSVLITPDGKTFESEAAHGTVTRHYREYQKGRETSTNPIASI FAWTRGLIQRGKLDGTPEVIAFAESLEQACIDTVDIDGIMTKDLALACGKTAREDYVT TSEYLNAVERRLKQSLKEKL MYCTH_2307332 MKVKTEEDSGDVRMGDDKPTYRSWKKKYRKMRIVFDQKMHEGEE LYKLEQKALATAKRLAVQKDRLLDILLDVNNSGQIPPSKRIDLSLDPPSDDDAPCLDI DRPSAPPPEPRPEKSYKKLLKEVPHFTFASAAERFPELLADLEAGRDSPADPAQGQPH PPSFLTADDIDNYIWELDIHLANEDAAAGPGKTDTAPLPTLAPLARADRTSNPKDSQR DFAARNPTSVYNWLRKHAPKTFLQDGEHDKDGGSGGRENGDDGHAVGSSRRVKGERAS RGTGAPRAKRASAAHIRTTGASGESFEDVDDEHGYAGGPGTPSAPGSGSAAAKGKRKR VVDDDPGYRPKGGSSRPTKKKRKSEGPERMPTAKAASRKSEGAG MYCTH_2307334 MAENAAKRLKTDNGVVAIGTHNGHFHADEALAVYMLRTHVPTYS GAKLVRTRDPKLLDECHTVVDVGGEYDAARNRFDHHQRSFGTSFPGRQTKLSSAGLVY MHFGREVIARRLGQAEDSEQVDLVWRKIYESFIEALDAHDNGISVYDPAALAAAGLQK KFSDGGFTLGAMVSRLNPNWNDPVPEDPAAAQEAEDKRFELASQRIGEEFDRDLDYFT KAWLPAREVVAEAFAARQEHDSQGRIMVLKRQSAPWKDHLYSLEEGQPEGGKVLYVLY PEKPTPDSKWRIQCVPVAKDSFESRKPLPEAWRGFRDEELDGICGVSGSIFVHASGFI GGNKTYEGVLAMAKKALDL MYCTH_2307336 MNPHQAKKIDIKSLSPDEQRLFRLYGKLPNRTDHLAKHLKERKY FDSGDYAMSKAGKGDSVDTGAVGSQHPVPENIPHLSSPVSGPNGISSLLHAHHQHHPS MQAGSPVKESSFLNRETSAEDLEAENKNEDQPSKESETATQAAAGEQQELPVRR MYCTH_2307339 MRFLIMLAATAAMTVGLAAAASSFTPARPPAVPLAVRSPYLNAW LQGESGDTLPGAWPRHWTGSILGWQGLVAVDGETYNWMGGAPGPALVDQVSLEYTSTK SIFTFDVAGKVTLTVTFLSPVYPDDMARQSQQLSYISAKAKSSDGNSHKVQIYMDVSG EWASGDTSQVVEWDNARSGKIVYHKFFRQTQEEFKEAGEIASWGNWYLATSADDGLTW QIGADTAVRGQFADHRVLSNAKESNFRPVNDQWPVFAFSHDLGDVEASEVERVFTLGL IQDQVINFAGKSGQLEAVPGLWSSYYKDQVSALVAFYDDYQHAIEASAALDERIRRDS EAAAGADYAIVTTLAVRQTFGALQYAGTASKPYIFLKEISSNSDIQTVDVIFPAYPIF MYLNASLGRYLLDPLFENQESGAYPNKYAEHDLGTFPVARGYPDGNDEPMPLEECGNM IIMTLAYAQRTGDTAYLSDHYPILSQWAEFLIEESLIPANQLSTDDFAGTLANQTNLA IKGIIGLKAMSQIAQLTNNEDKYGEVADRYLKGWKDLGINTKADPPHTTLSYGDDDSH GLLYNIYADKLLDLHFVDQSVFDMQSEFYPTVASDYGVALDTRHTWTKSDWEMFVAAV SSRSTRDMFISKLARWVGTTSSNRAMTDLYDSVTGGYPQNGPTFVARPVVGGMFALLA LNRE MYCTH_2307345 MAQACRGRPRLAYAGNQTICRHAGLPRQLGAYAPLCRRLAPTDA RIKGLGREIAHDYALLRDSYEAPQHPIVLVHGLLGFAELKLAGSYLPSIQYWRGIKEA LSANNAEVITASVPPTGSIEQRAAKLAKDIEAQARGKSVNIVAHSMGGLDARYMISHL RPASVNVKSLITVATPHHGSPFADYLIESIGPGYLPRLYDLWQRTTGWEPSAFSQLTT RYMVEEFNPKTPDNTTIRYFSYGAMVKHKPPLLSPFRHSHQVIEEREGPNDGLVSVES SKWGTYKGTLLGVNHLDLINWSNRLRFTVQKWMGHPPS MYCTH_2307348 MLDVGDCSGEACLNPPGSLPYGASRAGSAFMLAAFGALIPPVIY AALRYRTVPLSLLLLAALLVEVVGHVGKVLLAANPASDAYLTVYLMGTHWGAILIGSA TNLVLPHVMVIYGPEFQLVSEPLYLNILFFILDISALSFQSVGIGFASTANTAAEVSQ GLIILLTGLAVQAVNLLTFLSVYRYFRHRLEHRRYILDDRYSLVYLSRRFKYFMICVQ AISCLLLFRTAVRIAVFADGLASSFARSQITSFLLDDALVLVAAMIHAAYPAGRAFGA AWAATSPLASSSSSRRQDVLPLRLRRHRRRRGARTDKRIVSLPYPSPSATSRFSPGVT HIGGMTPGLPAHPSPRVAPEPSPPLTSPQQNPVHRRAPYEISPTSDVPFFVSQESPGL DSTMWTASSPPLEYGTRRKTYGRRRAGSSPELTQMVDRDALW MYCTH_94734 MLDQLSVLSTCERQRIAGDQGDETFLGGQDEQQSGCPEESDDVP DVPKSWILPPSADVGNKRFHDALETKPPSGGEGPTAESHPPDSLDPTATRQHGEHTPK TLPDEKPDNRREEAGFGGESQDGGLDDVDDYLDAEQQSQDHSGLRSPYGHPPSTLDKE LALSGELSDDSSSYYSGATSLDVSHVPEDDLSRWTSSSEEKNSSEVPAGQSSTQPQKC LGESAGDSKRCRKPEKPSHAGSSDPVRIDGRVDGGDEEKDNEDDQALEPSAAALPYTP KETGKQGGDPGRKSGEVPSPDTGSPSRARSPAIGRPALSVSPPTATCGAEDRPPPLFL ILSDEDARFAPFSGEGAPRPGESRTDVMVRDQIARCEAIRGQPLNDEEKEVIRSYYRE MNQRRAPRETSEPTKVHSVAELAKLEDLAGPPGSNSEADGSSNRGIHGGSSPASDGGV PGVTNDDYDDDSNTVQPSKLLNMIRDLEARFKDAAGQLMREKQETEKRLEKLEKELQD VRAVLNEPAVGGSGSDSGAAGYTHAWGGQALQANGLSFTPTLVVAAMVALVWLVTEAM LHSKRLSDGYGPFINGGYNGLASVVVFGTWTQFILFIIVSTYLGVVSVLGTLRR MYCTH_2307352 MFILMVLHASLYTAFFASEKRLVAIYSQSSGIGGIVAGFGFLSV VSSALVLRRIWYELFYVTHITSWVLAIVALGVHRPEIANKTLVVVLIAASMWFVDRVI RACRVLYYSTNNEARLYPLPDGSTKVVFKKVPSRTRPGKHCFIWIPAIRKFETHPFTI HGSSPVEFTVKARYGFTSDLHKYAAAHPGEAVTASIDGPYGTFPDPMEFDKIVLIAGG GGATFTFGIAVNVLERMHEDSPKDIVFIWSVRKHENLSWFREQLELLRTHVHSPKVSV SLYVTRAPSSTSDLPSGSESESTEQSGRSNTSSKDTESPPLSPAGSDPEKDAHRTAAP AARRPSSGAHGDSEREKEGAIETPAEHTGLAEKGSLKAAAAVAHTFFEHPVKLGRPDA ASVIRDAVKTTPRDQRVLVAACGPDGLMRVVRDTTARLIVGDGPAVELHCEEFGW MYCTH_2307354 MRSYTFKWPHDAEEVYVTGTFDDWTKSERLERVGQVFQKTVTFP DSVDKVLYKFVVDGSWTTDHTAPQEKDQEGNDNNVLLAEQMDKLEEASQAAAINNLVP EFTTAQLAGTVPLETNKEGEKQKDEKDEGQVATSGAAILSSAAPESTTAQLAAEVPRE EKKEKDIPPGGFPETPATELEKEVKVEPLPAAEGAINPIKLEPGEEVPKDITAGAAVD SHVTLDKDSYEKSDRIPGIETTLPSVSGNIIPESSLPVAAPDVATISTVTPESTTAKL AGDVPLEPKVPEVVKKSQEEAKVEPEASANSEEVKEKAAVEEELLNKVAEAPSTSEGT AGKGTEKAETDKTAPENVVAAATTASEVALGAAITAAGAAIPLASDAAAKASDVAADV ASKAAAAPGAAQAQEEEKQKTAIDSVSPEVPTEVKESLREAGESPEAAVNTAAVVEKK EYEAELLEKVEPAKAVDESSTKVAEGEDVASTEGAKAIIAQAEAEESLPKPIEEPASA EAPQAETEPKPAEEATALAVEPPQPTEAVKTVDEAKSTETPKPAEEEKPSDIKLAEGI APAVTATAVEPPKPAEAVKAVDVAEPAEATKAADEPKAAEPAVTTEPAKTADEPKPVE TPAATEGPAAVEAAEPAAEHAAATEPAAPTTEAAATTKVDDAKPVTNGSTTDTPASKA TDGATDKATSDKKKKHRISGFFSKLKSKFA MYCTH_2307356 MNSTSSVSTKAPSAPAPANHSPSAPPSSNSGFETNRRQNQGVIL HPQAAPRKSQGARKQHRNQRRAGTKGGSRHLDDEDTMTEIRALRNASSRRGQMSITHL MSYALPPRPHEEHHSSYSRSYRRNPSWGVGSGYHAADKARYIHANYRFVVNPGGTYTA QAADADEHLDWNDVLQVIASAESQQTSCPICLSEPVAPRMAKCGHIFCLPCLMRFMNT ITSDEPGKKQTRWRKCPICEDSIYLSDVRPVRFYAGQESPLPRKGDDVILRLMMRSST STLALPKESAAEVLQSGDDVPWHFAANVMDYARIMKGTSGYMAEQFDREVEDLLKQEQ EDELLYQEDNEWTQKAIRAIKTAKEKLAELSEAEKVAALASTPGPKQSKQAEQDFYFY TSPPHLYLSPLDIRILKTRYGSFSAFPSTLLPRVEHISTGHTVDDALRKRAKYLGHLP RGCLISFLECDWTDIVPPEILDSFSEEIERRRKRNREKATQEERERLQAERLEAAALR DARRNFGAIDEEVTVRFGNTGLDEPVVDMNDFIPLGAEGASPPNPRTGFSSLSNISTS PSGRRTVWGTPLVGPPPEALPATQSQVDDGWLKDDVVLETLGAADIAMQLEALKVESN GEGSSAVQAAGSGGGGKKKKKQKITLMSTGGRRGL MYCTH_94730 MSIGIVHYQVTTGENIPVTREATGDISKDSLAAEVRQKRSQQPQ AQPGGGVLESQQSYAGLAPTYVTNQRHRDPAGPHGRNLKEGGFEGSGTEAGPLPEPGS MEGPTRIATGLAAQGRKEAGTKQEGGVEGKT MYCTH_53851 MKTFLLPLAILASSAVAQTTSTCAADYIVETCLSTEKAKLENCG TEDYECRCASWESILTCYNNCPDDDRQRKDAGQRDIFCGYASQFKSSTSAPSASKTAS TPAKATDDQNPTSTGSESSTATSTGSSPTNTNSGADLALNAGGVLAAVAGVVAVVL MYCTH_2307363 MSVEANELLDAFKAAALSVTKLYKTSAQAQAKSRADGYHDCLED LLSFLDRENIGLCDGEGQRVRRWVIDRIEGRDSTSPPLESDDEAERSDAPVLSSPHIQ RATPAAVQRPRDKDETPARDESAPPVVATAPIQPSHAVEDVDIVVPSQETFNFQASHP YPHDEALRLANLNLSDGQTINSSNTRAASRNGRNRNGRTGARTTLGRGAGQKRKVNLA EIFDLGSLEYGNGRDMFGSGGKRSRLA MYCTH_2307364 MAPRAKQSGNDTDVSMPDAPEQSKPVQTADDMEVDETPDYTDSD TNPNTTASSVAGDPVVDGRKKRSEVNQLRRSIFGKKHDRLGESKEDDTLRRFRYLLGL TDLFRHFIETNPNPKIREIMKEIDRQNEEEARQRKRGGRQGGATSERRRRTEAEEDAE LLKDEKDGGSAETVFRESPPFIQGTMRDYQIAGLNWLISLHENGISGILADEMGLGKT LQTIAFLGYLRHIMGITGPHLVTVPKSTLDNWKREFEKWTPEVNVLVLQGAKEERHQL INDRLVDENFDVCITSYEMILREKAHLKKFAWEYIIIDEAHRIKNEESSLAQVIRMFN SRNRLLITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSGQDRDQDTVVQQLHRV LRPFLLRRVKSDVEKSLLPKKEINVYIGMSEMQVKWYQKILEKDIDAVNGAGGKRESK TRLLNIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLIYNAGKMVVLDKLLKRIQKQGSR VLIFSQMSRLLDILEDYCVFRGYKYCRIDGSTAHEDRIAAIDEYNKPGSDKFIFLLTT RAGGLGINLTTADIVILYDSDWNPQADLQAMDRAHRIGQTKQVVVYRFVTDNAIEEKV LERAAQKLRLDQLVIQQGRAQVAAKAAANKDELLSMIQHGAEKVFQTKGAFGTMAEKG SQLDDDDIDAILQAGETRTKELNARYEKLGIDDLQKFTSESAYEWNGEDFAARKKDIG INWINPAKRERKEQIYSIDKYYKQTFNAGGRAAEAKPKAPRAPKQVPVHDYQFYPPRL RELQDRETAYYRKEIGYKVPLPEGDDENLSEREAERALEQQEIDNATPLTEEEQEEKQ ALAQQGFGDWNRRDFQQFINGSGKYGRYDYEGIAQEVDSKTPQEVKAYAKVFWQRYTE IADYHKYIKIIEDGEERMRKIEHQRKMLRKKMNQYRVPLQQLKINYSVSTTNKKVYTE EEDRFLLVLLDKYGVDSEGIYERIRDEIRESPLFRFDWFFLSRTPTELARRCNTLLTT VVKEFEDVNTTKSNGANGKFKREPDDDENDEDSILGLAPAKKKTKANGVKNKALDNVK SAKGSKANSTSPSRASSVGSTNSTPAGAKAKSKGKKK MYCTH_2307366 MRPILLAGHERALTQIRYNADGDLIFSVSKDQIICVWYSHNGER LGTYRGHQGAIWTIDVDPTSTILASGSADNTIRLWDVKTGKCLKTWDFPTAVKRVEFN EDGTKLLGVTEKRMGHLGTIVVLDIKVDVEAEQTDEKALTIVCDESKATVAGWSYLSK YIIAGHEDGSISQYDGKTGDLIYNFPIHELNQPITDLQWSHDRTYFITASKDKTAKLI AAKDLEVLKTYTADTPLNSATITPKKDFVILGGGQAAMEVTTTAARQGKFEARFYHKI FEDEIGRVRGHFGPLNTVAADPTGKGYASGGEDGYVRVHHFDKGYFDFMYEVERERQN KLAAGNA MYCTH_2307368 MVAAKIDGTAIARKVRERLQAQIAEKKSINPRFQPCLKIIQVGD RSDSSTYVRMKLKAAEECGIACELIKFDETATEAELIARIVALNNDPAVHGILVQLPL PKHISEYNVTSTVASEKDVDGFGTYNIGELAKRGGRPFFVPCTPKGIMVLLEEAGVEL AGKNAVVLGRSDIVGSPVSYLLKNADATVTVCHSKTKDLDSYLKNADVLVVAIGQPGF VKGEQLKPGVVVIDVGTNYIPDATKKSGQRLVGDVDFESASQVASQITPVPGGVGPMT VAMLLQNVVEATTQSFEVEKQRHIVPLPLKLLNPVPSDIVISRAQAPKPITQIAKEIG IAASELEPYGQYKAKVDLSLLKRLDHRRNGRYVVVTGITPTPLGEGKSTTTMGLAQAL GAHVGRVTFANVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNL LAAAIDTRMFHEATQKDAALYRRLVPVKNGKREFTPVMLRRLKKLGINKTDPDSLTEE EIARFARLDIDPETITWRRVLDVNDRHLRGIVIGNAPTERGHSRQTGFDISVASECMA ILALSTSLADMRERLGRVVVASSRSGEPVTCDDIGAGGALTALMKDAIKPNLMQSLEG TPVFVHAGPFANISIGNSSILADKMALKLTGTEPDEDHSAKAGFVVTEAGFDFTMGGE RFFNIKCRTSGLVPDVVVVVATIRALKVHGGGPPISPGAPLDAVYKEENVEVLRKGCV NLAKHVANAKSYGVPVLVAINKFSTDTQAEIDVVREEAIKAGAEDAVLANHWAEGGKG AVDLAKAVVAAAEKPKDFKLLYSLEGTIQQRIEKIARDMYGAAAVEFSELAQKKVDTY TRQGFGNLPICVAKTQYSLSHDPDLKGAPTGFTIPIRDVRMAAGAGYLYALAADIQTI PGLPTAPGYLNVDVNTETGEIDGLF MYCTH_2134854 MASLSFIMDVTDDHSVGTRAPHNKRARGVDNLASVGELHETEDQ PGAGARHVSSGSGPATNQQDDIKGAVCASPTRRRATSSRAPKSTTAPRSQPQPVAPVS LSASSSSPAPASSGRSSASRQSTRSDNPMDRQRYGPTSSSSSSSSSPYSSSSTSSAGG GPRRPMALHPAIGQYTPKITPKTGRVSKAKKGLPVHVCDICRPPKTFTRAEHLRRHQL GHGDPQFQCPRCEKSFHRADLLARHQQKHSEHDGDGLSKGGGSPCQSPHPPPTAPPLQ ARRSPRPAAAQPGTSPPAPEMASEVAPEMAPTANAAADNDQPASSQHDNRPSHGDSGA YGAAAAASHASSHDFRHQKTSYTYSPTISIVDPAQPSPSPLEGSSYPGGRLGGYHQPR TGVAPSPVYVVTQEGFPSTLLQTDVPELRDASPLPSSSASDSAYSTPASDVSRNLGPS APGHRSSPYPGPTPRVFPTSSSGVEPSSSLAGSLFINSSHPAQQPREHTYGGTLGMPS PVVGYSSLAASSDGCTPGAHQQQQQQQQQQQQQQQQQQARSLSALRGQTSPLDGSHGA ETLLVSAPRLSNRLNATTAGLDRRKGFMMEPPQDLSLGGDVVVGGLDVLADGLAVGYM AASPGADNASHGSDIVAELDLAALAGGCVLPASSSMAIPLPGPVRAAIPRYLEIYWAH VDPALPLVHRPSFEAAPEDVLRCAMAAVATQRLDSKEDRSRGNQLHEFAWQELKRPLP RRQIPQWSLQTMQAILLCEYFARFRGRKPVTRPSKPFESLYSRVSRPESFSATPFSVL AAAERNGVWLVDTTAWSPTSSPASSSSISSFPSPSPSSSPSPSSSSFSLRGAAAPTSS TMSPIALRHLSPLQTTRPWGSDTFSSSWATPSLAVTPPPFGNASLALSHHHRRPMVPM PRSGGASLNLNPNLPASSLSPAPRSRPRARPSWSSLFAPDRHHNPISATAPFLLTPSS PSPQASSGQTYSQRVSNQQAMYQNHGLPDHAMLAAEQQQHLSIQERWRNWIDAESRRR LLAACFFADGHAAVYQQQRRAQDGDADAAMQPIPLLGRGAKLWEAASAEEWVDALAAD QDALQPEHVPPLEQLTLEDVARRPSVDRIIILSALARRLPRRTPPLPTSSLSANNSPG PELDPPPPTHHQLGSSQFSADQQQPAPFLRQHHRHHHQQYYQAQLQPQPGQSSFDAEE RINALFPDCPVANTYLALHHTPLRDLLAVGGDSWLFSQKVLPTTSFHEHQRRLKIWVT SCTTTTAAANNNNNNNNDNNNNNNNNNNNNNNNNNQTSTASNLGPLAGLDVVRATVYA ARALVGFLDREHQPPPHLLPGGGGGGSSSRGSSSSSSITNTSAAPWARDMSDYWALYV CALICWAFVHPVRAAAAAAGGGGAADSSAAQGVDGDSSDSDGSSSGSSSSSSGGGSRN VLPPPALLPGEEAGPSTTAPPPPPAVAVSAPTRPGNGGVPSDDEEALGWLRTVAAEGV RREDVVRARGRRGAAGVVGLVRKRLENDCVGGRSRHYVDAVGVLRKLEDGLGWKWF MYCTH_2307371 MASPWPAETSHPVRARSLGKRQAASGRCSPADLQVCFEGSAFTW QATRWCLKVALGIDKTH MYCTH_111814 MSSASSSTQNGGKRKRNNTASALDMGENQIIQTESRDASGEDGD TTAPESGRTGAVAHPNKRQRSSSDQPAAGSTSGTGTSQRAQALDPGEPSDTTETSDHI AERVTRKGSRKSASIKTAGEGVANGSGTISNKTAAAMPPPPIGKLTHPAGYTTNPPPV GRPVRVYADGVFDLFHLGHMRQLEQAKKAFPEVYLIVGVTGDEETHKRKGLTVLSGKE RAETVRHCRWVDEVIENCPWIVTPEFLEQHQIDYVAHDDIPYGADEGDDIYAPIKAAG KFLVTQRTEGVSTTGIITKIVRDYEKYIARQLRRGTSRQELNISWLKKNELELKRHVQ ELRDNIRANWATTGQELSRELRQFWPSSRPQSPARFNNSQSNNGELPPSSPLGPGQSS SSAGAGSYGGGFPFPRSPTTGSSSATAGERPTNNVNDFVTGYTLGLFGGVRSWMTRSR RASREGESRPVSDDDSEESEDKAARSEARRSVQATATSQ MYCTH_2315675 MIEVLYNGPPSLEGPRSPYPREEHKTQQTKAGKRMPRRAQTQHE YFLPQYPAFSLYTAPQPSPVTEVPPGTPAKPSREPSQQQVTEQPRPPARRPPLGPPRR SYSVTDKQPIPRRHKPAHGLELMDLPPELHFVIFDFLDPIDSTCLGLTNKHFYAIHRR LHGTVPLTTRREGPNELEWAWHLSTNVVRKNPCVASGCKDAAAASPEGVGEKDKSTLS NLRVRGQGYCRMCGVTRCQLHKHIQEWMGEGLEYCSVKQKYGPVAPEGAKSYCYMSKP GDPRRCGRHYVRKNTVQLR MYCTH_2307379 MASQTNAPIPFKRLEQIATDVCNSVLESAEYYEHPKTAQWNETI INKMLKAVMSEATPEGATAPAYKFAINSTIVQHVVPTSQLNKPVTGSTEEGTSKKGQA GRRGMHSATGGYWNEKTDGMWSFKWDGEAKGMDVVLMVIWIAV MYCTH_2307383 MSSQPLLQTAQGKRIALPTRVEPKVFFANERTFLSWLNFTVILG ALAIGMLNFGDRPAFISSFLFTGVAMATMIYALITYHWRAKSIRMRGQAGFDDRFGPT FLAIILLLAVVVNFVLRIAYSSQDKQP MYCTH_2307385 MAAPDVMQSSSPKPHHGKRKLHSDSNERSPKKRRAGEPRSHTQG QEWPQHPISTVGDYSRIHNSILAKLRPKFEVRTMSVMSSTSISKHVDHALEHLGRFSP WDRAVLPGVVLLCAKSSASSKLITIAELIKRRIGESEQKWFQYNVPKETVETEPLPGT KEPSVVEDTFMAADREDRESTDDECFETFPQTIHEQAVQPAKIRYKAHIVVILSRVPL EELKSEPNISVQTNEQHIDYLWRKKMGLAG MYCTH_2307387 MPMFRFWTSDSRGSDKTIEGHDHLPDCADRVAHGRAGLPTPVSP KKRRPSFVDTVACDCPPVKRCKTEQNGGLPSPVSASADCDDATGMSRKQSMSFDLDAA KEAIQMQFGLEILLKHDELRLIDQELAKCQVALEQLRRCHLIPYPVQCPTPSQMLEIS SGKGPALKPRNGEPVPKWAPPFGVVEGPYSRHYAKWLIPDPVFDGVQSDPQGLTDTGR MRNAAEGRATRSSISDAAGPGKGRPVRGTAGQRLQALSSGYPQPKDKHSPCIVKRSDG ITVKLICIDCHRWDFSSTQGFINHCRIAHRRDFKSHEEAAMASGHPVELDENGAIIGE DHKSAAAAPSGLVHALARPDPIPEHQEYKALLSRIKASLALYKAGKLPGVSSIPGVPA SSPAPAGESSQDFVGSPDVPYLSQLMQKRKRGENLKDRVAEAKTKVDCDWSTPETDSD VDEPPTAGDANTSGQATAPAVRTPAAMRVPSRAAVSPSQPPSAFRAASNKGHHTASRD ATDAADAESPDLPETPLYDVEMAVDLSPNTMTSNNAPSLVSDDGEYDDSDDGSTSDAS DAMETGSVSDIAEISIHDSDGSDAREATPQPVPRRRGSTSKAAKLKKEESRHVTFVSP APVPTNTKGRRKKKF MYCTH_2134860 MSDIDDELLALAGGDVSSGEEEEPMDMSRDESRSPAPAPAPASS REKDASARGVAVKKTAAKKKGRASRSDDESEDEGQASSPPASPSSQMSAPMDESDSDS DAPQNRARSADDESPYPIEGLFKSYEEKARIMSMREIEREQILAERREENERIRQNRM LRQLKVNQEKDSKKRKASAADLEDATRKPSRTRTKAGESSDKMDTLRRAREERSNRKE QRERENDRRRHRSPSYRRSRSPDDNHSDNDWRRDSRRKSGTPDFKEAPPAELRDVERV RLGRTRFAEVCFYPGFEEAITGCYVRINIGPDPTTRQDVYRMAVIKGFTQGRPYAITD RSGHQIVVDMYVKAAHGKAQREWPFITCSDKAFTEAEWNRYKQVCLSEGIPVPTKPEL VAKIADINGLIERTWTDQEVSEKLKRQNALHIKYSGIERDNVAKQLELARARGDEAAV ARLQEKLDNLEVPRLAFRTQLNSTKKRAENRGPTQQEKLAMLNAENRRKNAEAVRKAQ LLERARAREAEARRAAEGSGVSTPVANGSGSGSGTPKAGHSAELLPHIAKLQEQQRSQ AKAGVPVIHKPLMDDDIIGALDLDIDVEID MYCTH_2307394 MAPPGHLCQPLRQLRHGSHRLLARARQFPPSRLISSSAVASAPK PQPQSQSSSSSDAPPPPNIHNNNNNINNNNNNNGNNNSNHARPPKPKKPLTPEQQAFL SSALRVNQAGELAATLIYTAQTPPVVAREPHLRPLMRHMYDQEAGHLSTFNDLIAKHR VRPTALYPLWSVLATGLGWTTGVMSREAAMACTEAVETEIGGHYNAQIRKLLEMVSEW EAEGYDVGDEFRELIETLRRIRDEELEHLDHAVAHDAKKAEPHWLLTGIIRAGCRGAI WVSERV MYCTH_2307396 MAATTRGNHTGITRKESTLASGTFAEMPTIPQAKVFGPGTPATS DGIDFAAKPSAAENEPANSVNGRPPHCKANPHAESSGALQPPALNVDTHPQSTAAPGS SEPASPVAGRKSVQFTPDDGAPDTAPTHSRTGSWDTREALGKLRGSGFITKLKELAGP SAPQTPKVSSATPELPSTTSSPTAVRSTNRGSRAVHEGSDDDADAEETADETTAGLSK GKQKRRRRMRRPRTESYSVPSTPQVSALGPDMPDGQSRLGFLRRAPLAGADDHGFSEG EGRDRLAGQRGSRRRWMSRPGEEGEDPDSAAGLRVGHHLRRLSALGGGGGGGGGASDG DAMSPRRPFFGADRASTFGVQKWRQVKGALRLLRQKKDEQFDFSKSAELMAELFGGAP AVLMLASMIQRDEHGNKRIPVLLEQLRLRIVDSAPAPDKDSERHWMFTMELEYGSGPS QMKWTIKRSIKDILNLHWKYKLTLGNEGFPSKSSDHSARRPKQPRFPLSAFPYLRGVR GLDDNDEEELGNETRAEETAGEVTAGEATAHEGTDLDDRPPMRKKRSRMPYLGGRRKP SALGSNADLNQVADPAAQRRKYVERQQRMLEKYLQDMIRWLMFRADSNRLCRFLELSA LGVRLAAEGSYHGKECYLHIQSSQGLDFRRVLTPGKVIARHSRKWFLVRQSYIVCVES PENMNIYDVFLVDPKFQIITKSKDKHLHSKSRERDEDIDNLDPSARPATRKHHTIKII TSERKVKLFSPNQHLISQFEESINEMLKHTPWHRENRFGSFAPVRTGVHAQWLVDGRD YMWNVSRAISMAKDVVYIHDWWLSPELYMRRPACISQKWRLDRLLQRKAAEGVKIFVI VYRNVEAAIPIDSEYTKFSLLNLHPNIFIQRSPHQFKKNQFFFAHHEKICIVDHDIAF IGGIDLCFGRWDTPKHPVVDDKPTGFESQDTPKDAEHCQLFPGKDYSNPRILDFSKLH EPYAEMYDRSMTPRMPWHDVAMQVVGQPARDLTRHFVQRWNYVRRGRKPTRPTPFLLP PPDCKPEELEAMGLSGTCEVQILRSATTWSLGIEHTEHSIQSAYIKMIENSEHFVYIE NQFFITSTETLNNKIVNGIGDALVRRIIRAHENDEDWRCIVIIPLMPGFQNEVNQPDG SSVRLILQCQYRSICRGEHSIFGRLRAAGIEPEDYIQFFSLRQWGKLGNGVLTTEQLY IHAKCIIVDDRVALIGSANINERSMLGSRDSEAAAIVRDTDLIWSTMAGRPYQVGRFA HTLRLRLMREHLGLDVDEILEEERQADLDRQEQFEAEMDEMYSDGPELPPMEETSKNR RASFAETLETPTFPHESHSVNHDVSTEEPRLRQRTLSASSKSQEYSAEKLKQDLEGLG PDQWKAAQERAIDQGRDSVIVSDREVLLKDIAAEGKATLESPRKPHVLRRPPGAATPD GAGGSNDGMPPMPPFDRRTTEQAGLPRANQLPSLPVADDTDIGGPPARVDSAGRPLPS AASSLPLDIKLADIHKDCMRDPLNPAFYDDVWSRAAENNTRIYRRVFRCMPDSEVTNW AEYRIFNQYNARFKASMDGHRASEEGEKPHSAAGAPSQTSAGAGISAPGPAAIVAAVT EKPGTAAKTTASKATPGSGDGVLNEKRDTTHGSHEETALSSGTNRDPEKDDHAIDSSH DTLHRTETNNCLGTNPESGRERRATFSSQEKPLPTGASTGSANGSGSPTPGSNPDGNN AKPSGSQKRRRRATTRSSRPRFSATEEVLSRAEAEELLMLTQGPLVQFPYDWLVVEET NGNWLFQVDQVAPLAI MYCTH_2307398 MPREIITIQAGQCGNSIGSQFWQQLCQEHGISQDGNLEDFATEG GDRKDVFYYQSDDTRYIPRAILIDLEPRVIHGIQTGPYRNIYNPENFYVGKSGLGAGN NWGDGYQTAESVHEEILEMIDREADGSDSLEGFMMLHSIAGGTGSGLGSFLLERLNDR FPKKIIQTYSVFPDTTNSGDVVVHPYNSMLTMRRLTQNADSVVVLDNGALAHIAADRL HLQEPSFQQTNQLVSTVMSASTTTLRYPGYMHNDLVSILASLIPTPRCHFLMTAYTPF TGDQVEQAKTVRKTTVLDVMRRLLQPKNRMVSTVPGKKSCYISILNVIQGEVDPTDVH KSLLRIRERRLATFIPWGPASIQVALTKRSPYIPMAHRVSGLMLANHTSIATLFKRIY RQYEGMRKRNAFLEGYKRTAPFSDSLSEFDEAREVVADLIAEYEAAEDANYLNPEVGE QATSAETDKRMG MYCTH_2307400 MLSSARTGASLALRARPATSLVPFRAAAAFSSSSHKDAASAVQP HAGYGLAKRERKEVPLPSQEGTKGLVQYALTTLDIMANWARQSSLWPMTFGLACCAVE MMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPDPRWVISM GSCANGGGYYHYSYSVVRGCDRIVPVDVYVPGCPPTSEALMYGIFQLQRKMRNTKITR MWYRK MYCTH_2307401 MAPEVVQSAEGSAVAVFLDSTFASNRHAFLRPSPLLPSGSLQLA KDTLDALAGQVADHQQQRLRDAGKKRKRDGTQDKSTVLKIRKLHIDGFDTGQVWQQAK KIINSALQESTQVLKELEENDEVVHITNGDAEESRHIEFGEDGFEVGSEEDESATDGD TEESDDDGASLAEDDGDDEEMAESKAEGDDHKGELDDDDEVDGAEKRGADEEGDEDDY DDEPEEELVEDPYGLNDGFFSIDEFNKQTQWFEDQDARGDPNTDRFSDDESIDWHADP FAPKKPRKKGADEDEDDESDMDMDEDGEEDRTGAALSKQDLEDMDDGDDSEEGGNLDD DLHDMGLDLTANDIYYDDFFAPPKKKKKPGNVTKRRDSPKPSRPEDADIERAENDVRR DLFEDLSERSDSEDALSDVSAGDPKSRRSAHERRQAKIAEEIRKLEAELVAKRAWTLS GEASAVDRPVNSLLEEDLDFEHVGKPVPVITEEVSESIEALIKRRILAQEFDEVLRRR PDTFGNPNGTRRGLVDVDDTKAKQSLAEIYEEEHVKKANLDAYVSQADEKLRRDEEEI KKMWKEISAKLDALSSWHYKPKPAAPTLTVVADVATVAMEDAQPTTAQGVAGGESMIA PQEVYAPGKDTAEKGEVVAKSGLPVAKQEMTREEKLRRRRREKERIRKAGGIDANKPV SKKAQEQRETINDLKKGGVKVINRKGEVVGLDGKKVVEQKGSQSSGAFKL MYCTH_2307402 MPLKRRQRFAKYEQNLLQCGDDIRALQRFVSAQVVAFRKIIKKY RKWTGSVTLGARFNDHVLSHPKSFTKRDFNQLQARYEDLLQTLRAASPAGGVSFPRPK PRSSRSPAPQFSPAERTAALEPQPPTGYWNEYECGSEAGDFDRNGDEYAIYIDPNQDT SFPGFKALGAFFAESAQKLSSWVSSRRKRDSAAVDIAGTERRPLLHDHQPSDAGYGST AIPSESSGPSSPPGGTNIYSTGTAAGTDTDLEVQRTSSSRRGSISRGYVSSSSSDEPF FRPGAREETYYAGLPSIADQRMARYREHVLGWATWGCFGAAFALMGLAAVLIATGRHK MRLEVDAGVTLGIVTSLGLACGGLSMLSARTGTPGLLDRLAVWLAFAVVCVGDGILLV LVMGNARR MYCTH_2064829 KKETKTYNTGDSPVVTDLSTNPAISSLTLGERTGSRIFYCLWSY VTVLCKTWVYIRMTVIQHARQDRYMCIS MYCTH_2307403 MENLEHPHLIRSGQAIRVSIPQVRPDALSHHITLACSKRCPGTS RPCMARDIVGAREAP MYCTH_2307404 MLEEDEALRGKYLMLDRVDVHCTWVSRAVLDLLPADLPEEVPGG EIVRTPGMGVFCDNAMDLVTSLWPKPSKERRKKFVASAMRELHKVGLVGMHDAGVVPA DVAMYDEMSRTEDWTLRVYAMLECPERNTFCPDEAVQVQHPDGMLSVRSVKLFADGAL GSWGSAMIEPYSDRPDTSGSLLVNASTLTSLARSWSAAGFQVNIHAIGDLANRLAIDA LEASLKDLCPDSQDLAACQSRHRFRIEHSQIIHPADQQRLHAIGIIPSIQPTHATSDM AYAELRLGEERTRTEAYRMRSLLDISPVLGSDFPVEPPNPLEGVYAAVTRKSPHTGMG PPGYEDGWHVEEALTVEQALDGFTRGVARGAFMDGQAGVIAEGAFADWIVLDEDVMAV EAEEIRRLRVRETWVGGKRVYSREQDYLTGELKEDL MYCTH_2307409 MSSRMDAGLPAQPNLRVTIIAADGLYKRDVFRFPDPFAVVTING EQTRTTQVSKRTLNPYWNESFDFRVNEDSILAVQVFDQKKFKKKDQGFLGVINIRIGD VIELVAGSDDQMLTRDLKKSTDNLVVHGKLIINLSTNLSAPSRVPQPSSNRPALLSPQ ASTISNGDRASERPASAMSGANGTPASGAQQTLPHRPASLSSTQSTTTPQMNGSANTR QPNNASLSPFEDALGRLPAGWERREDNLGRTYYVDHNTRTTSWNRPTGATGAAEARTA EANTQVERQRHQNRTLPEDRTGSNSPTLQAQQQAAQAATATTMMHTGTTTAGTGELPP GWEQRWTPEGRPYFVDHNTRTTTWVDPRRQQYIRMYGGQNANGTIQQQPVSQLGPLPS GWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQNVPQYKRDFRRKLIYFRSQPAMR ILSGQCHIKVRRSHIFEDSFAEISRQSPTDLKKRLMIKFDGEDGLDYGGLSREFFFLL SHEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLNYFKFIGRVVGLAIFHRRFLDAF FIGALYKMILGKNVVLADMEGVDADFHRSLQWMLDNDISGGILEQTFSTEDERFGVVT VEDLIPNGRNIEVTNENKKEYVDLMVKWRIQKRVSEQFEAFMEGFHDLIPQDLIGVFD ERELELLIGGIAEIDVDDWKKHTDYRGYTESDKVIQFFWQTVRSWDGEQKSRLLQFTT GTSRIPVNGFKDLQGSDGPRRFTIEKAGDITNLPKAHTCFNRLDLPPYETLEMLQQKL TMAVEETMGFGQE MYCTH_2307416 MSSPKDEGKPHDETRDNVDGENEEKRVAEMEAEAAKLREMQASL DQARQDLTEDKEDVDNRSIFVGNVDYSTSPEELQAHFQSCGSINRVTILLDKFTGQPK GYAYVEFSEPQLVAQALVLNDSVFKGRNIKVEPKRTNIPGMSRGRGRGGFRGGGRGFY GGRGGYYPRGGYRGGYRGRGRGFAPY MYCTH_2307420 MSIEIEKILAAAPSTTRGQPTQLSCDPKGERIAYASGKSIFLRS IDDPSISKQYTGHTATTTVAKFSPSGFWVASGDVSGKVRVWDAVEAVNTKGEYAIISG RITDIAWDGDSQRVIAVGDGRERFGHCFTADSGNSVGEVSGHSKVVNAVTIRQQRPLR AATVSDDSTMCFLHGAPFKFASKAAGLHKGFVMGAAFSPDGTALVTVGADRRIQLYDG KTGEPTKQIGEGVHTGSIFAVSWAKDSKRFVTASADQTVRVWDVEAGECVQTWRIGEE GSVSVDNQQVGVVWPHGRSDGLIISLSLSGDLNYLKEGSDKPVRVVQGHNKSVTALGA ASGGKGEVVATGSFDGKVCRWDVSTGIGTVVEGQSHSNQITQFAVGAGQTYSIGWDDT LRTIQESTNNFVGTPTKLPSQPKGIAISAKHTIVALSDSIAVYADNQLLSQLPTSYTP SAIAAHGSFVAVGTANNAVEIYTLDSTSGQLTPSHTLTNSTSAISTLAFSPDGAHLAA GNASGKIVVYQTGSGSQPWPVATDRWSAHTARVLSIAWNSAGTHAASGGLDTKVHIWS LEKPGNRLKAANAHKDGVYGVAWVSEHQVVSTGGDAAVKVWEVKGLQ MYCTH_2307423 MVNGVNGVGGVSDGGSSSVSDTVATTQTRELKRRRVNVSDDGVE AHQATGQPSLPGRSCFVTVGATAGFRALLEEVSTAEFLQCLAEHGYTTLEVQCGPDQA VFANRVASLSDDDKHGVAIHSFAYTRDMQAHILNCRGQAGVRPAGCVISHGGTGTVGE VLGIGAPLIVVANPTLMDNHQLELAESLEAQNMAVHGRIRFLAAAIDRIAERIAQGML DALPPYSPPSFPVPAADRITLFDWMVLTCYPDELAAQMHIADLNQAEADFANQRRQQQ QQQQQQQQQDSTINQENNGLLQLD MYCTH_2307426 MASPANFLRLCLRPSRRLPTLRVAALQQPVLRRVLSTTQWRAAA ADDSANKEEAEDEITEVFTNPGQYLSSFLRDEKITDEERQLATRMLEDWQKVPPNMRK SIEKLTEEITDESAPLRRPVMPKRDSFWNAEEADTDLITDEVGEDDFEEDDILAMGHA KLEEHREFREYARIAVWEMPLLSKLAKPFQPPTEEQVLRFRYTTYMGEFHPADRKVVV EFCPKDIPGLSEAQQLKLKKLAGARYNPEKDIIKMSCERFEHQAQNKRYLGDLVNKMI AAAKDPTDMFEDIPLDTRHHTFKQQIKFPKEWYLTEERKKELYEQRKQALLLDERKRS EGSLIDGVETIQQALLRAKVEEPVPVLRGRSTRGRR MYCTH_2307429 MTIPEFQNTALEAIPGIASNVRGAFRTHKTKTLQWRQTQLRKLY WAMEDYQPQILDALKKDLHKSDFEALFTEIDWIKKDCLFMLDHLENFMKDDKLGSPYV PATHSFMKFRVQKDPVGTVLIIGPYNFPIQLTLAPLVGAISAGCTAVIKPSELTPACA MVMKELIESRLDTSAIAVVNGGIPETTALLNEKWDKIFFTGSAAVGTIVAKKAAETLT PVVLELGGKNPAFITKNANLALAAKRLLWGKTMNAGQICLSHNYILIDKDIVPTFITH LNQAYKDMFPNGAKASPDLGRIVNQRHFQRIKKMLDNTNGKIVMGGAMDESENYIEPT AVLVDSVDDSMMQEESFGPVFSIYPVNSLDEALNVANLVHRTPLSLFTFGSKAENKRI LNEMTSGGATINDAFFHASLNTVPFGGVGDSGWGAYRGKASFDAFTHFRTVSETPSWA EKLIAIRYMPFDLSKLKLFNRFTTKKPNFDRNGKVVKGFGYWTAMLCGLGSKSAKGAF MRWLVVLAARYLYVKRSGS MYCTH_2307431 MPITIITPKPARPINSDNSNPRFSQEDASSEESDSDSGGGVDLD GDVPMRPPRPSSVRTTFRAPARRDLASAVVTPGEVITEDPQWMRGHGTYVAPHTTAIV SSVAGTVTRTNKLLSVRPLRARYTPEVGDLVVGRIVEVQAKRWRVDVGSTQLAALPLS AINLPGGILRKRTETDELQIRTFFAEGDLLVAEVQQLFGDGGAVLHTRSLKYGKLRNG VFVAVSGMGGGGGVVRSRRQVWTMDAANGGGQIDVVLGVNGYVWISKHVETPEAEAGK ATGITNMEESVSATVYSSQNDRIEVETMREIARLRGVVTALVENGLRVDEEMVVKGYH EAVEMALVSPEGPDDVYLGGEKGQQLAAALTGA MYCTH_2307432 MNWSRGSCRLLANNASLRPIAVSASTCISPRRSPVLRAHVQYRH ASTRGPRPKTAIFFPEAFPATAIPIVQEIDHIVGYKLSEVIQEGPGRVLSMTPNAQPA IMATSILILRVLEKEFGFKTAERADFTLGHSLGEFAALVSSGYIEFEDSLFMVQKRAE AMVAATKSAEDEYGGEYGMVAVVSEPEYMPSLISAIHEFVGYSSPGSKSESAEDRSPI DQVLIANINSKNQIVLSGNIARIKTLVAHVRQFLGHDPRAVRLKSDSPFHSPIMKPAV SVMRKVLAGNSRVRGREDRDIITWPGAVPCISNVTARPFQSKAELKDLLARQCLETVQ WWDSIKYLDQEEKVRRWVGIGPGKVGRNLVGKEVGMRGKDTVKGGGVWAITDPSEIEE VLRGLEETECIVDEE MYCTH_2119528 MATAIPSIACLGVIGRNNNPLHTSIFPSYDPSTNSFHPVRTPLQ FALILSSTLDIFELRSRQNAAAGVGLTGDFGLLHAVDDRLAAYGFETNTGTRFVAIVD MRGRRIDGAIADARDRRAAGAATGLREGEMRPVFKAMQMAYTRLMQNPFFDPDEHSPP TGHGGKKITSRKFTEEMRRIGEAWTPGVGSL MYCTH_2307437 MGEKTRFQMMMRPRPRHNRRQLRQITLVIGAVLALYCVVFVWNI PGSATRASSRKKPLPPEILNNLSLDEAQCNAYFPGLTKEIDDAVAEGPFQVKQMGDMG PLQGRIKDGQISIIHAQRKSDLSKEMMNSRTASLHQLYRALLTSPSPLPDTIFTLNFQ DQPFGTAWAYSRAADPQFRSKGSNARTFLMPHFSFWAWKLPFIGSMGRAAKAISDVEA EFANGRWHQKIGKAVWRGTTWFNSVYNPRMRQNLVATARGEPWADVEPLEWNGSTGNA SNALPVEEFCRYKYIVHTEGVTYSGRFQFLQMCASVVLTPPIQWMQHVTHLVKPLFSS DLNLKGSKGWTPTENVRRAWPVRYKPQEANIVFVAPDWSDLGATVAWLEENPEIAEGI ARRQRDLFVGGGYFSPAAETCYWRALVRGWAEMARTEGQGWEETEGISFEAFSLTNTV MYCTH_2307440 MNRAATSVTITDPFVKYTSLIATGVYCPDAAQYRLAHHLQKVYA RLKDYAPSYEYRSRLRQIAKVVETTGKDDGDELASPTHPIRRNPLFARFFQSHERKDS LALTRVMTSYQAALHVDSPKGLFLSGEVGTGKSMLLDLLAEGLPTHRKKRWHFNTFML HIFSRLEEFRKSRPREAADSPEHSLLWMAKELVETSPILFLDEFQLPDRAASKIMSNL FVAFFHLGGVLVASSNRMPEELEKATGGYYSPPATGGLVDRVFGLGRSRFRGELFGRS SDFAAFLEVLKARCDFWHMEGTQDWRRRERRASLLAGSTCAAGSDPGIASQLQGTTTN ESSGFAMDRAERETQMPTMYFSPSDGEETWAAALRHATGFDVDHLASADRQWSSATLV VYGRKLVVPRHRDGVSYWDFPELVGTLGPADYITLACNYHTFIIDHVPVLSLSMKNEA RRFITLLDALYESRCKLMIRAEAGPDDLFFPETRTRAAELDAVQVATSEDDGTDATYS ETIAEVFQDQVSPFRPNVSTYSESSNSKYDPDQDSDFGKEQGRRIDFSNTSAFTGEDE RFAYKRATSRLWELCSAQWHARTGQWWQPLPKEARHWEGAEPSKPPPAPLAQTASSEV TMGESIELEEPAGLERFRVAALRRSEQNGHSQDADMAGR MYCTH_2307442 MFSSALQEGGPAKGTRSSRRRQRTSEPSSQQQPKAKRQRVPPAE TSSANPDPQPDMYEVKPDKIDLHATKRDGVETVAPPRKELSVRSKKPKPGERSTKGDG SIVLTTNNAFTVSKLPALPDRLRADAQNRQHGVVDSTTGYALSLTHTHAFVWPYTSTT SSPETFIFTLPYPSKHASDPLPLGSLVTPSTSSDEPGLVIVMPMSGKVAYWESISSAA TLDFIRQQRSGVEDSISGMYSGEHVTQIVNAGSAGFVLVFSSGRLAYLSVRDAHGRPG ITVQFLRSGLGGSALGFFGTIRHALSSAAFRGQIAAARTSPGSKVGERVVVAATSKGR LHSWKIHRGGHHELLSDSDIRDRLVEAIHEADPSVSNFPQDSFEVLDFTLVPRGLEQK YVNASRLSEALTHEEESLQHALLLASFSRQHRSRYSLVEVILTPQGPRIGMVRPVVSY TSSVRSGAPERPRIYLPRPGLIAFLVFDRAVVVASMIAPPDSPDAQLQEDNHILPHSF EDVVDFRDEEKLQVVGSGVEEPSGNGHPQDDLRPHRHRTKNPTVVLLLQGVGTVRIAV SDIDRFASDTPPEVTAKSKLEQAVFFGVKDDNPLVFQGRRDLPFSSQEIGNAAIELSH EIVGSKTPFIANLPASLENNMKSRVVYLDGLIAYLNSLKIDLDIRTRWMLLYNAEKMA VATWIWQKHEQFLAERPKGEKKTLISETAVYINEQQKTELNPAVGQVDPVRHWFINDV WRLDIFVAWAYQIIKYHFTERLADEAGINRLVWEAVTVNNGALFEARQFRLNRARQYG VDPSDIAGGNAIPEPWTATYFITNNLKRLVEFCYQWLDRYSTQTPDDAPIDTRLLDSI RHLLPSLTSQYLTSLAEFSSWAALSDDAQAQERGKTYQQIFQEDVYTKILRLKDFNLW EEAIDLAKEYKAFDSLAEVVVQQILSLEQRAAEPGTTESAAQESLALAKLKKQKMGRM FDEYEESFAFRAYEVLLESSGVQAVLDFPYDRNGYATKFLRTKPELAKISWINDVERE KDIDHAAETLLDLGLTREQQVWNKKIELSLGKLALLAEEAEQAVNGDGLSSAPSENEG RNGVSLEKVENALELIKIQDALYSQILPTIQEGVDESAEVELAVKEHGILIPKRQKAL HHIFDDAMARLLRHEALQPHTLIDLLTLASLPSSHYDTIGDQFYLALKVAQYGLKGEE RADAERLIWRRCFARDDWKRVNETNEKGDLDQLATVGETAAYHTLFAVVDERKSFQLR SPYLLKHSHLLTPSAHDRTFQPGVPPVCQAV MYCTH_2307444 MASSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNSQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSLKTVCMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGKPGTKTANVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTPIKELCAGFPEQFEKYLSYVRGLGFEDEPDYNYLR GLFTEALQAAGLVEDGEYDWMRKQDKKGDWNRQALHDPNSRPGASAMELHGNSRGATT RHQSDPQAPGLTAARLNATQPPLPRQVMGQQPNQGRPNGPGLASPRSPAAGGYMQAAP TPTGSTQAQFQTSTQNLPQRPMTQSPQMNSPAQPQNNTQQPQPTGFQKFMKTLCCG MYCTH_2081821 MRTLLPFLSLAAMARALYFYIDVTKPKCFFEELPKDTLVVGHYT AEEWNDQRRAWEKHDGISIYISVDEIFDNDHRVVSQRGGPSGKFTFTAAEAGDHKICF TPSSNSGRSNWLSAASPNGGIRLTLDMAIGETSALDGGNKEKIQDLATRVKDLNARLN DIRREQVFQREREAEFRDQSESTNARVVRWILIQLTVLGVTCAWQLSHLRSFFIKQKL T MYCTH_52576 MTSHDVRDVLNLPSDQSTAPRPSKKQRTSAPRPNLKGLAREVQN LGGDNPIAIVPDVSFFKKRRFASRKPAARWELRPFINSARNDGGALVLRHWKRKTDHG PPPETGQDGESRPSEEAANGEKKDDQPEDSAFAKFNVRVSVPQYNDDQYQANLQSDDW TKEETDYLLELAREYDLRWPIIWDRYEFAPKPPEGEEADGTSTAVVTAPKPRTMEDLK ARYYEVAAKMMAVQKPAQYMTRPEFELYEMMLNFNPEQERKRKEFALNTMARSKDEAR EEESLLLEIKRILARTERFNEERRELYNRLDYPATDSDINSFKSSAGLQALLQNLMST DKAKKRKSILGPNGEGASPGGAAPGSAASEGGASHNRRESIAAASANRRDSDARAGGA RGSAEPTPTSGAAAAGAGNKKKGGSSAAAAQPERRKLSEQEEKIYGVSYHDRLGSGPT FRYERINKLYSHKSGQQQLRMTNALAELDVPPRLIMPTAAVTSQFEVLWGAVAALVDA RKLSDKLDAEIKLEEAKAAERAKAKEAAKMDGKGADGKGADGKGADGKGSEQADGIKE EPGTEKDEAAAAGAGARPGSSGGAHKRSASVLSAASDKSAKRQKK MYCTH_2307448 MAIQILSDLHLEAPKAYDVFEIEPKAPHLALLGDIGNVVAHKDD FLGFLTRQLQRFRTVMLVPGNHEAYHSSWPETLSVLHAFENDSRARNDSSLGEFVLLD RRVLRLPDSDIVVLGCSLFSYVPPESRMAVSMGMNDFFLTDGWDVDAHNETHRRDLSW LNAQVADLERSGVNKIIMLSHWSPTMDARAIDPKHAGSRVTSAFSTDALQLRLCC MYCTH_2307450 MSTFTALNGGSPKSAEGVNGAADGDRANRQSPSVESRSAGGYYG QRDRERDSRDAQERRGSTNHDRLPFPGAATLPDTEGSHKRKRSLSDSPRRERPPSPPV RAGATERVERGERSEQYAPQSHQSESREERTTPQREEYRGSQREEARESERHWRADRA REDRTSSYETPYSAGPVSAQSEEPASDLARRATSHGDEEHSPDGEDRNLFSGQYTSEQ RRDGIVQSDPKKRKRNFSNRTKTGCLTCRKRKKKCDEKKPECNNCYKGGFVCAGYPPQ RGAWTNKPESKPAQVNIESKDPNYVPPGAYGMPQQPPPYSGSQQPPLGQQPKRDSLPY NRGQPTLRITPPQGRPLQTDDDRLTASTMPSSIISPDNKLSALSGYTTSASANVFPTP VSAAAGSAFSDRTPKEYQRVPPLHDLTRTEPDQQHPPPPPPPPQSTTLTHPPFSSMLH GGRTSTPPAPPSTLSSSQPPSGGVQATAQAALSHTQFPSDRPRRQKEEMLNGRPYYPF DKELVLERERCNAACWRFNNSTNPNLGVSPAERARLFRDILHPREGIQLSPTMVSPVT HAGRVGENATVEAPFNCDYGYNIHIGNNVSIGRNCLINDVCEVSIGNNVIISPNVCIY TGTCSTNPRYRKGNQGTQYGKPVIIEDDVWIAANVVILPGVRIGKGSTVGAGSVVTRV CHSACLPPTCPATPRRLDSGLLTMLA MYCTH_2307451 MNGVVEALHIYDEHNALILSHTYTSRPLAANHLLPLYLEHPVPR PNLIYLPNTNPPTLVFSLRHANLLFLLTTSSEIEPLLVLEFLHRAVDALEEFLGAPLL AHKIEANYDVVAQLLTEMCDAGTISTTEPNALRDLVEVEGFMDKLLGNLNLPTKPPFA NTFTAPTPTLHVQPNTPALPWRRANVRHTSNKLYCDVIETLSVTLAPSGRPLAAFANG TIAFTSEVSGVPDIVMTLTGPGGRHNLGSVIDLPVFHPCVRLARWREQPGVLSFIPPD GRFTLAGYEVDLMPLTGEGAAAYGLGANPLRLPVSLEIKTGLGLTGSEFEVRVQVSRV FGPAGAGPGLRGGGAGVGSGGGGGGGARGFGVGSPQPGTPGSPVLQDLVVSVPLPADV RNLSEIRPTKGDATYNPGDRALEWSISNKELAQGTSPFALRCTVVGHMPDEDDEDSDP TGFGFGSGASAYSYDEPYQASSPVAKTTKAPPTVGAGERDERRAAQNKILMPSSASVS FAVKGWLASGIKVEGIHLDPRKSRGLGESVKPYKGVKYLTVSRGGVEIRC MYCTH_81959 MAKDSVPSVHSLDKPEKLEELLKQDRGDDCLPCRIVGGGAFLGL AAYSYISGQSQLEKQRAKILASGSRFGMRSRSLGITAISLSLAWLGIWRLVK MYCTH_51545 MKFVQSATLAFAATALAAPSRTTPQKPRQASAGCASAVTLDAST NVFQQYTLHPNNFYRAEVEAAAEAISDSALAEKARKVADVGTFLWLDTIENIGRLEPA LEDVPCENIVGLVIYDLPGRDCAAKASNGELKVGELDRYKTEYIDKIAEILKAHSNTA FALVIEPDSLPNLVTNSDLQTCQQSASGYREGVAYALKQLNLPNVVMYIDAGHGGWLG WDANLKPGAQELASVYKSAGSPSQVRGISTNVAGWNAWDQEPGEFSDASDAQYNKCQN EKIYINTFGAELKSAGMPNHAIIDTGRNGVTGLRDEWGDWCNVNGAGFGVRPTANTGD ELADAFVWVKPGGESDGTSDSSAARYDSFCGKPDAFKPSPEAGTWNQAYFEMLLKNAN PSF MYCTH_2065342 LFLKYRHFIRVYINDIIIFSKMEEEYLEHLYTIYKILDKAYIYI SIAKSFIGYPAIRLLRYIVNSKGITKTDNRIAAFKKLKFLDTLDSLEHYLRIAR MYCTH_94694 MLTFSLNFTLVTTTATFNTPTYYININTALVAYILSTLSPTSYT YSSASTYPIPIPIDFSRSYAYHSSSPVNRVARLVYDYDDPTLTTITIILEEEDKEEEV VEYINLVKFIARTLGVKND MYCTH_94693 MAGRKEREKYKSYTEEAWLGSGSGANRALAACLPDELRTSNERS TDLWGVPRTLLGFLILFSFSSYLYSESILDSVSASSSSINDIKSVPKSIFRDIVVLDS TKEYYTIVTELLEVGIPFAISALLLGFNALGIVTLALVVIIFQSVRGILNIKYGGFLY PSFGLKLFRECLLFFGDLKKHLARLKGTNVLRGVRFSLSLNISSSSSH MYCTH_2307459 MQAPWTWTPSRLAVRGTRVSRSLQQCAFSSSSPRAFARCSARSL ASSTQRARHRRATSSLGSPQQPARSQPPLTPTPVPSQTRLASSSASSRWKLRQGSDPF ARAARVQGLKSRAAFKLLELDAKYHLFRRGRGQVVVDLGYAPGSWSQVAVDRTAPNGT VVGIDIIPAQPPRGVSTIQGNFLSPGVRDMVKQFLLDAERKRRAERAAAGKGKKVEEE EEAEREGGDENGVQGGGGAEVVADRPSYIDLERMAAKQSEAESGNDSAPLSSSSTTEE SRDPAKKEDTKPNLRLVDVVLSDMMMNTSGIAFKDHAGSMDLCRAALSFASETLKPGG HFVCKFYQGAEDKAFEMLLKKLFAKVHREKPESSRSESREAFFVALKRKGDVTLEDIE VQ MYCTH_2307462 MAFDKRTNTMHDLDVKYQQTIHECDLVVKDEEARRLKLRSLMLR DEASELRDRLAQKDARIKDLVEQIDDSRRQLDASQEKSRRQDKIMQSQAREINNLKEE LSAFNEVSQNSAKILSEKLALSREVAVLKPELEHLRSQLAHQKDVLAEKLALERQLNT LEVELANEKRAAQKAAQKRNDDNQAEQDLRKRVRELEKELANEKQASETSIRSHESKM SEAERELKSLREQLTSAEANLAAEKRKVGQLTKSQANSASGVQEELEQLRQRLEETQK ALAAEKRAAQLHAKREAPPNDEDAARLREELEQARKELAEQRKTQEKLRKEIEQAQAD AEERQQAAFDKVDRMRNKLRDAREELKKCQAELEKAQERAAKHSSATTTTTVPLKSAG VKANAKKKRSADEMSVDDKVLLTPSNMDDRPKRPLKKRGFDVSKVGGKSEFSITPFLN KTVNVEGSPKLGGDDATPSAPVQFRGAEQATATEATEGAPEETTTEKSAAPEPASTKP VEKRPRGRPRTKPLTDSSPSKQNLTAPTRKAPRAESTLAKVAEEPDEADSSTNQDQEN RSSGSADSEPTTKTATADAVNGVTNGTATSSAPEKTEPKKKKRKLLGTNTSTLFDGGE DEGERVKPIAAVATAAAAGRRPIAGKAGGMNAIGKGPIAAARFGAGIKNAFGGTTFSP LKRERRGVAASFLA MYCTH_2307463 MRLLSVLSLALGGLSLASAVRSKKTSEERFQLYHGKALSSSPIK LGDSSYRELTATPRDYAVAVLLTAMESRYGCQMCREFQPEWELLARSWTSGDRKGESR VVFGTLDFGDGREVFMSLGLQTAPVLFFFPPTTGPHAAASPDAVRYDFTGGAQAAEVV HHWLSRHLPDRPHPPIKRPINWMRWISTFVMLSGALTASYVAWPYVLPIIQSRTVWAA VTLISILLFTSGHMFNHIRNVPYVAGDGRGGISYFAGGFQNQYGLETQIVAALYGILT LSSISLAVKVPRIGDPRYQGIAFVAWFGVMVLVYSLLLSIFRGKNPGYTFSLPPFM MYCTH_2063420 APLPPASEKLGPVDQFRATISQPVVAAFCAGGVAGAVSRTVVSP LERLKILFQVQSAGRDAYKLSVSQGLAKMWREEGWRGFMRGNGTNCIRIVPYSAVQFG SYNFYKRHFFERYPGDSLTPISRLTCGGIAGITSVIFTYPLDIVRTRLSIQSASFAEL GEKPKKLPGMWQTMISMYRTEGGIAALYRGIIPTVAGVAPYVGLNFMTYEFVRQYLTL EGDQNPSAARKLVAGAISGAVAQTCTYPFDVLRRRFQINTMSGMGYQYKGLMDAVRVI VTQEGFRGLYKGIIPNLLKVAPSMASSWLSFELSRDFLLSLKPEEEPLLQ MYCTH_2315693 MSRNGTTLYVTGFSHGTRARDLAYEFERYGRLVRCDIPAPRSAS SRLFAFVEYEDRRDADDAYHEMHNKRIGRDDILKIEWARTPPSASWRFDRSERDRRRS PPRRRSPSPRRRDYSPRKDDRRDRDRDRDRDYDRDRRDTRDRSRSPDRDRDRDLKDDR DRDRDRDDRDRRENGANGDDRKPIDSPDRPAHDDLDVAE MYCTH_2307471 MLMKQHSYAFYNGYLSSLYKQRELLLARIKELERISPISSPSAT EPPVSDIHTAHLAKVPSARERRQSLPAENILDAEKIVAAIDSGEPLDQEQVRVFEEVL KWEVDALSDELRGKSTEPGREYPNNLTLRNHYEYIVLPTVVYELQYPRSGSINWYYAA EKAAACFGIIFVMIMISQAFIYPVVMDTVRMKEEGVPLAGRFRQFPWMLLDLIFPFMM EYLLTWYLIWETILNFLAELTYFADRGFYGAWWNCVSWDQFARDWNRPVHNFLLRHVY HSSISAMKVDKHTATLITFFLSACVHELIMWCIFKKLRGYLLFLQMCQLPLVRLSRTK WLRNRATLGNVIFWLGIFTGPSILCSLYLIL MYCTH_2307473 MAAADSDKRLSLIKENLAEVLNEEIIKKILDEGQHPKIYWGTAT TGRPHCGYFVPAIKIAQFLAAGCDVTILLADIHGFLDNLKAPLELVMHRAEFYRHIIT AMLKAVGVSTEKLRVVLGSSYQKSPEYVMDVYKMASIISEHDAKKAGAEVVKQTDNAP LSGLLYPILQVLDEQYLDCDAQFGGMDQRKLFTAAKEWLPKLGYRERAHLLNPMVPGL HGGKMSSSDPDSKIDLLDPPETVAKKIKKAHAAPQVVEDNGLIAFIEFVLLPAARLRG NGEFRIERERDGLEPLVYTDIEKLREDYKNDVLTPQLLKPAITKDLNSLLAPIQEAFQ ASKEWQEIAEKAYPPPPKKEKKVKNKGTRYPGAGKDQAQAEAKEKELPVRSGEEAPN MYCTH_2307475 MNVLKLQRKFPQFQQNDIFSLADAFRKLDVDDKGYIDEATAIKA TQASERKPYDVVRQALKEVELDSSRRVELEDYIGLIAKLRESSAGPQGGSAAAVSSPA AVISQRSGGGHASKGSIGGGGSGKIFVQGSNANITHTINEDERTEFTRHINAVLAGDP DIGHRLPFPTDTFEMFDECKDGLVLAKLINDSVPDTIDERVLNVPGKKSKTLNAFQMT ENNNIVIESAKGIGCSVVNIGSGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELY RLLEEDETLEQFLRLPPEQILLRWFNYHLKAANWPKRVQNFSNDVKDGENYTVLLAQI GHEYGCTRAPLQTRDLLQRAEEVLQNADRLGCRKFLTPSSLVAGNPKLNLAFVANLFN THPALEPITEEDKIEVEDFDAEGEREARVFTLWLNSLDVQPAVQSFFDDLCDGTILMQ AYDKVIKGSVNWRCVNKRPANGGEMSRFKMVENTNYAIELGKQNGFSLVGIQGADITD GQRKLTLGLVWQLMRKDITLTLQGLAQRLGKREITDAEMVRWANDMSRRGGRNSSIRS FKDPVIGTGVFLLDVLNGMKSSYVDYDLVTEGRTDEEAYLNAKLSISIARKMGATIWL VPEDICQVRARLVTTFIGSLMATYEKMQ MYCTH_2307479 MTETCKACHDPLIISLDPEDLDNGENQPQTVPDDLLLPCGCHFH WQCLLDESSTIVSTLSCPSCNTYLSSNAAGASSSSSSSAPAPADPVILTHYTNEGGVD PSLDIYPSLAEEAYLTAHPEARPARAFHTLVSEGEVQGMVELLADVDGNEEAPLSAAQ LLAWRDPLNGGRSALHVALEARQEEAVWLLLWLGSRARREDFPEAVVQVAAANGLPRR LEGVEDGEDVRFVKDERGRTAGDVCLELGEPWTKLVEGGLFS MYCTH_2307480 MAPGSAEKKAEKSYLASAVDSINPWAGSRSAAPTPKDPQPAVST SNSTDHVTNPFYGQSIGRYPPDCPPLKVRWFHAVDIPKRKPKFMLSKTSGETKPTPPK KFVPFSIEDSRAIEAAYQGKLQELEEEREKSKGNGSSGAVTQRPRAISTEGTQSGDSS ASATTVPVNEDFLFDVHLENRELTPVYWEGPVYDVRRGSWFYQEGSALRPCEENLAAQ LEEGYLKIKPWQYPLRSRSNSASKTNPQKASAGSLNAASETQGDASKKEPPAPQHQPQ TYRLFGNYMNSVVTYQDSNTAWLSSDGVLSWVTSTVYERFAGGGYMSGVKLVRGYTEP KKSKEEKRPSTPEGSRSTSKERDGKLSGAFKRRSEPPPTRGDGTKEDVHDDLESTRNR LTRQLSNLIERPDDPEAEEEAIREREEKEISDDYNTRVDDNQGRKIEHLVLVTHGIGQ LLSRRMESIHFVHDVNTLRKTMKSVYSASADLRALNSEIDEPGLGNSRVQVLPVIWRH LLDFPKRKPKRGERDLGEVINEEDDYPSLEDITIEGVAFARSLISDLALDVLLYQSAY REQIAEIVLRESNRIYRLFKQRNPDFNGKVHIVGHSLGSAIMFDILCRQKERARVTES PRNPLRIWPASPDRYESKEQKELAFDFDVEDFYCLGSPIGLFQMLKGRTISARNLPNA LPSESPLNPDYMDDPFLDPPSQPYPNQQVSPITGLPFNVSSPKVGQLFNIFHPSDPIS YRLEPLISPIMTTLRPQNLPYTKKSIFNSVAPQSLTGIGAKVGQSVSGLWNSLSAGIA SNLLNRSLGLTNEDVAKITASQQQQHPQSPGAGTNISAGVIPNSRALTDAERSEKTAE RMRQLANGAASGDGAGTLIDDELETLFSRFQKSRANLASKDGKQDSASGKGQENAAAA TLSREKWLEEERKAQKLKREEAKVRALNRNGRVDYCIQESVLDFNPINTIASHVSYWA DEDVSHFMLSQMLAGKGQTPKK MYCTH_2307483 MAVETRTRRRKEQLVDQLPKRFKALKFGIQSHQDIVSQGVLEVS DNLLYDVENRRTAFPHGPLDPRLGTSSTNRESKCSTCHLGLQECPGHFGHVRLPLPVF HIGYLKYIQATLQNICKDCARVLLQEPERRQFLKELRRPGIDNLRRSAILKKINEQCR KARTCPHCGSIQGVIRKLSVMKLVHDKFSAYNKSTAQKKIPPESKVEFDASFEQAKKY TPELEKHTKKAMEDLNPLRVLNLFKQISPTDCELLGLDPSEGRPEMFLWQYVPAPPIC IRPSVAQENASNEDDITSKLSEIILYAGHLRESLKKGVALPVIMEQWEFLQLQVGMYV NSDVPGLYQPGFGKPIRGFCQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLSIEQV AVPQLVAKNLTYPERVNHANIEKLRERVINGPNIWPGAQGIIKKDGAKYNLKIGTESL RQKHASSLEFGDVVERHLEDNDIVLFNRQPSLHKLSIMSHLVKVRPWRTFRLNECVCT PYNADFDGDEMNLHVPQTEEARAEAINLMGVKNNLITPKNGEPIIAATQDFITAAYLL SSKDRFFDRTSFTYICTQMLLGDTHLELPPPAILKPRALWTGKQIFNVLMRPNKESPV LVNLDAKNKVYKPKKDGQIPDMDIDDSFLVVRNSEVMCGRMDKSTVGGGKKNSVFYVI LRDFGPDHAAAAMNRLAKLCARTLTLRGFSIGVGDVWPSTSLTKHKARLVEEAYKKCD DLIETYRQGKLEKAPGCNLEETLENSISGILSKVRQQAGDYCVNNLSNNNAPLIMAKS GSKGSDINVAQMVACVGQQIIGGKRVPDGFQDRSLPHFHKNARQPPSKGFVRNSFYTG LVPTEFLFHAISGREGLVDTAVKTAETGYMSRRLMKSLEDLSTQYDDTVRTSEGGIVQ FQYGADRLDPVDMEGDAKPVDFARTWNHAQSITWSNSDTSLLPREIHALCKTILDEER KKYVRRHLVTNEEIHYDDDRIAKSMDHDTLIAIDEHESARVYLNTIHDFVKERAMKLA KARKAVGLDPCLPDDKSMQEALEKWQPVTKDSSSMDVDPPNKPSKPFEYVLKDLRRKL DEENELDEASRQARIMAAAQAHVDRVAKVSERTLRQFIQMCLHKYKKARVEPGHAVGA VGAQSIGEPGTQMTLKTFHFAGVAGMSITQGVPRIKEIINASKLISTPVITCELENKV DLKAARVVKGRIEKTYISDVISYIDDEWLPDVAKIVLQVDLQALSDMQLGITMKDIAD AIVRAKKLKLKVEPDDLRIGGDRVEVIIRNTWQDATAARKAARVRAAAIEKGHFISST LDESAADFQLRVNFLKRMLPQVAISGYPEASRAIIQTSEKNEHKVLVEGYGLRACMTT EGVIGTKCVTNSVMECRDVLGIEAARATIATEIGSVMREMNIDPRHMELLADVMTYKG EILGITRFGLAKMRDSVLQLASFEKTPDHLFDAAAGMKKDRIQGVSECIIMGQSMSIG TGAFQVVRRLGIRDHQVAPKPTLFEDAWKKDESIRRKMRLEKRRSGMGGMEQGGMVAV AAG MYCTH_2307484 MCGRYALALRPSQIRELLEDDDMPVDDAPADEGDGAPRQSYNFA PGYHGVVYRADVPDRGAGTGSSRTAHADHSGVDADADADAAADDKLQTQTGEEEEDGR QAPTKPHYKLQSMKWGLVPFWTKRNPGYPSLLKTINCRDDSLATPGGMWASMKARKRC VVVAQGFYEWLKTGPREKVPHFVKRKDGRLMLFAGLWDCVRYEGEEQGLYTYTVVTTD TNEQLRFLHDRMPVILEPRSDALWRWLDPGRSEWSKELQAVLRPFEGELEVYPVSKEV GKVGNDSPSFVIPLASKENKANIANFFAKGKGTAASAEKGKKKVKAEVEVKKEEEEKV GRDDEVTETTKTVEELQQTADGGGMGTPRKGVKREAESPPVTSKGEPPVKKALFAKQE SPVKEKAQTRPKISATSNAARSPVKSKTRAADGSQKITKFFRK MYCTH_2065691 MRGQGLYYTDIHTSIRFYGHGEPGGYLFGMVIPRRPTTDFVAQL VAPLNHSDGWGGVSLGDSMTGPLLLVTWANGSNVMTAARM MYCTH_94678 MCKGIIGHCQCLRCIDHPAPGKIQRVDFCEKKKHELVGITSSLT AAECRFYLAPCENLTLVRIRDPDACHFKGGENAVGGLEIKFTPINAAVSIAKAALSAL AENEANHAHGAAAAVDDGGDNMDLDRASFGAGKHEADIVGRDSGEMDINPGKTSQHAQ NTDNNMDVDSPLGASKADKQTPAGFPLNAETPVKPTSTSTVASADNTGTDAGTLARTR PFKTPSGRTPLPTSRPPLPLALQKTTGVPGSPAVTTAERAGEDEGARWTHQETVKLLL LRCKEVAFERMVEFIPGRDAAACMDRLAQIAVRHGYEAYI MYCTH_81925 MKLLSRVGATALAATLSLQQCAAQMTEGTYTDEATGIQFKTWTA SEGAPFTFGLTLPADALEKDATEYIGLLRCQITDPASPSWCGISHGQSGQMTQALLLV AWASEDTVYTSFRYATGYTLPGLYTGDAKLTQISSSVSEDSFEVLFRCENCFSWDQDG TKGNVSTSNGNLVLGRAAAKDGVTGPTCPDTAEFGFHDNGFGQWGAVLEGATSDSYEE WAKLATTTPETTCDGTGPGDKECVPAPEDTYDYIVVGAGAGGITVADKLSEAGHKVLL IEKGPPSTGLWNGTMKPEWLESTDLTRFDVPGLCNQIWVDSAGIACTDTDQMAGCVLG GGTAVNAGLWWKPHPADWDENFPEGWKSSDLADATERVFKRIPGTSHPSQDGKLYRQE GFEVISKGLANAGWKEISANEAPSEKNHTYAHTEFMFSGGERGGPLATYLASAAERSN FNLWLNTAVRRAVRSGSKVTGVELECLTDGGFSGTVNLNEGGGVIFSAGAFGSAKLLL RSGIGPEDQLEIVASSKDGETFTPKDEWINLPVGHNLIDHLNTDLIITHPDVVFYDFY AAWDEPITEDKEAYLNSRSGILAQAAPNIGPMMWDQVTPSDGITRQFQWTCRVEGDSS KTNSTHAMTLSQYLGRGVVSRGRMGITSGLSTTVAEHPYLHNNGDLEAVIQGIQNVVD ALSQVADLEWVLPPPDGTVADYVNSLIVSPANRRANHWMGTAKLGTDDGRSGGTSVVD LDTKVYGTDNLFVVDASVFPGMSTGNPSAMIVIVAEQAAQRILALRS MYCTH_116175 MKLATLLAALTLGVADLSVGSRKFGVYEHIRKNTNYNSPVTDLS DTNLRCNVGGGSGTSTTVLDVKAGDSFTFFSDVAVYHQGPISLCVDRTSMYMSKTPGS AQDYDGSGDCFKIYDWGPTFNGGQASWPTRNSYEYSILKCIRDGEYLLRIQSLAIHNP GALPQFYISCAQVNVTGGGTIYFNFHSYIVPGPAVFKC MYCTH_2111512 MGTKVSKISCSCTISCHPHGAVHHHRNEVGPCPRCGHLSPLRPA AESRASAASTSTEYLARPRYYSSPSLDLTCPVPRQRTARRRRRPTPDEYPDSDNSSTP TVSVNSPPPSRPPSAGESTAVHYGRPTARAARRSPRSLDQDSPSVAVGAVGTGRGSRL DHNGHGHENRNGTWNGYENDGNLMDPETGEDGRWAGDNDGDRDATAGSPDSHDALPQP PTPSNISRSSTVV MYCTH_2020046 AATAAVVVIPGGAEPKPYTGPAAVASEDDYFRGSAPYEFFNNSA ELLLMSLSPTAANLSAATGLQPSADSFVRGAIEAWGEHLHLVVRPDEVWLTILVQVNF CMISHAEEVCSLFVDREGREEIYIKDLTWYDVLLRFKDEIQKRVKKDWLLDWIVPDYS TATESDVMTANVLMMGLTQAYFKYVGKQVCGIPSVTLLGERADWDKLLAQLDRRPPLR PSNARDLPSVLRLDYVDYPVLDLSFAPVGYARVPFIVKDFGGRDKFPAYVAAGALGKQ ITQGAPPGYEEALTRLGDPAEAAAEHATLRPLSGWMLYGAVEHNVTQPALVLEDGEMT DILFNAKRYKTEETCGVLGEP MYCTH_2063376 LFLKYYYFIRVYIDSIIIFSKTEEEYLEYPYTVYKILNKAYIYI SATKSFIGYLAMRLLRYIVNGKGIAKTNDYIAIFKKLKFLDTLNSLEHYLRIVR MYCTH_52713 MAPNVLSLATAALALASAAQAQCGSGSPHATVTGSGNSYTARVG SNQVYSGSDYREAIQKALDSISSGQRVAVLASGSIGASTITITSGKTFEGCGTIDVGN RAGRGAIESTDASDVKIPYLSMTGNPYFGLRFSGMRGLTLGNITMNLSGGLGIRFDRD RAPSSDVSMDVITVTGAGSHAVETWNIDRLTINKVIARNVGESGLLLQTTTNARVGLV DGDNVGTGTGYATFRMANNNGQKADGSYGTNVFVDRVVSRGGGRGVFCVSQSGAVEIG SVDLANNGNNAILIENCYNVNIKGGRVNGGGEVRISARSEFPNTRDVSITLQVDNTSV RESPCGENIRWSITGNAQQNIC MYCTH_2128358 MAGNALGQLPILPRIHVRLCFPQGYIDLAQVAAVHVEVPLALVE DMTNQEFHGNETLTELQDKTGPAMFHIHDTVVAALSARTDSRWMPDFTPFKLLRVKDT WQHLGIGFTIEQHSTAVRKDLVVDVICPSDLTPDRTMYCDVLPFKPPRLSIE MYCTH_2307497 MVASRTSREVILDTCCRMEGRVVGVRVRVIGDGLYAKSKPHYTY LLDEESFAPHWTAIETITFATKLTGPSTGTAQV MYCTH_2307498 MSVGWILVSFFTLLVAVSMAEIVSAIPTSGGPYFWAAMLAPPRW SPFAAWLTGWYNLLGQVAVTTGISFGLAGLIPTAVTVKNPDFTPTPRVTIGIYAAVLL SHALINTLGVRALKYLNNVSIVLHSAGITALCIAVLAKAPAHQSASFVFGRFHDGTAA VEGAEGAEGWSVRASSVYVAVCGALLSQYTLTGFDASAHLSEETKKASWSAPIGVISS VGFSALFGFFVLMALLFSIQDFAAVLDSRYGQPVLQIFVDVAGEDGALALFTLIMVCV WHCGLFSMTSNSRMMFAFARDGGIHPFFSKVDDRFRSPTRAVWLAATLSFILALPSLG SEVAFSAATSIATIGLYISYGLPVLIGLICHKSFVAMKGPFNLGVLSRPVAAATCLWI GFITVVFCLPTANPVTSQTFNYTAVAVGIVGAFAVGLWVFWAHRWFTGPSAEVSEALR LGVDITEPGALEARAKEDA MYCTH_2307506 MQFVRHLGHGGNGVAAAFRFPDPGPNGERRYLVAKSNHTVNGDI YISWERGHTMRFRNAMHIVQLEQPAPLVNRRRSERIRARTPGHPDGSWPNHIADVNNI LFLEYLPRGSLHKALCTMQSRQMVVPNRALWHMFHCRKLMSSTIN MYCTH_2307508 MLIPAHLQNGELMLYVRRRAKLSYYTPEQFTNEWDYVYANHTPW SMPTQPDIAGNLGWRNNLFQIGLVMLSLITNCYPPLPPYPARVYVPPLEVAHLDDDDE DRQGNPPADAAQYVRTRAGGDAPLPPHGWDEDRYRMSANPAVRARWAEHAANDDDRLR YDDNSGGRSRIPRDWVRVWSWGGFILDDAVPAYRRIDRELRVLVAWCLCDRPIYRPRL RRLEWEVRGWIRRNWGDDEDRGDFDDDYDFGDDGGGGGGGGGCGGDCGSPGGEGPPPG GWGDSDFDSGSSNSDIWRLRYPSFRRRRGGAGQPCPHNPAPNNNDGGGGNGGGGDGDG GDGGNGGDGGDGGGGSGNAGGNGGGNGGGRGRGSGGNGDDDGDGDGDDDDDEDNSDGD HDDDDGDDDSESEDEDNCDEAMKEWVTECFDRPNPEWVD MYCTH_2128361 MNGVVNTEKDELGGVQGSEPPGPQPNPVNWKAGTITAVRVMLAG WWMLRAKSKQPSS MYCTH_2111519 MVEKVLVALWYLFWTVLKAVHLQVRDTLPWPFQRRPPQASAAPW PIPWFDVSQTGQPRPSDLPLWSSATASFPGGPAQELPEDFVSDVPPQSFTSEQSVWDL GQDLDCELFDLSNLTPDSSSPSLGIEPSSDSPYFSQSSSLSGLLSSPRPNPISSQAAS LSGSISASPHVTGSSNESSNLCRGCGKTFDSREKLKRHARWHTKNYQCPYDGCGARFS TRSELERHKKNLGHGGGKDERCPRCDKAFTRKYNMQRHYRAAHEPGEFVNGRV MYCTH_2307512 MQTQPPKYVSPPGTWKTKLGLRAASIVFLIVLSGLAGSLAADSR LDGATLLIVILGPAAVVTFIWDIAESICIWKRGGHRGIHPGAVVAIDLLAWLGWGLID LLVIPYSIILTSDYYVANHWSDDGYGYDEPEADPEYEAVLRDVHGKGRAIASFAVLTT WVSMLLDSLRA MYCTH_2307514 MRFLAFHFLLLLGGIRRVSGLALIWGRQHHSNATSSFDKRQQTV LVTKLSTIYYSGDSSKPRTAEPGFDIRIDLLHGLFGFCPTTVIAATDCGLGGACIDSF ACSKGCGLTGDPVTTWTW MYCTH_2307515 MNPDATDLPRMECPKLDSSRYKQLQETGGDQGIRYFFALDLRQC LAILPRLLGSVVEAIRFLGPEKCALSIVEGNSDDGTSEVLAALRPELEALGLLAYHFN TSPINPKKGNRIQALATLRNLALQPLLDRYYTNPKQSSPPPPPTPPPTVIFLNDVAIC PEDILELAHQRRALGADMVCAMDWTYVGQDPTFYDVWVARGLTGDSFFEIPPDGSWDL AWNLFWNDPGARARLAARRPFQVFSCWNGAAAFSAAPLVDDQSSVRFRAPREGECFQG EPQLFCKDLWFHGYRKIAVVPAVNLEYSDDAGRRIKEAKGYASTWVGAPGWEDDSIEW RNDPPEGVKCIPAYDKQFFEAWNKTQPGT MYCTH_2307517 MARVMLGNSPDAFEGSFVKGYEKGFESGWALGFEHGRKEGRREV VVQKNKGTDNPYAEIAEVHRCCCKS MYCTH_2064976 MQSVTQHGAASGAAGRPNLPTPPPHVAAALPDPHTILKHPSEEE YFSQIAPEAVEHAPLPSFDPGVFNNELLKLRLYPTLDPKDPTKVKEPEGNIVEGTYMG TQLALAEAARRTHTRFRYASLFDVLGVEPSLPRLMSLEEQRKLYQYSAYPKNADGTPA PYPPHLEHIPSDQDYSLFAIFNKIGLIETQVILQKITPDEDGFRGRTKEWILEKLRAV AYGGEPEKGINIQDVVDYNRHHRKCGTDLARGGNIGLLDDWYSDRRFADQQFTGTNPT TITRASPSWIAGFKKAAEIGGYGKWVSALSKADPASLFVQDGSYLRKAIGVSDPEAVV QYKPPGADDNWAVGAVSLFQLHEDGKLHPIAICIDYKGSMEKSVTIFNRRMAPSDPSN GEKEDWPWRCAKTSAQVTDWMRHELAVHLTLSHFVEEAIIVATNRTIPMTHAVYKILS PHWYKTLSLNAGARVTLIPQIISDLVGISLDQCYSYIRHAYDTYDFVKSYVPNDLDRR GFPNTPEGLEHPRYKNYPYAKNILALWCTLRTYVKSKLLLSFPTDASVAADKPIQDWV REVKTAASIPSFPEIKTIDQLVDAVTMCIHIASPFHSAVNYLQNFYQAFVVAKPPSLC RPPPRTLEQLRAYKEPDLVAALPINRQRQWLLAAQVPWLLSFRVEADRSLLNYAASQW NVYKYKTSPAEQAVREASRKLYGDLQALQKKFFYNSAAMDKGSIPYMVLDPGFTAVSI LI MYCTH_2128368 MTNIVGDLAVAGAKALPNWPRTFSKAIYDALSMAKNVGQKVKTT GLQVRDDGIITTAINTSSRALSSRVADAALRFATDVFAAAGDTAIVDLILEVIGHSLE ALTQSLSAAALIVRGIFTNDTNETTLGFILDHLSDLFQFILDMIQPENVIPRFATAGG TIEKDGGEFPGRDNPYNELDLRRDANRHAVVYQVQRVLLTLAAILKQGREGVPSNGLE GLEMNITLRSDFKDGAPPPPTHVSKLEGSSTYYPNEKWLFINGIANELVWFRLSCDKI RDTFKREVKGIYNRSDGILWDLIECAGERSAAETNANIERTRSSKAAQAILEQELRDA LWPADGSVPDKVVMIAHSQGCMILRLALQTLVQEISKDSNRTREMRERLRVFTFGNPS IDWRVVVDGTEDSLSNYAMITEHFAHQTDFVAVLGVVGHRDDRDSGYDKDSVFYTKKG KGHLFGAHYPLGADAYENGMEAKLFEAVNGNEIA MYCTH_2307519 MPRHRIGAILLLAVVAVILCLRTPEWPTVLNKPGREILNSTLGF QHIFAINLPSRTDRRDALVLAAALSGLDITWANGVPGEDVHDRVLPGDPSISRELSSG KRGSWRAHMNVLQRVVDENITSALILEDDADWDVRLKSQMQVFAQAARAFTQPSWNGR SVAEQLRDPPGQELPLNQLPQRTRRPRLTPYGDDWDVLWLGHCGTGFPEPTRGAPTSS FRVTIRNDDTVPPPQHLRPHPFALQDALSETYPPHTRVVHAASRTVCTQAYAVSQQGA RKLLWQFGLQTLTTGWDLMLRDWCDGLYDSSDTDADADAGPEVSDDDRPRAPLCVTAQ PPLFSHHYGRGAASDITAPGGGFVNKKKEMTPYVRRSVRLNMERLVNGQEAVEQWKIE GESSSA MYCTH_2307521 MRRSPAMKAPITTTAHGGRSKPGLPRLTASGGFLRRCLSLSSTV VDKARGCLVVDTVKSS MYCTH_2307522 MDNAYMPPPLSPRRPGSPARPSSPPVEYESPRRPPPDRPNAQTE APASSSGHQRANSHESVSWLDPIDESDRSSVTSVHSRSSSRVVRKHIRAPSGATEAEF DAALDDAIEAAYDDGYEPESHYPGHSYHDAQVDPIADKLRRVEMARELVRESEREALE LATEREQRLRLQQQLEDEEYRKRVTAGEDFYDGNDSEEEERLLEEAPRTDGMDDFAFG VQQRPPVPRESDSSGMTGRTWHSSMGSNPATGATLTPVSEDGTHPHRSGPLPPLPPQA AAQVPPQPGSAGSQSSGQSVRNWRLSGQNPKGLKIETNKLAATAAPATAGPTFPSQPK TGSYIVQQRQALSAGPNRALGPPTSRPGPSPVPGTLDEEPEDAPPRPTALSHDEYPRV GTPSVVRAPNLRKNYSSSSLKSLKTRNLSISHLDEGMSDHSPGTPLSSQFGARLPAVP SLPAGILSNHLKDRANSTTPGGLHLFENDFHSSERPGSPDPLTADAPAPLEPCPTDVM LRPFWLMRALYQTLCHPRGGYLSNRLFVPRDVWRVKGVKLKAVEDKIANCDLLTAALQ KLARVDTCDADAVLEEMQALEGVLEHVQATLSRKLGSEVGVQGASSMFKDAAAAAAAA AAGDAAAAGGDAAAMPRSASVAGKASSFSWRRLRSKNSSANLPALAASGYGNKGGNGA AASTTNLSEGLGKDATLASLPMTTHPTSRPTKRDINSVSFTGPNANYMSSLARLFDAA QTVDQIARQVEDPGLRHADKTQVGLELCARHAAEFFAFYICRFALSDLTLLMDKFVKR GSEWVLA MYCTH_2111528 MAAACASGAASRPGIWSTSARRRTRKTSLAWSHSHSPVKDGPEQ RRAAELEKRIAAIPIERYRNFCIVAHIDHGKSTLSDRLLEHTGTISPSDANKQILAAC SLHHGEQDKLDVERERGITVKAQTCTMIYRSPDDGLDYLLHLVDTPGHVDFRAEVTRS YASCGGALLLVDASQGVQAQTVANFYLAFAQGLALVPVVNKIDLPTADVQRALEQLES VFELDTSIAVRVSAKTGQGVGEILPAVIQHVPAPVGDTAKPLRMLLVDSWYDTFKGVV LLVRLFDGTVRAGDKLVSFATGNQYVVGEVGIQYPNPVPQKVLRAGQVGYVFFNPGMK RIQDAKIGDTFTTVGCENAVEPYPGFEEPKPMVFVAAFPTDQGDYQKLADSIGQLVLN DRSVTLQKDFSEALGAGWRLGFLGSLHCSVFQDRLRQEHGADIIITEPAVPVKIVWST GGPETIITNPAEFPENEDVRMRKATTYEPYVLATITLPDEYVGRVIEICENARGIQKS LEFFNATQVILKYEVPMASLVDDLFGKLKGATKGYATLDYEDAGWRESQLVKMNLLVN KKPVDAIARVVHVSQVERLGRQWVTKFKEHVERQMFEIVIQAAAGKRIVARETIKPFR KDVLAKLHASDITRRKKLLEKQKAGRKRLRAVGNVVIDQSAFQKFLAK MYCTH_52904 MKANLLVLAPLAVSAAPALEHRQATESIDALIKAKGKLYFGTCT DQGRLTSGKNADIIRANFGQVTPENSMKWQSIEPSRGQFTWGQADYLVDWATQNNKTI RGHTLVWHSQLAGYVQQIGDRNTLTQTIQDHIAAVMGRYKGKIYAWDVINEMFNEDGS LRSSVFSNVLGEDFVGIAFKAAREADPDTKLYINDYNLDSPNYAKLTNGMVAHVKKWL AAGIPIDGIGTQGHLQSGQGSGLAQAIKALAQAGVEEVAVTELDIQNQNTNDYTAVVQ GCLDEPKCVGITVWGVRDPDSWRPQGNPLLFDSNFNPKANYNAIVQLLKQ MYCTH_2307527 MMRSPGATLHCSGWRRYAIAVVLAITTAVVLNTYFDISSIVPWR PRLPTLQTFPVAPLTGACDGIPPPKSDAHDDAADAAADAAAAPIPIPNLVHYVWLLAD PSVLSFDFKVFVSVYSAHLYFRPDRIYFHTDAAPDLWERAKTSGDPWTRRVLNIPGVT PNFVENPRSTSGGVEIDTFGAKSDFLRADALRRHGGIYLDVDAVPLRDVAPLRRAGFA NVVGGAVALRTKHAGFVNTGVWLARPHSTLAEVFFRAMDAFYNGVWAVSVDILTDLAY RLHAIPGEVLIVHPRAFAPTSWELEDQERLFRPHGWSAGQRSLSAAGDGGDDDGSAGV RLPGKEGLGNTCADALAWLAKREERSDGAGPEGWEMDFSSTYVLHAFDDYADQVRGWD GKITLKYVLARQSNYARAVYPAIWHALEAGIIPKEETQ MYCTH_2315699 MKAIQIIGPKSEPTVSLNGSHPLPTPDSSGSELLIRVHSAGLTA DELTWPELWSPVHNPTHVPGFEVSGVVAELPPAYTGPLAVRDEVYAMLHLDRGKGQAE YVYARPAEVACKPRSLSSAQAAALPIPALTAWEALFKRAWPSGPSSSSSSSSSPLRVL VTGASGAVGSMVVQLAKNSKVMGKEVQVVALASAAKHGYLRELGADETVDYHHDDNDT DGWERKVGIKSVDAVFDAAGGEVLARAWATVKDDGVVVTVADPPPAWATDKGPGAVPK ELEGRPGVRYIYFIVSPDGETLSKIAGLIDEGTVKPLPVVEFPVDKAVEAWDFARQRG RQGKVVVNFVPAA MYCTH_2111533 MGMLIPYTVRGPCPMINTLANHEILPRDGRGITKDVVIRAMKQG LDFDLALAVIMFDQALIRAETERLPTELGWRVRDEVVRVEAILRISDMIGEATSLLTR DPGGGPAANVTRRTPLRRGFHASVRLEE MYCTH_2128378 MVRRSARIETKLTPKLWSREEDDDDYERPELRTPEYSQRENIHR SPFGPRIEDKLRILGLRSTRRADLEQTDRSRFFSRLKHLSGDLEASRRKEEERRIDSD VELRSLEVLDEDNDGPVFYSFGDLASREDEYGYLNGENASDDDSTVSPEEEHFEGSPS PVQQQVSPPGSNQRGPAAYHVIKSLYAGDGYEGGHHSAKLTAVLSDRAVISQSMFRWI HFARSSMDIDDFSAQVALIPGLSSAETAGLRSMIASIKRDNVLKVQVSDGNLAKSVKG LTPSPARPSLFRDKTLGEYFINLEHQILHKTSLGDGSAYRATEAMERSAVHKLLESER QRLYETYSPKQQRDFNRRVALFLLADAVFNFFFPQDMLGITTSGKFWGAVARLVMPES SETPEANATLSDSKKSNVAEVPRPSWRSNLDLLESFLGALREDIFAFTEIFASIEEPD REYIKTPPTLTNAWVHIILALATFPHNQERSLQLADRARSEVNDGMGEIIAARSEPLD VCNLVMLPSDMVALMCLKLTDNPTPNMPHIFSVYGSYLRMIESEIDTAPDRKIEIKLR LLRQELGAIRSVVVVTANDVHFIATKRSEPTSWFSVRLPADGTMESMAEGILGPDRYG NPNPCGFSYILLRDCLSELRAMVYELSRTTEAVERLSTVNRERIGETKDRQERAIYAF TMVTVVFLPLSAVASIFGMNSSDIRDMELGQWAYWATALPVTAAVMVLGLLVTGDLEV AHRWFEQRISRPRGKVTWETDSGEK MYCTH_94652 MHSSRLSNDITRKSFWAQRLQTVEAAPDAPLFFDKLDGTPSTIK PSTVGLDGYARTKAVMAVDASNLQEAASMHSLSEDTLVLTAWAILLRAYAGEDGPVSF GVCLDREQAAWLSTMAMTGDDGLLSVMRAAEQDMKLTMSHTLPFHSLGAFAETTGFGT IVSAVYVHSWKSRFPEMHLPPGISTNVIFFPYSQSMATAFVNIAHGTMVHLYLSFRKD AMSPERAQSLIDNYAHVINVLTLKLRSVGRFDADDLFVKTIDTVSRNDYNRIVEFSAP LPLRLDDCVHDLILAKCAKPENANRVAVAAWDGSFTYAELARQAFRLAGVIASKAHQL NARADGRQLFVPFFLSKSKWTPVAILATLAAGGACVPLEPSHPAARRNDILGQLQAPI VLTNKSLHQTLAKSLDQQTPVRHLICVDGDEKPSASVPLPYVQPDHLCYVIFTSGSTG SPKGVEWQHSTLATSVWEHGREFYMNESTRVLQYASHVFDVSVVELVTPLVHGGCIVI PSDDHRLEPKKLAKFMESMKVNTALFAASFARILDPALVPSLRTLILGGEAIGQDNIE KWTPVLDRFIIGYGSAETCINCAKNEFSVQTKAKKPWKESLGHAIGGRMLIADRFDSD RLAPIGAVGEIIVEGPILAHGYLNDEAKTAKSFIQNPAWVRKTHFYPATPNRRFYRTG DLGRQAMDGSISFAGRADFQVKIRGQRMELDEVRFHVVKAFPQAVDVHVDVICPANEK VLAAFISFGAGSGIEDGIQIYPVDQDLADAIRKAKETLRASLPVAAVPAFFIPVVSFP YLVSGKVNRRQLLEFANQSSIKQLSSYSRPNNPQAAASSTPARPTPSAPQPIPSPEPT PSISATDVEKILVSCCRDALKSQSFGPDSNFLTSGGDSVAAIRVASAARDHGVSVTVA DLFNAPNMRALARTVSGGEASAENGELDIPVALSLLPSDLVDEIVGDVKSRSPASHNV LDVYPCTPLQEALLTASSMRIDAYIARLKLELPPPVDINRFKAAWAAVAQRHDILRTR IFEGPHGAVQVVYDSQLTWHIGSDLEAYCEHDRSLPMSFGDNLVRLGLVGRTFILTIH HSLFDGWSITRLFEDVEREYAGLEALETLPHKLYIQHLSQLDAEAPRVFWINKLASDT GLAASHFPQTIGSNYTPVPDSVVKMKLAMTEDAKSEFTMPTRIRAAWALLVGRYLDSQ DVVFGETFSGRSSSIRRVEAISGPTISTAPVRVTWDNDDTVESLLRRIQKDVLQIDAN GHIGIQAISRLSPSAAEACQFQHIIVIQPKMSSMMSDGGGDKLQPRIGLATASLDLRG YHSYALNMDFTLEDDGITVTTTFDSSVFSKQQIQHLQAQFGHVLNQLCRARDLARETI GSIDYASRKDRELQIRNNRQKLQYERTTLLQLLEQHVAAQPTSPAVYAWDGSLNYREL DRGSSALANHLSALGVQKGDYVPYCFPKSVWTTLSILGALKIGAVAVAVEPSHPDSSI LKVLSQVKPKVVLCARTFFSRIKAMGFRPFSVEENSIRSISQRTTKRRYSVHPSDTAF VVFTSGSTGEPKGIPLDHGAVCIMAKQHGEVMNIDKNSRILQFAAHVFDVSIGDLAIS IYHGACLCVPSDHDRMNNLAAAINKLRANRAWLTPTVASLISPAECPTIEWLSVGGEQ LTQACKDIWDGIPLVNVYGPAEVTNLGTAVKVSRDLPITNIGYANGTRLWVCEPGNPR KLAPVGCIGEIVFEGPNVTQGYLNNAKLTESAFPDVLSWTLSDGLKKPVRMYRTGDLA RLNVDGSLDFQGRRDTQVKLRGQRLEITAIETALRSAIEEPVELAIDVLDRTSVGRDA FLVAFLHLPDRMMTMMMTEQADASATGLFSTADIKELVADIRSKLSRSLPPHMIPTLF IPLNRLPKLVSGKIDRKSLRLAAAKLTDDEITSYKVDQSVEKRQPSTQEEAAMQRLWA NVLRLPESQIGMDDHFVTVGGDSITAIRLVAQARAQGITISVASLFQHGTIANLCGST SPSTGTAQAAAAAPSGSGSVRKFQHPQLADVALQCGVSEDDIEDIFPTTALQDGMMML TEKKPTAYVAYHVMPLPSWVDVSIFRRAWQAVTDENPILRTRIVPAGLQAVLCPAPIE WKTPRSSDLSAYISELRQIKVGFGTALTHHAILPNPPRFVFAAHHSVYDGWTMALLSD AVTREYKKLAGINTTPQKDLGPKINFHTFVDYIGTIDKAQASEFWRQQLDGCDPAPFP PSLPSSYEPLANAIFQRTIPFQRAQGSTFTPPTLIRASWAVLISAYSGSHPDVVFGES VTGRSAPVDGVLGLIGPTLATVPFRISVDWAESVNALLNRVQMQSFAMLEYEQYGLPN IKKSAPAAAAACDFQTLLVVHSEVHGSNPQDQLVWSTERPAADFLTNALTLECQPMGS QLVLTASYDSSVIDERQMERMLSTFHHILRQLCQGEFNKTLRLQDIDTLSPSDRADIA AITKTLPPLINDRVHDMFARQAAATPNAIAVSAWDGDFTYGQLDDLSSRLARHLRSLG VGPECFTPFAFEKSKWVPVTQLAILKAGGACVPLDPSQPPDRLTSIVGTLDAKVVVTS ATHANLLQASCPQVRHFVAVSQAMMDRLPRHGPPAIHPLTTPGSACYAIFTSGSTGTP KGVVWEHATLCSSMTEHGAAFNYSTSTRVLQFSSHTFDVSVSELLTTLIFGGCVCIPD DFTRLNGIANFMNEKHVNWAFFAPSFARLMDPAAVPGLKTIILGGEAPGKDNIERWSG RPGLELIVTYGPAESCIYCAKNSVRGPQIPGSIGHSIGGTMWVADLGRPSELSPIGAV GEIVVEGSILARGYLKDPAKTAASFRPMPVKWANGRSSRVYYTGDLGRVNSDGTISCL GRRDDQVKIRGQRVELADIEYHLRKDERVRQALVLYPRSGVCADHLVGILSMVRDKPT AVPASSTGITLADPEDWVRIPEVQDRLSQKVPVYMIPTIWVVLNSIPLMPASQKVNKK MVSEWAKAMDQATYEQIAGLSAGNNDSDELSAMNNPLEEQVRTIWSDILNVGPQAIGP NTSFLRLGGDSISAMQVVTRCRNAGIEVTVQDLLKSKTIAEFCQRAALSMSQKTTSVI VVVEEEEKEEKPEFETPFALSPIQKWFMQLAAPHAPNHFNQSHLLRFTEGVDFHKLQD ALAAIVQRHPMLRARFQQVSARRNWQQYISPDASGSLRCRHFRSVRTMQKVIEYASDS QACLDIANGPLVAADLCEMTDGTTVLFITCHHLVIDLVSWRIIFQELEEILRTGRLAA TQKPLGFRTWCRLLEEHAKSVVIGTVEEVFPAQDFGFWGISSADNTAAHVVEQTFSLD DQATELLMGRCNEAFNTEPLDLLLAAVAHSFNQVFRSVRDSVAIFNEGHGREPWRADV DLSSTVGWFTSMCPIVLSDHTGDALRSLREVKDARRRVPEKGLPFFTSFAQNATTGVE ITFNYFGLFQNLERDRALLNRMSWAPFRAPADSAPDVPRFSIFDVSAGVENGVLTMNF TFNNRIRHRHLVQQWIEACSDTLHGLVQATSQRTETSLTLSDFSHLRTTYDELSTLLN TTLPAAGISVANVQDIYACSPMQTALLVSQSMNPTLYAVRYVWEVVPRSSQMVSIDKL VAAWKLVVKQHPMLRTVFVQASSSIDGKSTSAYTQVVLKELEPKVLVCKDATAFPVGR PEHHIASGPPHQVFLTQQTSGKVLVQLDISHTLIDGTSVNILLDTFVKAYDGAPIGVT SHDAYGSYISFLGKQDTDSSRQFWNTYLSGAEPCHFPPLRSGSPSPTRQLEYLDFSYP DPAKLHSLCAESETTAASVYKLAWALLLRAYTGNNSPCFGYLASGRDLPIEGIAEAVG PFINMLVCVIPLEDDDKQVEAVLKAAHADYANCLSHQLCSLAEIQRGLGLGGDDRLFN TAMSVQRLSPPGTSASTVEFRPVHVEDPSEFDIALNIGDAPDYVDVSLTYNTDVLSAH QAKSLAATFNRAIDSILDSMAKPVREVSLLTAEDHQQIMGWNSIASTSTVSAQCDQLI GLHLATKANKPAVSGWDLSLTYSELDALATTVARHLVSQYSVTPNTLVPFCFEKSAWT IVAMLGIMKAGAAFVPLDPKHPIERMVSISRRVHAPLVVCSEQNEHIALDIGGHLSIP YLLVGPRSIESLKKASATHRAGFSPLLNQFRKPSDLAYCLFTSGSTGMPKGVLIQHEA LCSGATMHGRAFNYTAQARVLQFASYVFDACITEIFTTLVMGGCVCVPSEEQRMDKDK LMGFVNEQRVNHALLTPSILALMDPLRVPSITTLLLGGEAASSQLIDKWRAPTRRVMI AYGPTECTVICAGHDVTDPSSLRPGKSSIGNSVGSIAWVGDARDHNKLVPVGAIGELL VEGPILGRGYLDDEAKTNAAFVHPAWAGGRRRMYRTGDLVRYQEDGTMEYLGRRDNQV KLRGQRLELGEIEEQLIKRSEVQQCAVLLIKEGVCANKLVAVLTLKAAPNPNPNVAGR LAPAASDPAQGVNVLTGDYVSSTTAILGQALSEVLPSFMVPSCWIVASQLPLMASGKT DRRYLTSCVNNMSQEMYHLCTGEGSQSSSSSSSAAQQEDLTPVERAILQVWSAVLNIP EQSINPSADSFVKMGGDSISAMEVVAQCRAKGIPLRIEQLLKAKSVKQLAVHFENLDI APAPTPSATAESSAMTAAGAPQQVQQQQQQQQKQQQQEEEEEYDSITMFGLSPIQRMF MRLSPGENHFNQSFLLKVSTDKARVSEDAMRRALNVVVKRHAMLRARFQKLGRTFRQW IEPVVEDSYLFRAWDLPASVEFSPEAVEQIRDTQQSLHLENGPVFAGDLFNIGAEQYV FLTAHHLVIDLVSWRIVLKDLEDYLVRGAISTYRSMSFEKWCGLLGNHRKSLTGTATI PFEVASPDYKYWGMAGKPNHASDFEHRQFVLSAGTSDLLMGPCNDAYATESLDLFFAA VMHSFAATFLDRDVPPIYNEGHGREPWDPSIDLSRTVGWFTTIAPVWVDSRRCNKDIL EYVKQVKDVRRNTPHKGFSYFSSLDLESKPFSIEVSFNYFGSFQQLERDDALLKQVHF RNIGVDPCEVGDKHKKFSLIDISAELENNQLVFTFSFNSKISRRDGIERWIGNYQKSL EHMARVLGPRDADSLSINEADVPPAPLSTQLSQDPALKALGISASNIEDVYPCTPSQQ GMLLSQSKDPEMYWFRSVYELQFNSRMPVTFDKLRQAWKAVVRRHPVLRTIFVEQNST DGLYDQLVLRTYEPDIIIEDEVPASMSEADLSEFLKIRIVPSEMLSRRAPQHQLRLAR QASTGRVFCSFLLSHAIADGGSMAVMLRDFNNACEARPLDAAKPLLRNYIDYVRSRDA AEDIGFWKKSLQNVEPCFLPTEEQQHQPVAGKVLHKTDVPVNKINYARMQAAARELGV SLFTLLQVTWALTLREYINADRDQCCFGIVTSGRDLPVKEIGSIVGPLVNILISKVAL PHDQTIAQIAEAVHDNFIDMLAHQTSSLAEVTHELGSGTLFNTGMTLQKEAAPGGEGF SAVSFRPMGGQDPTEFDMVVQALDNGQALKVHMSYWCDRISDGRADSMAATFASVLSQ IVENPSIRPIDLEMASDKDVQQLWEWNAHLPAAVDLRIEEMIAQRTAEHPDREAVWST EDTLTYEQLDILSGRIAQGFLADLEREEVVPLCFEKSIWIAVAMLAVLKAGGTIVLMD PSHPLERLRCITSTVKARRILASPLQADLCLNRLGLQTVIISKDMFKRRSGVPGTAPR SFMTRGTAKSSADAAYIVFTSGSTGTPKGSVTEHRAFCTATQGYHKAIGQLPGERVLH FASYSFDASLLEILGSLMVGATVCVPTEHERINQLVSFINRSGTTFAVITPTVASLMS PEKVPTLKSLALCGEPMTASHVATWASKVRLVNAFGPSECCVGSAANSFVTEQSSPKC IGKAVSCCYWVVHPRNHNRLARIGSIGELLIEGPILARHYLNEPEKTEAAFISNPDWA TKPGRATRLYKTGDLVVQNPDGTFEYVGRKDTQAKIFGQRLELGDVEQAFRNVVPEAE NVVAEIATPEGKAPRLVLFFSAKNMSVDDFDVSAAKAQMAKKVPAYMVPSVVVPLDQM PLMPSGKADRKKIKALGASLLMEPEERSGRLPETEMEVTMASLWKEVIRSAPARILAD DSFFNVGGDSYTAMKLATAARARAISLNVATIMQTPILSDMAKKAEAAAAASSSSSTA GEQQPSTLDAEAIAPFSMIGWNSTLEAEVCRQCDVPADAIEDVYPCTPLQEGLFVLSI RQPGAYVARHSYRLPPSLDLAKYKAAWETVYQATAILRTHLVQLEKVRGGNKRGGGGL YQAVINKSLVWQRAASLDEFATSRPVTVGGPLAEFAIVEKEEEEEEKKKANSSRYLVL TMHHAAYDASSLGMLLRDVETVYDGGELPSRRPYRDFIKQLQDTPAEQTRDFWARYLD GAQPADFPSVPGGYVPSADAILETDVTMPPARRPGFTASTMIRVAWAMVLGQHAGTSD VVFGETLSGRNGAEDAETQGPMITTLPIRCSLDEYAGVADVLSTMQSALVDMIPHQHA GVQNIKLAGPGAAAACNFGCLVTIAPESSVPTNLGLGLVPVDVGAPPAMSHPLSVQFI LRENGKVKVSVYHDHRLVGAAQVEKMVAQFVHVLGQLCGGEKNMVADIEVEAEVEAEA TTSGFAPADGGGGGGGGGAGADASLGRDVLGTLNGEIEDLLEEISSQELSKPGTSSSG VTTGATGGVDLEQVIKELWADVLGMQPDEISRGDNFFQLGGDSMASMRLATAAERKGI KINVADIFRHPTLEELCESAAQANVTNPTTEEEEDVVPEPAAVVVQDDYEPFGVIKHL GLDQEEVIETVCRQLSVFPGDVEDIYPATDYQAWAISHGLMRSRGNTNYFLFRLHGQL DTFRLEQACRKMVATNPILRTLFTTLRGQVMQVVVRSYQIEFLRYGSEHSADDNFINY LVEQDAQRSAYLSQSIVRFKLVLHADGHYVLIMRMSHAQYDGMAMPLLIQDLEECYNG QEPKQRPSFGKFIWGAALREEQAVNFWADLLEGSTMTEIVEHSGPSHKHNVDTIRTRT IPPIPVNVAGMSQATLVKAAWALVLAKMSGQRDIVFGNLIFGRNLPVSGVEDISGPCI NIIPVRVRVNAMDSIHDLLALVQEQQMAAMPHENLGFRRLIKNCTDWPHWTRFSSVVQ HQQLGRDGSEGQEFRLTDNLMCEMGVLGPAYDSADLWVQTTPHTDSFKVEIGSCSSIV QPAVAEMLLDKLCATLSIFAAAEVGNSPHLWELLARDGPPIIPIKSSVVEQVWNKVLP DADAIPWNTPYFDIWGDEIAPARFLEEYAEYGLYFDMEDILENPTKQAQMLLASRAQS DKQRGRTSPRAEPAPVPTRRRAATAAQDATAHSATATTNSRGFWILDPSSNKKSSKKS SRAGAGSSRGGSTIGSPLLVASPRMGLAVGALPPRRKNTMPTPVPAPGPGSLPRRATA GSYDYYPGSGASSTRTTPPPGSGYYYGVGSSTPSSSSSLSSAVGGGHHHHHHHQQQPL WADKNRSYAALSPPLTPGESASQMPSSSSSSSFASPRQNALRPLKLPEYAQASASASA SSSSGSSSSSSSPAAGEAERPKLRRKKVASFPVGLGVGQAHGTRVLNGRW MYCTH_52715 MDCVAVDITWGPWAGPSCRGGLDFTLAFEESILSILPTALLIIA AALQVAFLLGRPRQTANGTLLWLKQSLIMSFGLLRLAVLMLWALPGTTASRTKLTLAA AALNFVVVIPLSVLSSFEHVHRAAPSFIIEPYLLLTLLFDIARVRTLWLMRTTSHKQL AAVETVAVVIKIALSFSEAARKDDLVFPGVKEKYTKEQMAGFYGRSMFFWLGSVLWNG YTRYLVPSDLTGPRDDESAELLRQRFRSQWARNPNKTAKAALLTTLFKSMPDKFLVPV LPRAIVVVLTLAQPMLLERMITFVQGGGGGYEQRMDVGYALIGAFAALYTLLALLNAW YAHACNKLALEMRSQLVDSCYRKLLKLRLAALDSGKAATLINLDMQHIMEGARILHDI WASFVTVAVAVYLLRETHHNLTYLVMMVLAGICSAPLGPRQVAWLAATESRVKSTMFM ITGFKEVKMLGLSPDYMQNLQNLRLTEVNLGRRFRRILSIIITLSAASTELSILVAFG GFAIISKTHGTPLTFQTLFASLALLRISLDPLFLLIQGTPAFVSMFKCLGRVQDLLNE ERVVDCRSSSTLAISENSSASSFMLKPERRVTTEEFHAVFPQGPGLPDISPRFSTMAD LVEISDASFCWRGRESTPALYVMSLTIQPNSFTAVIGPVGSGKSTLLKAILGEIEHIS GTRQMRRGLKVAFCDQEPWLLDQTLKQNIIGSRPFDPAWYQRVIEACALAQDIAGLAE GDDTPVGGGGSALSGGQKSRVALARAVYSKPELLLMDDIFSGLDRKSATHIFSAVFSE NGLLAKLNCAAVLVTHSTQFLPRFDTVLVVNNGMIAHQGTYGELLMSGALDDSILSRV AAPKAATSVGDTVEVTSDGKVVLKNAPIDKEENDASRAPSEWAVYGYFLKSCGIAGIS LFFVLAAILAGERSFETVWLKMWAEGDQKALGYYMGVFTGLIVGGVSLLGGICVASIS YVITVGSNTLANRYICLGCRNLNTPLIPLSHRFIQDIMLVDDELPMAFVNTTTSFFGV IAETVIVMISSKYVSVSIPGLILVLWVIQKFYLRTSKQLRLMDIEAKAPLSAFLLETL QGIVSIRAFDRTSEFSSRNSELLNYSQRAHYMMVTVQVWLKMILDFVVALLAVLVTTL AVNFRASTSLGFLGLALVNLISLSTSFKYLITFWANLETSIGAVARIRRFNKDVEPEE KFALPPPHPNWPRNGGIELQHVSASYRFLPPAVYDVSLYIAPGTKVAICGRSGSGKSS LLATLLRCLELNSGTVIIDGIDISRISRDDLRKRIMTLPQKSLFIHDSIRANMIMWDE AANSSRTPEETDALIESLLRRKKKKKAEEDAPVTLDSPLNAEERLSVGQQQLFCLARA LFQRGDSQIVLMDEFTSSMDHETETLVREIVARDLRDKTVVEVLHRLEHIFDFDLVVV LEQGRIVEAGHPEELLQNEDGMLRGLYQSMRG MYCTH_2307543 MTAFCDMARDSRDPLVLGDPAPRNPTDNPRFLVHKRNPHSGEGR APVTFPILCFVVETTMAHLDNGVQLCHSSRDDCANLALECKQLLVVPNLLNGFPFRLD NGVLPWAPDTALCLTSGGRAVPAADLGAASPETLDKHGRHPTFGASSEPNNGAYAGYR AADCDSSYSPARSSHKGPGKSLITCRKPFAHDFFGHPNSTVATTTPMTQPGFEWRGDG KAQRTPQPISPDARLPHTTDRHGATSAFNVTSLSGQSEPGANLLLVADAASTFLLTAR MLAAFVEWQWPRCEPCPDLGWSRPGTLVACA MYCTH_2307545 MLPPDSGYCQDLEPFDTLQLNTYMAELTDLSRAGGTFPVDPFAG AESMTGTFTSDPGGDMFIDLLSSGLPADAVGPYNTYATPQSSVSSGSPTTPVYDVGCF PCPQIYQPDMAPPTPVSLTSATPEPNTSPIASPFSLTTGGRGAIDPNTTPQPAPGAEQ QLLTCPEPECGAVFAAQAHLRKHARKHRPRFRCPVAGAGSGSGSGAGVITRWCAGGFP DSRTLRRHLWSHHAAYAREHGVPSEQAQCPLCPYSGRADNLARHMKRHGRR MYCTH_2128383 MAKRDQQASPSGTSLKLTFVFWYHTNNLGYRCLTLEKAIYDTLI YVSHRSTPRQHWPKALWPPPTYSSSKPLQFNNSIVCCGSQEMGVTTSPTSVVRWSGRG YHALGDSEAPELGGQQDLSDFQLYTVYKSLRSCDFTSHSPPSARVHHSSWPVTIQDRF AGSTGRLSHTGTLPYFVMQGLANRNLSYSEGISAGYAFQSVRHDLPDTAQTSVTVDGF GNSLDLRAGRVGPEGIVFARPSLPASVARFADTRCDGTKDDSGRRILVMFGNLTYTVD SSVTLKDYTGMGTRHPMVGKLVWSTQMLCVPTYGITRVDVVRNGTQTLNVTTSAGAPR RTLSSVTARNLMDAHYTSSYDGSLDPASIYGKNVKVGHDLVDVNEQMGLALPLLLPPD RSPSALLDRTTLQKLVTACCRQVGAVIAKQSLMEPASIPSQAAVIMVRNRFVVRGWAA RWMAGLAATCAALKQSVSPETYATLDFMDMSVRLQPTTAFLVASVFTIFSSSLFQALA VTETVSIELRATRSIDMDQYMVQDGNAIASLIFASNYSFPSFNYDDLAFPRLALTHAL PTDGALNDSTVSIQAVVPAVRARFSCRTYDSSQITTNLTLNYTSQMSGYHNPLGINIR GEDCRRLSDEEEYAYTNILPTYANMTYFGLGDASSRVSQLQGCSQLLYTWGKLDYHAA GAVVQHVTAVGCNETVSRLAMHAISPYWNLAHPGSDPHYLIAFFAMLHQLPLGRPAVL AGRLLLLRRRGGRHSGGDPPTPRHHPRPDLCPAPRPVQHDPTQLSRSPRVTLPHQRKA HSKRRWRRRARDNTPAIKTPMTDGVPVYRGTASTPPDGGGRRASTGLASFVVKGGRDQ KEGVSDQGMIRGNW MYCTH_52547 MASTGNSDRDAVDQSHVGAAAAGDDQKVDPYKDVVEAERAPSPE LEKRLRPDYSKMDKELAQYVSDTRIDISEEENNRLRRLVDKRVLVIMITTYFLQAIDK GTMSFASIMGIIEDTGLHGQQYQWLTTCIYITILIVEYPQNWLIARVPIAKYLSFSIM AWGAVLACTAACTSFAGLVTVRTLLGLFESVCQPAFVVLSATWYRREEQAARVTYWYM MNGAQQIVGGLLAYCFSLIRTGPLKSWQWLFMSYGIISVIYGAFVGWWMPDSPMRAKC FTEEDKRLMVERVRANQTGVQNRQWKKHQFWEGILDPQAWGYALIQLCTTLPTSGLGS FQGLIIKSFGFSVLQTQLLAMVLGAYIIIVLLSSSWLVKKTNQNLLVMLGFVIPYFIG TICLMTVPIETLGQKVGLVICYYITLSFWSAQTLALSMLSRNVAGQTKKSVAVALNFI IWSTGNAIGPQVFLDWDKPRYFIAFATHLGCYTLLVIVILGLRFYLKYQNKKRDELAA SGAAEAKDENLVHAFEDLTDKENPNFRYVY MYCTH_52160 MPTTYAGITSQEVHAKIELLISAMVNIRDKTGEFLLTLPDGRVI DTKGWQDWEWTHGIGLYGIWQYYQLTNEPRYLAIIEDWFAARFAAGGTTKNINTMAAM LTLACVYERTGNPAYLPWLDAWAEWAYHDLERTRHGGMQHITYLEENRDQLWDDTLMM TVLPLAKIGFVLRRPHYVDEARRQFLLHVQYLFDAKTGLFFHGWQFHEDGRPGGHNFA EARWARGNSWLTIAIPEFLELLREGAASSSSSNGGSSNDVALEAFLRSTLRAQCEALR PLQDPTTGLWRTLLDVPESEGSYAEASATAGFAFGVLKGLRRRDLPAGAGEFEDMAVR AVRAVLANVSDDGELLNTSFGTGMGRDLQHYKDIPVTSMPYGQAMAIMALVEFSRRFI MYCTH_2307549 MATRTKLDIRPPLAEAQAIYEQASSASPKPTLLPVCITVPSDLL TPSATYLKLSNGATAEYSFLLESATGSTETVGRYSFIGANPRKVIATGPGYEDVGDPL RALEAQLGEDRVISIPSLKLPSLTGGAVGYISYDCIKYFEPKTARPLKDNLHIPEALF MLFDTIVAFDHFFQALTIITHMRLPEDPSGFQSAYDDACATIRETLDVIHRPETPLPP QNPPDPSTPQQYSSNVGRHGYESFVTTLKSHIVKGDIIQAVPSQRFSRGTSLHPFNIY RTLRTLNPSPYLFFLSCSDFHIVGASPECLMKTDGYAPLPVDERFAYSAAEQARSRPR IVNHAIAGTIRRGLNPAEDDELAAELQASTKDRAEHVMLVDLARNDVNRVCHPSTVKV DRLMRIDRFSHVQHLTSEVSGLLRPECTRWDAMRSIFPAGTVSGAPKIRAMELIYDLE QEKRGIYAGAAGWFAYDVVRVQTDKQTGAVRVAVDEGQMDTCIAIRTMLVKDGVAYLQ AGGGIVFDSEKTEEWMETMNKLAANLRCIELAEQYYGGGAGTKSVQDIIDEERRKGDE FYRLQTTSAGA MYCTH_107976 MSIFGRATFSSAGYAAFRPSYPPVLFNRVLAFHHQGRSQGQAAS GSPSGNLLDLGCGHGVVARALAPHFSSVVGVDPSAGMVEQARRLTSSDGYGDGGGGDG GSRITFRQGGAEDLSFLADGSVDCAVAGQAAHWFDYARAWPELARVVRSGGTLAFWGY KDHVIVGHPATTPIYERFTYGEAEPVPGWESMARFWEMPGRKILRGSYRAIVPPAADW DRVTRIAWDPDRTRADAVAGAPEEALWLRKTLTLGQLQGYLRTFSAFHGWQGAHPDKK SRAEGGEGDIIDLMFDEMIAAVPEWQARGDDWKQIEVDVVWGTVLLMAKRR MYCTH_102322 MHRYAFLALLPAVLAKVPLVARAGVTTTLPASAGATATSAPITV KAGQTYDGKMKNFDRSPRVCAEQTETGEDDAMFILEDGATLSNVIIGPNQAEGVHCRG TCTLINVWFQDVCEDAITLKQSSGTSRIIGGGAFHADDKIVQFNGFGTVEISDFFASD YGKVVRSCGNCKDNGGARHIVIDNVVAVDGGVLCGINTNYGDTCKISNSCQDDGKSCD RFTGNNSGKEPTKIGSGPDGQFCTVTNLSKSC MYCTH_2128390 MLRKLLCFYHVEGGDGRKHVDGRGQCHAFRCGQNAGDYGKDVSS SRTEREMRVSAEKGRRDCSCSLWSSCLAGLLPRGGEGGDAAAQTERPRRRRGKRRQGC CIEGKTKNKASSGENGAMLTEVSRNSTLVGGDEHGVRRYSEKEKQLGVEVSQVPSSPA TRAPPIAFHYPIWNGQRTFDPTVAEAQIACGQRLVWADP MYCTH_2307560 MNSVGREATTTTAAQDDDNGDSAIFSQTNVAIWVLTSASGAFLA VRLWCRHRFSKLWWDDYVLSLSWAILLVAAALMSRTISSGYATDDDKRRFFLFQNTAT SMTTLATAWTKIAFAITLCRIVRNRYLLAFLAFVIVTANLILIPGMMSIWIPACEDPR AFLRPEHILCYRLRDLQYLGGTTIVYGGVIDVLLALFPWFIIRRLLLETREKVGLTLA MSLGAITGIIVIFRAFLQFRDIDNDYHFMVFMSIFNFLEPGVTIIAQAIPMFRVLVVT VKRGSSAVRISSPSGRHDTNSAPIRSWNNNSKRGSRAHNRLEVNEELLQVCLGPGGRT VHASRPQGSDDDLKIYDGRV MYCTH_51856 MRHLSLILALGPLLGTTSTCRPPKWSVGQTVQTTSGPVEGHAAS VAKEVSEYLGIPYALPPVGDLRFQPPVRYNGSRKIVGKDFGAACFPSNISAYDADFPK ELIDGYGITEVGRRILATITNPGIPVSEDCLTLNVWTKPQTSEKRKPVMVYIHGGSFV SGSSAVPAYNGQFFADQEDVVLVTINYRVTFFGFPGNPHGHQNLGLLDQRMAVEWVRD NIAAFGGDPSRIILFGESAGGASVDHYSFAWAHDPIVSGLIPMSGTAEGIAPLPAAVA SELWYNTTAALGCGNASTTDQAAVLACMQSVPAESIVATLINTIESPTPMPYSPTIDG VLVFADPSRRPTAAVPMLVGTTDNEVGLFRVFVPPRPDLPREEEDAFWHAENQRTFVC PAARRAARAGNPTWRYRWHGVFPNTELSRTPPSGAYHDSEVALLFGNVDQSLVTNTRE EDAVGRYMRGAWAAFARDPVRGLEREGKGKKGWPRYVAGKRTLIRLGWKGRAGASLAR GDFYDDDC MYCTH_53033 MATITSIGIGNMGAALASALLKSVGLRQLTIWNRTADRPQVKSL VEQGAHFEPSVAAAVARSDTILICLLDYQTVTAVFEAISPGGLAGKTVINVTNGTPRQ AREAEARFKGLGAAAAYFDGGIMVTPQQIGTPEAFVILSGEDESAFRAAGGPAELLEP IGAIQYVASDAGAAALYDLAALAAMFGMFAGAFTGIALLKKQKRQRQDGGKEEGDRDN KALAKPATDSVIVPVLNALVPYVGMIADEVDRENWMNDMGNPLKMQAIALHNILRACE EEGVDGEGLKFISRRMDRAVADGFGPGGVSAIARYMFK MYCTH_2307564 MALLLLGGVVFPALVGVVVFLSLHAVVKWGVGEATKVLSPKAAT RILVDRP MYCTH_2307566 MPPKDGTVPPHFDAAIDPNAAARQFALTMSEDELYQRTLGLYYP FLAYPPERPMVFVKFGGPEKQAEGDMQRLACHWLRQQRQRDRNIHVPEVFKVFSKTNT TFIIMELLAAAHIKDFAKISDPITWKQNEARYYEMITEGIRLLSLMPVPADATPGPYT RAERYIKHMLFKGQEAPVIYNTIQDLEDHLNRVAELAYDHVPGPPPKVALEKELVFCY TDFNDENFMFATDPDGRTRLYIVDFEHASFLPLSFLSYAVLGRKPRWYTGRRIREKLG PSLPQHNIEVMKRISYLFQICTTSLGVEDK MYCTH_2307568 MFSQLLLLSASLAATLPLAAAAPAPANPSPASPNRIPSRTTTSP RITHSVVAGRPGLRFDPENIVAEVGDIVEFHFLPRNHSVVEASFDAPCVPRDDSAPGS PTAFFSGFFPVSPNPDGSVAQSPEVFQIEVRDDKPIWFYCAQNNGRHCQNGMVGAINQ RFDTPNTLEAFRRAAAAVPGDSGVLPQVQGGWRGPNPNPNGGF MYCTH_2307570 MADYATQQYSNFEGYEDDDQYETGNGLDDVGLADENAITPEDCW EVIAAYFDSKGLVSQQIDSFDEFTSTTIQSLVDEYADLTLDHPNPGDDAGRDIAMRRY DIHFDNVLISKPTLTEATGETTSLLPYECRDRNLTYSAPMYCRVMKRARVAINEEVPL KDMDEEQQEYMARTGEVPKIIRWEEEDTGPSEGAKGDDQKGDLIFLGKLPVMVKSQVC HLHGEDEESLFLLNECPYDQGGYFIINGSEKVLIAQERSAANIVQVFKKPPGGSVSYQ AEIRSALEKGSRLISSLQMKLHTKGSPEKGRLPNTVSVTLPYVREDVSLAIVFRALGI VSDEDILNHICYDRTDTQMLEALRPCIEEAFCIQDREIALDFIGKRGNGNAGQNRVNR VRAAKELLQKEMLPHISQTEGCETRKAFFLGYMVHKLLQCALGRRDPDDRDHFGKKRL DLAGPLLAKLFRGVIRRMTQDLMSYMKRCIDTNKNFSLALGIKHSTLTNGLKYSLATG NWGDQKKAMSSTAGVSQVLNRYTFASTLSHLRRTNTPIGRDGKLAKPRQLHNTHWGLV CPAETPEGQACGLVKNLSLMCYVSVGTPADPIVEFMIARGMEVLEEYEPLQYPNATKV FVNGTWVGIHQDPKNLVSLVQGLRRKNVISFEVSLVRDIRDREFKIFSDAGRVMRPLF TVEQDPNSESGAEVGALILNKEHIARLEADKELGKYHPDYWGWPGLLKSGAIEYLDAE EEETVMICMTPEDLDNFRARKRGEVIEDTSGIGNNRIKTKPNPTTHMYTHCEIHPSML LGICASIIPFPDHNQSPRNTYQSAMGKQAMGFFLTNYSKRMDTMANILYYPQKPLATT RSMEFLKFRELPAGQNAIVAIACYSGYNQEDSVIMNQSSIDRGLFRSLFFRSYTDCEK RVGINIVEKFEKPNRSDTLRLKHSTYDKLDADGIVAPGVRVSGEDIIIGKTCPINPDN AELGQRSAQHVKRDASTPLRSTESGIVDQVVVTTNQDGMRYVKVRVRTTKIPQIGDKF ASRHGQKGTIGVTYRQEDMPFTCEGITPDLIINPHAIPSRMTIAHLIECLLSKVSTLK GMEGDATPFTEVTVDSVSDLLREHGYQSRGFEVLYHGHTGRKLRAQVFFGPTYYQRLR HMVDDKIHARARGPLQIMTRQPVEGRARDGGLRFGEMERDCMIAHGAASFLKERLFEV SDAFRVHICEICGLMTPIANLTKQSFECRPCKNKTKIAQVHMPYAAKLLFQELMSMNI AARMFTSRSGISVR MYCTH_2307571 MSRASKLTLLGTSLFALSTVVFVHFQQKAEQEAMHQGVIRDMEQ QRIKRERQADFDMQRELEAQYRKEQTVRDSTADAPVGEGISGAQTGTGR MYCTH_2081891 MSAQNSAGIQTLLDAEREASKIVQKAREYRTKRVREARDEAKKE IEAYRAKKEAEYKAFEAQHTQGNKQAEEEANREVEAKIAEIKETGKKNQDKIIKDLLA AVYQAHPTPAS MYCTH_107972 MTSIDELFQLSSSSSTSHRHARVDDPTDDAEAGPQPPPDNEDEA EDGDYGPTLPPDEDEPGDDDEGRFFGGGITRTEREILDYVDSATGGGRGGGRDGAAAV PDEEETIDLGWLKRTALAFEKKISRNAELRARYESEPARFIDSEADLDAAIKALSILS DHPDLYPSFAGLGCVGSLVSLLAHDNTDIALDAVEIIGELTDEDVAATEEQWDALVDA LLEADMLGLLVSNFGRLDEAQEADREGVYRALGVLENLCSKREVAEAIGEQDALVRWL LERAARKETPVSQNKQYAAEILAILVQNSAKNRRRLAELDAVDQMLQLVAPYRKRDPE KGGDEEEYMENLFEALTSIADEPDGKAKFVEAEGVELCLIMLKEGKLSKPAALRLLDH AAGGGQAGAEVCQRIVEAGGLKTTFTLFMKKHDNPTTEHLVGIFASMLRLLPADSAER IRTLAKFVEKDYEKTEKLVKLRRDYAAIIGRVDEAIKQEKEGMGADEIEEMADEWFSR RLDAGLFCLQTIDVILAWLVAEDDGARRKIQELLADRDETLAVIKETIQEQIDGIDTE SDEGKDTKEMLTTLAQFLQ MYCTH_2307575 MPPTLILVRHAQALHNVDKDYSIHDPVLSTLGREQCAQLKEHLV PRIPRDLDVGLIIVSPMIRTIETALLAFGKLIDRGMPIVAHAGWQENSLQPCDIGTPL PELAARFPQVDFSRVDPPYPDKTSAAAAPRYGFTRQAVVGRGRAVLRELRARPEKAVL VVSHSGFLRVGVTGCYFMNADYRVFEFENAGEGEEVVEGGLGLREWEETRKGGMGWSW DERVPLGDGLPDADAPGDAWEAVNGM MYCTH_2128401 MALHGVVSNLVSTFFINLAPFHLLFYSALLGTELFQTFVNTKVC FVALPRSAFTTLQKRLFPVYFGTQTALVVLSALTFPPHGLSSLILRKGNWIPYAVAFG TALLNLVVYGPRTRRAMVNCIHQETRDTLHAGEESRVDREPSPDMQRLRKSFSRHHAM AIHINLISIGAMVFHGWRLGSKLAIGVE MYCTH_2064865 MFWLGFLAAPAAVQAQFSTTMLRFGCSQLTIDRIDPLVEPGNIP SAHVHQIVGGNAFNASMPTADISQLASCTTCTFAQDLSNYWTANVYFRARNGSFKRVP QMVNDMIGDANAGITVYYTAPGPNTVTAFKPGFRMFSGDVNRRNSTGLGSKIQSCFRC YDAPNFGGNIYAPCSDPQRDTETFPTKPCPGGIRSSVIFPICWDGRNLDSPNHIDHVA HPVDGPAPFAVVNGQCPQSHPVKIPQVHYEVVWDTTAFNNPQDWPEDGSQPFVLSTGD PTGYGQHGDYVFGWEGDALQHAMDNRCFGPTCNGLTTQGFDKANTCSVAPVVNEDNEG WLDELPGGHHVM MYCTH_2111553 MTIHATFDALPCTLHPGANDADHTENQGSGKRPFLLGFSAELLF GDEFTTKTKGGFVVYYVSPGKGGRKSQSCFRCCNGPDFGGDNLTPCANPNLDTEELPN KPCYGIRSNILYPTYASFHFPFLISLSLSLSLQR MYCTH_2081895 MKLVAAFALTAGFAAAAPAAVVERQANGACFVIGNQVLPKETSD IAAQLRNRVTCDTSRTTIAGVPDVSSGGVTFSSVNFATSSSSKTPLEFALDRFATPTP LRNADLATFQRRLDVYLATEAGIRSVGGSLAIKVPKFFLQFQVSRIQTAQGNPPTAPG AQVDHLLEKVLKNSPRESQALRDQVTALAKVLS MYCTH_2307578 MASQAAEAGPKKKLVLNAFVEMCSGHQSPGLWRHPEDKSWEFDK IEHWVELAKLLEDAKFHGIFIADVLGGYDVYKKSLEPALVSGAQWPVTEPLAVVPAMA AATKSIGFGVTISTTYEQPYHLARRLSTVDHLTNGRVGWNIVTSYLDSAARNLGREEQ PAHDDRYAQAEEYLKVMYKLFNSSWRDDAVQLDRERGVYTAPELVRQINHNGRFFRVP GPHIVHPSPQRTPLLLQAGTSRAGKQFAAQHAEAIFVSAHSPAVVARNIAEVRQIARD SFGRDPTSIKVLALVTPILGATEEEARAKLADYRRYASHEGALALFGGWTGIDLNQYG DDEELRQVESNAVRSTVEGYARFSPHNSKWTKHTVAEHVSIGGNGPVIVGTPAQVADG LETWVREADVDGFNFAYALFPQSFKDIIDLLLPELRRRGLFWDDYAVPGGTYRENFYG VAGQKYPPDNHVAAKYRWRAGVPAAEHQIPE MYCTH_2111556 MADVSRDSESENAPPKDQQHPVRWYRSTFYNMTILGLCNLAAPG IWGAMNSLGAGGAASPRLVNAANALTFCLMVVSCYFSSALVHYIGIKGALIFGTIGYA PYAAGLYTNNRFGTEWLTLFGAALCGISAGVFWMAEAAIAIAYPEPWNRGKALGYWLT YRLSGQILGGAINLGLNADRNEAGKVSYAVFLVFIAIQAAGPLVGLLLNSPSRVERRD GKKVSLSIVQNPWWEIKETTKLFFGRNFLLLVLFIGQAVFAEAVFFTYLALWFSVRSR ALGSFLSGIVAVIAGNILGHWLDRTKISLKIRTRSSFAAIVILQGAWWIWATVLVTRF HRTRPTYDWSDSGFGAAFGVFVFLTLGFQLNYLFLYFIIHNLARDEAEVIRYAALLRG TESAWQALSYGLESIAIFGEVGGVYLNFGLWAAAILPAWLVLRHFGARKDGAIIISGG EGGSGGKVVTGLRGPTAESGSGSTPDAPSPAEETEADKKGW MYCTH_2119589 MTTGIANGHANGHANGHANGVALKEPALAADAKEAPVRVAEAAE AETQGSPLYPKSRLSLVDRFIDEPRSLRVAVIGGGLAGVLAGILFPAKVPNIQLTIYE KNHDFGGTWLENVYPGVRCDIPSHVYQATFEPKRDWSDQFSPGAEIRDYWQGVARKHD VYRFAKFRHRVEAADWDADRGVWRLVVRDLGADKETVDEVDVLFTAIGRFNAWKLPDY PGMSEYRGLLRHASNWDPSFDPRGKRVAVIGNGASGIQLVANLQKVVARLDHYARNRT WIAASWAGDERTLEAQPIPPEQQESFRDDRTYLAFRKELEDKYWRRFGSFFRGSDLNR ELRDRFVDIMRRRLARKPELLAHMIPDFSPNCRRLTPGPGYLEALTEDNVDYIRTPIR RLTETGIETEDGRVRDVDAIFCATGANVDSVPPFPIRARGRDLRDLWRPDGAAAGEKE KEKGEEREEGKESESYGFPYTYLGLATPGFPNLFFVHGPHGTGPSGTVPHSVETQLTY YAKVLRKMGREGIRSLQPSRRAADDFLEYADAFFATTVLADGCSSWYNGGRPGGRIHG VWPGSAGHVTAVRREPRWEDWEYEYLSDTGNRFAWYFGNGWTKKEQDPASDMTSYLKL PGEIDLRNLHEPWWDLP MYCTH_2063854 MAGNHAKKWYHIQWFADQDTPRERRLIFKLDLLIVPYALLAYWT KYIDQANLNNAYVAGLKEDLGFEGNELVQLQTMYIIGAVVGQIPFMYLFTRLPMHWIV PFLDVSWGIFTLLQYRSSSFAELAAYRFLVGLFESAFFPAMHYIFGAWYRGDEIARRG GVFYVGLTLGTLTAGLIQAGASARLEGVRGLAGWRWMYIICSLITIPIGILGYVVLPG TPDRPNRIVLRRDDVDVARARLARAGHGTEARPLTWGALWRLGRRPRFWALLLLDIFF WNGSINTSTGGYLLWLKSLGRYSNARVNELGSAAPALGILYTLLICFGSDLVLGPAWA ITAAHVWNAIGLVILVVWNVPESALWFAFMTTYSAVAMSSVLYGWVNAQLRASPAERA FTLVLINTVSQSTTAWTPLLVFPTVEAPRFTKGYSFVLANAICLILLAHIIRLFLEKR E MYCTH_2064613 MAEENGTTTPAANGTQDTGSGKRQILLNAFDMSTIGHLSPGQWK NPVDKSATKRNLEYWIELAKLLDRGGINALFLADTYGGYDTYEGSLDNCIRRAAQWPV TDPTIPISAMAAVTKNLSFAITASTSFEPPFLLAKRFSTLDHFTKGRIGWNIVTSWKK AAFKAIGLDSPIEHDERYRQADEYLRVLYKLWEGSWADDAIAPDPENDSYADPDKIRT INHRGKYFSLSSRHIVDPSPQRTPFLFQAGTSEAGSEFAATHAEAVFVSSHSPAILRP KVARIRALAAARGRDPRSIKFFATFTPVLGRTDAEAEAKLAELRRYASTVGGLVLFSG WTGVDISRIPLDQPITAADSLEAHKVRSILDAFTTTSREVPEWTPRVVAERASIGGLG PVAVGSPATVADEMERWVREADLDGFNIAYVTTPGSFEDLVDLLVPELRRRGLYPDPP EEPLTAREKIYGKGQKGLRDDHPGSAYKYAVYKEDPPHVDEPQPQQEQEQEQEQEQEQ QQQQAAETK MYCTH_2307580 MRLSITALAAGLAFAPLAAAFGRGLIMDIGPDDDTVSETELQKR AETVNGWGTFDQLIDHANPSLGTFKQRYWYGTEYWKGPGSPIYLVSPGEQSGEGFNRT WLTTARLSGVMANQTGGAVIVLEHRYWGQSSPYDELTVENLRYLTLDNSLKDLVYFAK NFAPPFDPSGSSSADKAPWIFAGGSYSGALAGWLAAREPGTFWAYYSSSGVVEAIGDF WQYFVPVQEATPKNCSADVGAVINYVDLVLSFGSKKSKQALKDKFGLGELEDADFAAA LEWGPWEWQSGQFYSFNTTGYNPFYRFCDYVENVWPNSTNKVPGPRGVGLTKALEGYA KYVKEQVIPGFCESAGYPEWEGENNIACFKNLDPNNAAYKDLSLDNWINRQWNWMLCN EPFEWWQDGAPLTRPTLVSRLVNADYWRKQCPLHFPEGGYGIAAGKRAKDVNRWTGGW SVTNTTRAMHTNGQYDPWRDATLSSKFRPGGPVQSTERLPVRLVKGGTHCSDLYGQNW AVNEDVKKLAQDAADEMTGWVGEWYEEKGLPKPWAA MYCTH_2119593 MHSLFQLIGWKLTSRRRRRRRRLDQRVEAALKKYLHDREEALDR ELKMGFEAAIKNASLYKRPTDLELSADRTLQLMKQHDIDTYYRGSETDSLGQAHPHFY GDHFLHNVNIIERTKLFRLCQGMPKGAHLHIHFNANCHPSFLLNIAKEMNHMYIWSTK ALTNKEAFQRCEIRFSILSEQNLKKKNPLGNLNILSSEYKTYEDLKDRGETGWMSYKT FRMLFPQHKIEGVEKDVDKWLLSKLVFSAEEAYNSRQCAAGMMKGLFNYQTAFVRYTQ ACLEEFARDNIQYAEIRLDFMPNNQLWDDEGKDQMSNERMMELIIEGYNAFQLRHQRK VFKGLKVIYCTPRSFDKPKIVFALNQCIEFKKKFPEFIAGFDLVGEKGNGNKYPLKHF AEELLEFRHKCKAENLDIPFLFHCGETLENGTDADHDLIDAILLGAKRIAHGYAVPWH PWVMQQLKARNICIELCPISNEILGLTSRIGGHSAYTALANDIVACVNTDHGGLFRST LAHEFYQIMAGKRNMTLIDFRQLAYWSLEFSCMTPAEKNAVIDDWEKMWSYFVLRIFA GDFGLLGHSSSSQPASHAGAAAPHSSRPGSSGAAEWHHRRETPEALLGA MYCTH_2119594 MPGETQTYNDKYVIVYNFKDVDPEIANKEITLLVEDLESVGLQT ELRSGRGQTLLIFVKAPRNLLGSYVYNSRLKDWLYGVVQTHPGGGKDTIVDGAFEAED VLSVYHLVTWPKSNGGAGIIPGFGQWKNVDSVFPLHNEPANLALLRHLSSRLWLTSDD LDRIRNLFGTKVAFYYAFIQDYVVFLTFPAISGLVAWKFLPAYSLTFAILTTVWCTVF LEYWKVRQVDLSIRWDVKGVGAVKITRPQYRWQKIIVDSTGRRKHYYPKRKQMLRQLL QIPFMITAVVILGVIILGIFALETLISEAYDGPYRDAIEYVPTILLGIALPQVTGYLE AIATFIADHENHRTADTYDMSRTQKLFFLQSITNYLPILITAFVYVPFGNRIIPLLES LAIRIAGPYVSGYIGHRQTRQADGDRLRTEIVALTVTGQISSFFEENLLPVLKRKSLE WYRHYRHQRGAGHSDLSSIANDDPNEAEFLESARRQAALEPYNVQDDIAEIVLQFGFL ALFSPAWPLVSAGFLVNNIIELRTDFFKLVHAHQRPAPVRTDGIGPWIASLDLLAWAG SITTGAIVHLYGSGGRGGGGGGGGGAWWALPLTIFVSEHVFLALRGVARFVLERVGPS EHIRKQRAERYLSRVRHLEEIEANRRANLASAATPAEKQRKRSIRAADSDAFFARQVE EGASARAGIALMQAFRKAAGSPEAAGTIIRWQPKLD MYCTH_2307590 MAADDESRRREIDNGSDSVKHFEETTPLVSTQAKTAYVTSGTVE EEAWKPSAGFWWIETALWANVFLSGFDGTITASTYAAIGSEFDAANNAAWLTTSYLVT STAFQPLYGRFSDMFGRRICFFISTVTFMIGCFGCSVADSMLMLDIMRALTGFGGGGL ITMATVINSDMIPFRKRGMYQAMQNILYGLGAVLGASLGGLIAESIGWRWCFLLQVPV SVVALVVGYIVLENPPPIVPVPDPKHPVLCALRRLDFLGALVLVLALVAQLMGLSLGG NDLPWGSPIVIGSLVGSVVLLLMFLGTEATTKAVPIIPLRMLHGWQPTAVQLTNVFSG MAAYAYMFMVPLYFQAVRGDSPSEAGLRLMIPAAASPIGGVVAGSLMHRGYPLCLNVR LGTATMLLGNLLAVMMGTRGNWWIDFVYLLPANLGLGITNPSVLFSFVSLFEHSEQAV ATSTVYLIRSMGSIYGVTVTSAIVQNVLLARLPGTLGDAATEELVEKLRQSLFSIGEL PPSLQAAVRALYCDALRIAFAASSAFALLSFLFSLAHKTGPLERKRPAEESCEEDADG KDADEC MYCTH_68753 MKLDSFVATAFLASTAAAIGPAGPIPRLHGIAEKMKNWKWPDPF SSPRHEEFTAACEATGRFRAAEHPLDDLSLEHSRGGLLAYRDALKSVFASREYPGSWD GVDPHGYDRKLLIMDYETMPLRVREWIEHQERTNGPGKGLFAVYPRPAPGTRVMKTIK VPDEVPVSAEWRAKDDRRVALFAPGAIYEHLPLWVAEGSECEEQLADLSKYSAELVDG GVVAYPVDHSSPGSSPLQREIEFTIKAQVLKLKEGVQAAEQTEKTKDTKSAGKEEL MYCTH_116157 MGRGAAFLGLASLLVGAAKAQTPGEGEEVHPQITTYRCTKADGC EEKTNYIVLDALSHPVHQVDNPYNCGDWGQKPNETACPDLESCARNCIMDPVSDYGRH GVSTDGTSLRLKQLVGGNVVSPRVYLLDETKERYEMLKLTGNEFTFDVDATKLPCGMN SALYLSEMDATGARSELNPGGATFGTGYCDAQCYVTPFINGLGNIEGKGACCNEMDIW EANARAQHIAPHPCSKAGPYLCEGAECEFDGVCDKNGCAWNPYRVNVTDYYGEGAEFR VDTTRPFSVVTQFRAGGDAGGGKLESIYRLFVQDGRVIESYVVDKPGLPPTDRMTDEF CAATGAARFTELGAMEAMGDALTRGMVLALSIWWSEGDNMNWLDSGEAGPCDPDEGNP SNIIRVQPDPEVVFSNLRWGEIGSTYESAVDGPVGKGKGKGKGKAPAGDGNGKEKSNG KRFRRF MYCTH_2307597 MAENATSPAAGGFLPQSLPSPAPTASTVSTRPVGLPHPRSRALR PGSAKEDQVRNFISDRMAHITRRFVKKVGAASLGDKVDDQMMDEEEVEGYNSLDELCK DLNEVIRIVWLSGTPNLQIPSLLNIASEFNTWMTGFPPSETAAFDILHKLDHCFASLL SGEDIESHEPLPGFENGLRSGMTRTDMVRCKSTVQNARVVVVDVMSKRRPGNVQEVPA DETEESGTEGPGGFNDSAWDDKESLYMDVARVYENTLVKLGDTMGESGIADTEMSAE MYCTH_2307599 MAPKRLGGSRRSYNPITGLYNAFFVSENAPIVRSVAAFGVAVTF LASGFAGAILCPE MYCTH_2128417 MPSANTNNHRRSTSRGNPPLPPPPLTAASSVYSQQSNAASVPVS RPRPTSSAFFHPTATSNPSLNRLTARQSGVGIDQNREDGAAYAHAPGSSTPGKSGNKP SSPRSSSSSSTSLRNQVLRVDAASMPAGAKVGLWLMGTTPRRMEKAARQQQERRARER EEKRARGAAKNMRRSKSEDGSGGDGRLGEEWERTLEGEGE MYCTH_2307600 MLPPIPVLADYGISPTHGFLPDVLPLTRLPDPYYNKWEAIVANL QGLILSKRLRGVIDRLPVLSTIGLEHEAEWRRAYSILCFMAHGYIWGGDSPSDRLPPS ISIPLLEISAHLEVPPVATYAAVCLWNFKPLFMDEGIDNLENLATLTTLTGSLDESWF YLVSVAMEARGAPIIPLMLTAIAAAREDDATTVTSCLNTFAERLDDLTALLQRMHENC DPHIFYHRIRPFLAGSKNMAEAGLPHGVIYEDGSGKETYRQYAGGSNAQSSLIQFFDI VLGVDHRPTGEKKSRSVTQDTAEREGRAPPPKHNFIMEMRKYMPGPHARFLGDVQAVA NLRDYVESHADNRPLCVAYDACLSMLRNLRDKHIAIVTRYIVVPSREVRARSRSPEAT RRKINLATASRQRPGPQGIAYRPEEPNDADGKKGASLKGTGGTALISFLKQARDETGE PAIEEWTKRFMSRQLRTEGQGDFFAGKPDEGAGLQVSAAAGGAEEVTMHGLAGSWTMD EDVGGICNY MYCTH_2307601 MVVRPGQHVDPQGQVYHPYLVGWATFWDKSTILDFLAILQDVFA KEPPIVARQPGPPPPRAQPTQTPPPVPPLPPDLAPKPASRVQSPASEGPRPPPPPPKP GTQPAPQQQPSPVPVRQDGPPAPPPPPPKIGGPLGQHASGGSPPTRHEPARAGPSRYE TAAPLPPEAQAQAARGPPVSMPPMSPPAPAAMQHPSYHSMQYQGPPPTASFSPGPPHS PQPPTYPPADPRMSTFAHPQGYSTPPPTWQQTLPPVQTQSQQKPPPPPDLLDDDLTVT IPSPSSVPPPPIPPNPEKDQLLHQLAQTLHAYREKARAQNTASLEGLRAQRAAMLQAM TTLQAESAQLTQLTAVLNSNTSILQDSLRQADSVIESSANHPRPDIDELLVAPTVVGN QLYELVAEERALADAIFVLGRAVEKGRITPQTFAKMTRSLAREWYLKKALVRKIGKGM GLTE MYCTH_102297 MYSTATELLRGGKLNFRTSSSSITGNEIRYNDPDCRPGMRCTRI EACDDPGTAPTLSADKKHFACCLEGQQLLGSPDTAFDCCAGGHDLVGSAATGYRCCPI GYTYDGQLCRELCKNGKQLVDGKCVCPEGTVEAADGTCRQARPPKPDCSSGLETGKCH TFTSENGDRLGLHNDGVYYATKDSIAHRYGKFQLCKDEKCTPGLAINPSDKTYIRDIY GDVTTGANAGMWLNNAKNGRHIGRTKAFDQAGEFALSKWPCGKYCLGGFRHGLGPACP AQTPALTFYTQDPQMCVPFELTEVPCDIKSDANNCIWTNGDQCCDKVDCSWRRRGGDS GHKCH MYCTH_2032939 FNPLNQTSFVLYSDGTTPIPFNTHNVTEMYLQATSLSILYGTQV GACVMMLAVVLGMTPSARFRRAPTLVSIVALALNAVRMVLLALFFTTTWVDLYVLVSQ DAAVVPRRAFVLSAAATALSVPVTALILAALSLQAWSMLRLWSVVWKVPAVAASLGLV GLTMGFSITTTVIQVRAILFADLASIATWARQTYLCLITASICWFCFLFNVRLVMHMW TNRSILPSMKGLKAMDVLVITNGILMFVPADFWCSSETTTTVVFSGLEFGNWERFEPA SITQTSVIVVLPLGTLVAQRLANPSWFGTG MYCTH_102295 MVRIAVGQLTSTASMARNLSQCRTLVKKAAQAGAKALFLPEASD YIASSPAETVALVRPVDKSEFVLGLQEEARREKLPIHVGVHEPGLDSNKVKNTVLWIN ERGEIAHRYQKIHMFDVDIKDGPVLKESNSVEPGNEILPPFDTTLGKVGSTICFDLRF PEISIALKRLGADIITYPSAFTVPTGRAHWEVLLRTRAIETQCYVVAAAQVGRHNEKR VSYGHSMIVDPWGVVLAELGDTSEEPEIATADIDHDLLRKVRMEVPLRRRTDVYPEI MYCTH_53698 MHILSFVSAFSLASLALASPVEVAERQAQKLRIMPLGDSITEIT CWRAFVWDQLAAAGLADQVQYVGSQNSNPQGCQPQTANWDQHHEGHSGWLAIDIANNY LANWLRSTPADIVMFMLGTNDVVRGHTTDEIINAYTKMVEIMRAANPNTKIIVDLVIP LPFSNGPIQAINSRIPQWAAGLNSTESPIVVADCYQGFTSSMLRDGVHPNLEGDRLIA SRVGPLLLDYVKQSLGQ MYCTH_2307606 MTEEERARVSHCIELTQNGKGAMGDRIEAMKERRGRRPGPNRAR ERRVPSLSPQSPPLPSRRKPRRERNPWPMRFVQTSFSRLPVRL MYCTH_2307608 MLLSAKRAIIATCGIAACVILVSFNRLRLVTAASQRSTEAWGGL QPHWTTEQEEPRFAYVQYATDINYLCNAIMNFVRLDRYGTHFDRVLIHPRDWAEPGDS REQIALSRIRSQYPHVQLRPVEVLSTSAGDPTWHKSLTKFHAFALTDYTRVLVFDSDS MVLNNMDHCFLAPLAPVAVPRAYWLHDASSSSSSIKDQILASHVMLIEPNQRTFERIL AEARSSGAFDMEVLNALFADSAMILPHRRYALLTGEFRTKDHRHYLSEDPDAEWNATA EVSRAYLVHFSDWPLPKPWLPRSPEQWAAALPACGDGEEDAERPDRPRCADRVMWTRF YEDYDRDRREVCGPLGMTGES MYCTH_2307610 MNPWESITPSPDLAWHPCYTAINPSFLCARLTVPMDYAHENDDP DGTKVHLALVLLPPASQGQTPSDHTTTDPPKKAPLLINPGGPGGSGALLALLMGPSLQ RALGTDQPILGFDPRGVGFTTPVADCWAAPAPPDACDDEDGGGGGGGAGPACEDDAAK GLLRRVEWEQVNTAYGLVGESEAATRYLEAGHRGVNALCRSRDDRHGGASGLAWSGTG EVARDMARIVDKWDEWVDLEEEEAGAAAEREMRGKLVYWGFSYGTYLGATFARMFPHR VGRLLLDGVVDAELYERPVWKESLVDADKVLDEFFRYCAAAGRDCALYRDGDQADDVR RKYETVMERLRTSPVTFTHPDYFYPVILRESLVKQLAFTVLYSPIQGFPGLAWILDCI YEGRYEQLSAMFQDSELMCSVPGNNLLMGLKTDAQRAVMCSDKRQPVNMTVPDWEAEH KNMAELSQFAGIWMGIMMQCSGWDVSPPHRAPMGPWTSSRGQFETANPILFLSNTHDP VTPLRAAVKMALKFKGAGLLEQKSLGHCTVSTVSRCTTRVVREYLATGKVPPPPAAVD GNYTGEWMRCDVDEVPWRPAGPKLAASLEDEERDMIDAWRQLRRTLDSMERWNVGRWR KGMDGEAVMELFREVKNVLAE MYCTH_53224 MMQAQTAPRYNGHQSSPRSAHAQPPRHPDPPGGSDDGQNSADDL DNDDDQHEETRLGKRKRPLSVSCELCKQRKVKCDRGQPSCGWCSRNGALCEYKERKKP GLRAGYGRELEQRLDKLEEKLRVHAEILQALTSNNSPASGNGSAGTGLTHHAPPSVRG SNTSIPSDQGTPREAIPPIFGRPGHDTIRTPQAETALFLQKPSTSIDFGLSAGSVHDT FPPAPPAVSGISPPNHMQAAMPPSAPAPEYYSAGHAAQLQSPSAATQHSAHMAPEQEL PPYDLLYALVDLYFKHVNTWCPILHRKTTLDSLFGPSMIDDEDKLLLHAIVATALRYS TDARLTEESRKRYHDASKQRVLLYGMEHLSVKSLQALVILALDLCGSSNGPPGWNIMA LITRAVVQLGLAVESNSFSVAPGYTSIYTLRAMILPEPRDFIEEESRRRLFWMVYLLD RYATIATAFEFGIDDREIDRTLPCREDLWMKNQKVETRWFRADDPKPGNCGGAPEHEV DKPENLGAFSYYIEILGILSKIHKFLKQPVDISALSDVETWQMRYKELYNMLASWKFG LPGEYGNMAKLFQPASAKTLNCGWVMLHATYHTAVIRLHSSAAYPTTRSPIFTPSYSA SQRCHTAVENISALCEFVVNNGLLVKLGPPFAFTLWVAARVLLVHGSTVERKLSPQIG LFVDTLREMGRYWPVAARYCSLLTRVLDEHRDSERQGGGEVPGSVKILADMRRTAFDL DFLISRQPRHVPPTANGGGNGHGGGNGGWQSRFPSATPLSRTPAPNELEYLDVFDFFN VPRLPVEPGTGAGAGGDGTGNGMEAGGSAVPGVPGEFNITDFMVDANRDWLFQQGDSK FLS MYCTH_81869 MSAMREFPTIKAIRSYVISGVGSGGDYHNVKGGHWLIDSPISTP CSRWEKYRASRTSWGINVLGSFFIEVEATDGTVGYATGFGGPPACWLVHQHFERFLIG ADPRNTNHLFEQMYRASMFYGRKGLPVAVISVIDLAIWDLLGKIRGEPVYKLIGGNTK DRIDFYCTGPEPTAAKAMGFWGAKVPLPYCPEEGQAGLKKNVEFLRKHRQAVGPDFPL MVDCYMSLNVPYTIEIAKACEDLNINWWEECLSPDDTDGFEQIKRAHPTLKFTTGEHE YSRYGFRKLIEGRNLDIIQPDVMWLGGMTELLKVAAMAAAYDIPVVPHASGPYSYHFV ISQPNTPFQEYLANSPDGKSVLPVFGDLFVDEPIPTKGYLTVAELDKPGFGLTLNPAA RSKLIPATYLLTPPTASLSPPTNGVPAENECKEAVDSSKTA MYCTH_102290 MAPQAKLLAGKTAIITGGTTGIGRAIALTFVAQGCNVAVNHLDL EKDKRHLDSLLSEAAAIREKDPAAGRLAHLPGDVRDPATGPALVAFALSEFGTKRLDV CVSNAGICTFAPLLDLSADLFSNTVRTNLDGAFYVVQAAARQMALHNDPPGGGSIIAI SSISALVGGGLQAHYTPTKAGVLSLMQSAAVALGRYGIRCNALLPGTIKTQLNEEDLK DDAKRTYMEGRIPLGRTGVPDDLAGPAVFLACDELSGYVTGAQLLVDGGLFVNLQ MYCTH_2307619 MPSLARAVLLALATLTATGTTTAAAPTSSGPHPTRRADYHPSSA PQEDDYCGEAVPRYSHGPSAPLVADCRALSDANPGPGYWLVTASETESREVAGAAGAD DRWTRLAASGTCAVEVRLSHQNDAARGGPGVVDYRFGTNDLRFYVRAHLTDANARDGR AGVSSGVWCRRGDVQGQVVVDFRFVSA MYCTH_2307620 MIARTSVAKPAFVEVVLAMALAYRFLPISRIKDAKRRAELELDK SQRRLEEA MYCTH_2307621 MVACGLSTLDELEEVERQETPAIPSSQINDAVDTVDWGAVFGSV PGFPLVDPDSAGGTVPVS MYCTH_2063953 NVFVPLAIEEFNKDFSNYEVISFLDLFSRYDQVSLNERSRDLTT FQTPISLFWIYTLPIGGTNSIA MYCTH_2307622 MGQTLLTRHEAYMASAERDRLELTARIEQLERDNAELEAKNKSI TEENKSLREELEQVNDTVKDAETKIGLLEATLLDSQREVRRLENATDRAATLERQIAL LEEEQVVLRTTISRTQEEARTAMYRWRQAEKGLSDLQEQLERMEKEAREERERHVQVI NRLERQRAMERELNTAAGRLKGAAAAKSMTDGRNSGKVVSHFVRDLLQDNANLQLGIA ELREMLINANDEIQMLREQLMYHQPAGSGEPRSPKTLQAELAMKEPPPTPPQPQRVSQ ELHVHHHFHVTHKPEARKSRKRRAGLTPGVLTPPQLSAPGSPIAARFQRGSLAGPLLS SGPNDPPPTSSTARWSLQSQNQPEFAPSSAPSSPRSTNRDSVFDGVGDLPSPTSPTTS LDPTSPSWKNAHRKKISELSLRSISETAMFPTDPAHAPRGHASRPRALTRYSIMSSHS NASTNSPYTTDDVPTITSPPLSGYKDYDGVTDAGVQTAFSFASLQFDSTVKQRPRGLR HVVSHESIMSLSNGLDIHTLKARPSQLSLKPLGLTAAGTNLSDVTAQPTLTSGNAEGR RGSVILRDSIAQSLHLVTPRRTGERVVSSPVRGRGDDRDSGRSASRAPSALGRLVSWR PWKGGNYNTDATHSVLSPEASPSSTPVLAASAASTSAEPISALSLPANAAVAASSTAS TQLSKSPQGSLSSAITTTTTSTSSTTAKKTTAANANANAAMAAMFRAPGVNQPGPVPG FQEFWAAQKRRAPPSKVSVDDQAGVQEALREVLEES MYCTH_2307624 MAETNNRLSCEGAATPAPSQKRDREYGMCVDPLVDERSEDGREG FQRKLTTLKEEGRLLEIYGFILKYRPGRPVRLRQPIRGGYNAAFCLEYADGAAVLRVA LPGVNAFAEEKVRVEVATLRYIERMTSIPVPHVYHWGTAAESPLGLGPFIIMDYIPHK CSLADILQDPSVKEDPGRQYLDRNVPGDKLERLYGQVARIMLELSRLEMSRIGSLAYD EDRDAFTVGSRPLTQDMNELVVQGGVPPCVLPPEPTTYASSREWYEVLADLHVAHLTF QRNQAIESADDCRDKFVARFLFRQLVRQGKLLPDWEGGSWGRKKRRGEEKFKLWIDDF RPHNILVDADLNVVGVIDWEWAYFAPASFRDEPPWWLLLGRPELWRGTVLDWRDEFSG VLDVFCRALDHVEEKEEEREEKEDDGKWSIDQRIDALGLDAKEGFPTMTLRLSERMRQ SWDSGTFWANYAARRCYGFDPVFWDFLDERFFRENAEGGFEGRMHLLPDKVRRRMQSF VDKKVEDSKEEKIDEWEPQQAREYLAEILADLD MYCTH_2063591 MKLSTLPAALLSLILLAHQAAAAPLGNVDAEAAASQRILELQAQ YQRNVLDAISNRTSGCTAQNIQRRQEWYPQCNTLSLADRAAFISAIHCLNALPARTPQ STAPGARALYDDFIVAHILQTPFVHASGLFLPFHRHLLHLFAGALRDRCDYAGPLPYW DWTASYADPRAAAVFDGGPHSLGGNGAFVPGRNGTVISVPGGAHVVIPPATGGGCVTT GPFRQGRFEVRLGPVAFEPRGPHGGLGYNPRCLRRDLSPHFSLGTRPGAVVALLDGCA GDLGCLVRDMDAPGGVPGGVHASGHWQVGPDALDVFASPSDPVFWLHHAQVDRVWTIW QGLDLEGRTYQVWGTSTAANDPPSDDVTLETAMDFGILGEAKTVGEVASTVDGEYCYM YE MYCTH_2307626 MAAGQASTKGSTKTRVPERKPRKSSASRGDAIIHTADAAVDATD SPEPPDSPVPEDDDRQTPSPRLQHARRRQNRVGKRYRDKLTACFETLQATLGVDEVEA RVDGSIDGKQHGISEGRRGKTRARRRPLNKAEVLDLTCERVKTLLQEWEAVKAAREAM QTQREAEGW MYCTH_2307628 MTGSKEQVYAPGHHPSQTKHHEWRTAENSAAHLIPHLQRLGRER PHLRVLDVGAGSGTITASLAKYLPPDGTILGTDISDDILARAREHAAAQGPDVARRVA FQRASVYELPFPDGAFDVVHAHQVLCHLASPADAVREMARVCAPGGVVALREADLRMW CAWPALDGLERFQRLMADVLVANGGQAEGGRRLLSWVLEAGAERGDVEVGFGTWCYSA PEDRRAWGGSMINRLRTGQMRDKGIELGIVTEEGIEEMIKAWEEWIGADDATLGIVNG EVIWKKK MYCTH_2307629 MANPVGEDSWVEYVDNQLREATDLESRVRVIESFRRATQAEPGS LKVWMAYCEYFWSLYTDCHPGSDAGWPQEEQQFGREKFTLDAALHLWQEGYEAVQYRL SDSHELWNRWVSLEMELLRRTATEAGVRRITHLFRNRLAVPHAAWDATSQMFSTFLSE YNRHAYEAEMQQVTRNAKTAKRLYDLRDPWETKLALAVKSHDTAALRSIMSEYLDWEI RLTKSKKDARDVVVNFQICLGLFSRALTGVLASDEDTWHNFVVLISTTHTDLKNGRCH IPAHLVPNKLDVLQRAVRHVPWSGAIWARYILTAEEVGLSFTDIERIKHAATDSAQLD RDGMSGVIEMYSAWCGYLKRSAMNPCATEEAVDVAEVGLPSALEDVKHWGRRKYGEAY QGDPDYRLERILIQFLTERKEDIEGARAVWEELSRVELHANNYDFWLNWYMWEMVVFA SARSKARSPTPATMAQGLRVPTYATRVFTRALKVRTVDWPERLMEVYLKHCNDYELAE TLREAQDTIYKTRKGVAKRREREAAQAAQAAQAAQAAQQQATQIDQPMADATDVDSSP GSKRKREATPGEDDNGNKRARSESHGEELKRDRENTSVWVTNLPKDATQTKLKQFFRG YGHINNIELQKRDDSAIALVELGSPEDARSALVRDAKYFGDHVVQVTPATDCTLFVTN YPPEADEQYLRDLFKNCGEIFSIRFPSLKYNTRRRFCYITFRDRTAAAAATRLDGKPL ESGKYKLTAKFSDPLARQQRHGAQAEERELHIVNLPRSASEDDVRGIFNKAGRVTSVR IPRNMAGQSHGTAFVVMETKAEAQEAIRTLDKLIFGNHPIKVELSRPPANKVTATTRV TDGVMREQTASPSPEPSNPQSRPTPSSPGAAGAKPTPAELAARTIAVLNVPDTMTDAR LRTVLASASGLQDLTKLVLHPQHGGAVLEYATPTAAGKAALAVDGVEVGEGGRKLRTG TVAELFKAKAEKRVDRIDLPSASRSATGGQDEMPKKNAAAAGLMPPPPAVVRRPAAGA GRGGPKRLLGFVKSTDVTKKAEGDAEGLTNGVKSPEKDQGGRKSNEDFKRMFLGGSGD TNNKDAAAPDSDAHADKVETKVEG MYCTH_2307630 MAKSPIPQEIVSAGAVPILTVEMHTTGEPTRIIYSGFPEPKGST LLQKLADVEANHDHVRGRLMLEPRGHKEMYGALLVNETELTATGEADIGVIFMHTAGY SPMCGHATIAVSRFLVDFDPETCPGLFPKRNFRLDEETMTTALKLHCPCGVVNVTVPV VNGPHGRLQSDPKRPISFINVDSYATGIDVEIPLPEPYRWPELGARTSLTADISYGGA FYCLVDVEQLGFKDGLKSTDLDALSRATAKLRDALKADPRYKVYFQHPTEPELSYLYG VIVRDPKRGVPAEGADGAEVGLCFFGAQQVDRSPCGSGSAARRALAHAKHGWPPEKKW TYHCFLSDACGGLGGFTASVVGKSADQANEPPRAGERVRIRVEGQAFYTGFATFLAEA VDGISASGFVA MYCTH_2307632 MPTVDAPKLQPPMEAANPAPLQQQPGAQAIVATQPKSEPRPSME NEMTLRGGGFTHYCGFTCCGGRCHFRLC MYCTH_2307634 MAGKKKTKKPAANPARGFATTSIASKARVDPAEASGDSTPASKA NKAAPPTNDAPPTAPRNTSTETGGKAQEKQLSPEEFERQLEESELQLLVDKYAQKVRK DAQRQKTRLETDRRLLRGSAEPISAKKWLPQELMDHILDLIQAEGRFAASSVSSEGAA SRLPPEEDLIIRLWTLQETLQILGFPEQRIQPALQFVLDIAPNIPYNSRSESIWGLEE VLDWFARECSKEELPDYSGRKTGAKSQADTPVDSPSRPGTPFEIDPRPGKKGKNGAAN RSRQPSPKHRTVTYDEDIEPDQLLPFYLEAKTQLFAIQRPRQDAAKRKGTKDKPDSQT DPEEALLLAKIDRVERDVLFDKRVAEEQWRNKKIALEKEYAAAKAEQKKKETPEEEPA PDDSNDVNAEAERIAAEILAEESDDQALSDLFATLPVSEVDPVTGKASTVMNGADGSK ITIRDFGKWTGVTPMRALEEACRARDSSVRISYHLLSDVAFANRHAVNVVWSKPQEIP PDPEIPELEVFTTPTQFVYKMISVATPDKKQSEAFIATAALFCIFGSSSKEEKVALRL PATWKDLWSEYAEANKSRADEADRNLVRHIRDLVRKRVEQELEDGVLIQGFKGRGQSR NQADSDHSDQERLKRQPNDAEYYRNVWLQKSSSPKFQQMLASRMELPMWQFRQQVVDM VEREQVVIICGETGCGKSTQVPSFLLEHQLLQGRPCKIYCTEPRRISAISLARRVSEE LGEGKGDLGTNRSLVGYSIRLESNTARETRLVYATTGIVMRMLEGSNDLQDITHLVLD EVHERSIDSDFLLIVLKKLLLRRKDLKVVLMSATVDAERFSKYLGGAPVLTVPGRTFP VRVQFLEDAVELTGYTVDQRSQEKLTELDDDVEPEVDTSSKPELLRGLKSYSSRTRNT LAQMDEYRIEYDLIVQLISRIAVDPEYAPYSKAILVFLPGIAEIRTLNDMLLGDRAFA SNWLVYPMHSSIASEEQEAAFLVPPPGMRKIVLATNIAETGITIPDVTCVIDTGKHRE MHFDERRQLSRLVDSFISRANAKQRRGRAGRVQEGLCFHLFTKYRHDRLMNDQQTPEM LRLSLQDLAIRVKICKMGGIEETLSQALDPPSAKNIRRAIDALVDVRALTPTTEELTP LGLQLARLPLDVFLGKLILLGAVFKCLDMAITVAAILSSKSPFVAPFGQRNQADSIRR GFRKGDSDLLTVYNAYTAWKRVCQAATSGGAEFQFCRKNFLSPQTLANIEDLKGQLLV AVADSGFLQLTDAERQALNKLRFSGRRRHQAFFDIPKRVDSNSDNEVVAQSVIAWSFY PKLLVRDPGSKGLRNIGNNQSISLHPSSVNKGYNELRWLSYYNIMQSKSFYNAHETTA TDPFAIALLCGDVRADMYAGVFVLDGNRARFSVPDWKTMLVIKVLRARLREMLTRCFK SPGRLITAQHERWLDVWQRVFALAQETRERAAAAAAGAGGSGNNGVVLVGAGER MYCTH_2307636 MTEVAANDTPGSFDLEQAKAALTSSSTTARIAQLRGIDESISHK ALDRTATLGILKVLFWTHAIYIDRPSRQAVQRCLASLCRVGDADVLAPLIAAVRQETQ KHGIAPDSAFVLVEWSSLLTQHFAGTPLWDKFGKDIILATADGLEKCLQPTAKGTIGS SALVITRRALRKLAAADQKAIDAAVQLLTAKGSQPAAKYAVLLGAIAGVCSRKPEAKP VVEGLKNQYLAFYVREIVGSRTPVPAHQANGLGDFFSAFVSLEDLEKEVFPALEKGLL RAPEVVLNDLITPLVRSLPQFDLSKTLSGRFVKPLLSNIKSSNATIRSGAVTAFRVIA SSSQDFTLLEQAADEVLGPLKSGKLASADHRVLHSEMLVALPLSTSIATKIASGLPAL VGKEANEAALSAETLALNASATALLRDGEAPKTLLDAYAKGLADKKAPIRRIWILRTG DLLQTFAEKPKADLPKSVVTFAEAVLPPLLSTFNEVVANPIAAAQSGLVSAALVVCAL ESLLSRLESANVQSLLQKPSIQKNSLAVEPKPSYLLNQRIYSKFSGDDSKWLCRALSE IVPALSSSPEAVRIAWAQAYIYLICSTGSSPDVRRQTINALSGLCAQKAAADGASVAS EIINGLWHWIEALEAAEKESAAVLAKSGNSNLHLVLKSICPPKGSASRDGSDADSTQK ESQMCSLLVLARPQLIPRASWIDLCLRVGLDPGELAKKHEETLIQEIVGRTSYGQKSE AVKNAAYSAAAELVFVAPESMTQRIVDLIRNDLDTSQLQTVGPLEAAIFRTPEGTAFV DVLAKKQNVVPNKNVKDYDLLKWEEELRAQLAEKKGIQKKLTADETAKVNAQLKKEAE IRDQVRQIASRLLRGFGIVKALATGPPSDVRRWIGAAISATLTSIKAGATLITAETGP MAFVSCAEQVSSRLGTIRPFIGAATLRAHDVSALPEELTQEPFEDLVTRVLFRLRFAS EQRPFDVVTLVYILPLLLLVLEKGGFGGNADDRDAQLVLAIETLSFHTDVTADEAIPR AEILDGLILAMQRYSQHYKILKDCFSDMVRCVAPNISSEEIGVLSRGSIVPQASVRTA VLQAISAEVDMSEVGVSEEMWIACHDDIEENVELGREIWEESEFQVSEELAHKMLPYL ESKDAQLRRAAAKSLAEAASQNPTVIPPILEKLQSSYVELAKPRVQELDEFGMPKKMD LSDPWEARHGIALAFRHLAPDLGKAQLEPFFNFLIEQGPLADRNATVRSTMLDAANTA IEIHGKGVLDRLMKTFEKTLEAPDKNSEAADRVNEAVIIMYGALARHLKPGDKKIPVV IERLLATLSTPSEAVQYAIAECLPPLVRTCGDKSSKYIDQVLETLLTSKNYPEQRGAA YGLAGLVLGRGINVLREYRIMITLSSALENKKEIRQRESAMLAYELLSTILGRLFEPY VIQIVPQLLAGFGDGNADVRDAALAAAKACFSSLSSYGVKQILPTLLDGLEEDQWRSK KGACDTLGAMAYLDPQQLAQSLPEIIPPLTAVLNDSHKEVRAAANKSLKRFGEVITNP EIKGLVDILLKALSDPTKYTDEALDALIKVQFVHYLDAPSLALISRILQRGLSDRSNT KRKASQVIGSLAHLTERKDLVAHLPVLVAGLKVAVVDPVPTTRATASRALGSLVEKLG EDALPDLIPGLMHTLKSETGAGDRLGSAQALSEVLAGLGTARLEETLPTILQNVESPK PSVREGFMSLFIFLPVCFGNSFANYLGRIIPPILSGLADDVEAIRDTALRAGRLLVKN FAVRAVDLLLPELERGMADDNYRIRLSSVELVGDLLFNLAGVKSKTEEEEQDQDVTKE AGASLREVLGEEKRNKVLSALYVCRCDTAVAVRAAAIGIWKSLVHSPRTLKELVPSLT QLIIRRLGSSNMEHKVIASNALGELIRKAGDGVLATLLPTLEEGLQTSRDVDAKQGIC LALKELISSASPEALEDHEKTLISVVRTALTDSDTDVREAAAEAFDSLQQIVGKRAVD QVLPYLLNLLRSEADANNALAALLTLLTESTRSNIILPNLIPTLITPPISAFNAKALA SLSKVAGAAMNRRLPNIINSLMDNIVNCTEDELREDLDASFDTVILSIDEYDGLNVVM NVLLQLIKHDDHRKRAATARHLGKFFAEAGVDYSRYNQDIIRALLISFDDRDMEVVKA AWGALNEFTKRLKKEEMEALVQSTRQTLLQVGVAGHNLPGFELPKGINAILPIFLQGL MNGTAEQRVSAALAISDIVDRTSEESLKPFVTQITGPLIRVVSERSTEVKSAILLTLN HLLEKMPTALKPFLPQLQRTFAKSLADPSSDVLRSRAARALGTLIKFTPRVDPLIAEL VTGSKTTDAGVRTAMLKALYEVISKAGANMGESSRTAVLGLIDTETDERDVAMTITYA KLFGALVKNVSADVATGLLKNRVMTRDFSNASVLALNAVLLESPDTLLNSPLADDLPE LLCQGMQHKDPYIVDSFITATGKYLLSDAPKAFETTKPIFATLAKIIPPGNPGDSRRL ALVLVRTLARNNTDMVRPHLGLLAPPVFASVRDMVIPVKLAAEAAFVQLFAVADEESK VFDKWIAGATELPANVKRSMQDYFKRVALRLGAQVRERREAEGGAGGLGLANDEVEDE KEIMAVGKVEVGSDVFSAE MYCTH_2315711 MVNLRTQKRLAASVLGCGQRKIWLDPNEVSEISNANSRQTIRKL VSDGLIIKKPVTMHSRSRARELNLARRIGRHRGFGKRKGTADARMPQQVLWMRRQRVL RRLLVKYRASGKIDKHLYHELYHLAKGNTFKHKRALVEHIHRAKAEKARERQIKEEMD AKRARTKAARERKLERQAAKRNALLGEGEEESK MYCTH_2307642 MRLCASSSSLALRAAFPSVTTISSRLQSQAARRQWTGGVGSRRS VGSISTSNDSQQKLLAAGLQQADPVMYEVVEKEKLRQKQFINLIPSENFTSQAVLDAL GSPMQNKYSEGYPGARYYGGNEFIDASERLCQQRALETFGLDAKEWGVNVQALSGAPA NLYVYSALLETHDRLMGLDLPHGGHLSHGYQTPTKKISFISKYFETLPYRLDESTGYI DYDKLEETAVLYRPKIIVAGASAYSRLIDYARMRDICDKVNAYLLADMAHISGLVAAK VLPGPFAYADIVTTTSHKSLRGPRGALIFFRRGVRRRNPKTGAEEMYNLENAINASVF PGHQGGPHNHTIAALAVALKQAQSPEFRAYQSQVLSNAQALARRLGEPKEKGGLGYRI VSGGTDNHLVLVDLKPQGVDGARVERVLELVGVAANKNTVPGDKSALTPGGLRMGTPA MTTRGFNENDFERVADIVDRAVTIAARVDKAARKAAEEKGEAKTAGRLKTFMDYLGTG ETDTEIVQLRSEVADWVSTYPLPWDSKE MYCTH_52300 MSLPFTINPSAIPMVPTRKALMILDLQNDFVSPDGALYTGDPGG YVERILELAKAFRDSGAGDVIWVRTEFERNCALVGDCPPIITADVMIRENKAASARAR GRQPTSATHETAAMEKDEEAFLSVGTAKDKKPCVRKGTEGAEFAPQVKAAVVAGRDIV FTKTHYSAFASGQQQLVQMLRGRFVTQMYICGALTNISIYATALGAGQHGYEVTLVED CCGYRSMVRHLNAVRQLDRLAGCQAISFDSLVEQLRPPAPAAAPSLSTGLSPSISKIS LDLDGGSRENPPPASSSPPVPEAKPASPHAAPDKPRRGSSTHGSRKGERKRPLQEEEE EEEEEKEEEEEKEEEKEEEEKDTERQRTEHPPKAKRSELQSSKGPVLPPGTESTQAEQ PRVITRVEQLPVRRAQSQQQQQQQQQQQQQEPTATTTTATTTTTTTTTTTTSPPPSDP AIADESSAREPAEPKSEVRSAAPSRIPVPPEPTSQDSMAERQLTPQCGEPLCEGDTHV ITNVLPPALAADAFDRLLEEVSWAGMSHMGGEVPRRIAVQGAVADDGSMPVYRHPADE SPPLLPFSPTVMQIKAEVEKHLGHPLNHVLIQHYRTGNDYISEHSDKTLDIVPGSFIA NLSLGAERTMVFRTKRLPGDQHRAQRPGGPTATPPTPTPPRPAAPQQQQQAQRRQTQR AALPHNSLLRMGLRTNAAWLHAIRQDRRADRDKTDAERAFGGARISLTFRRIGTFIDA DHARIWGQGATAKTRPAARPVVNGQTDQAVRLLKAFGAENNRGAPGDAGADAGFDWTE WYGDGFDVLHMGTPKRFLPSSSPAAAVGNLSVALALAELGVGCAKGSVEGELRFEDND PARTVVGGGPAVVLPYLDAVYGPGRRYDQMLPARVAKRVARLHRALDLPRKWRAALAQ AQAQAEIEAEAGGGAGAGAGASASSEQEEAGAAAAAAAAASGTGGGERRTGVGAFYIA GGAQPSPADFALWPALHDMVRACGEELLGEKLRRYYLAFKERSSVAKALGQLKEE MYCTH_2307647 MHDLETLKSGGYRGAGLTRLRLACPLPAFPEEILELGDTLEQLD LSGTGLSSLPANLGSALPNLKTALFSNCTFKVFPRELASCANLETVAFRNNGMEEIPE DAFPPRLRCLILTGNRLPSVPSSIGRCDSLEQCILTGNELRDLPAEMANCKRLSTLRL SSNRLSSLPSWLYTLPELAFLSFASNPCASPTTNGIHAPRGVASISWAELEVQQPLGA NTSRGLWHQSPHFAEDVAIKLFRDPPASHSTDAGDDGSAADEMSACLAAGAHESLVTI LGQIHGHPDEDVAGAARGEETETETEERGALQGGIVTQLLFDSYVPLSTLTTTTTTTT TTTTTTTTPPTTTTDDDVAAAVPLNAKTALQMLVGLASCLSHLHARGIAHGSLRPENV LASAADAHALLTHFRAATLYGRGGGRGSSAGPAPVPAHAHAHAQAREQEQEQEQEQER SDDLLEKVEVLAFGRLVDFVLGLVQPAGGGGDDDKEGQAEAEKGLKELRDRCVAAGTR ERPGFEEVVEVLEGLMGWRGMMRIPDVGPV MYCTH_53124 MSTESRKRPAESDQEDGADAKRPRFRSPHTILSVGRLGSSPHAS ASPTTEELASKGLRRAIALALEKVGFDGATPDAMESFAAMAETYIISLGQSVRTFKNS ARRQHPIPRDFELALGRFNLTTSLLEPHRKPPIPRSKRLPAWEPLPADPLEKDLPILG EELDGAPDKAGKQYIPESFPAFPSIHTYKCTPESVDAVTVSDDWGTFNPETSSQTLDG SQTQPPQPQRPLAPDEIPHGDPKKMREAAAKEAKAGEGALRRLMRASKIAKQKEVWSA AQRQPARRERYNLWEAAMRELIEDDTKSKGKEFHPAAMHGDKGRFEIADHSMIVNTEK ASYRQDLPRAGTRKAVVSGHGITGKG MYCTH_2307651 MAEQLILKGTLEGHNGWVTALATSIENPNMLLSASRDKTLIIWN LTRDETQYGYPKRSLRGHSHIVSDCVISSDGAYALSASWDKTLRLWELSTGTTTRRFV GHTNDVLSVSFSADNRQIVSGSRDRTIKLWNTLGDCKYTITDKGHTEWVSCVRFSPNP QNPVIVSSGWDKLVKVWELSSCKLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLNANDEIHALVFSPNRYWLCAATASSIIIFDLEKKSKVDELKPEFQN VGKKSREPECVSLAWSADGQTLFAGYTDNIIRAWGVMSRA MYCTH_2307655 MSSSSGQPPRQALLGGVPSTIPDIPVSAVLLALFVAGGATHLTI FVRNRRRGPEHHFFFSFLLFVFPVTRVAALSLRIAWARARDDADVALAATVFTAAGVL ILFIVNLILARRVVRALHPRLGRHPALTHAFRALLATIVAILVMVVVCTVHSSLGADA AARAKERDVLRFAGVYMTVVALLPAVAVALAWAVPNRGPHHPPEPLGTGPMWAKVALL GFASLLLTLGAGFRVGVNFAAKPVGQEQWYHSKPAFYCFNFVLDLIVVYSYAIFRFDR MFHIPPVEPKSSASGHSSGDDKEAAHGSGPQQHPGLGLNAEKGSFGESGRDLMDKHRD SGAGHYEV MYCTH_2065208 MPSFGFLLLLLPLLFVSGSADSDSCFFPNGKHAGSSASCWETTG NQTALCCQTGGLCLNNNVCAVKSRTGTSSYYRGSCIDSTWSNPKCPHFCEGPGRNDGI VLLHRCSGDETATKWFCGNEKSGPDNKDCASVDGDVEIPDGIYVYATAGNTPISLPAI SSAKERPTRTGDTLDNKVTASLIPPPGTSRATGGPAPASSSPATTAPGVPPPVPSDAP EDEDDEFGSVIPIGVGVAVGTSILIAGSVIVFFYQRKRRRQAPVRAETPPPFEFSLIG RQAPGWLTPSPDARLREPHGAANMHGDVKGAPAAASAARYELP MYCTH_2307656 MSLSVSSRRVLRGAPALVIPSTTTTTTTTTTTTASSLIPSLQSL TLSPRNAASAARPIHTTARNQWFWSKKKSSEPEGGSALEKQVTGTRESRNKLISALTS RIQAPALFADEVKGTDKYVPTGPYSGSGGGAAHTRVGASLVREHMARHADPDPRSRVR WERKMVIRSVQRGTNPFSREPRAARIARTERQLTSKSPWLATSTKKLVHLARQIQGKT LEDALVQMRFSKKKYAQEVKYQLELARDIAIVERGMGLGSVKKAQEGGDNNNNNNNNN GGGKVIEIQDKNGKWIKIDDPTRMYVAEAWVNRGPLRGTLPEFRARGRVNFHRLPSAS ISIVLKEEKTRIREAAEREARKLRQGPWVHLPDRPVSAQRQWYSW MYCTH_2307657 MRGERFVTLLSLAVSARAQFDSLDPCGQTCVGNTNNLAGQFGCA AMDFSCLCGVPDWSNSIRDCAEGACPPGYAPTVVSAASAVCATATLPPGASIPTSQTS TSSETPSPTAKASTESSEKSSSTTKTSTKSETSATSTTSTTMTSSTTSATPASSTAEP TKTVSSSTTASSTPGAATSEAAKGSASTGISEAGKIGIGIGVAAVVIALAAVAACFML RKRQATQPPKFKISHPMPSNEYAYTNNNHSDNDFGSSELEMKSRRYEDMLPRTEPRQM V MYCTH_52491 KTAFRIPYSYYKYLVMLFGLTNVLITFYHKPTIYRDPVGHRRLN EPPIKKGTKRG MYCTH_2307660 MPFQDYRLADPEWQVEVSPGHTVTVNGTVQAVIDQLEKRDHAPQ AAAARAATAATDMVAKRATDFSGGSYICGHRWPYVPLQPLWEGLRYLRSLHGQVHVPP GPRMCSQVSCSYSAAVWICNDNTKDLYLDSFSRIADGVSYIIGLCATNAWGPTQVAGQ VFHPDHWNIIVRRDKCL MYCTH_111770 MAAIKPITGMLRRGLITDLSIALGLGLVFSNAFWYGFHVPRTNA RDNYYKKLEEQRAARQGA MYCTH_2307662 MRSAAAASEGSCVLREIEDTTLDEVLTSLRVTLQTTNENASSRN AENLHLATTRPPIFPIRPLNDLVNRHFRATQSTTLALTGRHRELLYVLVATLISAPLE KAVAIVDFEGRFDPLRLLAAPLAQDAAAAGLASAEARPVPTVRRADLDHVHILRPARG SVSHIADCVKSIEEYMLHGSHRSGAREWWGTVVIGGGLNPAGTASAAASAQVAVTADW KGWLRVDRADVPTFWDMSAEQALNDREKRQAAVEDAGWLATSPWGGFSIGRREMGR MYCTH_2307663 MSSLSVPPQRPSMCPRRASSSSFADAFDDARRKLDEMGVQEPGE DEVPSPALSSSSSATGESTPFSPSEESSPLSPATPMTAPTTPMVDGVADNFAFAFDID GVLIRGGKPIPEAIEAMKVLNGENEWGIKVPYIFLTNGGGKFETERCRDLSQQLQIEV SPGQFICGHTPMREFADRYGTVLVVGGEGEKCRQVAESYGFRDVVTPGDILKANAATA PFRKLTEAEHANSRDLLARHGATKLSDIVIEAVFVFADSRDWASDLQIILDIAQSKGG RLETRSETFDEGPPIYFSHNDVLWSAAHEHARLGMGALRRIVETVFEDTTGGKKLKTH AFGKPQVSTFQFATRLLQQWRATQHGLAESEPPQTVYFVGDTPESDIRGTNAMDEISS NEWYSILVKTGVYQDGTEPKYKPRKLVDTVLDAVHHGIRREMARAGSRKDTGGRMLPL DDAALKAVDEGRTPDFEVRENPFVTVV MYCTH_2307666 MKTIRARGAFLGAGLLLSSAPVVAAAERCPDYSSYARSRHEPFS KGKHALSYMRPAAACRTFNSSTVETVVADMAEIISDPDLYRLFENTFPNTVDTAIRWR GHAADNPAEELAFVITGDINAMWLRDSANQLQSYLSVLEPDAAPGEPDSIASLYRGVI NLQARYILTAPYCNSFQPPPESGIPPAPNPAASDDAVTPSYDPAKVFECKYELDSLAS FLQLSAAYYAATHDAAFFGNASTSPNWLPAVRAVLDAAKAMTDGTYADDGSVRVPAYT FTRRTTRATETLANDGLGSPARRTGMVRSAFRPSDDATLFPFLVPANMLFAQALLDAS LIAAALGGKEGGGGNSDAAALADEMAAFSRSIREAVSKYGIVPVSSTGNGTGEGGGVE TVYAYEVDGYGSAAFMDDANLPSLLGAPVYDYLARGDKVYQRTRARLLSPEGNPYFMT GSVINAIGGPHAGPGMAWPMASIVRILTSDDDDEIVKVLRELLSSTDGLGLIHESINS NDASQWTREW MYCTH_2307672 MDDGTGADLSLSLTKHVTSNEFTILLILILLFVAIFFCHSLVRL GMLVLKARKRNQMVERGQISAVIAPGGYAIPREPIPVVLARDEEAAGIESEATKTGPP AYGVWRESVRVDPDRLYWVRNEHAPPLDEVSELSGPDGSGPDGSTASSQSGARTQIPR PPSYSSDDGVSYVVDARPRSIAPPTPANTAPGGLPHPSQTGMSGTWV MYCTH_2307676 MSEKRPAPDDPSDGQLVVKRQNVGSSRALTRPGAPGSGALIQAA PRTSALQAPLMELSGHTGEIFAAKFDPTGNLIASGSMDRTIMLWRTYGDCENYGVLSG HRGAILDLQWSRDSDILFSASADMHLASWDLTSGQRIRRYIGHEEIINSMDISKRGEE LLISGSDDGTIGIWDPRTKHAVDYIETEFPITAVAISEAGNEIYSGGIDNDIKVWDIR KKALVHSMLGHTDTVTSLRVSPDGQQLLSYAMDSTARTWDIRPFAPAERHIRTFDGAP LGLEKNLIRGSWDSDGKKIAVGAGDGTVVIWGSDSGKLLYKLPGHKGTVNCAEFAPGD APISQYPRTLLPRFPLC MYCTH_2307677 MASSKASRLGEEYVGRTRMDKVNAELVVLTYGTIVAQLCKDFDS DYVEVNKQLDKMGYNIGLRLIEDYLAKSNTVRRCSNFRETAEMIAKVGFKIFLNITPT ITNWTSDGKQFSLVFDENPFADFVELPDDGRAQDELWYSNILCGVLRGALEMVQMQVE AHFVSDVLRGNDTTEMRISLIRYIDDELPPEDD MYCTH_2307682 MPLIPDIKLLLGAVVLLVVVRVLFTRRPPHPLPPGPKPAPLIGN LHQLPKSLQWFQLYHWSKKYGPIMHLSMGGQPLIILSTHQAAHDLLNKRSARYSDRPR MVMSGELVTRGMHMLLRQYDERYRLHQRMEAPLLNLRSASSYRPLQDMESRQLLFDVL AEYDEVGAKGVDFHHHFERAMASFIYTVNYGYRLRTGYEKELMDGKRVQAEFARTGQV GAYLVDSFPSLNYLPRFLAPWKKEADELYELECRLHLGNLDKGLTNPGWNFSKHMKQS PEGRDMPKVELAFDLGILADAGLDTSTVALDWFIVAWITTGSSWVPKAQKLLEEVVGR DRLPTFEDRPKLAYIDAIVCETLRWRPVVVGGVPHFIKVQDSYMGYHIPANSIVLANA FAITRDESVFGPDVDDFMPERWMVDEKDVKAEPTIDACGLNVSALKDLPQTGFGFGRR ICTGRIIARNQLFIQMARMLWAFDVEPGINEATGQRPKITAEITPANCTEGFVTLPKP FKVEMRPRGQWVRDVILRSGTTHGIDHAEVLNQAAKDRERA MYCTH_2030430 MRFSIWTAALAVAGLAARSWAQEMPKCASDCLAKYLPESKCDPT NMDCICADKTLMANVETCSLGACNIFEGLAAKNATATLCKEPVRDRSHVAPIATAITG GLALAFVLLRVYESGFRKKEFHWADLWAVLAMVMRAHGMGRDIWTLTAEEITEVVKYT WITQVSYIPAIILTKITVLCFFMHVFPSRKFHMFCWGTIIHCVLFMVSTVIAAILACV PVEYAWSAWTGSGEGVCFDNNAFWWAHSAINIATDLWILALPIPQLLRLQMGRKKKIY LVLMFSVGIVVIECNMSIVCCCMPPLLSFLRHVLPTVFGSTNRSDYKAGSYRIGGGGG E MYCTH_2307685 MAAGLGSSPVLFHSLHLLPSASVLPQAVHVPQPLAHSSPTSSSP DSPEPYSEEADPLRMGNLFSKEKKRSKRRPDDSPPERYYGRQPGVQSAYRPVPVDPRN NRAPPRSGQTSYQVNRNLPAPNQPYNYQPLNARLPPNPRQQVIIPNSYRQNCYPYEQQ RPQQERTPKTCPYFRLTEIICKECKKRNESCPFAGDLETRLVVQRARINTVGYVGPAA DLNQRIDNKELIIIRSLPEWELEIDFCGPVHQFRAGTIMNVLEAHLKPPNLYYYDPEA EGRKRKQQSTQRLFSLPDLLRDLGRKGAEFKCVSENEVLLAIAEPYRR MYCTH_2307692 MGRVCADCGRDLPQTSYTSKQWSKGLGLSRCAGCVHGHSSDTPA TQQSDSGRYNDSVSAKFSHDALNNPFASGAFRWVAKGVYTSGARSGQACVLKWFKTGA VFEDDYFALDIKAVDRALEIVNRFNELNIVNKTIKINVPSVWVFDKTSSRAGQKALVE PFIQNYQKFNSNTGWKDDWGAWPQVMQALSHFSYHVSGGNHVLCDLQGGIYQHELVLS DPVILSRTGDYGVTDLGRDGISSFFSQHDCNQYCRPNWTKPANPQLHFNPVPGTTMLR RTVPTAASRPRNTRDYRNWY MYCTH_2307698 MAGVPNMNSMGGPVGNPMGAPMNMMNNGGLAPQPGPPSQMQHSD SSRTLLNTYIYEYFIRSNMFNAARAVYEADPNIKVMKESPGKQRDENGNVLGNGLGDG MDTDSKDGLDQKRPDDLPAPNVPTPVPDSCFLYEWFCLFWDMFNSQKGKGSSGQVNQY VHHTQQQSHLRQQHQREMLRQIRPDLAQQQQFHQQMMRGIPNGAMNMGMKPGNQLQRA AMANNQNPQTMQLLHQQQKAAGQMQRDPSDMDANRARPASPGSAENAPSPSKRPRLDG GAPFNPNQGVMMPNGRPGQGMPGQQQLQAFASAPPHIQAKIASYSTNMSQHHGNQMPN KPMPNATGPQGQGSPMVPPGPDGNSLMQLYNPGDMGPGNMRPGAPNGQGAAGSSNHAL QDYQMQLMLLEQQNKKRLMMARQEQDIGTNMPRTDGPPGPGQNTQSFQGTSPPGGRNG ASPNPADQMKRANQQMANAANMGSPLPDGAGQSRNSPGAMNFMGGNMDPNAGPPFGMN MNMAAQMNGGMRPPSSHPPFNGQMNQQQMMAAQRQAQQQPGGQGTPMQWQQGAPNGQV PGQPPQPQQGQGSQGAPTPNQRSMPPPSAPATAAAAAAAASARNPTASPQVANAAPPT PSQANKAAPKKKENKNAKAKATAQKKTTNPTVNNAAPAPAPETTEAPQEGPTPATPIT PVNPQGFPKNQAVNSGQVVPNGQPPAQAPQQQQQQQQQQQPPAPVPPPSHPDPNQSTN FLDTGGMSFDLDFANPMQSDDVLTDFDFESFLQNNNPGEEGTFDFGNSGFSMEGDTSI GATD MYCTH_2307703 MELTRLPVSRPVVRRRRPAGPPPDPRTSSSTSLARPAFPNPDTI LTDATYNATVWAE MYCTH_2094591 MSGTTANLAAVLPSAGSSLVIQERQIPSPGPDEVLIRNYAIAVN PADWKRQFLGMYISSYPVILGMDVAGVVEAVGSDVTLFKKGDRVLGSAPGTITNNPDQ SGFQKYTVVQATATTKLPDAISFTQAATLPSAVLTATVTLFSALDVPLLPPSPQGEPS SSGGGKGKGKGGTGILVWSGASSTGNATIQLARRAGLTVFATASPRHHAAVRALGAAE VVDYRSPTAARDLAAAARRAGVDVRLAVDPLSTAETLPLVLAALAELSSASGSSGPSG PSGPSGRKKLRLAHLGPWPDQVERPADVDAVPVRALDAWTSRRDLAARVFNDLLGTWL ETGEFVPQTPRVVDGGLEGLQTALETLRNGVSGEKLVVEV MYCTH_2134935 MRAALALLALYSSLACATPAPAYPGFKLLWSDSFSGAAGDTPDQ KKWNIITDVQTNGEVQNYSKSNKNLQISGGDTVQLVPFKSPKGTWTSARIESKEAFTP PQGKLTMFEAAIRFGDTPAVNKQGIWPAFWLLGDAVHHGTPWPRCGELDIMETVNGLP AAYGTTHCGNGEQGGPCNEPIGRGAAVPLLDYGWHTWTLQIDRTNASGDWKDEVIRWL VDGNVFHQIRGSDIGDQGIWGTLVHSPMFIILNVAVGGNWPGAPNSLTADGYGSMMEV EYVAVYTSS MYCTH_2128477 MVRSGAGLVRPESTSTESVSSFAQFAMLLRPPQREPAVRGAYPP FIQVDKHSRGLALIDPGMEILYNDCDRSLQDLWLGKSGVDRDVVDMETEHMPLTVVLC TS MYCTH_2128478 MVAAACFASAPFSSSPVPFPLSPQRRFDKGDGEETGMETHRPEM AAGGSVPAAVAMAQPAAAVAAAGAARAAPSTPAGLSSLHPGDARHLISEIRRSSGRCG GNEGELRLPKAQFSSPLARKGQEAYKNGTTGQDSEGPKAERWASAGSRAQNGQSDQEV EDALDAEPALSKVKRKLYPAERLVPSPSSPAGSVVLPPSLHKDQTAGGAHGTEPQGGI PELPRPSGSRAPADKNQSLSAGNHQTEPAKRGPKRASRSGKRARKPEHQTDQDGNDTE RSRGGPVATASSNSREQNGTRARKRSRKNIQDKPDGDRRNKARGQPGPALAGPSNVAA GHCDDQLTIDEAGVCGSSRAATEQPDRQTDDSAATEFTQNLIEALFPTVPVVFDVGAI RGRVWSPLNGKGEFDPHWTTYPLDPSLPLVPGEGSCSPADLDQPDSALPSTIHAWALQ EAREGTLFASTVARVRATAAAVESGAFDAVVDDPPPVPPDLLARLVSRPFRFPRTGTN PFLGAAIAAAAPHAKDDDDVNGNDDGSDGGNDDDDLLVQPSWPPAPPAEGVEVPPALP HTICDAIQFDRGGGDDKTVAASNQYKQSIAASRAEAPWDPPSWKGKGVDRDMGSAARV ARQNGLGSGEDAHENDDEAPTCDTDGTLQSITEAMRLACTGPAVLPSARYYQSCRVTD SQMNTERNNNGQATASFAGGLSYTPIDHLGVINNIPNLNSISEEINEPREGDQPNNAR DDTAPAAGTGHNRDLGMEVVDNPRGLTFSNRHNDKDEDDKYGDELDAELAPPKRPATD VSTSVVAQYLTEMTPAANPAANSAIASSSTSSSQPSSAAGLVPPLVAAPEQIFDLDGL GDDNAVGQALGLTRAEMDAILSGGPASRPISFDQGIN MYCTH_2307708 MPTLSKFLPLRRLRRHKVTTSDAAQTPNLHINNNAVDEQPQGQP AQAQDTAAAPSPAAAADTPISLESLPPELRHNILILVLDIQDLKALVLASPVFHQQYL LDRKAFLGRALKASLGNVLIDAYAVRTSALLHEQHPADRLYQLEETTVRLFMDNYVAL RSVSLNQLLAEVITSEDDLAAMASFYCSVAGPLVQQFAALFLRHLDPSLPVGSLSRIE RTRLLRALYRFQLYCNLFGVGPRGYCSQPPLDISEILPFFFCVFRPWEIEEIHCIYII MREKYEAVFDAIRADVARDNPRFSGWRRPDTPPGSFDLGSECKLTLSRVVSFLSVFFS DNLKVLRESLRQGTAARGLRVFSKVLQTTDHEKLVKRMQKYMRLQCVFIEPILNWTSQ DLRRREHPSEEDRAEARRERLPFLGDKEDAPPLAWVILWRGKYVNEYGDVIDYRLKEW GFIFWDCRRLNESGAKHYIRGERR MYCTH_2307709 MAAVVQWTRAHGKHISIFVAKHHTEAGKRLCAEELRNVLRHGDD SQLPTPGLFFYAQGMPVVVTRHQFVGLKVVNGAPFQAADIFPDLAAGTIALASDATLH LGPPVAVLLESDDSAGLAIPGLPNGTILIKSKTVAIPNAMRGKEARSRGKPGFRWVTH RTGPLCTPAFAMTDQKSQGKQFSDVLLNLKGVHSSGTATRPSFMSLYVQLSRAESWEG LHLFRKPARGDFIEPKNVLDKDMREAVLRLEKLGDESRRRFERNHRHETWFQEWDAMA ESAQDAEAVDEDDASLWSETVS MYCTH_2063966 MESKWDHKNKNTYNHKNKNTYNTGDSLVVTDPTTNPALASLSRG ERTGSRVLWQVWSYVAVRRSGKT MYCTH_2111617 MAPRRPPQPLAGDRRYNTDFVQRFTQREEERRVAKRPKALTAGQ HAALREQLKNFQFLKPDYADNTKISIAGILRKWKSYCKDAERWGSWQNVIRNADRTEA MDFLEYLCQSYRIKSWGTSWEYFRQYKQLYASQRSSEGQWLRLCSARHGDTYHPPTSS LLPRIKLIDVNAREVVRHPGGNIPYPALSYIWGGASQVSVGIGPLPPVLPATINDAIT FTKELGFGYLWVDYIYVDQEDPAEKAE MYCTH_81817 MQLLSLAALLPLALAAPVIKPQGLQLIPGDYIVKLKDGASESTL QDTIRHLQAGEAKHVYRARRFKGFAAKLSPQVVDTLSKLPEVEYIEQDAVVTIQALVT QEDVPWGLARISHHELGPTSYVYDDSAGEGTCAYVIDTGIYVAHSQFEGRATWLANFI DSSDSDGAGHGTHVSGTIGGVTYGVAKKTKLFAVKVLNASGSGTVSSVLAGLEFVASD APARVASGECANGAVANLSLGGGRSTAINAAAAAAVDAGVFVAVAAGNSNTDAQSTSP ASEPSVCTVGATDDSDARAYFSNYGSVVDVFAPGVDVLSSWIGGVDATNTISGTSMAT PHIAGLGAYLLALLGPRSPEELCEYIKQTATIGTITSLPSGTINAIAYNGATA MYCTH_94567 MSTTARVLEDFVNDYIVPTQGSPDFKSREWTSSLGYAWACTSAV TRAGRHACDRYDCSRQTMTRFGHKFMTFAAASTMPLISEPRTTKRCDSKLVISIAVSI NSERPSSLRTSPAPKSTEMCPTSEDKAAYPTMVWPLYQSCDGPCLSESDVSAVDALTD NEVVYTDEVDK MYCTH_2307713 MATPTRTSISSTPYREGVELRIRKHVPPEPFGGGYRPCPRRKVG VNQDATSVDRVALALDNPPLETEPPAGEEHTFTITGSKTLRRFHVDGGGAHVVTGYFD RDKDVVCLAKIYDGVYYPLENQDSGWDCMTLADADYAIEAWAYETMQPAEGVGAKLVP EYYGTWTFAVATNQPGRRRWVRMLLLQLVRGETVLDKIRKATKNDAVQHPLLPDQETR LRVLKDTFEAETSIFWNAEVLHGDLSPRNVMVQPDGSVVIIDFNQARVYPFYYRPHPK YDEGAHPLPPSPIKRYWPFAPGAGTFADPGNQWASWVPQSWLENPELAAEWLLKTWGS PTPGKYAPLSDYFLNHPAHAERSKKLQAALEKLGRKPAKK MYCTH_2307714 MPRGGSSAAAPPKSGTAVASKPSRSSATLPTRPKKQEIDPRTKK PIVDAKGNPIY MYCTH_2063083 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_68654 MPIEKRKSHSARYRASLAQNIAKNGFVVMPCSWCASQGLVCKMI ARTKRYEACVRRGRSYNSSSIPLSSLDRILQEQRRIKDAERRAELELDKSQRRLEEAQ RELSEKLTRL MYCTH_17759 LPEHGLWDHEIKLKEGARLKFFKIYYTSQTQNEELKRYLEENLR RGHIRPST MYCTH_2128489 MVQNGGDFDLDIDMATDVTAEQLLTQLARIKELENREKYSQKLV NEAYAKIEALEGAKAKRIKIELPGKYGGTKEDLAGFLINLRSYFRLNDDKFPDDKAKV LYIATRLEGKVLRWFEPTWNDYLTEEDEDDRDTFTLANLRQTKLAASYAEKVKDELYK YDRPETLDEYIAQAIRIDDRLYAREQQKRGRMNGTTVKANDKKKRAYVSTSYGTHPGA MDMDAAQKQDQKKTTKDKSNEITAIDETTKDVIEVAATSYEDKGSDIDSLGHDGNGKD EQAPNSELVTVDPETGLAEWDMAGEYAPLASILPALGQWGFTVT MYCTH_2064081 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_2128494 MVQNGGDFDLDIDMATNVTAEQLLAQFAQIKELENREKYSQKLV NEAYAKIEALEGAKAKRIKIELPGSRDLA MYCTH_2307766 MAVKSLGWLAAAAVSLSAVSGMAREQLFARSAAQTPAPQLREGG IDVSPLAVTALPILPRDLQKRDTQTCGYVDGNPHSDYICAHPDAQCLYDTRVSAVGCC LTTSCEVYAACLPYASSKATKTYDRERTLYCSNSNLPSCAVFSYGDRTGSIAGYTIHT CDSVSTTYTIFFRATTSSSSSSTTSSSETSQSSAESTAESAESTAESTTSDSSPTATD GGDSPAETSDGADSDSGSSTPVGPIVGGVIGGVAALALIGLGAFLLIRRKDSNGPAPV GMAAAPGGPPGGPTGYMGGPPGGPHGASAYGPPPASPHSAMNAPVYDPYDPLQNPSSH PGSVHPMQNIPPMNTPPTGSPPPNYQYPQQIYAMPPPQQQGMGMGIGMGMGMGMNGMG TPPPQHNGIGTPPPPVGMGAPTPPPPQNGGYMPYPGPQQQQQQQQQQQKLPMQMQPVE LPTQRGDGELHELS MYCTH_2307767 MREATGTARLFHRLSTILLTFLLVPRLVGARPYPVHWADDNNGR QRISLNEGWKFSRFTSNPDSLSYDSLKSWILPSANNFIKGDKHQPPSGTPPGSNVQYV QPDFDDRDWESVDLPHDWAIKGPFNAPGVSGGMGRLPSNGVGWYRRTLTRSPEDEDKS IFLDIDGAMSYAAVWLNGRLIGGWPYGYASFRLDLTPYLDGGDNLLAIRLENALDNSR WYPGAGIYRNVWLVKVNPTHVGQYGTYITTSDVSEEKATVHLTVDVENGGASDTTVDV VTEIHLLDPATGTPGEEVVAQFEKATVAVPAGGKMSVSGSTAVRNPLLWGPPPEQEPN LYVATTRLTVNGTVIDTYETPFGIRSVTFDANQGVSVNGKPVKIWGTCNHGDLGSLGT ALNTRALERQLEALREMGSNALRTSHNPPAPEFLDLADRMGFLVLDEIFDTWADRKTT NDFHAIFPDWHEPDLRAFVRRDRNHPSIIAWSYGNEIPNQSGSATGATAQALHGILVE EDPTRPSTCAMNSAGPGSPLADALDIIGLNYQGEGLGTSTDGSFDRFHAAYPGKVVWS SESASALSTRGTYLFPVTSGNHADVGDGPGQGGDGRDYRVSAYELYATSWGSSPDKVF AAHDAHPYVAGEFVWTGWDYLGEPTPYDGDDGARSSYFGIIDLAGFRKDRFYLYQARW RPDLPMAHLLPHWTWPDRVGQVTPVHVFSSGDEAELFVNGKSAGRQKRGRGQYRFRWD DVVYQPGNVSVVVYKDGEEWARDARWTVGKAKGLTLTADRAEIRGDGRDLSFVTVAVV DENGDTVPEAGNAIAFSVSGPGRIVATDNGDPADMTEFPSLTRKAFSGLALAIVRADK GASGDITVTASAEGLETAEVVIRAA MYCTH_2307768 MAIWRPMTERDLPGVMRVADEIHRDLPERESIFRERLGLFPEGC MVLVSEEGEEEKKGREEVGGYVVSFPIRHGRPPALNQPLGGDAIPPDADQYYLHDIAI LPALRGRGAAARCIARLLDGVARRYPTTCLISVYGTVPFWTRFGFAPEPVDDDAAMRD KLRGYGPGATYLVRRNA MYCTH_2307769 MSDDTRGTSRDRRDIEARAQVVAELLAPGYTAEFQSAPAGTEGD TPGSSAAGPSEGPRVPAEESSLKLQGGDIHRDLFKLDPSNRRMHRRAATFHTPREFHQ DEELPTLSVGDQLSLGGFRRAFLHQKHGNDFLAARMPITRNFVEYLELYGSFAGEDLV DTDEEAVSEEEGEEEEGGGAAAAAAEEEEARVGAYEGAAAAAAAAGERQPLLVRQRII SSAQAPRPGTAGTVKTFFTLLKAFIGTGIMFLPKAFSNGGILFSTVTMLIVSGITMVA FHLLLRCKLRYGGGYGEIGSAIAGPRMRALILFSIALSQLGFVCTGIVFVAENLTTFF DAVTHGASPFSTAGLIAMQLVVLVPLAWIRNIAKLGPVALLADACILIGVGYIYWYTT TSLAGAGGADPTVVLFNPDHYTLTIGAAIFTFEGIGLILPIQASMARPDRFEPLLGAV MLLITVVFTSVGALCYAAFGRRTEVEIINNLPQDSPLVNAVQALYALAVLVGTPVQLF PAIRILEGGLLGHARSGKGSLRTKWVKNLLRLAVVALCGLLSVAGTGNLDRFVALIGS VACVPLVYVYPAYLHWKAVATGRWARFGDILVVVVGLVGMVYTTVVTVLNSFLR MYCTH_2307770 MAPTSAPALLWGGRFTGAIDDLMFQFNESLSFDKVLYKADIQGS ITWAKALRKLDILSDEELHQIVTGLQQVEKEWEEGKFVINTKSDEDIHTANERRLGEL IGPAAGKLHTGRSRNEQVGVDMRLWAGEQLADIAEALKGLLSVSAARAKEALPILMPG YTHLQRAQPVRFSHWLLSHATFLKGDLQRLEGVRERVSSCPLGVGALAGNPFGIDREF MAKDLGFRSVHPNSLCAVADRDFVVEILQWASLLMAHLSRLAEDLILFSTAEYGFVQV ADAYSTGSSLMPQKKNPDSLELIRGKAGRVMGQASGFLASLKSLPTSYNKDLQESVEP MIDCIKTVSNCVRITQGVLATLTINGDKMKAALTDDMLATDVADYLVRKGVPFRQTHH IAGAIVRKAEQAGVSISALPLKDLREISPLFEEDVAQVFDFERSVEQRSTYGGTSSSS VLAQIAAIEEFVAKN MYCTH_2064824 MSINWDKIKAAKRLELESSELSGFKTLRLRFEVPLSHSDPAGSV AITIHADLVYGAGPDANDTNSIGHSTAETILESILCPSRASLCLYLCGGPGDENPASK NPDLTRELLGRFGPVLYVDYRGTGKSSAITKDTLATKTAEEAAWYLSQFRQDSIAADL EGIRKSLGGRVRFVLVGQSFGGWIAMTYLSYLPESLAEVWLFGGMPPMGNTPEQVYRA LYRRLVRVNQEYYSRYPEDKARVMRIVERLSSTDNGRGLLISEETGERLSCRGFLTMG RHLGGEEGFKTVHSLVELFSKDADTGGFSSGTIQSFRGRNGTGFKLPQRPLYGAVHEA IYCFGPGVASNWAAQRVGRDQAGGNFAWLGRNFRFEFPFPDQVEPFSFSAEMIFDFML HDAGSELGPFIKAAEILARKEDWPALYNLDALGRNTVPVRALMYPADLYVDFELSKIA AAGVRNCRAIPAPERWLHASVKTNPKEVFEFLATMA MYCTH_94555 MTRSAEQRGKEQRGRQVNSSIIQARVEYLYFPIDGRPRRSDAED CIRISPPSTRPNSRTQLTNAFDNGSARDNVCSTSDRGLWTSCKLLQNSHRDHQKRSKL NFITQYPPGSSRTWTNSSKLSQCSCYWQEATPTLLRLLNQDRHQTLPISILDLVHQIP PTPVNHVLETPGPHRNSSLSVPIEHHNSSTLPWWQPSKVYTPKAVPPKLERLVPETEQ SHT MYCTH_2307772 MMSQNPIPTITPGTIDPASMGDEEATKQARAVLQTLNVSLATND AQTLESCFYPGQAYWKDLLALTYHTRTFSTPGVIAASLLETASLRQLTTGFELEGAAL FVPATPVLQFIDCSLTFKTGSPAAKCSGRILLLPVKTGERLEWKIWVLSTTLESLDVQ SEDEALLQTPGRKLDSASAEPLETDVFIVGGGNAAVALAARLKALGVDSIMAERNANV GDNWALRHDSLKFHVPTSFCELPYMAYDKELQTPHCLTRDDLAEQVRRYVEAFHLNVV NSAEITRTTQMPDKRWHVEFRTPKGAYTVTAKHLVQATGIGSQKPYNPPMADEELYKG IRIHSAQFKNGKQLRAQGVDSVLIVGSANTGFDVLEDCYAAGLRPTMVVRSPTYIVPI EYIYDKMSLGAYDFGVEAADRMFLTLPAVVDAQLARNLFAHFASQEPQRYEALAKAGF PVYDSRHPDAALMHNLIERAGGHYVDVGGTALLSEGKAGVKAGVEPVGYTATGLRFSD GSTVDADAVVWCTGFADADARTVVAEILKTDLPVDATWGVDEEGEIRGMWKRHLRVDN YWIMGGYTQQHRFHSRTLALQIKAALEGILPPAYRDTPQPKTLST MYCTH_13170 LAAPALEPPPGVTPDFDNPPNKNGLAWFVTTFCMAISTLCLFLR LYAKVWVRRETRAEEILMMLAYGAYWGTAYAGYGMIYTPGYFVHQWNMRNGDLIRPLY LILIYGCSYSAVLPLLKTAILLDWCRIFVAGDHTKTFFWWGCMFIIGVQVIWGIACIV LLNTQCVPHEAIWNFYLPSKCYDLNKVMLTSACVQVFTDWVMVLLPQRIIWGLQMNWQ KKIGISVIFGVGLLASISASVRLATTVTFAHTADTMYFIAPLLFWACAEMTCGFFILC VPCLPSIIKESGLSGRVKKMLGISESSSNKPSNHDIVTFGGTGPASSNKKSKAGKSLN STYFKIDEEDGGLPLGDIQTTESQEQLHHQQGNNGLRITRTTRVTVSSDSRS MYCTH_2307775 MFSRTVCAVSRRALATSVRPLAPPAPRQIAPLVAQPQKRQYHEK VLDHYSRPRNVGTLDKTDKSVGEGLVGAPACGDVMRLHIKVDPETKVISDVRFKTFGC GSAIASSSYLTELVRGMTLDQASKVKNTEIAKELCLPPVKLHCSMLAEDAIKSAINNY YKKNPDARPTNLAGREAKLESAAA MYCTH_2307780 MVCSHIGPANLAKPTAADSVYREDCTQCFDSIDDPAGLDVCLKC FNGGCAGDRHHAKLHSSARKHPLVLNIRRTRKMVVRDEPPPKMSKLAIAAETEADRYD TALKVKCLECGIDDIDKSDPTIAATVDAIMKANTFSRKEEVKAWEQELTTCEHILTLQ QEPPRQIESQDLGHCSNCDLNQNLWLCLECGNLGCGRAQFGGVGGNSHALAHSKESSH GVAVKLGSITPEGTADVYCYACDEERIDDNLGAHLANWGIILAERQKTEKSLTEMQIE QNLRWEFSMTTDDGKELTPLFGPGLTGLKNLGNSCYLASILQCLFDMPSFRQRYGGGV ESPPDVLDPAQDLETQLRKIGDGLLSGRYSKPDPDVIASEHSPEVPHQKGLQPSMLKH LIGRGHAEFSTMRQQDAFELLQHLVKLITRSQHPAGLGDPTRAMRFVMEQRLQCLGCK KVRYSSTEQDSIFIDVPLEKLPAEEGQEPKYKPVNFKECLDTLTAPEMVELTCSACGS RDGFSKRQLFKTFPEVLVVNARKMAVVNWVPVKVDVPVLVGEEPFPLDPYLSKGQQPD EELLPEEPATSNVPAFVPNQEALAMLEGMGFPRVRCEKALHATGNADANAAMEWLFGH MDDPDIDTPLDLGGSVGNAGYTPDPEKLAMLESMGLGGPRATKALKETNGDVERAIEW LFSHPDDSGEIEIEEAAGDGGEGAKKGAVEAGSSTLPVNFQLQSIVCHKGTSIHTGHY VAFIRKKLGDETSWVLFNDEKVVKVVDVEEMKKFAYVYFFRRV MYCTH_2307782 MASAAPDKEKDKSKVHKLSLKGSAKLVAEFFQYSIHTILFQRGV YPAEDFTAVKKYGLNMLVSSDDQVRAYIKKIMIQLDKWMIAGKVQKLVIVITDKDTGE HVERWQFDVQILGKPSKSSKPKSKSSSSSSSQPQGTTDQENAAASGPGKQQQQQQPNQ NLEPSRDKTEAEIQAEIAALFRQITASVTFLPQLQGDCTFNVLVYADASSEVPVEWGD SDAKEIVNGERVQLRGFSTQNHRVDTLVSYRLVD MYCTH_2307785 MQMADGRERPPSGGSQWRGGGGGGGGRNGGGGRNGGGYNGGGGR NGGGGRNGGGGRNGGGRGGGGRNGKPWWCYYSPQACSSNNGPGPGGAWPPNQTSTTPI NKPPATSTPKTTAPRPPTTTPPAETRPPAAPPANTQSPKPVPPPESHHAPPTTSPSPT TRPPAPPAAGTGRPDDTDTASPTSAAAQPGATGERDGPGNGSETGTGKNGPGSAGPTD GPAGGNDLGAGNGESPSGTGLPSTADPSRSGGGSGNGGGIGAGSSGGSAGTGGGGLPP GAIAGIVVGLLALLALLALLLYRFRRAPFVQRLLAPFGKSPGGPGAFHRMNTQGADAS YKNLLSPGLGPAAATAGAGAAAGGMATAAAAATANRHSPTTSAGQQSSQPPMRQTNRL DPLLIPAAAAARDSNRVSVFRDDSAPSSAFTPSPVTPTSSGMLSPGAVAASRPVEQPP DPRIVPAADARLSRGSISSISTGSAISAALSPGQMAWPMPPGTPPAIRHPDGPQYVTF QKTGETVVRINQTPRSNRRSSGY MYCTH_2307787 MDEPGSKDDVTNRAPVELWVMIISNLDLGDLNNLSRVARSFYHR FSGYLATWHVDKQTKLGADRVQCLSELFIHAARVDSTKLLDTLMTNYRTEFGLDGYIG LDGLEETTFLDFAIAADAPRVACRLIKAGCGFESSSSSSSPSRQCPDQGALFSALIEC GSPRLSASSQRCVNEGLRVACYRAMPRTARFLLARGADANIEGRYSEPVIQLALEKRE VSRRDAELQPFLSDEVRWHRGRLQLRRDPDPDEAAAVEKLMGQYGPSLAIALPMINLL ERERNAWFPILDLEGLSRHEELSIPVQSAADEARNRNIGQTVAALLDFGAAVDVRTRS AVRVHTCTYRCWRWVNCDHGGRTLLHVAAAEGIADAIPHLLLARSDPQATDDGGNIPL YTALTHGNLDAAFALLAALPDEPANPTVCTDKRRTGIAATTTTTTNTMTTTTALHAAA RLAFFPVVHALLDRGADPDATDAAGRTPLHELLGNQDVTRPWWILATLEVLLERGANV HLRSRSRDRDRGGDGNNDEDDEQPGTAVMGVCVRKGKKKKKKSRNEGRAKSRGGGPGL GMEGAGAGVGVGVGVGVGVTPYEMGLAHPLPAVRGRFAWRGRPPAYMTVLPSGPSIEE VRRELAERKVGRSRKFMDEWGTRYDALADSAAFPSLGTAGGSTVGGAAASAGMAMRLG NPWSSDGGGGGGGGGGRSAAMGVWTSKGKNKTNRGGQADELFPVLGDSSSSPIGGRES TSSQRDKVQQLVKPAGVSDGGMSWAAVTAGGRPGRGQRSGGPRERSGRASISGETQSR DTGERIRPGHGASGVPSGRGSRGGSRSKPGSKACFT MYCTH_2307790 MRLIPTNPLILLSSLATAVLPASAEYVLRSTSLAACQEDSGFTA SLFDVVFTPDNLTASVNMIATSSIEGHVIFDISIWAYGYPIIHTILDPCNANLPGLCP MTSGKMGNPFNLPVTRDAIQQIPSIAYTFPDLDATVQVFINRTDGDQAGESVACLEAN ISNGMTVDLIGVKWASAGVAILAIISSAIINGLGYSNAASHIASNALSLFGYFQAQAM LGLCAVPLPPVVKSWTQDFQWSMGIIRVGFIQDILTWYQRSTGGTASTILDTLHTVSV QVEKVKRSVPVVDSAVSLVRRSANTIVKRAIETSYGSYIVYGIQRVAFRAGIETTNLF LTGLTFFYIFMIFAALCVVLFKGLCELLARMNLLKSDTFSEFRAGWMTVLKGVLYRWL LIGFPQIAILCLWEFTQNDSPAAMVLAVFFFFGSLITLGYAAYRVIRIARRSVALHRN PAYILFSDAHALNKWGFLYVQFRASAYYYIVPLLVYTLVKAMFIAFSQRAGTVQAVAF IILEAAALISASVLRPWMDKSTNSFNIAICAINFINAIFLFIFTDVFGLPRLVIGVVG VVLWIVNAAFALILLLMLIVTTGIVIFHNNPDTRYQFMNDDRTSFMKSQTHLTTANEL DALGATARGDGKLLKRTDLDDDESALSSSGNVAPPRAGSPHSGPYSNRDSLRDSARNS MRSSYRAPVDPVSGTYAAEPQNLRNRASGSMRAPSPYGASASSTNLSGNHSQGGGAQA GPRPTGPK MYCTH_2307792 MPSSGKKVASTATAEPPSKKRKLDATEQKYYAVRAGFKPGVYTT WAICQQQITGFKGAQFKSFLNYEDASAFAAGRDPPSASSESKPPRFYGVAVGRKPGVY TDWSAAQEAIVGWKGPKFKKFDTRAEAEAFVRSYSASGRGPTSTAASETEQDGVDSVE EPPHKRARATSKPTNTRTDVVVIYTDGSSLGNGRLGATAGVGVYFGPGDPRNISERLK GETQTNQRAELTAILRALETVDLTQDVEIRTDSKYAIQCVTEWYLNWERNGWMTRAGP VKNQDLVQLVRDKLDEREANGGRTQFIWVKGHDTDQGNIAADRLAVEGAQK MYCTH_2119667 MLLPKQAGVNLRALSRATRCLSTTAARPWATPTSQYPSSEQRSG SGGGTPVDDIDLVFDYPTEGQTSHQKQPLESQGLDYHSALPRRPKAENLAGKMGKEMG STDSYVKYIGLGALGLAGLYMMMRRTNSKSASNMTKPGDSAAQKSADIKMERMLGRGR MYCTH_2064998 MVSANITRLLATYINALHILHYHKVLDGYGHLSVRNPYNPQTFF MMRQIAPALVSGPEDIGEYRIMDAEPVNPGAPAAPSERYIHSEVLKRYPDINVALHGH PEELIAYSISNVPLRPVIHMAPVLGKEVPVFNITEHYLPNDTRDFLVRDQRLGSALAA LFDSPTPCGIGSSDYGVCRNDRNDVGNDHSACSNDGADYPSHNIVLMQSHGFTAVATD IRIATYEGIYAVVNARVQAEALKIQHAYHANQPHDGNDWVVYLNERQIRDSWATEINI LEKPWEMWVREVKVNPLYVNELDS MYCTH_2064312 TARLPEYDEINRVADDNFRKFNGKQLGDPVTGAEIIYEVVTSTG VAEGKEFPSFLPLRSDAVAEISKTAQKTLDDAQKCRPISASSDFPEGA MYCTH_2307795 MSVGTKHPRSEGEDQDVRLAKKAKTDENAQTTTDSDPSAVKIEK KKKKKEKRDKKEKKEKRDKKEKKEKKAREDQSEEDIGEQQSGDSVEADSEDRPAKKDK KKKKDKKDKKDKRDEETSNVPEELAPTPTEQNGGSNGTDATPNKSPVQRNGATYTYQQ TEALHAVPETEIQEYLKKHEISISDPSDAKLRPVIQFSHLPSSTLLSKNPFASFTAPT PIQAASWPFALSGRDVIGIAETGSGKTMAFSLPCVEALASRPRPKHSKGGKTACARAV VVSPTRELAMQTHAAMSSLASLVGLSAVCLYGGASKDEQRALLRKNSGADIIVATPGR LKDFLSEGCVSLSDVMFAVLDEADRMLDKGFEEDIKLILGSCPPREKRQTLMFTATWP MSVRGLAEGFMVDPVKVTIGNRTRAGDENGGGSGSTELQANTRIEQKVEVVDPNAKEQ RLLELLREAQKGSGKNDRILVFCLYKKEAVRVEQFLERKGIRVASIHGDLRQDQRTRS LEAFKSGKTTVLVATDVAARGLDIPEVKLVINVTFPLTIEDYVHRIGRTGRAGRSGKA ITLFTQHDKAHSGS MYCTH_2307798 MFSSKIRSIFIITSTFIILLSTATPALAACTRADLLAAASEFVT AVSAGNVTSLPFSANFTYQENNRPASIGSTCVFSQPLKVDLSRSTADAVACASYTLVT SASDPTPPYGAQLPRGGSQRNNGNRKYVIDEVVGSVDVLCSFDALGSLPDSHEIRIED GKVKYVDTVTA MYCTH_2307799 MAATEKTGSSSTRALRGASLLIVLQVVSRAITFVANQVLLRFLT AQLLGVSTQLEVYYLSVIFFARESLRVAIQRQDLTGLPDKKDNKQNGHVNQSAQAVVN LGYLALALGVPLAFLFGWLYLDSLSASTLLSAPNLVVSLYIYAVAAVLELASEPAFVV MQTRLQFGTRAAGESVATFLRCIVTLGTAAWGAGRDLGVLPFALGQLSYGLGLLAVYT WHGAALARREGFSLLPLRITAPYSTTAPPQQPSGKSVFVLSYFYRPTLQLASSMMAQS VVKHILTQGDTFLVSILSTPTAQGVYALANNYGGLVARLVFQPIEESSRSYFSRLLAP SDAAAAAAAAAAAAATEASPARQSSPEARSKPQSETETEPAAEAAEAAAAARGAVSRA KTALQSLLKSYLLLSLVVTALGPTAAGPLLSLVAGRRWAGSGAGACLAAYAWYVPLLA INGVAEAFVASVATEAEVHRQSAWMAAFSVAFAAAGFVFLRLLGWGAVGLVVANGINM ACRIAWCAVFISRYFAAKGHRFDLLDVMPSPASVLAGAVASQVVRKLVRSAASEEEVP LGVKEAVMDLVRVASVALPFVLVVAVSERRFLYGVFQALRGTRGAP MYCTH_2307800 MSRLAFLQGMLLAPVAAQSTGLVTLFLPDSEPLSLDASAVGVST VGRDPVTTWKVACPTGASPEDEACRAAGIYPAQVYHTQGSVWGGTTTYSADDSTTTWV CTLGGSNPTLSGECTKTIVRGRSTRSETATYDNCYVAAHQRPIMVTAGLDKISYYQTT IEASDYVSMRSSQLSEDGCPSSKAIIWEGAVTSSSTITGSAGHGTAHATASSTLTGGS GTAPTAPATVPTQTGTSSGSAPASTTSPNGAGTGGQVHPMAALSLGMGVILIAGLVF MYCTH_2111641 MGGTGAKKELPVPSTTVALSRRNIPLSELAVGLTVLGCVIIFLV GAGVLWFRRSRLERPSQQVDVELQKANDDAPPGRKYGQPWIDAEYASFPRVYQPGGSY NNWKQRRDAREQRHRHRPEIGPQNDSERRDTQGKARRARGHLSFRNFNM MYCTH_2307803 MASQAAYAAEQAVGHQKEEIKQDVSNYETLGNPNEKMKALVWQG KQKVEVVEVAKPRIIEDGDAIIKVTGTTVCGSDLHLLHGSVIQMKKGDILGHEFCGIV EQVGPGVKNVKVGKRYVAAFQITCGECFYCKQKLSSQCEKTNSNTTAKAMYGSQSAGF FGYSHFTGGFAGGQAEYVRVPIADANLLEIPDGVPDEKALYLSDVLPTAYNAVKDTAV YPNDQVAIFGAGPIGQMAGIFALGEGASKVIYIDTEPRLSAISERWPAEHRDKLEVLD YKKLSFGLINKETVVSRLKDLCDGRGPDVAIECAAGEYAKGWMHWLEMSLGAETDTSE ILNEMIESVRNYGRAGVTGVYVGYTNHFNIGSLMQRGIRLIGNGQAPVMKYWEGLLAK IQRGELDPTQMVSHRFRLDDIDKVYYKFDAKEDNIQKVFVETEFSFPRAEGTPELTIL MYCTH_2307804 MKAVPYHHPVSTTRAVSHSRLPMTTVRPCAAESARGQQRLVDTV NERQAPATPATQSSRTAQRPRRNSGPGRHGVPATKINKASGSRNRIGLWLENIDPAHG LPPTLQALSPASSETWTRRRSASLSVPSSLDAARMARDPRAPRMPLADITSLVLAAES PSSFGSRNQSDARPQSSKMEMEPQSPKQRRPFSVPDLTGAVDMLTASEARHLLLMSAR SDTSLANAIKEIAISRASIRSTQEFEQSYLADTFICDEDRIPTER MYCTH_2307806 MDKLFGRKPAACWDPTDKVVPLHFFENSLLVQGNNMAVSLVFDD VLDPEKLRQSLERLIKRDGWQRLGGRLRKNASGKIEWHIPTKFTASRPALRFSHVDHG MPAASHPAASRIPTPSDRPAVVGDPDDLADLAWEPGHRPGGISDYLAADIPVLGLRVN SFTDKTVAVLQWQHVAFDAIGMQYVVENWSRVLWGREDEIPTPCGLDSDPFDALAKGT RPSVEPHLLTDSRVGLGGMLKWGLSYGVDMLVRAKENRMVCVPETYWRPQLERALAEL RAEATEKGEDVSKVFLTEGDILTAWTLRCVVAPLRMNPERTVAASIAMSLRKAFEGDL IPPSAERPYVGNAFGWANVLVRAGDVTSKPLSWLARQVRRAINEQGTRAQHEAYYAMV RESGMGLPIVVIGDGGMAQVGFSNWDKAGLFRLDFAPARTVPKDGVPCLPSYVQENHG PVKPADGFFVLGKDSKGNYWTSAYRVKGQWAKFQEQLDKDFKEGI MYCTH_53865 MDQLPPGNAPGLAYNSLQVGTVVAFGVTYGFCTIFLALRYFQAV TMVKKIELDLIILTLSYGAALVYFITMVVLMRHGWGRHIAELNMTDLLEFNQALLPNT LTYLITPAVTKMAILVVLFKINPSIIYRGCVVVVGVSIFAYTLVLTSIAGGPCSPLKE GTLSCLMNIALSQAVLNIASDLAVVALPIPTILALQLSAKQKIIISSILALGSGVVIC SIARLPYVHKMFNDTDTTYTEAILGVWSIVEINLGIICGAAMRMKPLIMRWLPQLSLF SSTAKSKSNGKSAPGFLGTSSAALRTDPRKAQHTYQLHSIQKSSANPNSQDSDIHVYR EHDVTTEHDGRSRGCSDTDSMDRINVPA MYCTH_2111646 MQKLSTTQKTHKATPPILPVEQEEIWNRLFDPSFASQHTFPSQH QLDYIQSLITPISSEHSLRHYERDTVEDTVQKLVDAVYDNPVLRASVGLRRTVTFESH TNLGTIDGNLSEPSESVPLSGGSAGEAALAPPAAVRKRHHVAKGKSKGNRAD MYCTH_2307810 MVGNCNKFHFVEKDETCDTIAALYSISASQFLQWNPAAKADCSG LWASTYACVGIIGGTPPATTTRAGNGITTPTPVHPGMVSNCNKFAYVNPGDTCDGLAS LYHISTSDLVSWNAGIGGKDCRSLQANTFICIGVTGGTPTSTGNGIPTPTPTQSGMVK NCNKFVYVNPGDTCAGIASKNSISVDNFVKWNGGVGGKDCRGLQAYTYACVGVKG MYCTH_5342 MHLSSWGLWPFLASLVYLGAVADPAGVLEVDLVFPRNETYAPTP YMPIVFGFRNGELARRLNPEILVTMRNSTALGDISSYIETPVTVNWTSNEPNFVYTVF QNEFAIEGTWWIAWDVWWTTCKVEDDGLIHGDITRNHSSRLSDFVIKKGGKAVDLVAI TANDKTCPETLGITINVTDTTAPISFANQPVPLRVSYPRLETCALVASSTPTANPCLV RIDSTAAAAISASAKSWQCILDRTPDCPDRWKKNTAQQLAVAGAASFAAALGAFGFLL LA MYCTH_94536 MVASSWFTAPLVAVALLLSLDGAVAKKPTFRPPSLPTYDDDAAC PERCSVSGPSTGNWSVYPNFEPIRKCTQTMFYDFSLYDSVDDPTVNHRIHACSSFGPD FSIIPGSITKTAYASPAPAKIRFELGWWNRGYGLAAPGLRSLVKQLRAYIDHGHGDGA ADRPFIIYGQSGQATIGLYIGQGLLSQGLSKSALKILQDNLANSDVSAPSLAIQLCGQ GYGSSHIFGAMVTSNGTFAPIQEAIRTWANATCLSFAGSKEFPGEVMFTTPLLLANGT ANSTVRARSLRPYAAECRTVQVEAGDSCGTLAKKCGISGADFTNYNPGASFCSTLKPK QHVCCSSGTLPDFRPVTNPDGSCYSYKVKSNDNCADLAAEYGLTVDEIESFNKNTWGW GGCKVLFLDTIMCLSKGAPPFPAPISNAICGPQKLGTIPPTDGSNIADLNPCPINACC NIWGQCGISKDFCIDTNTGPPGTAAPGTYGCISNCGLDIVKGKGTGSIKIAYFEGFGL ERECLFRDASQIDRSKYTHVHFAFGTLTPTYEVNVGDILSSYQFTQFKLISGPKKILS FGGWDFSTSKATYSIFRNGVKAENRLTMAKSIANFIKEHDLDGVDIDWEYPGAPDIPD IPAGEEDEGTNYLAFLVVLKNLLPGKSISIAAPSSYWYLKQFPIKAISRIVDYIVFMS YDIHGQWDAHNMWSQDGCVTGNCLRSHVNLTETRLALVMITKAGVPGEKVIVGVTSYG RSFDMAQPGCWSPDCQFTGDRLNSNAKPGRCTGTAGYISNAEIDEILAGGGSSGGSSQ ARAGRVVASFVDTSSNTDVLVYDNNQWVGYMSEKTKKTRTTLYTGWGLGGTTDWASDL QQYHDVPGPAKDWTEFKQLIRAGEDPKSDHSREGDWTKFDCTNPYLVDKTFYTPTQRW KNLDTDAAWRDVVRIWKETDKPRNIMFTASVSTTLYISADVDCRNLEDCNTTEECSAG LNGPYSGPAAQFIWNSMVKIHAMYHNYVLMLERATSLVSMALDDMQKTFAPVPVEEDK AWLYLLIDLITLGTLTVAGPLYNRQLGMYVYFSDKSVDDIKDTTMTLIGQSTTIAKDV LSTKQEAWTENLQASFNNMLSRVIEGWQNATSLAVNKIFSGSETSLNILWDVMSDGKL IEGMPPPGSGPPPDPGNIHNELQANVKKSIYAFAIPNLWRVSQTFAFILDSGFGCDVE KPLQDYLEDETMEATGACVDGKRYYLVAPIGESRTCDWVNGMWDCTLSNKFSAPPGLD RLGADFGYLTKEDFIKGSIRTWLKNGKRNAGGGMPDVTDIDTINSLIDLDFTTPGFIH LPVCSPERAYQTWDTSSSGYGANYPCDPPPGINNCGDSTFEDQTSAASPKVEDCLQII KNIQDDGKTEWTIQVLGKNQREIAKFGECRFGVEATEQTGNADFKVGGQDVIDIINDA VEKFGGSGRVGAKGDMSCNGNIKGQAVKWGIY MYCTH_102226 MDIKTRFLILSDTHAEKGLTAPDVAADVAIHCGDLTDESKLSEF RTSLELLKSIKAPIKLVIAGNHDFTLDIAAFQKKVNHAISTFSIAPDLVRQEYGYFGK ARQLFAEAASSNIILLDEGTHHFNLQNGARLTVYASPYTPSLEADWGFQYRRGDEHNF ALDGADVVITHGPPMGVLDLTASKQRGGCDQLFAAVARSRPRLHCFGHIHKGWGSKLI TWRGDKASESPSHFSDIDNGASTAIESLATLGPTKWDSTREVLEKEERLMALKQLGYR ATCHYGSDPLQVHPLTPGRQTLFVNAAVQSLSESNGPHLPWVVEIGLPPADA MYCTH_88195 MIHGETRARTGLTTFLLASSALVWASAVIVMGILAYYVSLDYRG THLIYSLVIAVLTSAFFPLAFFLVISPGFILLFNLIFSYLWLVVVTFIASDWSSSKND KLLTVEAFSFIAFFFLFFNIIYDWHYGFFRPEVRRAVV MYCTH_2128527 MAGDEVVKTDLLIVGAGPAGASLACFLAAHGRKGIIIAAAPGTA ETPRAHITNMAGMECLRDIGLEQACLDVATPSHHMAHTRWCRSMAGEEFARVYSWGHD PKHKGDYEAASPCNHVDLPQTLLEPILTRRAVHKGWSLRFNTRFLRFTRPTPETVISE VLDLITQKTYKIESRYLFGCDGARSQVVRELGIPLIKKPGQGLALNVLVRADLSHLIA NRIGNLHWVFRPEETTAPPWGWAAIVRMVRPWDEWMFIFLPAPGSDLTAEAMDASDEE YMARVRECIGDDSVKAELLHVGKWWINETVAEYYSDGNIFCLGDATHRHPPFNGLGSN TCIQDAFNLAWKIDYVMSGKAGPKLLESFSKERQPVGVDIITRANQGLRDHIHWQRTL GMLEPDLNKRLEILAELDDPGEKGRKRRQEFQAAIETTTTEFHGLGIEMNQHYVSDAV YLADEAGPPPALPEGACKVKTHQITTYPGRRLPHVWVNTRVPGKPISTIDLAGHGRFC LLTGPGGQAWKDAARSVSEALGVEMASYSIGWKQDYEDIYFDWAKKREVAEDGCVLVR PDRFVAWRCNGMIANPEEKLRLVMRSVLGLGHVNGQ MYCTH_94532 MTDAPETVEWQGRQVPVWPMRTIDYSRLLSQDPSEVDKVVKACL EEGYFQLDLDNIDGRRVLEDREEVLKLMYRFFEAPLEAKNEYGLIDSHLGYEPVGNRT GAFGAGTKDGYEMLKVSRDEIQQGSPRVPSPIKNSGDLRILERAIGGCNTVTKVILSA LSTGMGLTGKDRFENSHRNDRPSTTTLSMMHYLPAVKTGQDKIGHQKHTDISSLTLLF SDQWGLQIRPPGECGAREMGFVRPKPGCAFVHVGDSLRFASGMKFQSCIHRVVPFNPT EHRYSIAYFLRAEDDTMFQDSEGRWVTAKEWHDQKFKAFTDPPVWQALAPKSMILGGM KEDGADDPEPVAPFVPAVAKETLVQG MYCTH_2128529 MHESLPVSNTSQTPQKHDVTTTLNYWDDPGDGSRPTPIHIGRDR VTNKRPHRAHEFVVRDITGDEEKYLLDTHGFQYCRHESSEKDFTDEEAIRTVYYEECR KLLKDITGARRIHIFNHKVRRGPTQWHHLGLNGKNLANRGPVTRTHVDQSYLGAELRL RWEFPEEADELLKRRYQIINIWRPIETILKDPIAVADANSVPDSDLVGAEMIEEDFRG ESWVVRHNPNHRWHFKFRMTPQDVLLIKCFDSETSLARRALHSAFEDPAYRDEVPRQS IEVRCLVFY MYCTH_51839 MEDDGFVVVSRESTQDSCPDHVPRQVEESSGSNNNFVSEIGIYI ESLGETLWKLNQYLHENPELAFKEYKAHQALTDFLRSREEKWHITPSACGLETAWIAV HDSGRNGPVVSFNVEMAGLATAELMKRHNMPGKVVLFGTPGEEGLGGGKIQLLKRGAY RGVDISLISHPGILNNSPLVRTTAFARLEVEYFGRAAHGAKNPWMGINALDALVVSYN AVSALRQQTKPGDVIGVAITNGGEQATNVIHAYAACVCMIHATTSSRLAELQEKVSSC FRAGAVATGANVNITVTSGYQDHVPNRVLAASYRRYWSMLPDPPDPPLPADGQFTWVR SSTDQGDLSHALPSVNASFAIPPGPEAGQPHSPDFEKASGTRSAFARALRVGKALAGT AIDVLTIPGLLDEVKRQWRRDMKATREQFEEFSEYSDY MYCTH_2307822 MRALMSMLVAVLAAPLSVVAAPTGNIMAARQAPVAPTPCVRQDP PPTQEETEARFNDFVEKFVGRSKSIAKAFEYIAEDYINHNPLAQNGFKSAWDILSPFW DSSPTTYLRHTIDGDMSWVNYRSSFGQIVDRFRWEGGCIVEHWDQGEQYPTS MYCTH_52469 MELRALFFALLAAQPTVWAKQIFTNRGTLDGWGGKQTEHKGEIK EVSDVFYDQPPALVMTQTYDKSYTGRYHSEVRVLNAYKHGDTRFYGFAFRLPPDWQFE PAQSYDLAQFIAPFDQCDSYMPSTMFWAEGTKLFTRLKTGSVCNQKTTKLPLNVTLTA GEWHKVIIEARWASDSTGYFRLSYDGEQVVDVQNVATTVSEDVPFQFRVGLYANGWHD DNGMKGTQGFRQVFYDKIAIATTEKEADPDSW MYCTH_2307824 MNVFPGTAGITAWAQTEQAVQSVEDVIEEHILPKLDPDFLEYFT QVRTKAAAGSGKVAPKPPSIQELRAHPEAFRVPCALDTRGYPGVTDLTFPSQDGVSIP VRVYHPDREKHGTGPFPVHLNFHGGGFVVGGLESEAAHCLSMREAGVIVVDVDYRLCP ENIWGKCFQDAWDALNWVRESASLLNANPASVSVGGISAGGHISIVLQHMARDAGIPL KLCMATAPLATRALSYTYYTESPFPSFHEFHRGPVLPWAQIKYYGRLCMPPEKLPELR KLWPSWWLEPLEAPNWRGLCDAFIRTAELDPLRDEGEAYAMKLVAGGNKVTLKRYLGC PHTFVYMDALKRKHEYDRDSIAALRVAHGLD MYCTH_2307825 MPETQFNADDRLRNRKPIPAPVPAYLPTAGSPLTVDTELYSSIQ QAPRVLVEEFTLPIRSGKAWKAEAGSIIRISTPVGPQVGDLNIWNAHNPRERFWASRT RQLHASHVSTYDRLWSCLPYMRPLVTIISDTLAWYGEDEHGGRVHDLLGTRCDPYINS VLSGGQYDFHCHSNLTRAVLPFGLSESDVHDVINIFQVTGLDAKGRYFMNPCPAESGD HIEFLAEQDVLMALSTLSIRPRHRCHGII MYCTH_2307827 MAPLNSFFLSVVPMLSLVAAKELPVDMALKKAIYDNGIVHEQIM ATKHQIWSQLAEQGAFDSSQYKAFDEETDYLPCQDGIVSLVPGDPANTYRCQGLDLYD FKPHVQLGNSTGRGAGSWGWTSPEGREFVAIAQQDGTAFAEVSPQGKLIYLGRLPQYA KAVPSMWREIKGYKSYILIGSEAVDHGVQIFDLRKLLDVDPASPVVFDSVKDLTGFWN EGLPLGRSHNVVTNEELNYGVATGFQPRDGPLRAGLIFFDLTDPSNPKTLGGTGADGY VHDAQCLVYRGPDEKYNGRDICYGYDEDSLTIFDVTDKKNIKVISNTSYEGFAYTHQG WVLDPQWQQYLVADDEYDEYDKTGFGADGYPISYIWDITSLEAPKQTGHYKGLRKGID HNQFVKDGFAYQSNYALGLSILDLRSVPSDPTGKGIKEVAYFDTHPEDDHLPGGGNVT FTGSWSHYPFFPSGFIVINTMDRGAFVVKRSKSD MYCTH_2119688 MSQEYKLKGVTSLALKPGEKQEVEVEGLDAKVLLVNAGGTVQAV GPKSCFNTKTGDVEDAPALNALPVFKATERDGAVYITGDADTIKRGHRTPKFKCTATG GDKVVVVGGGSGALGTIEALRGGGYQGAITLISNEGYLPIDRPKLSKALLTDLAKLQW RDEGWYKDGSVDIVNDEVTAVDFATKTVTTKSGGKFAYTKLVLSTGGTPRRLPLPGFK DLGNIFTLRTVHDAKRIVDAIGDKGKKIVIIGSSFIGMEIAVATANGNDVTVVGMEKA PLERVLGEKVGNIIKKGVEAKGVKFYLPVGVDRAEPSASAPSNVGSVHLKDGTKLEAD LVILGVGVAPATEYLKNNSAVQLEQDGSLRVDEAFAVVGLQDVYAIGDIATHPYRGPG GDGKLVRIEHWNVAQNAGRTVASHILNPDRTPEFYTPVFWSALGSQLRYCGNTMASGW DDVVLQGDPEQGKWVAYYAKGQTVVAMASMGMDPAMAQCSQLMALNRMPSKAKLEGGL DILSLGPPHQIPGPRLAAISNVWQARHVRDGRARELGKTLHRQYGPMVRVGPNEVWFD SEEAFREIYSAGHGYEKSDFYLATALNKPRLDWKLRPHFPDTLDFLSEFDVKRYRMQR RLIGPLYQAPSLRRFESAVDRVVAAAITELKALDGAEVDLKEWMHIIAVECLGAVVLS WSPRYIQARSDGGTSTQSYLGWKRKSVFGLFPTVTRLSFISKTLSRVFANIWGVTFKT PKGFKPFFTPVYQKTSKRIANALRSPSHPGGKRRAINDLLENLISLHKERPEFNETYL RRLAVTNFGAGHETMCSALTAAMAMIGSHHGVRRRVAEEVRSDPDASEADNGLRLRYT RASIKEAQRLHPVIGMSLSRKVPQGGTLLHGLYFPAGTTVGCNPVALHRNPNIFGEDA GRYNPDRWLQDDGVVRRMERFNLTWGGGARSCPGRHLAELVVHKVVPALFKEFDIEIT AMPEETEMPSYFMAMMTGVKAKFHRVPHKTGNKTGNSSVEVHD MYCTH_94523 MFGLRRGIAALQRQTGGGFSSSNNALIKNPASRPKSTQRNWSSF PGKNKNKDDMGGPGGQEHFPESTALRRKFRMTTMYGVLAACVILAGTRMVRRYANPTA GYVLVHDSSKGELDDVKYIKESELPRK MYCTH_2128538 MSTSPLPSLETTCTVGYLVHYDQFRLDAKVGFADQLYQLILARE AILCLASSQSSWHGGITARIVYDMVVAELWSDSRRADNYGVVYPQASYWGVRSVVGKV LAPLSAASASASPSAGASSDGSESGIKCLGGWVGPCPSPALPESTFGIIVEVQARHTN LNAAAFVTQRKRGTTAGATPEWTEPVTPPASTDSARLQTLRLIKVDHLDTPAGANNNN RAPRYRARLDFLLVRSKITVSVLLHANSVFVAAPKCGGAGPGAHRVDPRSVAAYTFRV LTIEDLPRAEPECINL MYCTH_2065465 MGDHGDRGHLGLYPRCGLFFGNQDSTSYRGHTRPFPFPQQGYSR TRIDGYLLCRRPDCYRCAASPEFVPVHQECFEIFRQRCSVPDALHRLWVLAAWRSPWR RAQPINFSNSLVDVPILRTICQLCGLPPLHTLPQEILEIIRHYSEHLLLWRCDPVFRV AAQISATAPEPLLTVPLCNLHSWERNGMLQRVTASQSPLPTLRLTIDSSGISKVERLL DRPKYKGECTSRFVFIVIQDDSAVGVKAQLKDGCLRLVFPHRPSFQLWNTPTPPSLAL CRAYPADITRCQNFHAVEMDKITGLTFFFSGGCLFGIHVHRLRGSSAIDSYIRAFSNR RRRSIVWLYVPISTRDRLLLIGIREGLQSRTQSIVIRTKLVGDVFVGMQWNGTVRDSS LGASPPLTMVYGEPKEGNPVSFFAAYCRTPADTGLSKPFRLPNPYGSPLGDEAYFSWA PLSGVSSTLVFYDKSNGACRGILLRYENGGSRAVGQCRLQVDPTEEVAQPVRFCFRVD SCPGRFNRDHYIARAKFKQTRQACETVEDEAWECRQLEGLIKFWFTPESCFIAIDDRI GV MYCTH_2063233 MSVITNPRLARLWQDAQTHPEWATTRLWEYLFNHIVFTDDNFIV SSQQPPTHQPGELRRVDLVIEKMDSTATTIGTLLFLEAKRASASRTDIEEVEYQAFTA ACAYYIETGLEPIWTMTCVGSAARLWIFSGSSDFLIPFVPSDGGLGLPEQSEYLDIST HGREILDGLEYIKKHTTPPAELLRNSPSPRPANATLPVNWHDNEVAQLDARRQQGDIV PMAAAPLPMDLNQDEHGISYGSGNERFA MYCTH_103794 MDPRPSGGWDSESTAGFTTTSSHLVLGREDHTDKAPAERASEPE GWVRGAGFWRCFVGLCFPILLSAFEGSVVSTALPTISRSLGLGPNSSWVATAFLLASI VFQPLFGQLADIWGRRHLMMAAVAIFGAGSAIAGYANSCAVLLCGRIVQGIGSGGIDL FAELILCDIIPLKKRGHYVAIKAAVYALGTTIGPLLGGVFAQTNWRWCFGVNLPVCVL ALVLMWFWLRLSSGNSWKGLSVLQQISQVDYVGILLLTAAVVLILCALSLAGTVYAWS DPTIVAFLAGGVAGIAVFVFWERSRRCTRPIMAPHVFSNRTTIAAMTITAVHGFITYG FQFYLPPFFQAVLRASPSQSGVLILPCSLTIVVLAAVGGPLLARFGKYRLMHLAGFAL MGAGLLPSIPLEESRSVVLWISLSFLVGVGSGIIVSTTLPAVLVELTDKENAAATGSW AFLRGLGSLLGVAVPNAVFNAQFSASLRSIDDAVVRAELSNGQAYEHASGTFIAGLDA TVRQQVLGAFTASFRFVWIIFLAFALVGFVSALLERQVQLRRDLDSEYGLKERVRI MYCTH_2045420 KCGDTICQAGLTCCNPSCGICVKPGMKCTMQACTKSSPAPPVVT PREDDKTTQCGPARCKEGTECCNESCGICVEPGNGCTKQLCLPAGEVCGNKVCAEGLV CCNESCGLCAPPDGGCTMQLCL MYCTH_2307834 MDPPLQRSCLTRHPVAFASCSIGLPRHTLHQKIEAIKEAGFDGI ELSFPDLQAFASRHFGRDIAEDDYRSLCEAGKAVGTMCAGHGLRVLVLQPFSNFEGWP ERSEERREAFARAEAWIDIMAAVGTDMLQVGSSDLPAMSRDPDQIAADLARLADMLAA RGFRLAYENWCWATCAPTWKDVWAIVQKADRPNIGLCLDTFQTAGAEWGDPTTASGRI ETGGVTAEELSQRYAASLAELARTVPADKIYFLQISDAYRLDPPLDPTPSSTSGLRPR GQWSHEHRPLPYDGGYLPIKECVRAVLATGFSGWMTIEVFDGRFEHKYGDDMRRFAKK AKQAYERLLKEARAG MYCTH_2307835 MAAAVAVPLSTINSELGGVLPPSAVVQHSPRHGRSRAMSMKGKG GRQRGYSVVEDRDVTIAKALMFVVKRAIQKEDVEEGDEGEYLVADPEGWVSVADVLAH SRLSALGATFDDIRRVIANAPKPRFDLRKASNADAEPEEPAAWQVSRITHKETTASPV PVGDKLTADSPDLPEFVIYETSYQRYPLLLALGAITRAPGGSEYRAFVPVTVDEEGNE SRQHAGAGDAAEISIWIHLRTALQAEPSIAWRRSESGAIVTVDDVPKSLWNKAIARRP EIGILFEDGEVRKEVPANLRGKGAKGKTRKGKGALKQEGSGDDSGSASEE MYCTH_2307838 MPDFEKQSYWHERFASERAFEWLTPSSTVMDILTPYLAGLNASI RILHLGSGTSDLHNHLRERGFLNVTNVDYEPLALERGRQLEQDRFGDVQTQYLLADAT RLNLSDKYQLVIDKGTADAIACGEEDALLSMARSVRRFLDESGFWVSLSYSSQRFDEE IQSIFSVEVISKIPTPKHKPTDPDIFHHCYLLRPRG MYCTH_2307840 MDGSVSAACSAASEAVARTLLAVNRFVREVRESRSEFDGITTEL HSLDGVLDLLGYDAAFIPPSLAEHTPAVLETCLALLNELEGCISLLNRPDVPRPEKRS RWLASRKHVDTLRWTLSEYKLVLGLAADLVGVTKSRATDAADRGTPDDEQARGGDGAE DNGLAIVTARITEVANELKDDLEQSVAMARLGHYLDMLRAETGVEPRSPVPAQRDDRR PHRTSSMGGPPDSAIDVSYDDVPFRSGKQRRPTQSTSFLADPWEEEEEDGDEFVGELN EMPARVPPVPPRSASRMSCAMPSRPPAQHRPSTADTYCDPRPSPTPSWPSTPRMSTST SSSSDVSYGTSQEPYYTPVTELPERAAPNPRFRPEARTTHSRRGSALGQVLESVWEHP QHDASPTSAPAGVPAGVLAGAGSETPPSGRQSSLFRRRSSKLSTTFRMFGRKRSSSAK GDAATVGDEAAAQVPNAVFGVPLANSIQVAKGVAGTRHGTGGSSSHATRDYPLCVLRC VYHIRDCGLHVPHVFGGLEDQDRLAELKAVFSSPETSYGKELDWSQFTVHEAAALILV FLSELPRPLISESVAKRWVSLSRQAAVGGVRLDQGIDFWEEALLGVQGHARALFKLLL NLWGDLADAAPVNEMTAERLAARVIRPLMHTIPARHHTDFMLGLAFLIRKRSEYNLAA NGVARKSNAAF MYCTH_2307841 MARLRVNTLVTEGPCLPSAARKHSEYARHMVAHFADGKISHLYD ISDADEKQNLSQRIVPLPSPRPPPPPPRTSVDLRRFYADYISCINDGRIAEELHRFCS PSGVVWNGTHYTVQQYGEMIQSSREAISGLFFDTHTLVVDGSRQQLAARLEFTGTPVK PLAGGVPNGRPVAFAEHVFYWLEGGKISDVLTIVDWDDYRSQLAR MYCTH_2307842 MEEGRLHSLTFRDRLATEYRWTVAPLIVGAPMRVMSGPELAVAV SRAGGLGFIGPGLKPESTAADLETAKGLLLASSSSSSSSSSSPPPLASDSSDSFSYGI PQRDGVLPVGVGFQLWNGDVDVAAAAVARYRPAAAWLFAPRHGQPDVDAWTRRLREAS PETRVWLQVGTLREALDAARSASPPDVLVVQGAEAGGHGRASDGTGFVTLLPEIADAT RDSGIPLIAAGGVADGRGVAAALAVGAAGVAMGTRFLASHEARISKGYQDEVVRAADG GANTVRTHLYNHLRGTFGWPEQFSPRTIINRSWAEHQAGTPFQEIKKRHDEAVSKGDA AWGPDGWTATYAGANIGLIRSVAAAGDIVREVRSEAVRIIQTLSGL MYCTH_2307844 MAPRRPQRRAIRTAVDPALPTPDQSTETTPVSSAFPSAYPSEAE NESDAEEGILSAPMTPPATGAVVPQTPPKKRRIPEKPFRFLDLPSELRVEIYALHFEG IDQVVDLDSDNYKRVHKKLAIFRTCRTIYHEASHVFYSTHTFRIFPTQPGRFFKTKKP LLARLNTNQRRSITSLELRLGPGWNRPPRGWVVNAALGLSECVNVRQLTVFVECDPSD SVFKGFRKADGFYETFSRNLLDDVLAEMPFLDRVHFDAWSSVKKTGAMMTGLLEVARA RGRKICWGPERGWSEDEEEDEGGQRDQHEQTMAQLQAAMAFLGTT MYCTH_2307846 MLSRAAARSAATLRAVTLAGPSAFRPQSVPATIWSRGMAKNSKP QPPRFASSPNTSAQKPSQPPPSSAASPSASQPVSEPPKEQAAERASEPGTPREPATEE PIPDLSKLPDLTQGIPSTLEYETSGATDKAALAAVEQQEEPPKTGGRGGRGKGELPAS AYVSSTERRRQKMATWAFIFAGVSAVVGVAYLGRDWDEEELAKNPEIPNGWGIGLWWK RAMARMGRTVTYYQEPAFEKLLPDPDPSFARPYTLCISLEDMLVHSEWSREHGWRVAK RPGVDYFLHYLSQYYEIVLFTTVPFATGEPLVRKLDPYRFIMWPLFREATKYQDGEIV KDLSYLNRDLSKVIIIDTDPKHVRAQPENAIILPKWTGDPKDKELVSLVPFLEFIHTM QYNDVRKVIKSFEGHHIPTEFARREALARAEHNKRLQAKSRGGGMSWLSSHLGLKPSN MSLMVAPEGEENPQEAFAKGKMLQDIARERGLRNYLMMEEEIRKNGEKWLKEEQEAME KAQKEAMKSMKSSFFGWFTPAEDKKENTGASAGQTKSN MYCTH_2128552 MKLTRAMLTAALAAGAASALPRLTSGADYSNAKEPSKESLGVTL AANEKRSQHYSDPRMELGSSDCIQTVPSTRRDYQGAEPGSQNAESPGFGQDFNAMPAD LNTRDDSQMPSSADNEMLSVHQTATEDERHGTADGVSSSRRVNNFAVMARNVFKEAFG LSRDQQRTGAQQDARQSEQAEQAAEKRNDENATARNQEDARRGTRHSNAMPQNSFSLT RSRVGRAGTDITMEDAQRGTEQGEYREEEHSEQQQQQQQQQA MYCTH_2307847 MTRLIPTAILRNVLRYLIPLSIALTLYLYLYPVFNTCAFPLPPD PSSASVSADTGRAAFVETAKVHWHTAAGTADHPTIFIPSRPPAPFRLLALGDPQLEGD TSIPTDYLGVFPHAKSLFRHATFRSRHSSLRQRIRQSFHDLVDIVFEDTFNALESLRK RIDLVGNDLYLAHIYRTVRWWSRPTHVTVLGDLLGSQWINNEEFYRRAGRYWNRVFRG AERVPDDVAAYPAEEYDLAGRLGGGPFNESTAWVRRVINVAGNHDIGYAGDLNDERAE RFERAFGKLNYELRFELPLTNASLAGTNFDADPSSTRLVPELRIIVLNDMNLDTPVIS TKLQDETYAFVNKVISTSAAVEFKGHFTVILTHIPLYKPVGVCVDDPFFDFHQHDGSL REQNQLSVPASKGFLEGILGMSGDVKAAGRGRGRPGIILNGHDHEGCDTWHYINQSVA DPEQRSWEVKRWRQAKTAGIVGRDELPGVREVTVRSMMGGFGGNAGLLSIWFDEASWE WRTEYATCPLGTQHLWWLVHILDLIVLAAILLLAVAAFLSASGLNVDRAVQESAAKLS TPQKKLARPNTPAKRSALAKGGGSG MYCTH_2307849 MPQPRPPKRPSDAGDGSADSAAPAPKVKLARLERRPEEFSNVVK SKLQSYTRTGQACDRCKVRKIRCDALPEGCSHCATQNLECYVTDRVTGRTERRGYLQQ LEREKGAMLVHIRELEKLLENHGVEVRPWQWPGYTTTYPPGVSFDHLGNPVQDPSSKE QWQQVGLVWVKNSQKKSQNNSSAQPPWSTLASRPKDSYLGVSSDSAPLSSIKGTTLSI LGTNIDITCFDAPDMDEPAPGTPIGSPLYNKSVMAYLQSALNINPPLTNVELPPKMEA FRYAEWYFLMIHPFLPILHKPSFMQLLTRIYDDPTFKPTVPELVIVHMVLATIYFQYG VRNREEPEKHAQLNDLSNKHYHWSLSKFFDLAISQSVTAVQALAMIASHTRNFPKPEC SLAVSSYAFGRAIEMNLHRAAEIPAGGTTLENEVRKRVWWAIVAILCTLNGRLGRPMP ISVEEFDVEFPIAIDDEFIGEEGILDPSKIGHCSYHAGLMGFKITPLFIEMYSKLYSV RRDPSKYVQVVTQLEEALRNLLDELPDELRVEKCQPGNRVFALYTQAFCLEFTLCLRH PSVCLTDDPKICAENTRICEDAARELLKVVGSLYKLKSLDTTWYQQSVYIAAMFSVLV AHWQRRFETTAVEVAALREDMSLWLGIIREIGRLQGIGDRLAIEISGIIERTISWIEQ DMGRKPGSPAGEASVKKQPDSYPTSAKPKQQVEDPGNPLLPASVGTVGSSEQRSAGTT NGNTYYDGGVAGSTAPYPPLGYGDQANGGAALNNNGNGTVDSADGTQYLYAAASAATA AAGPNHTGAMDQAAAAQNPLVAFASQATQHVAGQTADSWPAQTSLMGHPAAPANPWHD WTNAIQDTQERYSANALLTLNSGRPGDAGTGTGAVVDHVGQGDAMTNAHTGQWPLLLF HDGSGNVSGP MYCTH_2307850 MALEKLELEHVPSSCQIYAAFFRDVSNADFLHAQLLSRNSEFKY AFIDASSVISRLHLLSAIYSAVNVLLDGSLRTPNVHSEIVVSFNANNNIADAYRRWGI TPGKTKDLIVVKVVPPSNAQDGDSAPIQTQQAIWDHLSQHVHGKPTPLTDAELAQTTD WPKVRKYYRLNGVPALDRISDEQEKRKQSERLALMGMALRGL MYCTH_2315754 MGRPAPLAVLPLANVLRSLMTTTVSSSPLLLPPSLAVMSALANT TRPLLNPDRNPILRFFLKKTFYAQFCAGENAAEVRRTIESLKGIGFAGVILGHAREVV LSEAQMKDLMSCSSGAVADECVRNEITPWAKGTMETVMLASPGDFVALKLTGAGRQAL YALSKRLPPSEALSSAIDAICRLAADRGVRLLFDAEQQALQPGIDDWSLEYMRKFNTA DSAVVYGTYQAYLKATPSTLSRHLAAAREGGFALGVKLVRGAYLGSDPRHLIHDTKAD TDMAYDGLAEALLRRRWNDQLRPQSESDEDAAFPNVGVVLATHNRESVLRAQAILSEG SADCVDVAFAQLQGMADEVSCELVTKGAGDKTPRAYKYLVWGSTGECMKYLLRRAHEN RDAVQRTRAGRDAMRAELMRRVKKAFGLST MYCTH_2307854 MAATDDDEASHARRNQPPPLSRAEVDQLYRNARLSLPAPLRIPM ASVLSFLAGFTLGTANGGKMAGLQFRAEHAHKLPTTTTGWYLYHKSKNYHMAYGGIRE GLRMGLRVSFWTTAMFGIEQMFDSYRGTADMLNTITSCVTVAGGFSLWNRFSLPMTAR TTKAALVAGFVYGGLQDILGIVRGRPIRYVDWVRQRLGYPRREDPKMQ MYCTH_2082040 MPPNAKTVEDPELTVRLKYGMHTIFLIAMADWSFSRLTAELLSI LRDRYPNGLNTSTNPAESQVTPVPANDSDVKVAYALPKNPNDLNQGWRTIKATETDTI GKKGLTDMCSVAFTFLEPDADEASAQFVVEVPIIEEEEE MYCTH_2065797 MHLTPALVAATCAVEVCAGVLPRSSSTPTTFGSGTLSLKQVRNP NFVRNGPVQLARIYHKYGVPLPHDLREAVARFRAEIRKRSNGSTETNPETNDVEYLTP VSIGTPPQVLNLDFDTGSSDLWVFSSETRSSDVQGQTIYDPNESSTAQKLQGYSWQIS YGDGSSSSGDVYTDAVTVGGLTVPSQAVEVARRVSDEFTSDPNNDGLLGLGFSSINTV QPVPQKTFFDNAKADLDAPIFTADLKASAPGFFNFGYIDHGAYTGEITYMPVDSSDGF WAWTSPGYAVGSGSFKRTTIQGIADTGTSLFLLPSSVVSAYYGQISGAKYDSIQGGYT LPCSGSVPDFAFGIGDSNTTISVPGDYVRYAATDSSGIICFGGIQANTGIGFSIFGDV ALKAAFVVFDGAKQQLGWASKPLPS MYCTH_2111679 MADRSSKPHWPNFAPVAHYYSRRPDMRIAAWIGRRFNFWKRNPL DTRRQRGGVQHALQQLSLEDLRSLDIVSGPSRNNHKKCGGCLPDFLGLQTDFADQFER YRPSNRASRLTSSTTTNTCPLAEPLPQDPSAGNSPLKSFLQPASDHG MYCTH_2307857 MTEKKSFEATAATGAVRPFAESQPPVEDATELKTHDVSVEKAPT EVEEVDEEDLYRPLAMDPSIPHEENPLTFRAVFTGCVLGLLVCASNLYLGLKTGFTFS ANMFGAIFGYGVLKLLEKTGGKLPIIGGMFGPQENSIVQAAATGAGGTGGIFVAAIPA MYQLGVMDPGHSPKDDIGIIFTITLVTSFIGLFYVTPLRRFFIIQVARELKLMFPTAT AVALTIRSMHAGAAGSIDALKKLKCLAVCFFGALIHRVCSYYAVGILYDWHVFTWIHI WSGYKSWAMNIESWGWYMEWTPAFIGSGILIGLNPAISMFIGSLTAWAIIGPALVHYG ECIGIDLSDGDPKWNGYYTFASLSNLGKQTPSPRYWLLWPGVMVMVCCSMAELLVQYK AIWAGAKSIWNQTCAGINSTLVARGKSSAFFEKHGSVQAKNEDIVEDPFPPKEQVKTW MWALGLLVALVIGMIIFHFQWDTHPGLTILAIVLAFLFSFLAIQIGAVTDNTPLTAAS KASQLIFGGATSNSGYTIQHAQKINLVAGALASGGADMATSLVSDFRTGFLIGTSPIK QWIAQAIGAFCSVWLGPGLFVLFTSAYPCIWSPNQEDRAKCPFLVPSVSAWAAVAQAV TDPNVAIPLKSGIFAIVMGVVSIIQVVIRHFYLVGDRAKYRAWLPNWGAIALSWVVPA PVFANAALLGAIIAALWRKYSMRTWDIYGYAVAAGFIAGEGLGGVVGAILTLANVDGS VKGTNVACPMNSC MYCTH_108890 MGLSTKILQKIVRNEAMASDPPEIYGWRVYLLACSACFGAMSFG WDSSVIGGVIVLPPFIRDFNLGDPKSQASANLSANIVSTLQAGCFLGALVASPMTDRF GRKWCLIGVSLIIIIGIIMQAAASGNLGPMYAGRFIAGAGVGAASTINPIYVSENAPR AIRGLLTGLYQLFIVTGGMIAFWINYSVSIHFPETKIMYVFPLAIQALPAALLCLCML LCQESPRWLARRDRWEDTKRVLSRIRNLPPDHPYIQDEFQEIVAQLEHERRLIGDASF WNLQREMWTIAGNRRRVLISIILMICQQMTGTNAINTYAPTIFKNLGLTGTSTSLFST GVYGIVKVTSCIIFLLFMADSLGRRRSLLWTSIAQGLAMFYIGLYVRIAPPKEGESVP PAGYFALVCIFLFAAFFQFGWGPACWIYASEIPAARLRSLNVAYAAATQWLFNFVVAR TVPVMIVTMGEGGYGTYLLFGSFCFSMFVFVWFFVPETKGVSLEAMDKLFGVTDESSK SLTVDEDAKEKEKDGPHARQTEVVA MYCTH_2307864 MLSQPTVTVLGRDPARRRQFNINCYAFQQDAIVTFNGWQYSCFY SFRQSDSSDAAGEPLYVHVARRQLPGGDWEVLVLDDYAQTTDDGHNTVQMGISPVDGV IHLSFDHHCDVLKYRSSAPEVATRPGDFEWRASLFTPTLHALPGLPTSHPPFRDVTYP RFFGTLGGHLFCSFRDGKAGLGNDHLYLYEPAEKRFAYVGRHLTGIQSNPYVHGMDWR DGRLHVTWVYRGFVYYDGWDDPLDSKHKQQAGPNGAENNHDMCYAYSDDMGYTWRNGA GAVIARLREGETITNDAEGIVAFEIPKHSGLTNQEAQTVDQDGGVHVLNRDTLDGVEE EDRAVWRHYYRSPEGTWSRRPIRPVTGSARGRLAISRTGDLYILLPNFAASELQILRA SRAGGYATYEEVWKGGKLTGEPLVDATRLEHDNVLSVLVLAEEEDPARGRNVAVLDFQ L MYCTH_2307871 MQTETLMRMLGDLLARIATPAEFTLADDPAAFNKAMLSWLTDPD FRLPNGSVVGLCCSSLLSLQFREDADASTDDLMLDFRRFLLATSLAHAGWRDGSLKAI RADDLRAAGHISGARLFRRLGRVLTPQFLSRCGRDQCQVLFLLVLGAVLGVGYYSSSR LEDDRSPDFFPPAVGGMLSPELRRSPTLWLAMKEQLCQMLAHHLIFLGSMLEIKLEAA VEQRIIETAVARWNKAEDFVWADMSSSGFGVGEDRKEEGKEEEEEEEEERPPSTRTCK SPEVVRSEDVDQDSVLVQPSSSRVPSSHCAPASSSSSAPPPPPPAAARLPPLVTISLS ELKQFHPESVDAWFENPQSYLSMFDDELGSTGERAASSASGAAPELTARQEGESRERF LVPKVGVCRPES MYCTH_2128565 MTSYGALKEQDEVWERTFEAHDGDSKWNDPIIKYVPELAAAADK AKEDPVANVAWDEVTIGTLAGQLSGAIRDYGLMSEVTQEFNQSQAVELGFPPLQDSNP MLPKCGEWPQCNRTEFFNGPLEAYPSFAPFATPPYTNMGYQILTYALKAIKGKSFQTM MGESVLRPLGLNHT MYCTH_2307872 MFSTDCGTWVSFTGVVYSTQPLDQFVLEVDAAGGGGERRESGAE GVNEEIKGSYIKQ MYCTH_2307873 MVCRALANVAMMLATVAALHCLITWLAAGDSHLYWFFALFVWRY LRFLVNLAAFWLYTPAPRPVKPTYTPPDVTVILPTIDPQGEKFLETVKSCADNGPAKI IVVTAGDELFSKASALVGTFTSAYPDVKFVVDRTQVVSKRAQVALAVSLVETDITVLL DDHVFWGPRYLESLLCAFEDRAVGLVGTNKRVRRHRDLGLWGRVWNMLGATYLCRHNF EIRATNTVDGGVFVVSGRTCSIRTEILRHPDFLPGYTNERFFFGLFGPLNADDDNYVT RFVVRHGWKIKIQYTEEAVMHTSVGVDKPVATKFLGQCRRWVRTTWRSNLCSLLTDRS VWALQPYCVYAVYLTSLTNFAALTDGLLVYLLARSSAYSVGTLAGLVSWILLTKTVKV FDYFRRHPRDVVLFPAYLAFAYFHGLIKFWALLTFWDCTWSGRRLDRIGVDGHNKTAR GGGRDDDDDDTRQTPDPPHLDTLRSIRDRIAGLHEQHVRHIAEYQRPLLAELQHLRES FRDLQGDHDAIVENQDAIWPELHKIETQTKDLAAGHISISTTVAESDILKAITGVKDA VAGVEGRWKDWASELAKTASASP MYCTH_2307876 MPCDSYTVTQIQRFLWPRPMALGFWAFGKEAVKQAAHDVARYFG FGSEDSDGTQARPNPTAPPPLPPSPSPEIQRALQRLRQGTTRRPEQVDDPGSISSSQR AQSSAPAPTSDKSIGLPEKPTLDQSNRGPSKQKGFTEELIESFSSSEPWKRLKETYQR ERRPIRPDPPRGSILVTGIIELQTQRAVMFLDVASWYNPKTKSYALETMTVSVRRIRP KYAVAPLR MYCTH_2307878 MRFSAGLTAAALSGLAAAASQQSADVYMFPSSSRASAETPSIPK EVARHIMLQRTSRQRYGSDLRDIPSSIDIETAVEHLTTFGKSPLPLFTHADKADASQL VIILEGAAADQSSRLREKLGHNVAFTISDPPSATANNQLMAHFQNMGVASPEQCELPL VINPFETDCWTGPSSVVKYDLRTSPKTYDSLLENLSRLEKFVADGDLEVLLVLLPESS RSSKLSQWGGAAVAGTGASLQRRRGTEMVLTDHDIQKSTPAANGMPTAGSSSSSSAAR RPGAIPKCFSTLEGCIKDTNSCSGHGECVNKYGTANGTDESHSCFVCACKATVVKAPD GRESSGRKTVRWGGDMCQKEDISVQFWLIAGFTITIVGAVTFAIGLLFNVGEEKLPGV IGAGVSRSK MYCTH_2307880 MSSFGAKKKARIIHTFDDDGDDLSSSAPNTSDETQSDRKLSTVT APASLNSPPLLMMIGPTKSEQADALPAEPAPLGRIKFGRNKPAKSSALRKSININDDE SQEGPASEPATIARGDDGDDDDGSGGPVVIRPTASRSGSAKFKKRPAASRSSFRPGEG TADEDDDNTEVGGAVTKPAPKKPLGRRLLENNALRKSASLPSLSGDRNLPIRFGGQEE APKYSKEYLEELQSATPVAPQNLAELHLHDDGDEMSLDPSELEGALVVPATEVAAPST GSGSTPRILTEAEIRERKERRARLAREAEFISLDDGSEDERAAQSSRVTVNFGKKKSE SRLIAEDEDLGEGYDEFVSDGRLALGKKAEREAARRHRKEIAELIQAAEDGSEAESDD SEAERRAAYEAAQRRAGLDGLHRPDEDHDMGGVVGPDAVPRMKPLPKLNEVLQRMRDI VQELENEVARKRTRIGDLKREKEEILAREKEVQEILNQAGAKYQAAVANAGGNVGDVA KMVIQSPLRPLPPGISGELPVERGLESFGATPIRRDDTEMA MYCTH_2119714 MAPAQPELKKYLDKRLFVQLNGSRKVIGVLRGYDVFLNIVLDDA VEEKDNGEKVKLGMVVIRGNSVVMLEALERIGDDARGGR MYCTH_2307881 MALREDGTPWWTDFPEPASTAAQVTPGEVFDLLQAQETAENEQR RFLLVDTRRTDCKGGTVRGAINLPAHSFYPTRKTLYGLCKQAGIKTVIFYCGSSLGRG PRCAAWFQDYVNQVGGVLESKVMTGGIRGWMKAYGGRMMDGYDDKAWEAEER MYCTH_2144570 MSFLKKLTDGIAEDLGRLGLGSEKKESRDEHRYSNRDGVGHYPP QQYPPQGYGGYHSPQPYGGHYQSPPPPPPPPEGSYYPPQGHQPYSPPPPQPNYSSPPP QGETPYAYPPEPGPRPPPPYNPPADKPPIPSGWKPCWDDHYQRWFYVEEHSGRSQWEA PGYEQSYHPPQEGDNRGHDTPGAYHDGYGAPPAGYDSHHTSYGQSPYGGEHVSPQPEY DDRGSHKEKKSHSGMLLGAAGGLAVGAVAGAVIAHELTENSSDDGSNSGGGAYAAAPA AAAAAPAAAPATVVYETNNYYYNEPPPPPPPSDYPPSDYPPGVLPTTNAYGEEIDSSD RESLREARDNYEEALEEAASSSASSSEREELEEAREEYQEEYEEAYYDD MYCTH_112363 MSYYPGQGYGPGSGSGSGSGYHQGYGSGGYGQQYPPSQGYGQYP PPQQQQNYYPPQQQQQQRQYQRPPGPPPQGYDAYGYPINHGPGYGGSGPRETAPPPPS GMQEFGHGAPQGYTFQYSNCTGRRKALLIGINYFGQEGELRGCINDVKNVSAFLTEKY GYRPEDMVKLTDDQSDPVLQPTKANIIRAMQWLVDGAQPNDALFLHYSGHGGQTEDLD GDEEDGYDEVIYPVDYKTAGHLVDDQIHDLVVKPLRPGVRLTAIFDSCHSGSAMDLPY LYSTKGVLKEPNLAKEAGQGLLEAVGAYARGDMGGVASSFMGFAKTALKGNDAYERTK RTKTSPADVVMWSGSKDDQTSADATIASQATGAMSWAFITALRQNPKQSYVQLLNSIR DVLETKYTQKPQLSCSHPLDTDLLFVM MYCTH_112362 MILPRASETPAPAAVGSEFGTTPDTKPEPRLDGVGIWWITFGAV WTALLVCGMTFLYKKRNTPTLRLRSLSLTFSGIILLHLYWISVQIAYSVGPLAPEVAE YWIMGVWYPFGIALFHAGNSQFLHVAKAQSRFARPPSQMKTRYDEKRLVRRPNLFQAI MQMDYSKRMFMFVTMGMTVQLLVVVIIYLISRKFHSDFGIPGTEVTGSSPAEIAMKQG RGWEWWPSIVWQFVWAWIIAPIILWRSRGIHDTHGWQRQTIACCIAGLPAAPMWLIAL YVPGMAPVNKYFVPPQWIALTIMVMEIWTVFVPCWEIHKHQTLRQETLESIANWESKK RLGTKSETSSDRSGGPPLSPTSTKVGDSGGFDSWKKLSNLESNSSQSNLGPDESVLTM AALEHVLEKNPEPLRQFSARKDFSGENIAFLTAVSEWKNGLPADFVRGRFDASPDAVR DQFTRALRIYTEFISPREAEFPINIAWADLRKLQGVFERAARSLAAANPSSPVNHADA ATPFADDNFVSTPAAAAAAGAAAMKTPADTAAPNKAPPSRDSQVHILDPPGPEPEAES EPARRTPPTSSRGNNHIPLTVKIVTPPPTATPPPPPPYPVYEGDIPEAFDATVFDAAQ ASIKYLVLTNTWPKYVRERRGSESGSSSGAPSERGGGSAPSTLGGGSVRSKISLKSAL GFLKGVIH MYCTH_96309 MKLVSAYTLIGAAIGSASRVPRIPRQGGGNTMIECAPIPSPFPT WQELPLQSSMPDPFLPLAYTTPDNAADVVAGRGKGRVQTPEEWYRCRQPEIIQLLQEY QYGYYPDPSEEKVEATRSGNTLNIVVTAGGKQGSFRATISLPSGASASNPAPVVINIG GMQNQPYLSAGIAVAQFDYTTVSPDSNAKTGAFWSIYNGRDIGVLTAWAWGFHRTLDA INLTVPEIDAARVGVTGCSRLGKAALAAGLFDKRITLTMPMSSGVQGAGPYRYYDMSG QGENLENSKQGAGWWTNSKLGTFVNHAQNLPYDAHTIVAAIAPRAVIIDQGTGDPFVN SKGTAVVVYPAAKVVYDWLGAGENIGISVRGGGHCDLSGYTAILPYVQKIFFGTPTDK DYNNLGSYGSPVSSAFPWATAVPGA MYCTH_2307892 MVARGLSTLDELEEVKRQETPTMPSSQINDAIDTIDWGAVFGSV PGFPLVDPDSAGRTVLVS MYCTH_54374 MERFGLRADDLQWSSIPTELLLAELSRRSDADERPACGSGKKGY YDTGIHVFALFLILTLSTLSCGFPLFSQRLTKGSKRQRNIIFLCQHFGTGVLMATAFV HLLPTAFTSLTDPCLPHIFSEGYRPLAGLIAMVSAFVVVALESYLTTRGAGHSHSHHA WEEEEDSDDGDTAGNEHGHAGESASRHPRRDLPANIALDDLEATEGLVAGASPLPGST PTMAPRRDNPLKSQRDSTQGNDRESLDLDLGFEELRQSPEPSQQRKSDPRLPPLQRVP NPDEQKRMMLQCALLEAGILFHSVFIGMALSVATGPTFAVFLIAISFHQCFEGLALGT RIAALHFPRSSPRPWLMVLAFGATTPVGQAIGLFVHSFYDPMSQTGLLMVGFMNAISS GLLLFAGLVQLLAEDFLSEKSYKVLKGRRRVNAFLAVVSGAGLMAVVGAFA MYCTH_2119721 MRTAIANVFSFCLVLLLGSLRGGHAFATAPAPALDKRQSTSRYC SPSTQICYLEYSWGPTIPVFRIAVPDSASTNTDFQTLLQIVAPASLGWAGFSWGGGMT LNPLTVAWPNGSGGATVSSRWATGRSLPTVYSSATYRIISAETNSTHWTVETVCSGCS RWNGSALSTTAVNTFAWAVSKSPVSQPADSSSSFQIHDNIGTFAASLNDAKVPKATFD QYVQGAQ MYCTH_2307894 MDVPNVVDPTLTEAAAADNTSDLDRPIRVLVQTQTHLVSGDRST EFGERYDAMVNLICQHVWQREYDKFRERDWSYHCHFALDNVECWFLVDHHGPDPSPPP DPPIIWYRWTGKEFVMMRDPLPGRVRRELRHYPFQRVAYHLLIQEYPKRRTPRRYKSR AEEIRVKRKMLHNTLLSNLGLTEELLRFVQDCPDAAEWVKARVPPETWARLEDPSEIV LPLEPKEGHTWTGSSDRVGRPES MYCTH_2307897 MASSRLALALRHSLLWPRTVALQSVRRPAYLISPFWNHLPGLSL LQFWQYRTQHTIGSSRTRRSEPFLVDSAIRGDSGRIYKVEEILSVRRKPLLCVYRASS EGKQFILKDMIKGEFDYQLSLQTPLAPCPNIRVLVDTIRDDEMFVYDFLPGDLLHLSQ QALSTQTRRHILKSALLGLAELHDRGIIHTDIKPNNILLDYDTSGPDIRITQVRISDL EDSVLLGPGKSIKGCLCGNELWRSPESWARAKQNTPSDIYSFAIMAIYVMSNEIVFRV SDEELKSDMAWWHILRRHISYFADESGFQGLLGHIGEDNPFFERLIALTGDFNAEKPR QPFALWHYVEPDFRDLVGKMANLDPNRRITAREALEHPWFKKAVL MYCTH_2307898 MAPFLRMPFRSLSADNLISRGAAGHVFHISPNIVLKCPTKFDNG LPEQIKEMEESSKKIEAEKAVYRILMEHPHPNIVQCILCVPEGIFLRRMESTLQERLS QSRKATIPARTQERWVLQLTSAVAWLERLGLVHGDLRPANILLDANDNIQLSDFDATV KPGAELIVASEPFCKMDENFETPPAGPVSEQFSLASCIYTIRFGHWPWHELDPRARGE KLRRNKFPSVSADIVFGDVTTRCWHGEYTSIASVQQDVLSRLGRSIAKDEALMQVAIE EIATQYPLLRAECERFVATQARERSS MYCTH_16152 TLKRCAKCSVTPYCSRDCQKADWKAHKKVCGKGGGNTASGSSSN ASNIPRADTLLSPPKSLDQHIAKPFTRLDNGTWLHDRPEKDVYRLLIDAYRLRVEDNY KLEGENVDLYAGEPSGLNGLKRFIRLAGSRPGLLPPWWNAEKQRKCEAFGMDSSNFQD LRCAVEKSDIIDHYGDPRFPMQLRMFAEAVYGRGPGGQSGAPMRKMMAAME MYCTH_2094697 MASKKAKPAPTDDELGELFEGIGDDTAIKKTTKAKSASSSSRPK ADAAADQDILAELENQLGEKPPERPHTPRVREVAKRTSTNTPPPASEAARKSAESAAS HRTQPTSGAAGSEQQHETEKKEAPARQAQASSGGGGSSSWWGGLLSTASAAMKQAEAA VKEIQQNEEAKKWADQVRGNVGALRGLGDELRHRALPTFTNILHTLAPPISSHERLVI HITHDLVGYPSLDPLIYGVFNRVMAQVEGGDLMVIQRGQESTIRDKSASGGGGGGGFF GAAQQSSAGWRDGPWWRQTDVTRDLGVVQGLVEGTKLCRANAEGYAADYFAAHGGIEQ ARQRAAEPVSESNPVRSSDIFLSVQAVGVRPDKALFAGSTAVEKDKEASVVAEEDEDA DGEVCFAVFILDPVHEIQYATVSQRVPARWIRWLDAPATPATPASGDDEFQAEFGLVP EEIREIVESGAVDPREWVAEWVEEALALSVGVVAQKYVARRMGVGEGGIGKGKKKVES VIEAGGNEVARAGLI MYCTH_2307901 MHQPPEEGRANGTGEQIELQPGSMGRGRGGIPRGRGAKKRTSLP SHSYSNYSAEAHPFSDSAKPSTPEPSSLPPPQRMAYTAPQRGGKARQVGNMTTPHRAS SPAADQALRIAAAKSERPPRSSTFHSFSFSGESPSTAGSIMAAGDPPRKRSRTFEASY DGGADDEGHSKGGHSLRKRARIDYTQEMVDDDLGLPAGARTEPAVKPASTPGARGSRK RKGGQDESDEESEDFSSNPKRHRADKSPAPARLSSSRRRNPSKKLSADLTPFVDQASD NEVQDTILVSVPDTGPDAPSEEDSERSVQDAESRPASSDGSEPGRTEVPSPTPQVQQH PSSVHHDAAPRAVDGEPIREEKNPEQPHDEPHKAPVLTSATIGSAEAEQPMEEEGPVN ENQPSGVSVPEVAQDVSAQTVEVGPGQQSLEQPNGGDDEPSHQGPAATEPTTGFTKPT PTPAPEPQLAVDSTAQRVPQGDGHTESRTSSFEGSKPAGPTRLKALEHIYKIETPFAI HLKLTPYEDEDTPLPGPYTEWVYPAKTGKAESSPAPAAVATLSTAKKKTVDVEWDPSR PLKASEFFALYRKESKRRQEKGEPTISMVEFNNECVRRYKAANAQRSPSDTPPSTTES TASPTVVIERSTAADGDETPSDSQPDGSRLPTAAPSPAPAEDATALGDAPEDDQDVEE SIDDPAKPDSPEEPIEVTRIPPKQYLFPKIRDPNEFVEAFEGWQDMPTERLYETVAAA VEALHVYQQEYNELKKILDDEENAKRRQANDKTIVNWENRQRADEPLPPRRHFDDPVK GPPAFEVRGMRASKPYIDDMVLEHQKEEDRIMANAYGFKLNTHPTQVGRQNPEEQRWD MLENRLRKRTEKGAELAEENVVEGKRIRKPRHVSDQSKDVSRSGTPTGTGMLGPGRRQ RRKPATAANGEDAEDAEQNQASNNFSESPRKGRAARSRPAMRADDQDQIPTAAEADGN RTEDEDAEAEDRTKVSRRRGRAAAAPLPEPPTSVPAGVGGELGKPKRVRGGKGSQANH QTKGEIASSSFYSNASTQPESRPSTASSESSSQTAETMESTYSLRDKRRRNFALENDP ELESRPQRRARGTAAPKQDAAPEPIKKRGPKKKEPAAQAPPPPPVSGPSSTPRPSSPP ALQPPVATKFYHNFVAGPMMVDVGNGTQQHVPAQVGPYLHTFNAAPAFLPGAHPQAPP PPAVKKPITKIKLTNNNGTSSSAPSRASTPANGTSTNTKPKRARGKKGKAGADTPATN GDGELDKPYAEMTKSEKMSWSMRRRWASGEMQGAVEKRRTTLAIKKAEKAASNLNHDT SDANATNQTTDSGSAGPSNPATPASMHGQPGSGLLALPQGPPMMLPHPPPPQGFLHQP SYPYSMPPPSGPPRPMP MYCTH_2307902 MSWFTSRLVYRELPDQEPDAMAILADYTLVLPKNTGVQAAVDRT KSAKVQMYGLEAITEGDYFFDASFP MYCTH_71373 MRLLNATTFEVEELYGSEIPQYAILSHTWGAEEVTLQEVEAFAR YRRSRREHARHMQKAGFSKIDYACRQAIKDGYRYVWVDTCCIDKRSSAEVSEAVNSMF DWYQRAAVCYAYLEDVHFDDYTEGYRTWKDHFHRSRWFTRGWTLQELLAPKKVVFYAR GWRLLGTKSSLVKHVVKATGIDEVTLIDPKLIHNASVAQRMSWAANRTTTRIEDQAYS LLGIFGVNMPIIYGEGTKAFLRLQEEIIKRSDDHSIFAWGTLGHPSSHPHHPHHLADP SDDDLDYAAMTGTTGVLATSPRDFAGMERVITTAPPPNRDTSDYAMTNKGLRITLDMT RQRGDAEDNTTTTTTTTTTTHLAILNCRPDDDPSVRLALLLTETATPNVLLRTRTRTA TLVSAADASAAGPRSVYILNAPAQVPHSAAKLAEEVVLVRTPDLIAPGYDVLDVRGCG AQWNREFRSIRLVGVDYTARRARRGGGGGGGVLFQLAVVTFWNKHLKCGFLARILVEG ASKAAFVDLVQGLRSDGGGGDGKGLVEEAKRIWEQPGTVQVSVPGRGGDSPGRRIQVE VVNPVAAPEAAGNEEETADERSGMMMPGGGWTFRPGHELQVSGSVTFTEKWEKDYRRT VNAKAGRTKKGAIELTMTSMLWLAVPVAKGEELDQPS MYCTH_71369 MAKISRGAPGGKLKMTLGLPVGAIMNCADNSGARNLYIISVKGV GARLNRLPAGGVGDMVMATVKKGKPELRKKVHPAVIVRQAKPWKRFDGVFLYFEDNAG VIVNPKGEMKGSAITGPVGKEAAELWPRIASNSGVVM MYCTH_2307908 MDSQHDNNSGSSRSRFGHLPLSTSGPLECALRGTVLLNHPYFNK GSAFTVEERRDFQLTGLLPQGVQTLEQQVQRAYEQYSARPDDLAKNTFLTSMKEQNEV LYFRLLLDHLEEMFSVVYTPTEGDAIQNYSRLFRRPQGLFLNIHDVGRVRHDLSLWGT ADDIDYIVVTDGEEILGIGDQGCGGILISIAKLVLMTLCAGIHPNRVLPVVLDCGTDN EALLNDPLYLGLREKRVRGGKYDEFVATFVRSARELYPKAYIHFEDFGFQNARRLLER WRPEIPCFNDDVQGTGCVTLAAIMAAMHVSRQKLGDVRMVVFGGGTAGVGIADQVRDA IAAGSGISKEEAAKQIWLIDKPGLLTTRVEGLSDAQKPYARTEDWSGKRTDLLGVVKE VKPNVLIGTSTVPRAFTEEIVREMASHVDRPIIFPLSNPTKLHEAVPADLLSWTTGKA LVATGSPFKPVKGPWGPDGNEVEIEVAECNNSVVFPGIGLGGVLCRARLVTDKMLIAA VQGVSELSPALKDDTAPLLPGVEVVREVSVRVARKVIQAAMEEGLATQEGIPTDENEL DEWIREQMWYPVYRPLKYVEAHSASRQAKGELRVVGSLPGRG MYCTH_2119730 MVQFYTVVGALALTFSAVQAAPNLSARQNIPDPAGEKNVGNGRG LQFIGGQCLGAADCASGCCAILPKGGQTIGVCSGVGAQNQAGKQGCGFESGVGAGNQT QGGSQGGNQNNTGGNQRNGGNNQCNNQGDNQNNGQTGATIRPSTLKPDPAGAANVGNG QGQQFIGGECTSDADCASACCALVNTGEERFGICSGPAANTQNGKQVTTARDAPKNLL EFYDVIRAKGHCRHELASGFYSRDNGPNNFAYCGDYLDSAGVIYIQGRSGALANLDVD CDGSSGGPSDDGRCRRELSPDLQNATSFRDVLASYGRAGVAELNPYVHPYVVFGNAPA TKTTTASTGRGAKSGAWRAFDPSEYGMRPLGVMAVVCPTSRKLVYGVWGDMNGDDGAR PMVGEASLALVTACGGKGVSGADGIDEDAALFLGFTGDEAVPGRDGADWAAKDFDTFE RSIERLGNRLVERVRAADEDASCSVRPSWARVAAVAAAVVGSTWSLL MYCTH_2128593 MAPSVTLREDAAAVEKDPSRKAEYQKGEVFGKFRTDASMEYIFF DHQWWRRAWTAQEIVLAKRALLVSGRYQHPIFGNYFDPPTTPFWEVQAIRKQANAVAN PNPADELLSYLLRTRHRHATDPRDKLYSALGFADGRLKALEVVPDDRLPHRDVYRDVT RRLIEVSGNLDVLGLCFPFKKPSTSGLPSWVPDWGPAERIAQPLMSDAHGNPRKTHAL ASVQHHYDDVKWDDDFNFDDWDGDPWY MYCTH_2128594 MAVEFARASLRANHDGASSLVRLQLNNLGVMLASSQLPDVFLYV IDLLPAVSTKLLDRSDQQFVMSTFAGVAFDVCAIPLAYNGPADALEYLEKGRRVIIGQ LVDARSDISSLEQQHPDIARRYMQLRDDVKSKF MYCTH_2307916 METAVAVENLSPLAVARRPMGAVFTTGPFKPISQGFSLFFEALL SAVALGNADTLETLAHLDVSTVSSISENEDDKGVPAFSKPSTSHQTPVPEISACPAVE TPGPVDSAIIKEDNEAAATITLRNEDSQGMNAESPTVTAVTQDVIASQQAETALACTE DSTAPVDASLPDITRDAEPVIDEAEGSTSIAVACATEEQHDASEVDLIVSEGEAVAAA ECTLDAEVQVTDNVSDETQHTESPKEDSVCVVSEGVTDTVTSAVDTLDNSLNSSIEAA KVSDVTSAAAGLDNIEDTSAAEVSVKLDIESNPADKPEAVATQDITSAPENISHQDFI EDSESVAKDVIEDIVVSSNENLDTNADVAVEATDEELTQISDVESMETISDEGDEEPM PLPVFPLILEDDFDAPVSSTFQAGLELLMEIDTTWCVPQIVEKGKSERERVRERLANR STAQFNLQNLRLEKAALALTINGGPVHAQPSRKVAALPTRVPPAKRDIAVPETKDGFA QTEVADAPARNHSRSTSASSSASKISADAVFDSAPCPGTPVTEYTGTPTKEVQSHAAA SSDGKVVPQQVSRDDKINNTIGIAKETESLHTPATVSRDVDETRN MYCTH_2034916 MAAFDPIEFFGIGHSRDNSIASHARSPSQSTISLSSVGFPSHQA LHTRQVEQHTSYTDILPNPRSPGHAFTSITPEDSLDGAAIALDNDSPPASPDVSTNSS WASNTDRPFAVGRYVHSHERHEALLLAI MYCTH_2307917 MSPANRLFIFRKVASRHGDDETSNTTPAPDADNSVVDREPPPAY EPGTSSSASSNAPNVPNVPNAPGGEAASPIAALDRLASSNLPLDSVDMCLAHLKLLFA FQWMKEDVGFTDGLWGLWDSHAGTLDPARMGRQNPGNMRRRAAGADGKSEVPIEIRMG DKDLAILSKIREKRWALFVARAVDRYRTWWKTVMRWQQSAPLTEDDMRVAGSPRYSEF PSNPDDILPWREDMLPPLDVLLVWHTHMLNPRAFLEDCMLAGLRRFWVTGMPWHLINK VIDGEFNYTVSAEYVQRWTRETGLAWENAQDPMAKTIRCPRCNTSIDITWTTCEFPEQ AQFRSGDDSYDPGNGYGDANLQFPCPSCNMIVCKELLATAQFVQDHRALLSPIGRPMP GTLLDPITGTPSRPSSPWVPPAHEFPNRLLKSGCGFIRSNIATLMVSGTPNPSMKDVR AMIDNVMKDKNNIREIEQVPPSEWFRLPAKSRMAVRKMMSRYWENFGPFALELGAAVM RQGIFVEKMCQLDWLHSPTARDTMARLIVKYQRFMQIMARNPGKIAVPTLDVDLAWHT HQLSPGRYYQYTVNLAGRFIDHDDKIDSTTLGEQFEWTSKMYQELYGEVYSECTCWYC ESVRSSHISSVGRVLGLSRQEKIAESFHASGAASLHPPSSSAHISAHPAVLSKPDPTI LSAQPWSSIAFIGKIREENYRLRLDTALAKARARARKKGREPPRREDVYYDHWGYPYA YAAPYVYPLWWTPGLYYGWYPGYLALCGAGAHAACVAGTCGGGVAAGACGVPQGGGCG GYSGAGCSGGGGCGAGCGGGGGGGSGGGGGCGGGGGC MYCTH_2307921 MDSVEIVVVASPFANLAPLYEADSDADALLIVPPSNNTIALRSE LPSHPNGIDSEQNGRGAATGVLQTGLRIKASSKHLALASRVFRNKLQFGNARAARQSD GRIHLRLAEGFHPTAVSIVINAIHGRGSKVPRNVDLEMLAHIALFVDRFQLLDAVEVY ADRWISNLEHSDPDTFSRDPIPWIYISHVFRQGDIFKEATKLAAAQSSGPISTLGLPI KEKIIHHIDAQRQALLGRALAPLHQAVDTLVSGAAACSSHHCDSLLLGELIKSLQGGD HERGREHETTTTTTTTTATAGLIWPRPARPFPGLGFASVVGRVDRGLAAYRRAVEARE EAERQRRQSVEVEPWYMKKGGGPGPGPSTPGRPGWGVVSDRLPVTPAASPEPVYANPR QEPGSDGGERHHHDHWCGTAGIVAALGELRRLGDEVEGLVLEGRLGYLQY MYCTH_2307922 MDQDDAARPSPTSSTGSSIDGPTILVCEAETIPGSRIINEGTAD IRARIRAVYDSGAPIFTKDAMRRAAQSFDRILQGSDETVPVTGLDGVTIEFKFPHRVE DLLPGEANDESNFEYTMREPCLLYRTVHQLIGTAGYEDWQVNIVSAYLPFSIGYETTK RDKHTKDAIFPWPAVSQDQVEARLAAQRRKWQDSETCARLGALFAGRAAVPLPEAVVI NKVVAFACSSMAWDLENGEGSVRSAAQHALVLGVAELLAQRRQRQQQQAGSVDDGRLK EEVVCYAQDPVYHPADKAVLSAAGVVVLDDPRAFLEVDETSVVVSPYPDIPVREVVAD IARPAVMIWNRVTDELTTSPMTDPVSPRVTDMISQDYLQLECPLDDEPFRDMAVYVRK T MYCTH_96290 MAEVPAFTQETSALRRIDLGDVPGYIPRELDNAKILTAAVLRGS QIRVSSRNIVEEQTSLSSHAPGHPVGDLHGYLSCPLPPIIPHHRDQHWRRSRPNRTVQ AVTAVQEVQGVAAHSRTQAPLIRPLTACRRRGARRYWPRSLAFSLDCSPGHPSDNVTM YDTLVVWSIRKFFPCSTPLGQGAKVALFRGSERNKRCPEVVMHPKRPLRRSGAGGLDW VKSGSSVTPRDIPQSYSLLDTGISEPAI MYCTH_56237 MVSVKAVLLLGAAGTTLAFPFNATQFSELVARAGTPSGTGTHDG FYYSFWTDGGGNVNYENGPGGSYTVQWQNCGNFVGGKGWNPGQARTITYSGTVDFQGG NGYLAIYGWTQNPLIEYYIVESFGSYDPSSQAQTFGTVEVDGGTYTLAKTTRVNQPSI EGTSTFDQFWSVRQQHRTSGSVDVGAHFDAWAKAGLQLGTHNYQIVATEGYQSSGSSS ITVQA MYCTH_2307924 MRGLQRTTGALPRATPGLLRTCATRHSRTINTLQARQKTSGDQQ RANAPATSATTPLDLSRNQGVYGANWSSHFTASSESHSLLQQADDPARALYTTQIYHG QARPPSRRGIHHHSAPAASSSQADGSSSSSSSSISRPAAGAGTGTGVSASAQKFGSAV KGNPTESEADVAADRAEDDPLPPELHHTIQLGAGGAAPRRTESEEDVVADRGAVGEDP LARVKKDQRR MYCTH_2307925 MSVIIGQAPINLGPLTTTFTPPAACTVAVGERRNALADFFGIGG DKDVAFLGQGCSGGKPYDATSCWPATSKGVEEKEAPLSGWGFYSPGLHCPVGYATACS ATGGSGGGSGWPVQFKLRDGETAVGCCPSGYGCANINGQTCTMIATSTAVPIVTCKGS TTGDVETQTVPDDKASITAFSLLAPMIQINWQSSDRPASTTSTSARPSRTEATRTSTS SRDKTDSTETTRTSATSSTETLILDDSPQTTGTVTGTEAKGVDEPTGTPTLQDADDSS SDSGSLSSGMKVAIGVAGSVAVLLMLVCALFYCWRRRKNLREEQELDRLYGMKHTMND GGDFTHNNDIPGWYRGQRLATPAKDPFGGYPANSGLGTEMERPAAPYYRAYRPA MYCTH_2307926 MSTEATRPLLLPQNRKLRHLRGIALRNLSFSRPRGHSIDDAILN IKSPAKVEALRDTPRLHHALSSDDLQPPTARRRSTNLASVSPATRQKKFEDAFDSRLA DAFFSLHIQGVEEPIYISEVGERATNFNFRPFELCELDSTITQSPKVTVKVWARRNDA WSLLREDEVDLRSLNWLGTLHNVHFPPNSLVFYLVDGIYSLELAGKPTPPKHTASAPT SSYNALMRLATLDNSIQDALATRELLTQQINDLLSRERKNEVPEAEDSLALAKKYLTQ QRRAVAHAKKRNDDLRASLAARKEAIAQGRAAQERAAKDVENATEKLAQSQALLAKTK SDIHGQRRRICEDLSRIYNIIPVPLGPPLSFQICGLPLPNTTSADETLAGASKSSSSS SAFFSSSGGGGGPPPITEDALSAALGHVAHLADALQYYLAVPLPYPIRPFGSRSSIRD DISQLPDPQREFPLYVPRGGSSAQFRFDYAWFLLNKDIEALCSSQGLRVVDIRHTLPN LKYLLYVCSAGTDEVPERKKGGVRGLWAGRLKGLGVNVGVAGADDASSLGGAGSSRRG SDASEMVSRQREELRKAAVCGGGSGGSGGGDGGKERERERDESREHGIDGGKGLPFAE EGMKLSLRTKGLRENAAP MYCTH_2128605 MVLTSDYQPIAAASQSPRVFPSSGFQIIDPSDKVEGQKLPFYER DEDGKYWTLKGHVNTMKYNQEHVVYRHLVFVITPLGMSLRTLQEMQSKRVFQKLLVTS SLDQVLVGLDCLHGAKVVHTDLHSDNLLVAITDDSILIKVEEGEILEPAARKQDDDRF IYVPRYMLGGTGALIVCGLPRND MYCTH_2307928 MDDIPVSDYEIQSLRDAKGILSQREIDLILELSVAGQVANLDVM LGDIASQHGTTMGVLLIHARDAENRNAVHHAAMGQSIKSLNYLLDSRLFPQQPALRLA LLHTRTRTGENAAIYAIRQRCSVAFLDRIIAAGTPCILDTLTADGLGPVHCAAMEDAR EMIIHLVKKHCLDPNQPFTPPANRLLNSDDDDDDDDGNSKNSNGEQHHTATGAGAGQL VEGDTPLHLAARRDSAAAFEALHSALGCNIWTARNAAGQSAIDVACSALASGVLAYLG RKRGVSDVNRGDKKRKRQNKQQQQQQQQQQQQQEEEEEEEEEQMEGIELDEVDDEADF FLESLDVFGQKHPANGCGLRGW MYCTH_2307929 MSGHPSWRLLPASAPGIPTLLVSTDFKADSYSIHLSDLANVWAE SLDRRPIIKRGMMEDTSIDPSDGPDQIRKMLELLRAAFDSGDSEHANTSLTLSRDDDE DSLVIHVTCVLPKPLKPFKWPMHLKKCPQSTLTSELVLPMIQAQEARTREIDQLVSLL REKDAVITRLVDKLEATGTGLEYVFHSLSGKRRVTRAVAEEKVKGLAAFSESEFRSKA AEVRPITQASDVSALLGSVFGPPGLQYKSDLEIQASAGLEDWWKKLMKGSKVILSPEL PEKRRPQNEVTSPGPEASKEEDDFQVQATPPGVRPTRQRGSPAPAVDDDETSGGEDTA EPAPPPLSPAKTKETASKLGTIGRNRKPTSSPPRPPSPPPPATSHKSTKTQIAASDTQ SETASEPDDVDAVSSPPPSPPKALPRRGGLGRIGGKTNPVTQPPQPTRSPPPREPVED SSNQPSRRHKLGVIGKKPTHPEPPATGGEDEARGRSETPASEPNKKQRETSQERADRK RAELQKEMERRAAAGPAKKKRKF MYCTH_2307931 MAVISTMRTLCASPAQQVTGTSVSPDQSQVDQELVVGMSALQTH DRDNHQTYVPSHWSGSLGSQTSSSDDLENYALHSSPAVSSLQQPSSTQTSPRSWDSPE QLGPTPWEAATEHFHNRYSGLDPHLSGSGVHNGDDSTSFPGDSAPFIPIQGLDGLHNE CQQVPRQTDPCPAGPYHTAPEEAYPSTPESSQPVSPETAAGPMAVDMPAEDATCPPNN DAYGQAKKSPSPVQVRKEGEKEEPYAQLIHRAFLSTPRRAMTLQEIYQWFRENTDKGK SDNKGWQNSIRHNLSMNMAFTKRERKSSVAKAGDGGSGSATASTQIETKKSTEWYLEP WAIGGVQSTTRYRKGNQSRRSATNPGMLSSRVYRGYPAHHHASLSRKGGRVLRGARPG VRGSTGSIPSVAATHPQLRRYQFSPPHTATGSPFINHTLTNGAAASSGSSTYSGSTSH QPFFHPQVPPQSQTLDGTSSNMGLDYDYPDPQQLFPTTTTTTTTTTSPSSSSSGTAPL VSMGRASSEPGYAGPVDESVAQGPLHHHPHLLHQSPYGSVGSEQAGGPMALADLRAVS TTGGSTAAGATAAPYHRHTGSDNSSFAAAVAAFSAATYPTPMSGIVSVVTPGVYEDGM DRYHHHHHHHHHHPHHHQQQQQQQQQQQQQHQQQQQQHHQQQQLQQQAHSIPRWDAGG ASDACLTGMDGMNAMAGGQCGGEEAGQGGLPAGYGFGQAQGY MYCTH_2307932 MNAPSNSSPEKYHPEPLRLATGSAAWYFGLFLCLDLKTLAKCKR QHGPVGDIDDGHLSG MYCTH_2307934 MDPGRQAMINGLKSPRKDRERNIMAPRYDPSNRIAKPSRQPPAK SSKAGAADRYLTQDQQAEQFIADEDKFVLKQAKKKADIRVRERRAKPIDYLAFGLRFI DSDRDAFDNDDDDVEIAIPAPEAVLQNLNEAQLKELEEDIRSYHTLEHNPRNKEYWTA LLTLCADRRQKLKPQGPEGRAVTSVASDVDRILSPKTLEQLEALEKQIKAKLQSNEPI DTDYWEELLKSLLIYKAKASLKKVCAEIKEARVEILKARDPDRAAALAASDGFADTVP ALKPASRKALARPSPATTQASSSAPPPGTARFAPSGNEDFSQATKALYDREVARGINE GEEIFTAEEAVPGIQRPLWADKYRPRKPRYFNRVLMGYEWNKYNQTHYDHDNPPPKVV QGYRFNIFYPDLIDKTKAPTYKIIREHGRRKGESVAPAGKEDTCLIRFIAGPPYEDIA FRIVDREWDYSAKKERGFKSSFDKGILQLHFQFKKIYYRK MYCTH_2307935 MYYSIGNQGKIMRKLLGKTTPDSAHDTAAPPAAITSAPIAPHYR PSSSQDVVYNAGVPIAALDRSPDGRSAVLAGRHVLKTVTFDGLAVKEGIDLRALITAQ PSQRNNMSTSVADQLSIKAVKWGEPQGKQAIFTAGTSGKIFQYDLVRAGTTAPGSPVD CIQIREDSRQINSLDINPHRNSWLLSGSQDGIVRCFDIRQPTQTRTGATFRSIQAFKC NADGVHHVQWNPRDGFLFACATEQGSLLKWDMRKPSAPILRIKVHEKSCTSMAWHPDG IHIASGGVDSKCRIWDMSKQDKRQKPKWTISTPAPPGTLAWRPGQWSATAQGKRASQL AISYDETSQKRYGINVIHLWDLARPTMPYKEIQRFDTSPSAMLWHDQYLLWTAGQDGI FSQCDISFAPKVIDRQAVSTMAFSPRGDVLMLLDERPPPQRPRPHVVHHEAVAVPSYS SSPTTPKFGVSRSDSEDDAIGSFIGPKRRGSRRRRPSTRSTATLSTTPPAGPGMEEVM SLEQTIKATGPYKPQQSMAVGHVPAATNVDVYRYLTVNYLEALHRDLPYSAGAGLLPD RVATILEHYAKAAASVRQFRLAQTWRILAYAVEMLLHKRAQYHLDRRMDRHHYSARRK SEAKAEARAKAKAKAMAEVFNAPMPTIVDGEETPRKVFSFASLDKTLHPRSLLSEELE STSNVPTPVARPVTDGPEAPREGEFQRRRELMPIVEPESFTLPPAAHPASLVTRKRLD SVPLSIASHDSGATHASTEGYDFYDTEAILSKAVDIPTPGPKPTQTTDSREGGSPSTA RKLLLRQDSEDSYVHLLSISAESKRAKNPTASIEGSATEQAARAAILAELRRESREGS EPRARGGDLQKSPERNPIAPFRTMLGRTETDMTGFTDEHHAITQTTTDSFESRFPSQT DADFVATSPLVEGPAPDFPAVPEDDQSPFIVETDYLCWPDDQPYPYPANPLSGPSTVS FNNPLQPYSLISRALAFEAKSSALNASAIILLLKPLLPDGVLDNFQVAAILRQHHARL MSMELFTEAALLRKLCIKGWPGGVLHSWGDNYPAIFTPAQQGVQAGFVCSACHRPRDV DRSAGSVDSVWRCDRCRAAMAGCAVCGGRDSVVPARALPLPSSTYPVTWSGSSALPGD EDEAENGDREGRVVVVDDDDDHHHHPALLATWWYCPGCGHGGHSSCLQSWHAALEPGG HPALEDAPQTAEPSEGCCPLDGCGHRCLPTRRGLDSVVTRSEDVSRVVREATRAVAKA TVAAAAAAAAEAASQRGAGAAAEASQVATQFADEQQQHAELGGGGGGGFGGGYGGKPP PLPVRSDGNDIPQSRAVETARETLGGSGGFGSGMRASGTGILSSSPGRSGIGAERERR KSVKFVATDERR MYCTH_2307937 MTETSKDYPLVGQPSDELDRRWSNLMQYFYAQVPASYMKKLGRE KTGIRLKNGNYLANYAWVHQLHCIKRLHQAHFPERYFPNMTDYEKELQTEHSLHCLQM LVEAIMCKADETPLTMIWFDNSILPGGNRTIAHECVNWDRLIEGMEKVKVDPFEPGLL VHPKFGPVLPDGRDTVLDNRIGYIFNPVPLDRDQYP MYCTH_2307942 MGHASAKKGELQKDADAIWLWKKSELTKDADEIWLWKRGELKAK LQKDADAIWLWKKEEAKQDADA MYCTH_2307943 MDPETFAPGNPPGPNETTSQPAGGQLIIPSQYDAVSEQTSAGLV NQLLVRPHLLDVAVAVRRFSSPSIQSGQQRLTENMEAARTAYAKAVVAIQKSVKDMDA VTILTRTSEHDVAQTAIELFNSPSGEDNKGRVSQFIDILHHYSGVFDVLSQAGDFAYL AVVWGGIKMLLLMAKNKKELLTRVTDMLVEIGLSLSRIEVYAKLFPTARMVELVSMIY AAVAEFLEDVILHFARKSALRLRVLLSAFVRPFDEKFGRAVDRIRRLETCIEKDALLL HALRTTSMAQHHLGAYLQRAHLTTALDAHAQPHPLEEPAPSSSSSSSSVPAPAPPVPA LLEEVKRALFRGLRDQATYHESLAATYRVTASAWEEWFAVEQRHLPSSSSTSSTTTTT TTTGGAARLSQGLCDAPDHQHALQWLAQQRRLTPELPSCYLVWAPGMTAQAAVASLVL QVLLQRPGAVVELGLDRPGVFDRARDSIAALWGLFTYLMRAMGGGLVYMSIGSAGEEE FAIVDRFVRTVRAWDGPPIWVTIIHPHNGGFVGIDRATDLDGLYDVHPSLTTTDALHH VLMLELDIHHVSDTIQTVLWEAAWRETRYASVGISFSRVVAVMEDLARELATRKTDVD NDGGGGADPVLTDAAREQWMEGVRRWLSHPGASNCTRELVQRHLDVVPLAFPDDVRAE VGRHLKRLVLRIDDDESKTKSFASRSMTEAQRHRVWDHMRAAIVPGSEAMFCAHIRDL MSEALQVFAEMPCHTPKQATYVVARLLNGRFGADGVWKSTMPTDAQLMVHGIKEAVKT GFARTIEALSEPEGAHEVAG MYCTH_117073 MSTPTPPKPEGEAPLTPADVVFAEATPHQRVLSWQLNGASWASP MTIEQYVGRETALSQTALSANGGTRYYVLHPEGDPDTIVSACEVTAKRALVADDAGTG GAGPREVRAYSVASVFTNPRFRERGMASHMLRRVQEVVDGDGDGDDGGYKAEFGALYS DIGRVYYTRLGWKDFRSPQVLLGLGTPPADAAAGSAAAVPEGVSLLTADEVAPLCERD VAAFRERFERLARSGSGGGGGGNNNNGKKQTHIAFLPTPEQMAWHFTRDKYVCQKLLG REVVHRGARTEDEGGGSGSGWICWDHDLREKKLKVLRIATREQDRPEARRGAVRKLLL AALAEAKGWGLPRVLVWSPGAEVAGAATDIWRELGPEVSVTFEEREDGSIPSLRWKCG KGPEEIVWESNEYYAWC MYCTH_2307949 MEPSSESTKLEGEGQAYEYLAAGKLKGCKALITGGDSGIGRSVA VLFAREGADISIVYLPEEQPDAEDTKKLVEKEGHECLLIPGDLMDYNKCKEAVQKHVD AFGIIHVLVNNASKQVMCEDFAEIDLNKVESTFRSNILQIFAMTKYALPHMKKGASII NTTSTVAFRGTAAMVDYAATKGAIVSFTRSLSKNLMPKGIRVNAVAPGPVHTPLQPAS RPAEQMEGFGGKSGIGRIGQPSEIAPSFVFLASKDSELYYGQVLHAYPLGD MYCTH_2307954 MATQKPVVRVGVAAIIQDVQGRLVLGIRKGSHGEGQWQLPGGHL EMGESYFACAEREALEETGLVVKADKFGAVTSDIFGPEKHYITLFVSCRMVKEGQEPR VLEPEKCECWEWKSWADVRALIASEDGRTKVFLPIVNLLEDHPDIEALTEASH MYCTH_2307957 MTGEANASARPSAADDSPRQTETASTTSTHDSYDPQQIIAMARH PPPGASVYSPTAVPSAALNPRSCVTCRRRKVRCDKHMPCSNCRRAQIPCIFPAPGRAP RRPRPKDPNAPAKQPSSERELELMKRLRKLEGIVEELSGQIEIESARHPYSATSSPEA GTAYSKDEQAIGGRFGRLVTATSSSNHASSGVASAKLPPRQDSGAISESDSLGKGSPD VHKQFGRLVLNEKGVTRYVSSSLWSSITDELDELRKASQDLSDDESAVSEDHASPESA DHDKGFMDHHSFIFGYRSADVDLKSLHPLPSQIPFIWQVYQENVDPILKVVHVPTMSK VIKEFRQNLDSLSPSMEALMFSIYYATISSLEEEDVKLNFGTERSVLIQKYRFAVEQA LAKAEFLTKPDFAVVQALMLFLVLVRRHDDTRFAWTLTSLLIRLSQALGLHRDGSHFP NLTPFQIEMRRRLFWAVCVLDLRSAEDQGTDLSILSDTFDTQLPLNINDADISPESTQ LPEPREGTTDMTFSLIRYEICSLSRRLHTVSSDMAPACRGDAKKSLAEREALLNEVAN KVQKKLLKGGSNTMFWVASNVTRIVLAKMTLVIYQPLLFPGSGDESLSEDVRTRLLNA ALDVFEYSHILNTDPRCKQWRWLFQTWMHWNALAYSLIEVSHRPWGPKAERLWAALNL NFRSPNPAELEKLASHHAVWMPLRKLYLKVKKHRELEIARLQADPQAAQELAIKDEPG TPPSTFGAIPGSVKGAMALDRWRKLVNLPPPPPEQSQSHQEPTPGPPARCQTAPQGTM GAHAADTAGYAKPEVMEYLDGAMADPAFIPIDFAPLWNTAQPDEVVRAAFNTPYQPCN FTQNSGSLGFNTNTPMPDLQQIGRTPTSMSLPAHLSQPQQQQTSQGMVHTPLNNEDTL PPWLWPTTGSPDILNLPNTENDDVDMNMDDGFDWQTWQENLGRYEVEANGGRTGNTWV PGL MYCTH_2139914 MRRARSSPTASPYRALAHHQAQYGSSQGQQARDSVSSVLRSFDV ETNPARPMRPSPLTASTIPDMPLDLVDRIRSFPLFMSAPDDFLAAIGAHLRPQTHNAH DHILTEGDDAKAMYWLVRGVVAVTSRDGEAVYAELKPGAFFGEIGVLMDMPRTATIIA RTKCLLVVLKKEDLRAELPKFPEMEQAIRQEAQERLAILKKKRQEGGKDAKLPETSAA GVAREAVPGEVSMGDSGIIREGAVVNNKKRKSPSPGVVEDPTISGSALGSAYVNVRKT LKELPLFATLPADILHFLGLNAQPRTYPPFTDIVRQGTPGNDIYFIVRGEAEVIQDPA SPTLRRTSKATYSRPRLKQGQYFGEVASLGLSQWRTATVRAVSDVECLMIPGDVLDEL WRRCPPEVKCQVEETARQRFHPEDVEMEDAETKERPTREEKAGPAVTFDLPSKPVSPP NEEEDTRRPSDPDPFLSVDMENFRNRRRNSLAPPTPQTDCSVIVGGIKVPPVAPAPPL LSPISLDDSPFPKRARTLPIGTKAKEKATFPDSVWIGVFEHLDLLEMLRLRAVCRKWR QMLTTSPELCTRVDLGRINRKVTDWSVANILAPFIGTRAVEMDISNCFHITDEGFQAL WKACGKNVKVWRMRSVWDVSASQILEMSECAKDLEEIDWSNCRKVGDNLLARVVGWVV PNPAPARESNKNVVIAPSNAKPRRGQRNPQPGPPSQPSAPAPGTVVGCPRLRRLNLSY CKYVTDRSMAHLAAHASSRLQSLSLTRCTSVTDAGFQAWAPHRFTQLTHLCLADCTYL SDHSIVALVGAAKGLTHLDLSFCCALSDTATEVVALGLPMLRELRMAFCGSANVLEGC SRLEWFDVSQCKNLGGWLAGGGVERWGFDERFVGQPGHGSVVPGSVRVKGGGPPGGVG GETRRTMTDAKPLGPGPILRPVIPPKGAPPSRSRKPVRFVVEKGPGELR MYCTH_84198 MFTITVRAGPGRLAAKAPQLARAFHSTPKNSFFTPRTASLAFRA NTKSPSKILARLQGASRGYQTHYEAYVDPATRRKELFRKLMIGGAIFGGTLVTVNVMF NRETREDGGMPPFERSYLNRTFMHTGLGVGIIGLSAYQMVQSGFVYRLMVTNPWVVGI GGLALSIGSMMATRAIDPDNYIPKYACWTAFNATQAALIAPLMTIAPPALLARAGLYT LAMMGSISFVGATAKQDKYLYIGGPLLAGAAIVAVSGFAPLVLPATAVRTLAFTENLW LYGGLAVFGGFTLYDVQKVLYHARAAQRGIIKEDPINESISLELDFLNIFVRMVQILM MQNNRRK MYCTH_2307966 MARISSTLRASVLYGLLAVASAEAALSPTPKPRPLRLRSPQADH SESESDPATITAVSECHLHGTVQYCQAGTTEFRISGTATASSYTDCHNHGAETFCMAP TGEVQIFAAEAAQTTTPTPTRADSTSSSITAVSDCHAHGSTLFCMAGTAEYEVHTTVT ATQDIPPAYTGCHSHGTETFCIGPDGEEVELHPAGEEEEEDGSGGQNCHFHAGVEHCV GSSGEEEEHSCERVDRDYNIRLRVGLLFVMLVTSSIGVFGPILVASYVSPSHPVFTVL RQFGTGVIISTAFVHLYTHATLMFENECLGELTYESTASAILMAGLFLSFLVEYSGNR LIQWRESKAKAKEGSIESGADADAAPAAARTDMVNIVVLEAGVIFHSLLIGLTLVVAG DSFFLTLFAVIVFHQMFEGLALGTRIAALGRPCPTSAPETPGHGQAFPNGHNHAHGHE HYHAQDDQTVDDHPAEPADPKAAAATQPSATSKEQEPASGAPSVSNDPAAASGQKLRG GGSSSTITSVPLSKKLLLAVAFALVTPVGMAIGIGVLRQFNGNDPSTVVAIGTLDALS AGILIWVGVVEMWAHDWMLGGEMTRAGPARTALGLVALVVGMALMSLLGKWA MYCTH_2307967 MKAIAYAAVLLGLVSTALGQSQTLAPSPTESYGCEPHGDHWHCE GPRTAQSLATTTTGAALTTSTAAHDHDEDDDHDHDHDHDDDHDGSTDATGTGSLKPSP TESYGCVAHGDHWHCEGPITASSAGTASTLATTTITSSSTPAASSIGTTDAAAASSTS TAGAPRLELAGLGFAGLAAAAALAF MYCTH_2128625 MFGNRDITIAAFTPIVVAVQMVGLACCDREYSDALDILSRDSSL SDQMEVWF MYCTH_2067496 SIILLSPTNQVLLLHRVQTSTSFASAHVFPGGNVSSFHDGPLPP LDDPAIHEDGPAYRLAAIRETFEESGILLAKKQGRGRDQCLLHLPAHVLEEGRKQIHG NTVRFTEWLASQGGEPDVENLVPFTRWITPPGPPKRFTTQMYLYMLPLDLPPPPPSSG AGGETTATSHDLLLRQHRPALIPTPTHDGGVEHTAAAFDDAGAWLARARAGDIILFPP QSYLLHLVSQFCSPGSNDNNQNDYGAQRAALLAFLRRTPTSAVADRAGTRMIPWSEKA ISPAVLFVRRRDGRAVLGLDKPGPELRGSRRGGDWERVVLVDFRKEGPRNVEVRDREE VLKEEREEGDADGEVAKL MYCTH_2307970 MAHEDSVVIISSSPDFPSICDLLPKATKKPPLRSGSNAALTPYD APVTFTSAASQLKSSHASQSERTSPRKTQVVSGVTVTKSHARNPPTERPPEPNAQGTN LESKEANEKLNETGIVTKGRRSARPGSREVAAEPRTIVSVDEVHPQPGGGLSPVQGSA EAENTLPKSRVRTTVKERRPKKRTETVSRHFAPQGSAPKPLPELIADPIEDESVMLEP AMRRKRDWTPPRESVRSYCSADSSTTKEPQSSQDNIFETLQETYGFVADPATHVKVTL PLPDTEVLGKRKIIEMASIGNKQKSPDASPTKPKAPKKRPRTITDLATAAYRPSEKQS VPSAETRTGSLFTYLESPKEQATIAPKDASAKPKASRGTKAKAGKRKEQPPKPILLSP TSAMRQVANQDFVFGTASQLATEDDPVLLRALHEAMQVSNQADSGSDLSPSPKPVNSK LAGRKRPGAGLWAAGARYDDGDLGVDVLDLTRSSPLLLDKSAPEDLYANHEPALSVLS HKKAGMEVELPDDSFALSSSPPVGRHNSSPPSASRNIRQAVVQRDQGSSSLNNHPQIT PEESDLGPPPSNQEQYDELLLSQSNSSRQEEPVRPPAPNYEMYSDARLAKEVASYGFK AVKKRTAMIAILKQCWESQNKTTFGSRATFAAMSTSSTNGSGSSPKPRDRAGRSTTGP RSGLEGPAPSTRRGKKSVTAGAAAETEAPQPMKRPRGRPRKSSTASSVSNTTEARAFS PANSGRDIPASVRDTESEGARVEKRAQGQTGIDNGAISSNQAPGVRTATSPKNARCSP KRNNPAPSTPGGKSSSKQVLEIPDSDSDDPFGSTPGSSPERWADAFSPPPAMDLSVTE DTETSLIASPTSHQVSLFRYITKAIVNAPRATNPEEPSWYEKMLMYDPIILEDLTAWL NSGQLDKVGYDGEVSPGDVKKWCESKSVCCLWRVNLRGQERKRF MYCTH_2315781 MENDRGEIVDLYVPRKCSATGRIIRAKDHGSCQITVAKVDENGR AIQGENIIYALSGFVRAMGESDDSLNRLAQRDGLLKNVWSAQR MYCTH_2025813 MPNLVAIDVSVTDNRIRLVFSDRAVAAAYAAYLRAQDLRPPQYQ TIPGYQRSPQLHTTTKEVSLSLPAFITWFITCRLPDDEDAVTFTFMPEHARYATRWAE TMLLFEPVRPDHEEDEDEDDYYDDAKGNDRDYQYGAPARQRSPKQLHVRRLWNRAYLL KRLEELRR MYCTH_2128634 MRPEYLPPLIIPKRRTRTPILVATCPRRQEQEKQQQQQQQDEEE KEQEQQPGQRPLPIFRPSYRTPPATLPATAFPNPPPERKPDDIDDIEAFSFYNPCLLP PSPVAPPFETRSYTDPSHGIPPLEPAPAPPLPDYSPQRWRQRQRQRQEGDPLPPRPRP GSAQPGLRRSTQPLNRDYRRRGGNRAAASVPPPPFRPPRTPPPARPDPELPPSPFSIQ RSPSRLYARPPLRRGGPRPGAGPGTGPAAQQQQQQQQQQKHQISLPRWDTCGPPGFDA SRPPSPVVAAHRRPAKPPVEWDAKSMSSSVYSMYTDDVVQSPYYSHSHNNNDDDDNDN NLYDDDDDDDDGSSTPGSWGRRDGGAYVNVTPCLAVRPPGQEGRRGGGGGGEGGYKEK EEAALRDLWGVIDELLGPDRRLGDYDAMSITSSMNGEMAAAAAASAAGYHSRPSAPRA SHSNRESRQSGVSGEGAWWRAVRQGLLLS MYCTH_2307978 MSEQYVLFDLPSRDPCRCWSLNPWKTRMLLNFKGVDYRTEWVEY PDIKPTLEPHVPPHPQKPQYTIPTVRFPDGRYVMESFAIAREIEAAHPTPSARLDAPV VAELMAAVPRLLHKLRAVLLPGVPRLILNERSKPYWHETRSAWVGKPLDEWEREVVAA GEPDWDGAEPVLREVTALLKKEAGGPFFLGNEASYADFIWGGFLIFFDRLGVSEELLA RTGDADAHRALLKGLEPWTERSDR MYCTH_117063 MLVQQQQQQHTASIVGAGPAGFALAAGLQSQGTSVLVYSHPTHV RHANQVRRNGLLRASGLMEGSTRVRVTTDMAEAVAFSRIMFLTVPSTGQETVLEELRK FSLRQHAIVAVPGNLFSLIAADLHAGCILETNLSPYSCRMEGDGELRVLGKKELVFIA ALQPAEGEEEEDDVVVVRRALCGEVARILSPTRLKWCSSVVEVSLANVNGVFHPLMML MNAGRIESTGGDFMLYADGLTPSVANAMAAVDRVRIQIGEALGLRLDGAVAVSNECYG QGFSNFVDLGRHSPPHNRLRAPPTLENRNLTEDVPDLLVSWCSLAEKLGIDASPIRAV ITLAQMATGVDYLATGRNLRRLGLEHATRAELIARFGGCDSSADRQKRAV MYCTH_2307981 MGDTRELAVGYVRANETQFKAGLFLLDRLQLRPGMRVLDVGCGP GNLTAHIASLVGSEGSVVGVDPSPERIGLARELAGKLAAEGKKENLTFYEGVAEDLSR FPDASFDAVFVNSTLHWVQDQPRALREFARVLRPGGRVGISGGSGDFETAQERIKAAV LSREPYRAYPEPAPPRFLGRAELSRLLDEAGFSGARDMVVNRIVKKAESADAMIDWLD TSSSGKTYGGIPPELRPAAREEMKVEWNKLLTDDGIHMDMELLVTVAVR MYCTH_2128639 MTETIVDAAVEAGVRFFVPSQFGLADTHPLLQRYFPIFVGLWRD WGVRGGFILDLDRKRARLIGKAVVGVLEGKAGEGKTEVRIKDVDRPHPLGS MYCTH_2128640 MVQNGGDFDLDIDMATNELDQRDKAAQARIKELENREKYSQKLV NEAYTKIEALEGAKAKRIKIELPSKYGGTKEDLAGFLTNLRSYF MYCTH_2307982 MSDPIVPTAYDPKGMKFRYLGNTGLQVSLFSLGGWLTLGGTVKG SNVKEILKTAWDHGIQTFDTAEGYANGGSEVEIGKALQELGWPRDEYVLTTKIFFGTG RKEPNTRGLSRKHIIEGLKASLKRLQTPYVDVVFAHRPDPATPMLEIVQAFTLAINLN LAYYWGTSEWSAAQITEAIQLADKHNLIAPVVEQPQYNAFHRERFEVEYAPLFRQHGY GTTIWSPLASGLLTGKYNDGIPEDSRFATNKDFFANTVKELQSEQGQAKIEKVRKLTK IAERLGASVTHLAIAWAAKNPNVSTVILGATKVEQVKDNLKALDLIDKLTPEILDEIE GVLQNKPKPVNTFGRDRN MYCTH_2307984 MLEVVCREPIVRDAFPNVWKGLVWLQSTQASRYRRLDVRKSGQN RSREGRPGNLIKAERADSPRLHEASTLVQDIVLDVSSHRHWPGDHEAQMVRPSGPRMY HNKRGLPGLLPSHCGAGRVGIASPNIDGISKPTVTSKIKETPRRKRTLPS MYCTH_2307985 MDDPGDHCWTWPFWKFGLKKDDLFGTLHDRYNTFASPILDPEAF HHDVYEISQQANSTDEFHRLLNARKEQRLRELNETLESAAFEIIANPSLIGTEQWQHA VQLFRTKSLDSLVRYYASYLPSDHPWYKSADSSSQSEAGSSVGSLTDSHGSSEDEEIP FMDEPLEYPSYPKQLLPPSPRSMTMCSDSSVASPIDEAHDEFDFERSAPARALSFSES EPDCCSVPGTDRCCNRDRESDCQPSSGTVPTIATRGVDSGVDAKPKPESTRGEATLPG ATLDVTDSETPTPRPETHTASFFCDTKPSPSQQRRHRSLSPSRPHPLSPRELDEVLTA HRDPRNRQRSRLSRKERECSPAAQRRRRGSPMDSVTRIQKPLADSGHCRFRGRKLAES S MYCTH_2307987 MGDIRESSGLSEDRTEPFSQTEIQARLQRSRMEQLDAINSAVPL DKLVVPLDDDIRILMRPLARDRTVRENRNVVPLSLPAETEEDETTQQVLAVRQTDRDV NFTVSIPDYRNPDRRLACILFYDPSSDNQILVNRSNVPFTLSRISQEPEETRGVRYEV NPEFEKSLSPGTWRITMDGTDLLDFRLLERRPVRLRAVSTASCDSSSSGSGSGSDVVN SSGKRSFVPGEDDPASPEKKRRPTNGAGDKKEDSVIMFVPAGAKGKELVGATGHPLLD MQSNETIEVSRGAGLVGYTLTKKDPIASTSLSSVFTAEYSGAPGRYVVAKVLKTTLPA NANANEGALAKTVIRQAQTWLRELENQEKVKHKNIVQIYGGDARFLSLYMEPVEGRDL SARGVWRTQGTDLFAGDRSDALRILKDTSSGLHYLHSLRLEHNDIKPGNILYSRERGA VVCDMGLSSEKGASTGGGTPWYVPPEYIGLRQRGPPSDVWALGVVMLYVMRKISWPDI RGDRRHPRHLYWTIAHVHEKRPNLQVTAVSQMRRWLSEVNAVRSSLDLNDKLERLVHG MLAPNPRERLTMKEIVNQLFVDQGPDR MYCTH_2307988 MTIQTFLTLAIAGLAHAHFGIEYPPMRGDTLSSHANETWSQWTN PCKPAFDISTCFHPRRLVRRPGSKYANKVKQTGAGVPSNLTGRPITPWPLTGGSLKLD LHHPWTYIFVNLGLGSDVSNFNYTLTRPFWNETGNGTLCVPRLELPADLPVRDGSPAS LQVVTVGEDGNALYNCADIVFREDAKVLSGDECRNSEGVTVAPVVVEADDDAAGNNTT AGDKGSAGSAMGVNTAALSSVVGLAMVFVAGLSM MYCTH_2307990 MAPLFSWRQAIVAALFVTGQAAPLLQARQHVRKSDQTQTVDSAA LQTLLQGLVAGIGAGAGAPPAVTVPDIKSTQGVLAPPVLPSRGHDNDGTSGISKRADT AESGESKLPEGLLEKINKDGITVKGVVDGTVNFVKPLITSQQSTDGTNDDNVDLADIV GLLTGNENSAEKVENGFEGRESSLDELFGEKEGDNESGNDEEGNDEIGNDEIGNDEEG DDEIGMSSSSKSKVKRKVVARAEEEEDPTLMEVLLKLLGVPNSEEESGAN MYCTH_96254 MASYQSQAASTTITNRQLVLQVEEMLNNFTIKDTATNKTFMRSK AKKLSMRGRMKVYDGNNNLLFDIIQKPFRMRPTFSIRGQDKGEIMRVKFGAMSISRTA HAKFTTAEGQPVKLTMKSSLVGGMSAEIVQEPSKRPVAHIKRKMIGSGKTLLRTALGQ DTYHVTVQPGVDAALIVAMCICWDTKKEQQQRR MYCTH_55581 MGQSSWPQPFDMSAVSLIDSRWTDNQNRTVTYLKWVDVDRLLYN FRANHGLSTQGARQNGGWDAPDFPFRTHVQGHFLTAWSHCYASLRDDACRDRATYFVA ELAKCQANNDAVGFGAGYLSGFPESEFDALEARTLSNGNVPYYAIHKTMAGLLDVWRH VGDTTARDVLLALAGWVDSRTGRLSYEQMQAVLGTEFGGMNDVLTELSLQTGDPRWLE VAQRFDHAAVFDPLASRQDRLDGLHANTQVPKWIGAVLEYKATGTARYRDIAANAWNF TVGAHSYAIGGNSQAEHFHEPDAIAKYLLEDTAEACNTYNMLRLTRELWMLDPASTAY FDFYERALLNHLLGQQNPADPHGHVTYFTPLNPGGRRGVGPAWGGGTWSTDYDSFWCC QGTALETNTKLMDSIYWHDDDDDADDDGAANLWVNLFTPSVLRWTERGVTLTQETAFP AGSDTITLTVGGEPTGGWDMHVRIPSWTTSGAEVLVNGEKAGVAAAVPGTYVSIRGRD WKAGDVVTVRLPMTLRTVAANDNPGVAALAYGPVVLSGDYGSASLASLPTLDLDSVRR AKGNGLVFTATADGQSVTLGPFYDAHDSNYNVYWVTKGRLPE MYCTH_2139925 MAAPANAAVRETRRQSTPLELERMDVSKALIAAEHSRRQFILNF AASRNTQLLIPGKKSDAVKVAEEWVAAWLSQNGYQAVKNDFFRYTVDARLWATHVGDD IDFPFAFMRGRFETSVSDSSEADDKRPDSKTSLVAKEIEIGEGDINSTPPAQPTTPAG LRSPRKQQSAPSTPLARRQRSTTYPKPHEISLRDRSMSLTGFDAMKSPKAGQDKVPFL TRLGRSWSRRLPSAS MYCTH_88807 MPVYVITGTRAGIGLEHVRQLSQAASNTVFALVRSAAGDLATLK SIQQTAAAKVHILECDVSSESSIAALPDRIREASGDANIKINTLINNAAVLHAREQTA LTLTPAALNDHMRSNLLGPALVLQALLPLLAPGARVANISSGAGSLTLLATGRITPEI TPYSLSKAALNMLTVHQQAQLRARSGGGGSGIVVVAVDPGHVKTEMGGPNAVVEVEDS ARAVLKLVEGLTERDGGKFWLYNGEELPW MYCTH_84164 MPKARSRVPVKVNVGTSADPIVTRLVQEDKIPWYKKPNLRRMYI FLFLCCMGVEMTSGFDSQLINTLQYAETFHKYLGNGRKDEDGNYAIEPGLLGFVNSCY QLGSIFAVPIAPWFAQRFGRRWSIMLGSLIMVGGAIIQGFAQHVAMYIIARMILGMGI LFCIISGAALIGELGHPKERAVLTSLFNSSYFIGQILASAITIGTTEMKTNWAWRLPS LLQICPSLLQIVTVFFLPESPRFLISKDRDDDAKEVLIKYHAEGDASSLLVQAEIVQI RETIRTEMEVSNQSWMELVSTYGMRRRLVITLFIGLFTQLSGNTLLSYYSGKLFEMMG YTEASVKTRINVANACWSLLNATTIAFLVPYFKRRHMFMTSALSMCAVFIAITVSLER TQAAQDAGFKNTAAGISGLFWYFAFAPCYNMGNNALTYTYLVELWPYSHRSRGIGVQQ IFGKLGGFFSTNVNSIALDAIRWKYMAIYCGWIFFEFLIVFFLYPETSGRTLEELAFL FEDASLNEKAAAAVEKQIHYGDEKVVHEEGQPAAKSVV MYCTH_2128653 MNTLGYATILPSRSSKQHTLDLTSQTPELQPASVPDVPSSPASA PQLQGSPSSMCARPCLGAGDLPVGRWLGCNPRSPVAQPWFVPYRGEPVAHSVHYA MYCTH_2307998 MPYTPSGGIGTNGSDPIYKVASDFDYQSLALALYHEWIELDLFH WGLATFSDEEFEAYGITGEDRHLLQHMADQEIGHASVVANMLGPSAPKQCTYNYPVSN VREYIDFNQKLTRWAEAGVYGFLPHLNSGPAANMLLQSITVEARQQLIFRQFGGQFPM PEWHTPGIPQSWAWTLLAPYISSCPHNQTRLVWQNFPALHILNQPNPARIDGSAVWGE TTGGYANTLSTEGIPEDELCVNATDDELSDCPAAISQNRSIPLSYPGREVFLRWDAPG QLVGPNNSYVTTTSAAEPRFAAWLSQLNVTYTPLLGVSLEHRTAYTIQPNVSTWKNDP AVNGTMFLALTDVDLYVTPYNVSMINPHVAALAVYQAG MYCTH_2040301 QESQECTRELIRTDDCAAVINPTACYNQFRWTSRTLSCIDGVDD AERKRRACLCCSCVGDVMCNWVRQNRFC MYCTH_2128656 MKWNVLTAAAGLVAPSHALLRFGCSQLTVQRLDPLVTPGENPSP HLHQIIGGNSFNVSMPYPEHDLPTRSTCTSCQFTEDFSNYWTAVLFFRARNGTFKRVP QLAQAGMEGTNGGMVVYYMSDALFDTAQRSSVTAFKPGFRMLIGDATFNTREQAREFR QITYTCMENQASRQPESVGFPRTPCKLGIMANHRFPTCWDGVNLDSPNHRDHVAYPES GTFESGGPCPASHPVRIPQILLETVWDTSGFNREEDWPEDGSQPFVWSTGDSTGYSSH ADYVFGWEGDSLQRAMDAHAYVVAPMLKTQTIADQNKCTVRDMVGEDIDSWLPELPGG NQIY MYCTH_2308000 MFRPQLDDKQREWLRAIVWNFGSAAEVPRGSRIDHGLGGILVLE DVEGRRRKGTMFFSGMCNTRWWIDPETGIGAIMLVNVIPYGDSAALALFNELERAVYG NLVPAWKASK MYCTH_2128658 MAPNNRSPGLPAIPDMVQGETEHLIPELEEALSSTGETIVTFED DECRPARSHSTSTQNSRQPRRTVLPSRFPSTSSSTSPAFYAALPVSPRHYDVTCQVQP LQLPSSKKKVYESWTITYHHPAPRPPVRVRVLGLTLFRLSGRPSEGSPGEGEGGSVLS VVPNTHFSQDELAGTVAMHEASVAAHVARRNKGNKGQGRRWWWRPKTTANTLCKPRLV GSGSGSGAASRGRGGCWYVNDQEAQDGGDGNGTGTGTGTGTAKTGIAIGIGTGSWDAA VYAADLEKRIRRLDWKVQDEIYELLSDRVQSSSNAFRRRDWRVVVLTEVPGGELTDQP TGFRAFGEREKGGRRRRWGRRESRGSTCRTPPPPPPHDMPVTEYRLILRGTETKANDQ GWGYYNRYSRPWRDADEKELGHRRRWSTMTGKNEKYVDF MYCTH_2308003 MTVQKILIVGATGQQGGAALKALLELPDSASRFHVLALSRDVES ERAKRLMSAHNGAVSLVEGDVANPKAIFASQPAGSIDSIFIVTTLGGKISEEQQAIPL IDEAVAHGVKHIVFTSVDRGGDAKSWDNPTKVKHFLAKHKVEIYLRDKAAKEGGNKFT WTILRPVAFMDNLNPGFLCSLFTSMWDTALSPDTKLQLVSTRDIGVFAAKALSNPSRW AGKAVSLAGDELTLAEAREVFQKVVGKPLPQTWSLLGRGVLWGMKEMGDMFAFFEKEG YGADIEALKKEAPVQNLESWLRNDSKWLKQ MYCTH_2308004 MNRLFGTNAPKAPKPTLNSAISNLDSRISSIDVKLAAINAELQG YQAKLSNMRDGPGKTALKQKALKILQRRKQYEAQRDQLQSQVWNMEQAQTMQDNLKNT MVTIDALKSTNKALKKEYGKVDIDKIERLQDEMADLLDVGNEIQESLARSYDIPEDVD EAELDAELEALGQEVELEREMAGPGTEGALPSFMQDEVPEFIDEPPAQAGKVSEAAG MYCTH_2308005 MAGFNPQPDEGYSEDPLTALSSAPPLSLKSREDAVSALGSARSR DDFPLWLVEHISNLSLARKTELAMALLNDLPTSVISQIVENLHPRLYIDFVQYLPPEV CLKILEYLDPVSLINVARCCRAWYVLALDRKLWEQLYFLEGWKANPEEIAKAEREMNG PFSSTHGVLRRMQSEEDAHAHKKRAISLSPPLGGQTDTVMADAETNAHHQEATETGPT ETSIFGGPRRPVLKTGISQLMGDLEMSSAVSRSNSKGKSADKGKGKAPSSAWPEAPHG SIRNSFLQTVMEPPRLAKSTLWTWDGPSGRYKINWKYLYTMRRRLESNWERGRFLNFQ FPHPAHPEEGHGECVYSLQFNSRYLVSGSRDRTIKIWDMKTRRCLRTLAKHRGSVLCL QFDSDPEEDLIVSGSSDSDVIIWRFSTGEALQTLNHAHRESVLNVKFDKRILVTCSKD KTIKIFNRKPLRHGDLGYREVDPVPTNVKNYGYSIPMTPDDFPVIPAWTMIGCLEGHS AAVNAVQIRDREVVSASGDRHIKVWDWPTQVCNRTIVGHNKGIACVQYDGRRIVSGSS DNEVKVFDRQTGLEVANLRAHSSLVRTVQAGFGDLPHSVEDDLAEAKKVDQEYFRALE LGLLKDRDRPRPGRRSGNAGSRRPEDICAYGAKLPPGGGGGKYGRIVSGSYDASIIIW RRDKEGVWKDQHHFKQETAAMAALQQDRQEAPTPLHPSVQGLASREALRAMRAASSGV STGTFDGTGTSMPAPSTGSRLQTASAPPGGLTGPTLPPISALTTELNQADGSVAAFQQ LRQVIDSAVEGGQQALAQAIAAHPAILTCRAYVETAIDRQQNPVVRSQLRQTYATALM RDQFEQARQRREALRSQEATGSTATAAGQVAAEGVAAAQSGARLTPAQLAAAAAHQAH AHVPHGAPMHQVPSPVPVVAPAAGAVASSLGSFGGSAAGGSTAAPGLGAAPAAPPPVG PQLPVQAQAQQQHHHHPHIAHAPSPFEVHDPANPARVFKLQYDARRIICCSQRSVIVG WDFCNGDSELEEASRFFGPVD MYCTH_2144606 MTHASLLRMNTGPAATMDAIDRSNTMGVPSAPRTSQLSGSATFP TTNSSSSVNSASTAPTPPSNGQVVATSNIINQKADASRSLYQICLSLKQRLAKVPGFE PYMEQLEQMAADPDEGGPVESLWKLLRTGYPLLAIYNCLQPETPLQVQEGPEVSQAKR SKIAILRFVEACKSKLMLPTSEVFIITDLAGNDTTGFVKVTSVINHVLDLAEQRGLLL QIQPYPEDDTIESGSQMSYRDYIVRELVDTERKYVQDLENLHDLKKTLEQNGIITGDI VHDIFLNINAILDCQRKFLIRVETTNSMPPSRQEWGSPFVAYEETFTTCYQPFIANQR KAGQVASQVFDKIQAADHPVACDFNTLDGFLLKPMQRLVKYPLLLKDLLKKSEDEATK ADLTAGIEAAERVLQKANEAVNRDLLNEAVKDLVNRVEDWKKHRVDQFGELLLHGVYT VVTGKGDQEKDYEIYLFQCILLCCKEVVPGKTKDKKDKTRSTGPKVRNKNAKLQLKGR IFMTNVTEVVAMSKTGSYTVQIWWKGDPGVENFTIKFQNEDTMRKWAAGLDKQRKENA PQHRPNTDAATQFTYLDIVGSMENPYAQEAQEDDDDEPDGNSTALATTPQQNTLAAMP GTIQRNTSSTSLRQRSMTGDSTHSIPASSRVPPPRFPMALPPTPLSVQTQGAGQGSPL PKAGESYFSPLVESPASSRASTTSGVFANSGYPFPKTTTPQPWNSEDRYTAPAMPRAP SRDGSSPANGFGAANGRNPRGPSMPVMPRDNTAQSSQGPARVRSHSTPDINGQASRNA QAIPNVPSIPAHLHQNHPPYQTHARHDSNIPRSNTGSPANELPLRTNTNSPGTQRGRP YGGTMAQFPTQPVYPRQGTPGSATNLPPPGPPPPGVPPLAPVDPSRSITQGLGTAPLT SSQGAIPPTPDIAMPNQLKVKVHYSSKPNTYVTLVAQYNITYQSLVDRIDVKLARLTN SSIARGDLKLRYQDEDGDFVTIESDEDIQIAISEWRESQSNMPGGLGEIELFCVGDLN MYCTH_2082154 MTNKNPIRLPPLRVLRVRHPNRPESNPCLTVMSSVLACWASAGH TGRGCTTVEQALRACMDAPPPPKKSSNTINYHLARFSKRLIAQGKKKK MYCTH_2308011 MTESNSGVQATPAADMLSQDNPITAECFLPPRFSCPRAWQRVAV APVPGMRRQSRIWKRVGGLTVGTGQSPYIRAMAELERQGMGPRKRARHAHHLQAWGDA KWDPRAEERPDGHQDIVEAQGMVSDAAHKPTTTTNNTKTKPATYPEESLKWVPRKRHN SRWPLAPKSEAGSPTTHLQHSTESERLVSTEPVEATAKVDEEQMSKRSTRRLSRRISL FPGDESPRRLSTIALSPAGSSAPAASPVKRSSVALSPTKVADSPLRSFRVNATPTKVV LESPKVSPPEKSPAKLQAPLTPDTRPSSPPASPPASPAPLMFDQPVPDAQAEPQHEVR RRLSLQSARRSERGSNGALRLLALKRGTDNLNRRHSLTTIPAIAVGDGVRGESKSRRK TMDVFSSGLEATGGNAEDARTTGATPDHHRAEDVVEIDMKTRLDIFGQPPVTAAANPP LLSSDGQSPLTSGELGVAEKVEVEAEAEQATPCCQAATTSMAAETNEVPALTRETSPR LAESASVEGGSESDAVRLPDANELPCAAHEVSSDEGSPAPDDDLQEATQNLAVETNPH ACVEMEHLSEQEMIEAQFTNGGSPVANVEQSESTTNADSSASSPASPRLRSDTPNLGA KATDAVQDEQPVVAASVTESHVCSGNVVSAANGTAVGTELPQHSTENTATDDLDENAR ATDDQAANPPKDCGVNPCPTGIANIQLPESSSGTDRSTPPSPGTPCSTPTARSTEPME GVSNPQNQGDGLSPSGESSGFTPINKRRVPPPSDLPTGLRDDEEPAADHESDELDADE VVEEDVPQEEGDEDIVAMDEDMTVEAPKPECDTLQLHARQDDSETEMLRNFVTRVAAG KSAKAAAAAAALAKKIARRSSSLGSISSSTGSPVGRAGLETPGSRQPLGVRSPNSASP AKKRKADAFEDDLAKEDSSAEPCAEPTDGPRLKKRRKYPESILKTAPEPAAPSSEANS APPSNITTSLLSPKSGPRRSTRARSTRVALKPSAPSANSIAFSMIPVRLPGMGAMDEP AMASDAHLSAARQRSEEKDLAAVTRANTRKNKGGAVPPPVVLAKQAEDPAGWRMRELK GVWEAKERRKGAALSEKGPEAEEEGGGKGKKGKKVKEVRWAEELVRYQPYEEGGGMFS GMARALLADVMADDGVDEIAEAEPPALAEPAVEKTARVAARKAGSGRKSSTTGEAAPA PPAAPASTRRTRSSKLPPPTPVKKLRGAGKPAAEKSPPAEKTEPAEKPAATPSLRTRA RSLPKRAAAPAPATAPATVDPSPATASSSTRTGMATRRTRVTKLGMSGNGTPAPKRRG KAAA MYCTH_2308014 MKANTTRRPRLLLFAGLSCLLLGNAATAQSTCYNTRGEEDPNLQ PCSAPGADADAGEATWCCARGDTCLSNGLCLSPGSSNLMTQQGCTDKNWGGSCKKYCP ASDGKLFSPPSDFERAGAHSHQTNAFLPPPDQKLTEIPLVPCPASFNSDTNDVKFCCG PDPSSCCEESASWISLPAGTIVPSQANDDSTSSSSSSSSSSSSDSDNVRYSLRIGLGI GLGIGVPILLVLLAVAYLLAQPLHSRSGGRHGSGRRKGGGGRGGDWDGDDVDDGTNTE KTSDTYHTYPPRSYFARTAGGVGGGGGGSGGGTRTHTTRPRRKSFGNHTHVRGGFASS SSSSSPAADDEANHHGGRDDQHTHPAIALWSPHLHHHHHHHHGTGGIQSARSVATALA QWARSPFGFGGLEPPLPGPENPREMDGSGSGSGSGRSARAHGGSGGGSGSGSGMGETT PSRLGAAELPSPDLCRLEEGGGGGGGGGEVGGHGPGPAASSPSSSSPSAPGGGGGGGI SSGGGGASGQAAWHAGRRVHVAAEEVELPTPDTPVRPDQDVEKGLGGKK MYCTH_2067166 MAAQPDFLWIKNDMSPDGRVFRVSRQAAQHSTILRALIEDFEGI DLWKKEQCIPIKIHVSDQCLSDVLQWAENTKTAPEKGENADNNKQVELAAEDMHFFRE AITTSEKLYELLMLTDYLGIVPLYNMACQVVVNMIMGKSAEQIRRMLGISKDFTPEQE EAIRAETAWAYDEEQPPLDR MYCTH_53945 QVIVAQLTLFFFHAEDLLGHKPDVLRRLQLNVATGQPCGDPVLD WYARELTPRLWSVFDPLVANMIVVACYDFINGIGIEQLTECASVHPQAPNFPDWLRFK TGLSPMYSLLALARASDLKLPTGGLANYVQVIADVITFTNIVNDVISFYKELLAGEKA NYVQMRAQKDKVDVLAALRTLADEGIRIRDRVLATLADEPEYLANFDAYAKGITHFHT SSPRYRLCELFGKP MYCTH_2308015 MSGSDSEQLPALPSDLTSEWLGSKLGHKIKRAENTRTIWGTASK LFFAITYEDASTDERPTHICVKGVFDPRMMQAQPWTVSLAQREADFYSKLAPNIKNMI FPKAWWSGTSDKQGIAIMNDLTKEGCSFPAEVASYPAEQVMNGVEQLAGLHAQYWGQS QEDHPWIWNNYDPAMKFMCASWDEVVRTPGRPQLPEYLMDGKRCNEALDRYYAERNPR FRTLLHGDTHIGNVYFTADGRIGFLDWSAFHFGSCFHDVVYHMTAMLSVEDRRAHEME ILDHYLETLHRLGGPKFDRHNDPEVMIEYRRSFMTNVIWLICPDGLQSKERVAVLCER TVAAYDDHKVIDCILGQPKPHA MYCTH_2308016 MAKDLTTTTFEPIQPEGLALSMLVTTVVFTILSSIMVCLRFYVR ITLRSFSVEDWLMLAGWIVNLGHNAAVIVLSFCGIGSHDDVITVGMMYKMGLWTIIWQ FLYVLDGALIKSSIIWTLLRLAKGLNKTYTYILWALFALGWVVWQISWPVAIFQCKPV AAAWGEPGDCTSGQRVILNVSYFVSAANIFTDVSTSLVPIFLLRHTRMPTKLKLLTMG VLSLGIFASVATVIRIAYTWAYTATVDKYYTIAKIVMLTVLECDLGIIAGSMPMIRRL FRALATSHGSNEVTPGHSGDVNLVTIGGTGGRARRTTRIKLANTANDTIIGEHQDKES NDDSDSTRRIIQVTREVKQDTSVSHRGGPDNQFQVAVSGSGGPGQNFHLPISTRNTGP SYE MYCTH_2026083 DHKIKLKERVRLKFFKIYYTSQIQNEELKQYLEENLRRGYIRLL ISLAGYLILFMPKKDGKLQLYIDY MYCTH_96234 MVQNGGDFDLDIDMATNVTAEQLLAQLGQLQQRIQELDQRDKAA QARIKELENREKYSQKLEIAAIDETTKNVTEVAATSYEDKDSDTDSLGHDGNGEDEQA PYSELVTVDPETGLAEWDMAGEYAPPISILPILRQWGFTVTQRRDGSWTTDTQGIERP GPNALFLQERIEWYRNEVFRLNTELRERDGRLTRLAQQSDEMKDEMRELRRIVETIKG EQPVTYDGPDSYAEYFDDQQLSHDVRNPEYQFMRANRGKDERTWESYWKKHSYLSIGV PTAHVQWEGFGKEFQYLPGDATRLHPRHEAHAQVPWFQCVAHECRYHFRDKFENNHWP TRQENRDGGLCPVEWVYDAGNRAAELLWKIEARDLESITIVPRRAWPRHCGTGRDTWD SCWSNDCLYHADEKKLRIRELQMKLWHARRKAERTQWWEAASTQWLTEMSTIDEAAIS RTTEEVSTDLGNGSGPFEGPGNH MYCTH_84133 MGRFLTTTALALLATGGAATARPIRACDVSTKYLITFGDSYSQT GFDVTGTKPSASNPLGNPPLPGWTASGGLNWVGFLVSEFNTSTTLSYNFAYGGATTNA TIVPPYQPTVLSFIDQVAQFSGSIARKPDYAPWNADNALFGVWIGVNDVGNVWWDPNY DSLLEQIMESYFGQLQILYDAGARNFVLLSVPPIQRTPAVLLNNSPENQKAEALAVDK YNEALAANLEAFTDKNGGITAKIVDTGVPFNTALDNPTDYGAPDATCYNSDGKSCLWF NDYHPGIEINRLVAQAVADAWKGSFF MYCTH_2128682 MNLIALLLTLLLFLLPVAISLPATTTTDTDHPLRQPAPHQNKRM RGMAAPPMMMPGASRPGPRMMVKRDDGDGRGGKDGKDGYDRDGKDRDGKDRDGKDRDG KDRDGKDRDGKDRDGKDRDGHDRDGKDRDGHDRDGHDRDGHGRDGHGRDGHGGGHGGG GHGGGHGGGHGGGHGGGGGGHGGGGGGHGGGGGGHGGGHGGGGGGHGGGHGGGGGGGH GGGGGGHGGRA MYCTH_2308030 MRKEGSLLYPSNNGARYELTSPTAMPKAGGFLWNQKMMIQITCR GYATAQFMQPEPAKYAYAPNIEAKTFMQPEPNYYAHHPGRFVYIKDLETGQLFSAPYE PVRATADRFVFSVGKTDVAWVVEHMGIRVEMVMGLPTHDVAELWTIKVTNISGRSRRI SVTPYFPIGYMSWMNQFAEWSEDLVGVVASCITPYQKAADYFKNKYLKDKTYFLCETP PDSWDANQQAFEGEGGLHNPSALQEPKLTCRDARYETPTAAVQYRIELNAGEESEYRF LFGPAYDEAEIRTMRSRYLSKEAFLRTADEYAAYMERGRGCLRIETPDKELDNFVNNW LPRQVYYHGDVNRLTTDPQTRNYLQDNMGMNYIRPEVSRRALITALSQQEASGAMPDG ILLAEGAELKYINQIPHTDHCVWLPLTLEVYLSETGDYGLLKERIRTENGDNFTVFER LCRAMDFLLKLRDERGLSYIAQGDWCDPMNMVGYRGKGVSGWLTIATAFALKLWAGVC EHEGATELAERFRAGADECNAAANKYLWDGDWFARGITDDNVVFGIKKDLEGRIWLNP QSFAILSGAASREQVSRMLPEIDAQLDTPYGVVMFAPPFTKMREDIGRVTQKAVGSAE NGAVYNHASVFYVHSLYRLGGQQDRAYKHLRQLIPGPSEADYRQRGQLPIFLPNYYRG GWHQFPRTAGRSSQLFNTGTSSWAYRCVIEGLCGLRGEADGLVVRPQLPSGWDAIKVT REFRGATFRLDVRRADVQDVVVSVGGKTLPQPKITEFKAGETYQVSVLVPQ MYCTH_2067066 YSFYYYTFINDIVIFLDIAEDYIRYLKTIFNLFRKKNIAISPTK LYIGYLNVELLGFRVDSLSLINTD MYCTH_2308031 MRGVPHEDAQRIIAIERTCSALSFLGCVFVLVTFALSDAFRQRA INRMVFYATFGNMLTNVATLMTTTYTHKPDSFGCQLQGFLIQVFMQSDAYWALAMAIN VYLTFYHKYDARMLRRMEIAYLACCYGIPFIPGFTFIFVSNKRRGRPYGDAILWCWLK PEWEVYRIATFYGPIWAAIIATMTIYIRAGREIYHNRRKMMNFSSTGNGTVGTGEHFS PAIEFSSAFNFKTTEVTQTTEIVKPPAAVVKTGAAISNTAPSYSVTVSTDIQAANRLN MRASLEEDPGNGDPSTLTHSRGSSSSSSSSSTTTTNHHRAPSATNGSGRPDNGAWRAP VLSTATQPSPLTPTVTSTVTTSRHTRVTAAPGRAHHHHHHHHESASSHSATWSYTKCA ILFFSVLLITWIPSSGNRVYSLVHRDDVSRPLFYASAFVLPLQGFWNAIIYVVTSWAA CKSLARSCLAGARGVRDWVLGEGVSRTRSRRMRSGRRVSAVKIVHRSERGGGGAAGAG AGAGAGGGGRGSAVGIWLGNINSRNERERERESERSTSMEDLTGQRRAMAVSAV MYCTH_2308034 MRASSSDFASTTAFEYAINHVFLPPRTPQKDDTNIIEEHGLIES LLVSTNELSRICQRSESHQLRPITRMLKRLLSVEPGMDSDTKRKTMKEVISELQDGEY AVFHIRAQNAGLLLTGRRNDILVEAFELLAPNKDVMTCRGRLIREFPDCAASVNRAVM FDGDFLDDFVDLLRQLELQASPLAHQKTRKSGRNFDEERDTDSPFLVTDMVMGTLAGL GRSAEPQRIVKRSREQVNWDSALLAFHRSPTWLLLRVATRLVLDRNAARDRHESLYKT LITFHHGLLLRQATQHELGSDLRFTMAAKLCRRLAKLDPRHNVPWVQELSEIIAENTG ALQHRWEEAQTGCNAGNFSPASLEQLCFEADTNLQLQTSLGPHLSWIQTRSLDDQSAA GPGDNTWFSIFPASKLPSFQELAEEEEKYSLLELESWIESNLSSWVTECLCDFHRTSN SSSSLSRTKVGVKLRKLNSLIIKYYTKGKEAYKGNPEALSLMHLNLMSLWVATDKIAG AAAPLLLEFDPGFPPDLLQPLLLPTRLEMARLHEIETYLSRRRKEARNGYPQVFAHFG TPKSFAVRYFDSSAEQKELLSHIQAESERAAAGRQQEYRDMRRTWEALNTELGSSSHQ KVWNSKRKSEICKSTCKACRLGSRIKGLRINLFEWPLPSGDVNLAKAIVFEISVPEVV AIWRDVTTKLLCEVFRTGGTLGTVGKLWSASEHLGLARFVTATSRVQVASTVKPVKAS HYHAKHISGITDPEDVVVRPSWRHYDYYEPTSRIHHSEMFKNLSLPTQCSFAEHQRGR LLGSWTRSTGHTSNEVIAAQSQCPSDMSLDEFRAFGHLRSGHHLQWANVLCQLRVPSL NWNHESTYWLVLQACLEAGPPDHSDCLRREAHADLGNGVFVRCMTDALDTALDRYREN WQNNVAVSLLACLGTRVLSLTSENYKDADDEEDKEDDKEDSKGKKETESNIGNKEHKE PSPPSLIDPLLAFLSRVREATICWARQLLERRASCTLEDEQKSLDKRILMAALTCMST FDIETNLLRVILQSTNFLSIFVEATILAHDYTPPTESVSDPILLMLLHRWRRTMHRSR EIIMTEVVTNTNIGFHRAIHRFWADYPPSTRQWSTLPGKQSHILRTVMGTGTGNDKAI DITFNSLDGRLLVAGYPLSRLPREYTSTPAYKELFGSQVLEVMPSTRQGMFFSMCRQQ HGWVVHLTMVRSDLVIQAVQTEGILSSIKPGAGPRVCEFIPFERLKGDVPASFVRNYS HWLDLATGVIEFRPRDQPWESSEDNWTLTRDGSSNILSRGGCAVLDPHSPTAEALFAR LKPIETREHLDMIFHPDDHVLVVDLPRFSISFSLAEGGAVIKSKHYSGMCIDDDQNIG TFFGLENKLVLRQEENDSEHCAPRRIVLVPRGSPTSEIVSNHVSVRVNVPGGLRVKHD AFRIDTTLGRIASSTSLASKLYLCYLHALTSHCLPDPLTGRTGTEEALRILRSASVRS FERLDLESYHLLCDIARISPRRLFYPEHLTVMERITWSGKLPVLSQNDAFWTQVEDIL SHARNCALLHPQDEEGSDSFKIESVEGSRPLLLDRAKIRNATFHVSEFGAEMHTTTFD SRYAGRHLKRGSEVYSRVKMVSRRLLSGRQELLDPLPSTLREDLLKVIGPQFPGHPEV DLRFKLDYLQPASESLSKLWCGLHRRLTEEPNKYRIAFFLSALIYAEESDWVVVHALM ALAIAPSRFQVLATPPREQSFDLGYDLSTVRHRVDQIIRNSLYDFGLCPETHLARLNF ESDEQVEKRRYCIWKENSDKMARSFKTELELQWYRGWTVTTPTGNMYSSYLDVDTIMS KVSDVLDLARRTSLFEQYLFDLEWELRDMRVSPGQETDQNDFASDDSAVIIPSLEPQQ AGRSAYVSSDMLFSRSAPSTSRPRLVDFTYLCGHAVHAAGDRGPLAGLFERLSRIAGD RPYQTDYLMELESGSISTARPTLQLKQCVDDLRSIFEVHLCECKQTVEEIRGAIEETL SPRSIVDATLRTASLYPRISPIFLLRRLTRDFWADLSEGWRECLANYALSLSYLQRAQ RLVNASSDPSKRTELLREIQSTGTHESDDGDTLLFPENLLLELEQGILIRPVQQSVAA KMRDPPGGRNSVMQLNMGEGKSSVIVPLVAASLADGERLVRVVVAKPQSKQMQHSMIG ALGGLINRRVFYLPFSRALQIQSDGLEVIRGMLETCRKEGGVLLVQPEHLLSFKLMGL ESIYARPGSIGQEILQTYREFEAVSRDIVDESDENFSVKFELIYTMGAQQPIDMSPER WTMIQELMDIVLDVSRELTSGPGRIRGLLLEEHDNNTAGSRFPTIRVLEDSAGKVLVE TIAERICRIGLKGFPIHHQTKRMRQAVLEYVLQPNLTPEQIAAVEDAESGLFSEPSSR NALLLLRGLLASNVILFALGQKRFRVNYGLAPDRRPATMLALPYRAKDSPAPRSEFSH PDVVIVLTCLSYYYQGLSSKQLRSCLEILSKSDQAEQEYSRWAAKSPDLPSSLGHFSG VNLQDGTLYKESVFPALRYTKPAIDFFLSTVVFPREMREFPFKLSASGWDLGKTKRDP LTGFSGTTDSKYVLPLSVTALDLEEQRHTNSAVLACLLRDENTVLELGGDEADVSALT VDVLLDAVTTSRQPMRVILDVGAQIIELSNLEVAQRWLELVPEQDADAVIFFNDHDEL SVLTRDGSVDLFITSPFATQTDRCLVFLDQAHTRGTDLRLPDSYRAAVTLGPNLTKDM LVQACMRMRKLGAGQSVTFCVSPEMQKRVRGLAHLEDSLPLTVTDVLVCAIAETWDDA SRSLPLWATQGLRHQHQELVWERAEDTGELSMNDVVDYMEDEAQSLGERYRPISHGSG TNSQGLTSKLIEASKLEARRDQVSQIRAKCLSFGLANLDAMGSLQEEQERELAPEVER ERQVEGPPPRSPAAHALHPDVKLFATSGILIANSPAFRPAFHTLASTSAAKHFPVERF PSDLLATADFARTVQAGEDASSFDAYQRPVQWLLTTNPTNKQALKASRRQKKTKAAST PRYGMHMVLVSSWEANVLKKVLEAEAAAAAAAAIAGGTGTPAGAPPVLLRAYLPRTSL SFESLEDLTAYTVPAHAATATATTTTTPPQPPKELITQLNLFAGQLYLRTYDDYVRLC RYLGLSYRENEGETDIAADGFVGRGGGGGGDDGKEGGEYEACAFEQSPVGFLGVLFRR IRRDCLDIEKTHMGRVLSGEILTGKDFGEGGSDVRLNRHIKSEPDV MYCTH_2308036 MAIMAVTLNYGVGFLGFPGGTEVAAAGVTNLGLKDQRQALRWIQ ENIAAFGGDLDKVTVWGQSAGANSIAYQLLAYGAKTDEKLFRGAIMSSGSIGIGNAVH PDREDAVQGYRAVLNATNCLDAEDALGCLRAVPLDEVFEAGEATGTHPTWWPTVDGDF IPQPPSLQLEAGEFLVTCPSSQGPTTTKLKRFVLADIDPHPDAVPGAAGIRESCCGPP LLWPLSSFIATMLSPRLHRLLPVLLGRHPA MYCTH_112329 MDAALEFLDPLVFDQMYAYLVPARTSSVNNGSAHGALDYSGFAS GLSDASASHGSAWARDNIVRQCISILIITQIGATALYWIFSALSYYLVFDRRLEYHPR FLKNQIRQEIISSMSAVPFINILTLPWFLGEVRGYSLLYDKVSDYGWSWMFISTVLFM IWNDFTIYWIHRLEHHPRVYKYIHKPHHKWIIPTPWAALAFHPLDGYVQSLPYHVFVF ICPVQKYLYMVLFGLVQIWTILIHDGDMISGHWLEKYINSPAHHTLHHMYFTVNYGQY FTWADSYFNSHRPPQPELDPLHEALRVMREKGLVDEKGEPIPKNGKGAKGAKGAKDE MYCTH_103702 MAAEASVIGRERHVPPPGEDGRYTISAEGIKAQFIPYGATLTNL FVKDKNGEDIDVVLGYDDVNYYPEDPGHPVYNAIPGRYANRIGNGKYTLDGKTYFTEK NDGNNTLHSGTNNWSYRVWDVTDLKEDSITFSILDKANSSQGFPGDVKASVTYSVKGS TWNIKMKAEALNHKTPLLLTQHTYFNLDAYHNPDTAKIWDHGLYLPYSKRYLEGDQGA LPTGKILTAEPGSINDFASSADLTVGHARDQPGFEGNCGADGACEGYNGYFLIEDKPS ADAVVLRLSSAFSGVTADLRTDQPGVVLYSCNWMDGSADLKSTQGIKGVNEKVIRSSC IAIEAQDYPDGINHPEWGRTEAQIWGPGETYTWESSWTFGLLEA MYCTH_2308049 MKALGLAGARTRRLFTRQTLFIALAICIIWALGVQFGGNVSERW AELGNQTPDFKEQPKRMPTHNVLPPLAERVACYGPRGRLLGESPDDDLQTSEINGPYP TPWTGNYEETGLDLTVMNVDRRYGPYGYGEEREDYNRSRVDWNQVDWGQLQNDCFERN RHRFPASATRFDDTRVTPPRFALRHVAKVPEVRHWHEFQPTRRTAVVVRAWRGFEYLP EDMYYLRSLVAETALKTGGEYQVILLVDMKDYEGYENDIFASEEAYKKGMEDAGVPPE FQSITLLWTNRLLDSWYPRVEEHLTIWQVFQPMQLLALHYPEFDHFWQVELDMRFMGD AGKFLDSLSAAARREPRKQSLERSSFLHMIAETGDYGEFFRVVNESNKGGSHAWGPMR VREILPIGPEPPVADPRDDNFEWGVGEDADALLTAFCQNANTPNTWIFRDWIYGLRTG VRTPRFYCPPAIQRGSRALLLAIHQAQLDLGIRIPSEATLPSFALWHGLKLSFPQHPV FHRDNDDVENRRGWWRGGPLASSTGLGPDNNDHPRGFGLSFWWESNWAKHVFNEWYGR KLSDKEPRPWIIKEWDGKLWLPNMILHPVKHIKKK MYCTH_2031317 IELEVTLLDKLYNFTDLFNKEKASRLPLYRGIANHYIKLKEGLD RKIPELV MYCTH_2119779 MSTVAAERSDSSAVPAPAPVFDAGRQQKADQILRDAVKATTPRH DWTREEISAIFYQPLMKLVYHAATVHRRFHDPAEVQLCTLMNIKTGGCTEDCSYCAQS TRYQKGTGVEAKRVETVEAVLEAARIAKENGSTRFCMGAAWRDMRGRKNSLRKIKEMV SGVRAMGMEVCVTLGMIDGEQARELKAAGLTAYNHNVDTSREFYPSIISTRTYDERLK TLAHVRDAGINVCSGGILGLGETSEDRIGLLHTVATLPSHPESFPVNALVPIKGTPLG DRKPIEFTSMLRTIAAARIIMPATIIRIAAGRKTMAEEQQALCFMAGANAVFTGEKML TTECNGWDEDAAMFGRWGLEPMKSFQKSAAAPHGVEDVEE MYCTH_2308054 MSYYDIDSILTDAEKIPCTFQLDIPDLGYLDNTPTQPLKAGTKV NLPLWLAEMLAIANTGDVEGKSFVTFDLPPALGNDVIQALKADPRAVPLRDQSAHFYG LATHMMELSEERELATTLRKTFVTRASEIALHARKVGGIGHKGKADEGSNLGVGGAGE EFLRGLDEWERKLFRKAHDGAKAGKEWMESVKKH MYCTH_2308056 MSSTLLRTVPVLRGALRASAAPKMAGAMASTSFVRGKATLPDLP YDYSALEPYISGKIMELHHSKHHQTYVNGLNSALEAIAEAESKGDFSKAATVAPLLNF HGGGHLNHSLFWENLAPASREGGGEPDGALKKAIDSDFGSFDNFRKQMNTALAGIQGS GWAWLVKDKTAGTLGIVTRANQDPVSGPYVPLLGIDAWEHAYYLQYENRKAEYFEAIW NVLNWKTAAQRFEKT MYCTH_2308065 MAFEKARKFSTGTSVHRKRQMSTLVEQQGQFGPSLTTLYLGISA VFADDHTAVVALAIHDTVYLVDFSVKHIILDDAMKMGADLIADYVISEVEKYEHENFS KFVGAGLPTTLKYMSPTLCSRLWLELDIVPIVLRPDDEHKEKSFWDVKRVDEQADSMA RKCIMNFGPSLVPLLQVGWRGVVQTDAGFRAHLTTVQNHKDTCGHATWETMLTFAKKL RGNKTKIAFFSATPQGGGVALMRHALVRFARLMGVDLTWYVPKPRPGVFRITKNIHNI LQGVSHPDQRISAEEKQAVTDWIAENAGRYWFSEGGPLCSPADGGADVVIIDDPQMPG LIPLIKKVTPDRPVLYRSHIQIRSDLVAKPGTPQADIWDFLWSNIQGCDMFISHPIPQ FVPHTVPREKVVYLPATTDWLDGLNKPLSSWASGYYGHIYNIACHSQRMTELNYPARK YIIQIARFDPSKGIPTVIDSYAEFRRRLEKAGITDVPQLVVCGNGSVDDPDASIIYDQ TMSQLETYYPDLLSDVSVMRLEPNDQLINTLLANAHVVLQLSTREGFEVKVSEALHAG RPVIVTNTGGIPLQVKDKVNGFLVAPGDWKAVATHLVELFTDDELWKKMSHAARTGVS DEVGTVGNALSWFYLASKWSEVGVEKHGKGGLMGNERWVNDMAREEAGFPYAEGENRL PRHFTQTKDVPVHPKPEGA MYCTH_2308073 MTLPEKNAPSEAPSTTAQPRHEEYQYLDLVREILDQGELRRDRT GTGTYSIFAPRPLKFSLNRSGVPILPLLTTKRVFTKAIIAELLWFISGDTSSQTLSSQ GVKIWDGNGSREFLDSLGLTDREVGDLGPVYGFQWRHFGAQYVDAKTDYTGQGVDQLA RIVHTLRTNPFDRRLVLSAWNPADMPRMVLPPCHMFAQFYVSYPRGTRSRNGEDEKKQ GGRGADEPVKGHLHCQLYQRSCDMGLGVPFNIASYALLTHMLAHVCDLVPGSLTHVMG DAHVYLNHVDALRTQLEREPRDFPELEIVNDRLDENGERSIDGWRPEDFVIKGYNPHK TIPMEMSV MYCTH_112322 MAALTENQIAIVKSTVPVLKEHGATITTVFYKNMLGENPELNSI FNISSQATGRQQRALAGAVLGYATYIDDLPKLKDAVERIAHKHVSLQVTPEQYDIVGK YLIQAIGQVLGDAATPEIVDAWIAAYGALAKVFINREGEMYKANEAHGWVGWRKFRIA RKVAESNVITSFYLTPVDGRVPLPKYLPGQYVSLKVPVPQLGEGRHQCRQYSLSEGPS PAGEYYRISVKREDGTPETNVPGLISNLLHAKYAVGDEVELSHPQGEFYVDPSDASKE GVPAVLISAGVGATPVKAMLDSLTAPGAVRRPVSWIQSARSSAALPFGESVRQICREH DNVTANVFLRNLSPDDAVGVHYEFGDMRLDLAKLDRESHLFVNDPRAEYSVCGPEPFM LDVRSALVSLGVDRSRIFLELFGTGGVSD MYCTH_55132 MAYKTPISEPIAIVGAACRFAGGATTPSRLWQLLEKPMDLSQEI PASRFNVKAFYHPDGEYHGTTNSPKAYFIDQDHRVFDAAFFNISPKEAEAIDPQQRML LEVVYEALESAGYSLHQYTGEKVAVFAGLMTGDFDSLSQRDELDTSQYYATGNARSIL SNRISYFFNFAGPSMTIDTACSSSLVALHQAILSLRSGECKMACVAGANLILTPENFI AESNLHMLSPTGHCRMWDAAADGYARGEGVAAMFIKPLSQALADGDHIVAIIRETGVN SDGRSRGITMPNWEAQSRLIQDTYRRTGLDPEAPEDRCQYFEAHGTGTIVGDPNEARA IEDAFFGQHKSSASDVKLLVGSVKTVIGHTEGAAGLAGLLKVVESMHHGTVPPNLHLD KLNPDVEPYYSHLFVPTSAVAWPDVPVGQPRRGSVNSFGFGGTNAHAIVEQYVPAVHD PIALSYRPGLKTPTPSDRLRGAEHGQVCLPLLLSAPSQKSLVAVAKAYRDHLIQGPAH SIQELAWHTYARQTAFPFRLAVSGLSLSGLVNKLDTLIAESKDYPNADTLGTRARLRD EQPKILGVFTGQGAQWATMSRGLFLSSKVYADTIRSLDAILRTCPEPPSWTLENEIMA DAPFSRISEASISQPLCTAVQLALTELLRSLGITFHTVLGHSSGEIAAAYAAGRISLG DAMLIAYYRGLGVDMARGADNARGGMVAVGLSRTEAEELCARPEYLDRLWVAASNAPA STTLSGDVDAVGQVREELTKQGKFARVLFVDTAYHSPRMEGPSAKYVEALKGCGITPL AGNNTIWVSSMLGQGQPSRAELAANYWKENMVRPVLFYEAVAAALDTHGPFDCAIEVG PHPALKSPVMQTVKTVMTVEKASSIIPYSSLLHRKLDDREAFADFLGWMWTHFGSSSP QIRQFVSGSLQPELVNSRVVNTPSYPWNHSQKFYRESRISRQYHFKVDRPHELLGVRT RDDNKHQLRWRNILSYHKLPWAKHHSFQGQALLPASAYLVMTLDAARIALAGRQASVV ELRNLEFHSGIILEPDTFGVEILFNLSIEREFPNAIDASFTLTSVIAGGSSDMKKNFS GSLTIALGNPSSDALPARPASRAETLHANPDAFYDMMASTGLVYSSAFRGLQTLERRY NFASGTLKKYHDEDTTSLSISPATLDSCLQTAFVTVSSPGDNAIWGAFLPLEIGCVRF SLATCTIQDRDRDRLVVDAYLTNATPATEQTAASYTADIEIFNPKGDMEIQIQGLTVG CVGLTSAEDDYELYLTTRFDTDPDYEIVSSGPIDQAATNPNLVKSCERVAALYSSQTP TLHHRSLGLWLGQTKTPRPPKPWGAETEETLDSFIRTSPYFVTLDFIRELGKNLPDVL VGMLPAIMEEAHQLVAFQQHVSRVVTQISHKYPRMSVLGLLDTDMGLTEHVLTGLNDS FTSYRLGLEPERNLDARIPLNDPIRRKILVKGIDLNATEPEENSRYDLVLLTSSIIDP QKTASTLRLVGRMMRPGAFLMLVNVSRSPLKDRIRRYAGISTGSDVLPSPPDWPDLLD SCGFGYSMKNGNQYYPPGFSLIIRHSDSTEKISLLNPFANLGHSLLSDRLLVVGGKKK STALISSSICSALAPRCGGLDQAETLEWVDVEYAASFSAVIILSDVDEPILATMTNKR MEALRALFKPQMTVLWVTHNARFLNPDHAASFGFTRTLAAEVPGLILQMLDLTTADTA TASVAITETFARLVKHSLAHRPSGSGPLWINEPEIHLEDGHRLVPRVVPWKEGNNRVN AFRRVVKKTVNTLEKTVRIVESAPSGEHSTYYHIEAEQLGAWVLDMSTGSSQLSHLLM QTMPRNCRYTACKALLGTNRLTSDEKDAYSAKFWDKAVALSLAKAESRETAPAPALTT ISDLLRAEEPSPPFCLVDWKSDRLASQTVKPLAGTGLLSPTKTRNPPKTQPNWQTETM AKGINVRFETLDVTSLEQVLELKAKLSETLPPVGGVVNGAMVLEDGVFSQMSLDTFHR VMKPKTIGSRNLDQAFSAADMDFFIMTSSFAAIGGHAGQSNYAAANMYMNGLAASRRR RGLPGSVLNIGVIYGLGFLHREKGCLYQGLEREGYPPISERDIHHMFVEAIVAGKPTG PGRQRAEEEVYDITTGLRRFPASSPTLHWHHDPRFGHLNTRRDDHDDDDDVFSGAPRT VANASTAAGASAAWAAGSHHKNDEGSLRQRLDAATTHDELVDVLEPAFADEAPGSGSV TAEHSIVDLGVDSLAALSMRAWAWKALGQDVAAMKLLSGATVAELCREIAGGILEGRK AARERSEQIGEADDGAAAAAAAAAAVEENGSEVVVATPGSLTTITTASFVSGSVRETT ESTAVSVSSNTEENSQVEGRCGRRCCGEVIY MYCTH_108836 MTIPPSERVVPGSVNLAPAPWPASATTPAVSVDAPRVAADLVAA LNTALAGGNYAAAADLFLPDPGPANGDGASPSSFWRDHLVLSWRLRTLKGRDKIREFL EAQLGGPGGGKVVRFQVDSSSEFRRPQTAEFRPLGGVTGVQFFVRVEREDGVAGRVGR GVVRMVEAEPGVWKVWTLFTTLEEVKGSEERKGERRERGVQHGAIGGRRNWAERRRAE SEFVGTEPVVLIIGAGQGGLTAAARLKMLGIPALIIDKNSAVGDNWRKRYHQLVLHDP VWYDHMPYVPFPDFWPIFTPKDKLADWFEAYVKALELNVWTESEMVSSSWNDAKQLWA VQIKRARASGQEIRTFHPKHIIIATGHSGRPHMPSIPGMESFKGDLLCHSGSFPGAKE GRKGKKAVVVGACNSSMDICQDYVEKGYDVTMVQRSSTYVISSETALKVTLAVLYEEN GPPVEDSDIAVWGWPSEVLKSLQVDLAAISVARDREMLDGLDKAGFKIDMGPSGGGLF IKYLQRGGGYYIDVGGAKLIIDGKIKVKHGQEISQVLPTGLKFEDGSEVQADEIVFAT GYDNMRTTAKEILGDELPDQVGDVWGWDQEGEMRTIWTHSGHPGLWFHGGNLAFCRYY SRLVALQILARLKGFET MYCTH_2067358 MVHVGLILALVASLPRTVAEGPRQQLQASLNRTHPELPRLMLYH QTTHDATGRPISLLPLVTKQHIGLTHLIVGAFHVHANGTIHLNDHPPWHPRYSTLWAE TRILQSPSTAGGRSGGVKVLGMVGGAAAGTFAADTLDGDGAAAAAFEASYALLHEAVR AHTLDGVDLDVEEPMTLRGAARLVRRLRADFGPRFVISFAPVATALLGTGAGAGAGTG TGTGRRGRGRGRGGAGNLSGFDYRALERAVGREIAFYNAQFYNGFGGMADTRLFDAIV GEGWEPDRIVIGQLTSPENGGGFVGHERFARTIERLRRRHGEIGGVAGWEYFNAVPGG SSRPWEWAESMTRILRPPGTEPVLRITREMAESLSRAWMVSAAEGGGGGAGGGAGGIS SASGLGTAEKRVGLAPNVDYMAMVNA MYCTH_2308076 MGRPSGVVGRTQLKQDDLMRIQTLSRDARMGPSEIRRVTGYSIH QIKYALKKKTPTVGKRSGRPRKGEVAPRKKKAAEAGAAGDGTAAGDGQIEQLGPPVNQ DESAEAGEGSGELSVELNNQAPGQVGPQELGRLDDGQQHVPDQTHQQQQYQQLQQAQQ SQQLQQQEQQQRQPDQYGQAFQPPQP MYCTH_2308077 MGLTYLADHILPHPAPDAAPATLTTSVVPTAAAAAASAAGPADA ATTTAAAAVADGLLGDEEDSELGQTTNFRRWRVRRQFGKGKGKGKGKGKGKGKGKGKG KGKGKGKGKGKGAGGAAGGAAGNGTDVGTGGGGATGNGTNVGVGAGGNAFGNGGDEGT GTGAGTGFGSGAGNGAGTGTGSGSGSDAGAGNGTDSGAGTGFGGGSATDGGNDFGAGT GSGTGTDTDAGTGSGFGGGTGTGADAGF MYCTH_2308079 MELITIFLCLATLLIAFPGTSAVAPIRNDRVLDELVVPDTYIVK YKNGIDTLGRNEHEKDVNSRARKGSRRGILGRFDVAGLRGYVAELSTLDLDTLASFDL IDYIEKDTVVKAAAVAAYPQPARRATVEQVNAPWGLARISHRSRGQTDDDSYYYSNTA GSETYVYVIDSGIRVSHEDFGGRAVWGANFVAASRDTDEAGHGTHVAGIIAGQTYGVA KKATVIAVKVLDRLGSGSSSGLVQGLDWAVRDARERGTANRSVINLSVSGPFSQAIND AIQAATDAGLTVVAAAGNQGKDAWDQSPASAPSAITVGAIDRHDNRAVFSNWGESVDI FAPGVEIESAFNNSDSDHSLMNGTSMACPHVAGLAAYFMARDGISGKEVAQKVLETAI TGVGDRRMGADRIAYNGEAE MYCTH_2067632 MLVLFLLGLTAAASSRKRQATGEHTMGFIGCSMAENIAQGYVAV GGTHMWGPYGTGGMVVQSWTDTNSASWRLFDQQAARYGRPAEVWVQICIFQNPGATYD EVERLVANAREHAAPGARIWITGQPLYPDNPSSCFLAGPAGPQLTVDLAKRAAADASL NVTYPGEFVLMRNEVQDGCHANAAGQRSLGEQALAFWG MYCTH_2308084 MVGVPGRSKACITCRKRRKGCDLERPACSQCRKAGLQCEGYSAP RVFVISTPERRRAGYSARRDSPSRPDADHATEATTTTTVTNLRLLARPEEERRCIDLF WEAYFPAGQPIPRAASRSYVCAWTETARRFYRDDDSLRHALWANCLLTTGRRHGAAWM LREGSGAYGKALGDLRRSLQASSHRVRVDVPIATIKLLAMFEAFSQQGGSEPKAEDAQ QHWQRHYAGELALFIARTPVAHVDGELHDVFADERVDMALSAVLRRERLVLSTPEWKT IPWQAIPKDYKDILVDALVDIPGLVEDFDKMRLCAEEPRRSHLRLELVRKCRILDRQL QTWVGLLTRLGAKVEEHSCLDPTGKDLVTHVAQVHGMSLYWAASLVLYTILRLVSGPR ARLPEHADPAEHARNLVAAVAILLEPSSRLYGQQNAALPLEIAWQYANALRSVSPDSE ALLGTIRALRRRLHTGAAAPVSDGAPIPASTSG MYCTH_2308086 MVRNQTNCVSPSTLSWSATSCAVSATARTVAFCGRRRRRRKDQS NVGARGRRRARGSETHNYRFREEES MYCTH_71222 MALHQFDYIFAIGLIFAALDAWNIGANDVANSWATSVGSQSVTY LQAMLLASAMEFAGCVGVGARVADTIRTKIVDTSLFVDDPALLMLGMVCAVVASSLYL TFATRFGMPVSTTHSILGGVIGMGVASVGAKGVQWVGSGSGTSAINSGVVQVFLAWII APGLAGCFAAIIFLLTKYMVLIRSNSALWALRVVPFYFALTAMLLTMLLLWKGGSYEI HLTDPEIAGTIVGVGAGFGLLVSLTLVPWMYRVVIKEDWQLRWYHIPLGPLLLRRGDV PPPPEDAGPVVKNYYEGRMTKEEFEARKAAQRGDVEVVGGDAAAEKTASAEGAVAADK SATAEGSDAASAERPNRSPLADVRSAPKKLVGPKPEGKWYEGRVLFWYVKWALLRGVD QEVVNAKSTHNMLAKNIDEVHAYAQHYDNRTEYMYSFLQIMTAATASFTHGANDIANA IGPFATVHQVWNDGALPAKGKSDVPIWILCFGGAMLVIGVWTYGYNIMRNLGNRLTLQ SPARGFSMELGSAITVILATRLKLPVSTTQCITGATVGVGLCSGTWRTVNWRMVLWIY FGWIITLPVTGIISGCLMGIIINAPRWGYSG MYCTH_71219 MERKVSSPMAPTFMVSAPGKVIVFGEHAAVFGKPAVAAAISLRS YLLVTTLTKSRRTVTLNFRDIGLNHTWTIDSLPWPVFHHASKKKFYYSVIHSLDPELL NAIKPHAEAVSRDLPEKQRRMHVRSATAFLYLFLSLGSSQSPGFIYTLRSTIPIGAGL GSSASICVCLSTALLLQTRSLAGPHPDQPLKEAEVQIERINHWAYVGELCIHGDPSGV DNTVSSRGKAVLFQKNTSGPSSVTPLVNFPKLRLLLVDTRQPRSTATQVGKVRRLKDS HPTTTGLILDAIGQLTASALELLSSANFNGNGTCDALDRLGR MYCTH_2308094 MPNPHGSPTHFVLKLYDRRFGTFLRSNVHGQVVPHTQEIEAAFR TFVMKGTMLAFLEWLEDTNKTRDMPMKPRHFLDDADNGPVKFEAALWAKCHENFERET QAYTRLRDLQGKSIPRMLAHVCLAATDDEPVDALKEMSPELVPYLEVNGILLERIDGY ELEDMTASPLAPPTHEWQRIVQSAVNLAHDINRRGIVMQDCDPRNVVVHEVSQTPHFV DLAECRFRDEMEKYWHEWEWDDDEDWDPEIEYWKFVDDYDNPKDIGAPMVTRAKRERG VDLQVEYPTCKALISHIRHRKAEVARKW MYCTH_2128713 METYLDKANPAGISVCTWGVETWEKLHGEGRALTKHTFHIGFCL IDGGHYSCVLAHTVQGQRAVEGTIVNWSADKPTPREVLEFYKNAQLSVPDRQDFQPRE YAMGPSGFIHAGVSLVTVDSALVEGAGDGGPGPAQGGCMLQVANGSPALLRFASFDAF SIPYLAVYFFASGGVSTAGLRPVTVAGYSIKKEQERAAILPRNPGLIRASYRLGSKCR PLR MYCTH_2037479 WARVAAKLPGRTNKDCRKRWINKVCGNLKRGAWDEDEDQRLRQA VSKYGQRWTLVASMVGSRSSDQCAKRWQHRLDPRLEHEDWTPEEDDLLLENVQTYGRE WKFIQEEDFPTRSSNELKNR MYCTH_96206 MSASYTRDILVFSGQGSKQHLVDSGAPDSLIALLGEKQKVAYST FLRRAQDALLREYSSIKADSGSLGHGNQVEEEVFEKSEHLLVPPSMLQSHPVFETISL YTRHILELMLYQSQQQQQRGTHVVRETTGICTGALAAILAAAFSSYDSDDFVRAAVEG VRLAFWIGVRAASLSVERERLEESSGSSSCVLGVFGVTEKRMGELLKGYYAEESEDRH GNVRISAVFSDVALSLSGDAADLQRVKTYLEGRSIECRWAHIHALYHGGSKSKRAVDA TLSDAARRNIAFPGRKSLHASVISAADGAHMGSGSDSAAADPGGPEETKTNILLERAL RNIFIDTVDWKGTSAGLRASILDRLEGDSSAAYRIIGVGPGSRSLLDPFRGDSAHPGL GVIDNLAESLAQPAQDDIAIVGLSVNFPGAKGQEQFWQLLASGLSTVAEIPPSRFGVH VQDSDEAHGRSRGNGHANCLDNSFDFDPAYFNVSPREAKSMDPQQRLVLMAALEALED AGYAPDSTPTFQRERIGVYMGVATGDYVDNLRDDMDVYYSPGTLRAFIAGRVSYAFKF GGPSMVTDTACSSSLVSIYHACRALRAGECGTALAGGVNTMSSPDMYNGLARAHFLSP TGQCKPFDAAADGYCRAEGCGLVVLKRLADAVAEGDHIYAVIRAIGVNQCGKARSITH PHANTQASLMRTVLASARASPRSIGVVEAHGTGTQAGDAAEMASIRSVFCPRPPDQPL YVSSVKGNFGHSEAASGVAGLAKLLLMMDRRQIPPQTSFVNLNPKLPANRPGEVTIPT RMTEWKEPPGQPTTPRRALVNNFGASGSNAALVLEEYVVPPPRGRPHSTNNNNNNNNK RSHHLLNISAKTAKALEAARRDLLAFLSQNPDVGLQDLCYTANARRQEYPTHRWSAVV SDRGDLAEQLGGGHHHPAKGATTTTATATAGGGLGLGLPAKTVFVFSGQGGVYAGMGA ALLATVPLFRSIADRCDETLVARGFPPVSPFLAGSAEASSSSSSSSSSWSAEDQIIIS QCACFVLEYALAETWRHWGVTPDLVIGHSIGEYAAFAFAGMIGWEDALLLLAGRARLL ATRCPPQVTGMVACRLSRAAVEQLLADKADELAGITVSCFNSPSDSVLAGPVDSLARL ARHCKDNGIRHKRLDVPYGFHSPALEPILSDHGRAARAVPFRPPSLRAGSSLRGRLFG QSETVDGDYFVQHAREPVNFSGVVEDALPELAGSHPTFIEIGPSPSTESMLKQIINTS LSYTFLGSLTPTRAAWDSLSSALRELYLRRYDIKWRRVYDGSQAKFVRGFPGHPLNLA TYYVPYKPPSRQDKLLPGFFSGPQQPPPRPKYAFLTDVSPAVEDGSATRYASTAMEPI KKFVDAHQVGDVPLFPASVYVEVVAQALAYHASLDIASNVFMFENVKFEHPLVCGDDA AGGSSRQVIKTALNMQPVEGQSYVCSSGSGDTLCAGGVRSLEVGSQAVVDILARRRGR VDRLRRSVDGAPRSLAESFSDRTIYHVIFPRVVRYDKPLMTLRQLTTVAAGSEGHGYF TLPLPPNPGRYVSSPALVDTLLHAPGFMANTLVDADSACICVALEQALVPSDSPSLHR QELQVYCSLVDIEHSLIADAYVLDAEGSIVGYVEGMCFKKLKLKPFKAHLSRQLARRR PAAAAPAPAPAPVPAGPSSGSVGGGGRGRPVAGDYTTATTKTTATATEISSTVCSIVR GLCGVDYEPTEATTLAELGLDSLLSVELSHTLAKTLRCTVSPDQLQECSDLAQVVQLV AKNSPLQHQLQLQQHEQQQQQQPTAPPTTAAPSVLQFTPATSSLDSSASSSFQPDQPA TPNTEYNNIATSSTTISTTTTNSNNINSNNNKPTTGNAGSAAAATSLPEFQSLFLAVC GVLPEDEDDERSVPLSALGVDSLLSIELCQALRDKLGVTVEDHDAVGDLTYRQLHDMC ACAAATKLSPGPASPSPEAAAPSPPPTPQTAPGIRQLQKRGDGSGRSGSGSGSGGPLY LFHDGSGTCGMYARVGPLGRDVYGVPSLRSDAQTLEALAADYIRQANLGAPQGGPLIL AGWSFGGVLAFEIARQLGGAVVKGVVLIDSPAPVDHQPLPAEVIHQVVSGRRSASASA LASASAREMQEAVEANFKRFAAMLGDYSRRHHHHHHHRQSPSAGRSSSSSSSSSPPPC VMVRCVKTMDRSALGGAHYPWLGDPAEADRSIRTWERLLGRQLPVLELHCDHFSPFEP ENVGELTQHLRTACEMLEDY MYCTH_2018746 QITSLLDLIEFNAEHNPDHVFCLQASVAPDRGPAVDTDLGRPAF ESRTIRFRELDSAVATCAARLSNLRQLDDQGKPKTLALYLESDVGLFIHLAAVLSLDV PVLLLSARLAAPSVQHLLDRTGCDTVLVSTRTRPILAGSVADHVRLQVAEPYLAFLED SRDGNSINGNTNGSSNGSLIKTGRETRPWKSGKSSLILHSSGTTGFPIKPIELSSRYS LVYAACHEFPEEDQIDWTNLSTLPLYHGFGLLAPCLSLSIGMTCCFPPSSIIPAGRST LALMEEFDCRSLMTVPSIVGELLAVGGGAIAPEHGTRLAEAGIRILNHYGVTEIGAIA SIFRPGPDYNWRYLRLRTDLNLELRPSDPSSSSSPSSSSSSSSSSSGNRWRLVGWPIG WDGRPFEIQDELERNPDAPPGRLKVRILGRVDDVIVLKTGEKVLPLPLESALNAHPAV KTAVCLGQGHFEVVVLVEPREEEQQQQQKEEEDLVNAVWETIAHINPTLDRHARVTSK AAVIVKPAHKAIPRTDKGSISRRLVHDVFAEEMRAAYAAVESEAGGGGGSLLGDVESG LRKMVAQVFTQGDAVDVHRDLFEQGMDSLQALRLARLVNSAVASVRPDQGGQSVASAE FIYRHPSIAKLTKAVRELLPQDGLSSRDEGEEEEEEERGARMRSLASAFAASVTKIEP PRARVLLTGPTGGLGVQVLSRLVKRADVDKVICVCRSSAAGAGAGGAASRLRAALSGA GISLSESERDKIETVEDSRLQSDPATASRLAGVVTHIVHLAWPMDFHRTLDSFEPHLR MLTALIELGRSASAHAADPARGRRQGRRRQRPVRLVFASSIAAVRHHRDGPRVPERIM DDPATAAPIGYAEAKWVCEHVIASTADALRDEIEPVAVRIGQLSGPEHTGGIWKTGEH VPALVKAGQKIGALPSLRGTISWIPMDRAARALVDILFHGERLNTPILHLENPVRQPA RDVMDIIAYELGLRGPNRLLPYDEWLRRARETGTIDSLVDFFENHFRTLALGDIILDT TESRRISETLRRSGGLGADLIAKYVRDWTKQGFLA MYCTH_2119794 MATKRPDYTWVNGYQQLPEHPKTNGSAVENDVPADDDPTAYVTP PSVELVTSPAHNHFGVNVIRSWPTMYDGTNSPHGIPEWWKPKPQVDVLIVGAGPSGLG LAATLARQGVSFRIIDKADAPLAAGRADGVQPRFLETIGKWGLASEVAEEGPIIERTA MYKDGEKLIFNRSHQSDSRYRGLHVITQGQIERIYIRDLLRHKAIVERSRTLSAFEVL PTDGSANDEPYPVRATVRNQKTGEEEAIQAKFLVGSDGGASSIRKSLGIPFDGHGCCA IIPREDGYIRLYTQLDISHTGPISQSRQARDPTFAESGGKVEVHSITPEEVLEQANKV FAPYKLKFGAPLSWFAIWKISERVARTYSSPDMWVHLAGDAAHVHSVLGAFGLNASIL DVCNLGWKLGLASKGLADHKTLLPTYTSERRKHASRIIRVSGEYLRFISGSTLGVPDL DEPEKLEAAQIDGQGQGPVSGSNGVHSGHNFVGRFFKTNGQFLLGVDCPYDESVVAPR RSVDGSSGRAAAIEVRNGVRAPNPRVCFETEKTGYLYDALSGAGRFHLVVFASSLQGA EVRRRVGEFARSLVDPEGFHRRFGGETLFQVVLVVKLMPWEFEQFEASDAGRELLAPL RRFASTVVVFDDRSPDEDAHTTYGVNHRTGAVAVIRPDLWVGASAYPDETDKIAAYFE GFLTA MYCTH_2066662 MNGTNGTQAKTHGGALDQTSIQLLQLSGLIQTWVANYIAAKNDP GAESQGSLPSKPLYDARRTLLAAAGMLTELVSDPSSRIIEVALQQFEARSLHLAAATR VPDLLAERGEMGIDELSARVGVEKKKLSRVLRCLCSIHLFSEPREDVFANNRITAALV GNDPLRAYVLLGGQDVYTASDYLPRTLRDPVKGPSYAVEVTPFQDAVGGTKAPRWTWL EERPTIRDLLDGRNGPDGKPSPYPGNFGTEIRMLAEKVAAGHSDQERVPRPEHGLFGL AMVGGGRVFGEAHLYDFPWASLGSATVVDVGGGMGGFALQLSHIYPDLEFVIQDRGPV LEQGETELWPRENPAALRAGRVKFQQHDFFDENPVKGADVYWLRYIIHDWSDDYCVDI LRAIRAAMGPRSRILICDQVMNTTGGCAELPSAPAPLPANYGYYTRYSHTRDLTVMSL INGIERKPTEFRDLVERAGLHLNRFWECRSQVGLVEVVLPDSELRRS MYCTH_54712 MYLSEEWLAYERKLGFRPVIIGTAQEIRTGYNSLAQTIGKQLPP HDPTLTTVSAEGHQVAVRIYKPREATERKLPIGIYAHGGGFVAGSKWERDTHNCRYIA QNTPCILVSVDFRLAPEHPVPAQVDDVLAGYLWTRENIDALNGDPAKVFLIGASVGGG LALSVALKLIARGQGGQVAGIVALAPITMHPEHVPDEFRADYTAYEENAEGPLINRAA MYVFNSINGCDERKDDPYVFPALHPLLGSELPPTYISTCGADPLRDDGTVIQRALSRH GVRCRLKNYEGLPHFFWIYPEIPTGDTFRADTVEGVRFVLE MYCTH_2308099 MGQQTRVAVVTGTNRGIGLAILRTLASGWAGPLVVYAASRSGTL PSGVSAQPHVKVLPARLSLRDPDSIEALASRVAKEQGVVDVLINNAGVYHYREGISDE ERAETLETNHRGTLRMCQAFLPLMRRPGGRVVNVSSQAGRLRWFAPHLRPRFLAKDLT LDALDSLVREYDAAAARGDEVRLGWPAHAYSVSKAALNASTRILAKEHPGVLINCCCP GWVSTDLGAQAGPPPKTPEEGARIPLHLAFGDIGDVTGRYWANDSTADTGVGKVQQP MYCTH_2308101 MESSGNENSTPSNASDVADRPDLLEKGVNAADPKSPEAEPEEKR AMTGFRWFAFVVSTLTAIFVYSLDNTVVANIIPVCIP MYCTH_2308103 MGKLYAIYNPKWVYIVSFIIFLAASALCGAAPTMEAEIVGRVFA GAGGNGIYYGLLALLSMHTTTKERPQYLSYTGLVWGLGTVLGPVVGGGFALYDWRWGF YINLLFGAILLPAYLWAIPSTAAMPDKTQWQKLVMLDWPGIVLSVGAMVTLVIAINFG GVDWAWGSGASIALFVVSGVLWIAFGVQQTFCIFTDKEKRLFPSHLLRQRMPVLLFVA CASVASVAYTSVYYIPLYFQFTRGDSAIYTAVRLLPYICVLITAMPLSGWYLSNYGWY KPLYIGGSSIALLTSALMAHYVDRETAVGIFYVIELFLGGSTGAYTQSSFAVIQSAVP PAEAGNGLALMLISQLGGMTLGLSISGAVFVNTAVRNLEAAIDLPRHELTQLVAGASN NLLETLSPAMRIQILDIIVESWRKAFIIIYAGAAASLVAAIFFRNGKANVVAAGGL MYCTH_2119798 MSAGSSGLIERLPCGNVRKCIYSDRDYEACLRDLEREFRVYQKL PQHDRLLQLIRHSPKEGLVLHLHLFHSHGIIHGDLKPENLLLDSNSRLKIIDFSGSSF DGIVESAIESTRFFLPRPLKEPPTPYEDLSDDEVEARYSQQIFPSVQELPCGQVIMDC WRCEIQTAEEVMMRLKGEMESALKASDLACSPRIAPPTKLP MYCTH_2308106 MTAPETTTTVRGSCLCGKIQFEMNLAKENNCLCFCNSCRKITGS VGMANSWCKHEDLKFLTPPSLMSVYEDKSPDSGGTIHRGFCGTCGSTMISENRKLFPG HWIVPVGALEFDPLTTGWRPGQEFYCKRKMPWFQTPDDTVKYHELFQRDNGNA MYCTH_2111801 MAVPAGPDPKRLKGQFPDRQDIYDVFRLFYYPPEGTVRYFDYVD DNVDWQVTGQSRFSGHWYTKKDYYDATWAKINLLLEEPGYKLETGEIIVDPTTGWSVI EMKTVGVKTKGGVPYNQHYSWHCRWDKNGKIVEAKAFLDLDHLEKVFGGEQARQGIAS K MYCTH_2128726 MADKGTWPWNLPEVTPEQLLQEYHSHRDKQPILYNEAIDKNYSA EQLPHNFTRVVEGDMIPVTNHAAQQLAKRCADLYDKFVASSRHHHKCEDTEEGPAMNN NADAEATTSNDDENIDSNIASSQILHITTSDFIEFTTLFHNLSCLNQASHAGHSCLNG HHDQRQLHTQHDIAPSSRDTNLPFLHHETFPEEAYQTRSPLAQDEPDAPLYNKDLLRA QQPVAAAAAAAEVQKQGIEHGGLQHQQHQQQQPSPSHAMATDTTTSTTAAAAALEAVL ARVRAIRRAVEGGSAAAAREGLRWLEGEVERMLEGCAAGRGDGTTSEDENRSGGHLDP RARVAGQQDDAELELDAWLDLSRFS MYCTH_2111803 MLDEDYEVYRNSWKEGVRCGEMTVKLDLCSATQVFQRKTTCAEW AEATSGRKESCCSSVAARTITFPFSVMMATTRPKRPPSPLRELHQFMLADVSALVEKY GPLIRIAANKVLCTDVDTIYWISSVRSDYRKYSGKGVAQFEEVNFMNLDEKTHFYALD AIGEIAWNDPRTIRKWPFYYLLPNDGSETGFGAIVCNAKKIVAKRLRPNADPKRDMLE SFMDQGLRGEELVQELDAVTVAAAASDSGPTAASPVPLLRDAQARPLPYLQAVIREVL RLFPPLCAPPVYKEMPRGGDTLCGQALPGGTLVATGNQQWQAGRAIALVEARKVIGEL VRRYNWSLANPLDPPRIYNNVVWVVRDLWVKAERR MYCTH_2308108 MRLPPPGPPRDKAREKRLLDGLVHVLTDPVCKTFPNSLGCTAAE PDPDPSPDPAPAPTPTPTQPAVTSAPVTKPPAQSSPVQAPQPTTNPQKSEDPVGQQPP RPTETASTGSGKSDGPGKSDGSGGKSDPPAGSDSQGSGSNGDSSGSGSSDRGSSKTEP GTTDGNSGSSSSDGTSGTGPNAGHGSGSTTGGDGGSNNTGGDKSAGGNGSGSEIGGGH DGSQDSGNTPPTTHKQGDGEPHSGSGPATGGVDVDDDGVPVFPQKGTYNDTPTTPGGA TTPGETATPGSLTGDGISHTDWGNLAAGNDATHGSGTNSGGAGSGGDGIDGSNGNGNG SSSDGASHPSSLPGIIGGVVAILVLLFVLLALVLYKYRHKRRVQAFLAKYTPFRTSGY TDIEKKRSSIGAGLLFTDGSHGDVLMQQNPSTFGYGTMVSSAVEQPHPAATPRERPDG PAQILTTTLPARRPGSPGSPLSPFEVSPLSPGFPQSPPPAVARRSSQESVSGVSIASS GVFSPSLLSWPAPPSAAPSTTTSPPPSAHGNLADLAAKYKPLTPTKPTDPLNRSSIRA VSPAPASPSTWQKPADWD MYCTH_2308111 MIAAETSLFNIPSTSLMATTRENAAPTPHRRPRLNSTNDKPLLQ IRSHSQSRLSPSQRLHHRTRGGALPRHPRAVLQRTWVLPLALTLVVIAVYVADPNESN VAHKFLFLSYKLDDQHEHVQYGKGPRDIAFVSFYTVFLTFIREFIMAMVLRPLARYCG IRSRAKQARFMEQMYTVCYFAFAGLLGLYTMKQSPGLWYFRTRGMYEGYPHVVHTAVF KFYYLFQAAYWAQQAIVMALGQEKPRKDFKELMAHHILTLTLIFLSYRFHFTYIGIFV YITHDISDLFLAISKTLNYLDHPAQYATFALCIALWVYLRHYLNLAILYSVATEYSAV GPFELDWAAQQYKCRVAQLGTLALLAALQGLNLFWLRCLLRTAYRYVVSGVAKDDRSE AEEEEGEAGAESKVGEGMGGLEGNKSQDLR MYCTH_2066488 MLRRRGGWSGSGTNRGGGSRPGGRGGFAPRPTKVCQHFLRGRCN YGASCKYSHDHEHVKRAREEEASTPSETHQAREDYFDFKRQVRRRGLFISPAYSNMWM EVAETWNLALKILESPNREWHQSVARDLADDEVGGPDFIQRTIELCTTTLGDGNCLKL ARAFLKVITHQSMLRSLSIDSFLGTIYRMVAGSNGNRGIVFFSDLNRRLSRSSESPRS FLALVVLSLYELFRRERKGLLNDALYSLLDALREKAARLASLAEEAGPDSVDLDAVGM QIDIIRRMMDGARGGLSEGETAQAINTVGNTGAIGIRSTFPSEVVVPGGKHDNDFADI TKIQIFPTLGEITCDVSEYLPTTDFTQPHFLRDPVRRHIDSAFRLLRHDIFGPLKQVI GALLAQRNAADAVSSNRFITGNIKAHIYSGAGLQHVLVDKRDGFEAIASFTTPPQVRK LTLPDQQRWWQASSRLEPGGLVCFVSARGNEKSFLMFVVTEKNTGEIKEGRNKSTLVS DRFKPAVKVKLASETRKNLAVLNRMYVDREEGLLVELPGLIPDTFVPILGNLQRMVKD GDLAFRQWILPSSIDNADDQPSARIPPPAYARKPGFKFRLRTITRDGQPTLSVNPAEC TGDIATPETLEAATGLDPGQSASLIAALTREYALIQGPPGTGKSYVGVQLVRVLLDHK HEANLGPILVICYTNHALDQFLKHLLDVGVDKIIRIGGQSRAEELEGKNLRVVSQETS RTQVEQRILGKSYSEAEASLEKAGHHLKRVHQARRNKPGWRMLEPFLSRRYPRIARQF GSQWLDEDGFELADGRDPRWALAGSWISELTELQSDRVFEMVSAARHHREAIQRVHDD VSCRTLLRADVVGVTTTGLARNINMLCRLGVKVIICEEAAEVMEPHLISALMPGVEHF IQIGDHRQLRPQIQNYLQFSMETAVGRAYQLDRSQFERRAVGEPGLRALPVAQLKVQR RMRPEISRLIRRVYPDLVDHDCVKNLPSVVGMRDNLFWLDHDHPEDGKDDGARAKSHS NAWEVSMAKALIQHLVRQGEYKSTDIALLTPYTGQLQKLRASLGSDFEVFLSDRDLET LAKEGFEETPEEEPGTENTGLGLRKAVEKKRLLQTIRLATVDNFQGEEAKVVVVSLVR SNSNAKVGFLRTENRINVLLSRAQHGMYLIGNAKTYENVPMWADVLQQLRAGNAVGAS IALCCPRHPDTQLLCSEPKDFTIKSPEGGCSLPCDKRLEPCGHRCPAPCHSQRLHDAF DCLEPCPRLRSTCQHPCPKLCGQECGPCNVKVDGVKLPCGHVRDKVLCHQTLDLKSIR CSQPVVKEVPGCGHSVPVPCYRDVESEMFRCPTECIGKLECGHRCPGTCRSCRTLLDG PGGGVVVFRHQQCNKRCDRPYGTCNHRCSKTCHQGESCGTCPERCEVRCPHSRCHQEC REPCTPCIEKCAWRCEHRGSCSLPCAAPCNRLPCEKRCDKTLQCGHRCPSFCGEECPQ QLCQICCTKRDARVDVMEFKMYHEIDLDETPIVVLGCGHFFTGETLDGLVGMKNVYTT DNLGNYNGLRELSGELMSIPTCPDCRVPIRQFATRRYNRVVNKAVLDETSKRFLVGGR EKLAELEKKTALVEKKLSDSTSDTMYSPDVSLGKKISERYKPAIQLEKESAALRKSME AEHQPTKKLFDAVLTFQRLQRERSLEEKLRDLTLSEPQIATPELVVYDRQITLKAHRL QLRLQEAMLRDTFILLSRLKNSAILANIPVQHPDKRSAAFLKQCRVLIDSATSAKLPR LVIETILSYARVAELDGWYRRAFIMSETAAPTGNNNTGTGTTTTTNNTAAPLASDATG GSERTSNVQAGEKTSSSRETAIGLLDRALDLCATIPGPDGAAYRAEVEETMRLFAEPH YEAVTPAEIAAIKAAMVSGAGGLATHSGHWYTCRNGHPFAIGECGMPMERARCPECGE VIGGTNHTPVEGVERDTRMELA MYCTH_2068129 MEESHLKLDGHDGVPGVKEGPATEHHAGAHDDFLATHPSSRPDA SAGPEIHHRHQDSRRHHKPRKIVLCFDGTGNKFHGDDSDSNILKIFRMLDRTSSDQYH YYQPGIGTYVVSHSLSHTGTVARVKSWYIKAKDSAIGSSFDQHVVGGYRFLMRFYNPG DEIYIFGFSRGAYIARFLAEMLDYVGLLSHGNEEMVIFAWKSFSNWQSRQANHTPEGI KKKKGMYEFMKGFRETFSRPVRRIRFLGLFDTVNSVPRFETAWMQRSKFPYTARTSAK VIRHAVSIDERRAKFRQDLIYQSHDRCQKSKHHGSAYNKLREIREAHGRGSRVANEKT PDVRGRRRRAELDVPDTPAPAPYRPRSRSARSHQTAESVFHDGKSVLSVAPHPHDEDH DVESAAESEDETDQDIDEVWFAGNHADVGGGWEVLADSKPASHVPLVWMVHEAMKAGL NFDPDKVREMGCAEALDDITCMTSADARENGQRATDGAAERPPIPDIVVRSPNTSTPK LFQRAGFGKNTNRATNSTSNSSSSSSSSAGEPPLTFKEMMHRAYVARIHDCLKFGGGL SWSSVLGWKVMEYLPFRRMDLQEDDTWKPIRWPLPCGEVRDIPANARIHSSVIRRMQL DGSYRPGNLIIGGGGRGVRKAPEENGIGDWVCVDREGCPIGEVWMRRDAFEAMQQNGY REKKQ MYCTH_2094824 MARIHNRGKANGAVGVDSINANSTASVDKAEKLASKAKPKPVPL KLKPTKADRKGVANAFERHAQVIHAKVEPPASQAGAGTAPRRWGKLSTVLKTLRSNAP DWKTLKTMILKKVKGELLTDDKTMLMEHIIQLVSNLPSDSKLRVELTNNFLSELWSSL EHPPPRYLSDKYKYRQADGSYNNIMFPQLGAAGSVYARSVNASVLRPGALPDPNLIYD SVMKRTEYKKHPNNVSSILWYWASIIIHDLFWTDHKDMNRSKTSSYLDLSPLYGSNQE MQDTIRTFKDGKLKPDCFADKRLLGMPPGVGVLLIMFNRVHNYVCDNLIAINEDGKFT PASPNLTGEEAATAWKRYDNDLFQTARLITSGLYINITLLDYVRNIVNDDKWIEEFYY DLFGKPGSEVTVQDLIMGFAKFESRLPEDPLERPFNGFKRGPDGKFDDDDLVECITSA IEDCAGSFGARNVPASMRAIEILGIIQGRKWNVAGLNEFRRHFGLKPYETFEDINSDP GVAEALRRLYDHPDFVELYPGLVAEEHKQPMVPGVGIAPTYTISRVVLSDAVCLVRGD RHYTVDYNARNLTNWGYNDVQYDLSINHGCVFYKLFIRAFPHHFKYNSVYAHYPMVVP AETQKILKDLKRDHLFDFSRPTRIAQDVECTSVEAARRVFASPDKYKPSWHASVDALA PEGKSKLSADAAIHDRHRREVSQPLATADFVSQVKAFYATVTEQLLDSQSYHLGGLKL ADLVRDIGNIAPTRFVSALFNLPLQTEDNPKGIYREHELYVVLSVIAEAVFTNPDPVK KFPLFEAAKTVAAQLASAVERTVKNPKAKKKDPLSTYGARLIKGLSKAGLNTHDITWG HVLTSSAAIAPYQAKLFAEAVDFYLSPRGAPYLEAVRSLAAQPASEQADSLLLGYALE AVRLSGSTKLHFEATAADSLPAEDGTQIQVQPGDRIAVTSSAASDSEVDPSRPRESYV HLAGLPNSSFLGTREIGYAALTAMFRALFRRPNLRRAPGPQGELRRVVGVDGASPGAV EYLREDWGVKGPWPVTMKVCWDENV MYCTH_2308115 MKVWTRSSSTLELSSNDLPKKKSLLDTSPSGFANSPPPDAPPDG LCDVLPNNDAAGAEPDGSGDAPLPKSPPPDAPPDSLGDLVHLWGFKQQQLERELSRWE LVQSEEFP MYCTH_2308116 MEQENAFSEIAHPASVSRLFNHHRMDPELPSGYKWAEQKKLYCP RNLLDPRLPGPITPTHNFGYVFCHRGLYERASGIVDNSVAAIDNGIRHGLFLHEVDAF VLEQLDKAFVAHDKNPSRVTSKVQPWEFYPIHEILKTSLVTRRVEREAKNPDLTSKQS DFATSYLETDGKIPSLFDIMWRESQKPSGITLQIDLREQDFAKAIAYYSYHISKVSLL YKDRQGVHRSKGWELFRSTILKGYNKHYKSFNDLHEDVKKKSVEAYGSNYFEIRHLHV FPPLIMVFFAKYLVKLARATPPVDDPQGDRNSYEHIRHTFMNQVLSFVGVGVNSYNFI LEIVHSGLGLGYDIINKTARNPLNGELLTDEGVIFDSRVDRAMIDVSVELRKRYPKLL FSSCTRLPDVITPKGEYKAWHETSRLVRWDDGEKGLAAKLRAIHGGLYPQCQLVVADD PAAEIAARTWIDQKSGLDRSQLMHMTYTEWLARAPEDVVAAITKLNNQDFMPNKFGYP TTPGASGKKRDRTIELNTKIRSWLEALLSPKPISNLDDWNQYSVDEHWHSAEDKSQQA TAYNSQLSIISDDDRHHEGVTLTWENRPRNHFSSSTSSDPIILHIWGKEYMFENVVDV KRSLASMAAYKAAEEGNEQMVRNLLAIGANIDAFTGFYGTPLAAACRRGHGTVVKLLL DADADVNMRRFSGTPLELAAAAGHRDVVEMLLTVLKRKQAHCDISEKLYTGTALHEAS AAGHEAVVALLLDSGADINFRERHTALERACHNHCKINVINLLLARGADVNAPGRNFG VRSALESACHCGDLYVTTLLLRKGAVIDFPLDETFTPEIVSLLVKHKADVNNVTRKPL SESGLKALRDTGRVLRDPLKENFKETSLRVRKQRKPAPSGPVKELPVPRELRDCGCLL CFIDQNIQPTIERQLIDSALWRTKKRREPPATIDDPVSVTLREMGKLTLVDRPEEMYL TYNFEYPPME MYCTH_2308117 MAGTALYTYNPIQPNQIRLVKFVQDGDHICAVLETFSFEEPLPV YRSISYTWASDGGRPQKNFKIEIDKRQLPVLNTLQPFFQALRSRNMLFDGKWWWIDSI CIDQANLEERAQQVGHMDVIYRQAESVIAWLGEASSDSELAIDFIKLLDKTSRRKLSV AELRATLQQDHYRTHWKALTNFLSRRWWSRIWSVQEFVLAPSVTFWCGMRNVSRVAVC RSIGIADKCTSTGIKETLAFTHANNRRRAWGLYKASRKPGASLSFSLLALAAYFCCMD ASDDRDRLYGVMALATDRSMLEIDYSLRTEEVYTRFTRSFITHYKSLDIICFASTYTP PSGSIRPSWVPDWQKRNPVVIPSMTSQSSKSHVGNLRSPRHLDIDPSVYYSACKNKEA VYAFEGSALQVRGVVVDTIDGLAGSRQFEMVQSSEWNSTQSSCCSSFPCSTTAILESV CRSLTFDRFDRFLRYPMPTADFFRDFIRLLNRIITASKESVPRELLYWFQCTRSLQIH GRSFEDILHDSFQADDNSSGPAPNVDESQHKTFFGRFFDTVVRLSFRLMVSRSGRIGM VVEKAIKGDLVCVLYGCSIPVVLRKSSDGGSYTLIGECYFDGCMDGSLLDQVGLEEST FVLL MYCTH_26583 MRSIAVSLPVLSGILALAMAGELPLTPVATYPPGRPSVFVLTQV PAKPTQAGTPTSPEKCSQVAARITPKLTPRPTYPPSLKSMADKLGGVDRDTCGEMDFR ARFRSAGLDELNRFNQARHSTFIVPIWAMVGELWAACGEQARKMPQVAQEPCYHWALE LSKSSNASFGGGVSAKGNGKGD MYCTH_2135030 MVGLMPPPPGVTPDFYHTTAVQVRFIIVFAVTFTLATIALLLRL YTRAFVVKSLGLDEPMPAGFGRSMYEVSPTQIVGYLELLLALALTYLWPPTLTKLSIL VLYWRISPNKAFRISIIALAVALVGYTVTFSALFAGPCNPNLNTPESATCLNNIAVAQ AVLNIVTDGIIIVLPIPTIHHLNMALKQRITVGLILGLGSAACVASIVRIAYVRAMVT NPDFTYTQCAAAVWSLFEMNLGILCNALAALKPFVRTYLPGLFSSNGWGSSGRKTGQQ GPSKRSKSSKWAHGYQLHSVGNGKTEQTAAKDNVVVVDHQFSVEYNTAKPNAVMTTGS GGSTDSILTPQYPAQKPL MYCTH_2308118 MSFPSPPAGAKGALDSADPLPVVVEQVTPAWFTKILGRPVATAE LVEPIHGTASKLLYKLTYEDSQDAESLPTHVCVKGGFNPDLVKLHPSLNAVYRREAEF FYHIAPTVSMRLPPVWYAGSDTVTGQGIVVLDDLKAKGYKFGDPLEAWPVERVRAGVE ELAKLHAKTWGAKPADFPWLKEGLSLREVILSLMAESEWPKRFFDEAAKPPVTDEMTN RERMIRAFKTLWATTNQDHLCVVHGDSHIGNTFITPSGEPGFLDWQGLHFNSFLHDVT YFIVGALTIEDRRANEVELFEHYLATLHKAGGPKLDKEAIWDDYRKHHFHGFAWALTS PMMQPKDKVDAMTARHVAAIVDHKSLELLESLPGYVKEV MYCTH_2308119 MPPIRGHKVLVIGGSSGIGAAVAKLAGQEGASVAIASSNPTRVE NAVQKLRAAVPEATFSGYTVDVNQDDVEERLDKLFADVTAGLGGQLDHIVYTANVIQF TPLSSVTVPFLRSNVQFGYVVPLILAKLAPKYVRSSYTSSITFTTGRAAERPVKGVAA LAGIGAGLFGITRSLALELAPVRVNLVSPGTTDTDIFGPPEVRAPRLAEEAKNSLLGK VAAPEEVAEAYIYYMKDTNNTGSHISTSGGALIQ MYCTH_103671 MKDLLPIPATDPTTATPDSQTHTDAAGDGPTLSHALAAETRGAQ GPAQPNNNNSSVVDLGWNEKKENIAASPIEGMTNEELWLLVRRFNKQIYNVKATPYPP PGGLDLNIAEDEEFSPDKLRANIERLYMTVGVGLLAASKHVARLRSWQERRRTTYLAG AYFVAWAFDFLMPLLSVVVLALIGFPRARELLFPPAPLALVDSKTGGIQKPKAGVLGS HDSATGALENHKGEAVEQEASNFVSGIASVAMSSAAYSDEDPGADTALDPTALATKTA DARDKAGGEETGAKHDKTKVPMEKVMWNKMRPVMHTIGTVADTWERLANALSPIPLFP SEVYRLRLVAILLPLLLGSSLFLTPYLVLKLLSFLAGAVFFGDPVISRCLDWLNRTIP NWPELLQPRNTILNGVPTNAQLTLTLLRHGEVNHAPLPPPPPPSPRVTPPPPDKPAAL SDGDLRAEAGGDSPLGVSNTAELDSAITYDPSTIPHETNNTTGPENHAAVRGERDRDR DRDRDSAGSGATTSKHGHGHGHGHRILGFFKGGTTRGAVKTAVGADKLRAKTPGSAGA RDHRLGVLAPGRRSGAGGGQQQEGHGPVPAGAGPAEFEARWEGHRGRVYVSASGATVP VVAFGKSSPSTTTTTSSAAGRGGAAGGGTGTVGEEEELRPMWSVPAAEVVEMLKIGGY AWKAKLVVGWSMGTEVKDGLVIRTVAGDEYKITAVPMRDELFNQLIALGGQKWEAR MYCTH_2308120 MNGNDRVLQLSGSCNNYPWGKQGQQSLAARLCKTTAKDFEIKDD EYYSEMWFGDYPDYPARVLETGKPLKDVLNENKERLLGKKVIDDELDGQLPFLPKILS IAKALPLQIHPNKDLAAKLHAKDPDNFTDPNHKPEIAVALSRFEVFAGFKPLSEIEPL FRLPALRPFVPDGTTQWTDKTLREVTRNILKGGDATVKRVQDGLLSTAKSDLGSAGYI LDLLPRLQRQYGPQDPGSLVALACMNFLVLQPGDALFIPADGIHAYLSGDIVECMARS NNVLNSGFCPPAERNSIDLFADTLTFRAHSRDDVVLPSAETPRSRTGKTRVYRPPVSE FDMLRAELAAGEADEISESDGPGVLIVTKGSGRMEADGKKFELAEGAIYFVAPRVRVR WETDTGMEVYMAVV MYCTH_96182 MRTSPRTMILTSLALLQLALSSPHSSTPPLPPAQCAPGNFPSPF NPNLTTCLDSAILLPSAWHPWTHRPHCVEAADNSPWCVFTRAALHSSISVVTTPDEAA GALNPLRHVLDDDDDDDNNDDDNTADSPKGRRRPYEVRDVPGKGKGAVATRRIGKGTA VLADHAAVLAAVEYPADVMREEVQELLAVAAAQLRDPGAVEGLARRGARQGRKDYGGD GEVVEMSEMEDIMLTNTFGVTVGGKEYMALFPNLARFNHACKPNAFINFSQRTLAMTV WAARDIEPGEVRNCKSDAAIAASSNCT MYCTH_54995 YPDNILISSKTIDEHRKYVKVVLDTLYIYKLLVNEEKSKFYVRK TVFSGYKISLGQIRIEPLNVKAIKNWL MYCTH_2067028 VGLILDLKKYIFTIKEVKYLNYIIEVYLDPKKLAAICNFLGFVN FYRDFIFNFSKLTIPLI MYCTH_2308122 MYEVPARLYYHIGNLDKALEYTLKVTHELDAFGASDEAGQAKIE MLKGVIQRLEREIKEKENREAPRKENGRNED MYCTH_71204 MRFSFLTVASALAPLTAAFPFGSFGLASWDVEGFAKDNPIGVTT GGEGGSTVTVDNAADFKAAVAGDEPKTVLVKGEINLPSRPKIGSNKSVIGVGRTAHIT GSGLDVFNSTNVIIRNLKISFIEDNDCITIRNSTRVWVDHNEFASDITKGPDAYDGQV DIIRGSDWITVSWNYFHDHWKSSLVGNDTTFRDIDFGHLHVTYHHNYWRNEGTRGPAG RFGHQHVYNNLYVDFLYQAIHSRSDNQVLVEGNVFRGKTREALSTYGLVIPDDSPNTC TCGDEELDGYANLGAKNDWGKATVNITQVGNFRKAPYKFKLTPLPLVEPIVKLGAGVG KI MYCTH_2111820 MPQYKGGDQVRYKPVGGNRIGHASPDSRPPESVGTVKGVLMEPG NQAGRNMSASAEQPQYEVRDLGQDYLELKYGPWALALPNYLKIENANTSKTTTIYEAN ILGSV MYCTH_2308126 MSADNIKPSAGSMVDYDVELPKFYRNPNEPEHYRLSKAGIEACK SKKPAVPPWLISFRFRTRYISAFATQFDSDRPHILDLQICPSRVARFFGACVNLLPTF AQSWIRAMMPEWFLPSRVILKAQKRYESGEANEELFDTETRAYDRLKPLQGTVIPKCY GLARYNGLRAMILERLGGVSLASPQGATLTLEEISALMQPCHRAMHAYGVHHSDPQPS NYQLVDGRLMALDLEYVEWDLPDEKNILFMRTNIYDLSIRYLGMQKYYHRGEGLLEPA MYCTH_2308128 MPSAGELILQNSTNEIDNPKYITRSDKEWAHEYAGIENILLHTT PGYGGNTVHATFDSAFLPLDDDEERRMTEPANPPNERSWRLETEADVEHWWHTEVSDV VLAAWARYPGIVQTCHTKPLSDVNIPENVDATYAIYIGNQRKPVVIGEMKRNLINRRE WEAGNVTSSQQKLARELRGYADRYECPQMFCWDGETLLMLQFRATSPWDIRRADCAVD CWVIPITKSTCSLRYALYRLLVQGFRRCQAAAAARPIQADG MYCTH_2023929 RGVIAWLPAKRFIKPKSVPNLPPGVFNHPVLIYQTTSEQADVFL ITSFHAGESLTGKFQSDAEFRSRQMPIDPAPPHPDNGVRLTLARGRVWPKESYVSISL FTVPISILREETSGPWALSPESLAALDDIVAKLPHLR MYCTH_2308129 MTVSSLTHPPFAHELLIAQLAVQRAALVTKRILASVNAAARITA SPPTLSPSSSDLDTCASPASSPGDHTTTTRSSSISQYLHPTTAASSSYFPASTSPWTP LSPHSPSTSPPLPAAAAGDPQQQHEHHHQLLPQYSAAGEAEANANANEPPRRLSVAKR DASPVTVADLAAQALLVAALRAAFPADAVLGEEDASALRADPLLAARVWDLVDAARLE DEASERLLGPRPRDLAEMMALIEFGGGGGGGEGGGEGGGGGGGGGGGAMSAEEALAAG RRVWCLDPIDGTSAYMQGGQYAISLALLDCGREVVGVLGCPNWRFEEGLPAGQWRVRE HAVDEDGMGLMLSAVRGQGATVRPMGPGVLREGRRLDRGRGKATVDLRDVHFVDSEKS PATLTEKVRELARLAGASHRGTNLYSSHVRYAAVVLGGREFVQLRWPKPEKGPWSIWD HAGSQLIYTESGAGKVTDLYGKPIDFTAGCKLSNNRGLITADESIHDQILALVDRMRN AEV MYCTH_2135036 MIDQDGLVRGHRRCRVAGLSRWLESEVTLCSELNIKYEMEKKAG DKNVEHGCAPMGLYIVDDAYVDPVPPADAWAAFLASRFSKRKELYEKFSDHEREIVRK ELRRIRHLREYFEEHRLSGTDSTTLLASLEKAHHAWRSQAGRGCKEELHRLEVGIARS SGYRRQQLNRQRLILKKVEQWSQPNYQYEELRNSVAPESFVPGLDAIDYNVDDNPAEP NYGYNGWIMAFKKGKGGVTLDHPLCHGKFPHQKIAMQKLLYDEERTPLKRSPDRTQLR YFHLQANNMKWDAIARYYEEDSSQLDPTRGLSQRGLYQPSTKKTQSNTEKLLKRELWH GQERGGGSNHLPPHSRQIRPRCAFVPSAPWKEDTGDQPGSTPQDHGQGQGQVRHSEGS LQETTETYLRSSESRDIVLFMPYLHWEIEKRLARMTNVIRKTQHVHERQFAFERDSKR RGTWSSVVERARAMASRMDSTASELGGWSYDAPPWRPQSPLGRYIWLAAKLYQLIDEA ADWRLITDHLCTQSPLHPRRTLEQYYNWTSEDTAHRDRQQVVYRGTRMRNDPEAIPRV VVVDQLWLWILDENTILTAFPRRWGRNNPDPSAVHRAIRDRLGAADTKQITSIYELAL VIIDECSKVFFDRTKPDLRPEVVDMFGSAISNISEKKTEAYERFGRDVKRMNNQDPLQ TDEELLRKTLNIKFEWSVLMEAQNVIDQLQIMQEIFTQQITVMGDFEKALRGLSSDPP DGLKTAIDRAGQLILDMKLRRDELANLEKRQANTRSQLRELLDMKQQQAGIIEAKAAI RRADETVIQGRSIVVFTVVTIFFLPLSFFATVFGMNAQELDSGTMSMGTQFMWMFVLS SIVITLSLALAFNEQARQWVFRAFRSCFVFLIRPVVNRNKNDSSNLAAASPRAIREFM LTKQKEWEGTDGATSTGSLPLHRNEEPKKGVTARLTQLWRRESV MYCTH_2111826 MAAVQILSAVDTAFGPFPGVLSTERKHPSSIPIFLQGILAWSTF CPGVGALRLFADLFSFAIIVDFPFFKLGPTWACFQLFCAAGSGLMITILLPALQTPLD EA MYCTH_2308133 MARTNAQKGACDRCRGQKLRCTWDPNEPQCQRCARANAVCTIPP PRPMGRPPRRQNRSQSTSSHSCAQSPGAPGGGQGMYCWNEQNATPTPPISDGDTDITM NSASVLSDPPEFFPWFPEHDGLNLFAPRSTTVIAPMAVSPPMSTGTATTDSSRPFGLQ SEMLTPPLDAGGKLAEENQSNHGCIHEGHPIDLATTKVEETSGDQEQIQLLTQLCELN VALFQHPLHRERDKSYLRPAMTPNSDDMSQPQAGSSPSAGNSPASSDGSSIADLNIGD LRTGSLFQLTCRLKDIITRIRAHDEATAHGPKRYDPSTALMALSCYTRLDLLFSRALD ILVRLRNSGPIPESMRYLMPELVIDGFSMAGTLDLQLSFLIHLHEQARDRIRTCIRSA GGPARVGRDKGDSIRQGAPAPVTIGS MYCTH_2119814 METPPPGPRAMMVDIGEQQIVHNEGCDLHYGYQDKGPLITFIPG GNGHGRQFNKMMAALSGRFTCVTFDRRQMSASRVPWASTSPSFFGSSGGGFFAFQFAL DFPDMADHLIATVGLLPDASALLDWFNHLLEVYETRGLEETSGIPKTEPPEPENVRIF WANEIPVLVAYIPNFCRLKENKTSSGLMRRIRCRDPFFARATVEQAKILDCPLHVVPG HHQWFEIETKEFHFPDMLETLIRKRNGS MYCTH_2308135 MDEKSSLPAHRESPAPTSGRPRWRRQKPRRSSALRFLALGCLCF IAFAQWKQLSHRPLPPRNPDITLHGLSVKRLQDDLATCAKLRKTPQDPIGLGRERNAR YIDGHAPTLIKNATVWVGEPAPGTPPDAARKGAGYSWIKADVYLEHGLIKRVEDNIEL FTVAWDTEVYDAKGRPLTAGIIDMHSHAGVDSLPSLRGNEDTNEMASDITPYVRSIDG ILPLDHQIQVIKSGGVTTSLVLPGSANNMGGEAYVIKHAVGRPDGRNETSAADMLADP DRNWRFMKMACGENPKRVYGDPGKQGPTSRLGESWEFRHAFEQAAKLVREQDDWCDAA AARGVHHMKSYLPQELRWESLGALLRDQVRLNTHCYTIPDLEAFVDHTNEFKFKVRAF HHAHQTYLVPEILKRAYGREPPASALFADNMWYKAEANTASEYAGKILYDNGLTPIYV SDNPVLNAQHVVFEAAKAYKYGLPYHAALASVTTAPAERLGFGNRLGKVKPGFDADVV VWDSDPLSVGAAPVQIWIDGTAQYEDPVELKKPAPEPIVPDESLSKIPEGPVAMDEVI FTGVSKVLLNKNVESLSANNIVVVSKGKVTCIGACESELRAAAESNTPVVKLKNGYLT ESFTVFGSKIGLNAVDAESATDNGASGSAFTRAEDGLALDTQKTNVSYAYGVTKAISA PKLSGQDTNHGTSVGFLTGALTPLSEHAVFASDAAVHYTLTPSVKSASLPDVSSISAA VAALRRKLLAAAVAARAAAPVDDRYSEDAYLRRVVNGSLPLVVTVHSADTIAALLKVK AAVEKELEEQKEGAPARRLRLVIHGGAEAHLLARELAEAQVGVVLAPLLSYAASWDQR RALTGAPLTRGTAADRLLDAGVPTAVGPDEDWVVRDLGLLAGIVWKNGEGRVDERAAL RLVGANLYDLLGLEEPGVDQGHFVVWEGSPLEIDGRLKAVGGGRGWVDVWE MYCTH_2308138 MADATFDAPRTLRPPGRIVFQDSDTVRKLGPAWRLQREVEAMDF VRRHTSLPVPAVLEIHLEPSGDNEHGWFVMERLPGSELGVAWPNMSEGARGETIRQLR SYFEQLHNLRPDGAGWIGSCSGGPAYDHRLDNRSTCGPFSTVGDFHDLLVAPLKECPR PEWVEKYRPRLPDNHEIRFAHADLSWENILLDPDTGHVTGILDWEMAGFWPAWWEYRK ALFGARCQPWWTQVLREIMTGYPEETEVDMDLEMF MYCTH_2308139 MGDCWHCDRYFEHSRSLHQHAQSKHPDTYCTRCRRFFSSTRAKQ QHVANSKFHNFCERCPDQPDFASLAELNDHAETVHYCCTVCDYCFNNPDQLAQHDVDE HNLCETCGTYFSSPSNLKSHLQTHAEKTVSCPGCPKMFVSKSAMVLHLEAGTCESGAD CDRVTEIAFECFLSWRYTCDDNPDFPFKCPTCETPFAWISGLLQHVESDSCSEALAMG TPLGKFLRFLRSQIQA MYCTH_2308140 MEQFIIDNAERYKKLVATFKPSASATQQKRATDAASPDIVVCAR VRPMLEDEVAQGFPVGVHIRSGTNTVDLHELQQPVRGLPRIRSSEYTLDSLYGPEAST NQIYEDLVKPLVPWAWGGGVGTVFAYGQTSSGKTLTVSGLERHVAETLMDGSLDGERK ISMSIIELTGQTAYDLLNDRKQISILEDSFGVTQMAGALEYQVTDKETLLGYIDAAAS LRRTTPTLRNDNSSRSHAICRMRFENPALPEAQDGLLYLVDLAGSEAARDKVAHDTSR MKEAREINSSLSVLKDCIRGRAVADADAFAGRSSKKPAYIPFRQSTLTKTLKHVFDPA SSRSCRTVVVACVNPCLADIGASKNTLRYAEMLRVAVPKAKPVEYSPSVPATWKNEQL RDWIQRESGDPAIAPELLAPTETGPQLLRLPSPEFITRCLKSPGVSPEQAQAFQTKLW KLHIDSQRALASANKIATQQQQQQKGEEGGLPGLMSRMERLDCSADPEPDAGAVPFRQ RIRPGMVVGWTPPPEYAMFAQAAGGGKAYAMIMCPARAAGPRTRDVLGNLVADKLATT AAARGEGNDTDGSGGSGGGVGGGGGGSDENDDAYLCALVMPSLLPGSYAIAPWRQVVV KVKEMESEVFMEWDEASRYYYMTV MYCTH_2308143 MGFYSPPPPARPFSEDKPTLLISWWITSMCAVVVILRLIGRYIR VETLFREDKIAAAALIPLFLRMALVHPVLLYGTNNVLIDEAHPLTDAEINRRSIGSRL VLATRIVQPAILWLFKAATLAFFDRLVGISGRSRYTLLLRSTRVALAATFVAVFVADL AECRPGGPGRAWQVVPDPGPRCRQGSAYLVTAAAAGALTDLLLVVLPVPVVARSRLSA GRKTLLCLLFCLHLVTAAVSVCRVPAVLDEGGYQATRTMWASVEVLAATFAANALTIG TFVRDTGAKKTKRFRYPAPAGWAADGESEVAVRSGGARSRRGGGGGKKVSWDDPDSDD EEDEVRAVRAMPSTTTLLITTTTTTATGREAEYPRGTDDDTKQAAVLDDDRERAGSRT ESVDSLIPRNRANTPAADAGGVVRTTTIEVSVSSAAAAAERGGRADGEPHAGLMLRPA EAVVTVSAKGHGRGSSIPLQKLDPLPDMDVDHNKGGPRNA MYCTH_2308145 MELHKDHDIEMNPLGRARSAPEGNPFAPYGGRSIISSAGARPGS SRSVPGGTKSGSGTAAGAGGMRARVDRFIDSFKREDGSSERSLRRGSRDIDGYHDDIS DGYDAGRRPPAGTAAAAAAATGVVRGNGGARYYDLRAANIRTASSLLARELKGRHLQM IAISGSIGTGLFVASGQALSQGGPASVLVAYLLVGVMLWCTVQALGEMAVVFPVAGSF SAYSTRFLDPSWGFAMGWNYALQWIVVLPLEIIAGALTIRYWNPELNSAIFVTVFLLT IVAINLSGIKGYGEAEFIFAIVKVTAVVGFILLGIVINIGGTPTSGYIGGKYWQDPGA FNNGFKGLCTVFVKAAFAFAGTELVGLAAAETANPRKSLPTAIKQVFWRITLFYIVSL VLVGLLVPHDEARLLGATSMADASASPFVIAIESAGATILPSVMNGVILVSVLSVGNS SVFGSTRTLAALADQGQAPRIFGYVDRRGRPLVAVLAVSAVGLLAYLAADRRTGAHGG GGPVFDWLLAISGLSTVFTWSSTCLAHIRLRRAWARRRRSLADLPFRAQAGVVGSWIG LACNLLVLVAQIWVALSPLPRDDDRPRTGAERAETFFLNCLALPVVLVFWLGHKLWFG TRFVRLDDMDIDTGIRDLGRLGGIIKAQEEEERRSWPRWKRFYRLIC MYCTH_2128762 MKAEKKMEAEDCIDHLPPFPSAAPIPSGCTSIRLPCSAGPRHAG CNTALPASGSSGSSGYGRRPPVTSRVGEYAIPWNVYPASASGREEGSPRWPLFRRLGG HAGSARSKGLAGGVIGIFYAGAFVIPVGESIHTFFQPKDGSFVTPPFMTFHKHGTAGF GTIANAERFLFNDLDADSAKHNNAYSALPCAYLVLDGDLTLPLEYQEGTARFLSSQSG DTSIYHCPAGHSPQLSWTS MYCTH_96162 MNHRSRFVPDPAYSINPYQQQQQQQQQQPPQSQGMMSSQRLSSV PSSVPGVGQTPMQSSMSPSTMWTANFANGPIIEDLCAPPPPIAMFTPPMNAIHQPMPM VAMHPGAMPQVQNVYGIRQPAPHPFPRGVHPGQGPPMHGMPSSRVVGSYPPYASQQAG IGIVYPPPPQMDLGAMMARPRLDTGFASTIPAAPVPSAAGNAAEMGQMAAPNNMNGSP AGEGMPSMTPAEEEALREFLSPDLGKP MYCTH_2308147 MPSFTSRHYTPERFRSISKPEDDIMEDITQTTTGMKLSPTSPGA VAMVPSDGSLLDYFDLPSPPSTPHRPTDTLAYSSLNSFSSSPASRSPGQMARRHSSSG APDSSRPKSSLPRWPTASQRINRLPIRSRSRSTSSSPLSSSPLSDPFSSPSSASSSSS SSPSSPPTRPSTSQLPEDVEMSGMNPMGLGGPFAPPPQPPPIIRPARRTPYYPPNSSR NVDRTRVYMNRGPHFVPNWTPISSLPRHVQLQIEERMTRFTAI MYCTH_2308148 MASTKANCPVVGTTNTTLPPSHPDIDLSKPGQICPVVGATTDHH ANLHKHPAVPIPKGRSPSDASACPVISGRLVNEEKSKAMDDDVCPVVGTATTVLPPDH PPTDNKDDEAVCPVTKAKVGHHKGKLHGHPDVSRAGEGAVCPVSGVKV MYCTH_2308149 MISPDQVNEMLYPASTTSKPGPIPTVVPNPTEYQLAGDVGHRTL WVLFAAMTITTAVFALLSWNVPVAKRVYHVTTTLLALVSALAYYAMATGYASSLSCRP VRDSHGDHLPDTFHDACRQVYWARYLDWAIANSLLLLNLCLLAGVDGAHTLMAIVAHL VTILGGFAAAYAAERTGQMWGWFVIACLGYLFVVWHVGLHGTRSVKAKGARVSKLWAS LSIYSLAVLAAYPIAWAITTLARKTDVNTEIIVYAVLDALTKPVFGLWLLISHRAIPE SNIEIAGWWSQGSSAEGRIRISDEE MYCTH_84047 MPHQVSPERTMSDEKLDIRDGRRSSNVEVPNLSGWSSWFGGPGV TIGPRIAPVLSGISLGSDSDTDQSSRAILDKQLAAEDGHAIKYRTCSWQKTAGLLFSE YICLAIMSFPWSYSVLGLVPGLILTVVIAGIVLYTSLVLWEFCLRHPEVRDVCDIGQM LFWNKKWAWWATAAMFILNNTFIQALHVLVGAKYLNTMTEANDVACRTVSFGVVATII CWVCSLPRTFDMLSKLGTASAFFTFVSVLLATIFAAVQAHPAGFDPRSSYTKPDGTTG IGGNPIVTAVPVVGTTFVNGLGAFLNISYTFIGQITLPSFIAEMRDPRDFPKALWACT IAEIILFSIVGSVVYAYVGNQYMTAPAFGSLEPLFKKVSFSFMIPTIVFLGVLYASVS ARFIFFRIFQNSRHKNEHTVVGWVTWASILLATWIVAFIIAQVIPFFSSLLSLMSSLF DSFFGFIFWGVAYFRMRRADGSIAVVKEHTVAGYLLGSLNVFIILVGVFFLSVGTYAT VESIILEFESGAVGSVFSCASNGL MYCTH_112306 MPLPAGVYRADHVGSFLRPQPILRARETLDTATPISAADLRKLE DEHITEVVQKQIASGLQSVTDGEFRRAWFHIDFLQHLSGVERHGSLSSTNVTSHGVTP PKLAVVGKLGHPQPIQVEDYKFVESVRQKVAAGSDKKITTKVCIPSPTMVHFRGGREA ISTEAYPTLEPFFDDLVKVYQAEIADLYAAGCRFVQLDDTNLAYLCDAGMRAEAAKRH GEDPQKLTKQYAALINAAISQRPKDMVIGIHLCRGNFRSQWFAEGGYEPVAEVLFREL DVDVYFLEYDDARSGDFSPLRFLPENKTVVLGVMSSKKAELDDKDAIIRRLNEAAKFC PRGLDQLCLSHQCGFSSTMEGNDLSEEQQWAKVRLEVEIAKQVWGEDLSV MYCTH_2308157 MSSSEKEATGPVAAHVGNLATTQDVEKIEAPVTWKAYLICAFAS FGGIFFGYDSGYINGVLASKLFINAVEGAGKDAISESHSSLIVSILSCGTFFGALIAG DLADFIGRKYTVILGCLIYIIGCVIQIITGLGNALGAIVAGRLIAGIGVGFESAIVIL YMSEICPRKVRGALVAGYQFCITIGLMLASCVVYGTQNRQDTGQYRIPIGIQFIWALI LGGGLLCLPDSPRYFVKRGRLADATSALSRLRGQPEDSEYIQVELAEIVANEEYERQL IPSTTWFGSWANCFKGSLFKANSNLRKTILGTSLQMMQQWTGVNFIFYYSTPFLKSTG AIDDPFLMSMVFTIINVFSTPISFYTVERFGRRTILFWGALGMLICQFLVAIVGVTVG FNHTHPAPTADDPEATLANNISAVNAQIAFIAIFIFFFASTWGPGAWIVIGEIFPLPI RSRGVGLSTASNWLWNTIIAVITPYMVGEDRGNMKSSVFFVWGGLCTCAFVYTYFLVP ETKGLSLEQVDKMMEETTPRTSAKWKPTTTFAASHPTDLKQGEAAV MYCTH_2308162 MAPIVPVRIQLPADLDVNKCLFPSRTPQACPRCNSTNDTTAAAA AAAAAADDPAHGPPLHRTRPQRGQAAASAALVSTPARPTGAAFPGQLSELAEEGGGAT KEELFGRAVAAARLRELVHRDRRWPRLCAVLVLVGACLGGWWVVCGRQLH MYCTH_2119829 MLVPTFTPLLALSTTILGAVTATPINTNGAPEAANPTKRAVLTS GRFTYYNPGLGACGHSNGDGDLVVALSHADFDPSTPNGNPNNNPLCGRRLRASYAGRS VDVTVVDRCVACNSGDLDLSPAAFQALADLSVGVIGGTWNWI MYCTH_2308163 MDCERAPLIEGKLLAVDGSSPPPAPWKNPLKNNQATKRRHPLRG FLKAILTISPLLLVTGYAFFQPKLPFRHPVQPPDPPQPVRYPGEHISWTPCGTIDNRA LECANLTVPVDQFDTNSSVEASSHDDDDDTDNDNHHKHHQPGDTFTIPLLRLRSANAT PSTRNLLLNPGGPGGSGAAFLYQRGAQLATLVGDGFHLLSFDPRGVNGSTPLATCYRS EEDRRALSSRARTARRPVEDSGELWAWTGSFVRACDDVMGGAGAGAHVNTPQTAADMN SILDAVGQRALYYWGFSYGTILGQTYATLFPDRAERVIIDGVANQFDWYESRLDAEMI ADTDRVLEGFVDECVRAGEERCALAAMATSKEELLDLLLERVARLRDDPVGVYVNETQ WGVLDYWTLWYNGVFPALYKPAVWGELADRLAALFRGNATAAWLAYGTKPAWDSLGDA FKFISINDGVSGPDKWPMDRLELLDYLLPFFNQSLFGEAQLDYYFSKQAWTIPHAHSY VPRRRVRTAHPLLILSTTYDPVCPLVSAKGAADVFERSRLVEVKGYGHCTLAVPSRCV ARHVRDFLYDGKLPDDGHVRCEPDANPAFAKPEEADALLEAQSLSEEDRRVWKAQQEL ARDITWLGPWRGAW MYCTH_2128775 MGARVPDEQTPSATSSSGCRPGNDIDVSGFEVADIGSTHLLADN KFAAARPHLLLVTQDGYRRQHERLGSHDFAVLCQVLSALRRRERHLANLQLRHRQRMQ LAAQALAGLPRPARRRLCAVAGPAHDPATWPALPFKRFIHRFGGEFPSADALTGIYYE TLLSQGERAVGYQPDEGGNKAVPHDVVLDRKWILVVPRRNAGLIGVVVNAAAMLGMV MYCTH_2066922 YLNNILIFSKMIDKYRKYVRVVLDVLYIYKLLVNKEKSKFYIRK TVFLGYKISLE MYCTH_2308164 MRVNVLLATAAALAPLVAGAGTAASASKMEELMDIKTKQWDEAR AKGLFGGSLLYRKITSKQACINGVAGKGTAAYRCNNVDLHGFLSHEDLGSSTKAGNDV WGWTSPDGREFGIVGQTDGVGFVEVTRGGKLEYLGRLDTQTTATSWRDIKVIGHHAYI GAESDGHGLQIFDLNRLLSVKPWWNPVFWRPRTFSKERDLTALFTGFGASHNLVAHEE AMMIYAVGGRSGANARNTTCAGGMFMVDVSDPANPVSPGCIPHDGYVHDAQCVVYSGP SEKYRGRQICFNFNEDTLTIMDVTDKKNPAVVSRTPYHGAAYTHQGWLVDHTQTFLLL DDEKDELDGTDPAADGHTTTYIFNVTNLEGPINTGIYKSPARSIDHNQYVVNGLTYQA NYGSGLRIVDVSGVELDPTGGNFEEVGFFDCHPEDDEVGGVIKFLGTWSVYPYFKSGY ILLNSIERGIFSLKYTGRKARY MYCTH_2308165 MAQAVNRIRGAFAPPRKGETFELRAGLVSQYAHERKEAIQKTIM AMTLGKDVSALFPDVLKNIATSDLDQKKLVYLYLMNYAKTHPDLCILAVNTFVQDSED PNPLIRALAIRTMGCVRVDKMVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPTM CIENGFLETLQEMIGDPNPMVVANSVQALSEINETAPETKALVVTPATLKKLLMALNE CTEWGRVTILTTLAAYPPTDVKESEHICERVAPQFQHVNPSVVLAAVKVVFAHMKLIN PELVKQYLKKMAPPLVTLVSSAPEVQYVALRNIDLLLQAKPDILSKELRVFFCKYNDP PYVKLQKLEIMVRIANERNFDQLLSELKEYALEVDMDFVKRAVKAIGQVAIKIEAASE KCVNALLDLIATKVNYVVQEVIVVIKDILRKYPGYEGVIPTLCKYIDELDDPNARGAL IWIVGEYAEKINNADAILSGFVDLFPEEFTQTQLQILTAVVKLFLKKPSSNQGLVQKV LQLATAESDNPDIRDRAYIYWRLLSGDLDIAKNIILAQKPPITTTVNSLPPVLLETLL AELSTLASVYHKPPETFVGKGRFGAEAIQRAAIQEQRQNAAENPIAASVAAAASAGGA GAAAPQSNIENLLDIDFDGAAPASAEQNPAGTGTPDRVASPVSTGGFGAPPSGGMADI MGLFDAPAPGPAAGAGAGGAAAAGGGGGGALSDLMSGFEGLDLSGASAPPPPRQQLGH GNGNASGGKGSDDLLGLF MYCTH_2308166 MANFPNLRRLFIEARTDDEERDISRRAFYNAVLFLGSVAVFSLI AQRINARS MYCTH_55542 MPPAKATDKAIARELANVVREIYNSPKRDELTVNYARKNVEDRL GLEQGFLKEGDWKAKSKQIILDTLNELETADEPQDAAPTSKQKAKPAQKQSSNARRKR GEKAPTQSDAESNAEQQSDVEDEPAPPAKKRKLVKRSKKSQAPSESEDNASGPSDQEA APKLKAKRQSKKPTNGDSELSDAPADSSTTKPSSEQREAPKPSSEDGESELSEVIDEP PKRKQKPKTKPDPEAKPSSTTAAADDSSSELSSVIDEAPPPKRKRNPKKAAGKQSTSA PADSPDEAQIKLLQSQLNKCGVRKVWAVEFKKHGADTPKAKIKHLKQMLADVGMTGRF SEARAREIREMRELQADLQDVIQGEKSWGVGGGGRGTRRRAAAQAAGRGMRVDESEGS GGDESEEGGSSEGKGGSGEGSDDEGERRPAVRKKGPAKRRADLAFLDDESESD MYCTH_2308168 MAASGSYLLSLTTLAHAFTHTQLHKPLLSLLALHGTVRGILAHP NPNPNLPFVINTWSGPFTAATDAAYYTLTNATGTGSPIAALDAVVAGCSACEQNQCDG TVGFGGSPDEACETTLDALIMDGTTLNSGAVAGLRRIRDAIAVARAVLERTRHSLLAG DLATRFAVEMGFGPEGDLSTPESRALCEDWRRGGCKGNYRVNVEPDPETSCGPYRPVP LLAGRGIRLEDGQASHDTISMVVIDRNGTMAAGTSTNGARFKVPGRVGDGPIVGSGSY VDGDVGGCGATGDGDIMMRFLPCYQAVENLRLGMTPTEAAEDAVRRMLRKYPTISSGV VVVNNKGEHGAAGSGWTFTYSFRGGKMDAAEVVSVPPLAGLPVGRIRMESDNALREL MYCTH_2139973 MALSKLSVGQALALGFASLILYVVSHVLYNLFLHPLRRYPGPLL MRATRWGYALRHMAGTLPFDMLALHKRYGPVVRVAPNELAFSDPRAWRDIMGHRSGGG GGGGGSGGGGGGSMIVEGGEEMLKYDRFYRPVEGVTTDIVNAGREEHALLRRSMAHGF SDRSMREQQPLIQGYVDLLIRRLREKSEGGSKAVDLAAWYNYTTFDVIGDLAFGESFG CLDSSDYHPWVKAIFEVARAGTVFQSLAHYPAIIKLVLALIPSRFKEEREKHMRMTME KLKRRMQAGKERPDLVEGLLKRADEWGLTLEKLQANSAILIIGGSETTATLLSGVTYL LLTNRQAMEKLTAEIRGSFKSEDEINFTSVSTLPYLLACLDEALRMYPPVPTGLPRVV PKGGANIAGNYVPEKTVVAVHQWAMYHNDDHFKDPFVYHPERWLGDPAFAGDRKDAFQ PFHLGPRNCLGKNLAYIEMRLILTRMLWNFDLRIAEDSLDWMSKQRIYNLWEKGKLNV YLTPVAR MYCTH_2308172 MSGPIVVRLPRRISKSRFLSMGAISWVYRITESIVVKYSRDIGA GEIERDNAIYDIFEQYTPCPYVIQSFYRTANANFLPFMLASLDTRLKRNQRREKHKVL QVLRIEERHLIERWAAELCAAVAWIESLGLVHGDLRPPNILFDEQDHLKLTDFDCVAR IGDASSGNAPPWARLYPDPLTGKGRWGLYGPKTEQFAIGSLLYCMTRGHEPYGHPDDN PELDVVRLFKEGVFPRVYAESDELDRIINRCWTGYYESIKDLAEVATHLPGAKDMGTA TTFSAEYCAQKRNECCQLVREGFLEIDDV MYCTH_2082262 MMPGVVDHSATSSGRLLLISNRLPITIKRTDNGQYTFSMSSGGL VTGLSGLSKTTSFQWYGWPGLEVPEAEAGPMREQLKEQYNAIPVFVDDELADRHYNGF ANSILWPLFHYHPGEITFDESAWAAYREVNRLFAQTVIKDVQDGDLVWVHDYHLMLLP QMLREEIGKSKKNVKIGFFLHTPFPSSEIYRILPVREQLLLGILDCDLIGFHTYDYAR HFLSSCSRILSTATTPNGVDWNGRFVTVGAFPIGIDPDKFVEGLKKESVQNRIAALKR KFEGVKLIVGVDRLDYIKGVPQKLHALEVFLTEHPEWIGKIVLVQVAVPSRQDVEEYQ NLRAVVNELVGRINGKFGTIEFMPIHFLHQSVSFDELTALYAVSDVCLVSSTRDGMNL VSYEYIATQRERHGVMILSEFTGAAQSLNGSLIVNPWNTEELANAIHDAVTMSPEQRE ANFRKLERYVFKYTSAWWGQSFVTELTRISAADESKEDKGTSSAKRALRNAADDVGDV ANQAIHGAQEAVGGGSDEKKESE MYCTH_2308179 MYLAYKYAKKRYKERQAREAEQQTPAARTASTGQDGAPWDEARG LTAYSDGPGVPAPYDPSPLAAHDETELEDTADDRAEKKRRRTYRLKIILGLFLPFALQ ALDTTIIASALKFIAEDFHELKQLNWIITAFNLTSAAFLPIFAQLADTLGRHATLQTA LVVITVGSALCTGSPTSAFPVLLLGRALQGVGAAGVNISVRTILADRVSLAEYAKNWT TFAIVSGVSFGLGPVAGGYLTQISWRWCFAINLPVGVAGIALVALLLRKELVGPLAIE DVLRTAGGDGVAAGDETAAYAGRRRDPGTGIGRFLLRLGTLDYGGQVLFLFGFGLLIL GFTWAGGTYAWGSAPVVATLAVGGVLAVAWAAYEWAMAPGRAAARAFPMQKAMMPWRL LTHKDVGLLLGINFASGAAMFAIMYFMDLYFTLVKGHSSSEAGIALLYYLPGLGVGVY ANMFFMNVRPRQTLPSLMLGTTCSAVGISVVAWACHTDYTNLIYGMMALTGFGVGLTI NPGTLHALAYVPGMTAAISCLSAFCIPFGGSITLTIMTTVFNNRSGPDHQDPRTGIVW AFISVMPIMWLAVLITTFLGNVWLGKDGNHELVRECWFWNTLRGRRLERVKVARMEDA AGAVGSKGDINMDVVRQHGVMPKTDVEQGR MYCTH_55928 MPSELRDKNNEPINVGDHVFARARGGIHEGDVEKIVTSKEDAEK EGVKHPPKVLYTDQHGHHVQHNPGVLQHGEYKK MYCTH_96141 MRMRSLLVLAAIGLAAAEDGLNGWLRYARIKGARDFHDRLPSTI VALNATEGLPVYTAGQELAQGIEGIFGKKVDLDVDLATAGAGKSNGKGKGNGKGKGKG NNGHGHDRDTTATVGTVAAYVDSNPGAAAAGIPELADDGFYLRVSRGNVLILGQNERG ALYGAFHYLSLLAQGNASDYTLASNPDAPIRWVNQWDNMQDGGTHGSVERGYGGDSIF FWDGKVRDDLTRAGLYARLLASIGVNAVVVNNVNADPKTLTPENMDGLARIANAFRPY GVQLGVSLNFASPQTLGGLDSFDPLDERVVEWWRDITDALYERIPDMAGYLVKASSEG QPGPLTYNRTLADGANLFARALKPHGGRVLFRAFVYDHTSLDEDKDWKADRAKAAVEY FDGLDGKFEDNVVIQIKYGPIDFQVREPASPLFSRLVRTASALELQITQEYLGQQAHL VYLAPMWKEILDFDFRVDGRPSRTADILNGKRFGRRRAGGYAGVANVGTNTTWLGSHL AMSNLYAFGRLAWDPSSEPESVLRDWIRLTFGDDPKVLDVITRMSMASWPAYENYSGN LGIQTLTDILLGHYGPNPASQDGNPWGQWTRADADSIGMDRTVWNGTGFSGQYPPEVA ARFERIETTPDELLLWFHHVPYTHVLKSGKTVIQHFYDAHYEGSAVAQTFPRLWETLR GRVDDERFEHVLFRLEYQAGHALVWRDSINNFYFNKSGIPDARGRVGHHPYRIEAEHM RLDGYVPYDVSPFEAASGARCVVTRDNSTATAATASVELRHVPTGTYDVAVNYYDMAI GNSTWELYIGRRRVGRWKGDLEYNLGRAPSPYIDGQTAARVTFRRVHIERGSTLKIVG RPDGLEPAPIDYVSILPLGIVD MYCTH_2308183 MCTYDYTPYTGCEDGPQHYYIQWVKCDIAVERGRYCSLDASQKV EQLRKLSVNVLSCPLHGPIAVQQFVLEAANARLPEKERERSRARSNARRGPVSRGRTP RRDPPDTGAGEPVRRQVRKRRSRREIAVGSPDSELSDASSSSRRRVDGWATRRGHQDA AHERRTTRDAQPHGHRRSASADAGVAIPSHPPPPLSMRHGQSEVSLPLKTGFGAEGKE DDQGSTTGRRQSRPRNSLDTGRAALGIVGLPSSPDMHRRGSIDRAKSELGLKPGVESA PEPMPASKSAVSPASDSSPDQNPDLPFSTPGRLGRRTGTRSIRDRSVDTTMRRIDEDV AQDENTGATQDTAASTTRSLLSTTGSSAPQQQGQDAHSHRRSNSRPRLNSLQIPPVPQ RQSTSNKCQQEAYSAPTAIPPETDFNLPIPTTRQRRAGSLRHIDLPSPTTTTNIQQHS PPTPRLTGGDGGAGAETASIRSARSSRRCLEDQVAEARKWAAAREHLPAPASLAARPS MSEMNLPLPPSGPAGPAGERDRERGSVDSGYLSGGGHQHQAQAQPQPQHPRPHQKLQH SPHYIPPEQLWNRGDVARATAAASAGGASGVSPTEASSSRGGGGKLQKAPPPLPQPGQ GQQQQQQLQQQSVQGQWQGLGLGLVQGAGGGPQQQQQQQQQQHTRPVPAPLNLANSGS GTGMPASLASPGLRSDTSVDGGGSIGKGAKATLLQRMGLRKKFSGLISRDRERAGQRS EVGVES MYCTH_2128790 MAPTPTLPQVIFHLLARQEQQQPTATVVVDSGSSDGDSGSSSGS SLNGGAIAGIVIGSIVGILLLWWIIRACAQPHSPDSSRQGWYDDTALPPAAPLPRSSR SGSRSADYGRHHHYHHHNHSSRRSGSRHHSRRRSSNPRPVVIEEKYALRRPSATYVYP PVEGRRSRNFF MYCTH_2308185 MYNNTRERGIRHNNNPNATTAGAPGGMTYGQSAASGPAPTTAGH HKHDMLNKLDPRVDSTHDRQPMTQASSNIPEGTYGPHSSRLANALDPRVDSDLDSTRA GRSQLAGAEGSLSGGTTGTTGTMGAAGTAGGYGVAPEGTYGTHSSRLANALDPRVDSD RDRDRDRGLGGPGTAGPPAAAPVPAGAGATATRTAGPHKSDLMNKLDPRVDSTTGAWK GGTGSRGAY MYCTH_2308188 MFVLRNVGKLLFGSSNQESMIELPQGQLYLVRPLSPKGYSELIF KDASARIRRTAQDYHYQLVVQRVYEEGEAELLAEEGEDAEADADVLAGERDEKTFLLD EALHFRVENREGSERVLAWRDLSGDAGDVYEFVCDPTIQPSQVEQFEQIARRCQYERK YRKPHTTATEEDLAQFQFDEQPIPQASPIHSPTITRSLDPSDSMVLGKPAANTRVKRE NVRETGTTPANTSKENDMKPPAVSAHPEAREILAAEVAELHFFDFPSGTFVLQDAAVT ATVTEIGDWQYWLQVKSSDRDWLGIPVVADINPVFNFEFLSFIFNQFTDDGSAYSWLL RFKDQPTLERFQDGLLQALWEQLNETKWSKIKDKERDYVADAFNDLTMEDSEQAEEEE EEQEEHAEEDEEEEDDRPRSEHYDSDEDADDVEYKPKDNDVNKQLAVGYKHDRSFVVR GSKIGVFKHTPDNHLEFSTNISKVETPKGELFSPKKVMLHNEDRNLILQKDSEPNKLY RMDLEYGKVVDEWTVHEDIPVVTFAPENKFAQMTHEPTFLGISKNALYRVDPRLSGTK LVDAQLKQYVSKNDFSAIATTGKGYIAVASNKGDVRLFDRLGINAKTHIPALGEPIIG LDVSADGRWVLATCRTYLLLIDALQKSGKNEGKLGFEKSFAADDKPQPRRLALTPEHV AQFAYETGKGVNFTPAKFNTGEGAEETSIITATGPYIIEWSLKKVLSGRKAPYLIKRY TDDVKADDFKFGTDKNVIVALPHEVNMVNHARLKRPTRESIAGDFGIAGRRGSGRIGT PRSGRYKLGKEDVVKEAF MYCTH_2308190 MRLNHAFGTAAVALLGSGFAQASDESVRQVAIVGAGAAGSSAAY FLRQFAAEAGVHVNVTVFEKTDRIGGRTLTTNPFNDPTQRFEQGASIFVKVNHILYNA MTEFGLSPSYRDADVDSVMGIWDGDRFVFTIDQNAPSWWKILKVIRKYGISGPRKVQH LTATTVAKFLRLYEPQFFPFESLTQRAEDLGLLEVTGVTGEQYLEANDVDARYARGLV QASTRVNYASNLAQIHGLDTMVSMAADGALAVEGGNWQIFHEMIQRSGAVVALNTTVI GIDKVSDSTTSQQRYAVQTRSGSALDSSTTHPVTFDHVVLANPFQFSGISVGEGVLET AIDEIPYVQLHVTIFTSPYRLSPEFFGLPTSAKVPGMVLTTLAQSDTPSSGVGGVGKA GFLSVSMLGQATNPQTKRREYVYKIFSPEAVTPEFLSRLLGRSVPSGFTGSDSPISWY LPHVFNSYPRALPRVTFQDPVVGAGVYYTSGMESFISTMETNALMGKNVARLVVDDMQ GATVAKSKNGLAWEEKQSILV MYCTH_2308195 MSAAQLLNPKAESRRRGEALRVNISAGEGLQDVLKSNLGPLGTI KMLVDGAGQIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDICGDGTTSVVLLVGEL LKQADRYIQEGLHPRVITDGFEVAKNAALKFLDQFKLPRDVDRELLLSVARTSLATKL SGSLAQKLTPDIVDAVLAIYQPPAKPDLHMIEIMKMQHRTASDTQLIRGLALDHGARH PDMPKRVENAYILTLNVSLEYEKSEINSGFFYSSAEQRDKLVESERRFVDAKLKKIVE LKKQVCGNDGKKNFVIINQKGIDPLSLDVLAKNGILALRRAKRRNMERLQLVCGGVAQ NSVDDLSPEVLGWAGLVYEQQLGEEKFTFIEDVKEPKSVTILIKGPNQHTITQVTDAV RDGLRSVYNMIVDKSVVPGAGAFQVACAEHLKSDAFRKTVKGKAQYGVDAFADALLTI PKTLAANAGLDVQDSIAKLQDEHRDGNVVGLDLATGEPMDPTLEGVYDSFRVLRNCIA SSCGIASNLLLCDEMLKARQMGRSGGPGPGMEGPEE MYCTH_2308197 MVLLLQLNAELPDRFPTHERRLYVFACKRKSCRRKDGNIRAIRG IRVSAEAPSSSVRNDPAPQAAAPPKASSQGLGEALFGVKPAATAGSAARANPFATSSS SPTNPFAPKASSSTPANPFAKAHPATEPPKPDIGQTTADLPKTFAETLSLNNPQEQQA PVTHGPPSPPEPWPELSAQPAPYPVRWLADAEYETLDPIPLPAVPTGPTAMDIDSGEG SGGSGKEDKEVFESTMDATFQKFADRVGQNPEQCIRYEFAGEPLLYSKGDAVGKMLHV SEKEGKVSTGKGMPRCENCGARRVFEVQLMPHAIQELECEEDGLDGMDWGTIIVGVCE RDCQERGVRVGEAGYVEEWAGVQWEELTMKR MYCTH_2308199 MNGEDPQERPEQIRNIINGLERYNPQAAEVLEAYLQQQCEEKFC DCNANRALLKLYQLNPDRIKDEVITNILVKAMTQFPSPQFDLALHLLSPSHSNPGPNS TSDLAEAVSKLRVLNSQLESAQYGRFWATLDSDDIYADLTTDIVGFEELIRLRIAQLV SQAYREVQVSVLEGWLGLDSEEAVKKFVVETCGWKVGEDGMVQIPKNPDNEAKKTEIR EDVTVDMFSRVIKRSWEDSA MYCTH_2308202 MLIALSRAFLLSKPPGAEFATKDPKALAKLKKEELAEIWARSEE LVKASYARHPDYNDLIPVLLEIGISEELLVRCGLTLHIPLRPMLGNITRDLSEMLTKL QGRDFTCEYKYDGQRAQVHCDSNGKVSIFSRHLELMTDKYPDLVALVPKIRGEGVESF ILEGEVVAVDRATGELKNFQTLTNRARKDVAIGAITIDVCLFAFDLMYLNGQPLLDQP FRHRRDLLRSLFVEIPHHFTWVRSLDATSQDSESVLEFFKSALDSKCEGIMVKTLDNL PDLEYCGDKEGPEQTGPELNSSFTAKNSGSKSKRRDNGGSSNNNNNNNNNGPPKSRRK PLLATYEPDKRLDSWLKVKKDYSSSSDTLDLIPIAAWHGQGRKAKWWSPILLAVRNEE TGCLEAVCKCMSGFTDAFYKANREFYDDGDEDSGVGRGRRNTHARKPGFVEYAGGVPD VWFEPCEVWEVAFADITVSPTYTAAIGLAKEDRGLSLRFPRFLRKREDKGIEEASTSA YLAALWRKQEARAPQAAETAGQAAEEDELDDEEAAAAEE MYCTH_2082283 MADAPVTLLPLGAIIQEFRIGGLNIVQGFPEQSHYESYNAPYFG ETIGRVANRIKGAQVDSLNGGRSYKLAANNGPNNLHGGVVGWGKKIWNGPTPVGVRQI PGIEGLDGGESVKFSLVSQDGDEGFPGTVEASVVYTVGKQVQDGKEVLVLGFEYEAEL VDGADETVINMTNHSYFNLTGGPSIEGTVVTLATNSYLPVDDGGIPTAGPKPFGKVEV TKPFTLGAQEPDIDDCFIVNEASNTIPIDTRSNPLTKLVSAHHPESKIHLEVLSTEPA FQFYTGKYIDVPEVAGVPPRKARSGFCVEPSRWVNACNVDEWKSQMLLKKGEKYGARI VYRAWKE MYCTH_2308205 MSITGNNNNGQNYDTHHRTPRSRRRSQFHSQATCKFRKWPTLAG VLCIVDQLQNRVREQELHIVWMEEQQQRINQLQDALTKLTLDMAYKMQAEDASLSPVV FPLS MYCTH_2308206 MIVPESLLSCFCGAELQHQPENHHCGNNLKYTLINEKPGLEPVP STAATPRLHPACPYLDGNDNKNDDDDELTRRADRILTVLLTAPRPADPSEADLTSGAA AAAGLRAQDWTSYLAERVLHALERKLGDVLAEHSSADRSGWGGALADAYDYAVELIKR ELRELWEYAKAHPYETAATVLLTLVSLGVLARLLPLIVRALGFGELGPIEGSFAAWWQ RLYGGYVPKGSLWSFLQRMGMTWK MYCTH_96130 MGNIESFSRGVLALLNEFKKVVGQYLPNDSRWEACLSGRFNRWR SGEIRLIISFRRVGIGRCNEAGRDRLVPLHRLRLWLARARPVTGRPPTLTQDHERPTQ EEEEEEEEEEEEEEEEEEFPTNRDGGYIHEG MYCTH_2119852 MSGKNLVLSAATKTTNWKRPDLAVHAMKAPLEEAVAANESILPR NTAEVILRTAVCVDSSGNFIRTVHLPTGK MYCTH_103628 MARLLTTDAVLLGRISSYTVIKELHRAADEGAVYLARNRDGENC IIKSIRGHWRLRNEADILKRYQSETPFLRPLVDEIREPADPPSIVLRYLDSDVLTESN KKRLSRPEIKQVARCVLEALRVLHRDGMVHTDVKLDNVFVNYGQGGQRFCEVQLGDCG GVVSQESSFAKEGHLIGAGFTRSPEATFQLPWTTATDIWSFGTALLSLVLGGGYHLFN PKVEGVGPEDDAYEFTVLKRMHKFFGPFPQSFSDFNDQDTMTIINFINQQEPPAKPFA RAGPREIPPADKEFILKIMKLDPRDRPTAEELLADAWFTEESSDTRAPLPGEVGEPGK SKHPGEEGPGT MYCTH_2308208 MAYNEMFQYSIISALMDGVASHGPPVARILEHGDHGLGTFQNMN GEMIVLDGQVYQMKADGSVVHIKPTDTITPFATVTRFKPTEQRRATVVGKAGLEALLT GLFPNAKNHFLAVRMDGVFRKVNVRTAGGQTKPREGMVDVCARQTVHTFAGVKGTIVG FRCPQYVMGINVAGDHFHFIAEDRQRGGHILEFETEGDVDITAAQMSNFHLELPTEDD EFNDAELKLQAQGIKAVEG MYCTH_2119855 MRRFFRRHRKTSAKNDDLQQKQRPTMSLSIKQLATTPGSHMCTS TCQGISGLPPEYHEFVIAQLTQRLGATPEKLMIEGFAMSNVQTVPGQGSDVVSEPELL HKRAIEGNTEFARMPLPLSETYRYPPLATGHARFLRLGRLGSHPLACLESHSLESPPP YIAISYCRGSTSAQRGLVLDMQGFSVSETVLEVLNQVQAWQHATRSNELLWIDQICIN QSDYNEKLDQMYRMGDIYYKAEKVFIWLGPAANQSDLAISNMKKMLGEVMALNQATAT RADAPNGITAARINEIHGQLYGHLFLRPWFRCLWTVQETLLARRLVVMCGNQEVDLVL LAELATQILTYGSLDIIQFPGACEEDLTNALVAFVNLYTLRDTDVPTGQMLHLSMSGF RDLVSEARSRQCTMAPDRVHALMGVAPPRIREYMAGVCQNGGANHRDIWHLYTHFTKC MLDNDSKWRFLSSAPSRDRPTELPSWVPNLDSQPPFASKLSGDFSAGISSATRHLVDR TVSAEELVARGFRLDVVAEIVPQTAFTEAAQNTRHNEVYGDAAREWEQACLRLCQRVY GLGPEQLPRLHIDILLAQSSSSAARPALGSNGGGGGDGDKGGDAALEAYHLFWAGCRL RNEALRQIREGGFPVPEHLSHLPDAAERFADRAVRAWRNALSADEYALLLGFTSAMKA ACSGRPYISTRRGLLGLGCPGVQAGDVVCILYGTTVPYVLRPRPDGAMSLVGDAYIHG AMDGEALAWPDKEPGEMIRIR MYCTH_2144658 MADSPFTTPKRKRSEMLNDDALKLNIATQFTFDINSKADDGNAS PRTRVAHRFRGLALGGGGGGVSAPLAELSGIVDDDAEEGSRKRIKLPDIEMPDVDSLA ATDSDLIPRGSHGGRRLSQNSICIALDDAVVSQSETIGHTGADDNDNDNDNDNDNDNN NDVESNSASTPSLTPPSPPSTITSRPQPRPRPRSKKHRTPGRRRAGTPPFPSRYALSA SSSSSSSSSSSSSSASPTIIDPVRASLTWHDDEITIYDPDDSDDDGTGINGIGFKPTP AIAYARAMRRRQQLVEYRKREERESRAKRTARRRGAVADSLASAGGLAAPAGSAAGDL VAASGVGKKGKAQRRKVRFLEMDGPELIGV MYCTH_2308209 MSTQQPSSRSHAPADPNRSWIQQTDAAPPSTSQPPRPPVPPPNP QLQPSPTDGQQSPTRQPTIVDAMQTIKPSDFLTFHQAPCARTGLLTGIGAGAAVGALR WILGLPVPRAANWAVGTGVLGAIGQYEYCQFRRHQEREKVKRVVEVYAAKQAREKKEK EEQERREREKALAKEREEAEKRRRWWRFW MYCTH_2139989 MPNTSLRRPQKGYRRGGKVAYHGPRTRTFAATSNARGEATSIDE KWERTALAHQIDENMGFARYDAGRKREGWLVNVQPTSIDDPRVPGGGGRAALDCYFIE EDGSTFKATVEYDPYFLIACRKGHETEVEEWCKRVPGGGVIKNIRRVEKDDLKMPNHL LGYRRTFLELRFHNVQDLMAARRDIMPIAEKNKKGMDAMDTYAEVATANGNFDLFDDD PRADDRRNNASFAEASDFIVDIREYDVPYHVRVMIDLDIRVGNWYFVEAKNGVTTVTR NEERLAPADPVVMAFDIETCKAPLKFPDPAVDQIMMISYMIDGQGFLITNREVVSEDI DDFEYTPKPEYPGPFMIFNEPDEKAVIERFFLHVKEARPTVIATYNGDFFDWAFVEAR ASVNGIDMYHEIGWKKDSEDQYKSNYSVHMDCFHWVNRDSYLPQGSRGLKAVTVAKLG YDPDELDPELMTPYASERPQTLAEYSVSDAVATYYLYMKYVHPFIFSLCTILPLGPDD TLRKGTGTLCEMLLMVQAYKNEIVLPNKHVAPKEAFWDGHLLDSETYVGGHVESIEAG VFRSDIPVNFAVDPAAVDELLRDLDAALTFSITVEEKKSMEDVVNYEEVKEQIAAKLR DLKETPNRHERPLIYHLDVASMYPNIMTTNRLQPDSMITESDCAACDFNRPGKTCDRR LPWAWRGEYLPPKRDEYNMIRHALENEKFPGKTANSPMRSWQDLSEDEQANLIKKRLQ LYSQKVYHKIRDSTTIIREAIICQRENPFYINTVRDFRDRRYDYKGKAKVWKGKTEAL KSSGASASEIENAKKMIVLFDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGVTCLTG ATIIQLARSLVERLGRPLELDTDGIWCMLPATFPENFAFKLKNGKKLAISYPCVMLNH LVHARFTNHQYQTLVDPKTFKYETHSDNSIFFEVDGPYKAMILPTSKEEDKNLKKRYA VFNEDGTLAELKGFEVKRRGELKLIKIFQQQIFKFFLEGTTLAECYAAVAKVANRWLD VLHNKGSTLADEELMELISENRSMTKTLEEYSGQKSTSITTAKRLADFLGEGMVKDKG LNCKFIICARPKGAPVTERAVPVAIFSAEEETKRLYLKKWLKEEPADTDPRALLDWDY YLERLGSVIQKLITIPAALQKVRNPVPRVPHPDWLQRRINLKDDKMKQKKLTDIFGST TKGGPLEEISLSSTNLMGDVEDIGQLLKPKTISSAISASQKAPVAQKRKSPEPAETQD PFATLPKKMPSPSEDYPAFLEYQKLKWKLQKQARARRRHLFGDRRSNIQSSIQQTFRN QAEMTFRNTWQLLQLRATDSPGIVLAHVLIDSKIHSVRINVPRQVFLNLKSQELPDIE VEGCQVEQVHHTLPNGHSSVHLFKLTVPEAIYYAESEKFSLLFNHPSVEGVYEKQLPL NLRAVLQLGNRCTVDQSQPGVLGKGLDHGFDLSSLTRPTKPNPYLEGARMSYIYLSHI SAGERQIFGLFSTTSDKAYVIIQQRSKDGGQDLPNISKLYSDFLARRNREEPEDSSWQ ECFSYQEKLSFKVTQVTTRRKAFLEVGDVVKKMKKEESGPTLMVIQSSQRNLLVHDIP ILGEFPVLPLRYDPADGALPPLGWQTVVARRLVNHYLSLGSWINHLTALAKYGDVPLC NLERDDPRFLIDVAYARRLQANNVVLWWSDSPRPDHAGYEKDDVAGPLERVQMPSVNN PGTFASVCIDLEVRNLAINTILTSSLINELEGADSISFNPAADGAGDGNEGLFADNAF ANAGVLVLREMVKSWWAEACKGSTMADVMVQHLVRWVESPASCLYDRALHYYVQMMSR KALLQLMADFRKVGSNVIYASPNRLLLQTTKSEVGNAYAYAQYILKSIKGKPLFHFID LEIKEYWDYLVWYDEFNYGGKACQQVVEKDEQDLQMIMHWQMATFLPVRLQPTFRDWV VEFIELMHSIKRPATGSDPTATPRLTQLPIRNGNAGLAEDAPGQIILGKAFEKPLKKE IASLVATQKRELLHPELAADYTFPSLPGSHLAHLTPQSSLITNTTNGNNNNNHPTASA NPVLELVKSLMQVLSLDKNITLEARLLRKELLALFDVREFSKEGTFQNPSESLRLAQL SCDNCTMARDLDLCRDEDLLPPPPSSEGGGGGAGGGAAGDWSWRCLFCETEYDRNEVE ERLLGEVEGLVVQWTTQDLKCARCGALRVNEFMEHCTCSGEWKESVSRGEVMKRLGVY RNVAKFYGLRMLGDVVDGVLGGL MYCTH_2308212 MLSDQEIERAAGQLAEFKRSDTLSRLLDQYSVLIEEYKRLKSDY EEEREAREKYKQMAKDQERNPFVLVLIDGDGYVFNDTLLSQRAEGGSLAAQMLNDEVK ASLRRKGLEHCQIMVRIYANVLGLSKALAKTGVVSSDSRSLAPFIASFNRSYGLTDFV DAGQLKENVDFKIRALLRLYADNSQCKHIYFAACHDVGYVSELMPFMGNSSKFTLVNT PGNLFHDEFGKLGMGIEEFRNVFRHSPIDGSAAHPQDNSNLGGASRTVSVPSLPPKSP TKSSATSTADQEQKKACLFFSMGKCRYGKSCRMLHVSPTTEPQNTKQSTDQPSSHSPK KLENSAASLDLQLSKLPIEEEIPDGCVMVNENNHRIDPRLPFASAGVINRLKTRVNKR RICNRFHLQGFCEAGDRCEYDHETLDQDLLPALVRLARSQPCPRRGECRLEGCSRGHI CQNLECRHRGGKLHCRIPYSAHLEPLIVARYIPSVPRQSRQANSDSGSSATSDSISE MYCTH_54010 MGRVEGSTSALSVHLEQGLLPEEVEWKPGKKEYAVMITLAIISL MVALDATILVSVLPTLAVDLGGSASDAFWAGTSYLLSCAVCQPFIAALSDIFGRKEML FASICFFTLGTILCAPVAKNFTVFFVGRSIQGIGGGGIITMGQVIFADIVPLRQRPKY FSLVLAAWALGSVLGPLIGGLFVEHAIWAWCFYINFPFCGIGLVLVPIYVKLTTAKTS LGSKLGRVDWVGGFFFIGGLTSFLVGISWGGIQYEWKSVQSVTPIVAGVAGVAIAVIW EIYGAQEPFLRPSLFHTPSALATYACALFQGFILFCALYYIPFYFAAVKFEAPTQSGL DIFPVSCLLLPGSIVISLLTTRTGRYRWAIWSGWVVTAIGCGLLVLLDDDTKTPVWAV ILAIFGIGHGMLLTSVNVGIQAVSRVEDAGRAAAMYAFMRTLGMSIGVAVGGTVFQNV MINKLKELGLPASIAHDSEAFVQTLARMGPQDPKRIASIQAYLAGFHGVYWTITGAAI ASFFISLVIKRHSMDKLLESNF MYCTH_103620 MGKEPSGFTIPGFICLAPSIYVQDARLTGDGYSAGGGGGGGEAM FSGSSFCLPLGPAAQPSSRRPDTAPPDLIVITSWTGAAAKHVAKYTSAYNALYPGVPI LLITTAVSDLVLRSTKQKLKALAPAVAYLLSEEPAAGAPYPARTASSSSSSSSPFSSA FPSQPYHYVTGHPYQPPPAPLQVTTVSANTTSPTRPRFSSLLLHAFSEGGAHKAVLLA RAYLSTATAAAAELPVHALILDSTPGTSASLTRLANGVARALPPGTPRLVARGVAAGL VGVSAADEVVWWRDVHRHAVESAAARPASSSSSSFSVDAAAAAGLEEEEKGGGGGPGV GSLVVRFKRTGHCAHAKGAVNGAVYWTAVRRTWEMRVDGGMGLRMGLGRRLSLDSLCL QEEEDDEGLQL MYCTH_2308215 MEGAARPRIGTTVTPAGTFFSTSIRRAVVNMLVRREEDCHPEGG IDRCKKPWVSSKATWIVVGVLAGLIVLVTVSVLLFFHFRGRRRERHEDLEDRFHNADY GLDELPGGGKKSRPRPDDGFSSSQSESPAGYGRRSRDPLQVGSEPKYPSPGHLNGRQN QFERQ MYCTH_2135067 MSVNPGTLRGAPGRGQGRGTIPFASSPAGQSAIPRPVHDGPSAA SEAGGSTVSASRQKQSKRDEAIRRKMENDLSKKKHLTTRARQSRKAPPGTVLALRPSQ ALQIKPQTTVAEAAQLMAAKREDCVLVTDEDDRIAGIFTAKDLAFRVVGAGLKPTNVT IAEIMTKNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGVLDITKCFYDAMEK LERAYASSRRLYDALEGVQSELGTSQPQQIIQYVEALRSKMSGPTLESVLNGLPPTTV TVRTSVKEAAQLMKENHTTAVLVTDQGAITGIFTSKDVVLRVIAPGLDPATCSVVRVM TPHPDFAPMDMSIQAALRKMHDGHYLNLPVMNDSGEIVGMVDVLKLTYATLEQINTMN TTDNEGPAWNKFWLSLDHETESMVSGDGSHHHTNTNARSLMSPDMTRSERHVTDSVAP GDSASHAGLESPRHSAVGAGTPELPPSEVPFPFKFKAPSGRVHRLQVIAAHGMAEFVA NVTAKLGSEVDAIGGAPVVEDGKLSGGYALSYLDDEGDSVSITTDQDLLEAIVLARHG RRDKVDLFVHDPKEPPIAPAPAPVPAPAAAEPAVLSTPPASSVVRERGKGALDAEDDS EDDGDVSEDEDAPVRRGRHARTRTAQQLHHHHHQQAEQVIAGVPNELLLPGAIAMLAV VIAGVFTISRLSSRERRPANDFSINISAMILRNFGRRVLAAPISRSCLRSLSSSASRP ANAPTTFAEPAQKTQTQDPAPAAQQTTTQAYAAAQAAARQAELAQIPVNYAEYMHQRE HTRDIGSRVESRYHPDQMLLDPPHDATLEMLMAAQVHMGHHVSQWNPANQRYIYGERA GIHIISLETTATHLRRAARVVEEVAYCGGLILFVGTRKGHMPAVVRAAELAKGYHLFQ KWTPGAITNRDVILANGALKIVDERDRELPGFETHLRDRRPVQPDLVVCLNPLENFPL LHECGIANIPTIGIIDTDADPTWVTYQIPANDDSLRSITLIAGVLGRAGERGQQRRLA DAQEGITTWRTPRDIAHFIDKDAENKAREALAAAESAAAEGVLDEKPLTREDFMTDEE LLREMMDTPGIAPRP MYCTH_2308224 MLKDKEVISDPQRQYEIARSVHNQAHGGINKTTATIAERYHWSR IKETVSDVIRNCSECKDTNKTSSSQNQQPSPGTGVNGLKRPNPNAASSASACKRASPS PSAPGAGLDFAAAAAGAQRPPPVADPSHMSPFNVPAHQQYADPSAIAISILPPPSHHH PLPSPTDTAMTGHDVMSRDTHDPMLQQLHPHHHPPTAHPVTLPHTIPVPDYQPIDPQI IAQSSASADLHHHSHTHEHSHHHYSFSQPSPPPSHHQQHDHPHHDPDTDADAETFQAL LNAAVTDDDEEEDANGSQLAVGVSSASPGIQRHDIGDDRERGNIPSPGGPVTHTASMS AHHDHQHQHQQHQHHHHPPPPPPEHTTPQPREGTKAEQEEEDEAVDRDLEMLIESQED DEPLPAEHEDPELSGKASGRGDALPPVDVEMDIGTGGDTGAAVPVAASPGKVEDVAGS LAGGPAQGVARRG MYCTH_2094933 MSFGGQTPTIIVLKEGTDTSQGKGQIISNINACLAVQATIKSTL GPYGGDLLMVDANGKQTITNDGATVMKLLDIVHPAARILVDIARSQDAEVGDGTTSVV VLAGEILKEIKEHVEAGVSSQIIIKGLRRALTMAVNKIKEIQISTDEANRRDTLSKLA GTAMTSKLIKRNTDFFTKMVVDAVLSLDQEDLNEKLIGVKKIPGGSLTDSLFVNGVAF KKTFSYAGFEQQPKSFTKPKIVCLNVELELKAEKDNAEVRVGQVSEYQAIVDAEWQII YKKLEAIYKTGAKVVLSKLPIGDLATQYFADRDIFCAGRVSAEDMERVVQATGATIQS TCSDIRPEHLGTCGHFEERQIGGERFNFFEDCPEAKTCTLVLRGGAEQFIAEVERSLH DAIMIVKRAIRNKTIVGGGGATEMEVSAYLHRFADQDVRNKQQAIIKSFAKALEIIPR QLCDNAGFDATDILNRLRVEHRRGNTWAGVDFQNEGVADMMERFVWEPALVKINALQA ATEAACLILGVDETIRNEESQQPQAPSKPLPPGAAQRALRGRGRGMPRR MYCTH_2308229 MGMGKHIWELDYDTFKDTMKLMMLGGALTYNLTTMFIKLSILSF YLRFSVETPFRIAVYVVMFISFGYSIPNAFLFLYVCRPIRSYWDWTIPGTCINQQAIF DASNILNMVTDYLILLLPFWMLRPLRIPLSKKLGIGFVLAAGGFVCGVSTMRMVTGMT GANNPDITWHYPVNLIWCLVEEYIGIICACLPCLKAFTKHFCPSLFLFSPDFDNRIAA SFPFASRSRLDGSKTAGGGNEKRNGADNATAADGDAKDDGGRSAWWRLVDAALGSGSS RSGTKTGSRVTGTRDSKLRSGERPSSSLDVDVEAGKWPNSEKAPGVVTATEGAS MYCTH_2308231 MSSASETIIPAAPPPPGVTPNFENPESSSHQLIIVSVVFPVFSF FFLIPRLYLASFILRKWHTDDYLICVAAAGQSGLAFSVGS MYCTH_83980 MVKKRKNNGRNKKGRGHVNPIRCSNCSRCTPKDKAIKRFTIRNM VESAAIRDISDASVFAEYTVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRVR YNKDGKKITPTQQAKTA MYCTH_2308236 MQIFVKTLTGKTITLEVESSDNIETVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGAKKRKKKVYTTPKKIKHKRKKTKLAV LKYYKVDSDGKIERLRRECPNETVG MYCTH_2308241 MGGGPTDGYRSVAYFVNWAIYARKHRPQDLPVERLTHVLYAFAN VRPESGEVYMTDSWADTDIHWEGDSWNDTGTNLYGCLKQLNLLKRRNRNLKVLLSIGG WTYSSNFRQPASTPEGRQKFATSAVDLLSNLGFDGLDIDWEYPQNAAEAADFVSLLAE VRGALDKHAAKVSATSPPHFLLTVASPAGAQNYEKLDMAGMDRYLDFWNLMAYDYAGS WDRVAAHQANLYPCQTHAGSCSPFSTDAAVRAYIDRGVPPGKIVVGMPLYGRSFENTD GLGKPFSGVGEGTWENGVHDYKKLPLEGAEVRVDESAGGSYCYHAGRRVLVSYDTVDM ARQKAQYIKDRKLGGGMWWESSADKGGGESLITNVVDVFGGTTALEWRENCITYPFTK YDNLREGFPNN MYCTH_2308245 MSSPESPWPSPYRAAISFTMDNLGEAQDVLKGAWPHPIGTHPAV TDQLPRMLALLDRYRIRATYFAEAWSLGVYPATVEALARAGHEVAWHGFQHEVWSGLD EAQEAESFRKSWEAARAHGVDYVGFRPPGGRINERTWRLLKEYGVEYVSPLGEFGLGK EGVAVLPFDWRAVDAFWYMEKFDRIRKEYGENEDVRGPKEFQTWLRKEIDEVVRTGGY MSILFHPFLQTSEERFEVLEEVLKRISEDKSIWVAPCREVAEWVKKHPHLFQSGPAA MYCTH_96111 MADPLGTLANVLAVLKLAAAATQYIKEVKNGSSERLRLRDELRS TTCLLEMLKDRLEDGESAEDGDEASLKPPSISSLAAEDGPLALFKRVLEDIIDKLAPK DRLRRLAQPFTWPFDKRDVAELLATLERLKSHFNLIMQNDLVELAKLSHLKLDNIQRQ IETATSRSLDNEAEKIILWISPLSYRARHLDVLNTARPGTGTWFLHHPVFNKWVNGEL NVLWCPGIPGAGKTILACPSIISSLVIDNLESHHGSATSLCTYVYCSYEKRREQTPVA LLSSILQQVLQQSRASTLPEEVLSLYRLHSKHGTRPTLAQVTETLRAVTASFTVFRVI VDALDECAESDDDALRFISAVRSLGPSVRLLCTSRFSSVFERYFAEADSIEISARSED IRLYLDSEIQQHSRLARHIHDDPALKQDIIDAITGEFRGMFLLAKLHLESLSTKINRK AVRLALKTLPATLDATYSEAVQRIHNQAPDLVEVAKSVLFWVICAKRPLTVLELRQMY ATLELPDHTPLEDDDLPDGELLTSTCGGLLLVDGEAQTVRVVHYTAQEYFERTHVQEL EAARLSLANASLAYISLPNFSDGPCATDTAMARRLEQFPFLDYASRYWGVDIGSFSSA TNDPVWAKLDSFFSNQSAHEVAWQVQNLPRVRNHAGRRASLAASRKGMLQVIRRLEAD YRTVAERPLQRHTRIREEAEGSNSTVNGVAVSEEHQELTDPARPEEDDARDLPDWLTV TNGFSKLFEFEATIGKGHFAEVCKYRNRVTKVAVAVKIFKYRPDAKLPRMPYSLRNEV ELLRKMQGEPHPAILNLIEVFLDFTRRQLLVVTELADAGDLFTLVVSKGKLSEEETRR VFAQLFSAVEFLHARGWVHRDIKPENILIFDQETLSIKLADFGLAKELPVDSSRWAYN ATLCGTPSYVAPEVLAESQTRKCGTPTDIWSCGVVLYICLCGFPPFSAELKTEDFPYD LGEQIRRGLFFYPSPYWDPISDPALDLIDNLIVVDMAQRFSARQCLEHPWMTSTGH MYCTH_103611 MSAQPPYYGQQQPPKGYSAPPPGSAPPSGYGPSNPGTPYQYPPP GQAPAPQQPYYGYQPGGQSGQYPPQQYPPSAPYGQQPPQSAPYGQPPPPPLSGQYNAQ PPYGQPPAGYGPPPPPPQGYPGQQWQQQFSPQGAPGYSVPPVPLTPASPGYDPAQKAW CGTTTRTFIRNLADDIKSETRGELETALLALIRGPLAQDVYLLDKSLNRVGTDEDTLM DVLLGRSNADLRAITAEYRRTTGRDLLADIKSDVDEALFRLCGMVLAGARAEEAAPVL AHEIDAKVTELQRATEGTTIGANAVAVAQRKYRRALDDVVEKEFRGDMEDALLRMLAE ADDPAAADALRLRKALTGRKDKLFINRVVSLYWNFPRLASAKDAYRKRYGTTLARNVK DLLKEDFEAVVLALLRDN MYCTH_2308246 MEELNLLILGAGWTATFLIPLLQSRNISFAATTTTGHPVAGVPT LPFKFDPSAPEHETRSAIAALPRARHILITFPLIGAGPSRLLLSTYTETHLTSVSTAQ PDDGTGPFRFIQLGSTGIWQQQQQRQQQQQQQKHQEAPTPTSPWLTRHSPYARDNPRA VAEDELLALGGCVLNLAGLWGGTRAPRRWARRVAPTKEALRGKASLHLVHGADVARAL VRLVEGDAVGSLWDRAGRGQRWMVTDGFVYDWWALVVGWADSAAAEEEEEEEEEGEGE GEAEAEVGAEPTERARWVFELMREHGIRALPRPMETLGRCYDTREFWEAFGLVPLKGR MC MYCTH_96108 MEKFISDSLLSSSTRFMDVLRRVCSRLVDRLDRHIHEAGLDQQN LEIRAHFANNDIDPRSTDDDATGLLVGETASICSNSESVYSCSNLNRLHGTSRYRIPA RSVREQVRAAPSPGDPGDAQYPKYHGGYEHWEHIYKLRSKPPWVEFPILTHRNGRIWT QGQPPGPVRAVYNNADRSKFDVVYHDRSAARRGVDNGFTKAIYRPGRRPLNSPNQERT NVPDTSYHCTHVPSGLHGTPEVCSLSSGTESRTKECGDSGEPDSGGSSVVS MYCTH_2308247 MQPVPRTRRTPQNTQHTYSLGRRIHDVKTYPVRSPQGATILIYG HENGITVVWRGGRRLKPAKQSASEKQNGANSENAVMVIDSDDETPSSAAFVDKPEFLD TPTTDAPVPEITQTLDLALGTAVLHVAVLPMAATSAEDAAGNGANILKSKIVFAVTCA TTDVYVITLPLTPPSHESKARPELRKNLLAGNAGTGVWGETLTLLTGPTRPCGGLAIT LVKHKPGSRSRSTERPAGQAAPATRVIVAAHSREASGILRLWHVALDAKPGTVNRVEP FQTEYLPSPLSSITFNPTHTTQLLTVTSSQAARIYDYATAALPSDDASEGPFPTQGSW LLSLYAPFARGPSMSTTRKPIVGAEWITHGRAILVLLADGQWGIWDIDGASPSAGGTG TGSLFSKASAGLRGSAITNFSVSGHLEGTSPLRNPGTQKSSTAPGATGDFVPMTPHAR RDAVTSATAGGPEKLATVRGGITVAQLAPQGTGPGEESAVLWLGGTDPVVSVIPVISR FWDSQLRRAAGGGVNLWSGAQPTRMLRLADLGAGLLGERCTGAAAIPKPGRAATTNGS SAAKDGSSNRAEGLPIEVLVQGESRLVVVHESEDSSSLTSRLLGARRKPRADLGPTRA ILAYPRPDLPASSNNVSFNLSIAQPRSRKTGGLFQTRLRPSSAKGSFDQSVDVLPSTE VPDETQSALSSQQGMMFVNDLSFAADQPDDELEVEGRDIEQELLDIMEIDRELVQMDR ERERGTKRVFFEED MYCTH_108781 MSGLTQKTAAVARRVVTTTTTTSSFSSSSSTTTLSRAAFTTTAR HQKSTVEAAKETLKTVDRKVSDKLVDGINIGTEYANKMKEAASDIKQGKVTGKAAELR GEVAGQAKDKASSLAGEAKGVAGEAKGKARGVKEEVEGKAREVKGEVKEKLS MYCTH_2315847 MPPRFTLTLNASAALRIGRSVVRHVRYSTEANTGPLIRVTNLPA PNSGHIRILELNRPAARNAISRALLSSLRDEIDAVHEQYDAATGEELPTPSWNKRFGG VAGDDQKGPTRALILASAVDTSFCAGADLKERKSFTQEETAAFLLNLRTTLTSLSALP IPTISAISSLALGGGLELALATHFRVLTSNAVVGLPETRLGIIPGAGGTYRLPALIGI PRARDLILTGRRVSAPEAYFLGLADRLVEVAPESEEQAKEWDAMEDSAREKAVLDVAR RAALSEAVRLATEICEGGPIAIRAAIRAVEEPREAVENQMYERVVGTEDRDEALRAFA EKRKPVFKGR MYCTH_2315848 MKSMVFAAALVALADALPDNPLSFRHWKRNNIVNRYVKGKEQPI EPPTLGAPTVQGCFKSAGNMTMVDTPEFNSIDKCGKDICASKGYTAAGSSGGNQCWCG YVYPPKSDLVDDDNCDVGCTGFGQHACGGIDYWTIYNTGVNLAVKYMEDQKSSTSSSS TATPTHTSEPEKTLIVTASPTSSSDADEDKGGGTNVAGVAAGVVVGVVVVAALIGGGF VYMRRKRNKEIEEEHRRNAAVNAFIGKTPRSSGGTSMSDSRLDPILAARRMSDGSIAD NQDYSRRILRVTNA MYCTH_2308258 MHTPRFIETATPLHVGSFDPAVSLTEDLPKEVDADILLLGCGDV RNILYTIFVERGLPERKLDFTACDVDEYVIARNVLLLTILLDNEEAVSLRQTWHIYYD LYLENHDVLLVENQAKKLYELSQSLQTWQASPYGTTLRFCDEKTLLLVHDIWAKYAQQ ARWRDPGADRDRWALFRDRLRDAKTKQSHARQATRQSVSRSCAPLAMQMANDLLSLTE EHWELGVSGNPRTASQEIPNPIFAVPLTTLSELKYPTNPLLGFHLAVAQAELTELSPL YLEQQDSGSLQDRHRLFEMAFLQFRNWAEAFVEAAPRTTVRFTASECFAFCYTLRYNS KTGETCAHHYRGKHGFDVLRLADSEYGVNGSAPRRFDAVDASAFSRHVSILDLLVSAG PLLKDTASSTLYTACRHHMNNPGNFEELLHGHSTTISLLLGLVPAEYWTNAKAVSTAD QVLTAWAGDQETPETKDAVLWSRTAWRQSKHMVGCPSLPSLHAEVLDLVNLLFGVYST TLSKIPVSEDIPVLPHEPIAIAALMHSICDRAGVDSAQVWDNFLLRIKNDASTKDTAL RLLGALTMHSPTLNYQLSSGFAIAEAESTTVPAFRKWSTILDTLAITMVVPPELWKRL IRFPAQAGPGRGSPIEIIGRLRFHTPDASPTADEDSLYHETHFSFGTVEKRGSPDQDD FTIYVREDEAGWNGTSPMVISYHVPTEYVRARYSPATICFSSLRLAYDRTILGNDTEL AGWAHEAPLHDEEHVFITKYQPGKVGRGITEGMLRSIRDSTGSAQDISPPETSLTADF GPSGDIVSITGRLNITSADARQMLSDKIPLRLKQVSPFTIDIIFVPPKRDLLRIPLTF PAPVLQDGSKSRIARKSCYIEITAPLAEPSAHPAILETYLLHAINNPSPQQPPSTVNI PHINLDALPILSLSDKGRIRFMTTLTSLMFSTRERRLREAQASASSRKAVSSARLDFK ESLFTIFMLSSGLQGGETGLFALTRGSEGIHMLLFVSAIRLDGAHGGVVLDAAVLPFT KKLIASGELAEFLILLRTLECCTLTVGEEELALWKRALPALAERCRTWSHNGEAGCEY YEKGRVPVSLADGDQVLCKCGQGKLPDTFVSLPEWETAAKYATRVAISPLYASALVEE LIEPGLAKEVAEEMTGRKLAVKRCRNCGKAETEEGVKLKKCLRCLEVLYCSAQCQKRD WAKHRMECEESEVYSKE MYCTH_2308260 MSGLHARQRQHRRQNSTPSAFDTVKIAPLPNIQQRRPISHRRGL SLDTRGQRLAPAPPPDTSVPQEYNPISMPATDPEPARTPQRTPRSSIDRSPFIEQDAG DNFLISPQVTPQSRRFTDAVSDCPQFADFSGLPFDPYSTPAGFFDRPVSRLSSDGIEP ATDFNFFNSDTALSTPSFIAFPESSPGGPDQGWGSESETASTHSRRSSRRISNGLMDR IANLEAMGGDFGAAERPCTPSSQLTNDCFPQTPTEGSLKQEPAPLQPPNRFTEGYDES MEETLKPIRATKNNRNSGIFQDLRQQAEAMAQTPPRTNSIPMALTAQGLRTPDFMNMR NISAEFKKIEREFSGMPASPTNAPSMFPFPKADNDSGGRPEFPSLGTDAQNPIPRLGP PAPVSKSTSRRGSPHRRTESIASITSAASIADINIEETRTETGVTLEDIAAYIQGPDP SDGKWRCLYEGCNKIFGRKENIKSHVQTHLNDRQYQCPTCKKCFVRQHDLKRHAKIHT GIKPYPCECGNSFARHDALTRHRQRGMCIGAFDGVVRKVVKRGRPKKIRPDMDERRDK AERTRRKNKLTSANSASSQSGYSDISGANSPNNEFDGLLDDDKFQDILSSSIASLSNP MSTATMNPSTLAVSTAPMPASSGSASTTTTTTTMAEVFQRALSPSAMSSYSHASHASS YHHHPSNPVTSDRSQAGADGDIQNPPSPAKSVSSHYSHATTTPPDLSSPRSPPPLLAS CSTPFFDDLNDDVTHNSSSSNHSSSTAATTTSANRNNAADADLSDISIGGLGGGYHHG LAALEAQHDMLLGFHPHHSRNHGADGLVPLDGAGGGAAGGAGLKFEKLGFEGLKFEDE GFDPVSMFTSGEDVFFGTT MYCTH_2308261 MIAVPTASLKGKVALITGAGRGIGRGVALELARRGASVVVNYVS SAGAAQEVVKEILSYNNGARAVAIQADVSKVSEIERLFAEAKRAFGRLDIVMSNSGTE SWDKIEDVTEEKYDYVFNLNARAQFFVGQAAFKNLEQNGRLILMTSIAAGLMGVKNHV LYNSSKMAVIGMVKAFATDFGVKGITVNGVAPGGIKSDMFTQNAWHYIPGGTPDLPAA KIEQMMAENCPLGRCAEPEDVARVVAFLSSEDAGWVNGQIITISGGSSQ MYCTH_2308263 MSHVNGQGSPDPRNAPAFPARSRQAGLAVEDDKKRALRERVEVL EAQDLDQLERDLKGFSDAFNRRLQALVNENRPVNPRSAEPQSQLTDHNSPFGSEVITQ PRRCSAHFCVAAPTNLPMRLEG MYCTH_2308266 MRFKAPQLGAMGVTFTIMRACQFASLITVTGLCANFINGIATTE HKPPAELIGTLTVEVTAVIYVTITYILYYDSMLPLLVAGILDSLLLVASIVIAALVGK PLAALDCSALPSPVPVIATFFSSSSSTSAHPIRATVITQTLPYSSFVALDQPTCYEIK AVWGLSIAQCVLFAFSGLVCVGLWHRLRRQASAGSSGPKDIEG MYCTH_71063 MGASATFNIPAFEQSAIDSGLALAGLNGIALLQSATRYGGSCNL GNVKIRREWRTLSKAQRKSYIKAVQCVQAKPSRLAEGIAAGSKTLFDDFVYVHMNATL FIHYTGNFLIWHRNFIHVYEKELNACGYKDALPYWEWGFDVEAPHLSPVFDGSDTSMS GDGEFVPGPPLELLVPGNAEPVVLAKGTGGGCVKTGPFANMTVRLGPITQPDPTADNP RCLKRDLNADAGKRFSSFRNTTNLIINSPTIEYFRTTMEGHPNYVPNSLGVHGGGHFM ISGDPGADAFISPGDPAFYLHHAQIDRVYWIWQMLDFENRQGVFGTNTMLNYPPSENT TVEDTVDVGHLGGPIKIKNLMSTTGQNGSPLCYIYL MYCTH_2308269 MDNAVQPSASTFRDYYAKGYRAWQRLHRKAASSIEFPTNLVDFE HACSRHPYLHSSVKLEPGYNYYAKGTSTWRPSNGDGTCDLRPFQRPYSLPVTAHRPIP VSVEPSPERFPTWFAAQESHLGVLTLAWAYVLSARWAEIVPGATGPEYTDSCAIWKNS GTASADLCARGIPVDIGPASDRAARWWAAILAPHQGWTSGIRHEDRLRPAPWSTNLVQ TGRSFLLSRTSTTETPRNISPPSFRDAAYYISTYSAHHGAEDLSRAAFAAALMLPSAS RFKSTISLPAPQLTTNYSGRKQPSSWVPTAPPWGDDVHQLDRLLTLSCNNFTQSLLSS VIFEPGIPCNVCGAWIQGAFAVLDSEPVKANLQVLTFTLMARNPKLGFLWLGATLLGI HNFILQGMRAVLYPVDLTLAGWTDTLMSFIQEPVSDLTDIALADGAITRADECRLMFL SQSLDHTNPPIVPFQPFGMTALADCNLEVQEHACCGSRHQLSYAGWTWDCRDGMLPPY EIPSVPEENILHPADEGQEIEVDYTYMDREKDSSEGVTRSIFLWLRGTDGFPIAERNI REHEWIDNLYESDEESDSPEGDGRSTAVRRDVGPRTIESWIARTLTFRRNSFY MYCTH_54036 KFIASYLCSYGAQTRAGRLFNMAAADAPDCGEWLLYSHDTAQAF AKHIDNTVNSSVLFADIATTIDGGPNASAAQQAAALISCGTDGGSLGVAVNASHPTYK GSTCPAGYTTSGILVKTVASGVYDLGL MYCTH_2308271 MGSALSRCTSRSPGHSPSGLSVPDIGQKTLDRQPNWLVPPARWR TKPVNQQPVRYRTPTPYPKNHRKPMVDSTENVDGSSIPEKASTFEAPAVSHLDMRSSH RRQSLAESRNPNFQKPQPALRITWEVSPRLNRFERVV MYCTH_2308272 MKFALPDSTTFPPFSFSFSSPLLIGLTFWRKSDSRVRFVRETFH VGLRQELGAAECQEL MYCTH_2067533 MPPTLVLIRHAQAIHNVDPNHSLQDPPLTDLGRRQSADLREHLR SSLPADRKVQLIVTSPMRRALQTCLVSLDWLIDEGVPVMPDARWQEPYRKPCDTGSPP GQLAAEFPDIDFSPLDPAYPDKTSPAGAAYRYDRGAVLGRAQSALADLYERDADVVVV VSHSGLLRTAVAGRWFANADYRIFDFAPREVEREDEPYRLVEWESTRGRGGMGRSEEA AVELGEGLPTHGDAAGS MYCTH_2308276 MTSSPTPRDIRRLASDHDFVYGAHPAPRRLGWWPFVATHLSLPA ALIAGIIFVVVAIAYTSELSQQMLECPQWANHCRTADDWTVKNLGTVQGIITMVYMIG MASLAYAALGLCEATVWPLLKIQSFTINGLNAYLSVTRGSVMLAPRAVMSVRSTAAGF VLACALVVTLLPFAAPPLIGHAYSPTYQAVELESNYTSGGGISELYAQTNPATSVMVR VLAEYNTWATEPSSEPMPEYRDWYIDRAALDKRGDFTATAVRFQTSISCRPRQVEQLN KDNVWWNAFRTNLTHARKPPQNQTEDVEQEDASTEVWVSPQAQLTLWADSYEFVSDRR TRSTLVFAALNGTIEGGSITPIILGNLTTAFSVACDVEIEAVDDVLSVGNPPISSSSS SSDTAAARLPVLSSTETLALSPDVSPQTRLNELLLWFAVAPLLTGISVDGTQPMFANS SATGLPLAHTTSSALPGSAGSNHWTIPGLEAFIRLSIGALAQATTATANGAASGVTVL TTSKQTRKLERARALLLVIPPGAAVLAAAAAGVFGAALHARLRVPVMRLAGSDLGEVL KSSQTAGLREVAGTDAAKPYLPHELGAVRVRYGVDPAGVAGFVDVGHGSSSSSSSSSS AAAAAGAVTAGEEQEKEGKKKWARMGKGKGKGEATGGGERGK MYCTH_2308278 MTNIYNTNLGYGAVLAVACVITAIILLCPILDIVCIVKRARRTL SPPFFLGVNITQGLFYIVNFALTMAGPRKGAVVIVISVFILLSFLGLLFYASVVYHQY RTGSLAGTYIPTVNPEMHNLVASNTSYPRIADPPAPLQFAYAQKEYPKSTATYYDLES VGRVRQFGPPGYAPANAACEPFRPADSKTDEPGQQLENRVHPRSAV MYCTH_2030078 AAIAIAAPTLPLKICLTHLPNPAPKGSPHPALARSQHTLTVIRN KAFIFGGQDASGALCPPGIHTITLPAAPTQEEGEEGGEPDAGAAYTCYPPYTLQDAST GETLVPQPRAGHAACARGGRYLLVQGGRGADGRPVEEGNCIWQWDCEGLSWAKLRGPS QLGVEMAPGRWGHWMFADEDQGFLVMVGGKTRDDGGGDGGGSEREAWMYDLHAAAWTT LPRLTARPLAAAYAAGKIYIISSGEGDIAPGDAKLGGMVHFLDMRESPTEREKPGALA WQSVRFPANPLAPGPQPRAGGALVPLRTGHGRVYLVYLFGCSDEGGRKGEKEYYSDIW TLQLPAQTRSAAAVKDKIREKLPRFESGEFRWAEAEIVPTEQIAAGGKVHPGPRGLFA ADACLDGHGVMLWGGINAKGEAEGDGWVLRLAHGYADSDRYE MYCTH_2308280 MANDVPPLAHNVDRDSDEISLGAQAGVQGVEALAKVWTKSHLIL AYVCIWCIAFVDAMQQGMSTTLTPYVTSSFSSHSLTAATSIFSSLIGGLFKLPLAKIL DVWGRPQGFVTMMVCLTIGLIMMAGCNSVETYAAGQVFYWVGYNGITYTISIFIADTS ALKNRALMFAFVSSPYIITVWIGGPLADAFYNGPGFRWGFGAFAIITPAICSPLLALF YINYRKARKMGLIPDRKSDRTLLQSLRHYAIEFDLVGLILITAGMALLLLPFNLYTRQ AEEWRSPMLIAFFIVGGLMLIAFALYEKFLAPKTFIPYELLMDRTVLGACTLAGVLFV SFYIWDSYFSSFLQVVNGLDMTKSSYILNVYSIGSCFWSLVAGVLVRVTGRFKWLAVY FGLPVTILGVGLMAYFRQPDVNIGYIVMCQIFIAVAGGTLVICEQMAVMAATTHQYIT VVLAVEAMFASVGGAIGSTVAGAIWNGVFPKKLAQHLPPESQGSLTTIVGSLEKQLSY PMGSPTRTAIQHAYGDAQRIMIIASTVIQVISVASVVVWKDFRVKDFKQVKGLVI MYCTH_2128843 MVLFMPYLHWETDRGRARSAKIVKGARKYNLSSIRDVVDRAKNR LARTETHVTVAPSWESQPQPSTPGQHIDRRRALGHALRCASALLEAMNSHVEEQLTMR YLHAEPPLHPRRTLDQAYYGVLRSTGARDRDQAVYRGTTTQPHQCVGPEACPQCKEDI RKTPRILMVDQLWLWCLDEKTIITSFPRRWGRNRPDSSAIYKSLGTRLRHARRGESSS AYDLALMIIDETSRVFFDRTKTDTDQPNLVELFNAAIRDLTYKQTAAFNQLLIYTHLA SRDYRRQRYLSSDGPTQNHLLNINPEGELLKEVKDTQDELHIMIRIKEQHQAVVESFV KHIRRAVTPLVRAHRPFAAQTSPGWDAALGAASLERSGLHHSQDPDLGGIREEQQRQS AQRTLNKTDVLLEDIDERIGELRALQQNAQNTSSALKDLLTLKQHQAGVIEAREAVKQ A MYCTH_2308281 MFTVVAIVFLLLSFCASVFGMNATEFSADARLPLVAELRVMLPV SAGVILVSFALAFSRGVLSNGAAVLARPQRGQLRVEHGGDLDRRQNGAVPARARDGRP GEPAPGPRGDGDVRHEDGGAQEG MYCTH_2308282 MAALPPGDFHFFPVPGAPINPPPIVLTRSTTWTYCGPLLTLGND DDNNNNNKNNKNNDDATAAAAAATAAAKLPPTFAAWSAHTLAPGSAPLLPRLVPFLRA THVFLRRHGVHHYWLTLRASKPTHDFDTARWHTDDDFFEPPRGASSTSDDSSSSGSSS SSTRIRWKLCGTLQGPGTLFAAEAARPHACAVVRCAACGRRGEAVREEARAGLAGARV AQTASGGAEIAFFRLGEEEGAVHSEPPIDRDRVFVNVVPGTEADLRALMARWGMEFPR SWTLGVPVTILPHTGDGDDDDDAAAAGGESKTEEVLPSARHLEAAA MYCTH_2308283 MRFSLWTTSALAVAASAQKEAPARQQIKIDAVFPRNETYREARI FPIIFAVQNMTAIRGSNATFELSWGIQRLNDGYSPAGILPDEGSFLLRPNDTEPARGS EDKNKYMFQWYLFRLTDEGGHLHSCVPPKETDSHSSANGLMIFQAKWNAYLRFHGLED ADYLRKVATSRSAPSS MYCTH_2308284 MHSSSRIATITTLLGALTGAALALVQPGQQQPQPQPPAVTPPAV LHPAAARFLRLDDRDGLSDLVSDEFSSLRGDVSDVPGDVSSHISEVTRALSTISTGDV AKSSTCWQLYNSFAQSVGQPQPPETNTALASWLASSVLHVGGVLAGNDRDGDLLTATE LIQSSLTTECDPSLTATVTPPASLSSAWSTWKEHSSAWIAQAVPAAHSIADRCGGVVG AQVQMLIVTDSESCTKAVLDLVHAVHGGGGNDGDHGHTSGGGGSHTTSASEASTTSSV SPSLTSGASEPYVSPTGGAGGGGGGDDDGNGGSEEEQPTGTADSGRTTGLPTAGAPKE TGLAVFMVAAAAAVAGAVVVGL MYCTH_2308285 MPRNWTCLQTLEGHTRAVYSIAWSHDATKLASAVDFDTFGPWIT RQGEERLRLPPEYRPSSAAIIIWDLDSHRLCFRPHLVSSVRRQQSYRVAALSVSY MYCTH_2308286 MNQQQISTTLLGHKIVLQDVVANIAGIVKWAEEVVRSAVRDLPY ASIVMAGVSLFLPLQRS MYCTH_2308287 MSYEMSNTQNMTYPYPPPATAVAVPQPKSRFSKWWPLGFFIAAI LLIIIGGALVGAWTANADCSYDDYYYSCDHNTGMLWGAVACFVIGGLLKLTAWILLIV WCVKRSTRVPTSVSYSYQPLNYAGPVPTAAPAPPAPLYQSGAPYQNAPPYQSAGAPPA PQQKDAVRYCAQCGSGTTSPYCPQCGVKV MYCTH_2308288 MIDPAIRIRRAIHANDAMLVRRILKSHPHLLHNPDHSPAGNANS NLHLAASLGHLAICELLVELGHEAEGPALNDDHQTALMLAAAGKHTDVVFFLCKHDPG SILRQDVRGRDAIMEASLSGDDTALQILLTYAPGGAAAALARADVDGNTALHYASSTG NVTILRTLLAAGADPARKNAWDWTAEAYSATVQTEVYFRSLVDEKDNRKEGVKREGKV VKPAATWWGGGWN MYCTH_2067913 MPYYYPSGPAPPQPVIFYGPPCYPPPPYTPSAVVGGPQQQQQVG YGAAVAVDAQGVVYQYVSGLAGSGRFPGPAPVVDPDFPAANHINSTGGAGVEPLFNYF FPTEHAKVIVLKCPVPPWTLVAGTYADLPFHAAKVPANVTMAELLVGFGADNPDKARN QFWEVYPQGGGKWGWKEHCTGDDEVMMARTVRDMGWVEKRDGAVQTVYLWISKS MYCTH_2308290 MASERRSERVEQRRRRKIPLACEPCRERKSRCDGAKPICSTCQR RSLSLHHCVYTLENARTASNEAYIKVLHDRIRRLEKTCTAHGLPIPPLDSTEEAEDAS GPPPVTLSPSPLHPGKRPSETSIPVPRPAHGLRDHDSPPNLRLQVTVTSPNPDHERLE ATESTAGVTAMGTVATEHDVSQAFEAANEFYGSSSAASFMKEAYTSVKPQRPRHQEAN PANVPAFSVNFARTGPRGRAQFAQADKFALPPRHLADHLLSRFWERVYWLYPLFDKAT FLRAYESLWRPSHEQPAEPSLPGLGLGSSPGADAGTIVFHCALNTMFALGAQFSDLSL GDKASAIETFFNRGKAFVGLDFIDMHNVGVVQSLLLMALFLQSTPFPSRCWNAVGLAC RVAQGLGLHTDTDRTSRPPLETEIRRRTWHGCVILDIMTYGRPTMTAHLPDLPLPSTV EFGEATLETPRQHRAGPQDDGVPSKMCFYVEHIRQCRILGEILSNVYQPSAGGTGSGP PWWFDQKSRGMDAISDLDAKLSRYERELPPIMSWTSPCDISGLDKDRQLVITAQRTVL RGSFLYLRLMLHRPILTQLCANNTEPSPGTGTGTGTEPSSPARQGAAVPATAGQELFT SFAAGCARICLGAAMDLIELVHSTYLTNTTGGWWWDGLYAFTAGLAVIVGYLSPPLLA SVDRQRLERSWMLCQGILAHLTSFSISAQRSLRLLQKVHADVMSRSSAASDKDQDPPP PLRPPTMSANNHDTATTAHADAAAGSGQQGPATQAEEAPLDLGSSFQFQLPAGTDMFG AGLVFNWDQSLDMIPGGLGMDIYQY MYCTH_54721 MTSPRDNSDDINTTSTSANDPDDVHQADRPSYSTFACIGTGFSG ICLGATLARWYGLTAGGQGGHHHLRLFSRDPGPGGTWLVNDYPGAACDVPSALYSFSF APNPAWTRVLPPAAELRAYLAAVADRYRVTDGMVFNADVFRCVWIEDRAVWRLWVRRE ERGRGGKWKVRVLVHECRYLFSATGLFTQPRELDVPGLERFRGPVFHSARWRSDVDLT GKRVVLFGNGCTAAQIVPAIAGRVRHLTQVVRSKHWVYPPIDRRIPGAVRALLAAVPG LTRLQRFLVYVLAEASWRGFKLTEDGARYRRKMRERAEEYMRRTAPAEYHDMLIPDFE VGCKRRIFDSGYLETLHAENVTLTDEPVAEILPDGLRMRSGTVVEADVIIMANGFATN QYLPGVEVVGRDGETLAQHWESFGGPEAYNCTSLSGFPNMFFLLGPNTATGHTSAVMA IENAVNYALRVLRPALDGRAGVVVSLKRPAEEAFARRIQSALRRTVWSSGCSSWYVRG PGGKAWNAMTYPWSQARYWYESLFPVWRDWEYTVRFFFNISHLIIVLLFLSHFRSFFV RSPN MYCTH_2067426 MDSQLSRDQIVIDILHNHAVRQYNRYLETRELEVLQTAIQAARV SANLIPPLRSHPRRTDILRTYHKLLCEHLEQTSNRMDMADALLTIDRALAFLPDDDPY ATEAMNLMVSIYQVRPPQSRQPEDARAEDVHERDGRRTQILLFVADLFLGYFEKHGLD DDLEQGCQLLRRALERAPNDTPRLFFWYGKLGYYLQNVYDQNQDADLLTESIEICRRG LAVVPDGDGASRAALLETQANALQKRAKRNSRIEDLDAAIGLSFDAVAVTPDSPKKNQ YLTNLGCRLEDRFDRLNRVEDLRDAIRVSRSALPTPSGHAPADLLTNGIKHNIGVKLL KLYNVDKQDATFDQAFSLLREAVETMQPPGDAPALWLNSLASAYRSRYWRNREENLDY LNTAIDRQAEAMERLSRKSPSWPGYARNMAWLLRERAERTRNQGDLREALSFDRSVPL YLESLDDPLGEPMSRMVAAVQLMAIFRERGEYARAVEIGEQVLDILRRTNTRLLSRED QQRLTADFSDIAVETCALSIRAGESPARALELLELGRGLILGLLIEDRSDVSGLAATR PEHAARYGRLRDVISRPVDTGVDDVALRQAMVRQRDSQVRELDGLISEIRQLTGQKSF LQGPTAEEVKSLAASGPIVVVNVADERSDAILVTASTIRLVHLPKLRKGEVQAWLDKK PTRFDTRSEFGKKNRMCREYLAWLWTACAEPILRALHLLDNPPPIEPTRVWWIGAGLG AFLPFHAAGDHRPSSVANAYTYTISSYTPTTRALAYAQQRASFLRNLPPTTTTTTTTT TTTTTKPSLLVALMPTTPPTNKDKRNPPLPTTATELARITTAFAPSHAVVPLRHPSTE TVLANLPHCEIAHFACHGVSDRSNPSESHLILQHQPPPSERDPSPVAVADLLTIACLS ACSTAESRAKRLVDEVIHLASGFQVAGFPHVVATMWPAELEASVEVAGRFYNRLAEAV VVDDGVVAAVLREAVMDAREKWPLQPLRWAGYVHFGV MYCTH_2308295 MPQNILLPQGLLPTTSMRLGRFIVTVDDPHLSPFHDPKIEVRPD SDIFKSTFLNYDGDQQAGSGNKFAAGLPSLLNGSVTRKTGRSCAVRADSVTTYQLINP VPRFREAVRDDATRKWFEGRKDEGCDDFYFVVGYHVMANAVIEIGKEKSSGASGRLNV PVSMALAAGGIPLPVGGITDPQFEAEYERSRGGTVRFEAPGERVSALQYRKVSFKWFS SRNIDRATLAKDNVWESLLRVKGETGEEEEEEEDMAQVELEEDEEEGDKTVAENLR MYCTH_103590 MATTTETAPLSAPVPVELPEASRFLNDSQWKVLMSLMDAIIPAV RIRDSAGDTKAAQDASTTHLPGAEYSETAAKLRKAVTPLDPSSEILEAYLAERPSESP AFAQLLTLILSNIPPSKQREFRILLSVLNTRPGSLLLTSRATPLPSLRLSERVKILQS WSNSPLWSLRSLFKSMTTLGKLAFIRSSANFPALTGFPAVPRDWTSGSTSHPYEFLQF EAASGTGKAPVEISTDVVIVGSGCGAGVVAKRLASEFGPSLGVLVLEKGRHLDARHFP LSQATGLATLFEAGGVVETDDGSMTVTAGSCFGGGGTVNWSAALQTQDVVRAEWARTH RLPFFESADFQACLDRVWDAMGCTGDKVAPNHANRVLLEGARKLGYQAKVVPQNCGGS EHHCGYCTLGCWKGEKKGPVNGWFPDAAEQGVQFVERMTVKRVLLDHKKGKKVARGVQ GVWKSRDGREVEVIVRAKKVVLSCGTLWSPVVLMNSGIKGGSLTSVVSSFENLDSKGH GVKLEAMSMMPSFCLPFLPWASGTDYKVLAAHYRRLNTFIAICRDRDMGSVYRDPTTG RPRIVYTPSDFDRAHNLRGVLELCRILYAHGAREIHPSIAGFPPFIRRTKEEDNKPEG RDKDKDKHKDKDEDEEKEKREFEEWLGRLKAHGNKPPATPFASAHQMGTCRMSARPKE GVVDPRGRVWGAEGLYVADASVFPSASGVNPMVTTMAIADWIGQGVCEDLKKDGIPSK L MYCTH_2128856 MTFGSSDIYVVLVELSLGAGMITDIAQFGNLAAYGYPVATNRSE LIGCSSFFGTRACNRTGELVVPDLVDPSAQRRQTVYSNIAFPILSFAVEAIANQSFPD AGLAFIPPNDIWWSADLGFEGP MYCTH_2308296 MNVTDLSMGSRPMNAKELTDKAKNFDWNPRIGFKYWARAAETIY HEGQVYLREGNVPKAYLVLFRFSTLVLEYLVKHPEAKEPESKRALKPLQRRIPRVIEQ LETLRPEIDDTYDRWMRITAAQRDTLRSGEPFPAASSSTYAKHAANDPALSWSYASPA NILDVQDHQDLAVDLAKKEMRRRRQASGLVGEDYGRRDSVQRGGQDRETGTIRLVPQD MDDDELRRQMEATRRQLDRSDDYARGYDDEDYIRPSTYYYPSITKSSPLKYERPVSRG RPEGPRLQPPRPPKERHVDRAPIEPPPRPDKDLYLERESPPIGPPPRPDKEPLALVTP PYSSRPSEERPAIPSKLNAEPAPQKRITFRPAGYLENGDPIRPVFLPSTLRHKFLKLA ADNTRRGLEMCGVLCGTTVNNALFISHLVIPEQRCTPDTCETENESVMLDYCITNDLL VIGWIHTHPTQTCFMSSRDLHTQAGYQVMMPESIAIVCAPKYEPSWGIFRLTNPPGLP HILSCQRTETFHQHSVDNLYVEAGSPQGHVYESKALEFEVCDLRPGH MYCTH_2111918 MADFDISGSSSEQLRCKASVEASVEFLSLSLGRLSIPADVCLFS LLSKCFARIKTIFGLNDPFNVLGEPSTLERILNSIFDTGSLPLVTEKTIRITAGLDDH DRSCLFIQAIAGMVLIFRRLSHAGGVVSNRLLSRELQLFAESEQRRAVLDKIGSSHVF SSCYTKSAIVDFVMAAIDDQNSGLRDCFALSGAGEFLLSDNVAWVSDRPCKNMGLPWG FTIMTLN MYCTH_2308301 MADVQAKLQALSEEFTKLQQELQEAVQSRQKLEAQKQENLGVQK EFSKLKDDETIYKLIGPVLLKQDRVEAESTVKGRLEFIEKEISRLESHIKETQGKMDK KKSEIIQIQASAQAGAAPQAAKA MYCTH_2308304 MSNTDFLDRAIKQVRLAIDADNAAQYEKAYQLYYQALELFMLAL KWEKNPKSKEMIRAKTGEYMDRAEKLKAHLADVEAERKKPGMVGANGTTTGGTGKGKE AGEDGGETLDEDSKKLRSALAGAILQERPNVSWDDVAGLDQAKEALKEAVLLPIKFPH LFQGKRQPWKGILLYGPPGTGKSYLAKAVATEAKSTFFSVSSSDLVSKWMGESERLVR QLFAMARENKPSIIFIDEIDALCGPRGEGESEASRRIKTEMLVQMDGVGKDSKGVLIL GATNIPWQLDAAIRRRFQRRVHISLPDFAARTTMFKLAVGDTKTALKPEDFRELAKAA EGYSGSDISIVVQDALMQPIRKIQQATHFKRVIVDGQRKLTPCSPGDPDAEEMTWEKV PSDELLEPMVEKKDFIRAIKASRPTVSQADLERNEAWTKEFGSEGA MYCTH_2308306 MVVVNYLLFESAVGFSLFEVVHQADTVGLELPEVKDAMKSLDKF GKMVKLRSFNPWTSAAHGLEAINLISEGIMPDHLKNTLELNLPQTSGKKSKIVLGVVD KRLAGEISAAFPGVQCEAADTSEVVAALLRGIRLHANKLLKGLQEGDIGRAQLGLGHA YSRAKVKFSVHKNDNHIIQGIATLDALDKGINQGAMRVREWYGWHFPELIRIVSDNAT YAKLVLAIGDKRNLTDESVDDLANVLNQDQDKAEAIVQAAKISMGQDISETDLQMVKD LALNVSKMADYRRVLAESLDKKMGDVAPNLQVILGTPVAARLISHAGSLTNLAKYPAS TLQILGAEKALFRALKTKGATPKYGLLYQSSFIGRAGPKVKGRISRYLANKCSIASRI DNFSEKPTRRFGEVMREQLEQRLEWYAKGTQPMKNIDAMNKAIKAVMADGDEMDVDDE KVDHPPAKEKKEKKEKKDKEEKKDKEGKKEKKDKKRKSVGGEDVEMADVNGDGEKKKK KKRKSAAAE MYCTH_2308309 METAVPSDAQKPKEATIPSPGRPILPTGHTTIASVAKETLPDSS LEDPNPEGDIRESWVSFASTAASRDSAVPSLFSARASTASAATRYSVRQSVIESADSR SNLPAYAEKRKDSHPQSPRYCCTFCDAAFDTKTEWKIHEFEFHDRRERYMCRNCSAVF LRATLLAEHLEHDHGLEPTTGVSEPVEYRHIRSAWGCGFCGAGFDSRTDYLEHVGQHY DEGKEMAADWQHTRVIEGLLRQPKIAPEWLALVTKEERARGAKLRFLWDPHTTGRSTD ESGPQCLQDMLEFFATGTRGANEVTVVAFSSAHVRLEDNVSDLISRLFLRDPRAKSTE TSPDRIRFSPELQPSTPGMEDDVISPMSPLPAPLRSPTAPPQVPGPVPPPTGLRPQAP SIFPLPFRLAETLNSSKLSVLRHIESSRCLGPSSEATSVGSLERQALDMLPFQPSRDT PPISTQGTSESRPRHLQSTEEISWASDKRKAIIAAASLKPHTSSSTLSTHTRDSSLGF GDSTSEVLSDDSLSEPDYWVEPGGLPTATKRWRSTFQQTVDRGMARLWVRYNKHWEAL VRRCVGETSTDTAHSLETSRRMRKATASRHALSKGFRTNRLMSQEEEEGEDDENDGSR PASSLSKRSSGTAKRFACPFRKHNPLIYNIHDHEVCAIRSWSTISRLKEHLYRRHYKA HCQRCKQTFSDARRLAEHEMSVVACEVLDVAPPSDITADQEKQLKSRKHTARRQTDEE KWRDIYRLLFPKEEIPSPYPEAADDMAPANSESHVNLQFHHFLLSEMPALFTRTAEEH AGRPLQAQEGLTMEAIPGIIEDALRKAFRAWEARGSDLPTREASVASMSFLPETPASL AYNFNQSTRTQQDAQPQAMEHGYSQSHYGNANFAVRASQTTTNPDDSGFADGSLFMSG PPVNFNTFAPEYGRAAWDSDFGLLGVGSYGTDVNHGTHFQGFQDS MYCTH_2308311 MKWTAKSAFLALSAVAEAAPQFGGIGQMTMLRFGCSQVVIDRID PLVNPGQVPSPHIHQIVGGNAFNVTMPTDDVSAHASCTTCQFSEDFSNYWTANLYFKA RNGSYKRVPQGGAAYQFGDVFSTQTDGGILVYYVSQQPGRVTAFKPGFRMLVGDPNMR SRPDTKLKRQNCYRCYTGPNFGGDIGAPCMDDSVDSEALPNKPCPGGIRSNVLFPTCW DGKNLDTPNHQDHVAYPTTGPENFLSIGGNCPSTHPVRIPQLMYEVVWDTTGFNNQNE WPQDGSQPFVLSTGDPTGLGQHGDYVFGWQGDSLQRAMDTAGCFGARCADLKTQTIES AKACTVKQAAKENYDVCTYLPLPLLDSCLLFSSSSSSSSSSSSSSSSSSSSSSSSSSS SSSSSSSLYPTFP MYCTH_2119902 MLTLACHPCWWVRDTTLSEYLEACHNLLYANFKVEVNEKFTSKG SITSPVGRRIPPRLEPWDDFLEEQRTIFGTLLSKFPAHTAAFRSQHYLRTRGKVIAAR SIGDEEALKLVYQDLVTEPVTLISQRLQDKDSIRAEFDIGAGIAFETRLNALGEANRE STERPRTPDGMANRFRPDQICAYRRDGADPDQRTMAFIIEQKAPHKLTMPHLRSGLRA MNIFEEVVNRPTSPPQEDKEALFQYHADRLVAAALTQTFDYMSQAGLTYGCLTTGQGF VFLKIDWTDPITLLYHLAEPGPEVDEHRENFLCCTAVSQMLAFTILALDSGATGERGQ DKRQRAIKKLKTWNVDWELILRSIPPTERTAPPTSPAYQPRTYKGVDRSPYLLRPARS RAAGRPRCRPGPAERDRSPEFDEEGSEPRMPDTPSPVQPRNAQRAPARRPRGNNGNAG SSSSGSRSSNRRYCTQNCLRGLVAGMFLTRNRRHPLDHASWLGLLREQLRRTLDDGVV PLRKQGARGVLFQVTLLAYGYTFVSKATTARFVPELEHEAQVYERLRPLQGDRVPVFL GAVDLRQVGRRYYYDIDVQLVYMMFVSWGGCSLDEVEVSDMAKLEREVMRAVRALHPH GVAHTDMRDANILWNAETERAMVIDFEQAVLAEPPRRGLGPIVPNKRALRANVDTKTA VAGPKSKQDAILLKMQQEDILGAKYALYWPRK MYCTH_96070 MLTSPLYYLPVKGAYYTVLIPKFSILATFAPALAYTNENISTLV NTNATLIAHVLGTLLPASYTRLSASIYPVPMPINFGRSYARRSSLPAECIIYLVRDRD DPTLTTITIVLEGEDKEEKVVKYVYNAVVTCP MYCTH_2065815 YRYFVRVYIDDIIIFSKIEEEYLEHLYTIYKILNKAYIYINVTK SFIGYLAMRLLRYIINGKGITKTNDYIATFKKLKFLDTLDSLEHYLRMARWLRKGIP MYCTH_108765 MDSRIEELERELRAAREAAALEKARAEAEKAQADAEKGASGRGE GMSGRGEGTRRRGEGASGSGEGASGSGEGTSGSGEIASGRGEVASGSATACGIRTVGG TPGPEVTVCRS MYCTH_2128866 MAAKPSREAVSGGPACSALRSSAGPPRGDKAAPTGQRRRIPAAS ASKSTHFETGCRQHVMSIIVYPGSLEQPKKAPSGRFCLQLLREATFNGPMVEKSCSDN PAVFVEGSALPDPSYTLIGTSFATAKTLAIAHYTGVACAIADTSSTKLSGKCLKEPRD T MYCTH_2066269 MAARLGFQSVSDLEEWEEEIVLDHFANFIFDYLAHGYTVQPDKR ELVEYIDLGKAVAERITMLEQRRFEQVLDPDKSDWTARDHYKQFVVGVVSDDLWLSMY DIDGAVICKRGWTARATTIKMVKYLEFLIQEWRKGAGDTQYGEKTMRGRTPRSGRRN MYCTH_2308313 MKFTAAALALAASAIAAPSKGGESCEFGTYRCTTPNTGIEICNI SGKWELVGDCPEGTACDNLPGGNGVVLPYCTNTAKVQARNGRPGQSPGEKCTTPGRYD CFGPYAIQVCDTQNILQFVGNCPERSHCEYLNGIPYCVASV MYCTH_2308314 MSSATTALSPAASGEAGASTGPGDGGAEAIQGVPISEHAAYLAQ VYIGVSAVLLFLCLVAFVTRVYQRIRPVWKAGLDDYFIVAGFVLTIADYAMLMPLMVP KAGLISLERSTLAGKNSWLAISVWGLSMSCIKISIALTLLRIQGKERGWRIFLYSVMA ISALYGVGNTLFNLVIACRPLEAAWNPFLPGARCVSVQVMRGISNLGAAVNITTDVLL SLAPATFLRKLNRRLRERVFVCVLMGMGLFASVFSVIKTVIVKDWGDPNKQGDFWAQG VSISTFTVLEQLLGVLAACVPAMKGMLQRCLGAFGLSLTENKSHQRSGYYAAGRSGTA TGVGVASRAGGGEGVVVGGGSVLDPTETYRSHKGGNHRFSSRGGKTDIYDDETCIDLP DLSRPGSTKSVGDRSLDNGQGGSSREHSVEQLPAHAIQN MYCTH_96065 MVQNGGDFDLDIDMATDVTAEQLLTQLGQLQQRIQELDQRDKAA QARIKELENREKYSQKLNVTEVAATSYEDKDSDTDSLGHDGNGEDEQAPYSELVTVDP ETGLAEWDMAGEYAPPISILPTLRQWGFTERIEWYRNEVFRLNTELRERNGRLTRLAQ QSDEMKDEMRELRRIVETIKGEQPVTYDGPDSYAEHFDDQQLSHDVRNPEY MYCTH_2308322 MMEGLNKCQNCVRMGKKCSGPNVTDALLSNLSEQEKVSKEIAET EALLAQTLSRLSRLRRLQQSLRDRGAEVFRRGIARLEEEEEPDTPPPMSETQTLTGQA QALGTFDVLDWESIGLDPGPNSSGFPSFSVGE MYCTH_2128874 MATLSLYDTTIVCGTHALDTLLDLLKEAQARIFPDMVPLSLQVL IVCKFYKQFVTYPTGRIVEGAEDDGENKTLEELVERVEKTLGLLETVSRDEIDGTALK TTTVEVVPYGSFNVTPEYFILIYQLPTLYFHLVTA MYCTH_2308330 MAAMNNLGKAEGDISDTFTSLSGKKTPPLPDRFRELKLRLVSGH EDAVIASWKRLLAALQNENELIARTGPAIIPEVRFSHLQDDLEALKPEIKKRGVAVIR GVIPEDEARSYKFEIEEYVRQNPHTRGFPPSEPQVLEVYWSPAQVRARAHPNLLATQR ALMTTLWHAASDPSAPVSMHTPLSYADRLRIRRPGDAHFALGPHQDGGSVERWEERGY GRGGVYDAVFRGRWDGGNSKKAGEGGAGYDPFDAAPRVRAVTDLYGGLGACSMFRMFQ GWLAISRSGPLQGTLLVNPLVKETGVYALLRPFFAAKRGLEELDGNRERYLDEDNWEF TGGERMTSEIQGAAMGCAQEFPEGAHPHLELEKTMVHVPEVRPGDYVVWHCDTIHAVD KKHAGTSDSSVLYIPVCPTTVASAEYVARQRAAFLRGTPAPDFPGGEGESRHIGRATE EYVKKYCDPLGVQSIGLDRLATVEDDTPGGKAAVEEANRVLGF MYCTH_2308333 MSPAPPFLGDLNPEGILVEATMVSSQKTPPADDEPGHQDIGFLP PTPPRQSKESPTRGRATTCTGSPKLCEDKTGPYFSVPREDGTRLTIHVQDTAKFAAIA QILVTRELAEKIMPSDAEWRAMRDLYLTKIHPIFPIYEKSALMDLPQERGLRELIQAS VCLAAATDPETHNLLTFKSQSHGEARSHKVVPYDDYSRDMASFINKRLVELQESRQIP LTNQIQVMALTCLYWQPTSPTERFEPLTLYAKLVSLVHTHGVHLGILARGHSECCSEG RGKRIFKCLYALDRLLAAISARPLMFHNVDLTQIPHPDEQDSPIFRLFISLILLLDQV FELYRPRPKFTCIDMPVFERMAIEAGAQSEPEGLLVTLEVLYHAISVLSVRMPRHRFR TAPECDTLHRISTHHLPPNSMNARRSHSADRILDVIKDYKLSPMPFVAYASTLSLSVA YRKWRFSQLPTFRTRGGADFKKVLPVVQELGAIWTTARINGELGQCVLLKLDRNEIIN RKRARETAEGSGTKRVRAGPLGDANEQEAAAEEPNGAVERTQAEVMTQATPAPTPSSA NTPPEKPCSSHISRDRTAQDLGAGSNSFLGASANHRDPLASHTASFLADRPQQRSLPL TWTSCRTADKMHHSSNTPVVPPNPPWAASVKDGMTQPNPTQQLAISQGDGCNQSDDAI PLPRDVLEQPARLNETSPLAGLSEGSMEDFMIDDDALFRSWDPRFAQSVDFSFSSILD PGNPFAWPEYCNYTT MYCTH_2308335 MTDSLADKLSASTLNDGPGPDDWKKNLRIPAKDTRQQTEDVTNT KGLDFEDFGLKRDLLMGIFEAGFEKPSPIQEESIPVALTGRDILARAKNGTGKTAAFV IPALEKINPKVSKIQCLILVPTRELAMQTSQVCKTLGKHLGINVMVTTGGTGLRDDIV RLQDPVHIVVGTPGRILDLAGKQVADLSECPMFIMDEADKLLSAEFTPVIEQLLRFHP KDRQVMLFSATFPISVKDFADKNMSSPYEINLMDELTLRGITQYYAYVEEKQKVHCLN TLFSKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHAKMAQQARNRVFHDFRNGV CRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRYGHLGLAINLINWDD RFNLYNIERDLGTEIQPIPQTIDKSLYVYENPETIPRPISNFNKPAAIQQQQQQQQQQ QQQQQQQQQQQQPQKSSVQVQSSGAQQGEWQGQANRQNGSGPSGTQGRGGYQGNRGPT GGHRGGRGRGYQGQGGRQNYGGQRGGRSQGPGQAPGAGPQA MYCTH_2308336 MPLKLPATSLCSSRSVLSVFYTPSSASSLCRPRDRRSVAPLSQC AQHWQSYATVSDGQRGDKPNNTDYPKWPTSANPTPYEILGHPRDCPYNKARYFQLAKL YHPDRHHHTSGDGIPQVTKLERYRLIVAAHEILSNPQKRRLYDLYGFGWENQTDPKIR HREADRAWRQEPGNPSMNATWEDWEQWHQQRNGSGGKQEEVFTSNIAFMAIVSAFLIV GTWSQMTRAGTNSISIIEMRDQQHAAISRELQERRNQRARLDREARVESFVRQREFEK WAHDPPGHGLRAPGDSEVSN MYCTH_96059 MGRQRDKNATQMRSLATFRRFKEFPAEIQQQIFIEAMDLPYFHT VIVKRVDNRVTGTWSLSFYPDDPKSRDRSGHRLYEKMASVDPAAAAAMRYERQTRLGQ LPFKKLRAPVDYERDLVVLDFRKCKGRTLGYLHPDNQILNPTGSAFDANAVAMQLEKI QKVAVVWNDQQPLCHDSSNNFRCPDPSSPVHEPHRNWCMCPEELFGLLNCFPELRQFY LLIPLGKKTNPQQLHVEDLIEDTYKFNDQHLYPVFHGRGRSFVHAGQLRSWEGRNHES NDNELSVFQLSPHRVHPSMLEMLRELRDDSFLADDVETLPEAERVELSQKYRLSRKER ENLVFGVLLQCKT MYCTH_2045175 DLPIALRRTRRSIGICSSKPGESSKSASPCASPRRPTKATPAVR TPDSRKRGVRFSDPGPSIAGGGDSELSTGLTPMIRRTSLRSSQMSRRHSTPGRLFPAS RGTSADPDVRALPAGGEVYFLPLRQVLDGRIKRRIRRNGLSEEMNTISAERKRRAEET KAEIERLKAELARKDEEIQRLHDETVVLDTERVWELEQQVASLKRELASRSGVQQQDL PSSPASEWTRAAPNSCQDDYMELDIGDDLEEFGEATRAELECSTPTRRMLASFPTPPA TSPEPQPPQTPCRRSFGTPRSHVGVQATFPDPDKQQLERELKSLQLEVTKLTATLESY SSLASRLSDKRLNLPPSERPSTADSAEDLEAHLNTVLQTLSDRTAALAELDSSLKGLG FPGSDAFEVVESLRASFRSARLELEYITPGEITLPLTGAGAAVLDLVLSRLRALAQRN RDADEAIDEHRATEFSLRQQLSARVTAMDRLAAQLTASERAARDKDAPHRRARGR MYCTH_2128884 MAHQTFPQFRRLPTEIRVMIWELCLPQRVIRLSSLVIAHSHHHY HDAALDPTIERLLRFVFSRSCLVSYVCRESRAVATMRRVPVTDLDLPWLGRGTWFDPR TDTLMLDCDVFFAHSRFWLQLRRKLFPGPRVATSRVRLAVVKDLATWYFNYLQLRHRQ GSDQNDRRDRPDEGDGAPLPAIRQISWAVEMKEVYLPLPMRDVAIRAGWAGPLREAAC RQVEVRQGGLLERLERLAIPHVMDMREAGTMVAEALDCWANEYSKIVAMSRALGFEGS EKEWRKNGGGPYPVFTTCMCDSRQW MYCTH_103571 MWDSIEDPSKRREIRYGNNIYVLFRALLHCKRFYGQDSLWVAIA RGFNTSPTIVRVTSEILTQARREQRSKPIKDIPDTARAADEWIDFLDTYDPRLRSLSD PEVYNVSEAFFKAQEKKHLNAARVPTNPRQRPLYITNLDRRSPERSLDGGSAPIQSPP VQLENLRESDRHRGRSPPLRRKRSASPEEGVSSKIRRTDFDTRRDPRLEPEKHGALDK LPTIQPTRSPPRVNQPRAAQPAQPVKPVQPVQSTPQPAGPEGNEPLAKSPGLSARVAQ VVPQQTTPANSGVAPGEPAQSKLSAQPVSQTPSSIPKEESSSPKAPAPPDVDDASALK ARIVSLEKQLEEAESKRTNPTPVLSSPLPSQLGEDMVALKKEMATATNAVSTIMESMH DIVDNLQSLQGEISALVAEQKDLKTTLPQSISSSNDGTKNPSFDLNAILQPLQNLDNT VNALRAEVSELKRNQAALSAPTSQTTGNDTKQLETLLQSHTARLDKLSQQMASLQQAQ QQQAQRHSPQPQGQPQSLRQAMAAAERDLKHHLATVQALYHRGGAGRAVTDRTADLLV TLSEAVRAAQAGQAGI MYCTH_2308340 MTSLLPLIQDILPMILPASVTVTKAADILPGETGSSETAAATPG VRVISRNAVVGKTDKMCTSILILKPNSSSSVRHHGEQGTCLSLSRHLSYVCTYPQGCA QPSEATPSKPPWEETIIYAVSGKGAILSQPTGDDEEPERHDLDPGDFAFIPAWTEHQA VNDSEVDWHLVIIRSGSHPVEVNLTGWGGAEIKEPPRQ MYCTH_2308344 MAEVGRDEGHDPFAQAPQQGSDGVYNTHEDAEPESVDYSPLSFV GTESTSGTRSPGRRDKGKAEERLAIDFENNTCPLISLPAELLGSILTYLAPEDLCRVS GTCRTLYAHATDDRLWRAHVQDNVPGQEVTSSYPFASFRELYAALDPHWFVTKYKFWF SDTGLPGRMIVARYDQRRGCIEGYQLLAHNQNSSFHTWHAHGDTILSSFNPKVKLHLD NPVLRLPARLPNNHDNDSEGIVVLRPNHKDTDVPDGDGSGTSGTSGTGSSGSTGSGSG SGSGSGSGKPNGLDQQARANRFQSSILMYTNTSSLQNSFIYARSLSPDDMAERARSHF PYGHVWPPPTIPSPHRVLGAGLERHTSLRDRDRAHSRRDVYDRAFRIHKCIHVNNLDD YIAPGILPINWPPLAHQWLLQLDQAMHRANPLSAARVPLGEEVSTYATLDPAQYTPTQ TKPWRGIWVGDYGAHGCEFLWIHQPDDDDDDDDPSPAAVERPEDESDEAYAARRRDAA VYRGRLEAVKLTGDANVPRGEVSFVVDDLGPGGLIRTETAAPFEGARVVSSRGHIANN GFSSHTYVDSELFLISSDLLAHNWLALGHISYFKRVDIDSLIVPE MYCTH_2308345 MITPTPNPFTGRALRDFGRRHFAGQAICRPVRVQPRNLHISVPR ASKNGTGRTPHPELPTARRLPFQARAAPLSVLLGGGLVASFLAYSQFGEESRALQGAA PASTDDRDPSLPRFRLADVRKHDGSSSEPWVIFEDKVYDITDWVPAHPGGDVILRAAG SSIEPYWNIFTIHKAPHVREILQQYLIGFIDVADLGPDGRPAAEAIEDPFVNDPVRDP RLITHTEKPRNAEPPNEELDRAFHTPNELFYIRHHMWVPTVDAEKADEHVLSIELPDG ETKKYTLGDLKKKFPTHKVTAVLQCSGNRRNDMTRHAGKTNGLQWGVGAISNAEWEGV RLSDVLADAGLKVRDATSPTRKGTSAASGDNELDANTLHVQFTGLEAYGASIPLNKAL DPRGDVLLAFGMNGETLPRDHGFPLRAVVPGHVAARSVKWLSKIIVSDEESHSQWQRR DYKSFGPNEGPNPDWDRAVSIQEMPVTSAITGVWVGDCVRKGRVPWMNPSRIPQPAKS SSSPILDMAAVSKRVGFTPEPTSSDTTTTTTTTNNNNNNEKNLSPSPCPPTTPADEPI AMQGYAYSGGGRAITRVDVSLDGGKTWDQAELVNDCADPASPCFGNKSWTWTRWRYVG ALPVLSLPTEEGPAPAAGGGAEETGAGGCHGGDRKTSRKHCTTLVVKATDDAYNTQPE SHRGIYNVRGNLATAWHRVKICPRCTGPSEDGTSDGRGLTWSTGETYGCGFKKEAEEV REGMRRQGVVGNGNGGEEKK MYCTH_2308347 MNRSKGRQDQGDSGAQQLPDVFHTPLGGFSSGTNERWLPLYGYQ GVVWFRADLLYTFVDAVDRLLCLDNRAGVTYSLYLLDKRKDYTAQSERDEFLSDLEGN GVTIWATGPGDYSNDMVAWEWIVDRLGAVEDESEARQKVLFVAGPGDPIPWTWQPDES HRVLKVSLSWTEIPKMNRPDIAYLRMPENPEDVVHTNEYGPWIASVCRVLAAGRIPGR PGYPAIPDAWFTVGGHGAGDQEIGTYGGQAFLPQLWDIVVAKWRDDPGSLLELKARTG PEDEAKVSDRWHLFVPGLACPYEKQYVLHDEVDDVGLVRRRILDLVRCSASHESYSKL QSLEVYLPGTGFSLVTVEGPELVVSLTGRDDVDSAFQPLVDRMVRWRKWLESKPGVPP VTNGLGLFPQFLSIRPVFERYTIRDEGEIHEPVVWNPDTTTVARFRILAGRILAMLSN RTYSSAAAWIAITQSRSTEQAGGGSDPERSRPRLLISPGTTEEEWQLIRRMIVNPEVF ISQLDTRTLPRFGDLNTEQPFGYRDVYETPDPLLYHAIDPDQIPATKRHYDWQSAEED VSEQLKTLQPWEIQPESLSTLYAFENFPLRPPPGTKLPQIEQTLQHPEEQYLEAQAKA AAATSAQMPQSSLNNPSFRPTTDIQRGQRLREYSYAHPLDVHMHMSVPINAPPVDRLL DLGHNSVPVVSLSVLTPTEVRRLQQDYHKMRNLALSRIERCPYPGCDAAYPANQQSAM EQHLKEKHVAEKCNFCDEPLFAHWPPQQKYKHIAQRHSDILRSILSQEQDAVVQVPDT GRTDRAREGRWNFCSRCGRDHTVLDAPADRQHHDNVCYPGVQDQEQDWAACGVCGDRI TLPDASLQGHDEHREAAPGEKPFCEKCAIPLGLFSRAYATRHGNFCKGHGRDNAQFCP WCSIQLADDFDARLKHIDGCARKPFPNAEGPIDAARRAYFLPEPSRISSARGQMGVQD GKRRKTGNAGEAADEVGRRPAKKIKVNVPPPRPRTRQERSAREPSDSPLSSPPSSSHS KRSAEAWLPPPPPPPPPPPATSPPPPPPATTPAATAPVPARSKAAGKRGKAKGQTRGG QGQKKTPAKAPTKRARAASAGSAATTRKTAAASKSPGGGTPAPAMRTRYRTRASAAAA GPASVTADAEGPAPAGGVSAKAARVVSPYADPLVRGASPARETRTLPARDGRRLTATL RSAGPGTRS MYCTH_2308351 MATLLDQLRTLSSVDCDTLDAQVAEQFGPFVDCTSNQAIAFAEL SKVGSDGKPLHRQLIADSLKVAHWQFGKQKDATLEELAVELMMVNLSLRMAPHTTGYV HVQTNPKLAYSAEKTAKNAERIISHFKQLAPDFDTSRVCIKIPSTWEGLQACRELEKK GIATLATILFSLEQTALAAAAGCRYIAPYVNELRVHFDSGYTDPDPALAFTGVAQAYL DSLPDNSDTYDSSGSGSNGGGKRTKVVAASLTSVDQVMQLAGVHHITIPPRLLAELAS TPAASWRGAPAQAARAVGAAGVVAPPAGEVRRGLEAAVRDEALWRMAFTRAEGGRCEG KLAQALSIFADMQERLEEIVRRAERGEEGA MYCTH_2308352 MSASLPPDASARLADTAARAPADSLRRTLSALLSLSKPRLTVLV VLSAMVPYALYPVPAFLSPTALAASTPSLSPLTLLFLTTGTALCSAAANALNMLYEPD TDARMSRTRTRPLVRRLLSTRAAVAFAVGCGLAGTAALYLGVNPTVAFLGAANIALYA GAYTPLKRLSAVNTWVGAVVGGIPPLMGWAAAAGESATGDGTWRELLFASDGSSLGGW LFAGLLFAWQFPHFMPLSWGIRDEYKAAGLRMLCWTNPARNARVALRYSLVFFPLCLG LCAAEVTEWSFALTSLPVNAWLVREAVMFWRYEGHKGSARSLFWASVWHLPVIMVLAL AQKKGMWARVWKSVAGEPDEEEDEFDYDEDEAAEWVEHARPGNVGPAVGTRR MYCTH_2308354 MSYYQNPRQQLSGFYGDLSTTGAGGIPMPGYDPYAVNAVPPLQI PTTGTAIGGPVGGALPTQHRASSGAWNQEDDRTLLTLRAMGKNWNQIQREAFPGKTGN ACRKRHERLMERRGQNDFDNRKLERLCKEYMSMRKEIWQPLASRCGEKWNVVEMQCMS NGLKNIQSHARAYARRERLESGQPLTTYGDPDGALDCLTPVDDVDVADQSYSSPETGG STTGTHSTPGGSSGSAGSAAGYLSSHHHSAHAHHHSQAAAAAGYGHGYGAPYGHHQHQ QQAQAHHGHGYSNSVSSTGTVGGYGTASQQAGAASAGSASGSASQGASPYLGHSGRLP SVGDMGIDAIMNRGQGS MYCTH_96047 MGAGGGGDAGAFYDAALKKRQAMMGKSGPSALLKNFRVFRIAAF ACIGGVLYGYNQGMFSGVLAMPSFNSHMGEYTTNQTKKGWLTAILELGAWLGTLLSSF LAEVLSRKYGVLVACAVFMLGVVIQTTAVSAGHNSILAGRFITGMGVGSLAMIIPIYN SEVAPPEVRGALVATQQLAICFGIMISFWIDYGTNYIGGTGEGQSDAAWLLPVCLQLA PAVILFVGMIFMPFSPRWLINHGREEEARKVLSELRGMPPDHELVEIEFLEIKAQSLF EKRSIAEMFPELSERTAWNIFKLQFVAIKKLFQTKAMFKRVIVATVTMFFQQWTGINA VLYYAPFIFQQLGLDLNTTSLLATGVVGIVMFIATIPSVLWVDRAGRKPVLTIGAIGM ATCHIIIAVLVAKNINQWAEQRAAGWAAVCMVWLFVIHFGYSWGPCAWIIVAEIWPLS TRPYGVALGASSNWMNNFIVGQVTPDMLEGIPYGTYILFGLLTYLGAAFIWFLVPETK RLTLEEMDVLFGSEGTAAADYERMEEINNEIGLNQILRGEGRVTAPSTSDAEKPKGAE QMETV MYCTH_2308360 MQELFERIQERSDEKITEVSLSYLEIYNETIRDLLVPGGSKQGL MLREDSNQAVTVAGLTSHHPKDVQEVMDMIVQGNEYRTVSPTAANAVSSRSHAVLQIN VAQRDRNADVNEPHTMATLSIIDLAGSERASATKNRGERLMEGANINKSLLALGSCIN ALCDPRKRNHVPYRNSKLTRLLKFSLGGNCKTVMIVCVSPSSEHYDETQNTLRYANRA KNIQTKVTRNVFNVNRHVKDFLVKIDEQMALINELKAQQKDAEKTFFAKFRKQMDKRD AVVREGILRLRAAYDNASSERQEKVNLMKKLKAIERRIGLLSAWIAAFDSVCDARGDE EAMPTNLAAMRKTAHGILMELESSRHHIHQRLERANWERVLDIALNHSISQLPTGDGI VDGSERDTLAREVEMLKASFMRDAYREVLEQDKAGDAAVVQVLLNAQFDILSSLSETL GMSEEEAVAKAKTMVNRLLETGYSAASHVVKPDGSSMMPVEPFSPSKRGTPKRKKSLS INTKPISGPILAATRQALTSPVRASPRRRKVAARKSVSFTPVKKKHGVRWRDDESEQG TLADYEKTPKKFDSPSESSPEKSLPPQPPVPSYLNQTDSPQHSSPNLNPPDVSSLSLG KPNRFQAGFLSKTRASQQNNDSADGSPVPPTLSLNLAGSSDTEDRPSPLRSLPVSRAT NSLSPPAAGTNGSPKPSASMLSTLSENSRNENEPPRRASPSSRLPRVSTGSVSGSDSE LDPLKIRSALQLAKRRERLSLLSGTPASVGRRVSSVGSNPSGVGGINLGGHRRASASY SGPAHAHASTSNGISRHRRGSTERGSRRSPPRPIPITCSPPSASASGSGVGSSPSGAS GRYQDGSSFLGGPGRNLTPGQARRMNLGGSLRMERGGSPSAVVKGAGGASAEDGSASA GVGGKARRITIGAGPGGVF MYCTH_2308362 MLTAGVKGALWLAFGSVVAALGQESIISTNAHGAHFQIAGGHVG KGQILVSSNDYWGVIRAAGDLAVDFGRVTGTNYTLSNGERNAAPATYTYHPVNNKNNT YYSTTGTANFTGPAYADPDPEKVVIIAGTIGHSKVIDKLIASRSLDVSRVKGKWESFT SQLVKNPVPGCKQALVVAGSDPRGTIYGIYDISEQIGVSPWYFWADSPPRKHKNLYVT TKKKVQGPPSVKYRGFFLNDEQPALTNWVASHWQDTPYGPGYGAAFYGLIFELLLRLR ANYLWPAIWATMFEVDDPANQPLADAFEVVIGSSHTEPLMRAQNEFGHFYEGPWAYNL NNKTIDDYFRYGVQRAKPYARNSLWTMGMRGTGDTAIEGLGVEYIVEMLQTLVKNQRQ IMAEGLGIKDITTVPQMWCLYKEVMSYLSAGLQVPDDVTLLWADDNWGNVRRLPLRNE TQRHGGAGIYYHFDYVGGPRDYKWINTIQLTKTAEQMHMAYARGADRIWIVNVGDMKA LEIPISHFFDLGYDAERWHVDSTREWAEAWAAREFGPARAREIADVMMKYGMYAARRK YELVEPWVYSVINYNEAEAVLQQWAELVADAQAIYDELPAEAKPAFFQTVLHPALAGE IVHQINVGGARNMLYAGQKRNAANKAIQDVLAYSAADANLTRRWDALLDGKWKHFMDQ THLGYDGYWQQPMRNALPPMVYVQTDFTSLAGEIGIGVEGSNATVKGDDRWHANSGND LTLPPLDPYGPATRYIDIFSRGSEECSWTLSSSKPWLKLSQSTGVVGPNRPDTRVLVS VDWKSAPPAPYSETVQINITTQCTGMDRYGFGDPHVLVPVTVRGVPKSFKRGFVESDG TVAFAAEHYSRIVEPASKGNGKGKGKGNDEDVTYHTFASYGRTSSGVGLVPLGAEKLA REEAPALEYDLYLFTNTSAANVTLYLSPALNYLGDATPLEYAVALFPASSSSGPSPED DDDAVAVKHVQPVGATEGGNMPRGWDGAVADGVWGLTGGYTTSSFEVAREGAYKLRVW ALMPGVVVQKVVVDLGGVRPSYLGPPESFLVGRDRIGARNGTSFLG MYCTH_71014 MGKKKRGHPDIEELLARPWCYYCERDFEDLKLLISHQKAKHFKC ERCGKRLNTAGGLSVHMNQVHKETLNCVENALPNRQGLDVEIFGMEGVPEDIIQQHNQ RIIQNFYTAQAERQAATGNPPRGSSGGQGPTKKIKYETPEEIKKRLAEHRAKLAAQKA AGANGVPATAAPANGPSPAQGTSPFPAPQPGYPYPAPPGGAVVAPYPANQTYPPTAAA FPPGASPPPGATTTAPFPLPARPPSGSAGAPPGAPPGVPPYYYNGAPAGYPAPGVPGA PGSAPGATGAAPSTVDELVANAARQSSGAGGDEIDQMIRMAEAGIKPGAAPAAGKTED AGAGEKKKKGTRMVYGDTEVSPEEKMAMLPRYRWVEEAAAA MYCTH_55982 MRPTRPGIVLLATATSVAGQSCELPSSYRWTSTGALAQPKSPWV SLKDFTVVPYDGQHLVYATTNDGTNWGSMGFSLFSDWDAMGSATQTGMSSSAVAPTLF YFEPKNVWILAHQWGPTAFSYRTSNDSTNPNSWSQPQPLFTGTISGSSTGPIDQTLIG DDQNMYLFFCGDNGKIYRASMPIGNFPGNFGSESTVVMSDSTNNLFEAVQVYKVNGRQ QYLMLVEAIGAQGRYFRSFTATRLDGEWTPNAVSEASPFAGKANSGASWTNDISHGEL VRLSADQTFPIDPCNLQLLYQGRDPSSGGDYNRLPYRPGVLTLQR MYCTH_2095026 MDSTMDRLLARKKSSSNLSWERSNLTLSSTPSDQKPREEKSAPY RDQRYETLLELKGNSYMAKDPLGLSSASKDLCRSLLEKPQPVPSDTLFRDDIFETTCQ KIHNRNESRVIRDISRLIVPSAESLATFGAKHLNILTESVNEGWNNSIPITTPRPQPD YSVGFRRDAFTEDQLAKLSPFIGDFITGDQSFFMATYYIYFPFLTCEVTALDIADRQN AHSMTLAVRAVVELFRAVNRENEVNRKILAFSVSHDHRSVRIYGHYPVITRKDTKYYR HPIHTFDFTALDGKDKWTAYRFTRNVYDVWMPKHFENICSAIDQLPSDLDFVPPLSGA TGLSQDLGNLMSEAGSASEHAAQQGVTPDTSFTVPEGGKKRKR MYCTH_96041 MVSEDSSLDVGKKTLLEKGFVHWEDPGLGENISMMAQKGSAFWT LDGLDFCMQNVLYEALLGKGSEAKYYGGSHLVDLPVEKTGYNFYSTPRPALDQAGLVG DDIKFKAGGGVVIFDALLRLEIK MYCTH_2067839 MQLQLLSALFLFGTGIQALPASAAQDQTTSISSSTCTTSSSSSS STATPTPYDFTAGAVPSYPIHPSCNSTLRRQLERALDETVALAAHARDHILRWGVDSP FVQKYFGVLLASTAAPLGWYSRVVAADKGGMTFRCDDPDRNCETQDGWAGHWRGENAT QETVICPLSFSKRRWLDSVCGLGYTVAGSPLNTFWATDLLHRVLHVPRISEGVVEHYA EDYEGVLELAATDPARSAIDSNTLQYFAIDVYAYDIAAPGVGCTGKPEKSEESD MYCTH_2119925 MAFAGQNWKERTNWDELCSYATELNHGLCCHLLPDLTNGLHHVA RVLEFEDGSRWLARIQMAASTKTTAAKLQNEIDAMMLIRERTRIPVPRVFGYRIDDNH SIGCAFSLTEFLPGNVATDFNGGYATHQGQIPAQHRKNFYRSVARIHVQMASLRFHKI GTIVRAEDGSYTVGPFTDIGGPFDTATDFFEAWADYAKFPLSPDKIRKSMQGGPVEEV LTSILEFPSTVRAMAHQLSSSDQGPFPVVHADFFHSNIIVDQGYNILGVIDWEGACTV PWELVEFPLFLEIVPRPMDAPWNYDDENGQPLDEGTRLRWQERSEYTQMVAEAEKSEL TDSKLSETLADQRAQNLAYALRVYRNPGKLGFYTKIFQKEELKDEQAE MYCTH_2308372 MVSIKTVAVLGGTGNLGPAVIRELVASGFAVTAVTRAEGGAGAR EVPAGVAAVKSVDYGSLDDLTAAFQGQDAVVSTVATAAVGGQRLAVDAAVAAGVKRFI PSEFGINTRKVRDTPIGKILAGKIAIVDYLEQVAAKGSGLTWTGVSTGLFFEWGLERG GLGIVNLKDKTATVIDSGDEKWYASTLAQIGRVVAGILKSPDETANKYLSTASFNLSQ NELIALVEELTGSKLSVTKVSSADLIRAGEEKLTAGNFGAFLDLLRAHNNADGAGNGL PEEQSDNGLVGVPYEEVRASVEEWLRREGVL MYCTH_116956 MDLRGMLNDNVPPANPPTLPPQLQQPTQRPSQQPTLPSTPVQAK PPQSFRDYSQTQLSPGLHASHDYGAHHAPSGSFASPSQYQAPHSISYASRPPPQLQQA SSSDLRSPSLGSGSAASPYRQTPSASMNSASGYSFPSQHNPTSPVQRHQYPPTGAYHR DSYPQSAASAGMTGPPNASPYVQGSHIPQTPPVATPGTGHSYLHRTQSMQSTPTPASA HSQSAQYGAPFAQGSPVAAPRPLQQPEPYQRQSSQPPTPGGAGPLSARPAQVSGYGPP SPYQQRLPGPGIHSTSHNTSPPPPPPPSLPRHLNSQSGQDSHSQDSARVPQQQNERER SLSVSPKTRVPSLPSSSGRPGTSVSEPEPRPNQAPPAPTMIERAATPAKRKLDDRELR PDELEKRETRPPPFENQNGRAAAPDADASAQRPAMPTKTTKKRTVYRTIPPWAQSLKD RAPAHPNRVLYQPIPHTGPHINGKADRPFARPERMQSRHASPEEKRAAGPITPAPSIP PDAGIQWGLLGPWEASITNSVPQEQFSKAIADFLFQYVILNEDMGEIQSRGVKFEVEA KLGMLIDKDTNKRVRLPVQSECVLSDGGNWLGFRSSMTEHQHKSFNEFLNFLVQQTHP ANKANAALPRPRLPIDYRHRHEVDRFFELPASVRDRLLPVCVAKPIASRGHGAKVRVT YDQKTNKVIGKIVKARIADMSLHFPDLPLDCRISINLEMDWDGTVEELERMASSTGRP PTPARMKDRLSYKHGCYQIDLTQVTQNVTGVGNTQRTEKEHELEVEVDPAPIIEQGRR AMEGQPHQYVDVVDGLVNNIRILARKAREFGA MYCTH_2308377 MPGLVSATGVLGFLADEEPELKVFALKTLNDDIDTVWTEVAGAL SQIEALYEDESFPERQLAALVLAKVYFHLQDYNESMTFALAAGPLFKLDAPGEFEETI ISKCIDQYIAVSSSRHTPAKLSKNDIELPALATTFGSGSLDGSALISATTPFSQSTLP SKSLLSRNSVDNTILDPSFQPTKEGRSASVAQITDQSTWTALESVIERLFENALAEGK YRQVVGIAVEAKNLDVLRRVIKRASEDGKRDKTKAQEGAQGPAEDLMDYALTICMDIV QERGLRTEMLRLILDLLNDIPNPDYFAIAKCVVYLDSDEEASSLLMQLITRGDKSAIA TAYQIAFDLYDNGTQEFLAKVIKSLPSGELPKKKEAAQGGEGARESDPLLENQENSEE ELPEEVAKVYRNVRSILDGSMTIRLNLEFLYRNNHTDLSILNRVRDSLEARNSIFHTA VTFCNAFMNAGTTNDKFFRDNLEWLGKAVNWSKFTATAALGVIHRGNISQSRKLLEPY LPKQGGVSSGSIFSQGGALYAYGLIHANHGADALEYLRTMFNAADEEVIQHGGALGLG IAGMACGDEQIYEELTKVLFADSALNGEAVGLAVGLIMLGSGNAKALETMFTYAHETS HEKIVRGCALGMALIMYGRQEGADSMIEGLLNDPDPTLRYGGILTVALAYCGTGSNKA VRKLLHTAVSDVNDDVRRIAVMSLGFILFRKPGSVPRMVELLAESYNPHVRYGSAMAL GIACAGTGLDEAIDLLEPMMKDPTDFVRQGALIALSMIMVQQNEAMNPKVATIRKTLK KVVGDRHEDAMTKFGAALALGILDAGGRNCTIGLQTQTGNLNMAGVVGMAVFTQYWYW FPFTHFLSLSFAPTSIIGLDHDLEMPNIKFYCATRPSLFDYPPEQEVKVEEGPALVTT AILSTTAQAKRRAQKKERAQRRESMDIDTPTVTKIPAPSGDKMEVDEEKAAKADEQKE KEGEAGADKDGSAGPDAKKKAEKEKVGYEIENMSRVLPGQLKYISFPAGRYKPVKKPT GGPILLHDTQPDEPKTLVEEKLKKVATERAPIAGATTGAGATPRNAAQSLLSNWSSRA MGGGGGMPELNELLQLTARGAEGRAGQVGGGAAADSGSGAAAAAGVLTAVDEDNEGDE EAPAPAEFEYFTDADEDEE MYCTH_2308378 MAHKYVIRVTAGPDYDLSSHIEVPVNSAEPVHIASDLVDADLCV RVQSYRGLPRGAPKSSPYFDAEPHRDNGDQYSIAMRFQLKRPPTVPDQPLTNGEEEEE EDGGGEAAADEAGLAEDGEEEEKKKKTEADTEEQRPAGVLGTDLQFGNDLDHPIRDRL PPGFGTAMNIVKWWIDPGLEGDPYADAPYLYGPALSSFNVVHVGEGEQDEARGGLWFE EGGDEAGAEWRRRIGAPEDARQRMKWALKAENKEKWTWEYGRTYGVDFFNPYIDFNDF ALRLPGFNLPIIKYWDGQGLRYAASYVFFLLLALLLVFRFTRHHATHYLRNCALRDCF SNKRNNSYKL MYCTH_2308380 MMMKKKNRSKNNSDSLPAPPNLEPYSDPQTWPSSRKYLLLILAC IGTWQTAYTAGAYSPPQYLIQAGLAGHPSIEAVLTGITTFCLGFAFAPMVLAPLSEMN GRYPVFVAAGVVYVAFQAACALVSTLAGMLVARLLVGVGASVFSTMVGGVIADMWDAR GRNTPMALFSGSVLAGTGVGPLVGAVMAQRMADAGRWRWIFWHQVIMGGAMMLFVVVF FRESRGSVLLSRKARALNRWYEELEEHGYFGVWVAEDGSLGDGSPPLGDAADEEKGPA SALEQGRTARRLKRIRWRVKEDEERASLGQMIATSVSRPFHLLFTESVVFFFSLWVAF AWGVLYLTFGSIPLVYQRVYGWSLEDAGYIFVSLIVGAVFATAIGLWQDRLLYHPKWA ASPASGNAVDINNSSETSDDSSNKHVSSSSSSSSPPAAATSSLSDRILAFLRRRFPAS APESRLYFTCITSTFLPIGLFIFGFSSRPDTHWIGPAIGMVLATMGILSVYLAVFNYF ADTYHKYASSALAAQSFCRNVLGGAFPLVTRPLFTNLGPARAGAVLGSIGTALTVVPW VLVFFGDKIRARSPFASQSTSA MYCTH_55568 MVHLTSALLVAGAAFAAAAPMNHIFERQDTCSVSDNYPTVNSAK LPDPFTTASGEKVTTKDQFECRRAEINKILQQYELGEYPGPPDSVEASLSGNSITVRV TVGSKSISFSASIRKPSGAGPFPAIIGIGGASIPIPSNVATITFNNDEFGAQMGSGSR GQGKFYDLFGRDHSAGSLTAWAWGVDRLIDGLEQVGAQASGIDTKRLGVTGCSRNGKG AFITGALVDRIALTIPQESGAGGAACWRISDQQKAAGANIQTAAQIITENPWFSRNFD PHVNSITSVPQDHHLLAALIVPRGLAVFENNIDWLGPVSTTGCMAAGRLIYKAYGVPN NMGFSLVGGHNHCQFPSSQNQDLNSYINYFLLGQGSPSGVEHSDVNVNVAEWAPWGAG APTLA MYCTH_96032 MALQLLASLALLSVPALAHGGLANYTVGDTWYRGYDPNLPPETQ LNQTWMIQRQWATIDPVFTVSEPYLACNNPGAPPPSYIPIRAGDKITAVYWYWLHAIG PMSVWLARCGDTPAADCRDVDVNRVGWFKIWEGGLLEGPNLAEGLWYQKDFQRWDGSP SLWPVTIPKGLKSGTYIIRHEILSLHVALKPQFYPECAHLNITGGGDLLPPEETLVRF PGVYKEDDPSIFIDVYSEENANRTDYTVPGGPIWEG MYCTH_54167 MASSAAAHGSRRPPTRPIISADRNHHRIIQLDDFSSHIASAEQQ PPPAGPVSAAAKSSFKHLFTFTPARHIPLVALSFTTAALVAAGRTAYAVLLGRIFDVV TRFGTGLLSPADFLAQISQWAVWLCVLGAGMWVVSTVDAAAWVVGGELRARTARREVF WRFLMGKEVGWFEAREEGVGGLTASVATQTRELQTATSQTLGYIVCDVFVFAACLVVA FVYSYKLTLVMLATGVPSALILWRISRFLDPAIEAQKRELAQAAKYVTAASTAIDLVK VYNAADHEAFNFTSAIRRSARYYSRQAMCNCGQMGYVKLWMITLFVLGFSFAVVQVKN GELSPGDALTTFYAALIAFQSIEMLGPHWLVLAKGMAAGQLLRGLVDESGSGQLERTA GCLKPSGCRGIIEMNNVSFAYPSNFARAVIRPSNLRFEPGRLTFVIGRSGSGKSTLGS LLVRFYEPLTGQIMLDDNPITAFDLNWLRQNVTLIQQSSSIFGDSFFKNVALGAMEPD NVPLDAVQSACSMALLQSTISSLPNGLDTTIGPGGYGLSGGQRQRLALARAKLRDPPV LILDEITSGLDPVSRNLIMEGIRAWRKDKTTIIVTHEVGDIKDDEYVYVLADGSVAQE GLKREVAKDESGLFASFVASAETACSGTDSETESETESESDSSDDGPLQESQYARSPR GALISNQRMPVGLFQRISLGPRATIAQESICRSITHKMATDDEPVTVNISRPSSIRII AQQGLAAQRSRTLNARQALRTDLDPELQVSLDSLDRFFLEHLAKPRGRESPSKGTQLP SLAAILKTVWPTLDRTGKAQLIAGIALCLVVAGSNPVFSFFFANLIGQFWNMEGQESS VPKWAGLLAAIAAIDASATFFGYFLMEQVAQKWVNNLRAEAIKRILRQPKSWFDRANH SPARITQCLDRNAEEMRKLAGMFVPLLLTISTMMLSSLIWALVVRWDLTLVTLAGVPV VIAAARANSLTSDKWEAACDQAAAATNAIFSEALANIRVVRALTLERYFSNKFSRSAA ATYHLGVKRAGFIGFFYGLHQSIVFFLTALVFFYGAKILGEEGTTVTDVVRVINLLLF SLGTAVAMLGNVPQIAAAKATAVQMLYYANLSHAASHESRGERRLFTPLPVRMTNLRF AYPSAPQTQVLRNINLQFDAGTCTAIVGASGCGKSTIVSLLLRLYDPLQEETDPARAA HRSESGAPISPTPESPSTPRCQRSSPFPSATATTNTTTNTPPLTYASVPSSHVHTPSL RARIAYVPQTPVLFPGTVRANLTYGLHEGSPLRAEANVVLAAQQAGIHPFVASLPQGY DTPLGGDGGGGIGGGIGGGGPAAVSGGQAQRLCIARALARRPRLLVLDEPTSALDAEA AAEVRRLLRRLVEGGGMAVVVVTHSKEMMRMADRVVMIEGGVVAEQGGYDELMTAPGG KFRALVEGGVWTAGEGGYAEEMEGKGKGKGKRKKKKEKKEKRGAAGWRDVERSREEAL RRLEGKSD MYCTH_2025277 MSRPVPNTFQAEQADNLEDIEKQFAVKVVQHMQTYWSILERVKG SSLRLTKLDDEIYEHLKRDFPDFDPAETIDEDKMKSKEGKERWRNFMMAYEKKVDDYN FGTMLRANPKWEYGKDETIFVPRMQFYAVEIARNKLGLNDWIYEQAQKEKASKS MYCTH_2315869 MKYLLECLNPGDKPIRMNSPLYLREAWPVNRLRKPEVWSARSFD SLESVLSSLDSYISACSAMRADVLATLFLTRRFHVVYSPCMGNSTQPAATHYMNKYGS LMASITLEVDFTKLAGSWRPEAVHLDGLRGLDGVKRLVDTFVQSQLTRSSNTPIRDLR VLVRRYHGFRPQTLPAPPSPPPSFSFSSFSSPSSNFSSPSTSPVSTTRSRIASAIRSR LRRRRSTNALASAPSTPPPTPTPTPTTTRWEEYSPAAHVRHALSALPSLGPVVSRLSL SGAPADFSAELAAEWPRRAARAPSGGGKGEGASSPPVLRVDGVVRLGWGGVMRRGGTR GRRRRRV MYCTH_2308390 MKRAVFLLAAASAIRGSLAQVRVPPNFEVGVKWQIVIQSTIDVN PPLEPADAVVWDLDLYHVARTPEVVSHLRENNPNTILICYFNAGLTQKSDCDYETRWE KSGLLGNVYDPEEPQFDDERWVNIKNQTARDWIKERITLARDVGCDGVDPDNIDGYHN DEDGNNGTGWDLSRDDYVSFVRELAEHAHGLTTKRNYTLLIGQKNAPDLVEDVGDLLD FAVLEDCKSLNGGGGDDDDDNDDNNDDAPFCGEFQHYIERGRPVFSIEYPSTLGDDET GECNAGGASKAQYEASCDASTARGNSDFSTVLKIQGGVGELNGCTQYCDGLQPGTGIV VTATDSELDGNECPPEATGSS MYCTH_2308392 MRFSLYMALISTLAAVAECSSFQQQCSKLASKLKIENATAWFSE YVAAGTNMSFPDTDPSCAQGSLVVDVDFCRVAPYVATSHRSGISMEAWLPKNWTGRFL STGNGGLNGCLSYDDMAYTVELGFSTVGAKNCHNGHNGTSGAPFLNNPDVLVVDFAWP SVHTNAVVGKQISEARRT MYCTH_2308393 MAPKTPLPPVPTVAETLKHPAYPTATWNLEPDRKGLVPVAEGRG GPFNMSWEIHGVGPIRLILIMGLGGFRTAWQRQTLHFGHERRDRYSVLLIDNRGMGDS DKPLMRYSTSEMALDIVEVLASPDVGWLPSSYPLPPSPPAPAPAPAPERTLHVVGISL GGMIAQELAVVLAEYLSSLSLICTAAVVENTASFAEHMAQRASLILPKSVDRSVADAA RRIFAPSWLALPDDVRLPDPATTPKCKPPRAAAAEGGSGSGSGSGNGGEGEGGGRYLK FETNAQRFVAQELHKRLDPAGRFTLKGFLLQLIAAGWHRKTPAQLAAMADRVGRDRIL VMHGTEDGMISVPHGRKLIDYVRPAKGIIVEGMGHAPLVERWEWFNQVIEEQCLLGER LDGRA MYCTH_2308395 MAEESKQVDPTKEQAAQEAADQTADATSKQAAPESEDESGSEAD VGATQTAAGGGGEGAVKKKKKKGKKKKVKQTLSDALGRGGPSAAQAEADPKKALEGLT PQQISEFIALNPALANELLGGEGSSDITSKAIEAFKKLKLQDIMTGLASSGKNRKDMA SYKFWSTQPVPQFGEEEPKLIEEGPLKIQKVEDIATEPIPLALEPFRWVTMDLTNDSE LEEVEKLLYGHYVEDDEAMFRFRYSKSLLKWSLLSPGWRKEWHVGIRSGNTLCAFISA IPTEMRVRDKIIRSSEVNFLCVHKKLRGKRLAPVLIKEITRRVNLEGIWQAIYTGGIV LPRPVSTCRYYHRALNWMKLYEVGFSPCPPNSKPAYQARKYNLPDHTSTRGLRELEAK DLEAVHDLLERYLKRFDLTPVWDRTEAEHWLLHKKDSQDEQVVWSYVVEDENGKITDF FSFYCLESSVIQSTKYSSIRAAYLFYYATEVVFTAPDDRSALKARLNALMADALILAK RHNFDVFNALSLMDNSLFLEQQKFGPGDGQLHYYLFNYKANPIHGGVNKRNQLEEGVS SGVGFVML MYCTH_2308397 MGKPSTSSLPGIAGSSSEADAVSLHTQPGEHAYDDDVPELQPAD LPPPYSDIEPGTADNEPVLPTIARPSYILVDLAEKDLYTVDANTGAESFMCEILDNDP VLLERQIKLSAAKPPRPSVRIRGTRSQTVRENGKSERKTVTDFDVVVELTPYLFSDAP RGQSWRRLRTVENTEKTRRGTIFPKRAPGVRRDIEISNPKPALAEWCHRYCASHAGVK AFVLRRQVTGFDQEKLKLKLETLVRGTNYRGHIDITFPVKDDYVVVYNACRVNRWRLT PWIVWFCYLTFLWLFTWPFLFFRTKWFEVAIAEWPFSVTEANGDKRYVSMSEEHIYNL WGRAISRAVLSKRQGTLSEEDLIASQTTPDEPFAGLLEHAPRFLRDGVNAISAVNRQL GWGGDSW MYCTH_2308400 MTNVASSLVPILVLGLPLGLYTLFLSLSTIPYFQRNFLYAHKIH TLWWGNINEPEQWGFAKNQVTPFYLTTADNQTLYAWHILPLPLYVQHEDKLSSQPSGF CHDITATENFRLLRDDPTAKLIISFHGNAAQLTQGRRPPHYHTLTSLHSPYHLLTLDY RGFGLSSGTPTERGLILDAAAALRWAVDTAGVPPSRIVLVGHSLGTAVAAAASELLTL HEGWDFAGVVLVAGFSSLPEMLSGYAIAGWLPVLRPLTWWPWLSNKLMARVVDTWDSA GRWRDVVRAVKERRGRLRLSLVHAKDDWDIPSHESDKLFRAAVEGLVGGGMGEEQFEA EKRKRMVIKGKNSFTATWKEGDIVVKQELFPHGGHNGVLTHTPVLMAVMRSFGLDDQS AP MYCTH_2308402 MEKKRKLPARAAARAEQAAKKRTVTPPQRSATPAPTTEPEPTPV DDVPPPLPKSVTAGKPLPTVDSPQPDDLPTSEFQTVTESGVLAESLSRSRQKWTMEGI FEKYWSKPTKKKGVVIEEPNNPPKESMMKLGQVTITVEPHVFEATMFAVKDPKPAPPP PPPTQRPIMQYGPPNGMMPPPPPPPPTPAPASSTPASAPPPAPAQSSAQVQSQGPPPA DSKPPAQTQTHTPAQTPTLPTAQPNAGQSAQDAVRPGPTLPPAAGRPVASPRGMETVL SPSTSTPLVPQNSPPIAQPQPPRPTPPPSYSHPTVPAPGPAPGPVPGPTRPVTTATSN PAPSTAPAVPAHAPAPAKPPPGTDPIILMLAEKAGTDPQLRDLMKRVAQGEAAKHELE RFQAIIDAITAESKRNGSTSGPSADRLLVDGRTVRYFADEVRAILDIVLSSNPKQTSA DLRPPAGSDPLVVMLVKAALDDSRTRDIVRRIAENKAQFADATDLKIILDGLRTKLIK DRERQQTQSPVPASPVSAKPNGASNGPAAPSPAAQIPPPQTALRSKGPPPPPQKPDIS AIVLEFAGGTGDRYLFPKFSLLEYVPVPSGQQVIASFLLVRKGSQAEYPMADPELDYY QPLTIRLFTSTGRHLEHLARVVAPPDEVKRYMNDVMTKMTRAEYVLLAMRLPRRDGSE DDKDNEREGKQPNGGAVKDKDQENGLEKVQKPAQPPAVLWTTTASKSETKDVTARGKG SGKPMDADEQYQSFIASVSRKEA MYCTH_55717 MKSLALFLSAAASLVSASAIALDREAIPAGASITFPRHESQFNP SVTLEGRGHGRGHGREKDNWGNAVEKDRQKVTIRASKHDRDDISDDFLWALKKANHGG LLHLQKGKKYVIGKKLDLSFLNDIYVKIDGELKFTNDIEYWQANNFYYDFQKSITFWV WGGKDIKIYGSGVINGNGQAWWDGFSGHEILDPDNEYYRPILFLTDNATNVEVRGLHL KDSPCWTNFFVRSKNIVFDDVYISAVSTNASTLPKNTDGFDSLNVSGLTVLNTRVNVG DDCFSPKPNTSDILVRNLWCNGTHGVSMGSIGQYAGVLDYIADAHIENVTLLNGENGA RLKAWAGPDVGYGYIRNVTYKDIRVENTDSPIVLDQCYFNVNETVCAQYPSRVNITDI NFINVRGTSSGKEGRVVADLTCSPGATCTGIHLEGIDITSPKGSPPQIVCENIEGDIG VDCIPKDEADYSG MYCTH_2308407 MASNAPFQFAGDSESDDDNFNPAPADMSDEEEDAAADAGNSDRR ASSPAADDGQNEMEEDDDRPTKAGKKRPREDDDEEENEGEEEEDEEDAEKNGDDQDEE EEEEEEEEEEEEEEEDEDVHRHRRKRRKDHRAAFFDIEAEVEDEDEAEDEEKEGEEIE DFIDNAHPDDIADSGHLDDDRRHRELDRRREIEASMDAEKQAEILRARYGKRAPARGY GEMTVVPKRLLLPSVDDPGIWAVKCKEGKERDIVFSIMRRIEERAGGKDELPITAAFE RGGPNSVMKGYIYVEARRQNDILIALDGVLDVYPRTKMILVELKDMPDLLRVNKTPSL EPGAWVRLKRPAKHAGDLAQVLDVTENGLEARVRFIPRLDYGVRDDTSSSLTADGKRK RPGMPGPRPPQRLFSEAEARKRHPRYIQGNPQTNTWTYMGEDFENGFQVKDIKIQHLE IKDVNPTLEEVTKFASGSEDGTENLDLKALAASLKDSNASSAYLPGDVIEVYEGEQQG VVGKAVSVQGDIVTLKVTEGDLAGQTIEVPNKGLRKRFKTGDHVKVIGGSRFRDEVGM VVKIVDDRVTILTDQTNTEVTVFSKDLREASDIGGQGSLGQYSLLDLVQLDPTTVACI VKVDRESMVVLDQNGDTRQVMPSQIANKLPKRRTAVAADRNGSEIRLDDVVREYGGQQ RQGKIIHIHRSFIFLHSNATTENAGVFVTRAGNVTTVAAKGGRTANTNSGPDLSTMNP ALKRNPAQNQPMQPPKTFGRDRALGQTVSIRRGGYKGLMGIVKDTTDTDARVELHGKN KIVTVPKADLIFKDKVTGKTIDIYSRSGGPGFGGLGRGGFGSGDRPGGSRTPMGAGGG ERTPAWGVSKAAARTPAWGRAEASGSRTPAWGDGSRTVNPYDGSRTAYGDGSRTAYGG ATSYGGSRTPAWSSSAKTPAHDGFGHGSKTPAYGSSSSDPWGSKTPAYGASAPTPGAS GGDSWGYTPGPSGGSHAYDAPTPGAGLGAPTPAALNAPTPGAYSAPTPAAASAPTPGA WQGGWGADAAPTPAVGAPTPAASGGYYGAPTPAAYGGAPETPAASGEIRYADDD MYCTH_103537 MKLSAAIAVLAAALAEGHYTFPSIANTADWQYVRITTNFQSNGP VTDVNSDQIRCYERNPGTGAPGIYNVTAGTTINYNAKSSISHPGPMAFYIAKVPAGQS AATWDGKGAVWSKIHQEMPHFGTSLTWDSNGRTSMPVTIPRCLQDGEYLLRAEHIALH SAGSPGGAQFYISCAQLSVTGGSGTWNPRNKVSFPGAYKATDPGILINIYYPVPTSYT PAGPPVDTC MYCTH_2308413 MCKIRIIHFSEHDVRIPIATDPFSAPGGKDDFICSNEVARCACN SPIAGILVAPEADESGRRPGSSSSSNNHYARCPWHRCCVTVYQVLLCQWYWNHAEEAA LQGDGDGDEDEDVDEEPETWCPNRMVLHEHHPIGMLLSPHSPSGDAAEWDETREPFSP GCFPAGDLLYSDEILDDGDGADAVPPPPPPPPPDGLQSDRYEVQALGRLLRARKETLA RAVALASEMVESLAGDLEGVRCLGAADAVAFLARIRSAGRVVDLIEDTELGAAELYRD MVRRVRSVLAALGRVPAPGGTVASHDGTFSISRAQLDLADLDPHEVLEVCDGPLKRSG ELRERLAEIATLVARLPRELERRRRRRRRQGSRSGLDQHPAVRRRRCQSV MYCTH_2308415 MARKITRLGALCAAIAAQGAEAAVSFAIPNKAGTGAQLYAPLDP APVGISFEFFAFPSYFTNVTATKQCLANWKDLTGVWPPIRIGGTTQDRASYDSSTSAY VVYSVANPADAPATLTFGPKFMTLAGTYPGSVVVGLNRGKNNIDNTIAAAKVAVSEVT NLLAIELGNEPEYYPKDGQPIASGTWNPSVDAASQVDWSIRVGSAVGKRNIIQAGNWN QPPPEWGAAQLIASENATASQYIRHYAHHNYPGGDVQKLQSHSQTSSNVRSMFAADVA AVKQQTGKEYVLGETNSVSGGGAANVSPTFGAALWTMDYALRAAAHGISRVYFHHGTV GNCQYCFWGRYSMGAPYYGATAAVALAAGASSIAALDAGTDGYAAYVTFDAAGAPLRA LLYNSDYYAGGGAEPRSSQQFTLTGLRGDRVRAKRLTAASSLSRADRGNDISFGGQYW VNGTCVVGGEEVFETVEVAGGRATFDIKAAEALLVYL MYCTH_2308418 MSLIPYHPREGREIVLRHHNAIVVRDPSSQRLEIRGLQLHECPT CHRPLRSASPDRHYESNQHGDSYVDPNYFRMLRASHSLRSASTSHSPPSPIRRLVVPL PAAVHDEGESHAEFLSSSPAPQSGGRIKREAFSPNYFDTFFIEERELGRGGKGVVLLV RHEIDGCNLGHFACKRVPVGDNHAWLEKVLVEVELLANLAHPNLVSYRHVWLEDVQLT RFGPSVACAFILQQYCNGGDLLQYIIGEKPKEATKEELKAQMRRRSRGRAERPQVQRR LPPEEIYSLFKDITSGLSYLHSSNYIHRDLKPSNCLLHRDGNHLKCLISDFGEVQPEH AVRKSTGSTGTISYCAPEVLKKDASGRYGNFTTKSDIFSLGMILYFMCFGRLPYVHAN IVNEELEDADQLRAEISDWKGFQAERKERPDLPSKLYQLLTRLLAVNPADRPTASEVL SAMGGEANFEGMMRGSRNTNPFLGLGGQRIQDLDSPLQSSTPVADPTKHARVNNAANE DSPEPSQRPVSDAFTKPPTSNLLSRRINQQPTTSSAGDARHAASHAMTLSRGNRSRSQ SNDSRTHTPHHPHPPSPHRSSNPNPAPASPQPATITTPLLMPPPTTRLQRARLTALLA WYRAARWVSSNAMLLRLALFAAKVLSLSWVCWPYSAGWAVTAVVVGLAAGDLHFGLRD EEGTGTTGSETGVEGTSERARLGSWDGAVPRERAGEEGVGLLEQSQDGGRRAEGPGGA RWLDGGWQRSLVLLLLHCFILASMNSFGMMCAGGQVTRGWEDW MYCTH_2308419 MHTGRLKSEDVIQPDLYFSPPASNLAVGAGPIWESVSLNIVRVR VVRSPKLVPSSIQWYVEGHQQEASGSGIGQFTW MYCTH_2308420 MKVLCLLLTLPAGILWGALAQEQCPGSWYLQGDDCICIRSTDGF LLKAQTLQCCMALGYKTYDNMRTSSPDHRSDSLTWVFSDLCRGPQQTTDFQRLLQRPQ TGKRHRSLPLSRGVTG MYCTH_2308423 MSSLSNRISLLEAMLKERGVIPPPAVHPPKTRQEAQARQQQEQR QGQTNGERSKSSEAGKTAPPPLNQPPTPPGSGDEDVILAETPQPKTFPSGGHASHSRM IDPRLLQEPESKKESGTRHLLRTRGSYVLDQSVGRTRFFGPTANSHVYAKQTSSLIPL ERSDHLGRAEQLILGLRSATYDYLMRCFWEYYNSWQRVVDESAFETGRTTGDSRFYSL FLHLAMLAVGFRFADWDREDVKMIMVGNRESTIHREAKAMLGAELERPGGVPSVQALL LLADLECGVGRDATGWMYSGMANRLAFDIGLHVNTTAAGMSELERQTRRQVMTSCVMF DRKWALLLGRPTAIKTQDIGADVLPRATGKPLVDSSIGSVASHAAIHRQMFELLELAG KVADFQNSTYGPAHLFPAKTVEDRAYLHFVGLERLFHNWYRRLPESLAWKPVNIKSAP MGFFMLHLQFHMCMILLHRPWAKYGPLSLDGTAAARYPSPESPTQEDGTGLPSWMAPL PHHDNRASMSRSMCTQHAIRIARIFWHQRQRFDGRRVLLTSVQYAGTAALALMAALAH KSAELDHQSNLRYLQVLSTAIYDMSHLYQPAARMYQLLKTMLVEIRSEMVKSGGFDVS SLVGRYQGSNNMAFGSNQWAGGAENTRLHTDRLETIHEDDRASKRRRLSSLSSVDFSR TTPSFLTNSHQGCPPSPGSAQSHSVNSAVLDQTPSEPGNFDLDLFHASFVDFINAGGD SSNSQEWPAADADSSAPVLVPSMSGDLFALSGSLTKAPAQIKEPPSSTPPPIPASASD KTPAAPEDDDDTAVDRTIEEWLAEPSKALAPSPTDDTPRSTTTETHRQAGEALPAPTE PRNSLSHATADGTPICRDPYVLSLETELGIGFDLGPDPTTATGTTPTAAGSSLSSGNN DTTEDSITVDAMDWLNTTSPPAAFNVTTPSPAPAPAASASTGLTASLNETTAVTTTTT TTTTTTTTTMAVASTNTAPPLAPPPPPMTPVTLDELVQSVEEAVGSARARARARAAAA AAAAAAVASASGSGSEGAGAGSNMGNGGTCDGAVAGAGSPTASIPGSAGERNRELDFL VL MYCTH_2047945 KSCLKSSGRQDRSVRFADAARCLESGAVVEPHPTTRDEWMDELL DARIDEADSSGRSTPERIEAIEAVVHPRVRELVRWQSRKRVHRLLLPADFDRESLEAY AWRLLFQRAHKHMLRNRADCPIRSRLERHKHPALSTRPDPE MYCTH_2308426 MPPTPHHVLLLGGHGKVAQLLTPLLLRRGWDVTSVIRTEEQAPT IQGLAPKDGGEGTGRLKVLVRSLEEVKSESDAEKVISEWGGDYVVWSAGAGGKGGPER TYAIDRDAAIHFIRAAAAAPHVTRFLMVSYLASRRGRPAWWSEEAWAAARHLNEQVLP HYYRAKLAADEALYAVSKERGDAFVGINLRPGTLTLEPAGRVELGKTGDVRGNVSRAT VARVADLLLASEGIKNTWLDLLDGDEEAEAAVERVVRDGVNAAEGEDIF MYCTH_2066697 YIDYKNITKVIEPGRIIYVDDGVLAFEVLEVVDDKNIKARARNN GFISSRKGVNLPNTDVDLPALSEKDKADLRFGVKNNVDMIFASFIRRAQDIRDIREVL GEEGRHIQIIAKIENRQGLNNFPEILAETDGVMVARGDLGIEIPAAEVFAAQKKIIAM CNIAGKPVICATQMLESMIKNPRPTRAEISDVGNAVTDGADCVMLSGETAKGNYPYEA VREMSLACLKAENSIPYVSHFEELCTLVKRPVSIVESCAMAAVRASLDLNASAIFVLS TSGESARLISKYRPVCPIIMITRNPSSSRYAHLYRGVYPFLFPESKPDFSKVNWQEDV DRRIKWGLHHAIDLGILTEGETVVVVQGWKGGMGNTNTLRIVKANVEHLGIVDDMSSK SSYLPRLGFSRGDGPILPLHNRTSPPIRKKHSEYSLSELSPRPDDGLLSSSPENDRDA AVSSQRGSSPSARYHDWATDKASSKTRAEPPPLFTGPPPPIATSQMLYRDEEERDASS TSSRHFGATSLARNIGSVLFDRNTPSPNRTRNRDYDPKPDAIWRNLQRRERALQKELQ HLLDAQSAGLAANLGSDDGAPGVSNASSDSGARSIAPTTSPTPITAHTAGSSQRSQPR HVTFEDRRHRRHHHHDAATSTSSVTSSGTLVPVRQPRPKSGGRGIRESPGGVEFLQLR PERRTAEMAREWWENEVAILEKKKKEVDKERTALEQGVEVWRGAVMLVSEFEAELRNQ LRESGNAEGGSGNGKGKNREGDQATPPSPEQTMLAQLDRMQGVMAGLEERLRVAEQNG WNLLICAIGAELEAFRQADSMLREALRSAGVNVGDDDGTHGDSTPRLGKSTGLENSGQ GVDRAEMSGEGKLVDLHDGDSKQDRDPESDNEVPPDLLVSALEDAEPESPGLSRKNSN EIPAEFLREHHDDEGFPGFGAMT MYCTH_2067689 MATALDHLQLGGKIEWLSQLDTAYQPERNLRRTSIICTIGPKTN SVEAINKLREAGLNVVRMNFSHGSYEYHQSVIDNARQAEKVQNGRPIAIALDTKGPEI RTGNTVDDADLPISAGHIINITTDEKYATACTTENM MYCTH_2315875 MVLGRLTHYAFDAVLFSAFLAGMKRSTGLTFKTEKVAGDNKEMS KWVDKYLGVGEWVMDQSVAIAGSSGWFERTR MYCTH_2308437 MYSKLSVIAALVALVEARFGQEGLVQSKISALSNFGNPGQAATL AGASPGVLLAGANACAKLELADQIVSELGNDPEVIAAAAALVAAEKNFNPFVTSIPTI CSDPNLPATPELRGIVPLVDPAVVGSDIENANSATSLTNPFNADGLSQADVAIANGFS NFTAQASDGSTTGGNAGGNNGNNNGNNNGNNNGNNNGNNDGNNGNNGNNGNNNGNGNA NNGNNNGNGGTTRCGRNRNNKNRNGNNGNNNGNNGNGNANNGNNNGNNGGNGGNGGNN NSTATDFGSCDPTMKFEGGLGGRPADEFTFQSNDPQIAANQQEALNPNIITNRICDEL TNICGANDAAKAVCRDAQAQIQALGTRDATTAETWNRLLGF MYCTH_83864 MSPTMKEALVSKGTQVEIVDSPIPNPNENQVLIKVVVSGSNPKD WKLPEWFGGSTNQGDDIAGIVEKVGANVFEFKPGDRVAAFHEMRTSGGSYAEYAIAWQ HTTFHIPESVSFEEAAAVPLAAMTAAVGLYLRLGLPQPWSPATTQTPLIIYGAASAVG IYAVQLARRSNIHPILCVAGRATDYVAGFLDPSKGDAVVDYRQGDEAVVSGLVRALDG RPPVAHVLDAVSEKSSVPNVVEVLKRAGARDAQGKLGAKVTFVLGVEQDLPEGVDKTL TMVGTVHKEAKDFGYVYFRYFAKGLQEGWFKPQRTEVVPGGLGGIQTALLNLRDGKAS AVKYVFRIAETEGVQR MYCTH_2308439 MADSFGDYPPNLTVKDALIVRETEGPSHAVTPYTGTDLARPRLG PANPFRDDGPSASRKRKNVLTGHAEETFISEHTFRAKHRAVEGDQRHGTLTNREQKEV NKRLRREREDKGDATIADGDGAYVGPWARYKRERYEEVEVGEGEEVELASGEEYEIVE EGEEEEDDVVPSGTVVQAPAPSLARRKEAEEMGVETTTFHGSSEYDYLGRTYMHVPQD LDFSLTKEVGSITNYIPKKMVYSWKHHGKPITALQLFPRSSHLGLSGAADGSVHIWDV YRDRELLRSFSGHNKAISDLSFNNDGTKFLSGGFDRKIRLWDTETGQCVNRFNCGKTP HVIKFNPSAENGHEFLAGLSDNRILQYDSRAGNETVQEYDHHLGAINTIEFIDESRRF MSTSDDRSLRVWEYGIPVEIKTISEPDMFALTKSAQHPSGKYVLYQCSDNSIVAYSSG PEKFRQHRRKAWRGHNTAGSAIGLTCSPDGQFVASGDTGGSVCFWDFKTCRMYSKLTA DSAGGAINCVAWSEQETSKVFTAGTKGEIRLWD MYCTH_2315877 MSGEAWLYLFAVIINAVNLFLQVFFTIMYSDLECDYINPIDLCN RLNTYIIPEVAVHGFLTFLFLINGYWLPLILNLPLVAYNAKKIIDNTHLLDATEIFRK LNVHKKESFTKLGFHLVLFFFYLYSMIVALIKDEAH MYCTH_2308446 MAKEPPTKKCLGADCENEASSLQCPKCLSLGIKDSYFCSQECFK KNWATHKNIHKQENKTGYYNPFPTFNFTGPLRPVYPLSPRREVPKSIPRPDYAEDGIP KHGRSLVRTNKIEQLDAKGQEAMRKVCRLAREVLDIAAAAIRPGITTDEIDEIVHNAC IERNSYPSPLNYNHFPKSVCTSVNEVICHGIPDKRVLLDGDIINLDVTLYHEGYHGDL NETYYVGDRAKADPDTVRVTETARECLEEAIKLVKPGTLFREFGNVIEAHAKSRGCSV IRTYVGHGINSVFHCPPNIPHYAKNKAVGECKPGMTFTIEPMIALGKYRDVTWPDNWT STTIDGKRTAQFEHTLLVTETGVEVLTARKADSPGGPVPMPPAAANGTS MYCTH_2308449 MEKKQKIVVIGAGPVGSLAALYAANRGDDVEIYELRSDLRDPTT TPLNFTKSINLALSERGINAMRHSGQPKLLENVLEATIPMRGRMIHGRRANGDLYEEP QDYDGVHGRSILAVDRSRLNERLLDILEGMPNVTFFFNHKLTGANFRQNKAWFEVREN GSAPAPGQRAREVEVDFDFMIGADGAHSAVRYHMMKYTRVDYQQVYVDTLWCEFQIRP KTTAPDASLNSKFAISPNHLHIWPGKDFMFIAIPSGDGSFTCTLFAPAALYEHLESDS TGSLIPPFFDRHFPGVTALIPPAELIESFRRNPHLPLISIKCQPHHYGSSVVLVGDAA HAMVPFYGQGMNAGLEDVRILFDVIDKHARMDELSAGADRASSRGRALAEYTAVRVPD AHAINDLALQNYVEMRASVLSPGYRLRKFLEEALAKYVPSLGWQTKYSRVSFGNERYS EVVAKSEHQGRMLVRGLVGLVGLPLLAGGMVLLLRYRRAMNVGLKAAFGSVDSIVELM TMN MYCTH_2308450 MGITCDECHQLWSQSVAEPKACTNTKCNYGMVKCCGNRSGCGRT GCFKGEKYCPTCKGAGQVVYCRYWKSHGPGGTRPPTRR MYCTH_96005 MPKFSILIGYLYPYLGLNTNATLITYVLGTLLPASYTCLSASIC PIPMPIDFGRSRAYRSSLPVDRAAYLVYNRNDLTLTTITIILKEEDKEEKVVKLSL MYCTH_2308456 MSTDKITFLTNWHATPYHAPLYLAQAKGYFKDEGIKVALLEPND PSDVTEIIGTGKVDLGFKAMIHTLAAKARNFPVLSIGSLLDEPFTGVVYLKSSGITSD FRTLKGKRIGYVGEFGKIQIDELTSHYGMSPADYTAVRCGMNVSKAIIEGTIDAGIGL ENVQMVELEEWLASQGRPKTDVQMLRIDELAELGCCCFCTILYIGNERFVAENPDKVR AFLRAVKRATDFVLAQPEQAWKEYVDFKPVMGTPLNRKIFERSFAYFSRDLKNVKRDW DKVTRYGKRLGVLDESFEPNYTNEFLEWPLTPDSTDPTGDQRRMAEIQKDVACCGGFR RLEGRVEA MYCTH_2308457 MAQKDITNYLRVTHDRVFEHNRSWAENKKASDPDFFVKLSAGQE PEYLWIGCSDSRIPAEQITGLEPGEAFVHRNIANLVCNTDLNVMSVITYAVEHLKVKH IVVCGHYGCGGVKAAMTARDLGLLNPWLRNIRDVYRLHEKELDTIADEGARYDRLVEL NVYEQVRNVIKTASVQQSYAKNRFPVVHGWVFDFKDGLLKDLKINFETILADIQKIYD LTK MYCTH_2308459 MTRRSTAELQRNSIVAVFLRMIEYYEGMLFLTTNRVTDLDPAFY NRIHVAIQYANLGPEERRNIWRQHLARAAKGNRNPRLWNEGVYRLLGEIATNGRDIRN ATRTAASIARSMDRDLDITHVVAVMRNNFSGDRDFTDSGAHDGPKDLKVSAKDLEQVF GELMKVHKQLEGSDNTTA MYCTH_2308461 MGHLHTLKTIIDKRWMTALILENDADWDIEIKKQLALVAPHIRA VTNSTSHGQPQPYGSTWDLLWLGHCGDGVPPTGVVSIFDSTLPEEAAYRENTGE MYCTH_2308466 MRLGSSDSALCSPPAPTCSVFAAHLATGPRVLPTAGQPAGYSPG EPGAGGQCLLLSYDSPPLPRDLSAKLFRQAMVESWNRRARPETKAALPLALLRCIRRP FVAPIIPRLFLILFRYSQPALIRRSIQFVTDDVPSAAGVETRGYWLVFSAVTIYVGLA VSTAAYQHRLNKLRVVTKSALVGIIHHKTISLPSATHDNSEAVTLMSTDADGLDGAAE MFHETWAQSLEVVIGIFLLSREVGSIWPLPLILIFLCSRMSRYVAKHLGPRQNAWNTV TQQRVAATSSMLSSMKVIKMLGLQHCMASFTRRLREEELKTASRVRWIMVYYNASANL LGIFSPAITLTLSALAAARRGLALNAETAFTSMAILSMVTHPANMVMTIVPRAVAAFA GLERIQAYLLKPRLLDGRQTRPSAGETAGLAIKVHDVVVGEHHSILNGVSIGVNYGSL VILSGPVGSGKSTLLRAILGEIRPVHGSIQVASRRMAYCSQQPWLPNGSIKQAICGMD DNQDDIQWYQRVLEACCLSHDLELLPDGDETEIGSGGLNLSGGQRQRVALARALFARC DIALLDDVFSALDGDTEMRIFRNLFGPTGLFRQLNTAVVLVTNSAQFFPPADLIRVLE GGSIKIQGSWEEIRHKAHSSISKFSLQHQNDRVVAPAPLSNFTKLNAQPQIRQEAKAD LVRKTGDLGLYWYYFRFVGLFNLALLAGCTASYSFFITLPQQWLKLWTESSGQNQVFY VLGFILLSLLSWTSTNGTMWSAVIRIAPHSGLIIHQHLLTIVSNAPLSFFSNNDNGSI LARFTQDMQLIDKQLPSALANLGNQIFKLLAQVLLLCIAQRRLALSLPVCGSLVWIIQ KVYLRTSRQLRFLELESRAAVLSSFLESVEGLETIRSFGWLSEITDQNNKRLEDSQRP EYLLMCLQRWLNLVLDMIAATVAIGIIAAAVALRGQIQAGQVGVALNIMLVANTTLLR LIESWTSLEVSLGAIARLQALETTTPSEFDKNAIFEPPRGWPTRGQVEFKGVTAVYNA EAVALRGVTLTINAGQKITICGRTGSGKSSFLLALLRMLDVQSGSIELDGVNIAKIPR DFLRQRCFVTVSQDGFLLPSETLRSNLDPERLLGDDYIIIDTLKRVGLWSHFSPAGQS SKEYYRGDGHPILDQKLSSFSAFSAGQAQIFTLCRGILKAEALRADGGRPVVLLDEVT SALDSSTEWAVQRIFEAEFAAKGHTIIMVSHRLGQLSEFMRPRTDLVVRMRDGRLESA VSDLKGAAGD MYCTH_2308471 MERVIAALTASQISRFFSANGRPTQQECDKLAERITGQPAMPAP VQGGSSYTVIAGDYVIQFRAPNAVLNLEFLGHVEKAYHGFMPCHSDSGTFGELFVYKM RNVGGVSLYLARGSLYENDCFLLRETIMDFARFFASAWHKTPMAIPLPDRRLLHCKYT SQLSQLSAGLPERFRSVLNRLIPRLRYITAVEWPLVPNHIDLLENNIHVDPSTGKLTG ICDWAGTEISPFGMSLGAVENMLGIPKLDRESGRTDHVYHANHRELRGLFYDELYSAI GSVSDRDKERIEDARLVGLFLTNAWRYDEAGNQLPAGEEEHGLQHLDAVLRATCDEY MYCTH_2144720 MSRRMYNALAYITNRTELQTNIPGNISDLLRIIDRHSMGDKFRN HSLHRHTMLPDTTIRLETDAGETGYKWANATPIEDVDLNRVHPTFFKLHDGRLAIPEA FFVEFSAHVAQNKLADMVALELGDFADLRRRKDSVTAEIEVQWGEGGVPFTLSVSVKD LVDADGKERRLVPTAWTVPISRGGPRDSSPDKPAPGTHWNEATKPHGSKTHKVHVDSS EDVTPNLLVQKLVGMAILRG MYCTH_95997 MPKHEMTKSDSSRIQSLITGGGYQYLSSNVVFEKLSDRSPKDAP LHLWRTNTTMQQSNVKDSSNSSAFYDHLHENGASRLPYSLASYQKATEGTGGQFDRLA FGGSAFGGSAPVLYRLHQPGDQLSSKQTIDKVAKGRYYHVQFVPKVRSRGFGIEPGMD GLK MYCTH_2020741 SVHNDRAREREEFTDFVLVCGPERFPVHKVIICSQSKYEIAEQS PAMVRRMVEYFYTGNYKDCGEPTQDPSKETPECSDEEGLTALCIHARVFALAEMYQVD GLQSLAVTKYGKALARSTNIQDLLDSIPDVYQLTPCTVRALR MYCTH_2067458 MVLLRHPTAVLALISSLLLSPAVQAASLESVLADQANLTMFRGL VKNTVQETLKYHILKGTIDMPSIVKGDSIWAATTLTDRNYSTVTGGQHLILTKQPGGE VVFTSGFATRGTVVVEDLQFDSGLVQITDSVMHVPETLESTARNAYKDLAAFVGALYA TDLYDEVAGWEDVTIFAPRNAAFQQLAGTFAAMDRDDLRRVLRYHVVPGRLSHVWELR NASALASADGGTEVAITRQANSIFVNSAEIIQPDILLANGVAHLIDNVLDPGQPDARP DFSLTTQQPPVFTPLGTATATGANVPTPFASNLPCTPSCVGGTRATAAPTGSGGADSS NAGGALPRCTGLAGAGLGIGLAVGAIMAGF MYCTH_2308475 MTAELSAAPVLSTPAEHVFEYAVTSPEADAQAPPKSDDELRAVY EIARTAREVRQGGWKRIALQFPDHMLRDGPRVVQALNAELESLGRSGDPSERPEKIYI LADTSYSACCVDEIAAEHVNADVVVHYGRSCLSPTSRLPVVYVFTHHDLDHGETLAAF EKQYPDKDAEVVLMADVTYQDHVPALASELHKRGYANLLSTAIVHDPTGAIPNRKLVL PGGEATDADPSAVDLKSHSIFHISTPPTALLLALSSRVRDLHIHPTTDPTSGATSSAA AFSTARLLGRRYARLLTLASAGIIGILVNTLSVANYLSSVEAIRARIAAAGKKSYTVV VGKLNPAKLANFAEVEGWVVVGCWESSLVEDGDADGYYRPIVTPFELDLALVGDDRRV WTGEWWGGIEVLGRASGMEKEEKVSGEEAGVRGKMEMGGENERVNGSRDEDRNDEEEE EEDEEESAPPEFDLRTGKLVSHSRPMRSGTTRANGKAKQEGGTRPEEGQARSSSALAL RPKAELATVNGVVSPGAEYLRSQRTWQGLGSDYVMEESAAIEEGRSGVARGYTVGEAA ERR MYCTH_2308476 MGEKNDGVRPAVACLSDASYTASDSSALSLSLPDPKKAGLIPDS TSSDTVSADKPGTFQKDNTTTSAITEGKQASSDSPSDEDGDYNKHKHRNNNTNNHKND DDNNNNDNNDGCGVDDDLPTGAALTLHPSAATTVDFPEGGLTAWLVVLGSFCAMLSLF GLINSAAVFESYFTTHQLAHKTSSEIGWIFSLYLFIVFFVGIQVGPVFDRFGARVLVA AGSGLIFLSLLLLSWCEEYYQIILTYSVLGGLGGALLNSPAYGAIAHFFNVRRGLATG IATTAGGVGGVVFPVLLRALLPGVGFAWSCRVLAFIMLGLAVPSNLFIKTRLTPAKGQ DGRRKVQSVWPDFSVFTDARFAFASIGIFFMEWGLFVPLTYIVSYAVAHGQDATESYL LLSYLNAGSVLGRVLPGFLADRLGRFNVIIVTIALCVITVLALWLPAGSSQAMLIAYA VLFGIASGSNLGLVPVCLGQLCDHRRYGRLFSTAMMVASFGTLSSVPIGGAILDLGSS ESAWRNLVIFAGVSYFVALGCYTTARILGAGWDPREIF MYCTH_2308477 MGPHSTLAGVIALAALLVTDVSAQSCPGVTGRFQPKMGSGYRYS VLATGLRNPRHIAVDAAGNLLVAEAGSQAVRRLVLQDQGDIVCVQSNTQIISGSTNHG IALSADGKTLFTSNLASVTAYSYDAATGQVGQARPIVTGMSFQGSHPTRAIATSKWSP DTILVARGSQSNIDTLAVDRAAARSMIKTFSISQGLQAAHDYATGGEVLAWGLRNIVG MTEDPVFGGIWSVENQMDDLRLNGRDIHNDNPAERLSYHGILNDTENRYKGLNYGYPS CVPAWDPQNVGIDGLLVGSLFKPDNVPNVDANECANNRMTGRLHFHAHTAPLDIKFNK NSTAAYIAFHGSWNRNPADGYRVTRVDFADGQPVHHVTSRTAQVPVMENSNIGACPMN CFRPVGLAFDEKGRLFVSSDSSGEIYVIYGA MYCTH_2119965 MEEIRRAALEQEQLFRVLQISEKLELINTPFLDQEHLDYLGVAV RQPLDVDDDGTRDFDPRFFESWLPDELVAEVACDELWMDRLGPDMSPAEWRFEDYKFR WFQHCLEQLYEMDNEIWDPWFTDALEIRQCTGLALPFRQRLMPEEYYLPVAAFFPVDK TKPHVACVMADSNVAPGDQVLYSEVHSAVRLVRFRLEQGQHTGHHTKPGMLYTLHRDL FARITQVHFDGKSSKLVLRRSRRLDLRGPEPPKDAYLLVRWVANRPVGQTEYVDEPEP DEWTTTKDSSNTAPRILLGYAS MYCTH_2068188 MATAKRHDVAKTINEWASAVREDEDIRDEVKRHGRLTQLAVSDC LFDKSGSDITHTEYLHLRTIWYRYNGTHIESFTRLFRDDKETGYKGFISHTADEWASR LMSQKLAPLDQYLHEFQRRQGDPEYLHPSPASGYFAMVRYWQVMAITHTKGDNEGRSK IFKPRIGSGPLVGTSSARPVTPPQQQEPQGADLPSQPSTSGIPPISATPAARSYPAAR GTAENRPSADEAYVNTALLLLLQAVTQLFHKNFEPLHWVPPRMALHLKVPTANQETRK YDESVLLEARVDGYLCNGALEGGLSRPLAICEAKSAVRSSIQVPTERQEAAEMAAWIC NSQSHVGLLQASASGRKRRLMISQTRDEIWIIIGEYGQAYEEYIRNAPLKAGMTTTRP PPLKHTRPDLLASNFEETLGSPAFLDQIEKMGVEGDIKGWKDPAVQAALSERAKARAT QQASVGDNPKKPVQTPDLPKIDGFLVMHRFGPWKTFDKENMQVFVRRLLGLMIELHIN ESSHSHSASSGSSK MYCTH_2308482 MGEKSAAGVRTPAKIPTTAKKAPSTGKQQSILGFFSRTGGAGST NGASSSPSTKPASTSKRDTSPRCLKETTRVNSMLARRTTTVTPLPSSDAIEPSSSQEN RDTTTVKSVADEEATLHSSPSRKAKKTVNYAESSDEDDDEIFATLKARRSRQRRPRAT VADEDDGDTYEADENDYEEDDDDLADFVVSDDSDAPSRTKKRKRGAVKPTGPRKKANL SSSPSPDMRSPSAVDDEEMLDIPPSTSTAQKWKYDPDSIGPSEPASRAVPPTQKPSGP KPKPKAHTKEPEERYPWLANILDGNKNPPGHPDFDPTSIYIPPMAERGFSPFEKQYWD IKKNLWDTIVFFKKGKFYELYENDATIGHQLFDLKLTDRVNMRMVGVPESSLDMWVNQ FVAKGYKVARVDQMESALGKEMRERESNAKKADKVIRRELSCILTAGTLVDGSMLQDD MATYCAAIKESVVNDKPAFGIAFVDAATGQFFLSEFEDDVDLTKFETFVAQTSPRELL LEKSRLSTRALRILKNNTGPTTIWNYLKPGTEFWDAELTRRELECGGYFSTEEGKEGV WPEKLNEAKDKDLAMSALGGLTHYLRLLKLDQSLLSQGNFTWYSPIHRNGTLILDGQS LINLEIFANTVNGGPEGTLFNLLNRCITPFGKRLLRQWVCHPLCSIEKINERLDAVDM LNADRSILQQFSSQMAKMPDLERLISRIHAGACRPEDFVRVLEGFEQIDYVMGLLGAF GGGNGLVDKLIASMPNLKEPLGYWETAFDRRKARDEKLLIPEKGIEEDFDNSLNELDR IRDELHALLERQKTALKCKTLKFTDVGKEIYQIEVPKAVKVPASWRQMSATSTVKRYY FRELEDLVRELQEAEETHSQIVKEVASRFFKRFDVDYDIWLQAIRIIAQLDCLISLAK SSSALGTPSCRPVFVDDDRSVIEFKELRHPCMVNTVADFIPNDIKLGGDEAKINLLTG ANAAGKSTILRMSCIAVIMAQIGCYVPASSARLTPIDRIMSRLGANDNIFAAQSTFFV ELSETKKILSEATPRSLVILDELGRGTSSYDGVAVAQAVLHHVASHIGCVGFFATHYH SLAAEFAHHPEVRARRMQIDVDEERKRVTFLYRLEDGVAEGSFGMHCAAMCGIPGRVI ERAEVAARAWEHTSRLKESLEQAKLGCYIPLGVQSDVASLLREDGEEEVGERGLEVLL RAIEAL MYCTH_2308484 MHSKRTGLEKALHQVEQALRRTSSGAEATKIVSELKVLLGPGPH GPISLLNHDQSGTRSAEPRRESKQGDILLPDASSDAGDSSTSDQDAMSVPQGSTPSQG HVAEESLAVDDAENPLQLLARASDLHVSPKSGADHVAAEHMSHQRTRQNKQSEKISEV EKFFKLSQFSLDTGPDLDPINLGLVTAEEAETLFNFFHQNLAHTRWGLDPALYTASFT RSRSAFLFTSIAAAAALFMPSASALSRRLSNHCKTLVNRIILDRYRSVEIVLAFMVNV PWMFPGKHSTDDETCWYVSMATTMALDLSLHKILVPVASLRDGACGRAGSYGGIARAD CIDPKVALALDGFADVDPNSEFGMRLLRRRERCWIALFVLERGMCLARGRCYTLPITP ILRGCDQWHLSNIADAMDGHLVSMAVLRRDLDELFSSIRTLCDESRDGRVDGAMVARS IQMMVEKFFDEWHAKWGISIGSGPQHRLPPYVQILVTHTRLSIYSTVINHPTAPTEVR HFFHAAGLSSALNVMRAAIQGEGQLSSMPNNTAIMISFAACFALRLSGQFAGNSNLAP SVRTLIGETAEVLERIGSTTEHRNGMSTLYGKYLKYIVKKAAAAAPAPSENGTRPRAG TQPEMLSRHHPAAAYARGNNARASFSVADTIHRSPPVSNFMEPPLWSEPVQFSSMSDD QIVEALSRVNNEFDPGLSMYPWDDAAALDWLNWSNLPDFGT MYCTH_2128965 MAGQKGTKQPFWLGGAAASMAVCFTHPLDLTKYRMQVLQTRAPM LSTLYKFALRDGLLSLWSGLSASILRQSTYSTARFGLYNLLSRQMQQKSETSKLSTAS TIACAGVAGGLAGMIGNPTEVILVRMCADAAKPIPERFGYSDAVTGLYRIGKEEGIRV FGRGLSANVVRSVLMNVSQIAPYAAAKRTILSRTNLRDDIRTHALASLFAGTVATTAC APADVLKSRIQNAAKGSTVMQVVKEGLSREGPMFLMKGWTPAWLRLT MYCTH_2308489 MPDPAARNRKSLKKRVDKRAAAAASRDMSKLSPSLKALINAPFA RPGQAPAPRHIRDVYTRIAHEARERRYGERPWVTLSAAATFTLNSPASLLVLHELAPG LSGGVLSPLAAAELIREVGLKCISFNGIPRTINCLGAFRAGVAHHPWAADLAARAPSR EVTPAALPAVDARGRALWHSVYTPFDDKLVAKLADSHPDLPVHILGSHYGPLLSDPPR PRSGTAPASSPSSPSSPSSPSSLPPPAEATVGRNLTSVVAVACLRAQTGVGPQVLSHV FGLRKGVEQGAHRDEAAAALGPERGPAEADGLERLASDEGCEWLLRSVDAIAEAVGPS FARWDGEGQGEGKSQGQGKSENGSESEGEGGKEKAKL MYCTH_2308492 MLARQACSCSRQLAAVAVSGVSRGLRAPLLPGGTRLAIGCTRSF SQSRHRRSVAAPDVDRAASKVWKSADEAVSDINSGSVILSSGFGLCGVASTLITALRN RGPESLHSLTVVSNNAGAEGRGGLALLTENGQVDRMIMSFLGSNKKLERQYLTGKIAV ELCPQGTLAERIRAAGSGIPAFFTPTGGNTLVQQGAIPVRFDADGNVVEYGRPRETRI FNGRTYLMETALPGDVAILRAWKVDKAGNCVFRYTTKSFAPLMAKAAKLAIVEAENVV EVGEIDPNDVDLPGIFVDRIVPATEPSQIEVLKTRSAGDDGKAVKSKGDAQVRRDRIA RRAAKELKQGYYVNLGVGIPTLAPSFLPPGQQVWIQSENGILGMGDYPLPDQVDPDII NAGKETTTLVPGAATFDSSESFGMIRGGHVDVSILGALQVSAAGDLANYMIPGKVFKG MGGAMDLVSNPDNTKIVVATEHVAKDGTSKIVQTCTLPLTGARVVSTIITDLCVFQVD RKHGTLTLTEIAPGVEAEEVKNKTDAAFTVAEDLKLME MYCTH_2128968 MSATHSAEHDKEKGESEHAPSAQVGFVSNAETFANEARLTRRIL FKTDTRIIPILSLLFLCSFLDRTNVGNARILGLEDDLGITNLQYNQGLAVFYATYIVS EIPSNLILKAMTPTVWLPVLTALWGIITMCLGFVRNFASFFAVRAVLGLAEGGLLPGI VLYLSGMYTRREMALRIGVFYTAASLSGAFGGLLARGLSAIGPRGGLEGWRWILMIEG LITVVAAAMAYLLLPTSIATASYLNEEEKSFALRRLQGLTPSARDDRFDPVREREEEF KWSEVRRGIFNIQVWLSATAYFAILSGLYSFGLFLPTIVEDLNITEGPNETQLWTVIP YAVATPITVFVAMLSDRLNRRGTLMLTTLPISIVGYAVIANVISARVRFAMTCLMAIG MYASVPCVLVWNSNNSAGHYKRATTSALQLAVANCGGFVATFIYPSKDKPLYHKGHTI VLGLLVYAWFAILANVLWCAKINKDKRDGKYAQYEGSGDDRDPKFKMVL MYCTH_2128969 MAVCGVWKRPVARMRRAIMTASKMSAQTLCFRGCVWAGGNLAAE LPSRSATPQLCQREPQNKGPQGRRPGRATARPRSATVPSCDAVRSPAAAAAHARRKKA VPVRVGSKPDLAQLPAIGGSAPGSPFAATGMRGTCLGPDARTGSETGLLQVHP MYCTH_2308494 MAPSQGSGAPATDPDADPEAAGAFAPSPTSSTHLKTGAVLNYTT INPTTPSANHGAGGPHDESPTIPGIENNADTAPTAVEAARLRRGLAQRHLSMLGIAGS IGTGLFLGLGGAVQRGGPLGALLGYAVIGLVVCAVQFALGEVAALMPVTGSFVRHAEA LVDPAWGFAIGWNLVYGNLLSIPSEATAVVVLVRYWWEDVSAAWIIIVFVVLTAGVGL AFVRVFGEVEFVFALVKILLVIFLIILGLVINLGGVPGTERIGFRYWKDPGPFVEYIA TGGWGKFLGFWAVMTGAVFSFAGVESLAMAAAETTNPREAIPRACKRVFIRIVLFYML AVLVVGMLVPSNDDRLDGSGSTVAQSPFVIAASQAGISAIPSVVNAVVITSAWSASNQ ALLAGTRVLYGLAVKRQAPGIFLRTTPWGTPYVCVLLFTAFMFLSFMSLSEAAITVFW WLVSLTAAGVLFSWSSILFNHIRLLQALNRQGIDPSRLPWHNWWTKYSSPAGLFMCVI ILLTSGFSVFTRGNWDSAQFVSSYLDIPIMLTAFLFWKVFKKTKIVSLDEIPLRDALA RADDYYPEQTLSKKSGWIRAISWIWD MYCTH_2308497 MLAQLVPPLAALATLASAHGVILAAQGEAGSPPSVGFQVNDAIA RNCTTINPCQMDTTIIRDAEIAAGTVNSCGRTKLTGNIDVGEATENALAAKAVTQVKA GTQLQITIHQVNADGAGPYFCDLDESSNTGVFTHNLTVTNNVPGSNGLSQVQAKDFNI TVALPDNLNCFGASTGNVCTVRCRNNAQAGPFGGCFAVQQTDNTPLPAANQPSDIKTE DTLDKINFEVASNQAALPAAVEANRIAGGTEAEQNRAAVEAILKLSTTLANFPTLTPT VIDAGTATPTPTPTGGAAGGNNNGTGNGTGTGTGNGTGRSRGRTGRNRGGNAANDNSN RSSSSSSSESENGDEAETGN MYCTH_2308500 MSNLPTLVLVHGAWHRASCFNPITSLLQEKHGLKCILVSLPSTS GSPEATFKDDIDVVQEAIASETSAGRDVVVIAHSYGGMVGSSAIKGFAKKTGSAPDVA GHVIGLILIASGCNLPGLSFMDPFFGHPPPSWRVNKETGYAELVVSPRELFYHDVPAD EAEYWVSQLTTQSLKALFEGGEHSYAGWKDVPVWYIGTIEDRGLPVVAQRLGIGMARA MGGVIEHREMQTSHSPFLSQPKETVGIILEAVEAFTGRPVEATPTSRSPPKGVTVPAV RLWQPLTWYRFGLPLSFGRLMGGAFLFFGWCRKVFGGSK MYCTH_2308501 MLEDPNLGHTFLVIDALDECVTDLPLFLDYIVAKSPVFSCVKWI VSSRNWPDIEN MYCTH_2112012 MPETFKVIKKSYNHYTAAFIFYGNSHTKSSPATGVEYHALQSLS LPTILTTMGSICSRPEEPKVRVLAHPIRDSVALRQRISLNRQTLARILEQIGHPAAHH ARRQPGPSGRLGGPQKNRAQTSSSLPCESKTGRNRRTMRSSFGHTIMRKRRTAKPINV NKPLPPLPALPPPPPPPSSLSTTTPTPTPIPTSTPIPTAVSAGRAEPAPEHKHQKAHA QHEREHIARHRAEALRCLESRGRARGRAASHSPTRVPSIILRRIVGRRRAGAILLGGE GGMRVVC MYCTH_2308502 MLFAIMFAFWRFAEIVTLIPITGMLAWFVDGYLDANVMTPAHIL ILFIVSVLALAWSIFTLFSYHRSSANAHFVALVDLAFVGALIAGVYYLRFVARTDCAS VRPGSPTDISLGIFGNARINYGLPEISVSKTCAMLKASFGLGIMNTIFFFITAVLAWI HGDNAARSERRRTYSRHASRSRHRSHSGHRHGGSRRSSHSHHRAYV MYCTH_2128976 MSGIETVLNVSNIKSMPPPPSGINPKAGGVRLAGLTVHSLRELG FPNRLDSLLGVPRLFPGSSEPTASPWEESNPMIPSAAPAEGLDAAEREMLRNALLKRE HVPHQPHHRQVGHRSAAPGSEGVVLYRAMRMAARCAAGSGRVWGVELGR MYCTH_2308506 MDEDQAPSQIVPEPAPRRTLADRLRGVKKTFLTKEGLIGNYDYA FLFRPTLPFMKKADQPPPFFGLNDRMPVLLALILGFQHALAMLAGIITPPIIMAGSGG ANLSSEQTQYLVSTSLIVSGLLSAIQITRFRILKTPYYIGTGLISVVGISFAIIPVAS GAFSQMYANGFCPTAEDGTPLPCPDAYGALLGTSAVCSLLEILISFMPPKVILRVFPP IVTGPTVMLIGINLIGSGFKDWAGGSGLCSEANPSEFFARCPDITAPHALPWGSAEYL GLGFSVFVTIILCERFGSPIMKSVSVVIGLLTGCIIAAACGYFDRSGIDEAPAVSFIW VHTFKLSVYGPLVLPLMAVFIICACEAIGDITATCDVSRIEVEGKIYESRIQGGVLAD GINGLLAALGTITPMTTFAQNNGVIALTRCANRSAGYCCCLFLVIAGIFTKFAASLVA IPSAVLGGMTTFLFSAVAVSGIAIIARGVPFNRRNRFILTAGLALGYGATLVPDYFSH VFTYDGGNKALRGFLDAIELIMETGFAVTAVVTVALNLGLPVELEDTVAADQPAGAGS SVVRDERGSGSGEEGAELKAVKVA MYCTH_2066239 MFRSTFRPLTSAAAAAAATASRRAAVLGSAAPRVSQFHSTARVL IKPGDPLPDTDALMEGSPGQRVNLAEEARKYNSMLLIGVPAAFSPACSATHVPGYASH PRTKEMKAWGETLDPAGQLGIRFFADPTGKFTKMLDMAFDGSAIFGGDRSKRYAIIVE QGKVKSVAVEPDNTGTSVSLAEKVLGPVSP MYCTH_2308510 MSARALWYVAATKKSAKNILYMHCNVKPGASKNREGITSVNDEA VEICVAAQAREGEANKAVIRVLSEVLDLPKSDLQITQGLKSRNKTVAAVGSWVNSGEE ECLKRARECLDKAMENS MYCTH_2308513 MAHDGSSHRRARSTSRSRPTTPLRPSSRSSFRDSARNSVQGDSA SFPLNAFEPAFAELSDSIADLEANMMHFQLMHESLARFSESFASFLYGLNMNAFCVDF PEGPITESFKRMKLKEEEQQQNPTRPPERTAGEVDTETTFM MYCTH_2308517 MTADVAPGRPGNLTPEQEEKLRRLWQLILQVCAVGQENDENNST AANEKAQDEDGKKEKKGRMSFFSRKSKKDSGADSTPGTPANAPVLNLGKDGEADKYGQ TKAFYETLASQSPQSIRNTIWSMVKHDHPDALVLRFLRARKWDVERALIMFVSTMRWR AQEMKVDDDIMRNGEAAALATAETSSDPAEKKLAHDFMAQIRKGISYVHGQDKQGRPL CFVNVRLHRQGEEAEEALERYTVYLIETCRMLLQPPVDTATIVFNMTDFSMANMDYAP VKFMIKCFEANYPECLGAVLVHKAPWIFQGIWKVIRSWLDPVVANKVHFTNSAKEMEE FIPIKHIPKDLEGEEDWTYQYVEPTEGENAKLADSETRDRLLAAREKLYREYEEATLE WIRSGESGDGNAAEIKARRNAIAEKLRVDYWNVDPYLRARSYYDRTGVLLPGGKLNWY PDAKADEVKDAAAAPAPAVETTSDDVD MYCTH_2095112 MASKMASCIFCKIIKGEIPCFKLVETEKSLAFLDINPLSRGHAL VIPKFHGEKLTDIPDDHLTDILPIAKKLVKATGAEEYNILQNNGPGAHQVVGHVHFHM IPKPNPQEGLGIGWPQQKTDMDQLKALFEEIKSKV MYCTH_2315892 MPHSFKRVPLFGGALECELPDNFADVSKIRQVPDNQEVYIDKDG FTSIIFDITQRVEAPGTGLERDGRALTIHLEDLVGEDVDTVKVWNTTETQFTHLDEGT PAYTLIATQTPKAPSNPDESRRAAAPDFTALILTLLRFEKQDSDILITINVPHIKGEY DEEEVDLALGKQGELIGDAVEYAARIWATFKVNDWRLFNEV MYCTH_2308522 MARLTRPRTIWSDDEDEEFPDLDALVSRKKYQPQENDLTIQENL KATPKTGLVAKTASTIRRRKLGPITDNLLLKAWAPDSAEADRERNGAGQGKGSSRPKR AGVELRTRNTRPAVAPPPSSPHDEDQEYVSAQEEITIIEDVSMFDDTFHSCNSEDSDF VNGEASEDEDEDVFADSPPRRPRSRPRLGLMDKKPRARAGENAAGSTCSNPKTKPGTS RGPAAKGGISLQQDHTSKLRGTLRMGETSTEGDRDLADSMSKLRLNQTEGETGKSRTS ATSDREITPPSTPPRSRPGLVSPKKLPRIPITPHRPSSDLFWSQEFVDDWNDEHSPRK QLFPDAVAARRNSPAKTSAEKPSQKTAGAKKPSDREAKRAFEATKRELAEKFLQELDT VITQGRLAELAESTGGIRVIWTNKLNTTAGRANWKRETIRTCQPDGTTTTRHKHHASI ELAEKVIDDAHRLLNVLAHEFCHLANFMVSGVTTNPHGREFKAWAAQCSRAFADRGVQ VTTKHSYDIDFKYVWACVECCTEFKRHSRSIDPARHRCGRCRGELRQIKPAPRGSGKK AGGDGEASKGKVVSEYQAFVKEQMRLVKEENPKSPQKEIMKIVASRWAAKKGAASAMT PDQQVKKLEEGLEELTV MYCTH_140260 MSGYQSGQGHGQGQGHHGYDDGYGHHGNTDSYYQDDQHYYDNNG HNRGGYQQGDGYYDESGYYNADPNNPYHQDGGYYDNHDQYNDDYYGHNDGYYDQGYDR GGYGNGHGHRNGSEEDSETFSDFTMRSDMARAAEMDYYGRGDERHNSYNDGPGGARGY RPPSSQISYGGNRSSGASTPNYGMDYGNVLPAGQRSREPYPAWTSDAQIPLSKEEIED IFLDLTAKFGFQRDSMRNMYDHLMTLLDSRASRMTPNQALLSLHADYIGGENANYRKW YFAAHLDLDDAVGFANIKGRSGKRKNKKKKATPENEAEALEDLEGDDSLEAAEYRWKT RMNRMSQHDRVRQLALYLLCWGEANQVRFMPECLCFIFKCADDYLNSPACQNMVEPVE EFTFLNNVITPLYQYIRDQGYEIVNGVYVRRERDHNQIIGYDDCNQLFWYPEGIERIV LKDKSKLVDVPPAERYLKLKDVEWKKVFFKTYKETRSWFHMLVNFNRIWIIHLTMFWY FTSFNAPTLITPNYEQEVDNPPPRAAQWSLVGFGGTIAAAIQVFATLAEWLYVPRKWA GAQHLTKRLLFLLVVLVINVGPGVYVFMPAANLDAYLEKQNSKPALILGIFQFFFGLV TFLFFSVMPLGGLFGSYLTKNSRRYVASQTFTASYPRLKGNDVALSFGMWAVIFGLKL GESYAFLTLSIRDPIRYLNIMNVDSCIGDTILQRYLCPYQPEITLALMLFCDLLFFFL DTYLMYVVVNTCISVARSFYLGSSILTPWRNVFSRLPKRMYSKILATTDMAIKYKPKV LISQIWNAIVISMYREHLLAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDHSFKT EYFPSHSEAERRISFFAQSLSTPIPEPLPVDNMPTFTVMIPHYSEKILLSLREIIRED EPYSRVTLLEYLKQLHPHEWDCFVKDTKILADETSQFNGDEEEKEKDTAKSKIDDLPF YCIGFKSSAPEYTLRTRIWASLRFQTLYRTISGFMNYSRAIKLLYRVENPEVVQMFGG NSDKLERELERMARRKFKLVVSMQRFSKFKKEEMENAEFLLRAYPDLQIAYLDEEPPL AEGEEPRLYSALIDGHSEFMENGMRRPKFRIQLSGNPILGDGKSDNQNHSIIFYRGEY IQLIDANQDNYLEECLKIRSVLAEFEEMHTDEVSPYTPGVKTNAPAPVAILGAREYIF SENIGILGDVAAGKEQTFGTLFARTLAQVGGKLHYGHPDFLNGIFMTTRGGVSKAQKG LHLNEDIYAGMNALLRGGRIKHCEYYQCGKGRDLGFGSILNFTTKIGTGMGEQMLSRE YYYLGTQLPIDRFLSFYYAHPGFHVNNMFIMLSVQMFMICLLQIGALRKETIPCDYNR DVPITDPLYPTGCANTDALMDWVYRSVLSIVFVFFISFVPLFVQEVSERGLWRAATRF AKQFCSLSPFFEVFVCQIYANSVQQDITFGGARYIGTGRGFATARIPFGVLYSRFAGP SMYFGARMLMMLLFATVTIWQAALVYFWISLLALVISPFLYNPHQFAWNDFFIDYRDY LRWLSRGNSRSHASSWIAFCRLSRTRITGYKRKVVGDPSAKLSGDVPRAAITNIFWAE IITPLCLVIVTLIPYLFINAQTGVKKSETKPTAALLRVAIVAFAPIAINAGALAIFFA MACFMGPLLSMCCKKFGSVLAAIAHAIAVIVLLVFFEVMFVLQGFDFSRTLLGMITVV AIQRFVFKLIITLGLTREFKTDQSNIAFWTGKWYSMGWHSVSQPAREYLCKITELCLF AGDFILGHIVLFLMVPIILIPQIDKLHSMMLFWLRPSRQIRPPIYSMKQTKLRRRRVI RYSILYFVLLVVFLALIVGPAVAGKYIPTESMLSMLKDQNLLQPTGLNHDDTRGKTPT GTGAPNYSGVGTSTRTTARATATSGSDKRALFI MYCTH_2095122 MDLHEVQTHLNEWLQEASAAFQKVPGSAVLIRYVRSSYQNDPVR SAIELVLVIFFIRYLLSPSYSTSKQNYVKLTEDEIDELVEEWTPEPLVAPVTPQQEAE LEKLPVIVGPTGPKSKLTNGKTVMNLASYNFYNFNANDQIKEKAIQTLRTYGVGPCGP PQFYATQDVHMKLEADIASYLGTEGCIVYAHAFSTITSVIPAFCKRGDIIVADRGANY SIRRGLEISRSNVKWYNHGDLDDLEEVMRKVVKEQAGKKLTRRFIVTEALFETTGDMN DLPKLIELKEKYKFRLILDETWSFGVLGRTGRGLTEAQNVDPSQVDMIIGSLAGPLCA GGGFCAGTKDVVEHQRITSTAYTFSAALPAMLAVTASESINLLQSNPEILLQCRENIR LMRAQLDPRSDWVVCTSAPENPIMILIIKPEVVKARRLSIDDQERLLQECVDEALANG VLITRLKTMPISQHTSFKTNIYTVTPALKVCLTSGLSKKDIEKAGVTIRHAITKVMTR KTNNKLGVPAA MYCTH_2308533 MKPNSTLLCGGFGLCGVPDTLIDEVLKRPDLTGLTAVSNNAGTD NSGLGKLLRTKQIKKMIASYIGENKTFESMYLTGEVELELTPQGTLAERCAAGGKGIP AFYTPAAFGTVVQTGELPLRNKPDGTPDQFSYPKDVKVFNGKSYLLEHAIEGDYAFVK AYKADRLGNCQFRLAANNFNGAMGRNAKMTIVEAEHIVEPGEIPPEAVHLPGIYVKRV IQSTAPKNIEKYTWSKDPSEAEDPKAAAALGTGDTKAKRERIVRRAAKEFQNGMYANL GIGMPMLAPGFVGDDVEVMLQSENGILGLGPYPRKGEEDADLINAGKETVTLKPGASV FGSEESFGMIRSGRINLTILGAMQVSANGDLANWMLPGKVKGFGGAMDLVSNPSETKV VVTMEHTDKKGNPKIVKQCAFPLTGKACVSRIITELGVFDVDFAKGLTLVEIADGVTV EEIKAKTEAPFRVADDLKPML MYCTH_2308537 MDTDDDFMSNVSSEDDILPDESDNDMSGDEDFGFDDEPDTHLDS QKENGFKKKAAYDISFKVYQPSDIQKQQDELIDEVNMILNISKEEAAILLRHFRWNKE RLIEDYMDRPNQVLDAAGLAPTSAGPPRMQVVPGFVCDICCEDEPGLQTFALKCGHRY CVDCYRHYLSQKIRGEGEAARIQCPSEGCNVIIDARSLDILVTPDLMARYHELLHRTY VEDKETLKWCPAPDCENAIECAVKKKDLDKVVPTVSCLCGHRFCFGCILNDHQPAPCE LVKKWLKKCADDSETANWISANTKECPKCNSTIEKNGGCNHMTCRKCKHEFCWMCMGL WSEHGTSWYNCNRYEEKSGTEARDAQARSRVSLERYLHYYNRYANHEQSARLDKDIYH KTEKKMVQLQKESGMSWIEVQYLNSASQALQTCRQTLMWTYAFAFYLARNNLTEIFED NQKDLEMAVEALSEMFEKPIAELADSKLKVEIMDKTSYCNKRRVILLEDTAQNLAEGR WTFNTELLGSGANSGLILRR MYCTH_2308539 MDDGFAERGGRRSSRWEELDEHEGSRNEQRRRYRDDESGKRVDR SRSRERDHRRRSRTPESRDRRSRSRDRERRRERDRDRHRDSRRQRSEERRDRRNREHD DDWKERRSGRSPDNRSRRLPAPHDADERSRSEHGRSLIRRAGPLPSQSDSFAISKGEE PEKPKEKPNFANSGLLAAASNTITQADGTAVTLKYHEPPEARKPPPRDLWKLFIFKGQ DIIDTIELSTRSCWLIGRDLAVVDLPAEHPSISKQHAVIQFRYTEKRNEYGDKIGRVK PYLIDLESANGTMLNGEKVPESRYLELRNKDMLQFGSSTREYVLMLAPRD MYCTH_2308541 MASINKHPSRFELTAVYQHPQAKADIVLVHGLNGAPDKTWTAPN GVYWPTDLLPASLKDQHANVLVYGYNADVYGAFWERHAKNPSDNFIHHHAQTLVATLT HYRKSVGTERNPIIWVAHSLGGIVTKRALLYSNDVRDPNQEDLRSIYVSTFGIIFLGT PHNGSDAATWGGIIQRMADAVVPRKIFDTESVLLKSLKKDNETLQEISNHFLDIYQKF KIHMAHENQKTDVKGSKMLVVDASSASPQLVGVTYYGIEATHSRMCKFDSENAPGYRT VSTAIREWVADAPNVIPIRWEVEEDQRRVRANLENFERSRQYHSPALVPVDRPQIIAH GGSETVSSHLQQPPPLDEGRSVSAPLLTEATKEAPSSLRPSSHSPKLLPAIPDAPGGL PDPDHEPLFIHPETFRPNSYFVGREDELRGLHEMLMDRKRRSEGTSAVLIQCLPGGGK THLARQYVFQHRSDYPGGVYWVRADSRQELEYWFWRIARNEALRGLVDRKDVDELRDP KKIVQIVRRWLNSQSDWLMVLDGVQFDTPGLHEFIPDARNTSLIYTSTERAVTGDPRF DNPQVMELGLLTAQQAQDLLLLELERKRPWSAEDQAMALELVGLMGRLPLMIHVAAQH LKATREPLARYLRSYRSRPKAGDLPAYKAVREQLENRGEYAALNLMSLLVFFDQHIPV EMLALGLSALDKVTPVKTLDAMHRKASLNNTLKVLIAFALIERSESDDISPTSSRSSK RSFDRHADYLDLLRIHSVVQAFFIDSLYEQRQIPFWLERAVAVWARSYDEADRRIQED PRVGLPDDYRRFCIHGEKLLKNLNRFEKRYPKLSVVRSPLEERLKKIQGQIDDLSHAI QKNIVDGSAEEYPASVFDRISASSQSDVATMQSHGSQLSGMASGESSLVQSPVSELLE QEAQLVAPYPTTPRMPMVPEMYEDDDHDTVVLSVAGTQVHVGATDEVAPLPPDPHDAH HPGSSFDDWRDAIPHDRVITRQEARRYRDRAGSWRDETISDPRVGLSWEVALGSISGT RDASSPPSRARLTNRSEAEMKLNEIKKGAPPSPKQRGSFSSQTMFRPNTLLGRNSWSL PQAQKASDSEVAQVPPKDFSGGSKQTSSSSSSSPRSWTEATLKLLKKTVLPSNKRTEK GPQAQQQQQPQHPEVPPEEEDMIPPTAIFRGSRSANSSPAGIASPFPPPSFSGIPTAD LLARPEPGVPLVVRRWDTVVYPPDGTPVTSAGVEWSSSADPMSLSYPSILPSRHQQLN ARPQVLLRGNGGGPPTGYSSQPMSRDGSQQSNLGIGVHIPAAAAAIQSPVSRSSRFAY TGQQRPPSFTETEPSPRMDTAFSDIDTSYHRWEQHHYQFQNRAGTSPAMSTVSSPAAA SSSAASSRTGKESRGSRWRPSSRRTRFLPIRGARPGRGSGKAKGGSSSRRAHSHSPPG SPSAELLEACFDDQALSSRPIPRTAAAAAAAAAAAAPPPPLASSPTPSSSSSLPSSST GRARSHSRGHSQARAPAARGFGTPPPQQYLSRQHQHQHQHQHHPLAEWAVPPAGADTS AAGGIRMDDGTVVEFGSPVLGSDQP MYCTH_2308546 MEAASARYAARDRWGDMGGAPAQSQLQRFIQAACSPENYEPNLA LNLEIADLINAKKGSAPREAAVAIVNYINHRNPNVSLLALNLLDICVKNCGYPFHLQI STKEFLNELVRRFPERPPVRPSRVQLKILEAIEEWRRTICETSRYKEDLGFIRDMHRL LSYKGYTFPEIRREDAAVLNPSDNLKSAEEMEEEEREAQSAKLQELIRRGTPEDLRQA NQLMKVMAGYDTRSKVDYRAKAAEEVAKIQQKARLLEERLEAFKPGDKIVDGDVFSEL ASALASAQPKIQKMCEEESDDHEAVAKLLEINDSIHRTVERYKLLKKGDIQGAQQLAK GAPTSTSSGKSAANELSLIDFDEGDANGGAAASQATQKPTGVEDDLLGLSINDTSSYG QAGGIALGFGANTNVPGPALLSSVTQGNSARGQLPTSSPSPVPFSNYSSFTSAPGSQS GTPHPPQRSAFSPPSQSTATTDPFAALSSLSPQPPSAPAPTAAAANDDDEWNFSSALP PETPQAPKEHTAVVSNTSLKLDMIANRTVDTDPSISLLFAFTNNTAQQVTELHFQLAV TKGYELLLDPQSGRTLAPKQSRGITQSIKVRHAGNPFQKVESIKLRWRVSYKVGGELK QEMGEIPEFTVA MYCTH_2308548 MVRHKKDNFSSRGKHHGRGGGGGCPRSARQIIESEKQGDGSASA NNNGSSSSSSTTSSRPVFKAACWDLGHCDPKRCSGKRLMKLGLMRELHLGQRHSGVVI TPNGKRTLSPADRPLLDQYGAAVVECSWARTKEVQWGKVGGRCERLLPYLVAANTVNY GKPWRLNCVEALAAAFAICGHPDWAEQVLAPFSYGSAFLEINSSLLKKYAACEDEAGV KQVEQEWMDRLDREYIESREEGGPDDLWAGGNVNRRMPVDSDDEDEDEEGSGDEDEDD EGGDGGKGDGADDESVDGIYLGTKPPPKTQSAQTGEKDRFALPSESEEEDDDAEMEAI RRKILASKPFANPEPDEKKSLQTVPRPPQQYKPDSDAEPDSDNGSDNDEFDNIINATP VTDRIGLVKLEKERARITTTTRNFSSGGVSAPSRW MYCTH_2308549 MMRRGLWQQKQRQPSACLLCRFSYSHGPYARTAPLPPSNYNDRV IDDKPISAAPSPALARLTRCYSVAADTRKTGGLANAASPRRPVGLKPLSALSDGNDGN ISQRFFTTSGLPSWATLPVQPATSNDGLLPHERAARERAMSRVKPAPAPPAETTNPIP NNDGLLPHERVAREKALGRTRPPPPQPSAAEDARPATGNNKLLPHERAARQRVTGRAR PPPSQRASKDDARSAASSSSPLPQNRAAREKAVGRTQSPRTQPTGDVPLASVSKDSPR ETSIGEGGQQHQEKPLPSQTRSAPAPRNSGISWASAAPSWSAPALQPAAGDSGAGQST RPADSMAYLLPHERAARDRATSARKQGESPNSPPQPEHPTPPSSPIPEDKMSQEYLAL QSRRRRPFGGTGAPKDARESEDSDPFVPTTQEPRSRMPRYDLPRPSSLTQTPADQDDW KKLTRRERNLPPGLNMALPSSALNPASNGLGKDSQRSASNSAADPFSSLQQTAPSQST QPKTSLDSGFDQFNVLETKSEELSKSQKKRKATENSDTWAWAEEYTEEARQAKAQRIA RELRQETEQVSEETSPNTATPTARVERDDRHGRADKQGREDGSERREKPKKPKNRRRQ EEEEDDDWDEDYYEQRRRKKAEKAERERQRKAALEAAGPTPIFLPEFISVSNLAIALG QKVDVFVRQLEELGFEEVSKDNILTGETAALVAQEYGFEPTVDSGEDEDLKPAPAPED PSSLPLRPPVVTIMGHVDHGKTTLLDYLRKSSIVSQEHGGITQHIGAFSVTLSSGKQI TFLDTPGHAAFLSMRQRGANVTDMVVLVVAADDSVMPQTLEALKHARAAKVPIIVAIN KIDKPEANIDRVKSDLAANGVEIEDYGGDVQVVCVSGKTGQGMEDLEENIILLSEMLD IRADPGGMAEGWVLESTIKPIGRVATVLIKRGTLRPGDFIVAGRVHAKVRSLRNEAGV EVREAPPGTAVEVLGWKEPPDAGDQVLQAPDEAKAKAAVRYRQELKERSEVIAQMAQQ EADRREREREREREKALAEAADPRKNKRQQATPAEALDKDEQDPADKTQYVTFIVKGD VHGSVEAVTAALLEQGNNEIRAKVLVSGPGQITESDVEHAAISKSTIVNFNNTIPNHI KRMAHDAGVKILDHNVIYHLIEEVKQRLADALPPLIIKKVVGEADVLQVFPINIKRRI YKNIAGCRIGNGYVKKGSKARVIRNGEQIFEGVIETLKHVKKDVTEMRKGSECGMSFA DWDELKEGDRIQIIEETTEKRKL MYCTH_2308551 MPPSKTSRGKALLSSSVKSSNSKNSNGTPSAPPHPFKPAPAALQ PFAATLPPGHVYIAHVDPRPASFKRNLFLVPIAINLAVIALFAWRVASVGPWYLALLL STLGQANETTVRAADLAYGELVRVVVRRAATFLLDFVLAVFVWPWPYEFFVGSTGRGS PIAWRWAVGFRGREVYVRRSRDGWDGVLREKGVDLFDPDSKEAREGREAIMARVRAAT APMFMQQKTGYLTMDGAWDLDWKGMVDATRLADKGEISLEAFGTVVLLHHAKFGWVTV DLGNGASAEQEERRRQVMAFRDALAKLGKEDLFFRWVEMIQFETTQPGGFTPEKQVAA AQKIRDMFKSNGVDFDGLWKEAVGTDGLAGMP MYCTH_2308554 MMGDSVGFRKSLASLSAPYQSGSDWARVREDAIALPLAAGRKGV KGPRSLVAMCCRVLADNLGAVSKSSIEHLPDHLLWKLWKTLGPRNRSLHAWKILSCVL LEGSQQRCVSEGGMKRDQSPMPMALFRYRQEIIDPPCDLAVYVSPLARLEKGSLAYLC LDNVARFQTHELIPLATLQPLAVLEIIERDDADSKISDALIRGWSEAGKDPFSRLRVL KIASKTHRISESGLQYLLELPCLEIIDITALPTTKLRLSRRMKDSLDTSGWRVARPRG SLFVSYADAFLDGRMAVHPAGVEALKMVFEDDRHPVIWVDNARAAVYKQWERGAGRGT GEWKGQPVRTSYGDESYDGPDPASDCGQPRPADFSDVQYLDDGWRAVLQGFRSSTTKD TQEHSSTRDEERLDDQIFWFLALMDQSRYDGSNAVRGCASGVTLPLERLVCLRLRNPC NTAEQTRLLLNSERLIFSRRRMHAVLGSRSEVARCQLELEDGQSCDLSPSGASRTDDR RQGGLEPRKRQNSEAERLSNPLPTWAPGPDGRREKGLQPRKRLKKPLFEILNPFMEPQ VRPG MYCTH_2308558 MASPLQFAYRTQKAIGVFDAAPVYEALPGFAKPEGNLRCCVYSP CGRYFAYASNEGVVVVDASVGHVLTSLPIANVYELGFSPRGTYLSTWERPSKDENGDA TKNLKIWRTIEDVAEGAERQPLGKFVQKSQSGWNLQYTADEKYCARMVTNEVQFYSSS DLSTVWNKLRVEGVADFAIAPGLNHNVAVFIPERKGQPAAVRVYNVPLFNSPISQKTF FKGDKVQLKWNALGTSLIVLAQTDVDKSNKSYYGETTMYLLSANGAFDARITLDKDGP IHDVAWSPNSTEFGVIYGFMPAKTTIFNHRGVAIHSFPIGPRNTIIFSPTGRFVLVAG FGNLAGQIDVYDLEKDYRKICTIESGNPSVCAWSPDSRYIMTATTSPRLRVDNGIKLW HVSGAIMYNEDMVELYNVMWRPLPASSLPGGDPLHPVPTPHASAVAYLGTVKTPSKPV GAYRPPGARGAMTPLHFKREDEGGAAHTVSNGTPSIGPNGFGRPRHLVPGAEPASRPP VPGAVPADEGNASKTGSKKKKRNKKAKEGDAANAAAAGGTATEGGLAPSPREYGNASG NEGRSPDRRGHHSRSRSRQNNGNRNSSRPASRPAPAAAQGGSPVPPAVGGDANGADAA GAAASAAPAAAAAAAANPNAKKIRALQKKIRAIEDLEMRLAGGEKLEDTQIKKINTKK QVLAELAALGEHP MYCTH_2308559 MVVPPPAPRNPIPARALPIPGQVAGQPRPKVEHREYAAPVTPSS LQSPTSSADKGKGKATAVAPQAQASGIHHPAAPVIVSSDSAQSPKKRTPLPNIGSLAL GQQATADASPASAAGPSTPRTTGIPRSQPLPASGRLESGDPPPPEPTDPTDPIDETYE DEGPIYLAEGVDPFDALIPAHATPAVSAADLHRHRQEYDRVAYAKREELGRTFAFMKE PAFAKRRKESFIYAVRVVAIPDSGRVVNEFTGPRVEDRYGTHVAQGGWVVPPLEERVR WREERKRAYRNKGAKPGRYEGLPYPQVQRAMPVRFDWSGGTEPRYYGPAAPIWRETCD PCLEDVYWMVWQLLAGKQFLGFQPGTGFGKARPHATGDVALLNQNPFKSPSTEALYHS ASYPRGKEHK MYCTH_2082522 MFRQRTSSQKPGDDLLANFRQQFPEVAAVGSTASTAGPARTATA GHAHADVPGPAPERSHSISHEAFRDQDPTPRGSNDPWRFTPSLLDPASFSFANFANQA PGYYTPTSGGTNTIYHPQAGDLHTPTLGLGMGLGTPLSMPNSEGAAHAGAGPMDMTGF HQGFHPQQFQQFTTPFIQAPPPQSSFAPSSFMHHDTGYETMDQGSPIDSDHAEERIGS IDGNLQSQSPMVSFQARQFGMPMSVPLPASAEKFRFHAALNAPTAMIKHADEIPVTYL NKGQAYSLSIVDTTPTLPIAPGTRFRTFVRVSFEDEQQRQKPGVCWSLWKEGRGTNEA HQRGGKLQAVEYVEAGQPAEGDDKKTRIELETSSFDGFSVIWTPGVNGAVECNIAVRF NFLSTDFSHSKGVKGIPVRLCAKTQQLPADTSSPGDSTNAPEICYCKVKLFRDHGAER KLSNDVAHVRKTIDKIKQQIAQAESGMKDFGKRKRAGGSQLKGQNGQRPGKAHKHKRT WSMSSASSGGGGPRVTLEEDLHFKLQTLQDMFTSTRPVSVLYLRGEELDDPDLHPVSL PGESLELGKVESRDSVAWRSERGSITGSSLVSPSPSSLSLHSQNSAGNKPQWQDYQIG GGSGSGATSSEPSSRDLHNGRPTKVRQVDEAGNLTGWIEALGVDANYHPPADRRPKPV ACFYVALRRSSPDQAGGQPYQELHRAVYLMQRTARDLVARLAAKWRFDASRVVRTVHV VEPRGLEVEVDDDVVRELPEGLDMTLEIVEVPTAAAGGEVVKKEWDAMAVDDAPGEAD LPSPDSSGALGYVQHQQLQGKTGAIELKLRY MYCTH_2308567 MWAFSSSTSTAPAAPSPDGPATDEPQNEGSKLKTFISILRKFVG VSDLASVRFSLPSQLLEPTPNLEYWNYLDSPNAFVAIGTADDPVDRMLEVLRFWFTKD LKYAKGKPCKPYNSCLGEFFRCNWETEDDAPRIDTSAFRRNNGSAPGSSASSTKSAKL SVPAGLGSGDSRGASSVSVPQSAKNPTKPVRVSYLTEQTSHHPPVSAFYISCPEKGLH AKGFDQITAKFTGTSIKVMPGEHNLGIFITVERRDHETYQLTHPAAHLGGILRGALSV SVGDMAYITCPETKLKAILRYYDDGWLGRTTNKVEGIIFRYDPENDDKRQIQDVPEEE VLVRLGGPWKEKIVFTLGPKPLNSHPPEDQITIVDIAPLNVAPKVLPPPEKQLPHESL QLWGEVTKAILAKQFSRATTLKQELEEAQREKARERERKGETWKPVFFEQATDKAGKP SLTDKGREVLNRAQRGDWSMDGIL MYCTH_2308569 MATTTSGLTRRRGGGGGGGGFGDGETTGGIGSRTNSSANVRDTS GGPETSYENGENGHRIAFDPRDISESAERSKQPKLTLMEEVLLLGLKDKQGYLSFWND NISYALRGCIVLELAFRGRIAMQKTPSRRSFPLPDRIIEVIDDTLTGEVLLDEALKMM KTSEKMSVSSWIDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDM ATHPVVDSGAKEEIRRRVRNVLTQRTVVLNSSQWLPEGLEFRYLRTVAMVCAAYAANV LENALSTLGHEAREKAFNQTDELLADYSQWPFGRKATGNDIGENLPQVIGEEVSKAHD KELQLEVVAACLCVFTQLDSLL MYCTH_2135152 MADTALQERLRDHAKAFDGLLSLIPAKMYYGEDTSDQWRKKKQT KDQARAAKRGKLDPDSELNRNAKEVLDERARNKRKLREMESEDESGSGSDQDDDDDSD IPGIEKEKPGEGLKKKKLKLDEEEKDDESVKKANGEVPNREKAVEEPEQQAGESTLSK RQKAKEEKKVAKKQKQEEAKRVKEEEAKKMKEENSKKGAKPEKAEKTRKAETEPAEEV EHSEKPAGDQDEEPAQDSAEAASDDVDMAPIDISGLGNENEDTSADSAPDSPVFDTPK NASAEPASTTTSISSAVPPSEKPKYLKIPADTTALRARLEAKLIALRAARKAADSEGK PIRTRQDLIEARRQKQAQRKAHKQEMRRLAKEEEERKREQALNSARNSPGLSPLFEQE DDRNANHFAFGRLAFSDGTQLSHDLSYEKTPGSAKKKGPSDPKTALLKLEAQKKRIAN MDENKRKEVLEKETWLAARRRAEGEKVHDNESLLKKALKRKEKAKKKSEREWKERTES VKAAIQERQRKREENIRKRREEKLARKAGKKNKGVQTRKKNRPGFEGGFGGGRK MYCTH_2308577 MGISGLLPLLKSIHRPTELKKYAGETLGVDGYGWLHRGAVACAI ELAQGKPTRKYVDFAMHRVRMFKYFGVTPYVVFDGDFLPSKAKTEAARSKRREESKRI GLELLRAGKPSQAYSELQKAIDVTPEMARHLIEELKKADVPYVVAPYEADAQLVYLER QGLISGIVSEDSDLLVFGAKRLLTKLDQHGQCVEINRRDFCAVREISLTGWTDREFRH MAILSGCDYLDGVSNIGLKTAYRLVRKHKTPERIIKMLRFDGKHQIPDSYLEDFKQAE LTFLHQRVFCPKKQDIVFLTELDPSSNPDEMRFIGAPVETELARSIAIGDVNPITKER IVVPRSPGKRRISQALAPASGPPRTLGKPISEYFKDRSDRRIPLGEMDPNCFAVDPNH NSSTTAEERPRPIVFPLPRPYVEGAEEALESTPRPYTSNARPLRRKTEPISKLLGLDV FESSENRRRTTGPVIQVYQDPTASSRPPKKARLCDEQTFDESADGTPEKSKFFSSTKP NKSAKKKADKLLMSDDSIEEAFRSLPDHSWRSEKPPKPTDDIVIFHEPSPKKQTDEVP AAESPIEGCEDHAEHEVEVPASSPTQQGPAKECNDDSRSASMTPLGELLKEFSYGTGH ARTRVIHGLPTPASSIQQTATPKCTGPRNTQTPMPTPLQRIGARALQRDRFQSTPPTP GIVSSGDRSNKFDSLPVNPAFVPLPRVDVEEVEALNKPLGSEDQIIPDSDGEDDDDVG DEPMSSSAVRRLNLTKFLYS MYCTH_2095162 MARTLEKTRKQIAKKRHGKIEALHEKSRDSKRLHRAQVRDDRLE KLAEARRKKDQPILARAAFFQEAVRQNGNKPLELEAIQAKINEFVHQHDEEYEEVKKV RRPGRPPSTKEDLLKMKITALQKEQRDGFYLPDLTSESNVQLLSKFEGSWSYLTSIAW VKISAAGSIKPSKFPPQSL MYCTH_2308580 MCTYTTHIRVCARCAREDTVLISEQLCQTAKASGIFGSCLEGVL CQRDTAGYRCWQCRDTATVAVAAAVVRSGVTVAGGRVAGERKRRATGRIGRMVGGAVA EGVHAGQARSGWLQ MYCTH_2308582 MMSLRTIARSAPRALARASSTYSSRTASSLFRARPASFIRPQQV SAFSTSLFRRAMAGEVDEEVSAKLASEIEFEQDVKQNEPLPASIKDFLDNSPFKVEDV PGKEDVILTRTFGDEKITVSFSIADLHNYEPDMMEDPAMEDELDDIEAGRSPQERGGA ADLDQEANEDLEAGSDEAAVPCRLNIVIEKPNKGALNVEALAQDGAIIVENLYYYSDP KLAHSTDPAAVHAAQDTYPGPPFGSLDEDLQILMERYLEERGITQSLALFAPDYMDYK EQREYVAWLKNVKNFIDA MYCTH_2315910 MAPSAISPAQQHAQLAFAPKKVKDAAAEVEDRAAGLTKPLAEMM GNWDDFSFAPIRESTVSRAMTRRYFADLDKYAESDIVIIGAGSCGLSAAYTLGKLRPD LRITVIEAGVSPGGGAWLGGQLFSAMVMRKPADVFLREVGVPYEDEGDYVVVKHAALF TSTVLSKVLAMDNVKLFNATTVEDLITRPDPDSEDIGPGVRIAGVVTNWTLVSMHHDD QSCMDPNTINAPVVISTTGHDGPFGAFSVKRLVSMKQLEQLEGMRGLDMQRAEDAIVK NTREIVPGLIVGGMELSEIDGANRMGPTFGAMALSGVKAAEEAIRVFDLRKKQNEI MYCTH_2308594 MAGTQGDKPLNRADELDHLIDAVKALIVPFVREADEAVPSRAAG ELLPDSQGVVQNALVKTRRPEDLVKELALNLPKGEGLGEEGLLQTMRDVLKYSVNTWD QGFMDKLYASTNPVGVASELLLGALNTNVHVYQVSPALTVIEKHTARSLANLFGFTGP RAGGVTCQGGSASNLTSVVIARNTLYPECKTRGNSGGPSPFVLFTSVHGHYSVEKAAV TCGLGSSAVWTVPVDGEGRMDPSALRTLVERAKAEGKTPLYVNATAGTTVLGSYDPFP EIAAVCAEFNLWLHIDASWGGPAIFSPTHRHKLAGSHLANSLTVNPHKMMNVPVTCSF LLGPDMAVFHRANTLPAAYLFHGPGQEEEDTSRSSPAAAAGGPTTNGVNGTAAPNGTT TPSEQEREQKEEEEEVWDLADLTLQCGRRADSLKLALSWVYHGAAGFARQVDGAFAVA AHLADLVARHPDFVLLSANPPPCLQVCFYHAPGGRLAEDPAVNTARTRRMARALVARG YMVDYAPGEKGSFFRVVVNAQTLTGTVEGLMKALEHVAREVVG MYCTH_2308595 MKSTTHTPSASDASQTPIPISITICGDGGCGKSSITLRLVRSQW TSEYDPTIEDSYSVTRRLDGVVYHLSLTDTAGQEEYRGMWASSNLGADAFLLVYDITS RDSLDALDYFNELIDMEAETRLDNAARARRAGLTTLDLSSSSSSAAIGVGGGSSASGK TVPPVKIVAGNKCDLQESRQVPAATGLEWARKRGCGFMETSARLEVNIEETFALIIRR VVEARRLAQTAAGAQPAATSRGMTKPLTPLPPPGDDEADEEKRGPGVRGPNIRGDRVG KGDGGFWRKLRCW MYCTH_2308596 MASVEHCLYCFETLAAHLEGRKPMTLSEVQKSWAEYVRSTAAAD AADSSKLSKRLPALRRVTEDSSSSSSSSSSASSASTSTLSLGPSTPETPVSSDSALAE DGQAAEAEAEAEAGQDVAAAAAAAAASQITESPLFVTWNTVSSRAGGGHSLRGCIGTF EPQELDEGLSSYALISALQDTRFRPVAARELPSLEVAVTLLTDFEDAADPMDWELGTH GLRISFHHHGRRYGATYLPDVAVEQGWTKEETLVSLMRKAGWVGKKDRWTEIQLNVVR YQGKKESLGYAEFKRWRDWVEAKGK MYCTH_2315913 MKLTVATVLTLAAVALAYPAVDKSAPAKRQNAGDQIDVSVPSMT DASGNVVPFNAQNVHKDATAKGI MYCTH_2065741 MSSLDLDQVMHARARWRKAILIPLWIFQIAVLLCLMGVFAYRLA ETFEDYGERDKQGEVPIVEVVWEATNVGFNLIALILNIVEIARMATERLTPFVMVFTQ SIKLTLAFAVLALDIVAYLEHMDGHYSTVGLSLDCGLL MYCTH_2129017 MGTPSGAESGESRRRTEAFGECRGGASPTYINYALAISDDIPEE YRANYEQFRDALSSLFIERIAAPFSKPKRRPSTRPCPGRGLRDLWARLGPPDVHHHLI PRMVYDKAVKRGWHRRDELQDFRGHEELAREYYTVERLLATDEVRRFGEWVGRVRWKA R MYCTH_70861 MATITTIIAFDLYGTILSTDSIAAELAEVAGHDRAGELASLWRR YQLEYTWRINSMGHYRPFTTLTLASLRHAVADLDPALVSVLTPDREDRVMRAYDALRV FPEVPAAFRSIRDQAEPAPAPATAAAAKTRVEAYVFSNGTADMISSSLSNAPDLAPHA GVLRGLVSVDEVRVYKPDRRAYEHLLRRVGKEGRPEEVWLVSSNPFDVVGAVSAGLRA AWVDRAGRGWTDRLLFEPTIVARGVDEAVREII MYCTH_2308601 MAQPSPPTTRPTTRPTRAAPANNTRFFGSQIGGRSVINYGYTDM PWKLMAWDVYYFFKYSWAIPYILWPLSPADSGELSELSPTKENIRAIAIHLVLCILQL GGLVALPALAVLPIWTATIIVGVFLLVNKLLCMLLNGKEVEYHSDPKYAPALPEHAHE QWIFINGVAVGSHWMQTNLNRLAASFKRPILGIHNRTSGILFDVVECLIQRNWGYATK DVRVCYRIIKKKLYNPQYSKVIFILHSQGAIEGSLILDWLLQELPQDLLSKLEVYTFG NAANHFNNPHRHIRTQNLAKLNPSAACVDSVQLTHNREEAARVPRRRCPQPGSRPNSS SSSNSSSGSSSSSRSSSRSGARSSSSSTSFDLDDAADSSNRHSTPTTTETESGAGTAT ALFTAAAGSSPHPSHHPDRAIGYIEHYAHTTDFVAAWGVLHFATSSPSSQFVPRFIGR VFARTSPRGGHQMVQHYLDGMFPLRRDPATGELARNADGVPLGVEEEGNEFMESEVLV GGGGDGTGREEGEGEELSDGEAADGEEQEQVEVVDVSPEPARAESGDGAVSPRKTRRK RQEGVRVKVKDVSRLWQYRNGRSPEETPPLLVRGKDGVVRNATM MYCTH_2308603 MGKNRKSKRQLIRDEKRAKKRGRELAEEEERSAKRQRQHDADGQ QELSNDDLFTYDPNADYIPFDEEEDGNDAAGYNASAAHPRARGGNFEREFFGMLGEQE QEYFRHADELLELNDFPSAEERHIFLQNVYREARGKELKLASSQSCSRLMERLILLST PRQKKRLFGAFAGHFMTLVTHRFASHCCEKLFLMSAPVVTAELSGEADKDVEMGDADD DEPMAPEIAEAVKTSMEDLFMLTLDELEEHLSFLLSDRYGSHALRVLLVVLSGRPLAQ AGTKSLLQGKSKEYVTVEGASAVTSALNTQTRAVPSSFTMAIEKIISDSTASMDSTAL RVLAKHPTGNPTLQLLLDLELSLSKSKKSKKEEGSGNAAEGEGSVVSLLERLVPGAPA SFSDEKSQACEFVNSMLYDPIGSRLLETLISHCPGKVFKGLQANIFAPRIQSLLRNDI ASYPAIKVLNRLNKEDLANAVQKALPEIPSFVEKGRFNVIKTLFERCNVRGATAELGS LLQALTAACGGNWKHIVPKLCLLSEPEQEPETKEKKFQTPEAKSKAALISHGSQVATA LLDIPGQPSKAIQNSLLALSPDQLLRMATTSPSTAAILTKALATPAQIPHFHKLLVAA LLPNIFTLATSQHGNGIVTEIISTPSKGGGGTSSEGAVVVPFHLKENIMAQLERQERA LRETWLGRNVWRAWRGDLWSHRRHDWVRWAKETDPEGERVAGMPKVSKPGDGEEEGKG KGKAGKAAAKGPVSGGKKSTSVNGVAAGKGVGQELGTKRKTEPKAEGKVDKKGNEKKG GKEEEGKEKRGKKEGEGKEKRDKKEGKATNGENADEDVKKEKKKKKKKGLGKEGVEGE VKAA MYCTH_2135162 MPTKRLSPSSDANDVVNLPLKKTQRTHEENQERAYIAASRRADR DIEHRIRSALKASECRRKRTGRGLKITREAVIGDEQYESEDDDHASRRFSMPATTSTT ASSLSNPYVQSPSRAADRYAEIDALFAKHFPHVQLSSQWSRQHQTTHRYSYSQPSLGP QAQAHPGRYVPRFQQQQQQHQHHQNSSVQIPVPVPATFSNTAMTTTTTTTTTPPTAAP TSSPSCSYSPALLTPPVSYPLPPAACGNGEAAGSPTAKSGSMSPLLLENQHSSLLSSS SSRPGSAFSAAAQQATVGLGLASGYLQQVATTGAGGDLDSHDGAAAALSCCFGTLGYS AETVPAGLGADDPLWYSSGDHGPGATAAGGRGGLTATTTTTTTATTPTAAAAAAAAAA TTTTTTASAPAQAGWQSQSRSLSLPSALEQFQFFPAFEQASIGEEEPSDALIDPGILA SGSGVAAGSGSGSVPVDGFDAGAPGEPWADWINLDGDAPAPLGVEV MYCTH_112227 MGDANELESISTFGSARSTIKGAPLSEEEVKKYNDFFKASLYLS LGMIYLRHNPLLKEPLKKEHLKARLLGHFGSAPGQIFTYMHFNRLINKYDLDALFISG PGHGAPAVLSQAYLEGTYSEVYPDKSEDEEGLQKFFKHFSFPGGIGSHATPETPGSLH EGGELGYSISHAFGAVFDNPNLIALTMVGDGEAETGPLATAWHSNKFLNPITDGAVLP VLHLNGYKINNPTILARISHKELENLFLGYGYQPYFVEGDEVDSMHQAMAATLEHCVL EIRKYQKQARDSGEPFRPRWPVIILRTPKGWTGPRKIGDKYMEGYWRAHQVPITDVHE NPGHLKLLERWMRSYEPERLFVDGRINPELRALCPTGNRRMSANPVANGGLLRKPLRM PDFRNYALEVEKPAVTMAASMQNMAKFLRDVVALNPTNFRLFGPDETESNKLAGVYQA GKKVWMGEYFEEDENGGNLAPNGRVMEILSEHTCEGWLEGYILSGRHGLLNSYEPFIH VIDSMVNQHCKWIEKCLEVEWRSKVASLNILLTAVVWRQDHNGFTHQDPGFLDVVANK SPEVVRIYLPPDGNCLLSCMDHCLRSSNYVNVIVADKQEHLQYLSMEDAIVHCTKGAG IWPQFSTDHGAEPDIVMASCGDIATHETLAAIDLLLQHFPELKIRYVNVVDLFRLISH IDHPHGMTDAEWEALFTADKPIIFNFHSYPWLVHRLSYKRPGAWRNLHVRGYKEKGNI DTPLELAIRNQTDRFSLAMDAIDRMAGSGVLGNRGAAAREALKNAQIRARTEAFENGV DPDFLKSWTWPYERTVQEAVPKLMG MYCTH_2308614 MSSYDGSRSARQSKRYSMSALYMSMSANESDLVIEDDLAKAQKV LRDLKAKISSQSKKNFVLEKDVRYLDSRIALLIQNRMALEEQNEVASHLEDATEVQEG TFPNDEKTQKYGNLMFLLQSEPRHIAHLCRLVSMSEIDSLLQTVMFTIYGNQYESREE HLLLTMFQFDNTPEYSSLLRANTPVSRMMTTYTRRGPGQSFLKSVLADRINSLIELKD LDLEINPLKVYERMCEQIEQDTGSLPASLPKGITQEQAAENPQVQAIIEPRLTMLTEI ANGFLTTIIEGLEEAPYGIRWICKQIRSLTKRKYPDANDQVICTLIGGFFFLRFINPA IVTPKSYMLIDGTPAERPRRTLTLVAKMLQNLANKPSYSKEPYMAKLQPFIHQNKDRV NKFMLDLCEVQDFYESLEMDNYVALSKKDLELSITLNEIYAMHALIEKHSAELCKDEN SHLSIIMSELGAAPPQVPRKENRVINLPLYSKWETAIDDLTAALDIAQEEVYFMEAKS IFVQILRTIPPNSSVAKRPLRLERIADAAATSKNDAVMVRKGIRAMELLSQLQELRVV DKSDGFSLLRDEVEQELQHLGSLKEVVLAETQKLEEVYKTIRDHNAYLVGQLETYKNY LHNVRSQSEGTRRKQQKHQVLGPYKFTHQQLEKEGVIQKSNVPDNRRANIYFNFTSPL PGTFVISLHYKGNPHSFIFRFSSFFFSTPSSSISVQQARQNTDKPICRTEPRPARARS EAGRPPRNAKRQPGRPRPRVRPVQRAQGVGAPQQAVRAEERVVGRPSFSLAAAQFLLP PLLPLLLPSLRSRFLRLSFSASRPALARGRGGGFAHL MYCTH_2308617 MAGLVEDVEKQAAVTPHPGTLAPEKMSLSTKGTGPSLTCSDTQS SIDTDPLSPLQHALALPLAAAEVEQIPSDDLDDDDEEEEEEEDNDGNPNHISRSNSNN VNEDNKDPLHLTRTRTSVTSAASRPLDFEVTIEADDPENPRNWPLWYRAYTVLSVSYA TWVVVLYSTSYTATIPGIMAEFGVSSRPVATLGLTTYLLGLAAGSVVVAPMSELYGRR VVYLVCLGLFVVLIIPCGLATGLAELVVVRFIGAVFGAAMISNSPGTVVDIAGHESLA LCMSWYSIAPLNGPVTGPLIGGFVYENLGWRWGNWLAMILAGVAFVMLSLVKETYMPA LLKKKAARLRKETGDDRWWCRHDQRISTLDLLKTNLGRPFVLAATEPILWFFNIWSVN FP MYCTH_2308621 MTGLAFVGIGIGTLIAIGMEPVWRRLINGSGKREADTGRAAPEA TALVMCIGALLTPLGQLVFSWTCLPASIHPAVPIAFGIPFGMGNTLSFIYGSNYLAAA YGIYAASAMAGNAVARSVFGAALPLAGPAMYEAMTPQWAGTFLGLLEVLLIPIPFAFY RYGHKIRDRSRVIRQMREDRAKAERRAARFAARKERAEKMTGLAATTTVTAATAAAAA AATTIITTVPTSPAAAAAAAAVAITRENGEEEKEHAAAQGARMA MYCTH_2308622 MQQQEHYSSSPSLSSPPSALSEPGSPTRVLNAGRANIEMDEIIV DPSSAARFTIMQQHQPAEQAPNVPLTAAGLPRKKPGRKPGSTVKPKPPADGSSNTTNG DAPKQRRPRKPKDPNAPPVQRKRKAAATEAGDANSEMDARSASGPPRQTKITELTPMR MALDARSAPTDIGFAPTAPKRESASGSMNMMNLLNEEPQPKPQPAPPARQMFDPIRGN YDPIRESVATRDPYGTGPHGSPRAPTQVVNRASASPSIASLVDPQPAPSAISPRPAYT NPTSQPRYQDSTSMPPSPSDVARNASQSAAKPTTLTEARRPPPPPPAPPTASKPESKV TTSFTSMASAPSATSSGPTAAPTAAAAAAPAAAPPAAAPSKKIAAVVQQERESQKKTR SSSSSSPKLNSLKDALPPLPGGERSILDFGKARPGEEAEAPNISLHIPLNPGECNRYV NFMRMAEERYGWDALHPRLAASRERKARIAAATAALEKTGSGRDSSAEEMDEDILQGS DAGESNAEMQGNGNGTGTGAPAKPAKKKRNFKEDEYDKDDDFVDDSELLWEEQAAASK DGFFVYSGPLIPEVEKPAASEERPRRGRGGRGRGSRGGGGTVRGEGSGRGRGGGGPGS RGGTVRKPRITKLEKEQRDREKAEREKLAQITTSKTGTDSPASSLLSLGAPSSTQGAT PSMAM MYCTH_2308623 MEVTLDVLDVKERETSDATPPSFPTAPAPSATGFPAHKKRVSAF KQQRQKKPAGASPASTSADRSSKPSGHDEAPARHATTTTDERRAIDEENKAVLASMSP EEIAEAQKELYNGLDPKLIQMLLRRANLDEPSGPSPFDAQPPERSQDPGSPPPPPPAK PRQATVEDAPEEPPAAGPKSPPREERDDKPKKTVTFDEDAPPPMPPPDLFPVTSAARP KPAPAHKPTPAHKPTPAHKLSADVPHNTHFPRPPAVPDLDPADPNFLETMHKKFFPDL PADPSKLAWMAPVPTPDSPADRESPYYPGQDSLPVSALRFDFRGALLPPRVSRRIPVT KGLHHHGEAPEAAGYTIPELARLARSAVPAQRCLAYQTLGRILYRLGKGEFGSGAGGR DGEEDDLAFALWRCFKEGRVIESLEQEAGLPEGKGHMSSKAYATEALWLFEKGGWKEK WRGL MYCTH_2308628 MLDFTQFSAAFPTDGPKPYDQNGIREIETFRKSFGGVLFIDRVL KALGLGDTGKVYPPKGDSGLRALHQQICATKVSPHAKLSVFYYLLLDYDELRGARSNL AEALAEESGLPTNYQLLMRGLWHMDRKEFKFALENLAHPSLPAEFADDIVTVLVRHAK AAAAAPQSDGDGDDDDNNNNNDDDYSLALAYYHAAQPVLQTSEALELLFGALARTSVS EALYFSRRFPDHARQQLFEKLVASVLDQAADAAGARGRELASLPLTGAEEKWFHDYIA VGDGRKSKNAKTVAQMRQLVTGRHRGPIPAGGLGGLGGQGAAVRAAR MYCTH_2308630 MAQQQSLSSNNPFRRKAASSAPAAPPAAVPRFADLDESPGPTPG VEPDLPPADLFRHQLQSLSASTEPPPETSFRKPKVVKKVRVQSPPSSPDSSGVPEQFP SASSDEDDSSIGPSDETGNHEDPFDRASSTDSADVSDKGEDDPQPLPTYRTPPNPFEK TLRDLNVGPAGSEKKGPERASGTRGVLDVDAFGRLLLTGQAGGAASSQAASPFITEHD TKHPPAPNSNGATTRDATSAPRSPSSGTLQVAQDTPQLSREALEHAGQSDGSGSVSSV QPSTLPNVQTTPPHPKKKPPPPSSRHGKLINPGPAGAAAESKPTAGGAQGPVTSPGRR ATTSLTPASPSSPHSANRSLSSASQEPPAEEASNSVPDREAAGKLLGPEIQPGLNIVI PPRPPTPPNASHATVVTGTQSSRKPAPPPRRQPHVRSGSKTASGAVSAVQHDDPELPV RRSSVDSTKSRSSAAARVGVHAPAPPPPRRPSHASRGSISHAVPPPSPTAPSEDSEPI FLSGGAPIVSSPATVSDDTPDGSGSSTPAPAQPPATAAPGTKPVPPPPPPARNASVRG KRPAASRTVPASPSSSSPDASGLTRRSSGSGNRGKEPPPPPTRHRDRGNSRGSSVEGA AAASGWAESQQPVPDAERSVDSGPAGMESHAGEILADLNALQREVDALRGQVEKAG MYCTH_88687 MPPKKTETKTETKAEGAAAPKPKSGSQHTYQDMIIDAIIALKDR NGSSRQSLKKYVRANNKINATDAMFDSLFNNALKKGVEKGIFEQPKGPSGGTKLAKKA LKPAAPKKAAAPKKAAAKKETKDAKEPKEKKAATKKAAPKKETAAKETKETKEKKAAA PKKAAPKKASAAKKVSRSFHLRFYHCCSG MYCTH_2308643 METYYGVVRSPADAIKLFEACRLGLLPRVQRRLSEKERQAIRSG SVFVWDEREAGMRRWTDGKSWSASRVAGSFLTYREMEGKRGNAFGGRRGAGKTPDSGR GSDEDHDDGEPDGYRYKPDGLTKQSFSITNAAGQHLHLIAYYARGPLDLPLPTTDPSL RHIVPAKGMYPESSLTETTPPTTGRAPLPQPAYMPPPHQPQPPHGYPSHYPYPGHAWP PSPDGTPPYGHGQYSYPPALPPPQGHHPPPALPPYLPAPPSHHHPHHHYPPAESNSSQ ERTPLPLPPPPSYSSQHAPAHPSTPSYSHAQPHSQPQLPAPRAAIQAPPPYPQNQHQS PQPPPRVTLLDSPRSQHLQAKAREAVQIEPRLAPIDAARNRHSPLPAPGQLTHPQQNG GSPTTTTTTATATTMTTTTTTTSITLPPPTTTASPTINAPSGSPIPNGSSRPDSSGRA HAPTTTTPTTASTRPTLSALLHPSTIPPPAPPHGSSEPSSAQPISSAGTVGGGGVGIN SAGSSPGAGLPPSATVRQDSRSSDEDARAIGVLNKNFF MYCTH_2112063 MPGIVACRLGRPNPRASERLIVTQSWNIQRYSIHTTANYTTCAR RTSSVLDDVLNLAVLPLLGMVNEEFRICRAQESPGNTLYVRDAVWTCPCNTTPSLGQV PLRLMICIQKVQYESASTMMYATTQGQPPDRTVLRDTLSTGALTIKSIGQDVVRTPKL LSSPTLTDRELARWLAGRLVE MYCTH_2308647 MRPALALRPSSVSRSLGPRRVASFHQCASQWSSRSVAELLQWRP TEKVDDVTVNGFVRSVRSMKTHRFVSLGDGSSLAPLQALVQADDAKDLAVGAAVRLTG SWVSSPGVAQSHELHVSRVEVLGPSDAKTFPIQKKYHTPEYLRTVPHLRPRTPVNSVL LRLRSEVIASLTQFFAARSFTQTHPPIITSSDCEGAGEVFTVMPASDAPATGSNKDNS QAFFFRSKKYLTVSTQLHLEALAQAVGNVWTLSPVFRAEKSDTSRHLSEFYMLEAEMS FVDDLGPVMDLAEDMLRSLSSSLIESSTVRDLLFRSRNSGSDLAPADEVRRRWEGLTR QDWPRITYSDAVALLQQKSDLFEHKPTWGAGLQSEHEKFLAEYVGGGEKPVFVTNYPR DIKAFYMRETQPASPQVPGPTVDCFDLLVPEFCEIAGGSMREHRLDPLLDAMKRHGIL KPPLEGASDGSSSSGLDWYVDLRRWGSPPHGGFGVGFDRLLSYLSGVQTIRDIVAFPR WHGRCDC MYCTH_2308648 MPSSGTKSTKPKPSEVASEAKRIYIPMIRDRYAVTWTTCSYIYH QPLLQINFTDRPLDLSPPVFYVSVGDPVDTALNWVESARCAIAFICAANDKRPGGDWE TGVVGYEERLCRRSTLAACLATPADGSPANSHYPLPTCAGVLSQHVGESACSGNFSAR LVNLPHTVVFRGPHDRYEKLPTDQWRALPVVSVPPPRWPKLTQNGTKYSFADEREMVK EKMRGALRICAYNNYSTVVIGNFGLGNGYRNPPQELAELWREVCLYDPDLRGRIRCVA FVFEDPSQSTTQLILDDIAKKAKGGGSSSSGRSKTNGSSSSSSSPGGSPADVEIFSRV FDNAEIQRFLAQPDARYGLSNLLA MYCTH_2308649 MADYSMYHALGQGEVLDPNDPTRTSQPAPPQFQPPVAPNPYQQA AGQQPYYGAPPPAGSLVPPQAPGYGPPQPGGYPQQGQQPPAGDGGLVAQMGGMTLGAD AGTGAGTGTVRKKKKDRHAYHTVEAPAGSSQPFNGMPPAGTPATPYLNADPSAAASRY GPGAPTPQMSQFPAPVSPAFVPFPASPAEFAARSGYADPAPSPSMVPAAAGQTRVSPD EMPSVPLSRDSVQQYFLSNVYPTFERLVPPPATVSFVAFDQGNASPKFARLTLNNIPA TADGLKSTGLPLGLVLQPLAPLQAGELDIPVLDFGDAGPPRCHRCRAYINPFMMFRSG GNKFVCNLCGYANDTPPEYFCATSPQGVRVDRDQRPELVRGTVEFVVPKEYWTREPVG MRYLFLIDVTQESYNKGFLEAFCDGILRALYGGGDDERDENENGEVRRRIPAGAKVGF VTYDKEVHFYNVSPALEQAQMMIMPDIEDPFVPLGEGLFVDPYESKAVISSLLTRLPQ MFSTIKNPEPALLSTLNAAVAALEATGGKIFCSLSALPTWGPGRLFLRDDGKHPSGEP DKKLFSTEHPGWRKTAEKMVSVGVGVDFFMAAPSGGYLDIATVGYVSATTGGETFYYP NFIAPRDNTKLALEIKHAVTRETGYQALMKVRCSNGLQVSGYHGNFVQHTFGADLEIG VIDADKALGVTFTYDGKLDPKLDTHFQAALLYTSASGQRRVRCINVIAGVSENARDSI KFIDQDAVYTLLAKEASTKLATTSNTIKDIRLSLAERAIDVLANYRKNFLSQAHPPGQ LVMPERLKEFSMYMLGLLKCRAFKAGSESTDRRVHEMRMIRSMGALELGLYLYPRIIP LHNLQPDEGFPDPQTGHLRMPPAMRASFSRVEPGGVYLVDNGQQTLLWMHAQTSPNLI ADLFGDDKTSLQSLDAYTSSIPVLQTHLNAQVRNIIEFLRTMRGSKGLTIQLARQGID GAEYEFARLLVEDRNNEAQSYVDWLVHLHKGVQLELAGQRKREDTSESSALSSFTGLR PSYW MYCTH_2308652 MFTFSPLQGALTESAASQSLLELDGGVKVLVDVGWDETFDVEKL RELEKQVPTLSLILLTHATINHLGAYAHCCKNFPLFTRIPVYATRPVIDLGRTLTQDL YASTPMAATTIPQTSLAESSYSYAQASSADHKLLLQPPTPDEIARYFSLIQPLKYSQP HQPLPSPFSPPLNGLTITAYNSGHTLGGTIWHIQHGLESIVYAVDWSQARENVFSGAA WLGGGHGAAGGAEVIEQLRKPTALVCSSRTPETALPRGRRDEQLLESIKLCIARGGTV LIPVDSSARVLELSYLLEHAWRSEVAKDNEVFKSTKVYLAGRSVGSTMRNARSMLEWM DDSIVREFEAVAGGTRTGNSGGGAGSGAKGKEAGPFDFKHLRLLERKAQVERVLQQAT ATDDAEPRGRVILATDSSLEWGFSKDVMRAIAEDPRNLVILTEKPSLNPGKPSIARML WEWWRERKDGVAVEQTSGGDTFEQVYGGGRELELTDATRQALEGTELDVYQQWLATQR QLQATLQTGGAATLESAADVVDDASETTTESEESETEQQGKALNVSTTIGQASRKKVL LKDEDLGITILFKKKGVYDFDVRGKKGRERMFPIVVRRKRNDEFGELIRPEDYLRAEE REDAEAQDERQDGQREEQGQGLGKKRKFDDVGAAKGGASGANKRPQPKRAVSDEPEAG ALLDGHAGDELDELEDEEEEAVVGPAKLVVKSQTVSVKLRIAFVDFSGLHDKRSLNML IPLIQPRKLILVAGGEEETHALAADCRKLLSAQLTSESSSQAAIDVFTPAVGATVDAS VDTNAWVVKLADPFVKRLKWQNVRGLGIVTVTGLLLPGGEMAVQDGAAAEAGSSNNNK HGNEQEEQEQDGSNKRQKLDGTPTPGPAEPDGTVTTTNTTTNKNNNNNTSTSASTLAT TDKPATLPTLDVLPPALASAVRSAAQPLHVGDLRLADLRRGMLASGHKAEFRGEGTLL IDGIVAVRKTATGRIEIESVGLPLAADAGSGSGSGAAAALAGLGTFYEVRRKIYEGLA VVAGA MYCTH_2308654 MAAETPITEESLRAALTERLKVTHVEIQDMSGGCGQAFTSLIVS PEFAGKTSLKRHRLVNAALRDEIARIHAWSAKCQTPDEYASEMAATGGDDNPPLDGTE DGKVAGVNG MYCTH_2308655 MEPALQSPPFTQLVVKAMRSLYPEELADRAWDNVGLLQENIALP SGAVPSRVLLTNDLTLRVAEEAIKKQVSVIVSYHPFIFRGLKSITLGDPHQRIVLRLA QHNIAVYSPHTAVDAVLGGVNDWLASILDKVPGGTSDVTVVQPARGATAPSIPPGFDG AGYGRLVRLREPTDLESILRAYAVALRMRHVMVSRVAPAAPIRSVAVCAGSGFDVLKD ADADLIVTGEMTHHNALRLKMLGKSVLTVFHSNSERGFVREVLQPKLERALREEDAVA EVLVSEEDEDPFEIWEAKE MYCTH_2112071 MSTIASPRDPSGPFPRRTNSVITPTSSSRPSLDVPASVSNSPNP NQSAVSISQSNKRANRAALREYYNLRSNNNNNNNNNNKPLPSTPTVEITDHLGGASSP SFSSTTPAATPPSELDSPDFDAQAYVANLLASSSLADLLRTYTTVLGEMRALDAERKA LVYDNYSKLIAATETIRRMRGAQGSSDSASGSGPGSGPGVGAASLEAVVEGIYRRAAD LREELRASVAAQARADTLGGGSGRGGGDGDGDGDGDGEADEKAARRERTRELAREVVK VPGRLRRLVEDGKAEEAKREWKMPRRLLVRWKELGVGGDDVAALIEEGDAALRKAVEA DGNKGGDPTA MYCTH_116885 MDIPHQLQASLSTTSIPVPSLAWLEALAGARSPAPPLASLLATA RARLLASDLTAPGLLDARYAAAHSLPARLAQTHAGTREAALPHDVVVQVLDVEDVARS RWEQVEALEALERGEGTRGREIIRLNTASSSSSSSSGADGTAEGAEEEEEEEGGNAAA GAGGGGGGGGRGGATSTSNNNNNNNSSSSSSSSKNGTHKLVVQDCKGQKVHAIELKRV ERLGVGRTFIGEKILLRAGTVLARGVVLLDPAHCVVLGGKVESWHRAWLDGRLARLKE AVGADRRT MYCTH_2308658 MSGTKALLKGINEAIKQQKWTEAIEAAEDVLQKDPKNYQAHIFL AFALDKANQFDRSESTYLTATRLKPNDPQAWQGLIKLYQRQRGKKLKQYQHAALKLAE IFRDANEMYKCQDVVDKFIDFARTQGERAQYVDALDLILPESPIYPALEGRVPHPAKT YEIQAQIVEADEKKRINTLIGERRTRIGARVSEVTLEVKREVYSQSKLGYIYGQLINW ATDDDVRRTYEEKLIQYCYDRLLAWPPGEQKEREAAIVQKLANDMVIIRHPFKFAWDI TINWQDHKDIRDWDVTVLRQYCTFFPDSDLNRVIMGFLTSDISPFPKEPAQQPKSSTN AESEDESEDDEGGGAPTTYVPLTDDDRLLMIMEGVSSADSLFAFRLAGEYYQHIEDHE SNVELMRRALDHLKAERSRTGLPFRNTEDALSLYLGTALIFYQSPRHHQEAKSLFDKV LAHDPSSTGAMIGVGLIYEEEEEYTEAIDFLERALQRDPGNLRVRTEAAWVKALKGDF ETSRNELESCLPLLTKRGQTNKELLAQTQYRIGYCIWNLDTSRAARKSRSGAYAYFLD CLKNNLNYAPAYTILGKYYADYAKDKKRARRCFQKALELSASEVESAERLARSFADDG DWDRVELVAQRVVDSGKVKPPPGSKRKGISWPFAALGVAELNKQDYRKAIVSFQAALR ISPDDYHSWVGLGESYHGSGRYIAATKAILNAQKLEEAAGGNIPGETWFSKLILADVN RELGDFDEAITLYRGIIADRPGEAGVAISLMQATVDNALDSLHKGFFGKSIDLAVETI EFAVQAPQEIKETFNYWKAVGDACSLFSSVQGRLSEFPADTVQQLLGVDESDILSGDG VGAVVNGTTPDENKIGKELTKVLHATILAHKRAIQVSANDTHAQAVAYYNLGWAEHRA HMCLPMRLRKKATKYLKAAIACFKRAIELEAGNSEFWNALGVVTSVVNPSVSQHSFVR SLHLNESGAHTWTNLGTLALLQGDVQFANDAFTKAQSADPDYAHAWLGQGLVALLLGD RKEARSLFTHAMDISESSSTASRRHFAISMFDHVMESPSGLPVTSLVQPILALSQLQG LDPQEVVYGHLSALFQERNHEHDRTVATLEKICAAVESDYEVTESPESLKRFAIAKAD LARAYLAIGSNEEAIEAAELAVQLSNTDDGDDSSELTAEERKRVRLSAHVTMGLAKYY QQQGDGVDDAVACFDLAIEESDGNPDVACVLAQVLWATGKEEARERAREVLFEVIERS PHHVQSVLLLGVIALLDEDEESLEAVMAELQSLRASDEGVTPADQRQLGEVLRAIAAF GKGEGQEEEEAKADQARVDVMLHPHLPHGWAELGGAGGEEGKSAAEMAVRVALKGVPP RGDVAAEDLARAYAGTGRAMDAQRAIVVAPWEKAGWQALGEVVKG MYCTH_2308660 MDSTPAKRRKLDHSQDDAEILLQSAASTGISRSRAFILETEELL DSVRLDYETALDGADNLLHRIKGTIEGIKPHEALPIAQAASKLEKTTKIKVPFPDPQP QENSNYKVAFAKPAQFNVVGSYVSKTMIKTQKDHGVDMVIVIPKEILQEKDYLHLRYF YKRAYYLAVVASSLREEFGSEAQLSYEYLNGNPLCPVLAIQPNVSKEAELETSGKGRV LDFRIRILPCAPDGFFPTAKLHLGATLVRKNRDGESNTSEPTSFYNSTLVAESCFLPY LKVLRQAEKKCAAFKNACILGRIWLQQRGFGGDISQGGFGHFEWAVLLALLLQGGEAK ALSPSLSATQLFKALVQFLSVTNFAEKPCVFGPGKPDLESYCEVTPILYDSARQLNIA FKMGPWSAALLHQHAKWTRSLLANSSVDQFNPTFILKADIPLHSFDLVARLKIDEAPA DTGADSRGPAWQVSNKAYQILKRALVDKEMGQRARLIHMQVPACHRSWPLTEGLGSQA TSPVEIRILFDPINMARTVDRGPSAGPSAEEKKACENFRKFWGDKSELRRFERDSIRE TLIWTSTTPFGICEEIIRYILGRHLRIGHLHEEISVYGDGLPALLSLKPADTASFNVA KKAFGAFERDIRDLDELPLRVRQVAPICPELRQASVKTPTFGSSKSGPRPLECVISFE ASGKWPDSLVAIQRTKIAFLLMIGSLLERSKPGELKTHVGLENAKYETENLAFLDVIY ESGPSFRLRIHSDLEESLLERQVKDKTSEQYLRQRATTLLATFRRLYTNLPLHNQYIS TCATRFPALSPTIRLVKHWFNVHKLSCHFTEEFIELAVLHVFLSPYPWDAPSSINTGF MRTLLFLSHWDWRSEPLVVDTSGEMTASERASISTRLEAWRKIDPNMNHTVLLVATSQ EPSGVAWTTADGQAKPSKVVAARMTSLAKSASRLIREQGVELDHRRLFVPSLKEYDVL IHLNSKAFKSTLKTYANIDPEEEEEVARPKFKNLDERTGQEPLPLAQHPADLFLEHLN ATYGGPLVFFRGSVEDNTIGAIWNPQMQRRSFRINLPTSYKPVAAGKKQSKSDEHEDD EEADLVDVNKEAILSEIARIGADLVEKIEVKSAS MYCTH_70818 MSVVSLLGVNVINNPAKFTDKYEFEITFECLEPLQKDLEWKLTY VGSAQSDHYDQELDSLLVGPIPVGINKFVFEADPPDTKRIPIDELLGVTVILLTCAYD GREFVRVGYYVNNEYESEELRDNPPAKPEIDKIRRNVLANKPRVTRFAIKWDSEASAP PEFPPEQPEADLVADEEEYGADELAEEEEAEALDGSGDAQMDGVEGPDGVVDEEDLSD EGSVDIEGESEEEILEEDDGIDQEGGEGAEGAEGVEGDEGDEMDVDRPEPAVHKQPEA MVH MYCTH_2308663 MELDMNYFPEAELIFNRSLMSTPNVNLWTKYLDYIRRRNDLNDS TGNARQTVSRAYEFVIDNIGLDKDSGKIWAEYIQFLKFGPGTVGGSQWQDQQKMDQLR KAYQRAICVPISNVNTLWKEYDQFEMGLNKLTGRKYLAEKSASYMSAKSAYTALENIT RGLQRTTLPRLPPAPGFDGDQEYMEQVEIWKKWIAWEKSDPLDLKDDKEQPGLYQKRI LYVYNQALMALRFWPEMWVDAAQWCFDNNITSKDGSPTGLDFLTRGIEANPESVLLAL KHADYIESTYPIEETDESKIARGKAVRAPYDKVLDTLYGIIKRLKEREAAEIARIEEA AKSAGENTTNNKSDDDDEDEDDAGSSDKAPKNSKVSDQLRAIKQGFAAQTQLLSRTIS FVWIALIRAMRRIQGKGKPNTELGGMRQAFQDARHRGRLTSDVYAAVAQLEWTIYKDP AGGKIFDRGAKLFPEDENFALENIKYLHSRDDTTNARVLFETVVNRLTQKPELVHKAK PLYAYFHKYESQFGELSQIAKLEKRMAELFPEDPKLAHFSARYSTDKFDPIAARIIVS PMTQLRPKQLIPSIEKGASAQDSPRPPPLASRASPASHTLPATNSPKRPLPADDFEEP PRKIQRNDFSEFQRGASPLKGAAGRRLDQQRRLQGQGAASYAAAPAPIARDITFLLSQ IPRADLYDFQRFNPAKMTNLLRDTPVPEYTAWKSMRQSSEHTGQYGGYQSRDSPAPVG RPHSPYVGGDGGRGRMPPASAVPYRQGSNRPGSSGSYEPPPAVYAPGHPPLPPQAGYA PPPPVQYDGAAGAAWPPYPPPPAAQQGYGGPPPPHLYGQAPPPPAQGGYPRYPPHPY MYCTH_2308664 MASNKQRHVLAIPEGINKRCDLPPEAYLNPDKVNTPFAARRGYN TSGQNINVCANQFRIQNVTGRDVYQYDVCISER MYCTH_2308665 MIKFAVQRPPQRQAQIMKMVQNLEWDKDRYLSHFGIKINPTMTK IPAKLLPNPVIQYGNKPVDPKMTGRWDLRGIRFAVPNPQPLVSWALVIIDNCVDKPCA ENFAKTFRNVYASHGGRIANDPIILAPPRGTPLEGVVGAAYEKCGTNFKADPQLMFYI LRDKAAIIYDRLKAANDCQVACLSQMVQAQHVRKAAPQYCSNVCMKVNAKLGGQTSRL AVKANQKPLINIPTMMIGVDISHGSTAAGNVSTAAMCVSMDRDIAIYDAAVQTNGRGV EILQPHNMHSMLGPLVTKWRKKFNTAPQHVFYLRDGVSEGQFAHVMEFEIEELKKVFK ESIGVIPKVTVIIATKRHHIRFFPERGDKNGNCLPGTLVEREVTHPFHYDFYLCSHVA IQGTARPVHYNVIHDECGLKPDDLQRILYHQCYQYCRSTTPVSIHPAVYYAHLAGTRA RHHENKSANAEPMVVDSRHLVLTRPGPMSKSDSRTVTSRSEDDVVPPPLLKVGCGSKA RPSAIRTFENTMWWV MYCTH_2308666 MAGFLRAKQAGVQKDLSAGIAPGAFKPDEQARYGISSQISCLTY DPTQSLLAVGTTASKYGPAQIYVFGGRRVARTITLNQTSGLSALASSIGTSGAAALQP PLSVRYLAFVANRLVSLDSHNELAVWDPDTGQKVCRTTYGRASCVTTDPGLDWAFVGS ENGGDVWAFDLDRGTPAAGWRLGNLWNSPERPGARTGRPVSAFAVGVVSLQLHPRDIG KLLIGYTTGAVIYSFKQNVATKFFEYVVPARARGGNGIGTEKERRPRLVQAVWHPSGT FVLTAHEDGSLVFWDPKDGRLVAARSIYHTRVNEPGVNVEKKPTPLVPFGKITWCCKQ NPDDTALLIAGGQAVDEEEKGLTFLELGPTPIYATSSWEALANHFEGKRRLTLPIPPG AAVASYCLVPRSSPFFDGAQDPIAIFTMLTSGEIITLTFPSGYPISPTNMLHPSMLFV HPFVQKIAVSTVPRQKWLALVETRNQGGPFLLGGAPAPKRRRVGWDYRNIIQVAHADS TIRIWDVGHDDDIENQAQLQVDVARALGRFEDVSVTALSMADATGEFAAGTRTGEVVI YRWGGNRYYGRDATKPLDPNPGGLTDISSRAEPSLKEGLQPFVLYEMMQGPVSVVTVS DVGFVAAGSEGGFFSLIDLRGPRVIFQGSLTQFIKEDKRSSFLKGHSSRASATLEFPT VIEFGVLTLEGDGYSSIACFVGTNLGHVVTFKILPSGQTYTAQCAGVTKCGGDKVVGI NPLNVDTAQPASATGLAVAGLREGRQVNGVLVAVTQTEIRVFKPATAKGASKSFDDQL CDAARVTEIPHVGVALVAVFSDRTARAYTLPGLKEIGRATLSMLDPSRTISAVISRTG EVFGWTGPSEIAILSVWGTGQPLPPSRDTLINPELVLPPRPTISNLQWISGTQYVSPT DLDLLIGGDDRPPSKRMMAAAAAERGMAGGADSAGTGAGGARAGASQEGWGEYLSRQL NERAEKLTIVDDAVNRLQESSQGWAEGVNNFVKKQKRDLLIGGVKKSLF MYCTH_2308667 MPNKPDPSWAAAYAKAIDFLSSLTLTEKVSLTTGTTGWQADRCI GNMGGVPRLGFSRLRGEAIGAEFRGKGIDVMLGPVSGPLGRSPQGGRYWEGFGFVSLR P MYCTH_2129052 MYILNEQETYRGSVDVHADRRPECACENEWTSNYLLKNELGSPG FIMSDWGPGHPFAVPTSNWNATMQQLGDHGSGKDCLCGL MYCTH_116881 MASSSQEKVSFENVEAADSDHIGNATKGAVVGSGALAADDFGFS PAEQRKIIRQVDRRLVLTVGAMYCISLMDRTNLGAANIAGMGVDLVLIENRYSIVSLV FFITYVLFQPPSTVIVRKIGPRIHLAAITILWGSCMIGMGFVNHWGQLAGLRVLLGFL EAGFFPSCVYLLSTWYTRYEVGKRNSVFYLVGCVASAFAGILAYGLMQMAGLAGLNGW RWIFIIEGIITVLLGIAGYWLLVDFPDATRKNWSFLGAREREWVCARVNADRGDVKPQ PFSLAKYLRAGMDIKVWAYAMIFFNTTTVTYALAYFLPLILTENMGFSIGASQCLVAP PYAFAGIVMFATAWAGDRYRIRGPIVAFNCLLCIIGLPLMGFHSKAAVRYFGVFLVTA GANANVPAAMSYQANNIRGQWKRAFCSATFVSFGGIGGIAGSLVFRNQDKPGYKPGLY ACIATTLLTLVIVGLLSLEFRRLNKKADRGEVPLECDSDDTYEPGFRYTY MYCTH_2112081 MQTVQSWSETQIITVSVLGAIAFAAVVASVVEILKSRKRARDFA GKLDNAVAEVGVEQSRTISLQQELSRREVFIDRNILKGPGAVSAAPYEECPKQCQEYR PEFALDGASALGSDDKNSDRDDDDHDDGVGEGGLSAETTPDVAVDAVQSCTAMLAVRD CQVSRDDLCHRASMKEARENRYVWTRPQQGEPQAQIDRSWESNTIENTQEESPRAAEN KVPTEVGTAATEVDRNGAGRDDVGAMR MYCTH_55803 MKALSLLAAAGAVSAHTIFVQLEADGTRYPVSYGIRDPTYDGPI TDVTSNDVACNGGPNPTTPSSDVITVTAGTTVKAIWRHTLQSGPDDVMDASHKGPTLA YIKKVGDATKDSGVGGGWFKIQEDGYNNGQWGTSTVISNGGEHYIDIPACIPEGQYLL RAEMIALHAAGSPGGAQLYMECAQINIVGGSGSVPSSTVSFPGAYSPNDPGLLINIYS MSPSSSYTIPGPPVFKC MYCTH_2120042 MSAFAARQQLWELAAAKHKGGSVSEPATEDETNFQRKSGGRSIL TTRDGERLVILGSYGVKVCEGEATIAGAILTPSDPIQWVHAPHCHAVPVLRTTDDTVL ELRSHPAAKELRQLATLNPVFAKLWNESAREKSTPRPKPSATFQIIYTSQDVPKRAVL QELTSPPEWNKKLSGLVTAKRKGTPVIFLCGPKSSGKSTFGRLLTNRFITDRGGVKNR PWTNVAVLDIDPGQPEYSPPGVISLVRIATPNLSPAFCHPTLTPSGGQLRAHAIASVT PALDPGHFIECVLDLFAQYQRGPDANSPLLINTPGWIQGTGLDILTELITSIRPTEVI YMSQDGPEETVSSLRAACATTTPSTPFTTLPSQSSELSSRTPLHFRTMQTMSYFHLKR QPSIQEQQSPQNRGILGILCYDHQPAPSLLAEAINGTVLALVGLESRDALRDLHYPRP RPATLASGDEPAPPSRAGRRRQQEEEEAEEEEEEEDDNDDDDQLPLIPNPHSRTLSPR HSRLLGLVLLRGVDTARKELQLLTPLDVEDVLAGSGRDLVLVAGRFDTPTWAYSEWLC RSRAKGAAGASEAAGSGSETESESESEGEGESEGEGDGEGGGEGQGQRAGEDQAQVVV VVGKGDGRQGGEEVPWVEALHGSQKRAVGSKVWRVRRDLGRN MYCTH_2308677 MAGPRAPIGTLSQHAAAAAGLVRGMVPKMFGRTDPKQARKTEEI TESDSTSDSDSDSSTHSDSETDEAVREDTKNWADKLKAKKAGSTPTPASKPVKAAPVS AASPNAKSSSRATKSDIKKSSSSDSSASESDSDSDSESGSDEGDDKMAVDPKSDSEVV SKKTKNGGPKVKEEVQSGSDSESSDEEMGDSAPTEKAAPSPSSSETSASEDESDDETP APATSLTHKKDAAARAVSSSDESASESESESESESESESESEVESESESEVEPKSTTK AEKKTQDESESAGESDGGSDSDSDSGSDEGTKHPQKSLAKRPQSPRPTAKQAASAKQP VPTKSAKSSAAINGAAKSKEFVSESDSSSDAESGDDSDSEGTAQSTTVEKQSGKNSVQ APPREIISQGFHLRKAEEDVDAAEVARAFKKAKAEGKQIWYFTTPKSVPIEVIQKHVI PLDKVHAGKAIFAHDGAEYTGHFEEPVNHAIKVLIPGKTGAKYETLNHSVDRVLHITR VTRLGEEGADESVPATTSVSSPAPVSQGPRPQPKGLKARYQPFGVTKVSSSALGMDAS DNEDVEMAQAPPLTAKSDTPKAAKKRKHGDVDKGANKEESTSTPANKPKKARVDNSDT KASKDTPVVHPSVASASTKTTEQTSPSKKKSKGKDKAKKDGPASSETLTGESKKPTKV TPILPPAIPGVTSP MYCTH_2308679 MEAVQEFPAMLAQAPAMKPKLLPLRWPSTLAQLNPIPHKYRSAR KLRLHLRVSRGQEHAGDITRLQTSFDIKRSLRALRTRKWTLWDLQHLVTLGYILFSLA ILPPAPLIKIGVLLVLGLLLLMPITQQFFLPSLPIWTYLLYFFASRFIAPEYRPHIWV KVLPALENILYGANLSNILSAHTHAVLDVLAWLPYGIGHFALPAICSAFMFLFAAPGT TPVFARAFGYMSMLGVTIQLIFPCTPPWYEKLHGLEPAHYGMQGSPAGLRRVDELFGV DMYTTSFTTAPLPFGAFPSLHAADAILEALFMQYCFPRFRPFFIFYAVWISWSTMYLN HHYAIDLVGGGIFAASAYYIARTKFLPRPQLDKTTRWEYEYVEFGDRPRVIDEEYGLG RGLLSTRRPGSSDSDEWTLGSSSSLDSMSRGDTLCGSSNSTPGILSPTTPTDDHYDVW SKVRLAQPQEGDACEIFVAR MYCTH_2308681 MSTVAAQAAGERQQPQIQPCRYKVGKVLGAGSYSVVKECIHIDT GRYYAAKVINKRLMAGREHMVRNEIAVLKKVSMGHQNILTLVDYFETMNNLYLVTDLA LGGELFDRICRKGSYYEADAADLIRAVLSAVAYLHDHGIVHRDLKPENLLFRTPEDNA DLLIADFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKIGHGKPVDLWALGVITYFLL CGYTPFDRDSDFEEMQAILNADYSFTPLEYWRGVSDSAKDFIRRCLTIDPAKRMTAHE ALQHPFVAGWARVGEGDDKGTNLLPTVKKNFNARRTLHAAIDTVRAINKLREGQAAGF MNGVRSREPARAAPPSSGNGNTTNNNNSNQNNRDQKSSQNENAPQPRNHDSGIEIGQA QEGQSKDGGYDTQTAQASQGSATGADASTADGDVRMDDWPAPHSQQAGQQAPEGRGHL PPAHAVPASLRPGNEANRVVETSKGLWNGSGSRR MYCTH_2308682 MPAQKTVDSRIPTLIRNGLQEKKRSFFVVVGDRSKDAIVHLYYI MSSMDVRQNKSVLWAYKNKLLGFTSHRKKRENKIKKEIKRGIREPNSEDPFELFISLH DIRYVYYKETDKILGNTYGMCILQDFEAITPNILARTIETVEGGGLVVLLLKGMSSLK QLYTLSMDVHSRYRTEAHDDVVARFNERFILSLGSCESCLVIDDELNVLPISGGKGVK PLPPPDEDEPKSAAAQELEKMKEALQDTQPIGSLVKLARTTDQAKALLTFVDAIAEKT LRNTVTLTAARGRGKSAAMGVAIAAAVAYGYSNIFITSPSPENLKTLFEFVFKGFDAL DYKDHADYSIIQSTNPDFNKAIVRVNIHRNHRQTIQYIRPQDSHVLGQAELVVIDEAA AIPLPLVKKLMGPYLVFMASTISGYEGTGRSLSLKLIKQLREQSRAGANSNGSGSVEI DRSSGRATKETTSVGGRSLKEITLSEPIRYAQGDKVEKWLNMLLCLDATLPKSKLSTQ GCPDPSQCELLHVNRDTLFSFHPVSEKFLQQMVALYVASHYKNSPNDLQLMSDAPAHE LFVLTGPIVEGRLPEPLCVIQVSLEGKISKESILKSLSRGQQPAGDLIPWLVSQQFQD DEFASLSGARVVRIATNPDYMSMGYGSKALQLLIDYYEGKFADLSEEGSSTVPQSIPR VTDAELAQASLFDEIKVRDMNELPPLFAKLAERRPEKLDYVGVSYGLTQPLHKFWKRA SFAPVYLRQTANELTGEHTCVMIRPLQDGNDPSWLGAFANDFHRRFLSLLSYKFREFP SILALTIEESASSGAQLDPSATPTEITKSDLDALFTPFDLKRLESYANGLLDYHVVLD LMPTIAQLYFSGRLKSSAKLSGLQQAILLAIGMQRKEVETVAEELSLPASQVLAIFIK IMRKITSHLNSLVAGAVEAEMPDANKVGVSRENATGIHDDEVIDTKYTPLETRLEDEL EEGGDEALSELRAKQRELIDSLPLDQYEIEGDDAAWEEAERRVQRAAKSGKGAPMVSV KTKAKRKVEETDGHEEKGGDKGHSKSKKAKREKKK MYCTH_2065824 MLAHHVLQSPANLVSRWPWNAWTIIPGSRRFVHRALDATFDQEQ LAEARKWHQSFQLSSLPEGNTSFSRSSGPGGQHVNKTETKATTTWPVPQLLGFLPKLL HAGVRESKYYSRRSDCLTIQAQTHRSRSANADENRRKLFEELQELYRKTVPGESSPET AKKYEALKKSANEARVKAKKMQKSKKAFRRGFDD MYCTH_2308686 MEPNPGPSEISDTIVVGGDYSDESHDESDESIDYGEGEEGQEQE KVEPEAANDDYAKTFDSPTAQEDLNEAEEVQPDVSLASESMTSPPAPDPAAVPSDHPP THSPAVSRINGEVQSSGDPSPSEQANRSEVPSDPSPTAPNTIPSSAAPTSATAAEEPG KESPAAPAPDAAPPTAASAAPASTDEDDAAAVDIQKLVDDITARAAATASPPNAPAQA TPVSTQAPTASLPVSHPPSLPPKPSLPNPPANLPAIPPAHYSFQSRGHNAPPAPAMPM TLASSGASHGAHAANEGVSSLPAPPPGSFGAPPAHHLPHSGDASGNYHGSSIKQLWEQ FLADEKRYTSEAKWERFPEGSRIFIGESTIAAPCHGPLAHHGSGNLSSERVSKREVFD VFHRFGRLAQISLKSAYGFVQYHTVAEGQAAMQGAQGIELGGRRIHLEVSRTQKKKDD RDRSPDRRGPRGSYGSERFDAGDRGWKRDDYRPGRSPSPRRGDPRSSRDGHYSRDREF GSYQRRRSRSPARFGRYGDDSYRRRSPSPHRRAPSDGDRFDFPRRFGADVPDVQILLL QEVSRDFVGWVQRAFHNKGLKTDVMYLNPRFPRETVVQRQVVEGVHAIVDLDYGAQTK GKIPIQVFIRSGGSSVRFELYQDVDPPIAAELVMREKSQSAAHLGQPPAPYAPNGYGH PPPAQAPPAGYPYPYPQHAVPPAQPPAAPAPDLASVVGNLDNSALQALLASLQTPQAG APQTTYPGVAPAAPQAPQIDVNALLNNLRSAAAAQPAPPPASYGAAPAYGAPTAPGSY GGVDPAQQVQTIMEQLKRAAH MYCTH_2308690 MTMKGSTLLALALGFGAHAQFPPKREGITVIESKFYKNVSISFK EPGICETTPGVKSYSGYVHLPPNLIEGADQDYPINTFFWFFEARKDPANAPLAIWLNG GPGGSSMMGLLEENGPCFVGPDSKTTYLNRWSWNNEANMLYIDQPVQTGFSYDVLTNV TVQLDVDDPSEPIITPTNFTDGHIPRTNNTFRIGTVGSQKASQVTNSTELSAHAMWHF LQTWLFEFPHYRSDDGRISLWAESYGGTYGPAFFRFFQQQNERIADGQLEGRYLHLDT LGIINGAVDWPILAESLIDYPYNNSYGIQFYNDTFHAALKHNWTRPSGWREQMQACTE SLASSSSSSSPPAAGCEAVRSVLDDVLAAAFPRQSGRAPFDLAHPRADPFPPPHPHGF LARADVQAALGVPVNHTAVSLPVNRAFDATFDPLRGGQLDALAGLLDRRAGGGVKVHL VYGDRDPSCNWAGGEKVSLAVPWSRRDVFAAAGYAPLVVVSGKGGGDGGNTGGGNTGG GEEEVVVVRGLTRQVGRFSFTRVFQAGHEVPSYQPQAGYEIFRRAMAGLDLPTGRVRA GDDFVTAGLRDAWAVKNAAPDMVEPRCYVLKPESCEPEVWKTVVDGTAIVKDWFVVGS TGGEGRGVEGGIDGDEL MYCTH_2308691 MRRGALLSNALRANASPPSKPRAPSRALVPTCCYPKDLVVATPR QCQRRRNHTSTSPEQHRRQHDPGAATVVAAQATSTTTAAATTATTTATTTIPTAGITA GITAAAPHHDVLAPSAAAANPPPTTRPPPLHLPSKPDPAPPLFSRPGLSYLYATGVAY LKFYRTALRHVVFTNTRLLYPSSSSSSSSSSHSPPSPASPDDPQSATPGEVPGADRRP PPPLPGTRAHLHLRLRWRHDIRRLPLFALILLVCGELTPLAVLALPRAVPLACRIPRQ VEGLLRTAESRRAEGRAEAARWMVAVAESSSSKSGRGEEEEEDAEVPVEVMAKVLGLT VRPWTPAFVLRPRVLRRLRFLAVDDALLIRAGGAAALVGDEVRLACADRGIDVLGRGE AELREALARWLRLTDATRLGGEGRERAVRRLLLVRDSEWQEAKREEDVA MYCTH_2308692 MLMMRAPSSQGSLAFLRHLALRILFLRGSKAKFAVAGLLLVLLV SASLAYRFFCLEDDFYAPTRIFGRHPYANYNHHHHQHRHQQHHQHHQHHNNDDEDDDD NGDGRHDDVGGWWAEFFGRLESTRVTAGPVRVGEPGPSINWAPGINATRPDLIELSED DVARFRASHAGFVDQLAEFASHLPYEADTTGIVTTTGVATFGQAVSLVLMARRAGSRL PIQIFLDSSSPWVDRLCAETMPRFDARCVSLEDTWGGVRGPVPELVRFQWKVVSIVGS SFQNVLFLDADCLPVRSPDAIFDRRSEPFASAGLVTWPDFWVTNTSPLFYRIAGDLDV PPVTARTSPESGMMAYDKARHADTLLLAAYYNYNGPDHYYPIFSQRGAGEGDRESFLQ AALVLQALRKKGAYRPPTAWMRPGVGVRKGYYDVKELPFVHGRSAKQAWRGMFMMQQD PMADYRAFTAVLEGEEEEEARRRKNGTATGTDGRQQELSPDSGTGTGSGSAVMTVIRE KKVEGKKKKKEKKKKNGEEEEEEELDEDAFLTDTTALDRFGDLTPALERQKEKGGGGS ERRRREHVMFFHHNGVNPDFTRVLDPESGLVETDEEGRYVRLWGDPGWIADCLGRDAE KLLWEDTMAVYCQPGLVARFERLRRVCAHMRDIHQQLYV MYCTH_2308694 METFDCVVVGAGWYGLGAAKQYRFTNPGSSLVVFDGQSTLGGTW AAERLYPGLRSNNLLGTYEYPDFPMSTARFKVPVGSHIPGEAIHEYLKAYAAEFGIAD HIRLRTRVLSAEHVDEAGGGWILTVASTGTGTGTGDDQGQQQTRVKARRLIVATGLTS EAFLPHFDGQETFGGRVFHGKHFQQNRDTLKTAKSAVVFGGTKSAWDAAYAYATAGVE THMVIRSSGHGPCWMSPPYVTPLKRWIEKLANIRALTWFSPCVWGSADGYVRIRNFLH GTTLGRKIVDIFWSILGGDVKSLNRFDSHPETAKLKPWLDAMFAGTSFSILNYETDFF QLVRDGKIRVHISEITRLSPGKVHLSDGVQLAADALLVNTGWKQVPPIRFLPEGIDKE LGLPHIPDGYDNSNSNGNSAPGVTDDLAGEQGAIQQADSQILERFPRLRKQPVWNRAY TPLTERAGVGTAEAVTPSTPLAPFVLHRFMVPPSARFLRTRDVAFVGMVSNFSNVITA HLQGLWVGAYFRGLLPLAGPAAGLNGDGGDGDGGDGGGDDLEALRREALLHNRFGRWR YPVDWGSSRAPSFIFDAVPYFDLLLRDLGLETYRKGGVLAEVLSPYGPEDYQTVNEEW AAKVQAAL MYCTH_2308696 MAPPVAVLTDKAPKPIPQLSQAVKYNGMVYCSGSLGVDPKTSKF VEGSVKDRTRQALKNLSAVLEAAGSSLKNVVKVNVFLTDMSNFTAMNEVYDEFFVWEP KPCRTCVAVHQLPFNSDVEIECTAFESVKARL MYCTH_2308697 MARVTTTLLCLHAALYLNFFVQTGRLHRLGDPVVLSGVMAFGGL LLLVSAALRPVRRFSYRLFFIIHVIAGIIVPLQLLIHAAPARMFLFEALAVFFLDLAS RKLDTVTGYATVESISDTNLVRISTTVPRSKVSRYRTRPGSHIYLSIPAAACKAMGAA SASRLLYGFLFNPFTVASVDEKNGEVTLVARHCGGPMTTALRRLARATKPRNPDRSTD EDKVLLSIEGPYGAAGRLSQLCADFDRVLLVAGGIGATFTLPLYRAILENNPGAKVEM VWAIRSAGDATWAATGKEAQTLIKDDNIHLFITGETSAAGGAEARRQTSAISRTNGEA DGEVELIAMYSDGRRGRYASQNNRKRPDLQKIVDNLFKHGQDERVAVLVCGPDDMARE LRAHLGVWVKKGRSIWYHKEGFGF MYCTH_2308699 MRIRLPFAGVFVLLILLAGYAGLSSLQLDETTLPINDKVLHLLT FFVLTIVFYWVIDTTRRRTAHLTLVVCTAGLGIGSEFIQAVLPNGRSFDLFDIVANLV GSLAGLGLCSWYHKRMLERKRRRKYAAVPSGEAGGAEDLELGEGPGIGAASGEHEEGV ITMSGAAGAGGGQRATTLEEEVDNWDENAVDAWDEDDAGDVGVSAPASGKNAETGGDN AGAKKRSD MYCTH_55909 MSMTNGQPAWHTPNSSQANGSRMPNGVVDDGPTQTPSDNPLALM PEASDPDDDHRRAHFANLFRRAEERLALLFGDNGEYNTAGLEALKRPPTPPAALLPPP ATDHTPAQEPPRKKAKRVIDEDDYGDDDEDEDESRESGPKPETVQSKHANDDGAKTLL SPAKSGTPVPSSPWPGKQGDKSRQDGSRTQAKSSEDARKELEEARNATELAAKQSFHT LIYTLENDRTAMLEQQQLEESEKQLQAEMDKSNNNNTSQPGQNHGSLSNTNLGASSLT LKHLIARIDMKRDQVRASDAELRSLMNEVRKNRSKWASEENVGQEELYEALEKVLSEL KAHTEYSTPFLNRVNKRDAPDYYNFIKQPMDLGTMTKKLKGLQYKSKAEFVYDLNLIW DNCLKYNQDMGHPLRRMANGMRKEAEKLIPLIPDIVIRPRAEVEAEERRKQNGGEDDA GDDSDDEPIMSSRGRKAGTKGANKSRKAPSDQKEGKEGTPNVEQKPLLQVNGILAKAQ REGSEVDSSTGLGTPPIGGSLTPSGANGQSGVSNADAMDIDGPSLDGMALGQALGEAA EQVYEDEAYKIWKQVTKKDRALIAKERYQLFAGNKLNPDEPALLRSKAGMRRFLKSRR EAEVLGIIHGSHLDASATGSSDGAKAPETLAEGMEEEGERTVPSYYEPQTIIPDIDPK LQWVEDGEGQVINHFEDMLRLIPPGHFTAPESRLTNKIDANIRQMQETRKLCSKIGVI KQMQIQTHVYTNQFPKYNPEPFVEADIEPSFIAGEGPVMAPETCRSAMQRSVAKIFYH AGFEELQPSALDTITDIAGDYFQKLVRTFNVYREVEKKPATGVFAERGARTQPRFTPE EVILHTLNENGHDLDSLESYARDEVDRLGNKLGQIHERMKAHLADLLRPALTDAGPDG SGAFNDGSEQFIGGDFAEELGEDFFGFKALGLDKDLGLETLSVPLHLLQSRVRSQYQM QTQTVGGTTAADLFDALPPLEPVTRESIENEIGLVRNFFLAKLHANNNAPLVEDEDLP PKQRRARPRLGATGKIVSPQKRSPKEQLALAKKKKKLESGSAQVTDGANAAGANAAGN KAGSVSPEKKKTTTLNDAKTGPAPPLPLPPSSSQSSAPGGLAVPMQRQDTNTTDGGAS QATDKDEAGATGMMSPESLEQR MYCTH_2067613 MAASNAKSATYALSESHKQMLEKSLLESDPEKNEIQRQRESIIL IASENVTSRAVFDALGSPMSNKYSEGYPGARYYGGNQHIDQIELLCQKRALEAFNLDG AKWGVNVQCLSGSPANLQVYQALMPPHGRLMGLDLPHGGHLSHGYQTPQRKISAVSTY FETMPYRVDLETGIIDYDTLEKNAQLFRPKILVAGTSAYCRLIDYARMRKIADSVGAY LVVDIAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGVRSVDPKTGK ETLYDLEDKINFSVFPGHQGGPHNHTITALAVALKQAASPEFKEYQRKVVSNAKALEN KFKELGHKLVSDGTDSHMVLLDLRPFQLDGARVEAVLEQINIACNKNSVPGDKSALTP GGLRIGTPAMTSRGFGEADFERVAQYIDESIKICKEVQASLPKEANKLKDFKLKVASG EVARINELKKEISEWSLTFPLPVEGWRMDAGI MYCTH_54923 MASPAVAMAQVNGVSPLSRPDSPASINSSTKRKRESTDDNEADL NRGDPPKQPVNGVHASEGRKSLVRDFYRVLESNPSLLKRPLPDSLPDGEPSSKRAKSE DGTPISISDKVTQDVYEVLDDLVADVVHTADAQVKELETAGTPGDADVLAKTIALKTK ALELYRQELAYPNVPRPIPGKQTFGRQAPATSGLVLTAVGAAPTPRPLFTSLQRDAAK PLAEAALPPGVTATKVLSEANLSGDKNGRVPTLGELFPSPRNLPPLQPPKAPKTATKS NVLTFYHPELAEKSKYRSGSYFSSSISVGHWLDYSNATPTTHAKTKQRERAQSLAGIK PSTTELEVSELETLFRGAFSSFAPCKDDTGAIVPSGQLSRIWWQRVGRRNFAKIADAE EPEEESENGTAADSAVAVEIDEERVKEAIENWDETAIDPSLDHVLGKKSEQEKEVDDL LEEVSDLIETLASYQRNRNLTLPTSQDRYSADPVNGDMLRNGNLSHQPSEEEMATYEA LKAQLALIIQNLPPYAVARLNSDKLGELAVSTKLEIRSDEYRGVMEEDEPTRLARLAA QAATSNQRQAHRTPSVSGPYANHQYQTQFTPSVRPVGTTQHFPQTPSRPQGNVYQRAP SSVPISQPHQQVQPRAAPGTQFRPMYAPQLAKAQGPYGHSNMPHQFAGTPSQPRMQQH ANYNMGQPGTPSHRFPQGYPAGYQQQQIQPHPQHAQHSPHPQHSPHPPPMAHPQQPQS PTPPQQHQQIVRPPYGSPGPGIPPNAVPRQYAAGSPGPGMMPAGGGGNGAGAGRSNLT GFATVMPEVQQRQLMEQARARADAEQRASGHMGKVTQGEVVGLAGIGLAGHMDVHKVA AAKMQMGNSANNNGHSVSPSPKVAMGATMQGHGQGGINGAAAVGTGPASGTGGPMPAQ AAPVPGTAGLPPQQGKPVA MYCTH_2308710 MRPLLPNWGSAARSASFSVSSTTSRPRPSQRHFHPTPAAWIPRR RNFFTSNVFLQQDGSEPATRSVAPRIADDTITASQASQKRRKLPLKNSLHRVAIVAQK GVPAKQPPPATDTKKSGAEGSSTISAVCVAESFDMDKVEDILKNHGFSLDPDGSGFDA HEVVHARGFNTGDIFVFPSGTVVTWGLPPDVVTTLATKHLLPAAEMPFVEDREEEDLE FVIDPEQEQSRVSGDVVVLGTRREVEAGDRLETTLAKIAFSSGLARSTKLAVLESSLT RYLESTRHIPERLSQGLDAPLSRGMILQKAGELLNLRSQLNHYNDLTDALPDIFWDTE EKLETYYAKIGKALDVGVRIKTLNDKMTYAQEVVGVAQGVLDISEKMSSEAHSTRLEW IIIILIAIEVVFELRRLYMERYDVFHDELLAELRALRADLQKQETTTEQRTQADSN MYCTH_2308711 MEPDIRVEDYLDDKLQSTTDFEHLDTLISSVEYQRSQLQSQLDD ATRELDEARRSSADRSAALVARIDEFQKLQKSIDVRLRIIAESDAPDEAIRRLEPPME QLRNVDLAYRYLLLLQDVEALCQSARSHLPQDPKAALEPYTRLKQLAMRLKELQRQAD GAAVHLVTHVAAAADKLWDEMKQTMWDELEAVLAKRGWPSVDPDSDADEEWLRCFEKL LDLQVPEVLYSPTTVSLLPIDVMAQIFVKEFRFHFLSDKPTSNPQLITAHCFPWFLAL VEKWEDFLRDNFGSILASKFGETEAARQMVYMDPVCAFITSMLPVMREKIALTIAETS GDTVFLSSLLSQLMTFDERLRSGFAYDGGDTEEGWAGLTSEVLSQHFRTWLEAEKKFA LERYQTIMSTPDARNIDYDFAAAGKTKPTFAAVRVTDLLRSVTAQYERVRRFSHKLRF LVDIQLTILDEYHDQLRGTLEAYLSITSTVGRAFGVTKEQLAALEGTGALETLCKVYG SADHIVNALRDWSNEEFFVTLWEELQSRARAAEDQSNLAGGMSYDHVRDKTSAAVGKE EDGGVLFDETIAAYSQRRKRAEEFLSEALVESQRKAFKAYLHRPQWSTIADDASGDLT VTPELDEPLRVSCLPGFSLTSSQRR MYCTH_2315933 MADSAADMEGHSSSSSSAVQTAKDLFSGAAGGVAQVLIGQPFDI VKVRLQTSQAYPSALAAATSIYRNEGPLAFYKGTLTPLLGIGACVSIQFGAFHAARRW LEQRKAGTAGTGAAAPQLGYGEYYAAGAFAGVANTVLSSPIEHVRIRLQTQPHGAARL YSGPWDCVRQLSARRGGGGVARGLYRGSAVTVLREAQAYGVWFLTFEWLMNSDAARNG IERKDIANWKVALYGGLAGEALWLGSYPFDVVKSKMQTDGFGPDQRYKTMRDCFAQTW RAEGMRGFWKGIGPTLLRAMPVSAGTFAVVEMTMRAIN MYCTH_2308715 MYEDSWYSFVPELSQHQPAFVVQTAGHRRKQSLSQQPNNTADDA GAVEPLPTLFEDQKDAASPPEPTLSRRAKSYSDFYDIVRAQLAVHAPKKKRKRRRSDR SWEALAVPDSAAASLPEEEEDYNGTLGKELIRASQQEYWFVGQPGARCRPRGPLLTSL SRLYHDELAMTERHLGTLVADADKALKVLESLCHSFRAVDDQTSSFQAQCDGLLAEKK RLEALAEAVGTDLQYYTYLDSATRRLNAPGAGRLVEGGSFAEILSTLDSCIEFMTRNV SQSHPSGITHERADMAQSSYRDAESYLARYQALLTKALHLLEVGFVNHLNKVSTEISR QVGATQSESARHALAYGRFEEMVLESYSLIPNVQAVVRKAYDQDGQPSSTPNADIYAN TANNLFHSYWAARERDFKPIIQHDLDVFRAEANDSIETASRNFVKQSFERSDSEATLF RNIFSIDPHYSTDAQSAFAVLKSQRTVLTGANVAPIAASLQAVLQGSDLQTICNLVGW ITSEYLLPEYDEDETPFVGRCRELAARLLAEHLWAFTDAAFEAEIAKSITRAVVPPEA LKIGPVTNGDMASNAFPPVKRALELLVLFDQSMPKERCQRNSPVVFRIIKESIASLQR AEGRLKSAAAAAAAAAKPSPGSTDPDLFMIKNLLIFKNELMTLEIGDVRGNQATSSSA FLGAGSGNMQHFTQIWDTLRPHNLLGGLLSSFGSLSTYIPGSSLWSSSPASAAGAAGG GRVGAPAVGGTPRVGTTAPVAVDDAHEQLDGLLRQSIVAFTRRWAGVLNDARGVGGGS SSSKLGGKNVGKVERELEETLERAFSGQPEVIAKLKEAVQIEAEGQARVLGEKKSYVT RV MYCTH_2308717 MFKTASPFLTRTAFRQPSSLRTLAATSAQCARYSSSTGPTQRVS ARAVGLLTVAVASGAGTLWAYPRLFGEPQPAGPAQPEAAEIVYEKPRKKAADKEESRE LVSPQHVQVKRSWEHPGVYAWGSNAGKVVAPDSDETVIKTPRRIPYFDGKLLRDLKLD RDFGVAVTEEGDLVQWGTAFSKDAVTPTVTLKGKDLSKIAVSRDRIIALSSNGSVYSI PVAKSDQESGEKPTSKSWLPFWSSEASMSYRLLTPPSLGWGEKVIDVKSGQEHCLLLT SKGRVFSAASSSEEFPSKGQLGVPGLTWHTRPAGPYDQPHEVKALSNIKAIAAGAFHS LALDDRGRAFSFGDNSSGQLGFETDIAAIVDKPSLLPVHKLYSGTGLVPKVTSIGAGG HNSYFTVDATKTQGQDAQEVGRTVADTWACGAGIYGGLGTGKWTHVSAVPTKIKALSN LYEYDEATNRVTPIRLARLAVGSTHACAVLDNLTRLTAPRSSTSGSDTDTNFGADVLW WGGNEHYQLGTGKRNNVSVPVYIAPLDGGRAGDADKSGVGEPPRFQLTPRTTVRLGEG GKGRKASVEQRIECGRFVTAVYSGA MYCTH_2308718 MTNLPRFHRRKKDVAPPTLITSNLPGKSTPSSADLPPSSPKRSF QKLSRFRVFHRSSGKRARDSPPASLPHSPAGAPVPPADYTDGRPVSPLSLKMEAASDE VQKPAKQYKMPAFLDLSDEEIENRFSELVWRERNRLMLSVTNPSPDFRWARVTGPHLK TLDRYLNIQPWHNNRIKLRVPEGHVDYVNASPIVLEPLPCPTPDGRPGPVREPDRYIA MQGPKQASMDHVWRMLVEQLESPGVVVMLTETHEGHLEKCFPYFPRSKDDPPVEVNER DEFGDGFRATVRCEGMEETPAGDAIELRKLVIRVHPRPRTPAQSEPSQGNSSSPTESS SQQTAATTETNEVSPTDQQQERDQQPNASGEEGSYEEKIIYHFLYKNWPDFGVPSLDD LDSFFTLMRLSREKNASPRNPRVVHCSAGVGRSGTFIALEYLMRELEAGVLEDWDERA AGAGAGAGSKTTPSPGKKVDEKEEEEEEEEAVEEELDGGEEGRERSSESGSGETEGPE LQPQGQGPDLIFETVNSLREQRRAMVQAELQYLFIYRVLRQLWIEKYGPPQADGQEEG EEGEGGGGKEVMPGADGKGDGDGERAAKRLEVDPSTEQ MYCTH_2308721 MVTEGLPVPCSICDEAPPGPASSRDKSDPKAPSSGESSHVAAIT EALRRINFSEAQRSSSEPVTEQEAQSRRASIRQAARAAAASTTGSAIETPPQSPCLAP GPGAQQQKPRQDSGFRRTYDEYVTRRAGPCDNCALTLPKRQENGKDVSTGSRPDRGPT LRTRAPYARVFTGVDNHMSPPTSRSSSSAPSEDESGNQQRPPSHRRTGTGLSVTSRSS GTSDSASANSHMHFLDYTSTHEPLAPESFSLVRASCLRTLSLETLPRAPATSPAAAGA TMPTPSGSPKSPAYVTTHSIGSAASGGSIFFGDRKVGYTTAYIFRVPDVHARGHKRVY AFLALSTHPEPTAVKTFTFLSSAFRDMASWIQELAEAEAERALAESTGSSGNSPVAPG PGYGSFHSEPVAPPVSGGNSSFLTGGIGGLSRRMGGGFGGSGVALKQRGLAELVGLPD FFIELHAKFVRLLLEIGVMVGS MYCTH_2095260 MGDVLVENATNSTQPLKKNTTSTIPSIENFEGVPTEGGDEYATL KRLQRQLEYIQLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTGI VQSSTGSNYVVRILSTLDRELLKPSSSVALHRHSNALVDILPPEADSSIAMLNADEKP DVTYADVGGLDMQKQEIREAVELPLTHFDLYKQIGIDPPRGVLLYGPPGTGKTMLVKA VANSTTANFIRVVGSEFVQKYLGEGPRMVRDVFRMARENAPAIIFIDEIDAIATKRFD AQTGADREVQRILLELLNQMDGFDQTANVKVIMATNRADTLDPALLRPGRLDRKIEFP SLRDRRERRLIFTTIASKMSLAPEVDLDSLIVRNDPLSGAVIAAIMQEAGLRAVRKNR YNIIQSDLEDAYSSQVKGSSDENKFDFYK MYCTH_2144787 MENNSTSITIPEQRHRAVHAEAGSSPSSYSSSPSSSPEPSTKAA QKRKLLHTRRPSLLSSAFTQQECTTIKIGDDPEGPMRLITYLSSDQGFVWNPEIFVPS FCDYDYVPLEQRRDPVHEIYLSDEEIKKILPQ MYCTH_2315937 MAEPAPAPLELDPKYDDYDYPTTAPTPQSGHPGYLTPQQQAQVH QLRLLLESEGYKERLDTLTLLRFLRARKFDVELAKTMFIECEKWRQETKLDELLPTWE YPEKEEVFKYYPQYYHKTDKDGRPVYIEQLGGIDLTAMYKITTAERMLTNLAVEYERV ADPRLPACSRKAGTLLETCCTIMDFKGVGLAKAPQVYGYVKQASALSQNYYPERLGHL YLINTPWGFSTVWSVVKGWLDPVTVKKIHVLGSNYQKELLAQIPAENLPKQFGGTCEC EGGCHLSDMGPWREEQWARPAKWEKKKKEAEAAAKNEPAAAKEGTEADAGAPAAAEAA DAAKEDAAVPAAA MYCTH_2308733 MASTDKEAAARDATPSNPRGIPYAPFVDKVEDYVTSRADVEPTL RRFQEMIAKYQFMEQNLQRRVAGLKDKMPDIRKTLEAVRFLKLRTGESDPIETTFELN DTLYAKAEIPPTDEVYLWLGANVMLSYPIDEAEALLESKLQAAKQSLSNCEEDLDFLR EQITTMEVAVARVYNWDVVQKRKEKEEEEKGKGKNSQNSGS MYCTH_2308737 MKDAFLLTAAVLLGSAQGAVHKMKLQKIPLSEQLEAVPINTQLE HLGQKYMGLRPRESQADAIFKGMVADVKGNHPIPISNFMNAQYFSEITIGTPPQSFKV VLDTGSSNLWVPSVECGSIACYLHSKYDSSASSTYKKNGTSFEIRYGSGSLSGFVSQD TVSIGDITIQGQDFAEATSEPGLAFAFGRFDGILGLGYDRISVNGIVPPFYKMVEQKL IDEPVFAFYLADTNGQSEVVFGGVDHDKYKGKITTIPLRRKAYWEVDFDAISYGDDTA ELENTGIILDTGTSLIALPSQLAEMLNAQIGAKKSYTGQYTIDCNKRDSLKDVTFNLA GYNFTLGPYDYVLEVQGSCISTFMGMDFPAPTGPLAILGDAFLRRYYSIYDLGADTVG LAEAK MYCTH_2308740 MESSQDLKSLRDTVHSALVTVTRSVNALANEDLQFQRTVHPSVA TRLDQNTERILRLARGVLKSASNFTSQREPQLEDVDDVEIQWKGVVDVIDSLLEKSDT CLDEYTGLVKRKDAPTAELGRELKRSRSTTSRLDWSMKRANILKPQNAFERKIDNFDS GPWKPLMTSKPHARVPLETSLDTFVDEEGRTQYKHPYEQEITNMQYPEQVYRSCEPIK YLPMETTKAIWVDTYEGVLEMLQELKQATEIAVDLEHHDFRTYAGLLSLMQISTREKD WIVDTLVPWRHKLEVLNEVFADPKIVKVLHGAFMDVIWLQRDLGLYIVGLFDTFYASD TLGYAGKSLAFLLKKFADFDADKKYQLADWRIRPLPEEMFYYARSDTHFLLYIYDMLR NELAELASQNNPDGNPIDRVIQKSKEVSLQRYEHPVCDPETGAGNRGWYNTLIKSPTL YNGEQFAVYKAVHKWRDDVARQEDESPFFIMTQQVLSDIARIIPTDMKALWSLLESNA RGLKGRLEELFQVIQEARARGVNGPTMLQFFRELSSGASQTSLGVRKVAAAAAEDSEP LSIEELKCDRSQLWGDVALNSSLDGTSKARPIREEDMIPLYTFDFGAIKEELPETNQP SPRRVAEQDTKGLPVLEEEGFTLKAGRKRKSRDVDVESASEAEAVSDIEMGGDSSAGK DHPKSPSVEQEVEGGDDKAAKQAKKQAKKAAREEFKRAQLLAEQLAQNGDIKGAKELK AQARKALRAAKKAQKQQQQQQQQTSASAATSAADEQTSGEQEEGHDDDAEQEPFDYSK ASSVLHAAQATNGDDGDDDGGRKEVRGKFAPFDPYGMKSGDAPQGARKMNHVKGGRTA TFKK MYCTH_2308744 MPDNDGLMRVFWPADLPRSDLKGVVVGWRNSALDVFVLAILEEV ELRNVEFALKIGTLLRNAPHPVSRIYELCGQTSICVLGLSNTPDSVEIDPSWIRVTVG PKRRVPAITCPRASSIQLILFERPNPTRMQYISLNPIELALDDKHDSFRQDVSDGIED DDEKEERRKKEQQRQLVEKLKQHSIFKRVPSEKEKALAKIVNQINWSWELEKLLQKNV SRIGTRPKRSLSVSERVVESATTARDMMVVWMWDLFTVYVWPVIKRVFVMGLLAHRIA AEMLLRILEWRAQPRYAALKDISATAQQVEIRLQQFCYWPMQYVTLRLRKNDWESVTT SHPDYIRFYNSLWLVANDVIIGIALGSYIIDNAGWVAEEVNQLLSQYTVDALQSSISW LMGWPAGLKLNNELGAFLGDLFLWVIDYWSSFIEALRPYLPRIIWFIGFSSFAGASMP IALFSDLLSILTVHIYSFYLASARIYHWQLNILISLFHLFRGKKHNVLRNRIDSCDYD LDQLLVGTILFTLLFFLLPTVVVFYLNFAIARMVIISLKAVFDTMLSCLNHFPLFALM LRIKDPGRLPGGIRFELRDTQDFRSPITINSPTQKLPSTSVIYLKSVPLKFKAMFHQY FQMGQRIRKHYLSPRVLLCLLTGKFVPPLNRKNLYSLQYSMLPARRAGVMEMWNAVNS LPESKKRGAPVHVPILANGKTFPSNYGGGRSRGYG MYCTH_2308748 MSSQDPKERFYRQFQTSVTTIHEQINQLSSFASVGPERQDAVDH ILGGLSHLSKEVADATEFIPAHDLRIYSDTVKSLKDQLNEAQAKLMPKNRFQFRKRPE NSDAATAKPDTRRLDLTANTRSSTDVASAQTEAKDTIGALPASSSLPTSSKNYNAEIS RDDATAKGVGVRKPSFSAARDVHLSDHIRVHITLPASASRATSSGTLTDLHQCVVDMT LPTSSSTTGSGPGTPFASLTLKDIRSSAIVAGHVNGPVHVTGVRDSVVMVIARQVRIH ECRNVVFYLHCVSRPIVEDCTGVQFAKAPEIFLTEKEKKEANLYDQVDDFKWLKTFSS PNWSLLPDDQVVPEDVWKKALDGKPGTLIDDTLRMLGVEKGLGAGKET MYCTH_2144795 MATHLPAGLSPDAVDVVTELSSIITRLRAAQQSSSPSGANATGA TAASAGGGGNKPQGGVTGTTPLPTSVPTPNPSSSNNIITNSNTANAANAAAAASSSTA GAANPNPNPNQQSTTTGSGPGSGDKELLSVKDLPFATDNLKHKLQRARAAVRMLGGVR RALAQQEAEARALEERRANQAARLARTQEDGLLFVKAKREESEGVVVMGDVDVDDGGR GAGKEGDGNGQAGAGERMVE MYCTH_2315941 MAPEHKACLIVIDGWGIPSEDSPKDGDAIAAAETPVMDEFSKSA TGYTELEASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDVVRIDQSVKKGEFSSNDVV KKVLEASKNTNGRLHLCGLVSHGGVHSKQTHLYALLRAAKEVGVPKVFIHFFGDGRDT DPKSGAGYMEELLSTINEIGTGQIATVVGRYYAMDRDKRWERNEVALQGMVLGEGEQS DDPVKTVRERYEKGETDEFLKPIIVGGDEARIKDGDNVFFFNYRSDRVRQITQLLGDV DRSPLPDFPYPKVNLVTMTQYKLDYPFEIAFKPQHMGNVLAEWLGKQGVEQVHVAETE KYAHVTFFFNGGVEKVFPLETRDESQDLVPSNKSVPTYDKAPEMSADGVAEQVCKRLS EGRFPFVMNNFAPPDMVGHTGVYEAAIVGCAATDKAIGKIYESCKKNGYILFITADHG NAEEMKFPDGKPKTSHTTNKVPFIMANAPEGWSLKKEGGVLGDVAPTVLTAMGLPVPE EMTGTNLLVKA MYCTH_112204 MASTRVLASRLASQMATKAARPAARVSLANTSKRTLTVASKSSP LQAVKRQQMSSIINATTRQAFAVQRRAYSSEIAQAMVEVSKNIGMGSAAIGLTGAGIG IGLVFAALLNGVARNPALRGQLFSYAILGFAFVEAIGLFDLMVALMAKFT MYCTH_10609 RPDRNIDKVVLGDICFRAWYPSYYGKDVLGDSSGNSTKGGKETK SHGGGGGGGGAKTHGRRDRDHHPPMLDRLYVCPRCFKYSKELVTWWKHVRWCERRGYL PGRLIYTHPKGKRTVLVAAGPAPKQGRGSKRGSVGQRLVEQVVQDEGEWSIREVDGEQ DVLFCQNLSLFAKLFLDNKSVFFDVTGFNYFLLVYTPPPSAPSPAATSPTTANPEMRS VRPAPVRNRSQIVGFFSKEKMSWDNNNLACILVFPPWQRKGLGSLLMGVSYEISRREG VLGGPEKPISDLGKKGYKRFWAGEICRWILGLSGAEEKGNKEIVVDIEACSRATWIAP EDCLSVLREMGLAEDAGAGPPLGSKVQAPKRADESANPNGSGAFTTETASSSPDQVVQ RVRISQAAVREWVATNKISLERTCDPDGFVEGYALK MYCTH_2095291 MVKAVGAPQRQSSEEDAFDEILGETKEDAITSRNVLDDPLPCVN GTGEGGRPFEHPRTTPDRVFGTTAGRITSDAAPEKSRPLENTDHELPFLQLPTDPVKL KALPTGFSDMTEQEQQEELWIVHAEERLGKEWELEDPGKHAPYNHDYPPYSPSKVIGL LHRWRDRAWTAQQWRLARAIAGNRSLRLPVLTAQAFLRRHTLLARRRGVELKIERYLG GTKEWKARLAELESQTGVSEADIKQWLWILSPESGDAKIQRFLKSKCRKPLFLLQLLL AKDKKIHEPATFLGLLKFMRENYVLADRPQDELNHPAYKGQGRAVTWWHYLVFLYRLV WHCREGWPAAMPLLARLTADYIGTMRLDSKARALTGYQARSLVLNKSLRYFSWPARVR PIDHMEHNWAAQRHLLRLAATAEPPLVMDQNGYRAVRAVLIALRKTKGEARNADRSAQ TWPPYRRTLDGIDERRDPEDDLSRSAKAGILVRAAGYSDDVVDRALSALGGSTFGQAP TIQTRSLPPAFYSGRLASHNIFAEWAAQLRATRNAREAWIVFENPPEPGLRPTAQIYG EMFEKLYARPASESPAIRPGDVREAFPVYDGNLSEFEIARLTPPSPEELYDLMLLQDK LRPTGFCLAVLIRNSPSRATALRYLGDSPYKPCIQALRVPASRADAESLKALSELPLN IFNAWIAMLCRLHTRAPQEKSARPDSQPNAEGAHGGSIPEAITLATAFQAHNAKAAHH DRQPWHTIMQALAGRKILYSQRGAEFNVLETLMTFLRIYERTTASKGIDPVAFEALCL MIRKALKLMTFEKVEGGKMVPRPYMADTAVMEKLLWRAHRHAVKTFEMLTSPVPDERL EGADAPSGHAVGTINEEEEEDDDDDEMEEADDWATPGMLRFNVVGRPLHKYMLALACC GDHKGMVRLMDWLLDGWDQEYIREEAKTSHNLDYHYTIRTIAYFAEMGRELVEPAELD RLRQRLEDMRWEKGCTWFWPQEGWQGPTEEQALPELETDLTLADQGSVSSGSAAYEVC RSKHIRVDLPPSTLVHPKSQYQGYVPPLPPTPESDAVLSLLELAGSRKKKGFAEIELE SFSFYINSAVYPYEMRPLQHMATRIGHDQFYFDGVLRVGGTRHYVQNVEVSELPIGNY GTEHATVRGQIWARSRLNSKADVYYLLTQPSKEYDRFYAPFLWVADLAKHVVDFSAAM IDRGRPVQINSFKAHFMRWLRRTHGNSPEFRRWRAQHPSGDYRTSRIRVGDTISTPRD GETTDTKWRNMASKGAAKDDRWFGLVQRVHVANDGSRSFDVTWFYRPVETPCCMMKYP WPNELFLSDHCTCQEGHRSRVKEHEVLGVHNIDWFGSPEKGGGGEFFVRQTYLVEDRR WVTLQKAHMVCSHGREKLGCKTGDTVLAVLSKSPEANAEPYEVVKVFRQGDHQFVRLR RLLRRCQVDPQADTAPNELVYTDQLVVAKPDKVIGKCAIRFFRPTEQVPTPYDRGGTG NLFYITHRLVSGDDGGEKCVPFDGDFPTSLRQGFDPAQPVPRRLRGMDLFCGSGNFGR GLEEGGAVEMLWANDIWDRAIHTYMANSPEPKSIKPFLGSVDDLLRRALQGKYAENVP RPGEVEFISAGSPCPGFSLLTPDKTTLAQVKNQSLVASFASFVDFYRPKYGILENVVT IVQARHNRSQDVLSQLFCAIVGMGYQAQLVLGDAWSHGAPQGRSRVFLYFAAPGLHLP DAPLLSHSHYAGVNSRGLGEMCNGEPFVSRSFQPTPFKYVSAAEGTSDLPLIGDGKAE PAVAFPDHRVCAGLTPKLRHQIAAIPTHPYGMSFARAWHGGDGVMTLADRALFPADGA RVSPISQGWRRVRPGDVFQTVTTRSQPTDARAGTGLHWIDNRPLTVQEIRRAQGFPDQ EVLLGTLADQWKLVGNSVARQMALALGLRFREAWARSSATLEGREGSSKASASVGVSL EGTPVVDAGMVKRTGRRPSTPREIVDLTAAVEDEPRAVETDNEQTQCDFREIIDLTLA PDTTERASRRRGGSQELSANINTIRKRRLSQRQQAAPEARSSKVGRLESRESTPAPPR LTAPEPRPMPGGGNDVRARLADPRPVVPPSPAVEGEKPARAGPTIVRLWSPEELGSNE PGPNGDDLHQFTDY MYCTH_2308758 MRTTTLILHALSLVASADAGPLRNQSWRRAQALRIPRQAESGSE RVASNSTESASPSLPATITTTTDAECSDIPTLSATSSLSSLLTSIVFSESSTFVTPMI TVNPTSSSSERPLSGGLGSSTSSGTLLHSAVELSLTSTTTGRPVVTSFRQDPDASSTL SSLTAPESSDLLSSISATTGTLILGTGSGQVSSTSGFFLNSTATATTLGSATITGLPS SAALSSSSSSTAPVVTGSPNPDIPTVLEPPPPATTTVSPDVYQRNIEEARGYNKIFAT LTEQTACSQGQVACIAGGVATCAEGGTWDIAPCPELGTACLAMPMNTTDGAVLGCTDV TLAKQVLESIPDALLPGSSSTVSATASTTLSTSTGSSADALPTASSTGRRTRTSIVTV TSGIETVTVTVDPSTTAESSVPSTLSLSQSPSSETSTTSSSDTAVIQPITRTAVIVVG TETATITFTIDPNIHPTALPPSPDESTTSQGPPFHKSPPGSNFTVPSIVFTTVPHSTT TSSTTTSLSSSTSTRESLVVIPIESTTATTTTSATSVETPTETPTETEMEPPVSTTTT TMTSTPGSANGAVDGPVSVTVTQLVTVTEKERVTTTDTATVTTTVRLGSVVVVDSIMT R MYCTH_2308759 MTPTSARFATTPQSRRTTARAAAALHSRKDNGNAPFRTPTINRN SEEKPNGNATPSNATPSFLRRRTVSIGMSAAAAAASRTGLARIDEKKEEGAANDGGRT DEEKEEEEAWRKIGPLRLPRKLGFGRSLSSVVADLRKMEEEAFGDDEEALREMEMAGS SGGGGIDRPLTVDKTMRKRGAGTKDSQGAAVQLGRDPPGKADARGTTEPAVGLLSGFD EEGLYDSPDEEEQKGAQQQPLRQFKKRGQKRTTRLVKMRPTRTKRPTQANVEDESDEA EVDDMVPETQLDASSEPAAAAGDDGLRLSEVDSASDADFDAAAAAAADDDDEDEERGG KSKTKNSRSATAKNKDKDNKTNNGRSGSGDGDGKDKGEGVVRRAVRKVKATAHANFKR LKLRNSGAKGGPAHNSRFRRRR MYCTH_2308760 MDAGAAVDAPEDIQAQVLNATLAEIKTRRLGSADSDESRDRDRD CCVICLDAISDPCAALPCGHAHFDFLCLVSWLQEHPNCPLCKANVYKVRYADDQKAEA FYRVPNAPRTRNDGGGAQNNSTNSLDATIRRRRFASQSGLLLLRERERRPPRPPPTVD EAIQRRRYVYRHQLYSLHIGSNRISRYRPHPTPAQFASTPHLVSRARLWIRRELQVFS FLSSSSSSTNDEPDPASTATSTNREDRRRRNNAEFLLEYIIAILKTVDIQGSAGQAEA MLADFLGRDHARLLLHELRNWLRSPAVGLAAWDREVQYPEPGPDAPRDVVSRGDSEDG ENDNGDEGGSAAGEDEAGRWWRDRGGDHWVARRGAHASPVGKRKRRASGKPREGRVSR ARARGDTQGGG MYCTH_2144800 MPGKTPDKEPVENGGYRGGKDLKPKGKKASKKEGDDEMTVVVPP SKSSKQSSVPPPNDAEGDVAMDDAEKTAEGEAKVDPVAQTINDIKSNFALLDRAVALF DARFSLRALRSISSIRKRLTPDILAQAISETYASSSASANVARQMLLAIGRSDVPLGQ QLGPDMEVDSEPKPSSKNGAKKEAKEVIPEVDVFLGILTQVLLHDSKQHQQGFEFSQF LVDQIRSLNRRTLDSLAAKVYFYYSLFAEQIAPLPPSLQSPIVSIRPTLLAALRTAVL RKDVDTQATVIVLLLRNYLLTSHISQADLLVSHTQFPENAANNQVARFLYYLGRTRAI QLRYTEAHEHLTAATRKAPSSACALGFSITATKLLLVVELLMGDIPDRATFRQPNMET ALRPYFLLVQAVRVGNLGDFETIIAEHADAFRRDGTYTLILRLRQNVIKTGIRMMSLS YSRISLRDICIRLHLGSEESAEYIVAKAIRDGVIEATLDRERGFMKSKEVGDVYATRE PGEAFHDRIRACLALHDESVKAMRFPMNQHRLELKNAQEAREREREMAKEIQEGDLDE DDLGGEFEGM MYCTH_2308761 MMRGIAYLGEEEKIKYEKGLASLWKMHDTAPPGSPQQEDAKKKI AEFGRMLSQKLQQRRQAQQQQNQQQQQQQQQQQQQQQQQSLQQPGQAQSAQHGQQASA AQGGSGPSGSMANPPSQPPATAPQAAAAQARTLPPHIVSHLNEIQFQAPPNVQDKAKW LEEIKTKYARALWQMDNARSATKSIEQTLQENQALPPEERKKLEDRKAQLQKQYTDAI SFANLVRKQYGIGGNQRTAQNGASVAANQQRPQTAGAQGAVQPGPGVNNGAPGAAPAN SMQNSTAAVNAAIEAAKKQQLAAGRVPGAVNALPAQQGPHPQHQSQGPATPAQAQQSP VTQAPPAQPISSQPQSQQPHQIQHPAAPVKVEPGTQAALPTPLNTAIAAAASVGGIPS AGTPTQNSARLQTPQSATPTTANANIRPLTHAAAVNLASQRPGVIPAPPTTGPGSNQA PGLGVIGAAQQQGHSHAHPPQPQPTPQANLQSKLPIPKVLHEKAAQMPTPVPNIGGIG SAGRPTYSGGGGIGGGVMNQPALPKTPAYQLEGEGERILNKKKLDELVRQVCGGTAEG QEGNLLTPEVEESVLSMADSFVDNVLHQACRNAKERGSKVLEIRDIQLVLERTYNIRI PGYSSEELRTVRKIQPNSSWIKKMSAVQAAKVVPGKSDI MYCTH_2308764 MWPAATNSKISQNVGPSSPPETPRTASPVFGRKSSPRPEERSRK VPLRPMAPPPSTGRTAVNGLDFSHPPSIRPEQLSPRSTSSSSEPLSESEDSEVSEASN AAAGLSPSAATERRPAAAPPTPPARTGRVVTQSNFDVEELSDFDDNDNDRVDMVRPWA IEYAESDRSRSTSRTRPEIDQKMMYNLNNLNCSDDSDISLNEEEYHEFLMKRRAERRH KRMTSGSIGKRTISESIGSDTDREDIRSFLNAEQAGSSARRLRRRVGDRRSLQFQDPP PPRIDELDEPGSSEDEIFIGESLARELPYYEYVSMEVDSP MYCTH_2112126 MAAKQWLVRALFCQSLAQCLGAGLSSPTNAAGGPAGKGARTVGQ SLQEISLEPKPRWPDAVRRHSGSLGSNAPVPLTCPWTTTAASESCMGQTMVAVELSIV SATAQRSRRKLVVL MYCTH_2144803 MSLLSAHLEQISISCRGIDSLPFPPPKIFTNALLSKPDITSLIR DTEAHERALFSVPAPPPPTQQTASASASATAGRQNKAEAPNPSSRRKTVFNVTGGEVT TGPPSSSSRGAGLAARRNTAVAAVLGGDLHAQIVRRGGQQHQPGQPGADVDIEVLLHG AEKLCAVYALPGALERIPALRQKYAQQKATLAYYEGKVAEQQEALERMNLERALDEDE GEGQEEEK MYCTH_2315946 MTNFNIKIVSDAICPWCYVGKKRLERAIELYKRDVPGGANDSFN ISWHPFYLDPTLPKSGVDFNDHLAGKYGPERAAMIKAHLRAVGEAEGIKFSLQGRVGN TRDAHRLIQLAKTKSGDVQDRVISTLFQSHFEEDADITSQSVLVAVGEKAGLDKNEVR DWLNQGKGGEEVDREVEDAYRKGIHGVPNFTINGRYELNGAQDPQKFLEVFARAKKSS PDVSIESSKGPSC MYCTH_2308768 MATRFQLGWYRWVPFLGYHHVLMILIAVAIILLSLLLAGCSSSS PLIPDIFLLSLYYMRYTATPDTAQVDYNVHNAIADIVGDARLQARVGFFGICVSPDGG SWLCSNNATTLANEVSLDQDPLNLIWLASQFKDMIVFPYLLIIAIIFAFICLLLLATF PGWHEEEDSEGSEREVKPFPSRPVSQVALAIIFISSIFVLVSVLWQHTASVAASIIAQ DLANGSVRSGVGTSAMVMGWFSFTLLIIVTIGLLVMILSMQVLEHIMD MYCTH_2308771 MFRPRRHRVVLICAFVITVLLYHVSKNSQWDQPRETWRGKTSPN THPRPEPLPPPPPAPNPHQGPPPHVPPPHDPPPRASPLPDPEPIRIPQLKEADEEQGT YGLPTEPAAVVPERKPPTHHDGYHDAQAEDVGVLDPATPTSAVVHWQKPYEWFPVPEE SLIPLPTGNPKPIPSVQVSFAQESPAAKEKREQRLAKVRAEALHAWTGYKKYAWNHDE LMPVSNRSRDPFCGWAATLVDSLDTLWIMGLKDEFDEAVDAVRGIDFTTTPYREEIPV FETIIRYLGGLLGAYDVTGNDPRYRVLLDKAVELAEILMGVFDTPNRLPILFYNWKPD YAERPKRASTSASVAELGSMSMEFTRLAQLTGQNKYYDAIARITDAFEDLQNRENGTA IPGIFPERLDASGCNRTAPPPSSSSATPAGGAATVQHGTDDLKRALAGHHEAQSPEGS ALGTGIGSITTEFYGGPQKRTDGPRGGDQTSLNAKELPPNWDCAAQPLTGTSWGTGSY SMGGSQDSTYEYFPKQYLMLGGLEPKYRTMHEKTVDAVKEYLLFRPMAEGDPDILFSA KAYSSDGTADKLTYEWEVTHLTCFLGGMFGLGGKIFDRPEDVEIAKKLADGCVWAYEV MPAGVMPEYARVLPCKSRDDCHYDQAAWYTALDPRAEQREAEMEEYYTQLAEWKEQVE KLKKEDALRKQAEEPAREVESQSKPAAETAQYGDSGDFPAEPTENDKNKSPDGFNFRS DNQGISNNQQPMRSDKLVFPPAPMKPVTHKEYVAQRIENERIPPGFVTLTDKRYLLRP EAIESVWYMYRITGDPSWQEKGWRMFEAVIGATRTEAGHSAIRDVTTKDPGNAKDMED NMESFWLAETLKYFYLLFETPDVISLDNWVLNTEAHPFKRPT MYCTH_2308773 MYQNGQRDTRPFQVPPPPPPMSPPPPVGISNMMAIPPPPPRYPS APTTGGNVLLPPPPGPPPNTTFPSSAIGPPSALGNAPWHGQWGRAYDGRTAFNVPPPP PVVGNTGLQAYNPMSHAQTHAQAIAAANSTTMSSGQTNLTVPPPPPPSEQMSATYIPT KDTYGEGVGIPGLWIPDDGTHSGQTGMDSAAATPLEETSGRDGLYAAAMGAKARPNTS GGTSSVPAELAAQWPLERVLAWLRANNFSKDWQETFELLDIHGAKFLELGSSHGGRGN FGMMHQQVYPMLARVCPKNGGVWDQPREREEGKRMRRLIRSVVTGRPPDPSMVMASHA RKASSSAVGVPSTANESAESPNTPIKAPGPGFSGRRFSQSRSATMPTSNNTMSSDSSN HRSLMKNIDTAIARRHSPNPSESGEVGSLRESNLLGRDSPGGSPVPQSGLLPPSSTAG NVSASPHRSSFGHRSRNSTDSISSNAAIYGSGVPPDAAALLKNGVSLGDLVNAPRGSD EHGQRSGQDGNRHSPQDTGDRSAGTDPPGSAKGSSFFSSIFKGLKKPDDHDSPTSPSV CKYCRHSNAGENSQERPASKRAGSGRVLILATADCWNYRMVDVTDVGTPSELRQLICI NLGLPSSDGAQIYLTELGRFEHDEEPLDDAKLLSTKTHVGDSVGSLKFFVRPSMFQNG SPATTSFGNGPVAVEEGDVNAKDGRQRSGSSAATSKQEPLEGRERDDKMLTAEAIQYR AEQLRKQEEYLAKRRQMIDAKESSPSAETPYGIVGRTVNFDVPRVSPYEDRKVDTLFP QRKAPAPPENPSATLLRAESRRKNHGTRLSQDSNTSGGRPKRASSDLREEVAFEKQRK RPGMPNPEDGIHGLLVGMGERMAGIGRPTPSGHRGLSPHRVSSMPVTNTEANAQARVP SPGDVSPSSREPSHALATTTSEKRKSHGPDTDFQGNDVRFSQASTLHENSNENRNAAG DDSGDDSDDGLFAVPLSARNNATSRKTGASAEGGESDGSGKRPSLRVNTKRSRKAVSV AFQSPQSSGDSKTPADGEEDGANGRSSQIDDPEVKLSRRKSFIEKDVWANRPPTDALI NNLEDFFPNVDVDQPVLEDGEGPSPIDEADESEQQNAGASSSVPPIPPLPAGLASGAG RAGSTYNESDTLGSDESTLKALDSRPTSMQTLAGRSVRRSGGLGRMKSIREVARGAHE ASKRYTQASGPLNSRAGTGPGDRNTNLMRRKSTKMFNANIVQIQPERGSLNMALSQST IPQDNLPTQQGNRDNIPKRQTTFRWFKGQLIGKGTFGRVYLGMNATTGEFLAVKEVEV NPKAAQGDQKKMQELVAALNREIDTMQHLDHVNIVQYLGCERKERSISIFLEYISGGS IGSCLRKHGKFEEPVVASLTRQTLSGLAYLHREGILHRDLKADNILLDLDGTCKISDF GISKKTDNIYGNDKTNSMQGSVFWMAPEVVRSQGEGYSAKVDIWSTGCVVLEMFAGRR PWSKDEAVGAIYKIANGETPPIPDDIREEISPIAIAFMLDCFTVDPTDRPTADVLLSQ HPFCEHDPNYSFYDTELYAKIRHAL MYCTH_55232 MTNLRLTIEDGKFRDGYGRQVLLRGINVAGEAKYPSKPNQPSHV PEDFFDGDNVSFVGRPFSKEEAHLHFSRLKRCGYNTIRYVFTWEAIEAAGPGIYDEAW IDETIEVLRAAKSYGFYVFMDPHQDVWSRFSGGSGAPMWTLYAAGLNPQSFAATEAAI VHNTYPEPDAFPKMIWSTNYYRLAAATMFTLFFAGRDFAPRCIIDGVNIQDYLQGHFL RACAHLAQRIHEAGDIENDVVFGWESLNEPNKGMIGYQDISVIPKEQALKKGTCPTIW QTMLTGSGRAVEVETWDMGGLGPYRVGRTLVDPHGEVAWLPEGYDESRYGYKRDPGWK LGECIWAQHGVWDPSTDTLLRKDYFAKHPATGETIDYSYFTNTYFMDFFRKYRDTVRS VHKNAIILLQGPTMELPPLIKDTPDGDDPFLVYSPHWYDGITLMTKKWNRNWNVDVIG VLRGRYWHPALAVKLGETAIRNCFRDQHAAMRKEGLDRMGNHPCLMTEFGIPYDMDDK YAYKTGDYSSQSAAMDANHFGVEAAGLEGYTLWLYMASNDHEKGDQWNGEDLSIVSVD DKLLPLSAHPRIPSLEDSTSNLRTPAARKELDDDESVTPANLRRSITNPSISLEATSR QPELTASPGYRAAEAYVRPAPVATAGVITDYGFDLRKCLFTLTIQAPRVADPEAPTVV FLPEYHFPKDECSVEVSSGKWEISSDEEETVLIQRLRWWHGDGQQTLRVSGVIKKHNI GESAEDTGYYEQCNQGAWSSCTAM MYCTH_2315948 MTTAIEEDTVMVEAGEVQGVADPASMQAVEKSLAQPADDEDMGV EIKQESKAEVKLEDLFDGIDSDDDEFPTSSNHLNSQEPGHAENNAQDTSIELLRIYYQ RFFPWRYMFQWLNHSPVPTKDFKHREFSLWLHNDAVLRYQSFSTSDLFRKDVLRLMPR RIEIGPVYTADPRDRKTFRTASAFQPIAKELCFDIDLTDYDDIRTCCDMANICHKCWK FMIMAIKVIDTALREDFGYNHILWVYSGRRGVHAWVCDKQARTLEDKHRKAIAAYLEV VTGKQGGKRVNLRRPLHPHLSRSIDILKDHFQKDVLETQDPWRSPERAENLLQQIPDH QLREALRKKWESSPGRSSTARWADIDALAQTNASAALDPKELLDAKQDIVIEYTYPRL DTAVSQKLNHLLKSPFVIHPGTGRVCVPIDMNNLDGFDPLNVPTLQRLVTEIDAWTEA DGAAMGGSGGSSQEVKPVQDWEKTSLKPYIEYFRSFVTALMKDEREPGVKREREEDEV QVKVESLDF MYCTH_2308779 MTVTVFRQFLREALYKLGKRVEIWASPNGRMNWKIVKQASPGNL QDVEEDLGSLSEAAPIILAVKISTKASEARSVGVCFADASVRELGVSEFLDNDLYSNF EALLIQLGVKECLIHMEKADKEKDPELAKLRQIIDNCGIAISERPAADFGTKDIEQDL ARLLKDERSATLLPQTDLKLAMGAASALIKYLGVLHDPSNFGQYQLYQHDLAQFMKLD AAALKALNLMPSARDGAKTMSLFGLLNHCRTPLGSRLLAQWLKQPLMDKSEIEKRQQL VEAFVNDTELRQTMQEEHLRAIPDLYRLAKRFQRKKANLEDVVRVYQVAIRLPGFLGT LEGVMDETYRDPLDEAYTNQLRGLSDSLAKLQEMVETTVDLDALDNHEFIIKPEFDDS LRIIRKKLDKLRTDMNREFADVASDLGQERDKKIFLENHKVHGWCMRLTRTEAGCIRN KSRYMECSTQKNGVYFTTKTLQGYRREFDQLSQTYNRTQSGLVNEVVGVAASYTPVLE RLAGILAHLDVIVSFAHCSVHAPISYVRPKIHPRGEGQTILTEARHPCLEMQDDVQFI TNDVELTRDKSSFLVITGPNMGGKSTYIRQIGVIALMAQIGCFVPCSTAELTIFDSIL ARVGASDSQLKGVSTFMAEMLETANILKSATAESLIIIDELGRGTSTYDGFGLAWAIS EHIVKEIGCFALFATHFHELTALADQYPQVRNLHVTAHISGTDSSSSKKKTGTEKREV TLLYKVEPGVCDQSFGIHVAELVRFPDKVVRMAKRKADELEDFTSAKQDGDGKTAATP TTTTTTTTAVLAGAEYSKRDVEEGSALLKDLLVRWKDEVRAGSMSKAEMVARLREMVG KDEKLLANPFFQSVRAL MYCTH_2308782 MSRPSTQSSVLGRFRYVCTLCGIVVSLDISLPRLRPEWLKMISD EDRIRKSLKAAREEDPQRYADVGTEKETHYRTTALHTLNQYIKNILEESGTGPRKRIS FRNKTFQVQFGRDCDEIFRYLGFEEDHDANTNESYWIPPRLPPPEGKTPIGSSRAFYE DVRSEVQSVFAGKPPAQGEPTVMPVSPAPRDELEKVLGCDKSRRCFSTLPVIENEARH FATLGAPVDADDALLKFAYSRQAELDPENTSTYLEALGTLAGRRSEELQMFVFTHQEL LARQQKEAAAGGPNASPVEKAYAHFGLTKSCPEDPAFFIGVYRTYRDQSPAQKSDHRL ALLQIANDRQSDEIRNEVFGKPMDLQEACQFLRVEPGWPMDSIAVMAQSISSDSDMDQ LDLLLLALDAISLSRGTDDPNRPEFESVLAELRSIRRSQLTSSGTEHVGAAVSASDHA SDAVDLELPVGLANLRNTCYLNSILQYFYSVNAVRDLALNTDFPALEPTEANLSNLLR TDSSSNTGHDQRRTDLETGRAFVGHEFARELSTLFRSLNASAENSITPRQRLANAALL RPEKLRPQSADPTAVPGASNDDAPPLPPRIAKGAVTEAKDTPMAGVEQSDAASSGSSE TLVDQSSGESPLIFALEEEDLKEKAAAAAVTSAAGGSNRPASEPQTISRTSEPEVKMS KLTVEELAVELDKPNVGSDQMDVDEVMGNAIDHLRAAFKVSTVGNSAAPPDPIEQAFF STFIDNRKKIGDSEWNRTTRSDRWVTAYPAQSGTLDLYDALANSFDIEPLPGNLLSFT TIERPAPHFHVCIQRSDGVRKNSNPITIPETLYLDRFMHTAETQSSLFKRRKRKWDIK TRLSEMVTPAKKRLETAKQQSQIGGRPSKAGAQDDGLTEEEIDGFLVLGGLDTRYQIP SSSMVDGVGVSNDEPETQSFFALDPDLKRLANKYGVEEPDLSLTVAADPPPKSDATTT FPPSDLDEFWERFAAEEAEEKERLITERDRLFSDAQNVAYRLHAVVCHAGSSASAGHY WVWIHDFERDVWRKYNDTTVSVHPAEFVFGELNTKGEPYYLAYVKADEVQNLVSIPRR QPQAPPPVPPRPRSSLGAVKADGEDNVMASIEHWEDVEMLPPPYSNP MYCTH_2308783 MAPTGDDGYHPKDAIHESINQGLMFGGAGLLFAAVKNSLAKNNI GPWTTFTRNGGIIASFAAAGAAFEFTRCASANLREKDDYWNHAVGGFFAGAAVGVRTG RMPRVLGYGALASVALAAFEYTGGTLKGYLNRHDEDEYERKEMIRKNRRRPIEETLAE IGEGRGIKPPGYEERRRERIKEKYGIEIQTVSADPDAA MYCTH_2308787 MSKTFTQSDVASHNKADSLWIVIDGDVYDLTKFQDDHPGGKKIL QRVAGKDASKQFWKYHNEGILKKYQKQLQIGSLDTKPKPAAPPAPAPTPKKAAPKPKA AAAAAAAPAEEAEALEPFGLQIPFADPSWYQGHHSPYFNETHAALRAEVREWIETEIE PHITEWDEKKEVPAEIYKEMGRRGYLAGLLGTHYQKDYVQNPIKSVPPEKWDLFHEML LTDELSRTGSGGFVWNVIGGFGIGCPPLVKFGKKSLVDRILPGILNGDKRICLAITEP DAGSDVANLTCEAKLSEDGKYYIVNGEKKWITNGIWADYFTTAVRTGGPGMNGVSLLL IERDFGGVSTRRMDCQGVWSSGTTYITFEDVKVPVENLIGKENQGFRVIMTNFNHERI GIIIQCLRFSRVCFEESVKYANKRKTFGKKLIDHPVIRLKLAHMARQIEASYNWLENI IYQCQKMGETEAMLRLGGPIAGLKAQATVTFEFCAREASQIFGGLSYSRGGQGGKVER LYRDVRAYAIPGGSEEIMLDLSIRQSMRVAKMLGMKL MYCTH_2308790 MGIKQLFSIIKEEAPDAIKEGDIKNQFGRKVAIDASMSIYSFLI AVRSDGQQLMNESGETTSHLMGMFYRTLRMVDNGIKPLYVFDGAPPKLKSGELARRFQ RKQEATEGLEEAKETGTSEDVEKFSRRTVRVTKEHNAECQRLLKLMGVPYIVAPTEAE AQCAVLARAGKVYAAASEDMDTLCFDAPILLRHLTFSEQRKEPIQEIHVSKVLEGLNM DRKQFVDLCILLGCDYLDPIPKVGPSTALKLIREHGSLEKVVEFMKNDPKGRYTVPDD WPFEDARELFFSPDVRQADDPLCDFKWEKPDIEGLVQFLVHEKGFSEDRVRAGGARLE KNLKSSQQSRIEGFFKVLPKTEEEKAAHKRKLEEQNEAKKKKLKEEKKEKAKSKAKPR GAV MYCTH_2066622 MASYGGYGGSGGGNWGSGGSTKPSERSRWTPLTRMLLSGEMTQE KQKELTPREKFDRWMVNEGYRRIFVFVFMLLHATVFAFGFVHYSLKDSLQVARDTFGP TFMIARSSALVLHVDVALILFPVCRTLISLARQTPLNGIIQFDKNITFHITTAWSIFF FSWVHTIAHWNNFAQIAAKNNLGFYGFLLANLVSGPGWTGYVMLIALTGMVITSVEKT RRANYERFWYTHHMFIVFFFFWSIHGAFCMIQSDFAPFCVSTGASAIGVFWQYWMYGG FAYLAERIAREIRGRHKTYIAKVIQHPSNVCEIQIKKENTKTRAGQYIFFCCPAVSLW QYHPFTLTSAPEEDYISIHMRVVGDFTRAVAETLGCEFDKKKSDSSKVVGVDQDNNEV DPALRRVLPRVYIDGPFGSASEDVFKYEISILCGAGIGVTPFASILKSIWYRMNYPQK KTRLSKVYFFWICRDFGSFEWFRSLLLAIEAQDVDNRIEIHTYLTAKIKVDDATNIMI NDANADKDTITGLRSPTNFGRPNWDMIFRGIRKLHSPSEAGVFFCGPKGLGSTLHILC NKYSEPGFNFVWGKENF MYCTH_2308798 MALKRINKELTDLGRDPPSSCSAGPSGEDLFHWQATIMGPADSP YAGGVFFLQIQFPTDYPFKPPKVQFNTRIYHPNINANGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRAKYEQTAREWTRKYAV MYCTH_2308801 MSRLLLRRLPTRALVHRPSHAVRTYASSTPAVPQFNWEDPLGSR NLLTEEELAISETAERYCQEHLLPRVLQAYRDEHYDPKILQEMGELGLLGATINGYGC AGVSTVAGALITRAVERVDSGYRSSMSVQSSLVMGAINDFGSAEQKDKYLPAMAKGKI IGAFGLTEPNHGSDPGSMEAVAREHPQKKGYYLLKGSKTWITNSPIADVLVVWAKLQE TGKIRGFLVDRKQCPAGTLETPAIKNKTGLRASITGMIHLEDCPVPKENMFPDIEGLK GPFTCLNSARYGIALGVIGALEDCIARARAYALERKQFKGNPLAKYQLIQKKLADATT DAAYGTLAAIQVGRLKDEGKATPEMISMIKRQNCDRALHNARVLQEIFGGNAVSDEYM IGRHVANLYVTQTYEGQSDIHSLILGRAITGVQAFV MYCTH_2135222 MARRRRPPRPGALSELPPLKILSQIAALQGLYYAVALILMLFTV LIAGTKFSLDLVFGWDAVRGDTTQGWLMGFVWVLDGGLAMAVAIVILVGRSKLVLDFA LSLHAIHLVVVTLYSGQLPRHKGWWLAMAVASAVSVTLATWGCRYRQLQPISFGGGGA ASTAGGTGGNNGDGGESGADGHEEEAGFTRGRGRGRGRGGAGEYEMVNLPADTVFK MYCTH_2308806 MGLFNIFSPSEEEKRAAEVRTGAVAPTRAERQKCWAARDAYFAC LDAHNIVDAIKDDKKAAAACGGEGAQFEKDCAAQWVTYFKKWRVQDIQKKARLKELEA QGANKMDVQTEFAPRR MYCTH_2308809 MPSFVSDANTAIDSQSSGVVHLDRAVEYGLTQPKAHGQMVRQPH SHTSNESFGGSSANSTTSSSTSETSIEPDQRAAKDSSGPRSMSSSRNGPSGGEPNGVA GVESASRRSLQSTHSATLVDRTVPNSGNRGAGDDLQGRKLSDGIPRATPENGNPQSLP SVEVHLHPAGKGDVRTVDGGPSSPSVPAASPPAPGASAASSQRNQKSPHRYSSPPIYD QPNSSGQLQSPPTPGIKHRHTLEVPKTSSARGSRDGFDTAYSSGRFSPTITPSGGRRA SLSLARRNTRSLHSDGPRDEVIPDEDAMRWAEAYRQKRASKRRRRELEDDDRVLVGTK VDETHANWVTAYNMLTGIRVAVSRTNAKLDRPLTDADFDVKQKSTFDIAGNELVPSAK YDFKFKDYAPWVFRHLRALFRLDPADYLMSLTGKYILSELGSPGKSGSFFYFSRDYKY IIKTIHHAEHKFLRRILKDYYQHVKENPNTLLSQFYGLHRVKMPYGRKIHFVVMNNLF PPHRDIHQTFDLKGSTIGRDYKEEDLEHNPRATLKDLNWLRRKRNLELGLQKRQLFLE QLYKDVRLLQRLQIMDYSLLIGIHDLQRGNEENLRDKTLRVFNPGGSNSASEDFDPHS VLMRTPSKLENQRKARELRQMIQSEKPVPMGETSSRMPDELEEGQGRPGFIFNQDDGG FRATHEDNAPADEIYYLGVIDCLTHYGIVKKIEHFWKGLSSDRSQISALPPQEYGERF INFISSMTMSREEASRAAQERDAALAAESAAAVPPVPSRGPPLPPTSSPPGQTRAAQQ TRAWEGAGTEEDVPERVLRTTITAPTSNNAGPGKSSQNGSPSATERRETTILPVVEEA AEGTSTGDRSRNSHVSSLTTESEGRPLTPAKEGDELAPGFANPLLGSRSGSKGSSNMN ARPPPTPPKTGHGYTGQAKPNSADSGYGIGSGNGSNGGPRSLGGSQKSLSIPQQLSRE SLDKALPPLPKAGSARAQSTS MYCTH_2308812 MTVPTPSHDEPVVVLASTRTVITLPDDSLVLTPATIIISPATGK ILSVVSQVLPQSSFPPETLYHDYSPKLLLPGLVDAHVHLNEPGRTEWEGFNTGTQAAA SGGVTTVIDMPLNAIPPTTTLKGFQEKLAASQGQCWVDVGFYGGVIPGNAHELLPLVE AGVRGFKGFLIDSGVEEFPAVSPSDIALAMKTLNGTPTTLMFHAEMVPPAAAPEGDAA QQSEAPAQPAGEPGSYGTFLDSRPPVFETTAVEQILSLAHLAPQLHLHIVHLSAAECV PVLRKARQDGINITAETCFHYLGLASDDIPDGDTRHKCCPPIRAQTNQDKLWDEITDA DGCIKTIVSDHSPCTPELKLLPPHLQTAYQEGPRPAVRHADSGIEVPQLGGEQGIGGG GAKKERRREKEAKAELRARGREKEKEDEDEDAVDLLPGAQTPGAATTAAAAEGSPAAC AAEAKEQGDFLAAWGGISSVGLGLPILHTTAAERAARGDRAPSVVDIVRMCSQATARQ VGLAHRKGGLRAGMDADVCVFDDADVWTLRAAEMRWKNRVSPWEGHTFTGRVRETWVR GQRVFQLGGPNSGFVMGRPVGQPIVERRTE MYCTH_103379 MARQSLQLELAERPTGAIVPGRTFRQRRVPAPTEADLREGELLL ECLYVSFDPAMRGWLDDRRSYVPPVRIGEVMRAATIARVLASRSARARPGDIVTALMG IREVGIVSEDKVEPAPPGFARAGAGARVTDLLGVFGLTGMTAYFGMLRIGEPKPGETV VVSAAAGATGSVAAQIAKIAGARVVGIAGSDEKCRWLTEELGLDVALNYKDPDFKAKF KKATPNFIDVFFDNVGGEQLDMALGRANAFARFVMCGGISQYNAENKSGPKNFNSVIS QRIKMQGFIVFDFAKEYPAAREQIGRWLAEGKLKRRETIIKGGVAASEEAFKQLFEGG NIGKLLVEVKNPDEVARL MYCTH_2308814 MQLGAGVSVVRGAGSATRVGRVARIRRPTCAECLGAVSLDGRSP QSRVLFHSGRPRPSAWAAAVSVAGNIVSNAVTRATKGDLPTIDPLRIVAKEMKFLTGN IRKLLGSGHPSLDRAAKYYTQSEGKHIRPLIVLLMSRATSLCPKAPHRQQATLQASAA IDTSISPLSILADYNPSAGAESEPVLADADGILPSQRRLAEITELIHTASLLHDDVID HSVSRRGAPSANLEFGNKMAVLAGDFLLGRASVALARLRHAEVIELLATVIANLVEGE FMQLKNTARDETNPQWSEDTLTYYLQKTYLKTASLISKSCRAAALLGGADAQTVDAAY NYGKNLGLAFQLVDDMLDYTRSEKELGKPAGADLELGLATAPLLFAWKTIPELGALVG RKFSQEGDVERARNLVMQSDGIEQTRALAQDYADKAIASLSLFPESEAKDGLVEMAVK TLQRKK MYCTH_2308817 MTPSIVAGLQALSKAATATLVQNEPEPSLGAPAVGNPVSHSQIV NLWKALKEAGHGEYTLETLLKGSKIYVLPPPPKPEPSNEYKELMARLRREQDQREYER MTNPLPPMGTFSQRYVNGASMAQSFAAVNRPSNQADMGDDDVTYGDVHRQLMLILNFV ASILGVAATLWIAARWWSTPARLFLTMAGSLVVGIAEVAVYSGYIWHLSQAKKKDKTI NEVKEVVQTWTVGAETKATVVGGESRDEDATLRRRHKDVRKRRSSCNTSD MYCTH_2308818 MSTCQLDHVMDSPWATPTAVSQGRKRPLRTYSRRTIQTRAQEQE ATQSDRDPTTMMANSIPAEVPDQHPVLQSQSEAERPAEAKRPNRGSILAYFRPVLPST DKALSKVAAFGTIEPPLTPRISPELRGANPRRRLTTRPQFGEVGEVSRDDVGRPINVG NVNAVEGAETDGERDESADNPDCSLGSPHDPPTEALGELPTNTLGRHDWTVGVSTDGR VKPKKRAYKQPVKDMMQTTLSLSVQKEPGFILCGVCDILYNPFNEKDRKEHNRRHAAS SRKRRKTA MYCTH_2308819 MGLQNDEMRLQNGQTGLENGKMELKNGEKGLKDGDHVNTPNGHR SDRGRTCVPSDTRDYGAWLGQILDHIDKHGEQELLPVLQEFRELITSDPRFYMYFTGM WEEIPVKEPDPKNPTVQPRIRDYEQMLQVMNHVLVAAPEWTQAAASAGLAGVPLVAMF DEAMSTPSGHAAFLDPDVNLMFKKILNAWGKYLQTPESVEVLGDHENGWFCQAAFKEL LEVANAPYNTSFRLEELYKCDPSKEHFGFKSWDDFFTRQFHESVRPVASPDDDNVIAS PCESTILNIAYNSKLRDKFWIKGLRYSVADMLGHDPLAEHFAGATVCHAFLSAMSYHR WHAPVSGKIKRCFVQEGTYFSISLSDLFADGGDAKGHLATQGYASAMATRGIVFVEAD NPAIGLVAFIAIGLTEVSTCEITVTEGQRVQKGDEIGMFHFGGSACCLLLQNGVRVSG FPEIRSPVNVPVRGRLAVVQP MYCTH_2308822 MLPDQKPKQAAQYRESLADPADQRGFRVFEVASAARKLPEPTMT DFGELDSLKEDAE MYCTH_2308823 MPSPWLGSRSTGSSSVSASSSSLGFSHTGQLSPISNGVDLPCGA VHGSASLASPSQSPSVTATSRPASSSVQTPAWPPPGCVGPENPSPLPGPEERYDAQHR DAAASEGGDVAEVLVPKLEPMEDDDFCMNDFQEAPSPFATRALPGHPPQEEALEHSKQ KRPRGRPRKHPFVPNVVTHNKITKGRSKTGCLTCRKRKKKCDEAKPRCMNCEKNAVVC EGYPEKQIWKSGKERAEEERLRCRGFPSITMRPLFHCLETVEDRIFWKHYNEHLSAVL TVESEHKNAFKDMMIPIAVKHRGLMHSILSLASKHIDYETPYGLNILRNNPNTTLEAL KQRSFYHHQQARFNMYRDIDAARKAPHTIDRVSTEARYGQMLCFLLEALVEGDSRSEH RPHLQAYCNLISSSPPEDSPFLSFIAEVFQYYIFAAELIPGLNGHAARRLEPLPPFPT MDKPRLLGVADGLLNYLSQITEIRNTIRSKMMAQVDLAVDYQVLYQGSEIDGAIRDFT TYWPPGDSRQQVTLLYKQMLWIYLNRTVHLPSSTPSSMASSSTSLLSNNSQPCHGRYP ASVVNTPPQSASTSCASSPQLTASGHESNHSDGRPNSRLGPTSRPQGSIAADSGVTAA GRDNRAESPAPTRQPPDLDPDLAQAVEESLNLLEWFKPSDPCQTLLLLPCFLVGTACF RPSQQQRVRAAIRAVKGYTGLRNADCVLQLLEEVWRLMEAGDWVAAWDWPGVAENLGL DFIPA MYCTH_2308826 MLEAAATSLASIIVLGAGFGLAAYAYHKGYKYMVLHKMANAFEP GDPVLELAAIGKDLPLSKTAAATHWVERPEQPIVDDIVAGRSVGHYHLFIGDKGTGKS SMLLEAMRKIDGDGVAMFEAHADLEIFRIRLGKALDYEFHEDYIGGYFSERGPRDTTA LLDIERALNKLEKVALRRRAKVGRPLVVIINQMHLIRDDEDGKDLIELLQQRAEQWAA AHLVTMVFNSDDYWVYERLKQLATRMDVLTIMDLPKAQATAALRNYRQRYFHEDPPSE ILEEVYNRVGGRLNFLNRVAKSNDMLDACDKIKETEKTWFLNQCWILGKDMDDDVMDQ QKWAAAAVVLAAALVDKEKEMETTYDPVVGHVLPSFPLYKAQEIMTRVDFVRAFDRLN LFSITSDAQVRASSVPMHLAFREIVSQPGFREHLQGTIDRIAAIESLGRTRELVAKDL VLGGKYEIRKIPGGIDVSLREKEEEEATGDDDKN MYCTH_2120116 MGRGDRRLPRLTQEELANPCTRISLDGVEVQLWQVAHIYGLSYE MPSPSLRGGASSQDFRSLPSRERDEGTEVPGVPGPLTSSGRSNVNERTPFTDLPIAPL RISPRRGVQQRIRCPAESDSSRHGSGTQDILRLIDELVDECWSDGIDKEETQDKTAVP LATLSDPAPRIKGATWADPSFPPPAHPPPARPLPRVPEQPAGNMNPGAGAHQARRLAV VPRTTPGISSRHSGKLTENSDPNRDNNDDDDDDDDDADSERTITPRASRRQLVAAPRE RNVSMPNPWPSAQQPAAVHSAGRAVSASILRNRDEVHYHPLPQRFGPAQAQSKQVRPP LPLRHPLPDFLLNPPSFLQHPPDLSQQPHLPENQGNAGAVARRDPRSNKSGSTSSPAA ACASAPRAAMPTSDDEVAVTSRWSSDSSDSEDEKESKMKRLKKVLSFSKLRPRKSSFF QKQTATEAGKSAVSVGRSTSGSSGSRRGSKTEN MYCTH_2308827 MGNESSRPEQLEGRSRSLSPLPRDYQLSPDAADSLSALPSTMPA SLQVANEGSGPPGAPGSLAWHRRRRRARALSPELPRASPPFELSDNEHPADDQEFPLT AHAVSTAELSLPATQPTRVKSKRAKKKSSEKKRKAELKEAAKAAHDEAVRVEAAIQDH KKRRHRSTAPSPFPPRDGEQATGDPEPYNELVEATSPRSQSLKHSRLSKKYKGLGTEV TTGDGEEEATTVHGRSIFDLEGDDDAPSSARPQGKRPRRDSDSKPRKNRKSSRSSNLG LDDGLEAGRSEEPIDRLALEGTNADFQLDRGGGTGREGETDMTEAARSSSPVLEERIS ADGAARRASTTSNHGSQRSNSDSGYEEAEVQGMPQREHEGDALERRGSPQLRGDDHLA AGDQANQNDDDEISVASDLHRSPVASPTPNSPADANVANGELNDDAVESAPSQHDSDT AVAPFESVAKTSSSRSSAKRKTKVPFFSRGEEESARTAAEPTQDAATSQEQSRRRPGA ESPVPGEADPSDTARKPKPKKQKRRPEAETAVAANEPDAQAQPEGSRYRTGPLSQTEE NQITRAMERFRENEGLTQQELNQVIHDNPQKSERPIHRQLWATIQDACPTRPRRKLIE WCRQRYNNWAGRGTWTPEQDDELVDLVAKHGKKWSYIAGLINRYQKDVRDRWRNYLVC RDTVRTDAWSEGEEERFREVVEKAIEKIREGVGKDSKKPAEALINWLDISQAMGYTRS RLQCMEKWKRMRAAEPLPDTVPTVLPQGNSWRLEKAREDLRKMTAEDKYRLMCAIRDS GVETDAKINWKPIIKGTFQGVFERTALVVTWGRLRKAVPDWETKTTRDCAQYLCEMYE NEGHFGSSEIAETAEDEENAAVSANKKRRKGKKVVRPTSTDDEIAPSLETATTGAQSK EAEIVPSTINEDNDSASRGFLPKRGNKGRKTATDASTDAPSERTGDAADLDVQTEESA PVESRTDPYSGKRPRQAEKEQSPELNSGQAELSPSIEAQAARFRRRERIGSTVERGSV KGKGKGKEFRPLGSETKASKFSKRQRRASLSDVEDIESPKLKKRKTLSSSSKAEADGI ASPRTREDKAPESYGKSWSVISSDMDDMEDIPATLPVSSQAAH MYCTH_2308828 MPLAAPFSGTPTSTTTSLSALSTTAIKDGHRGALHHRGHQHSPS TNSLEAERADRISRLTGLSSVSTLRGTPPGFGHQQQQQQQQQQQQHSAHSPHAITTSS GSPANAVAAAAAALSPAYFDAAGQPVAVTKVSTVGSASATDSVGGRTTATTADTGADT STLGEEDHDEDMLTEMDSASASGYMGPDTDADAMDEDLDNLTSRSVGGFEDRMSDDGT ASLVGFGEGAGSTVSGPIYHRRPLPSQGGGGGGGGGACGLERSSSGLSEGAIASPNPH HGGGGGGRREAARRSWEPDREREDTPVSQSAVRERREARMMDGVALDAAAAAAAAADD DDDNDNDDNDNDNDYDDSDDVFVDTTTSGPVPDDRPVPPAHATTAANTNNNNNKPLRE RQHRPHHRHHRQHQHHPPHATAQTQQPSLTSTPPREPAECLVRERQDEGGQGRARSPA LGSTKGGERLGKFFFEDR MYCTH_2308831 MDPITLELVRIDYLPTGHDEKVKPPAPWQPAPPSEYIPEAQTLR KDLKPLRVTQPEAASFSVEHFSELGRLIRWQKWDFKVGFNQREGMVLYDVHYDNKPLF YRLSLSDMCIPYADPRNPFHRKAAFDLGDVGAGIMANNLQLGCDCLGSIYYIDGVLVN GKGEPIRMPNVICVHEQDAGILWKHTNYRTNRAVVVRNRELVLQTILTVSNYEYILSF VFNTAGDLAYEARATGILSTQPVDRDLTATPHPFGTVVHPGVLGGFHQHFFSLRIDPM IAGHGNSIVYDEAVPIPRDPKLNPHGVGYTVQRTEVETSGGFDLDTTKNRTFKIINPA VHNPVNGAAVGYKVVVPPMQPILADRDSFHYRRAEFTDHSIYVTRYADGELYAGGLYT NQSRGGNGVRSWADRKDSLKGGDPVLWVQFGINHIPRVEDFPVMPAETLRVTLRPVNF FDRNPAIDVPPSRQEVNRSVSLNEPGDDVQENMNGLSLGGEGGSLGSCCASHGSV MYCTH_2308832 MGIIKKVNLGTVGLGAGALGYLGLSTTIVSPLPADDPLWRSKSY AQFNTHHNASTQDVCLKRIPLSKIKPELLQRDGDLALEFCRGVWSGIGYRFQRAYLAR KYQGPATAGQLWTVEQLARSTYEPGTQLTDHFEVVEKTPSEITVRCGDSPRNPNPRDS DGLFAIGAAVDRERGEAVLALKSCFFNSRIKVEGIQGPMPGWIELLHRWYSRLLIETG SWRVTR MYCTH_108627 MAPTVKRTGEMKAKAESGPRNSITVAAGTPAGPERSPGRETESA RSSPGEVAGPDDEGVRPSIPIHTGKDGEKDVMEGSNINGHTVAPAASQEAAGTTASGR DYYHEYEFSDLDEITVRQLNEDILAYRYDLEYCKSQLEEADLTPQEMRTLQLRTLDLG HQLRHCKHRIEIIKAQSRKRPSRAAHGNAGAVSYSTGSTTGPSARQHRADSGMLPARR VASTPSQAAGSSKRPAAGSADEESGGGVKRAKMASPDSDVAGPGTDDGSVNTSLQRLG FWKCRLCSAPKYLLAGSGRSPAAPCKWPLKDISKMITHFTEMHGEHTPSERCVELGAA LSHNRGPFEYWLRRTRAQNISDSGVIDDCLETLLDGEMPDLLRRHSRAAASMPVD MYCTH_54570 TVCLRDGHGSWSLVTQPQHENCPLASDWSPWSYQPFCLKPATEE DEPAPADCVFTLTAFRGNQGISLITTPNLAASIATNLDDSRVPPGLRYQLADVGRGRG QTAAYEIRDLPGRGKGMVAKRKFAEHETIMVGYPVLVVRLDFLNGDGLTDRGKRVMME TSVKQLPPKQSWALKSLARSTGGEPILDIIRTNGFGIEIDGVQHLAVFLDGSRVNHNC RPNSFWRYSGSSIAMEVVALRDVRPGEEIAHSCK MYCTH_2308837 MSRISTAELSRYLLTGRFSRRGCLNSRLSRIAPVRQTSLSSQGR SLGTSAETATAAPPSATAAPITPLRKQLKEQAKALKASGKKKKKNKADNQTVPGWELT VGIEIHAQLNSARKLFSPAATSFNDKPNSHVALFDVAMPGSQPLFQPEVLIPAVRAAL ALNCAIQPISRFDRKHYFHWDQPAGYQITQYYHPFARDGRVVLHARDGIAPEDGDRVE IGIKQVQMEQDTAKTTAQPGDVHWLDFNRVGLPLIEIITLPQIHHPATAAALVRKVQM LLASVDACVSGMEAGGLRADVNVSVRRTDDAAAGGKLGTRTEIKNLSSFKAVEDAIIA ERDRQIAVLEAGGVVEAETRGWSLGSTETRRLRGKEGEVDYRYMPDPDLGPVVIGDDL VAHLASSMGVLPDAEIDELVGRYGLSAKDALSLTTVDDGARVQYFYNVLDALEARLGL DKTGAASDQRHALLAANWCLHELGKLTELSSDLGMTPEGECNVPSAALAAILAHLHRR EITAKVAKELLWDAFRGEVTADSVTQKIDADKLWFKELSEDEYAQLADQVVQGEDKVL GEFLRFKQGKAKAYPQGKLMFLVGKMMRAGTEQRMDPASAERVLRARIEDVYLPRLEG R MYCTH_2112160 MTFNGTVSRPTIIADWGDDVTIHVTNNMASNGTSIHWHVARLGA APVMQNGLINGTNSYNCTGESDVRCYLFRLINVAVDGVFEFSIDGHGLQVVDHDLVPI VPYKTDSVQLTIGQRYDVISEANAEPGSYWLRSGWNTDSPPTESESTVTLSDSCLDEP PAKTVPHLSLDVTNMCGGVVYENMNSTLAIAFRLDSPGAWLVHCHIVWHASQALALEF VESQSRIPPDDRSTWMYRKTCDSWVAWTPIWDQEESGI MYCTH_83595 MILKMLPLLPVWLALHVGVTLGAAHPRALEHSGPAPQHLSPFQL SGDLSDRRGHGRPVNIDLSVWRKGQTELQWYGEITVGTPPQKFKLIFDTAASLMLIAH KNCTTCGDHPLYDPDRSSTFSPLPGYRGEVTFGSPGGGTISSPEVQGANCTVVTDTVR MAGRGAPSEFMMCDNYSSGLRDQPPDGIFGLSSTPYALPGPEFSFSFVPDRRRAGVLT LGGVDRSQYVPGTLRKIPLNWPLSASRWRWVVDVRGARVVVAVGGGGGGGGGSAPLGN STDAVALVDTGGATIVTPDRETTRELYGRMSGEIRPLDDRGAWGAPCAVLDRAARDVV FRVGSADRHVDAAVRREFVNVGEFPGRPGICQGVFTDPERVAREPIRGRPAWIFGTPW LRSYYTVWNGADRTLGFATPSHRNRD MYCTH_2308841 MSAAEKEPENSTPAGSKAAPESAPAAEGTDATPSTAPAAKAPEA SETPEAQTAPAAPATATEAAASAPTSEEKKESTPSETPIGQLWAAARASGHPEIWGVT LADPSSHVPTRIVLQKYLNANDGDLAKAKDQLTKTLEWRAKTKPLELVKKVFSKAKFD GLGYVTRYQEDGSGEPEGKEVFTWNIYGGVKSIEETFGKLDEFLEWRVALMELALQEL DIASATKEITAEYDPYKIFQVHDYKSISFLRQSPQVKTASQETIKVFAQNYPELLKEK FFVNVPAIMGFIYTFMKLFVAPKTIKKFHPMSNGQTLAAEFGDSKVSKLGERLPPNYG GKGAKLEEQGRGPLLE MYCTH_2120123 MSGKRARSRDVFVWDLNDNEDRIPLGGLVLTAGITNTDFRQMLD ILITSCDYVVQNEHGDEVLRDNQPLLPGDYFIIADEVEVNNEVVYTRACSIASGTRVQ SFIEQVQARDGRCIVSKVENARAVDGFWWGFEAAHIFPLAYEQQWLENNYSRWITIDP PQGGKINSVQNGILLRSDLHQLFDNYTFSINPDNGYKIIYFVKDCEQLAGKSLDSRLL DDPRRPPDSLFRWHFRQAVLTNMRGIGEPAYEHDFPPGSDIMGEIQAGPKAAERMEFE LFDRLAHHVEIL MYCTH_2308842 MYSWLNGHLGVEQAHSLWCSRRRGSLYVVKPLQFAGSSQVSVSL ESATTPQPGQVRLSLRHMMI MYCTH_2112164 MCIRIVFLCPSCRAPSGQTTTLRLHGPSCWDRVLVERLMQSQHF HPGWYCTTPDCGYSRDSQERDAKEIKQIRLNQARNDTSSDADDELYDTDDERDEAMED CEADTDTKPANAESGGLVNLQPGPSIYYPSAKPCVKRRHNNSKGGSYPVKTEPADPTT RPLGRGLGTLHHGAKGVRRDNLGTARPRHRGSRMPVGLDSPTRDRIGKLLSRIVLHAD AHPEKSKWLEEEEELLEILRAHKVSYRQIAELRVVEYIK MYCTH_95835 MAEAEENAILLANRAGRAPRAEGAIEDNNASAPLGHAAEEENQG EVSEGEANATCDASLTCNTVRDNAAPLSRVPSPQPSPRSQPSLSHQLPTQELVAAIAD EPALSAVDTDSTDSHFSPKTAARVRELRDVIASYEADDKTKKWYPEEEELLRILRESE LSYHRVAKQQQLIHSFK MYCTH_2308845 MKDILIGDRYRVDRRIGAGGFGLVYFGTDLELGEEVAIKLTHVR DNPEVLRSEKETYEALSGVVGIL MYCTH_2308847 MARAQPRKRARADDLSQEHCPNKKIKSRDRLHGSSNFPPEFWDN LSKIWLTPRALRELDRRNSTRAPEPAMPVVYTTDLARFARHGGPDLRHLWGYPEPKSA VRTISCSRSIASSSQRTKSTKSTEATDVSSRTKPSSAYDKNFEQHLNDNNVYLHGRKS KPNNKVDLYESRLSLSPSKFSDSAFERFQDEHDHLGSEGDVMRKIIPVISGSTNIHNS GEMLFNNLESITDGTMGDVKPDFYDGAYFGDIDAAVRNDLNSLIIPSNTNAARRPIAP NFFLEAKAPLGRADVAKRQAGLDGAIGARAMHALQNYGKEEPAFDGNAYTYSSTYHAG TGTLKLYAHHVTAPTTPGGRPEYHMTQVDGWDLTGNIDCFRRGVTAFRNARDLAKEHR DRFIQAANARARQTDTEALSEAEITVAVAEQYEDSTADESVDCEDYVESQAVGTENHA TSQDVGEGLTLPEYLHEEEEEPSQQPTLLGAEPAMSFATSFTSSFTVQSQTSSKRNRA SNSPPSNSRPRKKHDPAK MYCTH_2066278 KQKKTYNTRDSLVVTDPTTNRALASLTKGERTGSRAFWRVWSYV IVLYSQMHYVSGLRVRRPEKK MYCTH_2308849 MDSTGAAPPTPQWRGPTIPIENSRQVAMLVTSAFAMVIPTILVG LRFWAGHIIHRRLDASDVCILAALLFTIGLHVDMYIMVLRGGFGFHGQDIVLRFGMDT LVLFLKCILAFPIIWNFTICLSKLSVLFMYANVIPVPRMKIACRVVGLLIILWNTGGI LGALLLCRPIAKNWDKTIPGTCGDNRLFYIWLGIINVIVEAVILLLPVPFILRLQMKT FKKVVVVGMFSVGWM MYCTH_2308851 MGSVEPNAARVAIVTGGTSGIGLLLSRHLHAKGWRVALVGRRAD VGAPKTALLDRSGERAVFEQCDVSSYSSQAAMFRSVWARWGRLDLLIANAGNVDHGSW YNFGGRGAGVDDLPPEPDSSCTDTHLKGVMYGTRLATHFMRHNKPSPGGKIIATSSML GLHPCPTFPEYGAAEAGVIQWVRVSAPLLKLKENITINAVMMGPVITPVMPGFAKAFL PEELVLPSTILEAYDVFIDDAENQRTGETVETAHDRLFWHEMPELKAGKLNVRNMAVY EPWFAAMHGEKSGLENALQGPPEGNAEDAGRAEELAVGMR MYCTH_2308852 MSDVKIIAVTGATGAQGGGVVNMLKKTAGWRVRAITRKPESEAA KKLAADGLVEVVRADFDDEESLVRAFEGAAAVFAVTNWWEALFSGKSQWEAGEIEERH GMNLARAAARTPTLEHYLWSTQPSAKRRLPGRLETPHMDYKANVDDRIKAELPDLARK TTYLYFGYYPQNMAYFPLLKPFQLPGNGQYVQLLATDPEAKILLAGDMSINPGIWVRQ ALATGAPAFGRYANVALERWSFRQMMDKWSEITGKRGVVVQVTEEAWTRLWGPAGTEL AWQFKFGELCDPWAVRDDFISPEELGIGPDEVVGFEGTIKGLASLGLFD MYCTH_83591 KTEEHITLVAAINELGFEVIPCFYYFSCGLYCYIIESSSCYGEY VYYRRLYDGSRVHCRQVKAPRPIRTRC MYCTH_2031276 QETTEKNETYNTRNSLVVTDPTTTRALASLTKGERTGSRVLWRV WSYMLGWH MYCTH_2308868 MFRLNLTFVAVAWALLQAHLILISVTIPQAHAWPTPTKWLPHFK SQRPQRDYARRNLKTISSIYNLTVYPNQLPIFQAGGAGVPNGLFNKNVVGRVDPVGNF TDFEESVEYFFALSPLPQGNPAKAAITGYQITEFSSQCRDVAASVVYLYCSVVNPGCA DHGKPLPPLKQVAFWRFDEHGAVLKYDAWIPNLNTWVESTTAANADDPQSRASAIAQI CGATQTRCQGPNAQWSSVEECMTALSQKSYGSYDEAWGDNIVCRSIHVVLTQVRPDVH CPHVGPTGGGKCVDEPYPANYFSDKALYGQPTGETFMCD MYCTH_2140156 MASAESGTTDDVLPRKSLLILIWVCFSAACLFVALRTIIRVRSP ASTRRLGPLEDCWIFLALAALLALCVLETIQLPSLYYITSVLSGDLELMSAEGVMSHT KNYLRYQFPIVILFWTVLWSVKGAFLALYWRLFRDLTWYRGAWFVLTVFTVLAYGGCV TTLALSCGPDVRNFFGFNTCAGPKNVWSSNFSVYFSTAVDVFTDLCIMAMPLRLIYNI KVSLKQKLGLVCVFSLGFVMIVFAIIRANQSLAQQGFVNLTLLLVWSTLAASISVLVG TLPALKVLITTRARASESRSGGASRATQPKHSSFGTHKSVKSARSVALGSLKRDSSNV KSVAGSGSDIAESQEEMLAQREMTTSYGQVPRPSPVSYHQRFQNR MYCTH_2308872 MPEFFRVVEHTVSCSHSREYVGATANGDADRPQLAVKQYIPLDN PNPQPGDVTIIAAHANAFPKELYEPLWDEIHKRITKSGIRIRSIWIADMWNQGQSGVL NEKILGNDPSWLDHTRDLANLINLKRDEMPHPLVGIGHSMGAAQLTHLALQNPRLLHS LILIDPVIETGTTGLGPARASTWRRDLWDSRAAAAAKFAQSPFYQAWDRRVFDLWVRY GLRDLPTELYPSSPPSSSGNAASGGGGGGGPPVTLTTTKHQELFTFLRPTYRAGSDLR DWAGHDGNDSDSSSQKSRYPFYRAEPRQAFERLPELRPGVLYVFGARSDMSGPEARRA KMARTGTGVGGSGGAARGRVREAVLDCGHLVAMEKVAECADAVAEFLPGELALWRREH EAAEAERRRRREAAAAAGGGRREEMMIDERWVREMKAKM MYCTH_2308874 MAVLDHKFRVRGVEGLRVVDASAFPAVPGAFPSCPTMVLSAKAA EVILADASERLR MYCTH_2308875 MSATDPVTKVAIVGASGRIGGAFARALLQGGKHTVTALTRKGSN GEIPEGAKKVEVDYDNQDSIVEALVGQEFLIITLGVSAPPELHSKITDAAGKAKVPYV MPNYYGYPHHTITGSTDIYTKLSLERLSEVTRNGFSTRVHMACGFWYEWSLALGDPWF GIDIAQRKVTFFDDGKRPITVSTWDQCGRAIAALLSLPQRGSSPSLADFINDKGVLVE SWHLSQRDMLDSVHRVLGTTDADWQITYEPVEKRLKDGEEQMRNKDLRGFAKVLYGGI FDASNPVSNYVGEANPILGLPKEDLDEATKRAVDMALSGYNPLGL MYCTH_2129148 MDKASDRTRRQRALGPRLPNLALVAGLFINPSSPIAPAGAAAAA VAARQCLQAPAAPAAPRSPRSLPSPLQVRNEGEHVVLADCVDTAGVLSSQIAYFPGDP GPSPQDVAVVETKEGQTALWVNDNTSALFTTTGVTFTALIGPHVKDGEFAGIGNNGYG NFSCYQMYSKDLYKYAKTTCSQVYLCDHSAPPAGWVWDPSKGSSNSMSHGTIIGIAVG VVGGVLFLLAAALAIWYFRRSRGGRGGAASRLSSKLLRSGTSSTAAASSDAGEPKSQT QTQTPMPTPSEFSSSQHHQPVVQKITGPYEMDGRYRVELANDNGKYEMDPHGHGSAEL DPTSKQQEHATPSTQNQVSPVTPAQSDSLLQHGGFPPRSPPPQYAE MYCTH_2308878 MTETTPPAAGDPADVPPPHPKYYRSPAASRRAVRPLLLLVALVN LAWSLYQLPLSRVLESRLCREHYAARDPSVLRPDGSVPEQLCKIDPVQQPLGRIQGMT EAAWVAGDFLMTIPLVCLADHLGHRFVLWLNLVPRVLLLAWTFAVGYFDHALPVDAIL AAPVFSFLGGDCVFNSIVYAIVSDLADDNVLRATFFGYVNAVSSIFSSQLGPALASAT MSTLLWLPLWLGIAILLLAIPVISALPLPSTRSYPGSATITGIVDEEEAADDPHHHAT PLLAAAAAAAVAAGGGGGGGGRGGHPHTSTLRSLAARRVGALLALLASPTRSLVLLLS VFFLASLASSDTKLLPLYISNRYAWTFASVGYLLSAKALFNFFLLWVAVPRVLRWQQQ QQQRRKQRRKQLGRRQEGTRRRSSSSSTATTTATTPVAVGGGGGGGGGGGNGDRSGSF SSRPSTPDELDTDADWRDVLRNAETCLWLSAAGASCIAGSPSIGLLVPSLGLYALGIA LPMFTYSLLRAPGMGLVGKRGGRDRSRSGSRGRGRGWNRGGGGGGGGGDGEEGGDGLG APVFSVVMLVRTLGTLVGAVVMPALWVTGLGVEILPLPYVASAFFYAIAAVVVKRIHV MYCTH_2129150 MTNGVILALAGFGAGLTRELAARPETVHVDSLVLLPGEVRSHSI CRGVPPSAPIADRFGGGTPSARWTRRCNGWGTRCIRRWRHGIEAVLLRIAPEAARTKG LVDQLRFKKEAWNEFLAKNQ MYCTH_2308880 MTEIASPSPTHAIPPAASGDNSQHPEQPPSNTTPDPAESVKREL DNPHNGFGKHGQDSENLAERHAEAELQERSGTRDAREATSTDDGPPAKRRRIRGATPN NTVRKPKPESPPWKKIEPDGPSTFVTDDGRRKSGRINAVPLELQPSDKRITRQVLQNQ HSSPTKNRNVATNGYGSNRVSTPNSATVAKRAVSSGKQPPPKSPANRTPQRKPAAQDS KSSARSRRRSPSPKRPATPAKQSLGTRRSTRNLRRVDHDGEDTAPSGTRTTPRIKLRV RPPLTTIPLVHRDQANLRPKLGPSFEEFFERAAEIPVEEGGLFVAAEDGPRYTEESLR EDARLILRVEKEVEPGGILSGERCSVFEPEPEEEPPRQWAHADHLTKAMSNFRKLMLL EQQRHRATAKRLAIACQAEWIRRNPQPKTAEEIELEELEQNKLKWRQVNKVISGTWEN VKAEVNRRRLADWEAEEQRRVKAALNQAVNLSEQKLQARQARFDTEELSDDSLTDDMV SDGDDETGSDDDSDGSGDVTTEGEDDEDNMSSSDDEEDGESELSDEGLTQEQLREKYA NLPDLDDLIGNNSASRRGVLADEAADGGHNDDDTSDESIDMDDDLGSSEDESSEEGND SGSDDDDESEDEPTGLLGLFFGKSELKKLQAEAAASEQTEDKEDVQMLDAEATPGPEA AENVHDEAAVKKENEQPTLDTAIGGEAEQGPTNDGPPSQPLPKILGDEHSRAIPQGVQ PPTDDGQTTQPTTLATVCETRSHDEQRSAEDLPMTDPPEDSGKAEDHAIPTIQQHSPE TEPITNGHSPSRSQSPRASDVTKPTDVETPTSVSLLNVPKTDSRSTSPQPTAPRTEIP FLLRGKLREYQHLGLDWLAALYANNTNGILADEMGLGKTIQTIALLAHLACHHEVWGP HLVIVPTSVMLNWEMEFKKWCPGFKILTYYGNQEERKRKRQGWTNDDVWNVCITSYQM VLQDQQVFRRRRWHYMILDEAHNIKNFKSQRWQTLLGFNTHSRLLLTGTPLQNNLTEL WSLLYFLAPPENGEGGFVDLKEFHNWFSRPESQILESGREQLDDEARAIISKLHKVLR PYLLRRLKSDVEKQMPAKYEHVEFCRLSKRQRELYDGFLSRADTRETLASGNYMSIIN CLMQLRKVCNHPDLFVDRPIMTSFRMPRSVAAEYEVHEQVVKKKLLAVKGMEVVSLKF LNMIPTEYEGMSTSDADRIYQLSTHRILHDLREAQKVRANNAYHALDPASVKSNLVYL ESAARWGRFEELQHCVYLNALRRQQRPIYGKRLTELLTLDTHRRPHKQRPKVPQKIMS WFEEDSFLLHNAIPTLQQRADSMETIISKFACVTPAVVTGDMTRLLLGEKGVQAFEEA DLRLSAPVKYAPYMPKERPPDPWHEARMRLSIQFPDKRLLQYDCGKLQVLDKLLRRLQ AGGHRALIFTQMTKVLDILERFLNIHGHKYLRLDGATKVEQRQILTDRFNHDPRILCF ILSTRSGGLGINLTGADTVIFYDQDWNPAMDKQCQDRCHRIGQTRDVHIYRLVSEHTI EANILRKASQKQMLDDVVIQEGEFTTDYFNKLSVRDVVNTNGEVVANEDDIAANAAMD RVLGGVESANPRSAARVLEQAEDKEDVAAARVAEKEIRQDDADFLEQQPVSGRASGIS SVGQGTPREGTTEPASALGKSGLGLFAGSADEAVVEEEMEYNAFGERMGTIDDYMLRF MTKALESTPLELPKDKKKSRKRGRDTRKR MYCTH_33514 MLTCRIVRASPIRATALPMAARRLPFIQQRTFLPQSMVGKSKID EKYPDSDYPTLTDAEDPDMNGGYINPPRIKRQFRDPHADWWDKQERRNFGEPVHEDHD ILGMFSPWEYTWIGTGKGLFQIGVFVAVFLSVCYGVKLTYPDRVSYPREFEGGLEREL GGPGAVRVSQAFTKTGELERLRFPHFRRELLYQNHWRPGDDIGRIKLVISEGFPRDSL SAPIERVKNVVAFSFQHAPLEILESNAIAWPNQSMWQCSGLNPVMPVPTYQLEDGPSS HAHSPGRNSLPLRNLKNPGLPAQITVNNVFQNQTGTDFLGNPPFQMPYLGSSTTGSAN TPSYP MYCTH_2129154 MSIYFARSPDTLEFKQRSINGSARWQQSASTTKIFYEPRVAAGT EAASQSLQVRKSDPSAAIFRAPLHPPPPPPPPQQQQQQQHDAHDSRRGSVGRSPEKCD PDPER MYCTH_2129155 MDHILEKQPTTASTSLERAEERPPSSHLPGLARASFMTLPSELL TEICTNLCWYLHAEDDGSSDVAIRAREGQCALARLSRTCRLMREIVRPLLFGRVYASR TSDLYLLVRALVGRAELGSTVTEVVVTTPHQAGEMAYSQQIAGIVHGTAGDRFAALAS LLLHSAPNLTSASFHLLGPPSLVIEQALKRWNTSAVQHLTRLRLRRLTLRAFDRDHQF VLDQAVYLLRAFRGLSGRAPIPNPPRLPNLTELILTDCGVARRGLANLAHALGDRLAR VTVRKDGRRGRGWGPGPAGGAGGAAPEFTIASLELEEILEALRPRWAGTLRELTYHVP RALRLFPAPAPESLAHFGALELLELSTDAVEFDELRRRPGVFASWLPPSLRELRIRGP AVLVSSLRGLLDAVLDGRLPCLARIEITDQACASSASPASPPSPDEVREFRETVAGLR SRGVHVIIHPQDPEPEPED MYCTH_2112181 MARLSALIASTAVFLAFNMLAAPFGLSRGPRLPEKALRCNRVFF GDVLPPDATLEKVAVVREGGSYGEGEANVAYSVDPTGLPALCVITVRVRSSSTSSYRL GLFLPDKWNSRFLVVGNGGFAGGINWLDILYNFPVTDPKHLSVADTALIADEVIRQCD LADGVQDGIVSTPDRCAPELTVLLCSGKDNGAKNAPTSGKADCLRPAQLETARNVYSD WTLPPNNELLHPGLTFSSEGEWLLILNGSEPVPYGIGYARDFLFDDDGGGGGGGSGSD APPWDWRTSFNESVVRYADEHDPGNATADDCAALGAVRERGGKVVIYHGLADGLVPTK GTGVYWNRTLDALGGLGGLGGLEDFMRLFLVPGMGHCYGTAVDAPWNFGGAFQAGLIG SGVWSVPGFEDAEHDALMALVDWVEKGKPLDSIVATTAQETLLVGRWEGHHRLVHGPA CHLALTRVRPQLLKTLGLRVSCIKIDPYVSVDAGLMAPAEHGECFVLCSGGEVDLDLG NYERYLSVRLTSDHNITTGKVYLNVIQKERRGDYLGKTVQIVPHVTDSIKEWIRRVSK IPVDGSNEEPDVCIIELGGTIGDIESMPFIEALTQLRHEAGSGNFMNIHVSYVPTVHG EQKTKPTQHAVKSIRSHGLIPDIVACRCETPLAESTVAKLALHCQVEADQVLVVRDMP TIYQVPLLLREQELIRQLRAKLALDKLTITPEMVAQGEALWDLWTSVVTPTYQEEVKI ALVGKYVRCQDAYLSVVKALEHSAMRIRRKLNLMWIDSSDLEPTTGGGAAQAKYHKAW HDVSTAEGIIVPGGFGHRGTEGMMRVTKWARENNIPFLGVCLGFQVAAIQFARDLCGM PEATSEEFDAQAKDLVVVNMPELDKQNMGGTMRLGLRKTIFQPGTEWSRARALYGGVE VIEERHRHRYEINPQLVETLEKAGLHFVGKDETGDRMEVFELKDHPFFVGTQFHAEYQ SQVVHPSRPYLGFIAASAGCLDKVLNQKPVEPFKTVAVLNGTK MYCTH_2095369 MSSDSVHETDPEKAAAAHKAELDAETNEKTPADAAVGYTAAEER ALLRRQDLTILPLSAAIYFLCYLDRSNIGNARILNSTSGDDMQTEIGATPRQFNIALM VFLVGYALFEVPSNVLLKKLRPSRWLAFLMFSWGAITMGMSGVRSFSATAGVRFLLGA AEAGLFPGLVYYLTFWYRADERSVRVAFILASATLAGAFGGAIAYAIGHMNGARGWSA WRWLFLIEGAPSCASALLVWFFLPDYPEESLSGRHRQVAIDRLRVEGSKAGHRTMTWA DAKATLTDWRLYGHYIIYFAVSLPFSSLSLFTPSITAGLGYKDLQAQLMTVPPWAAAY VTQIVVSYSADRFNARGIHSAALALVGGVGFMASALLPPDAYLHRYGCLIVATAGSFA CIPPLLGWLTSNVHSTASVGLAIAINVSFGAGMGQIPGVWIYKADEAARGYPTGHWAN AAMLFLVALGALGLRVLYGYRNRKLLRESGGQEVRLYKL MYCTH_2308893 MAPTVSLVLGGLAATYVFLRVLLTLTQDAREPPAILTDIPFVQP LIGMIREKAGFYIRLRDETRLPIYTLRLPFQRIYVVNATELIPVLQKQWRVISFAAIA ADAGNVVGISKEGLEIMKRDLTSEHGFSTSWPRYIMPTMAPGPDLDAINRRAIEIYVD EMARLRARAAGEEGQPGKVVVGLWEWTRRMMVDSTTEAVWGPENPYRDPAVADAWKTF EAGFLTMSMFPLAWLLFPKLHAAREVAARAMIDYMRRGGYKTASGLVRRRVEHHCDLF GLSLDDVGRGELGNTFAVLGNTTPCALWVLYQIVSDDRVLADVRAELEALVEEEVVEG EEEEAEDGPTLVSSIDLARIKESCPVLLSTFQETLRFRAVNPGPRVLLEDVSLDGGRT LLKKGAMLMIPAPVQHTDTDAWGDDARQFDHRRFAPPSAGDGGGSAQGNNKKRKKPNR VAFRAFGGGHVLCPGRHFASTEILSLAALLVLQFDVVPVAGRWVEPTWDNSPAQAGFP VIDQDIPVELRPRDPRRKWRVVYSGSDKAMNIVAEDISAGGRGAL MYCTH_2308894 MAVDTSSALFAPTGNDNIAPTPFYVLNWVFFVLCVVAFVMRAYV RYLCFRRLVLEDYLMLVALVLHCAEAVLVQLYVGYMYDVEAVEKGDFSVMGPDFFPNS KKGFAALGASVNITIVGVLIIKLNFLIFFKRLGTCIRKFNIAWWAVTFFTVASAITQI GMQTFGCFFGSTDYIFSEHCAAEPALTRIFANAIYSAVVDAVSDVLIVCLPVWILWGS GITLRKKLALTFVFSLVWLTIAITIVRGSIFHKQYSMAASGEGSQMQSATFTWFWFYT EFSVAFLIACFVSFRSLFVQRSKQASTPRQQQELREKAYQSALRRRADRSWRARWHYL HESLLDMCRTLEGWSGSDDETLRSRGWLPHVPSGLMTVDFQDDDNWMRKRNLNNTAIK STDKNNNNNDNNNNNNNNKTDDNNGKAAQDTIIKTVTTTMTTTTTMSTLREEEEGVAV ESPYDPYQENPPRYDLEQQQQQQQQQQRYLRYGLYPQHQQYSYPRQQQPQYYAQHPQH PQHPQHPQQQQEQQQQQQQYTMDTRPGSLHSEEMLLQEPEPAHVRRGGLGPVGMAR MYCTH_95817 MRSQNFGCEREDWARVCGVATIRPVPRSQDETNEKPRPARFELD PLGDLSPPLRRQRGAVSTGAAPARPNNLRQAAKTRCLLGKVVRPGDCGAAQRGAAAPA PPADHLNDLGLPRYHSGGARMQPFGGYLAQPTPCVACCTRLSVQLGPPSVFRECRAGT SIPYGVLLVDSRFLWSPSLWRSSSVQGITQYVSWGV MYCTH_108615 MALLALMLWAPLAWLAAWTAYSWYCLYCNYQEARTLGVPVRVIP IDHLNKLWLLVDKQVVSLVRRLPGPLGNNSFTRFNYRGWHEDDGLRAHDEMGDAWVLV TPCRNWLYLADPDALMSMYRRGKDFPRWVEITKMLDVFGGPNIATASGDEWRRIRRIA NSAMNERCNAVVWDESAALGEAMARYWASKGVFTSVGEDSRTVTLHVLAKACFGQSFP FEGHDERAPTSASARFRFSLLTVMENALLILALTPRFFTCPWLPLPPAWRRLGAACEE FKRHMASFYRRELRALREEEGEGEGEGEEENGEKKKTKRDYTLIGALVRESRGRLGKS IDESNQDWEKQLQKDENSKKEEGEEESWEEESWEEGAGHYGIGTGKATGKIGGGGGGG GGMTEDEIYGNMFVFSFAGHDTTAHLLTYAVFFLAANPSVQSWVAAEIRRVLGTRPRS EWSYHGDFPRLRRCLAVLYETLRVKTPVCEVKWTAGRAQQLPLGVGGGGGGGGGMGVG VGGPRSITVPPRTLVVPSYLYVQKHARYWGPDAAEWRPERWIARRDQVLTADGQLTCH AGNDNTRFNNAAVADAGAGAGDGDGDEILLPPPSRGNFLGWSEGARDCPGKRFSHVEW VAFLAALFRDWKVEPQLRDGETFAEARTRLLDFVEADTGYGGLLLQLLHPERVPLVWK PREP MYCTH_2308895 MALVLVPIAGAAVVALVVFLLDRLLNLPHDPQEPPLVRPKIPLI GHVIGLLRHGTRYYSMIAEECKQPIFTLGVPRGKMYIVTSPGLIAACDRRSKVVSFAP YVVEFGKRILAGSEHSVRLLSEDLLEEKEEAKGSGGDGSSSSSSLRPETMAAMHRSQA PGEHLDALMRVALRSAATHIDSIIGDAAAQGAAGVPLFGWVRQFMTRAGTDAVYGEEK NPFRDPEVAESFWAVDRDFALLGLMVLPDLLAPRGSRGRKRFFRAFREYYASGGLETA SYLIKARYEVNKKYGVSDEDIARFDLGVCTALLVNTAPAVGWTLCHAYSDRALLAELR RGIDAAVFPGGAPQTAAAVDVTVNICEVAEALPLLESFVREVLRVQSNSASARFVLRD TVLDEGGGGGGAGGRRYLVKAGSFLGMPSAPVHSDEAVWGPTARSFDPTRFLPERQKE RKVPASAWRTFGGGNALCPGRHLALREIMSVLVIMVLRFDLEPCEDGGRWEMPAKRHH ISTSILTPVDDIRVRVRPRKELARVTSWNFVWEPTAPKE MYCTH_2135245 MLLGIGLHHRLPSVSLPPQNGNGEGEYDGGGSGGGGGGGGGGGC FGLVDMPIPNPSSLPAGPNDVLATGFDTAHVQVTTGSGLHDRTVAEHTLGLLLKRDLA LLRNARLPGAAKHLVYIE MYCTH_56270 MPALSPLLLAGLATLVSAETRGITPHDMYSSSVGVLGCKIDTNR VAYWPMAVDCDNICVRVTYNGRSVDLLRIDQSGGAYDISYDAWAYLQTGQSAAQNPIT GGAVNMQIETVSADNCLKHLKTGGLPLSASNSINYVASCLGQPNSWVAQHYQLFNIQD PVCHWGWDEQCSLNLAVSNQPTCPHQLGTTNGRLPDSVFNIQYGTGKTVAAP MYCTH_2066477 ISNYGFIVIACSYCIEYNQVYKMIEKSCRYKACIRQGHTYNGSS VLVSSLDHIIYEQRRLKAKEKEAKALL MYCTH_2066229 FMPSNQGEKPYFLLEVRNDLIGFIEAEVLPNKLARAVKNFINYN IFLLIVVNRGSKFKGEVKAILEELGVKCIIISPYNSRANNINKARYIPIIATLAKITV KIRKN MYCTH_95813 MARVGLAYKLGKKEKGKNKYRSYKEVGQIISLYILLDTRKKIYL LYKTYADGAKIRLRIRQHVSWNSLALPGVRRRWQPSRWQLPRGLNQGLSNICALAGYG DENNFISLASRPPPFPWRTTQMQDAETPASNRTSTEANKKFPHALRLFTSTYTIVYRR FGDNTILSYLHVTLVFIYHLTFCPDAIAHVAPHLPWKLTALMLNPLVSFSSSSAEQQH NGSNLLESEGFPAIREVRKGEDEDVEVTKTTKEESSAGNGGQENTKSLKLDLARRGRR PLPDDYAMRGFPWVERYFPGNWFNTDKRVDDDDKYLELASMILERRNRLLWLGTRMFG VNPAYEVELDLQLPEMPPIPSQSVDMDIEDLPDAGSVA MYCTH_2308902 MRRSTRKMFPFEHGQILQGRISSYTIAAALRNRQGGPWLATGPK QERVTVKAAPAKRLENEARMLRLFQGCDSIRQLVDKVEDPRSLVLEYMDDTAFNLLKA KRLPKAEAKRALKATVQALVVLHEKNIVHTDIKPDNILVKYSPAGTLYKLGDLGDCST PDVPSNDGGHLIGAELFCAPEVLLGIPWTVKADIWGLGATGITLITGRYIFLPRNAPP PGDPRLSLAVLQIQNDFYGPISRQALHGLADDSIQPLLEQFEVNYRPFPLSSASDMIN QEDLDFFGHIMKIDPRQRPTAKEILGHPWFNGV MYCTH_95811 MATYVDRVLKQDILLSLDPGRDDCLYRVRRISSGVNRVVYVTIT NLNIIPEEKRTYGPSVIKELSKLSEWSGDWTTLRVYMDGDRIRCETDAFQPHALPEEH VLERYPKYDIFSFDVRRSVNHRVSEVVYGGRTAFLKIARFPFELPLLIREVEAYHRLA ESDVAPKLIGYVFEESPDRVVGFLVESVEGRVANVADFEECSKALEKLHNLVVHGDLC RYNIIITTNGPKFIDFEHSTPITEAENGLVDDEKQSLAEKLVDESGAGRPWDMN MYCTH_2129170 MSSHLMAATTHVLPPPLSILLALLRASIADQLRSKIIVEHPIRN SLNAFRGSYRSIYNRKSIAFSINAFDQLDHEGRVVAPYGATFYDLSSDDFDLDRIKPL RKVDLADDSDDSCIWDRVYDAVTESTPPPRPVASSV MYCTH_2308910 MNIDSANNDDTNAPEKPYQGRSGQPPPSSTLNLYRRLPFGRKHF FGHENPDRAAEFFVVNPVPHKHHNSWRPIFYRGDNPKYSGLSTPVGRALRTKMWNRFY IQIGDGVAEVLQNKERVKKRKKYERKQKLRKFFCQGEKPPPEPLEDPQEVRGLVAVFE MKRCGFLGRALEWELGGQRYQWKGTRRFQTGAFRGLKGVSHDFKLVDANNNIVATFEK DRWASCKRSEKLGRPPNKKRLFLGTLQRYPAADAPPPAAVLEAVRSAGPDAAYGLPEK LTKYLNLQGSHSGDLTEEAIAFTCWIAVEAEHRLRYKIFDLIEEVLENIGS MYCTH_2026172 TIPTLTLAIYAVATAATGSLEPRQGTDSLSSVTCGSTSYTKQEI DDAVAEGCRLYAAGEQLGTNNYPHRFNNREGLTFSISGPFQEFPLLASGAVYSGGAPG PDRVVINPNYRGSCVYAGAMTHTGAPNRNGFVKCEEEEESSPSDGAGSTTRTASSTAF SSHTASRTSTSATSTSDPTSTANPDDNAAGRVVSGTGGQGLVMGIV MYCTH_2315969 MSTPAQLLEPLTNPSATADTVSAAVQGLNNQARASASTIGDYLW DAFNAVFKAAGRTPPEHQGHLIDFLAQLRGTTVTDAEGKALKHEDGEVWRDLPTFGWV ARDLWNFEPTEPSATAQDISKWENWTTFLAQLTARSAGGGSDPFDFSVFALWALRDAL EEEGGSASKPAVRLASLWVRFVGERLRKLSAETRDLDGNMGSSAGKYGQRGWKGFNED RWKAWADELKTAQATLGPDETIEGAVKLMEEL MYCTH_2308916 MNQTSPTEGEARALLATAESVTGLVLDDHDPRIFIKEESTSPFP DDGRSPGAGALKTESASPPQKLPTAPARKASLAYQRPGRHGVKGASSRASLAKEPPTP GQPIVPRKNQDWEPWKGILYELYITQNRILRDIITIMETKHNLRATSKMYKNQLARWG FFKYAIKGRSRAKAEAPNDRGSDDSFDNALISPRDQLLLHADSGSRSIQAGLTAVRRF IHGHIDLDPSNLQVEEVAGFVDPCYRYFKVAMDLFDLQENVEGGRVLRLAFLQIERKI SKPTLKSFSDLCFLVPHLLLESNRRDILSAYLHYVSRLAVVKFGKHPVSELAASFTSL LNERPEDMMRFIVLLFQLNADTIASLPGILDRNAEWARNQYVACKRTASEVRWLSSPL SVDDGSTSVIGSGSSSSSSSSSSSSSGRIDEDGEGYSHRMIRLEAQSVYWAQKLIMHD PVSDEIASQWLQRRFSEDYASKCEAYLAQLKEMVATGGFPVVFARMMESLCVGWLYDY YDAVGDWEKAFEWGRHGLQLASDEQYAIWSIHLEDLMRRHGRPEEAEELRKKRREHSW LERVRLEVDRLILS MYCTH_2067245 ADYRTASTADDDYGAVDGCATVDAYVGSYNSGDGEQAWARCLDF FNMDAGEWRRREALAAAAGGRRGGERKRVPMKKLPGMTVGLFDYQLMGVYNLVRLLLT DVPGGLLCDEQGLGKTQEMYGVVALAHTLRRSRAEVRAAWQKRAADDKNNSTKKNAAN GAGSHASKGAGGTGTVQQHHPPGVVGARSCPFDERYGIRCYCYSELTRALADRLPEGP NIIVAPSRGCASMVRDAKTKLDTKVFKIRGCHEGGDKEDALSSADVGSLRATITASAG RDGSAGCYKYKAEPGQSSYIIFVSPEFIPRLNSQFAVQVKVANSVHKTKKNALLPGVV LMDEFHEYAIGTEDGEEGRTVAWLRHLTRRCLDSDQPTPLAYFVSGTPLGHTPADLRP ALSLLERKPWRDGGHPLNGATAAAFDDLVSTFDGLMARQAGGEVVARPDIADYRRRLD RILKYTMVRRLGTDRFQGRNLTDLGPLRVNITDHQLPAALTDGMRALAGQTRDLAVAA AAEQGISVSRLLRTKKGEALLLKLRLASTFPAIAAAAAATAAIATPAADFAFTSSETH RQLAAAKGDVTRTMYYTHVAAWSQGSPKLETIRQTITTMLADKTPIPGSPSTAKKYCI FTPIEVEAVLIQCYLLRLKSSSSSSSSLSSLLRGLKPVLLHGGMAQAERQRVLDAFLA EGSAAAPNVLVAPLALAGTGLNLQRARYSTVTGPAWTKRETQQAYYRVHRVGQRMGTR LALLTARWNPAERIVLAGYEGRPVGGETAEVVVVEEEEEEEVWEVGNRFCCRGEGDDG DHGDRGLVERHQMARAA MYCTH_2308921 MVRRPPGHVAPQRKYLLALALILLPWLPLADAQQQHQRQPVAAH QLRSPSEDDQHAAVSGLTATTAVTGRETAEIPRIQQQRKALRSREADGSEELRQRILA HPRGDHDVYDDGSNKNNDYNRNHNNFNSDDARAPALAPDLSVRAPPPSQYRGPNPGAG LSQHVARSLEDWEVEDFVLLATVDGDLYASDRKTGQERWHFKADHPMVETRHFRTNRS VLDDDYDPIDHYIWVVEPTRDGELYLWRPNENGAGLAKMTLTMKKLVEELAPFNDKTN RVLYTGDKKTTMVTLNAATGTIIKQFGSTGSYVNKVESESCFKPNALADGEEECSEDR TITLGRTEYTVSIHRSDGQPIASLKYSEWGPNMQDSDLVQQNLITKDSRYVTSQHDGK IYGFEYGRFSEERPVFTKTLSSPVARVFDVLHRWEPSTGKDPELIVLPQPPLPAGDED SLRLRSEKVFINQTEEGGWYALSGSRYPLILSAPLAPIHRVDWWRIREAWDLLPESQK SKALVGTHQLPNGLSTADTVQQPASRLLLDAPRGEPEGLIDGNIAKPPPPPPLPPPQP EPSRIFETAKRVPEFVVTRVFDLVSNPAAIVIFVVTVWVLYKERIVHFGRSFKGRVDL LAPGIAVSRTEPGPEAPPKDKTVVAESAEPKTQIAAPDAASDAAPVNTVVPVDTVPAI PAVDAPPEAPPDAQPKPQVPSREPPPTVTFAEPPANNEAAEGAEAAAAAAEPVKKKKG HRGRRGGVKHRKGGNKDKRDNSQSRDDDPAQETVDEVVNKAKTLVREPKLEPDIITVS GAADEVSGHILKMGSLEVNEAEQLGTGSNGTIVFAGKWDGRDVAVKRMLVQFNEIASQ ETRLLRESDDHPNVIRYYAQQERAAFLYIALELCQASLADIIQKPHCYRELAQAGERD LPGVLYQIASGLSHLHSLRIVHRDLKPQNILVNMGKNGQPRILVSDFGLCKKLEGGQS SFGATTAHAAGTTGWRAPELLIDDDAPGSTTMTLTDPGSSLHSASGSGVVEGPGPHSR RVTRAIDIFSLGLVFFYVLTRGNHPFDCGDRFMREVNIRKGNYSLQLLDSLGDFAFEA RDLIGSMLNANPKLRPTALEVMAHPFFWNYKKRLAFLCDVSDHFEKEPRDPPSAALSH LESYAPEVVQGDFLKHLPREFVESLGKQRKYTGTRLLDLLRALRNKRNHYEDMPDSLK KTVGPLPDGYLAFWACRFPNLLIVCWNVVYNLHWENTDRFRDYYVPAPPSSSM MYCTH_2308922 MASTGAAAPANNGGGAPDNAPSGPRGGGHRGKGRGRGRGGRARG RGRGGSHHGGTHNNPPAEAAGEPASDEPKAPPAGKQPNFKIKNDTRDEGADGDVEVCF ICANPISHHSVAPCNHVTCHICALRLRALYKNKDCPHCRTTAPFVIFTDDGKKRFEEY TDADITSTDENIGIRYAGEDIVGDTVLLLRYNCPDAECDFAGLGWQDLHRHVRNEHRK KMCDLCTRNKKVFTHEHDIFTDKQLTEHMRRGDDKPGAADQTGFRGHPLCGFCGERFY DSDKLYEHCRNKHERCFICDRHSSQPQYYLDYNELEKHFKEAHFLCLDRNCLEKKFVV FETALDLKAHQLEEHGDVYARGRESRVVDLSNFDLRQRYEQERRAGGNVRERRRRGPD PNAEPLPVSSAQPLRRDELAFQRQMALQTGATRPAPPGPSRPTPSNAAPQPRPPASSG RPILDAMENLSITDLSNLTPEQRASLTRHGAVIERASNLLGNDATKMATFRSHVSTYN KGSMTPEQLIDAFFALFSETSSNALGTVVREVADLFEDKKKGEALRRAWNNWRAINED YPSLPSLEGMRGATTSTTGWAAAAAASSSTANPAANAAAQLRHSTRVLKLKNSTRRGS VGSVMSLASGAPSSSTPAPSVSLSTPFASAAGGSPSASVPSAAAFPALPGASRPSVTQ PTWIGGSTPAISRGAGSGALPPPQRSQQAGKTTSVPGNSEEAFPALPAAPKPQTTIFG YGRGAVRRDFGTARETGFSWGAGGSGSNTPGTPQTGVGADGGGDGQQQQAGAGGGKKK KKQVLVQWG MYCTH_2308924 MSAPLTDDERATYTRIIDDILASADLETVTRKKIRQGLEEAVGK DLSDQKDAIKRLIEARFDAISNEIAQVPTPTSGANGTNGHSASDGETGEGAIEVSLPP ASKKQKRESPSEDADARLAAELQAQENRLSRGRVTRGAGTAKTKMKAKATKKKSAKRV RSDDDSNAEDSEGSEKPKRKAGGGFQKPFNLSEPLANLCGESQLSRPQVVKKLWDYIK ENGLQDPNDKRQIRCDEKLHAVFKQDKINMFSMNKLLGNQLYPVEE MYCTH_2308927 MSLLFTSRALRLTSTTTRPAARSSTQIYRTRFRTRHISPLQTTI RTLAIKLPNMAANIPLATLGANPEVAKKIQDLLLPEYDLVHICLNLDSAIAELPGVCA GGANAASLLPSSGLGSNVSRPAAERKVPRGIIFGAGISDGDLARVMDAVKKDAPETKV VRVTREAILAKGAQTPSPEIITKVLREILAAMVEKGEL MYCTH_2308929 MSSFSPTQIFEEGTTEEKGENARLAAFVGAIAVGDLVKSTLGPK GMDKILQSASTGEIMVTNDGATILKAIALDNAAAKVLVNISKVQDDEVGDGTTSVAVL AAELLREAEQLVAKKIHPQTIIEGYRIASQAALKALEDSAVDHSKDPEAFKKDLLAIA KTTLSSKVLAQDREHFAKLAVEAVLRLKGSPDLSHIQIIKKAGGKLSESYLDEGFILD KKIGVNQPKRLEKAKILVANTSMDTDKVKIFGAQLKVNSTSKLAELERAEREKMKAKV EKIKAHGINCFINRQLIYNWPEQLFTDAGIMSIEHADFDGIERLALVTGGEIASTFDH PEQVKLGHCDLIEEVMIGEDTLIKFSGVAAGEACTIVLRGATDQLLDEAERSLHDALA VLSQTVKEPRTTLGGGCAEMVMAKAVEAASTRVEGKKQTAVMSFAVALRQLPTILADN AGLDSSDLVARLRKAIYDGMTTYGLDLMTPGGGVTDMRELGVIESYKLKRAVVNSASE AAELLLRVDDIIRAAPRKRERH MYCTH_2308932 MAPSFDHLREEDLDEEDFDMDEVDISDLREKYEVQLEQGYDTFV VIDGLPAVTEEQKPKLIKFLLKKLNTVGRTSEDAIYMPMGDDGMSLRFAFVEYSSPAE AAAAVRQLDFVPLDKKHTLRVNKLTDIDRYGREGRIDEEYKPPKIEPFQEKEHLRSFM ADPSGRGRDQFVMFRGDTVGVFWNNEKDEPENIVDRQQWTETFVQWSPMGTYLTSVHA QGVLLWGGASWSRLRRFPHPFVNLIAFSPNEKYLVTWSNRPISIPDSGHPALSLDDDG KNYVIWDIETAKPLRSFAQQDTTGDDPVAKKAAKFPWPAFKWSADDKYVARLNQGTSI SVYELPKMNLLDKTAIKIEGVMDFEWAPATPRRDGVKTYEQLFCFWTPEIGSNPARVG LMSIPSKQIVRSLNLFSVSDVKLHWQSDAAYICVKVDRHSKSKKSQATTLEIFRVREK GVPVEVVDTIKDTVINFAWEPKGDRFVIITTTEPVGATAVPPKTAVSFFCPEKAKGNA VGNFKHLRTLDKKNSNAIYWSPKGRFVVVATIHNAQSSDLDFFDVDFEGDKPESEKDL TANLQLMNTSEHYGITDVEWDPSGRYVATWASVWKHAMENGYHLYDFKGELLREEVIE KFKQWAWRPRPPTLLTKEEQKQIRKNLREYSRIFEQEDAERISSADVAVVEARRRLLQ QWYAWRQSVEEELAEERAALGLPEKPVAELLKEKAAAAAPAGEEQVVEEVMEEVLEET EEIVN MYCTH_2308935 MRDLGTHRLSSGGCYATSDPSIEPGDYETDAFGDSPVVGGETNG LPAAVCLPVVSQKEDSVSLSFEAEDWPRDAHPSLAHLTGCSPTNWESFLLRGWRGVSE AKKASVSLRNHLIRGADRLGHKPDGTSTQSCVSRSGPPCLRLMRVEAFENQLLA MYCTH_2308936 MLADHEKIEQLTAEVKELLTQLKKHTGTQDVWSAADPPPVIPSI ERDPTQQPSRAALEWKYIHAPIRGYSDDVREHTYVPSTDVAEEDDEGAEADAEDDPST SRESTEEHEEDEVVLPSVESRSVSKSECTAPSTSTWRKRKRRDDKRNTCAITTTSGTK KRPRREQKENAGKQGREMKGSTRNPIVIVVSDDEGKRNQEDGQHQAAAGEENEKQSKK ETPVVNPYLEKIAQERARLQALMAQSGLLGQAKRGGGRGHANVSTKRRPR MYCTH_88639 MAPATASSVPTANKPFLRRNVTSATLDSDSSTAVSPTDSPRQSP STTSLSSLASDEVPPSKKYGKLIDTYGNEFEVPDFTIKDIRDAIPKHCYERSAVRSLG YVARDMLYLATTFYVWNKFVTPEYIPYQPLRFVLWGVYTFLQGLFGTGLWVLAHECGH GAFSPSQKLNNFVGWILHSALLVPYFSWQISHSKHHKATGNMERDMVFVPRTREQHAT RIGRLAHELSELTEETPIHTFLHLLGQQLVGWWNYLLTNVTGHDNHERQREGRGKGKR NGWGGGVNHFDPRSPLYENKDIPYILLSDLGLIITISVLVYLGKTFGWFNMFVWYFLP YLWVNHWLVAITYLQHTDPSLPHYTESEWNFVRGAAATIDREFGFIGRHLLHGIIETH VLHHYVSTIPFYNADEATEAIKPVMGRHYRADTRDGPVGFLKAMWKSARMCQWVEPTE GAEGPAKGILFFRNHNGLGTAPAKMKPVSQ MYCTH_2120158 MRFPFSLFVWVASIFATVAASSAFGNFSRSCTGVGLVRSFFLGA TCCHPEDDGTYTESANELDLTMCIGLDQTSGRMRWEVYGKFSNYCTDCTIYTPTGKVE HLLTCSCEPLVGGRGPVRSTLNLDEGIANDWGILKCAGGIAASPRGGGD MYCTH_2308943 MMIYENPLHDAVLPGPGSPPGMTASKSSKSSSLSSLPSDDDSVL GDVAHFEDIGLDDGQPDPRTASDKHIKMAPHRYGPSFSSDLRAVAKRPASASTPRLQY SRESSRSRQNRDVTPALKSRPTLTLQSQTRTTNGRDASLGVLPEPATRPLPFRTLGRQ PSQTSLSRHRSPSPSLSLSPRNPNMMMKPRRSSWQSNRERKTALELEMECDEDDGDDI PEGLVLDNVPISPRPPSERSNSRPPSKAPSPERPPKERVRSIGNGTPAVAVAQGSLRS PTWKSESALSTMSSAASSRVSSPVSSRAKGWSAALAELNAEAKALTEKLEEHAEKMEQ KAQQRSSTGSMPNTRRSSDPEVKPRVKSAMAELPPLRRSNIMIDPLPISKEKEAVLSR TRPSWLPPKDPAEERRHLKEYQKMMAQSLEAERRRQAAKRARSECRDVAADSIMHIWE REIIPRWNEAIRERRTRDMWWRGIAPRSRGAVWTRAVGNELGLTKTSFEAALRRAREA ETRAKSGHGSAEDMRAVAWFNAISEDVRERTWPDLRIFQPGGPLHQSLIDVLRAHAMY RSDIGYVPGCNTIAALLLLNLPTPTDSFIALANILNRPLPLSFYASDTGAKNSAYNLV LHTLLQKSSALHDHLTKLAGADRNLDAFLESVFTGLFTRHLALDEVTRLWDVYVFEGD ALLVRAAVALLLQHEMALLAAGSVAEVQAVLLGGSVVVVPAAPASPSADGSASTGGGA RLSVISPPPKSPRVVGGQGDEERWMTAVREAGKV MYCTH_2308944 MMLAHQCSARTYLALLVALLFSILLLTAHRLHNGYPIDLPHLPD GLRDHDAGAGQKDPQRDPEIETSSTEAGGSQSQAGASGTGSASSDFCGRDWEFLRSKD LGLTENIVYTRRCVKPLHGDVDRNALGNIKDPLISSTTNLNLNADCSREAPPPCEPLV LEVPPAFPEPNGQYGHLLFGIASTYERVKESLPVFAHWLADTGAQLLAVVADADDPVL KPDLKALEAQYRDHKVNATIVSPRFKESLPRKNTKDEKPKRPAAVEQLHFLLIRDMLE ASTPQTQWLGVLDDDTFFPALHPLSVALSEHDHTKPAWLGALADNWISIKIWGYMAYG GAGTFLSVPLARELDPHLEDCVRETVVPSGDGMLRDCMYTRTTTKLTIVDDLYQNDIR GNPAGFFESGRRVLSIHHWKSWYQAPVSIMAAIARVCGDCFLQRWRFGTDTLLANGYS ISVYRDGIDKIDLDRMESTFDEADGRFDFIYGPFRPRLPDDKKKSYQLEAVDGGFGKG EKFRQLYVHRSKRDEANTQAVDEVVELVWDI MYCTH_2308945 METRSLRTRVANACDLCKLRKIKCSGARPCAYCVRRRQADSCRY TAPRRRRPRPRSDDVAVEDFSAAAPTPRSSSNSVPSGRGGQEADSYPRQPTTSSSTLA PIDGATREGDTFSSAGSILHPSPAQQQARNAVLAALEEHEETEVPREARLLCDAQGKL IFIGDCAPLSFFQTVRRLVTSRVDAEAFAPETSGYSALANVYSRPGESGTYGVEPPAI KIPIRPTVAAYIDVTAGLIDIFDNARLADDIAAWAEEPRPRDAAVDVPSAVNYLVLAI GCQRSDEHTARLYFEYARNLAFTSLSGNVGVASVQAFILVTFYSLGACQINGAFLFFG IAARAAYSIGIHRTAVNVRFGPDVHRLRDRLWKSLRVLDLFLSTSMGRPPATSDVDCT VPYRAQDEDGQERFDLLNASAQIFLVIEAIVLEVYSRRKISPRLTEGISRELRDWSDR WLQRLKETVDKALPGDPPGTANGACQVLSNYYYAVILVSRPFLMVELHRRLSEKSLFA DRCLTSKAKLADACIDAAILMVEPVQSLIERGLMTRRAPVVVSWLFASSLVLGMGLMG GFGRVIEKHCRASIAALEYFARADTHAVQYSLIAKSLLSTALQYLERREIEERQQRTE SSSQLFGLIPRTTRVGNNCDRGLSRSRQSSSPRKGSDGSDKAYSGHLDNREPRFNFDF ESTLLGLADMSGTPDFSVAGGDSLDPDAERTFGALNLFPLLETDGHIDLANYF MYCTH_2308947 MKPNFLPSSPNRGLLGSNKRGFFNDLQESPTARRAKRVRKNRKR RSKRSEDKRLELEKWSSVDSPWAHSPIIQNQTSDMPALPLSLKEEDEITHALMSGEED INEDQKERSKNIGANEIKNPGNWAEHRPDEGLRYPIDDGIYKIPAAPGPSNPKIIHQH AYEPRELTPLGGLVPNRDYTFRAYPNPVPTHLPPFVQTSEEPRRKKCAESTTAVMSRK SDRATAPFPDTPQPSKEEPTIASSPIQPTATAHKTTATATETERDVLTVPTSTKTLKA INKRLKALETGLAASSASRRAAKKDQILPRKEIEALRTDIARLHDRLDRDELRAAFRH SMLFNSLTKLAGDVGALSGEVALLLAGDRREQAERDHPAEGATEGGQGAGAGTPRAAV VARDSRYRLTKSMQQSRKTLEQCLRRYTEDMNRAESKDDVVKYGGLVVQYAGDLFKTF G MYCTH_103334 MAPTTTVLLGALLLASSSVNAQQAKLQVNLNSAESIKSAAKIVA KNLYSYYHGNEPGQTPGILPGPPPGGPYYWWQAGAMWGTYIDYWFYTGDDTYNAETTR SLLFQAEPPANAYMPKNWTASLGNDDQGFWGMAAMLAAEVNFPNPPKDQPQWLALAQA VFNTQAPRWETEYCAGGLRWQVVSTNGGYDYKNTIANAVFMNIAARLARYTNNDTYAL WATKAWDWIEAMGYVTDKYDVLDGAHIGHNCTDLNPVQFSANAAMLIHATAVMYNYTT GETRAKWRRHVAGLLNHTIDHFFPEGIMVERACELEDRVQCNTDQHSFKGYMHRALAT VAVLAPFTYETITKTLRSSTEGCVSSCLADGTCGFRWNTGEYDGDTAAGPAGQEMSAL AALSTMLLEQEKVLKGPLTNTTGGTSQGDPNAGQKFEGVSPPREITAGDRAGAGILTA VVLASFLGSLVWMGMGWSEK MYCTH_2308951 MASTVNRAVDPWNQETKEKFEGKDRSEYLDPCQEAAARSIRCLN RNGGDRTLCSDYFQAYRDCKKAWIEKRKMEKKKAGGFFS MYCTH_2308952 MAPYRYGQPALKSSVDLQLQTAFRDGNWHTVIRLAAKRAATLKD PYYEAIKICAESQLDGAAEKCGALVAIDELVKNKKTPDIDTIELYEWACRDFFDYDVE YADTLGPLRARWAKANPSSPLALQCLQACLERWDLVSAQQIATSLDKAHANTSDRRYM FWNIILTFLLSISPQCTDASRKVYSLLAVRQLERAADLTDNSAKLEPTDRGLLTEEEV SLYYRVLRSHGTKEEFLSRIKSPKLGAISQLKEGRKSLLYEALDALEAWGEWDLTYSL CREALSLGLEGGSSPFFVCDLQIWKKFATAASKVPDSDSALGEVQAILNKYIEIKDKA SAMYKKNLSLALLETTFRLPASVLKPNHDNTGLSPRVVQIGLFLEQYFERLSAFDDVK GYVAELGFEEIKTLMEDVLPKMLGEDSDKARKAVLDALQCKLRYLLSTCPQTLSSQPS VVDGETQEKPFLCRLCNNPASLPCESCLKKLTVDAASAYKQITGDKELVDSIPRLDKD PRLDLALVMGASLLKVSGLRPRNTDVVQSLWKTVDPGLFLQAVLLLDAQLKETPGDTE LRLLLVQLYLLLGCASYAYQLWTPLDVKRTIQDALSPLFFDRISALSPGLFHGTRPLM EPLRSFYSHNLADRSPVRIWDAFSAGSYTSILDMVRYDGNLRRSCTVMMTLVEERRAI RCYGGKIDVEIVEHFLTENIDDNTTLVNNTDYGPFPNLESPHGPPIHEFLRLGPGLSN ERSHLAFLSEQYLDLLLYKPPKDYKPSKQTEVAHRDREYTLETLSRLSKSLNDFLQQP STPSRLTPAEMTYYTVVSLLAAALLIALSTPRSDPVPKTLSLLTSSIKSALTSLRTDY FAISSPSPQPSQTGASKTTTKAGRRSLLPGVSPSLTEMPTFSALRDTALAIRYSAAFV TAAHDRELARDRSGRSGVHRDVLAEMRALDGAAAKVLGEVKGHVQRLKEVLGEAGWLD RILELVFAGENEEDGEDEVARAVEEVIGGRAAAEEWAGKVVESWREGVKGWGMVRME MYCTH_2308955 MALISAKTILTSLCLFHITLGFFFLTNPGTIADQAVVYILGESM GLPNSRSFETQSPALGFLAVVLALFGITDLATLSLPDEIGLDHYWGVQAPLRLILSFL LSFYSFFFSASSPLFYTDDAGTLSSSSSSSSSSRSSSSTSSSSSRFQHPSVHRAAHNP AYVPSAWGGDALKNRVFFTFMFVETISWLWVWVTLGEERREALARKARRRSSSSSGSQ KY MYCTH_2308957 MADTLITEHPEPMLDIDRHLKYWKMCLQAPLPNHYLPNEGVRMT LVYFVVNSIRILEGGTSSTPEKKRPPLIPPQDHRRLRQWILSHQQPGGGFVPSSTLLY PSQGYQAWEAESGAEEREGAGLANLPATLFALQLLALLADDDDDADNGGARSAFDGVD RAQTLRWLRRLQRPDGSFGEVLKLLPGKGWFVGGGYDMRYCYIAASIRWMLRGDVKEG EEGWVEDIDTQALTRYILGSQTYDGGFAGSSQEEPHAGYAYCAIAALSLLDRPLTNST AFHPNAILRSGIRDMPGLIHWLASRQFVYLEPPPRPREDDGDDEDEDEDNFVLPANPA DLANAPAPALRHVACNGRCNKVADTCYTWWVGAALANLGHKEVLDWAPSRRFLLEKMA HRIGGFSKHPGGPPDVYHSCFGLAALAVMGEPGLAEFDSALAVPVATVRVIEKARDAL LERARGKKAGLVKGAVEMGLAMNGSKPAWLGAGEV MYCTH_103330 MRVSFQSLLLLGALSAQASAYASLEYQQQTFPEDNAPPYRVPLL TLHRALVNVSSISDSEGEVGLLLKRLLKDLNYTVELQPVPPSEAGQGPDDRPTRYNVL AWPGRNASRALDKRTIITSHIDVVPPYIPYAIDNETVPPSEVVDFAALPPTTLISGRG SVDAKASVAAQITATNALLSEGAISPDSVVLLYVVGEENSGSGMKHFSDSLSNSSAYP VRPQFRAAIFGEPTENKLACGHKGVTGGTVSAVGKAGHSGYPWLGKSAIHVLIRALDR LLEEDLGSSERYGNTTVNVGLIEGGVAANVIAPAASARVSARVAVGNQTTGGQIVAER IKKLIKDVDSEALQVNITSGVGPVECECEVDGFETVVANYGTDIPNLKGNHVKYLYGP GSILVAHGDNEGLQIKDLEDSVEGYKRLIKHAVGSS MYCTH_2135256 MASLQAIKYSRGKLLVLDQLRLPHEHHYDEVSTAEEAFDCIRSM RVRGAPAIAIVAALAHAVELHNGGCTATTPEDTIAYIESRLDYLKESRPTAVDLSNAI TLLKRAARAAKIEGLGHPEAKEAILNSYIEAAEKILAKDLENNTSIGSFGAAWLQQQY NATPDRPLSVLTHCNTGSLATSGHGTALGIIRTLHAQKLLKHAYCTETRPYNQGSRLT SFELVFEGIPATLITDSMAAALFALHRERMNIGAVIVGADRVVRNGDTANKIGTYALA VLARHHGIKFVVAAPTTSIDLETESGEGIKIEERKPEELTQISGAVVNDDGTVDTSRA ARVAIADQRIDVWNPAFDVTPHALIDAIVTERGTVVKRADGRFNFSQVLPERWRW MYCTH_2135257 MSLQQDRSQRAKTAGPGSGRGAGGESRYTAATGTLASAFSIERL DSFDEVEYFDPHDVYKLLAPGLIPRLPLRDLNWQSHAGPLRSISTLHIELVPAGVDYS AIVTPQASPNPKTPAASDTASTASRDDGFQTAAVGARAGSTEQHADPAGGTLRPPPTG AAKERRHQIPGLRRTPYLKVLLVRCDDNETYKSTTRAEIREWIKANTAGSQGKTGSNA ENHDAFEWLIVHVVLPNSTAATQPRVSGRSPDSGSDGKTASRWRGGSSTLLEKLRADF NSSAKGAVDRVCQIRIGINDVPYNMLPRVVPAVPTGYTETEQDAEKAWADLIAKFKEL ILLSFDRRVTQYEEDIKERDAQRSLPGWNFCTFFILKEGLARGFESVGLVEDALVVYD QLSVGLDNIIQEQAIAGSAEAHGGALLSYTQDLKERAQKAMAEIAGGHLEFEESEAVD LQAGEKKRLENDSIPISSSKKPYRDLILANKVSLLDFRCYIFARQITLLLRLANAWSS REELLAKLKEQQELVPKGVAPRTPAPKLTEEPENLWHLAEICKRTLEFVPAVSSVMRS DIIAAMESEAKDEGAKADSEVSSDPLLSEVIDNMVASFAFSVAQQILAQTSTKALPIP PSTLGGPDSEQKASIPEPKTMMHPARSSSLHTQGPQAPPRSPIGFPGPGRSEGVPAPP YAKAGLEELAAQRAELCALSRNILEECGKKRGWTDGWASVPTVGETGIADFEDVSLAD GDGESEPKREAPERLQTSVAGVDNALLRTALDNKDDFYRLYETLVDKTLRHYTVANHT HAVQANMADLAVLKFHLGELKEAAFYFYRVIPFYGDNGWSLLELSMLVMYARCLKELK RLDDYVNKALRQLLCKAAAAERDRRQQGSQFRLSLTSPTQYPEPSAISGFLADLLEVS ASLEKEVKIPLTNLFCDLSLDGPPFYEDGQDCFSLFLDLNSLLVDEFEATSVSVRISS PTAANKEIWLQTKGPVTIRPGPNKVKVRSTVMMTGAFEVDQVRLVSNKVLLHYERDAF QSVENDSAVLKNPRVNLYQRASCLDVQLLAARDIQLDKKKSLDLEVTTGWNEITSCEI KIKSATGGLRLVMSEAEVIGLPQPTASQGGTFTFGSIGANSSIKIRFPFTVETDVMDV TVRAEVTYTTAKGTFTFFKASSVPISLALEVNVQDIFKHEALFSRFAVSTASASPLRL LKSELLGSDLFESHFGQPSSHPVVVYPKQPASLLYKITRKPEVKLGPKVQKTLYLKLY YTVVRDEIELLFKQALTAALESTPLREFSKVLVSQVLSAVRNDLSADDLEQATLLGEL PTSFLSGINWEKQLPSLGASSDSGTPSLPAFFRSWLRAHPSLPLPHPSSPSPSAPEVT NTILIPVDIPSVSVVHTADLRLQQPLPTVTSSLGESDEGCPTVLVNQLLPATLQLKWT RIWDTAETAASNQPSAVADPATVASRDRDLEFEYEIVAPSDTWLVGGRRKGHFVIPAV ESSSLADGEVFGISSTPETEAEIPVTLIPLREGYLPFPGVEIREVDVSGQRDGGGEGK AGYGQCETDFRNLGETVRVVADRGKVTVSLDASGTSGGPLVLESEGWAGSAGSVIV MYCTH_2308965 MVQPNLQKKLMPSQTMEASGDSKPPQPRAINRIRDKLKTKKGKL ADRKEQLKEMSKPPGGFDATPLPDAPQGYTLKFTFHRVYDLPAGDLHSSSDPFIHATL TAPVPRRHKEDPPLTRRTRTVRRTTEPVWNEDWIVANVPASGFTLKCRLYDEDWPDRD DRLGTVTVRVPHVDENWEGLGPEEHVFEVRKRTGSKRAYLIRGASVATCGNGSVTPRL HISIRVLGKSDPPHAQMYTVGPTTWVKHFSPMIGRLAGVKVNRDEERDAVAGGEQEND RGTKKYDFQANEIQLSGPVPPKLYHRYVEFRPMIGRMFSSRGLRGRVLHKVLQKQHKR IYNYDSSTEYGSFAPCSEEATLQFLRMVHFDEGGRIFTYLITLDGMMRFTETGKEFGI DLLSKHTMHSDVATYVACAGEFFIRRLAHPVSHAGKADAPFRSHQGSSTERASLRASL SASSCRSGQNNNENTSPDDDQPTHPPESPSKGPLAGPPPQKPNRYRLTIDNDSGTYRP DASVLPDLQAFLSRNFPGLDVVAMRCGDDRLSAMKAAQTEAKKRSTGAGVVRMVPNRN PSSSSFSSGDESRLGTLDRLSPAPGTGGDVPGEDAGGGEGRAAPLRSKKERAFDLASE PGRWREVLGLTGPEGIWKRRMKRIKNSGGGRGGQKQDGRG MYCTH_2120170 MQSTNVKSEALGRKAKLAQSYQELLDEFSDKELKSVGNYTLGRL IGKGSFGKVYLATHKLTNGSKVVLKSANKDDSNLAREIHHHRQFVHPHIARLYEVIVT ETLVWLVLEYCPGDELYNYLLKHGKLPVEKVQKIFTQLVGAVSYVHRQSCVHRDLKLE NILLDKHENVKLCDFGFTREYEGKANYLQTFCGTICYSAPEMLKGEKYAGEKVDVWSL GVILYALLCGELPFDDDDDNVTRDKILNHEPHYPDHIPPDALSLLKSLLSKRPLLRPT LPEILAHPFLAEYAPQQQEILKLERPEPFSTPLEKETLHRMRSAGVDTDSVIESVLAQ KCNVLAGWWTLLIEKEERKQRRRERKRKEREMENRSSRRFSQASSRLNALATVEESFV KLSDPPAPRPRGRSERRSGHYPSLVIPDMPDLSDLAKVANGNLSPDSEAPPPPVDKDS IRSVSSSRHPKPLPPPKEGLLRSARSRGSTLHLMTTGDVIDANGASHADDPKKVKKRP SHAIIATWKNWTHWLYENTRRHKGAHKRGSQSTPNLAGKSGSGKEGKMKEDSPRPQTS KYPASGSASSPQTVSLPKGVVANGYAAKGASSTAGASSSRAAAPSGLTTPPIPGHNPR LQTSGSSYKRHSLSPSPITPRSTVRRLSGPTGLRGRKSTSSSVSSVRSLHHTHHHSHS KASSTSSNGSVSTSVSKTPMQTTRSPHHSVKVLPATPTAGGFPGFPSNIRLVRDRSGP PLSIFNESLPGSRSAMAGESPAPGSPNPFASGGAGGIGGVMFAKRKRNLFKGPMLSLS GHHHHHNGRESGKGGVAGRNGSGGSGTGSHSRNASASGLGRRSGEITIEEVDEDEEEE EGGRFVMGGGAVRPHEEFGAMGGAMELGGAEVEVEEVDSFAPIVKRPGEVVEEKIYEE GEVEGEGGGQGVVGLQPAATIKSASEAGI MYCTH_2082794 MDWARDQYNKQYNAWVPWLEDLYLRYFTRDNKASYTTRNNLGKT KVTNVSQVDALQDSANDVVADQIGQDGLGRPVGDHVSHEGINRVEREGKDDKGRYVPA PEGVSGPLEAVSGAGNAVVCGLVD MYCTH_2308968 MARRQHLTLSLFAFMVFLALTYFMSTGDSRVEYGPVARPDDTFS HADHSSGSSTSSEVASEGNPAPGISERILTGGSIAPKLENATAKAELGRASWKLFHTM MARFPEEPTADDSLALQTYIQLFARLYPCGDCAAHFRKLLQKYPPQTSSRNAAAGWAC FVHNEVNKRLKKEQFDCSKIGDFYDCGCGEEDAKAAKKDQGAEGTAAADKTEELKGWE GVTLEKEEGLTRGG MYCTH_2308969 MEFGNTGSLSEDGIHLDMDRLKKGEVNLGTSIMAVTFKDGVILG ADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVKYQLELFAMTSGKP PTTQTAAAIFQEICYANKDRLTAGLIIAGWDERHGGQVYSIPLGGSLHKQPYAIGGSG STYIYGFCDANWREGMEEAEAVSFVKEALKEAIKWDGSSGGVIRMVVLTAKGADRHLY LPDTDYKVRHQ MYCTH_2129201 MVEAGADVTILCANGYIALEQAIFSGTAGLISTYTDFKQFGRLP RPRDGLVRTFQLEKPGEENELGVLIFSSYRWLN MYCTH_2129202 MISLVDEKYYERAWCYAEAVMISGLDVSSKRQSALRCTGMGGYQ ASATGEWTLEKARDLVIKMNDKKLMHEQD MYCTH_2308974 MAAIIGDVFADSQSRFHGMIGGHSHDIHYQSRSASRSRDRDVPS HHTPRPTTASPRRASTCVTAAQNQTPSRRLWDEQTQEKTPAKQPNPSRPATGNKARLP SPPSSSSSSQPSSGGNQPRDGISRDGGAQNPARAFSHPASESGASSASTTTTVIPPPS RAIPSPDSDSGPQWDSTHPQAAGTSSIPPHLDDPPESPIPTQTTIRVRDLAHIQSLAK ADLLTGTGPGVVNEPPLQQMKYEISGMPIGDIIEMVAALLTKITTTNDLQHDALNRNA HHLRQAQAQARGEEGSGDSSMSPLSSSVLAFHGKNVPAITILSYLTRIHKYCPTTYEV FLSLLVYFDRMTERVNDMVMKSEEARRAQLEAQQAQSQPQRPLVPTDAEKDTVMRDPD DDESVGDGDETEGDDSSDATETDSDLADSTDGDDSPSAAGKKTSPAADPAGASSAAAA AAATAAQQATYFVVDSFNIHRLIIAGVTCASKFFSDVFYTNSRYAKVGGLPLPELNHL ELQFLLLNDFRLAVPVEDLEAYATMLVEFYVREVIALRSRPGGE MYCTH_2308979 MADSGSSDSIRQGNEQPKGVAGTSLEKGFSHTEHVNLNANLEAR IKNPLEGIPRDELMSRVHIFAEEKGLTEHIELLRKGALVAQDPENFENIDGDEALTEE EKSALRKEVEHKWRLPARLFLTIITCSIGAAVQGWDQTGTNGANIFFPEVYGIGSDST HDTILVGLLNAGPYIGSAFLGCWLSDPINNLFGRRGVIFISAHFCIWPVIGSAFCHTW PQQLACRLLMGIGMGVKASTVPIYAAENSPALIRGALVMSWQMWTAFGIFLGTAINLA VWNTGKINWRLMLGAPFIPAVPLLCLIYLCPESPRWYMKKNRYAEAWKSMAKLRHHPI QVARDIFYIHSQLEIEFQLLHGSSYFKRFVELFTVPRVRRATLAAFTVMIAQQMCGIN IIAFYSTTVFKENGSSDFQSLLASFGFGLINWLFAFPAFWTIDTFGRRSLLLFTFPNM AWTLLAAGLSTLLPEGSTQRTAVVALFVYLFAMFYSPGEGPVPFAYSAEVFPLSHREI GMGFAVATCLFWASVLGITFPFLLKKAGVVGAFGVYAGFNVVALLMIFFFVPETKQRT LEELDYVFSVPTRKFAKYQVTEALPWFIKRWVFWQRKAELKPLYQFDHAKKDEGEKAQ VRAQSGLLDAEDKKADLAVENKKLEAQ MYCTH_103320 MQFQDPRYPHLFAVGDIADSGAHKAAQPGMMQAVIAGRNIARLI EGHQPTGKMNIAPSGIHLILGLTRSVVFRNPDTAAGETETDMGIEGIWTRLGAVVNSS QDCHL MYCTH_2308984 MTSLTVLLLFFVSLTVATIAGRLRRLLPRQRPISSSRAGSRDVV EVMGSSSAKDGAGREESKASVRWEPRIEPLDDFVWDATPPLKLRPIKPTYHITMALQN STPADLIVMDRNYHDRVMSRRKLIDERQSAVMGAIPSGYTAVRELYSYLLGTYLPRRY PTMFSLVRPSSGPSEVVFRNKVTGRSLPLVPPPSEASTMLRALGETVEDDMFLLLREP DGGEHRAVAFVCCHPSGFDPSEKLGKRLAEIHSPVPAYSKIGASMERYFARLEVGKSV KRMNWAVQTHPNLYAPSGNHVHVGEDVKEDEEIDVEKARFRVELQTLTRLPETQAILF SFKTYLYTLDEIKADGLGPQLADAIEGLKTGNAPGMWVYKGCVRWGKAVCAYLRT MYCTH_2308987 MALPSAALPSPSLTFTIPSLHDGLPLDCRIYHPASLGAVPDGTP WRKHAAIFAHPYAPLGGCYDDPVVDMVAGTLLQLGFLVGTFNFRCGLSFILQGLPLQR EIVLTDTRIIRGAHGSAGRTSWTAKAERADYMSVVGFVCHYVHFLDPFNASASSRVEG TYEDEDGTDLRADGGEACPIRIRPATPTSSEPTTRDIVPILLLGGYSYGSMIASQLPS LETILGLFHAPGCGTPAAEIRLRAQYLAGKQNVVLASAREAAAVASRPKSPRIHVGLR VGGDEERRVSHESRRSRSTEFEEAIRHGVAELVARTKKGHRLSLNERGQAPTASVGGG NAVADHLLPIADRTSFVPAYLLVSPLQGFVTNLATMSLPPLFSLSGRAWSRFATGGGK EPVSSGPSGPDTLPRAVLGEAEDKLVKNATLAIYGDRDGFVPVRKLRNWASRLQAIQH SKFRAHEVSSADHFWAQRNVVSTLREAVRAFAISLIQGTAG MYCTH_2308988 MPDLLLHEPRTIQATTAGLGSATPPLLDPTKLRRKRKNADHGLF GTSRLVRNVGNLALSLRDGLSASEREEIRKKEERRQILIARMENASTYKAWYEAATEL DQLEGNDKWKLDDSTGEPDYRPDLIRSSLKELDTARTNCDINAMLYLLRTALSRDIGG MGHVELYRHSYVGTKALIEQYVNSALQTIESLVDKSVSQTELDPKDLLEGMVYARQNF GRSALLLSGGATFGMAHVGVLKTLYEQQLLPRIMSGASAGSIVCAVLCTRKDEEIPEL IEKFPYGDLRVFEGENESLTTHLHNLLTKGWWSDISNLTRVMRSWLGDVTFLEAYNRT RRICNICVSSASIYDVPRLLNYITAPNVLIWSAVAASCSVPLIFKGQPLLMKHPVTGA HELWTPTPQQFIDGSVDNDLPMTRLAEMFNVNHFIVSQVNPHVIPFLPRDEQVIPGKL SHEKPARGLARELLIKFGSITKEEVLYRMQFCAEMGIFPNLLTKLLAVMSQKYSGDIN ILPAISRSDLPLMLKNPTPEFMLRSCAVGERATWPKLSRIRDRLAIELALDQAVHALR ARVVFSRSQVNLRRAMGVLRPMAYRPPGGSRGRPATSGEPSPSWGAICVVQPPNSGET TPSDEKTFGRRRGSGASIQLVVATKHKKPLLQDDDQSDDDEQLELKFRPSRSRGGPAS GSGSGSGSTTTTGGSGGDGDSGVASGSSRGSDVRLPRLRRNAKSHGHFRSGKPAPDFY PRDRVRPFVGSGTAWTGEPMTSGSGSGGAEVGDDAGDSTTAAEDEPGSASSPIRIHIS APWDDDGTDQAFEGLQSDADPYAAGPSSHFRAESRERDSPSKLRYSES MYCTH_2308989 MADTPAPPAQFTPRFRTDIYPFIHPSKFRGTLQDKVAIITGAAG AIGQALAESFAVAGAKLVFTYHNTPPPPELKERCMRFGAAQVEFVKCNVAELEGCESL VKQAFDLYGKVDILVNNAGANGLGPMYAQDPRDFIHDIAVNFHGPYYLMRLLLPHFRE RRSGCVLNIASRAGTVAIPYSTSYCASKAALINLTACTQKEMDVEGLDDVHLYALHPG GIKSAMTLKKYASESVSSLPPQAQSIFANQLDIYNDSPYLNGMVCVALATGVGKRVLR GKYFDVGQDLEDVLAQEEALKADPDLYTLHTSFLGDLTNAGVPRGGYHAEEVKFAFPG F MYCTH_70573 MPQPFRVLIAGGSYGGLSAALNLYDLCRGLPPRCGPAPAEGEDL PETPQFAVDITVVDERDGFYHLIGSPLALASEAFTEKCWVKYDDIPGLQSPNIHVVQG SVKSVDPARKVATYLPHGSTAEPQEVRYDYFVAASGLRRAWPVVPQSLRRKQYLFEAG DHIRAATAARHGVVIVGGGAVGIEMAAELKLVHPHLNVTLVHSRDKLLSSEALPDEVK DRSLELLREAGVDVLMSHRLDRTEEVKDDSGNSCLRVHFTNGHSMLADQVSLAVSRSV PTTTYLPNDVLDEQGYVKVQASLAFPEQSPNSAFHFAVGDLAKWSGIKRCGAAMHMGY YAAHNIHRHMQLQTQTEGQAAQATDKGGIPKLLELDEIPPMIGLAVGKKAVAYWPEGG MMSGEDVMKTFFGDDLGFAICWNHLRLGGDKVQ MYCTH_2112226 MSSPTRTPRRFADYAASSDPILKLPHPYQTPYFVVRDTGDSNRF QLTPGEIQSSDNKPLPSPLHNASVFFSEPQDLKSSDRPSESNNTPWARARRSPAVAVS WTGAQAPTVGQLWLITYAVFTLRPREEAFRLDAQGSGAARLARQLQTLGLATAHPPPP PPPLPSPQDAAQSGPGTTELLVSRSAFWQGAASPFGPRPVWAPESQDPDFASYPLPPP EYTMTASAPGSGAAAAALSAWHPRRRAKPQPGSVIYSRYIPHLRETFSMVALDAADPA HVELFHAWQNDPRVSQGWNVTGTREQHRQYLARVHEDPHRLAVLARFDDTFFAYFEVY WAKEDRIGSYYAAGDYDRGRHSLVGDVRYRGPHRVSAWWSSLMHYLFLDDPRTASVVG EPKYTNTSVLMYDLIHGFGLHKFIDLPDKRSALVSCSRERFFQLCPLDDNEKVMGGTG VGLVPKL MYCTH_2308996 MSVQTPAHHPPEDGLVLPQPQKPSLLFGPTDPPLVDLTLGELLN LQCLHHGTREGIVIPWTGARWTYNELNHHSRLLAAALLEMGIGVGDRVGIMAGNCEQY AAVFFAATRIGAILVILNNTYTPTEAQYGLDFSECKVFFTTKRIGRLDQGPLLDQLAA RATGPKVVILRGDSEGYTSYGDLLSRGSRVDPDRLHHAEMKVVPHLVCNLQFTSGTTG LPKAAMLTHHNIVNNARFIGDRMRLTPADVLCCPPPLFHCFGLVLGLLAVLTHGAKIV YPAEVFDARATLEAIVKEQCTAAHGVPAMFDSLLALPEARRLRAEDLRLRTGIIAGAP VPRHLMEQLVSRLGMAEFTSSYGLTEASPTCFNAFTDDPISLRLTTVGTLMPHAMAKI VDRDGQIVPVGTRGELCIGGYQLQAGYWNNSEKTNEVMVRDESGVLWLHTGDEAVFDE RGYCSITGRFKDIIIRGGENIYPLEIEERLVAHPAIATAVVVGLKDAHYGEVVGAFLG LDPAHGHRPRPGAEEVREWVRRKLGKHKAPTHVFWLGVDGVPSTVPLTGSGKVRKFEM ARLGNKLLEEAKAKL MYCTH_2095444 MRLSGVAVAGLHLSVALAGIGIGDHEQTPLGLGGPWGPISQGKK KPNIVFILTDDQDLHLQSLDYLPLIKKHLIDKGTFYKRHYCTTAICCPSRVSLWTGKL AHNTNVTDVSPPYGGYPKFVKQGLNEAYLPVWLQEAGYDTYYTGKLFNAHTVDNYDSP YPAGWNGTEFLLDPYTYMYLNASFQRNRDPPVSYPNQHSVDVLTQKALSFLDEASQSP RPFFLGIAPVAPHSNVAQAPTPDGDGGWDEDGDYDDIESRVTFTPPIPAARHAHLFAD AVVPRTPHFNPAEPAVATWGAGWLRQLPPQTAANVAFNDHFYRQRLRVLQSVDELVDA VVRRLDQLGLLADTYLFYTTDNGFHIGQHRLQPGKECGFEEDINVPLIVRGPGVAPAH VADRLVTAHVDLAPTILRLAGVDPARLRDHYGFDGEAIPLSRPAIDAAARSRHEHVTV EFWGFALSEGRAFPGHEERLLRNNTYKALRVIGDEYNLYYAVWCNNEHELYDLTTDPY QLNNLLRDSAKPPATLLGVPFEKVVARLDSLLFVLKSCKGQTCVRPWHALHPAGNVQN LHDALNPRFDVFYEQQQKKVSFTRCEMGYLLDAEGPQFETDGLVYRHGARWSEWV MYCTH_2129215 MVQQSEAAGVQPSERSPLLAKTADSNGEGGVSLSLGEVPAEVPL SESRGNGSVSKIGGGDDEESQHQEQVSRNGARNGHVARIISVLLIGIFVAHADGSILL ATHPVIASEFNDLENSSWLITSFALAGAATQTLYGKLSDIYGRKTLVIVAYVIFVLGC ALVGMGQTMWQVVLGRVISGAGASGMAGLVSILITDLLPIREVAQWRAYVNLVATLGR SIGGPLGGWLVDVIGWRWSFFGQVPPILLAIFLVTVSLPCSPATSSSNGVDENRLAQR SKISRVDFKGSLLFALAILAFLLPVELGGVKLPWSHPAIIVLFGLSPVLLLVFVAVEK RQGEPILPLGIFQRRDAVFSYAILGLQTAAQLSLMFSVPLYFQITTGSSNTASGAHLV PAVVGNAIGGLISGVIIKRSGRYKTLIILAVTLSSLSYFLLMLRWHGSTNFWESLYIF PSGFGTGIAQSAVFVSLQAVIAAQDPSHLAPAISFMYLTTTIAITLGVPLSNAVMQSA LRRSLWRRLIALGLDGDEIAKIVENTVSDVDFVDQVTGRLRDAVVGSYVDGLWWSHGV SFSFSATAFVLALFIRQRPLDGPRA MYCTH_2308997 MSGHALTSGGLGQQQARPGNHFSRQSDSESEERLPSKSPSAVIS SAFRGIKKITPARPSKLSLRQPSESDVPALESSLSSATIPPGSTRPPPPQHARSLSLQ DSLAKPLPEPPPPQLSPASPLTPLAVPQQPRGLATILDTETEENDDSRPGTMESASSM ETGGGLYARGEAGHGQDVSVNSSQSSLASSSRHDGQDDRNYDNLTPKSTGPLSAGQSP NNSQPRTQAGGTAVGTATPSAHLSGLMCNVHRTTGREPHPLVGATTTILGDKLYVFGG RILSRSRPAPLTSDLYELDLIRRHWTKVETTGDIPPPRYFHSMCALGDTKLVCYGGMS PTTNQKNIPQDQQPEVTVMSDIYIYDVPTRKWTFIPTQDAPQGRYAHCACILPSSATF VSHRAPLSALQHNPSTGNPNEGRIGINIDGAGGAEMIVVGGQDASNHYIEQISVFNLR SLKWVSTQPLGKSCGAYRSVVAPLPPSVTAKLGKSFPGGVRQDGGGISQEAKEGGSSM LIYSNYNFLDVKIELQIRAPDGSLSERTMSGTYSPPGLRFPNGGIIDTHFVVSGTYLT SSKQEYALWALDLRTLTWSRIDAGGAVFSQGSWNRGVLWNRRNTFVVLGNRRRSLVDD YNHRRINFTNVCMVELEAFGFYDNPRKINPMSGFVSASSPYTGPGLSLARKAGFTAGG RYHSRAAEELGEKALAMRELADMDILCIGGERIPINSRIVARRWGPYFVQLLREGTAT QDGSDAATLRSNSVSAPGGSVRSSNLTITPSIMSGSTLYSVGSSTSKAGNAGTSAGTP VDAAAINTAPTPRTLPPNSRPRCLYLPHTYLTVQALLHFLYTSSLPAPSSPLCTPQIL CSLLQIARPYRIDGLLEAVVERLHGLLDNRNAAAVFNATAMAAGGGRGIDGTLNPNFF PASAALYGLGGTTADNLQQSEHGPPDGSSAAGSEAGSSAATRGMAGLTISTSVPGAPP SSDELSATTSVSGSEWSASEVGDSDRGGGGREIWTGELSSVIGLQKRGLRGLMEGRRM RERTGTAGAAGVQGGGGAGGVGGQQAGQPQVGQYGHPRVGLGIAGS MYCTH_2095451 MATERAAPLRLGSIAPNFQADTTKGPIDFHEFIGDNWVILFSHP EDFTPVCTTELGEMARLEPEFAKRGVKLIGLSANTLGSHEGWIKDINEVTGSLVNFPI IADKERKVAYLYDMIDYQDTTNVDEKGIAFTIRSVFFIDPKKTIRTILSYPASTGRNS AEILRIIDSLQTGDKHKVTTPINWVPGDDVIVHPTIKGDEATKLFPEMRVVKPYLRFT PLPKN MYCTH_2309003 MMAPMPLPLPVVATAFAAAAAYINAKSQLSYDLRLLNSIVPTVA RLAWWTNRGRINFFYRLEDLATSKSSEDRVFLRFEDKSYTYAQVYDTVLRYADWLKER RGVKMGELVALDFQNTDTFIFLLLALWALGAVPALINYNLTGKPLAHCVRKATTRLVL VDPVVSANIGEDVRSELSQVSFEVVTPEVEQQMLSHEPIRPPDDVRNEALANSMAILI YTSGTTGLPKAAIVSWSKVAVVGGFTSRLVGTTKNDVFYTAMPLYHSTAMLLGFLHTL SVGATFAMSRKFSTSGFWDDVRKHRANIIQYVGETCRYLLSAPPRTDPVTGENLDRKH ELRVAFGNGLRPDVWNKFKERFGIETVAEFYGATEGNFATWNLSRNDFSMGAIGRSGA LYNLILGRTVAVVEVDHDTELPYRDPKTGFCRRAPRGDPGELLFKLPPQDVESRFQGY YGDKEATSKKIMRDVFTKGDAWFRTGDVVRWDAENRVFFNDRIGDTFRWKSENVSTAE VAQVVGLHPGVLEANVYGVQLPRHEGRAGCAAVVFQKSALAGGVDGVPSEETLRTLAK HVRAGLPKYALPLFLRAVKGGSLQTTGTNKQQKTNLRSEGVEPSKTGSDNVFWLKGDT YVRFRPEDWEALQGGRVKL MYCTH_2309006 MSIQQPPEPGAANLESPVTGAERTTSYPGESTRKQPPPEKPSDV RRRSHIILSFWLIVLFLGLPIWWKTTTIYRADLPLQEMLDWSDGKACRPVFPLRISIQ ANALQEQEAQNLLRLTQHALDDLNDFSGHHLRLRLAPPSAASHHDRESVLTIRLVPGE AATAKFDAHEPVLDITYPPNSIPSPTSSSSPLATYVAGQLRSAFAEEQATISYLLSTN SVPSDHRPQGLSSEVADSLAKRTTRALKYAPTYHLTFSLFTSGPLPSSWDIDGAIEEY MKPVLDVLSPIHNFTIDTQVQLYATPGVQNQVLSKEDLSSFINAAEWPLSPSIGGAPT VNFIVFIGNQTIAPSSQSPDDGDTEGPASHSWLIPQWGTVYLLPLPHDTAHLSVEALK QPLLTFTSHLLALTGTPQSGSLPLRLSTLSRIRSADLLLRASSTLGSLARLALALPSI SIPSRVADGVSKTIHHLRLACETLGEPEGLAHARIAEAEAERAFFEKSMVGQLYFPDE HKVAVYLPLLGPVAVPLVMGLLNELRAWVKRRREAAEVGEAKKSE MYCTH_2067021 MKQKRQRAETGPLELANRKTDEQFIRDYLKAGPGKDTWTTVWAH PKTGTEYSIDLIRAEDISEADISACFQLIEQTSRADYEKSTFKWQPKKKLKEMKSPGL RYILVKEKVTMAIRGFTSLMPTYEEGEPVIYCYELHLQPELQRTGLGSLLMSFHSTVA ANLPPIKKVMLTCFLSNQRGLSFYKKLGFERDEISPVPRELRHGKIFNPDYVIMSKPV RPIVDTGNSGSPPETVVNAEAS MYCTH_2309008 MSSILQTMRSAALATKSLASSMRALSLSTPKKTVLPAVQARCLS QAALSASSRTTFARGSVTPAVQSGLAVFQKQQARGMKVRSAIKKRCQHCKVSMGHTQP GELVRVIVFRPG MYCTH_2309010 MRALATPLRFFRTYATNRRPRLDAALSLDHFIQRTRVLSFYRAI IRSTRKIPDTKTRAETRKFVRDEFERHRDVKDLGHIRYLLSTGKTEWENMERYINGM MYCTH_2309011 MMASVDLDQPFDYIVVGGGTAGLVVANRLSEDSNVRVLVVEAGA DRNADPLVLTPGLVAGLYGKDEYDWNFSSPPQPTLNNRRINQARGKMLGGTSGLNFMM LLYPSKGNIDSWAALGNPSWNYDALAPYLRKFATVHPSPQSARDLLGLTYIDESLAAG DGPIQVSHTDGHNVTNKAWLETFASLGLEVSTDPRDGKALGAFQNHASIDPATHTRSF AGPAYYTPDVAKRPNLVVLTETLVARVLFDTAGGEGDAVATGVEIITKDGQKKQVSAC GEVILAAGALQSPQILELSGVGGRELLEKHNIPVVVDNPNVGEHVQDHPIVCQSFEVA DGVPSGDVLRDPNVLQAVVGMYQSGGGAGPLGQSVISVAYTPLVDGSGVVSAEAKAEL LARHESSFSTAEGKVLRDLVESPSEATFEFLLFPSQVDIPENPTSMAQYITPVLPENY ISVMTFIHQPFSRGKVHITSPDIRAAPLWDPRYNSDPLDLELLARGVQFVERIVDSAT PFGRVLKQGGKRQPPLRADDLETAREIVRQRQISVFHVSGSCTMRPRDQGGVVDERLR VYGTRGLRVVDASVFPIEPVGNIQSVVYAVAERAADLIKEDRAKA MYCTH_2309013 MFDYIEFPMLPIVRVHLVINCVLAFLTVSVVGLRLVARFVTGAG LWWDDYLILFALPQGLGMLIIQGLWAPMGIGYPVTETLPNLETILKLLVAYELIYSTA IGTIKVSVLLFYLRVFVNRGLRMATKAALAFVMLWNIGNILQVFLICRPFAKTYSLTV EGECGDQVASFIAIGAFNVISDVIILTLPLPTVWSLKMATPTKFGLTGVFLVGLVVSV IAIIRIVTLTRLDLQNLTGTMIWADFWSATEPNLGILCVSLPMLGSLLSRCLPSRRGN TKLAYHSSGNGANGSAFSKLKDQSQTDTQIPLENIYAANQEVHYQSAVGAGRTPEPLR TAPDADKDKDSGSDVALTDQPTHLSDQKNGIRVQTKWTISHN MYCTH_2309016 MGENDYPSLLLLPSPPDPLTRDSLNAAYRPSIQSALLKARRPTG AARLVVAIVYPVLRGQFLHSKTFSWSEVQNLIAGVYSLISILCAQFSIDTEMDGGPGS VDSTVILIDDDRNKRYPDDFRPAIEPNNTVIVDMATFASAYFPWNFIFTVRSELGYQV SQTYLKLAEGRQNLLQSQLVPVEGGLTMNVAKREPGGLPAQTPTYPTVCLGGTFDYLH PGHKLLLTAGALLLQVPRRGDPSPPCRYIIGITGDEMLKNKKFADYVQSWEQRARNVI LFLSQLLELSPRGWKDGTSAQIEEKDGDFQATFRDGTIQVHCVRIQDAFGPTITIEEI QALVVSGETRSGGQAVNEKRLEKGWHALDVFEVDVLDAEEVSDEPTRTENYAAKISST AIRQQRASRGGNTI MYCTH_2309017 MNQQPQYQPPRRTGTFSSQHDEMHMPQGMTGHQSHQGHQGHQGH QGHQGQQQMSPRDYSTGAPHIKLDQAPPNLPQVPGYQGPGSVPNVLQPGGLGSRQPTV PSNTAPTLPSMQQQSDYQSHQSQPSSKHTSMNLSHSYTRSSPSGPYESGGSGYVPYTP TTPGGSASSSQYMSPTEPKYNTSSNSQRVPNTPLGLADIRPRADSSLSDGLPGTPGSE PASAQSRTSNYMAPWALYAFDWCKWAPQGNSAGKLAIGSYLEDGHNYIQILDAQLIPT PSDVYVPGGSKWSMDFTKIAEATHSYPVTRLLWEPPSSQKQSTDLLATSGDHLRLWSL PSDTQQPTPGSSITSRNGRDPPVTKLTPLALLSNSKTPDHTAPLTSLDWNTVNPSLII TSSIDTTCTIWDIPSLTAKTQLIAHDKEVYDVRFCAQSTDVFVSCGQDGSVRMFDLRS LEHSTIIYEPTGKEDRDSNGGRISPTLAQQTLSHPPPLLRLATSPHDQHLLATFACDS NVIRILDVRQPGQALLELRGHGGPVNCVEWSPLRRGTLASGADDCQVLIWDLMSHNNP QISGSGGAGPNGVSGHGAPGAGGDHVRSPVSGWQCEYEICNLGWVPRLASGGEYGEWL GVSAGRGIWGVRL MYCTH_2309018 MGFTDLLTDAGLAVLDNWLLTRSYIVGYSASQADVVTFKALSGA PDSAKYPNAARWYKHIASYEEDFPTLPGDASKPYTVYGPEVSEVTLNPAKAPEAEEGD EDIDLFGSDEEEDAEAARIREERLAEYRKKKESKPKVAAKSVVTMDVKPWDDETDMVG LEAAVRGIEKDGLVWGASKLVPVGFGIKKLQINLVVEDEKISLDELSEEIQGFDEYVQ SVDIAAMQKL MYCTH_2309019 MSGFKRDWSGNAKRRDGPKQAPKQVVRNAYTSMFERLRDELDEH HDRRERIVKASRDITALSKKIIFSLQRVRKIESNLPANIQSEVDSRLAEISKLLASIA PEIQGINRYRYSRSLMCLEELVEALTFAHYLKTRTLISHAELDPIIQDLTRKGAAPED EVMADAGDTTGTATEKSAASTAEPPTFSLTQDDYLYGVFDLTGEMMRFATTSTALTGT MAGGKSDAADGDDEPRTIVQDMHELGTFFEMLPVAPGNRFQWEKKLEVTRQSVQKVEK LGYDRIIRGSERPKGWIPDLSAGDQGQDDDVERL MYCTH_2309021 MAGPSKTAQRVSPSATPATPTTASGLTSLFDRPSLLYLAASLLR VVFLLYGLWQDANSPVKYTDIDYLVFTDAARFVARGQSPYERETYRYTPILAWLLLPT AHTTGNRLVNAALFSSGKVLFATADLVAGWLLERVLARSMDGASARKFASIWLLNPMV ATISTRGSSEGLLGVLVMALLSVVLARRITLAGLLLGFSVHFKIYPFIYAPAIVWWMD ADKLRTSGSGSKSSRETSPLNKLLAFITPARLRLAFTSLATFLALNVAMYTL MYCTH_2309023 MDSLCATTSIDDGGSIHAESLTIDTHVTRAARTQSIHQEDDGHA TVELVSIMATASNPVSPGSPTPPLAQARRQDFIPPTRPSSTPFPQPEPRSTELRCVSR PTSQVLDPDAPDPADAPKLPLVGTNVDTSLNDLPTEIHECILDHLFGFRVSAGSKSSI TRWGTALRHPRRRELSELSLVSREWRILIQERLYRHIKLKASLESLKSAMAYFAAHPH LRPYVKHIEIWFPVFHPKYRPLALSNANTLPTVTPDGLTTASYVLPMDNCSLEEVFYF VAESLPEVCILTLEGGERKKAPKVRHWIRDASQHRVRAMPKVHSVRTLICKGQWNLIR GEEDFETIMSALPNLQEWHGSYSKPKSKSYLTMADILVKPMRLSSLDLCIEGDYRREL SFPPYFLKVSNRLHFCSRLAAGAASPFLEHISYTGRVCKQFFIDLMAKHKDPRNSRLK SIDLTVKNCCRQVAHWNESGSGITDMNFINAFEELVIAGIRALGRLKSVEYLRIRYVD LDTPYPPLNPHFILRNGRCSGVWSDSIISEMNRARPNARFEELSESFGEVGYQKDGRM TFIPEFPASRPISLKLSNYALLDAAMSIS MYCTH_2309026 MAGRLLLCLTAALSALGVSAAPAPDASGRPFIGVPVSNPGIANA IPNRYIVVYNNTFNDEDIDLHQSNVIKTIAKRNIAKRSLTGKLLSTTVNTYKINNWRA MALEADDATINEIFAAKEVSYIEQDAVISLNVRQMQSQATTGLARISHAQPGARTYIF DSSAGEGITAYVVDTGIRVTHEEFEGRATFAANFIDDVDTDEQGHGSHVAGTIGGKTF GVAKKVNLVAVKVLGADGSGSNSGVIAGMQFVASNATAMGLKGRAVMNMSLGGPASRA VNSAINQVEAAGVVPVVAAGNESQDTANTSPGSAEAAITVGAIDQTNDRMASFSNFGE LVDIFAPGVNVQSVGIRSDTSTNTLSGTSMASPHVAGLAAYIMSLENITGVQAVSDRL KELAQATGARARGVPRGTTTLIANNGFA MYCTH_112159 MGAAQQALYFLLHPNQLRSILQWKVWHDPVHKRDPSKETETERT CFKYLDMTSRSFASVIKELNPELLMPVCLFYLVLRGLDTIEDDMTIDIKEKEPLLRNF HVYMEQDGWTFDKNGPNEKDRDLLVHFDNVIVELKKVKRPYYEIIKDITIKMGNGMAD YALNAEHNTNGVNTIEDYELYCHYVAGLVGEGLTRLFVKSELANPQLLVRTDLTESMG QFLQKTNIIRDVHEDWIDNRRFWPKEIWSQYVDKWDDLFAPENREKALQCSSHMVLNA LKHADECLFYMAGVRDQSVFNFVAIPQSMAIATLELVFRNPEIFEKNVKITKGDACQL MLESTQNLRVVCGVFRRYVRRIHQKNDPRDPNYLAISIQCGKIEQFIESIFPTQDPKK VTAATTQNGEKEPSMDSGEAFLLVCSAIATMVVIGLLMVGLAWYMGARMDKMFQKLDN ALGGSLPPTPSPVPGRDEL MYCTH_83475 MAATGTNGHQQLRFLIWGGDGWIAGHLKTLLESQGREVHSTTVR MENREEVLRELDRIRPTHVLNAAGCTGRPNVDWCEDNREATIRSNVIGTLNLADCCFL RGIHCTVFATGCIYQYDDAHPWDGPGFLETDPPNFAGSFYSMTKAHVEEILKHYNNCL ILRLRMPVSDDLHPRNFVTKISKYEHVVNIPNSNTILADLLPASILLAEHGEVGVYNF TNPGAISHNEVLTLFRDIVRPSYTWRNFSLEEQSKVIKADRSNCKLDTTKLVLKLKEY GYEIPEIHEAYRKCFERMKAAGVQK MYCTH_2315997 MVVDTTYYDILGVKPTATELEIKKAYRKLAIIHHPDKNPNDPTA HEKFQEIGEAYQVLSDENLRKAYDKYGKESARPSEGFVDPAEFFTSIFGGEAFKDWIG EISLMKDLTATMDITMAGAEEEEEAVAAESSKDGEFPGTEEALKESMKTGDGGAGPAR SSTEKKPVPAVVVEDEKVSGQAAPSPPYTKSPSPGPGSGATTPQAGSRTQIPLRPALM DRPSDEASNSDSMSAHEGKRKDKKHKSGLTKEQREQLAAYEKERARIRQERVDTLAQK LLERISVWTETDKGKDVTLAFQEKTRLEVEELKMESFGIDILHAIGAIYVSKGTALIR SQKFFGMGGFFSRMKDKGTLVKDTWNTISSAIDAQQTMEEMARLEQQGGEDWTDEKKS EYERRVTGKILTAAWRGSKFEIQSVLRDVCDSILNDKKVPLSKRLERAEALILVGQIC SNAKRSPEEEGDYMAFEQLVAEAAMKKEKESKKKGKDKKDEKKEKGDRPGSKAWEDAA AAAANDAPNVPKGSS MYCTH_2309037 MPPSSLTLRPAGEKHAASYQPASTAHSLSLPPQKSSSRKQPSAG VVTTSTTVAGKTTARITTTATACPAAAAANATLRSRHPLRLHDQNATSVLRTVKPGTE TATSLPSTEARMPSYERSSVAGHRQPTVGRAVNKPPLTPKIAARTPAPQHQAPMLATT PLARRSADSTLSVNVNGSRDRDELASPVPAFLSNNVTPRSGSRQSRIDSANSTPNGTP NPDRQDPFETRSSLTNAGTDDVQRRPVVTLSSPSDAGSGTRQDRDSKFFYASDAQKLA SQPTATRPAALQQAKPATFFYANGSTLLEQENGSPRPFSPPLASPSLQDSLESKFVYA NGSPGLQPPATIGPSTRKSSGSVVSTASKAPTSRLSNAPRALSPVKSPQQAAPRNVNS ATITPRSPVTPAGPQVINQLNQGKVDEAPCRPRSQIYIKGSTTVEPPVTAKRLSAQGS LPSLPSSGNTSPLLSPQPGLAPPNPVNAGFASISQAAEDLAGPDEDQTQPLNSPTKTS QEAQVTELVANARRERKVQDLEITNASLEAINRTLERQLRKQTAELRRYQRLSRSGLL SFGSMGSRIPSDSAAEGGSLGRTGMDSDDFSDEQSEIEAEKAELEELERLGNEDESSS SEVSEEASTNAVELRREKRRRDERRLELDLSKHRQLLVDSQKINQSLKRCLGWTEELI KEGKRALAYQVRASDVELGGRVLAPEEVERRERGDDGTEDNTLDEDAIYAIDALGGGS LADADTSATWSTKDPQDRDSGIELPADGG MYCTH_2067210 MALLGISLILASVVLFCMRRPVWLPAIFGGAPRPPIEPPSPARS ECESADRASDADAITRDGSDHDSRRADGEKPNHSPIFSPPIVQHPAPESDQGGRSSED GEQTTPKASAATPDNPVPALALSVPEPAPAAFQPNGADRGPSTAPTAASTLMPPPPRP PTLRPSPRDNLSPSLAPPRARNLPLPARGPGCSTLAPPPTHSSVPAKPSRAVVLSPGH SPLDWARLSGHPTADLRGLPPGTPYLRVTPSMLKKMTGRKGKDAWIVLGGRVYNITPY LPFHPGGEPELLRGAGRDGTRLFGEIHPWVNYEGMLAACLVGIYVPEEEGGDVGTAAA GGGARDMEAMD MYCTH_2309040 MVRLGLTWCLQRNLRSRSSVTIEDLNSKKGTLLNGVQIRGQKKT LSEDVNELKLGMCPKLVRIRWNPVVLSFSFTAKELRLDPWTRLRDSLEQLDIKYSADY VPDTTHVVSKKRNTSKGLQALINGRYIVTDSFINAIVDAATVPDNAEEGSPSALEVDF ESSWPNPIDHLPPRGEEPLDRPPDVYSPNDKRQEVFDGYTFVFYEKKQYENLFPAITA GRGKALLKDVIPGETDVEDFIRYVKSVAGEKGLGSFEDGSEGKGVVVVRYMPKSEDHE WYAQFLTSFAQRLDHRPIDQREFLEAILDCNASMLRRPLEEASQPSSGRAGGQIPTVN AGDCMDVDQPGSQPRASPEAAAQAAAQPEPVPGPRPGRGRRAGRSRFKGFDFDDGEEL AGEVTPVDAPEQLQTAAASQDSLFVSQHQKPMLPVEEIVGEEGPTRQTQRKRPLSPVP EHDTSALLDEIAPTAAAAKRRRIESGQEPLPPPPEPEPASQPSGDEEMAPASPKGKPK KGQAKGKGKKIKEEDDILELARRQREEAEARAAAQRKELEELPDDGIDYAAIRALHII EECEVHFPETGRNGRSREQDIADGRWDPRWNGRKNFKRFRKQGEPVGRQPPRIIIPLE EVKPKEYGIGDDYWLEDDSGRRKEDNRPGSQNQTQQSSEGRSGSLGMGKEKEVAPRPA IRRTILAIDSSDEEERSELSGMPEDSALPESEPPRSRAAKAAEKANSQRARSQTHTQS QSQAGSSHKRPAPVDSNQEGAAKRPRRGLGPPSDDDSDDSDDELKFRFGRRR MYCTH_2309042 MGKVSELVKYTGRSTPRNRHVHWLLAGAAFLALIVLTVFPQLRP GLLSPSLTSSSADPFVSHFEQSGDNGSPYGHFPVKGDPFRFLPCTPATLPPPLEDSNA EQTWASRFDPNPDHWSWGAARGRENGTSGDNPYAGRGIFLCGYLDVPLDYTNRSDSRM ARLAVTKFQVSGLARVGEPADSPSGKKSERTIVIEPGGPGGSGTSYAWRAAENITARF SDSTFDVLGWDPRGVNASLPSIACFPHDASRDRWSLLSNLHYAVSASPRQQLEFADAM NAAIMRACWEEHGDLGRFLGTGLVARDLEQIRIALGEDELTGYLVSYGTGIGQTYAAL FPGSVGRVILDGTEYVRDHRLRGGFGWTALDNATDAWRDGFLGECVNAGPEYCALARP KDGKPVTLPGLSTRMDALMESLADRPIPAYLPTSGPTLVTYSAIVDNIYGALYNARSW PALARLLFELEDGNATLAASALEASAWFYDPEAPCSPVPATGSDIELGTLVICADSYD AKEPDDLDWWLSLWGNMTTKSWISGNSRFYTVLPCRHFLQYWPEPVGVYRGDLNHTLN HPVLLIAETYDPATPLRNGRRLLQEMGKNARLIVHHGYGHSSRDTSQCTERIARAYIL NGTLPDEQETECYADEKPYLYDVEKKAAALGVGQAKDPLEVWKEHLAELSFVNPRLKP VV MYCTH_2316003 MERFVPIVHAITAVFAVIELGLTAYLVSPWWGTPSVLSFMLFNS IWSLLVLAYVFLAPLYFARFFHGVVALVLEWITMIFWFAGSIALAAWWGSPRCGGDTY CGSTEAAIAFGFFIWALFAFLVVVDTLAFMRGRGHSTTAYPKPHAVA MYCTH_2309046 MADRGTGARGGGFASRGDRGGRGRGGRRGGRRGGGKSDEKEWQP VTKLGRLVKAGKIKSMEEIYLHSLPIKEYQIVDFFLPKLKDEVMKIKPVQKQTRAGQR TRFKAIVIIGDSEGHVGLGIKTSKEVATAIRAAIIIAKLSVVPVRRGYWGANLGLPHS LPTKESGKCGSVTVRLIPAPRGTSLVASPAVKRLLQLAGVEDAYTSSSGSTKTLENTL KATFAAVSHTYGFLTPNLWKETKLIRSPLEEYADTLRDGKRYAH MYCTH_2309049 MADTVQQTAVEKDIGETTSAANPNGVNTVQHRSFDPRKPMASIH QDDQPRLPAFGGEFQPGLWRPIEHRKFANPAPLGLSAFALTTFVLSCVNLGARDVSVP NIAVPLAFGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWIAYGILLTPNWNITGKG GPYDQASDIDPHMVNSAIGFFLTGWFIFTTILLLCTLRSTVMFFLLFFTLDLAFLMLA CGEYATNNGALSSAKKLTQAGGGFGMVAAFLAWYNAFAGIADSSNSFFLIPVFHFPWS EKGREARLAKTSSRTTA MYCTH_2067244 MPPKRRAVETETSNHLNGIPFGQAEAPPSAVQPAPVKRQRVSRA CDQCRAARERCDGKQPECHPCISQSRPCTYEVSPKKRGVQTGYIRTLELALGWVFEKV PGSEEALGALFAHEGGHGAPFLAGQDPGGADRLQKRWRNSRVHRRIDRILSGEASAPS PEHHALSPSADASDNEGNQAPARAEPDSAVLDVASAIQETSNFRRRNLEHCPPSAEER KQVPSDGMRTSPQASSGRIKLPANHWRLLDIYLSYTHSWLPILEKQDLFQASYAYPDE GLSIDRSDSFSAVHAVFWAALALASFQDIGSSKSTSSDHSNPSKLSPGEIYDTARGLL PSEDGPFQIHHAQAFLILSLVNLGQGKLASAGLFVGSATRILLDSDLIRHATHDQGDP RVRLALMSCFMIDTILSVRYSRPPHLRPQDLAAVQLVSENGHDQWEPWTPCDGFGLGN TGYCSSRSPAFRLSTFNQLYTIIKVLAEELSTRTQRSVSRGSSSAFVTQLQHVIDPNL PFNNFILSPACGSISVPTPYLVRATYLWASAIVEPQRAGTLLPLLQDTLVQYQRLFGR STTPPFLHTCVASLENGDYVSHCDERSQELLRRLLSTFSCTPFGGGRSSTVRDSHSNL LSQETQDTLSQGLSQSSASGNTLLIHPTAMMPPLYGNPTGPQQLPSHLTNKSYNSLSG QNFPEIYQLQSHGQPLTIPQGSDTLTRRSGSDVAAMSGLSTATAPSHGDMPSSYHLFP HTRLGPSPDVDALLDDLSSIEYTDTADADPQFMANLGFAPGCDITEILTRGFGGA MYCTH_2309051 MSSPVVAGVKRSYATMASSSDGSSQSTSAEPLPAPRPFSAEGRD PVRLPPLNASSAVSAEAALREYRPAYQFPARKLSKPAAASYDPDASIIIAGIRGAGKT TLAVIASSAMHRKVVDLEMAFREVAGSSSPAYKKTHGTAACQAREVSILYDVLERHRK NAVIVCSWIEKDIQLALEKLQRVHPVIYILRDPKAIQSHLRIDSIQKARDILAASSTF FRKCTRYEFFNVSEDCSMPDEFTSERPPSPSADLDRPTAPYLTLKRAERHFLKFLSLI LPSGSIPFIESAFPLAGVPAEERRFTYAISVPLSSFTRGAIDIQELETGADAIEIIVD DLVAEDAGRLRSSAEIPTNRASEISRAVAQFRRDTVIPIFLHVVFPEAALSDDSWRSL YLSYVQHSLRLVPEYVTVDLRLDGRLLAGIIGSKGTCKVVGNLQLHDSNPPQWKASFW RSYYEKAQENGCDLARFTKRATSVTDNLDVRLVHYAAELAPGPRLPLIVYNTGILGRT SACFNQVLTSVAPQGLRDEHSGTASENAAVLYPSLTAREATQALYSSFYYHPMRLYVF GANVSYSLSPAMHNAALKACGIPHYYEPHSTSNIASLRELVNDPHFAGASVGLPFKVE IISLTHSLSRHARAIGAVNTLIPVRETNPDGSIPDDALLSNGRNLAGPVKALYGENTD WIGIRACIRRGLSPANAVRPSSSGLVVGAGGMARAAVYAMLQLGVKNILIFNRTLANA EKLVSHFETLLSRNGLPLFSASPGSEDNARFHIIRSRDDPWPNGYKPPTMIVSCIPTH GIGGSPAPNFTVPPHWLESPTGGVVLELEYKTLNSPLMEQVRKEAHRGWVAMDGLDLL PEQGFAQFELFTGRRAPRRLMRREVFKAYPDDHGRSNFARLEPRLNNITTQDS MYCTH_2309054 MTLLALKEDRPTPKAVYNWRVYACAAVASFASCMIGYDSAFIGT TLALPSFTKEFDFASYDPDALALLQANIVSVYQAGAFFGSLFAYGTSYFLGRRKSLFV FAGVFLIGAGIMLAASSERGLGTILAGRVLAGIGVGGASNMVPIYISELAPPAVRGRL VGIYELGWQIGGLVGFWINYGVNTTLAPTRSQWLIPFAVQLIPGGLLFLGVLWIKESP RWLFSKGRRDEAMKNLCWIRNLEPTDQYILEEVQYIDQDLERFEREVGKGFWKPFLAL KQRKVQWRFFLGGMLFLFQNASGINSINYYSPTVFRSIGITGTNTGFLTTGLFGVVKT VLTVLWLLWLVDHVGRRRMLFIGAAGGSLCMWFIGAYIKIADPSSNQEGGSMSSAGIA AIFFFYLWTAFYTPSWNGTPWVINSEMFDQNTRSLGQASASANNWFWNFIISRFTPQM FLKMEYGVYFFFASLMLLSIIFVFYLIPETKSIPLESMDRLFEIKPVRKANKVLMEEL ESQGLSVAVDDASLCTGEKPKQSHLETTKHV MYCTH_2309055 MSTTTTVTRERKQTVAPDLDSQIAKLDRHGLLFGQKLTASMSPL LHDVVYRELGLNWEQLRLDSTDMDLFLRLIRHPKFYGASITMPHKVAIIPHLDELTEE CRDVGACNTLFLRHLPDGRRIFCGANTDVIGIRESFVQNVSDPSVYENRPAMVIGGGG AARSAIYAIHKWLKATCIYVVSRDKSEITAVVAECSRRSYGARLVHVETVEQAEALEG PGAIVSCIPDFPPVSEGEKVLRRIIEVMLRKEKKGALLEMCYNPSPYTALGALAESEG WQVILGTEALIWQGIEQDKYWTGRDSSELPVSQVKKAIAARLAQISRQ MYCTH_2309056 MPCKLAITSMSLGRCYAGHSFATKMDAAHKYGYQGIELFHEDLV DVAYSLSSETPSPEGPSQEAQAAAARHIRRLCQARGIEIICLQPFSHYDGLLDREEHE RRLGQLELWFTLARELGTDMIQIPASFLPASRVTEDLNLIVSDLQIVADMGLRQDPPI RFAYESLCWSTRVDTWELCWEVVQRVDRPNFGMCLDTFNIAGRIYADPTAASGRTPDA EAAVRQSIARMIAHVDVKKVFYVQVVDAERLSEPLVPGHPFYNADQPPRMSWSRNCRL FYGEDERGAYLPVREIARAFFHGLGFEGWVSLELFHRRMADEEPQVPEELARRGAASW VKLQKDMKLNVDKPKSSKTTRVYASL MYCTH_2309057 MTPRILLINGPNLNLLGKREPHIYGSATLAEVEALARDQASSLG VELETFQSNHEGAIVDRIQGAAGWGPEAAVDDRTERLRKPSAIVINPGALTHTSVAIR DALLAVDIPFVEVHVSNIHAREPFRKHSYLSDKAVAVICGLGVYGYTAAIAFAARHLK AGERE MYCTH_2067418 MATKTFTEQELNDIYAFAVQLGKDAGKLLLEAARLRWNGENTPE AVEKDSSVDIVTKTDEVDVELFIKTSINQKFPDHAFVGEESYSKGAFRDYLISDSTPT WCVDPLDGTVNYTHLFPMFCVSIAFLVGGKPVIGVINAPFLNQLFTACGGRGAWLNET QRLPLIRNPIPPMPTNAPAGCVFSCEWGKDRKDTPGGNLHRKVESFLNMAAEVGGRAG KGGMVHGIRSLGSATMDLAYTAMGAFDIWWEGGCWEWDVAAGIAILQEAGGLITTANP PENPSTAQIEDVGLGSRLYLAIRPAGSSSTESGRQGQERTVREVWRRVRALDYNRPGA MYCTH_2309058 MLENLCTLPLSADLFTQVLHPSEPLLTVGLSSGRVESFRLPSDE DDGTSSSNSGKGLIKSVWSTHRHKGSCRYLAYSHDGQALYSAGTDSVVKHFSPETGIV ISKIGLPPRNSATNTTDCPAILHVLSPKTLLLGTDSGGLYIFDLRENGSLNPKPVRKH VPHADYISSITPLPPSAESTSGFPKQWVSTGGTTLAVTDLRAGIVATSEDQEDELLCS TIIPTGLGPKRMRDNAVVAVGTGGGVLTLWDRGAWDDQQERIYVAGGKSKKDGDSLDA IVRVPDELGWGKKVVVGVGDGSMAIVDLKQREVQAVLKHDEIEGVAALTFDYQNRLIS GGGRTVKVWAESGIQGNEDEEGEEHEATAIKRSADSDDDSEGDDSDSDNERPKKQSKK KRKKGKGAQGKTVAFPGLD MYCTH_54618 MAALRLFWLLLCFFSLSMAVKSKPKRDLRCLMYFTGQHPVAPPV EKLRHVTHVAVAFMSPGLFNEPGRTEWPLFTTVDDVRAKFPEKTKVLVAIGGWGDTIG FSVAALNDETRKTFAENVASMVAATGADGVDIDWEYPGGNGEDYKKVPNSAKAWEIGA YPLLFAELRAALGPHKIVSAAVPGLKRDMLAFTRETVPRIMRHVDFLNVMTYDLMNRR DVVTKHHTGVELSLEAVDAYVSAGAAPQRLNLGFAFYTRYFKTEHDACALASPIGCPT LLLEDPETGEDLGRSGAFSWHDSVPDEVAESFARALDHGVYDEEGGGYYYWDAMEDFW WTFDTPDAIERKFPRILGKRRLGGVFAWGIGEDAPLFKHFAALNDELERYLEGKDEL MYCTH_2066475 MATGQVTKVATVEADGVEVFYRSAGPVDAPTVVLLHGFPSSSHM FRNLIPLLATRYRVVAPDLPGFGFTKVPAERDYRFTFASLARTFASFVDVISLDRFAI YVFDYGAPTGFRFALDRPDAIAAIVSQNGNAYAEGLGQPFWSQLQKLWASGAEADREA LRPQLELTATQWQYLNGSPHPEAIQPEAIYLDQMLLDCPGIKEIQLDLFQDYGSNVKL YPQFQEYLRSSGVPVLTAWGGKDEIFVAAGAEAYGRDVQKLETHWLDAGHFALETNEQ QVADWMIAFFDRFDVFRA MYCTH_2309061 MAYFSALRPLRISVSRRGRACGLPASFKVATLGARRSFSSYLVT PRELADALKKSPPSPISSEPRVIPLCASWFLPNDPQGRTGIQVFREKRIPKARFFDLD KVIDKRSPYPHMLPSPKGFAEAMSELGIRREDTVVVYDSQELGIFSAPRVGWTMKVFG HPSVHILNNFKLWVEQGFPIESGNVWTVECGTYPIPEMDEKKVAHFEEVREVAQDYNK EGAEGVQVLDARPYGRWSGKVPEPRPGLPSGHLPGSINIPFTEVLDPSTKAFLPADKL REVFVNKGVDPEKPIISSCGTGVTACIIETALSEANYGSPGKRKVYDGSWTEWAQRVK PSDSLIRKEE MYCTH_2309065 MKVRIRKWDAVATWRWDIPEDDVCGICQVHFDGTCPTCKYPGDD CPLLSGKCGHNFHMHCILEWIKQDSAKGQCPMCRQRFEWIEQPIETSMNNTSNIPPV MYCTH_2309066 MTGPDTDRPASRGYRTSPITPSPRPSIASRASRSSLRREVERQE GPAHNRPTSATYSRPHTPHTAAAPVEEPARMPSPSPPPQPTQPPFSPVFALLSSTSLS TNRQTVHHPTVHYIFADDDPEILTAALAHHQQGAYNDNSEEGTSLTSDRGVLLDMEPT ADGSGYEVTWASSLTPDWAVTSATISRSEGGLGEAAPGFGSKLVLNVEGVSLEPSLGP APLGKASTPEVEMQSSGASTGKARTATAAEEYADLLQDFDKRMSILRKVVEAGAARQR ALGEGGGQFSEAARDKPPTGDESAQDREGRQ MYCTH_108565 MSYGGYNPYSGNGGGRQYGSDPFDDRNAVQYGAGTHEMSSLGPR NSPQVAQQGYGSPGTAKKILILDECYDIQNGIRDVENKLGSLSTLQKRALDDTDMSGD SSTKRELDRLTQSIMDQYRRLTDRLRAVKSDPESKRYQNQVDKTERELRGAIQKFQEL EAASRREMEAQMQRQARIAFPDATDAEISQMVNSETQIFQQAVLGSRGERANRVLGLH KERKQQMEQIERQLEELLDLMSRVQEMLIQDEVKIQGIETATAEAATKLEESNVHLET AVASSRGARRKKFICLGICVLIVVIIVVAVVAYIMVNRAANGGGGGGGGNNNSNNDNS NNNGNTGNTGNNANTGNTDNTGNTDNTGNSASNDATSGSQKRSLFRHNVLDDLQMNTA RAVQINPDVAPVPRLPRRLASRAQGPGMASNAKIEAWAKKRFVVDWEGPDATGSDD MYCTH_2309073 MGTREASHAGSWYEDDPEELSSQLDDFLHRVPAELDFTPLPIPG ARVIIAPHAGYSYSGPCAAWAYKSLDLRSAKRVFILGPSHTYYLRGCALTTFDKYETP FGDLVVDKPTTSELRKTGRFSDMPARREVEEHSLEMHIPYLWKRLEQTFGTDVSKYPS IVPILVGNASEQEEKSWGELLSPYLKDPETAWIVSSDFCHWGSRFSYRPEFHKGVVRD LDNKDDDLKVSPDWAQAAADPERPEIHEVIKVLDQMAMDAVESGAHSEFYKVIRETRN TVCGRHPIGVTMAALETIAKEGGTNAGKGKFRFVQYQRSNLVKKERDFSVSYASAYAV V MYCTH_2120237 MVLKRKRSESEFGSVFSSTERLESNTFNFDALSAMDTARRGFFA PRLSTPSHLPSRTLKRFRDNRPSESEIYQHTLDVLYSAQRQSREHRYASPEPPRFASA VVSLQPQAQTRHGAQQRSLHGFWNLPGPTSSSTSLASSPASSAMSPPSPTHPPQCLPA NCEDCGARLGGDADDVMMDITDYGFIQENHVCGACGKTVCFSCSVSNLGEHRRCLACA EPRGGVGCSWLRR MYCTH_2309074 MTSEAQPYDDELPEEVGKRSAEAATDHASLKYSLLGPSLTKAGQ DSVDQSKVSEIIYNVSKGSKFFNHEEARDRSLTAKIEGILAKKRQLETLDLSRELRAA DNLLAQLELSRDLSQYIVHIDCDAFYAAVEQLDQPGLKDVPFAVGGGVLTTCNYVARK FGCRSGMASFVAKKLCPELIVLPLNFDKYNAKAAEVREILATYDPRFESASIDEAYLN ITEYCLRHDMDPADVVSHMRREIHEKTHITVSAGIAANARLAKICSNINKPNGQYVLP RDRTAIMDFMRDLPCRKVNGIGRVLERELGSVGIKTCGDIYTQRQYLDRLFGQKTYEF LLRCYLGLGRTNIQPADEYERKSVGTESTFREMSDPVQLREKLRRTAEELEADLKRAE CKGRTLCLKVKLHTFEVLTRQVVPPKAVCLADDLYKYSLPMLAKLEQDIPGLKLRLMG LRCTHLVSTKKLDARAFFGLRPQDTRFSEQAEEASRPEIERLGGDDTREQSPQDRVVS LAGGGVGSRRHGKERVPNPTNEEHPTAKAELWDCPVCSRPQPAEERQFNEHIDLCLSR QAIRDTIQQEATAQQPQQQQFERGMPGVQKAKGKKRGRPLALADPRQKKLCFG MYCTH_2309077 MQHVLLSFFLLASAAVGALASDELKIDVTLPVECERKTQKGDRI SVHYRGTLQSNGQKFDASYDRGSPFSFKLGAGMVIRGWDEGLLDMCIGEKRTLTIAPS YGYGDRSVGPIPAGSTLVFETELMGIEGVPQPESIVTKPAADSPASSSSQKVAEKVAG AVSGAAEAIKTVVAGTDDVQEHNEL MYCTH_2309079 MPSKHSPRAVIFQGSSRRSTYRAPGSTCTTLSSPHSQIISSMDS SNASRSTIEARYRTGSSDTFYVDVKEIKNPRGGPNTVIVQQYRPNPDKDEPRSSDRYS DGYYSKK MYCTH_2095534 MADSEENVNLIDETPISPSRSSPARKNSLEFHLSHRPGRQELVD RNILPASTAAPGLQANQKQLERHMRADSLNEKIAHRPSPEALIRDGVLHEDPRAPDDK YAEAIEEEYAKREGGA MYCTH_2309084 MGQSLSNEKAASPSREELVKQLAGRFAHRCFTSLELYSLRNTFD RLADQEQSVRYLKETTMTRFLEIPDTLGVGPVLFQMVSYLGAFPFFQDAPVVLGLEQL IMVVTVLTDRYRKVLASSGMDRRKLLFRSLAVYDRKFSEMTSSRPVRLKQEHDQVRTG QDRDAVSTGRSLSGSVVDETGNDEDLGLDDDELVLAAFELLDCTKPPKHGDVAITHIH TSFIPTDNFRKLVMLLLLIAPLDAQEGLSLYSSRLSVDELQNLRETAGCILSVFLDVE QAPGVNFSRFLATIPALMPYMFDGLGTLFEHFLFPKNLDLHRHSDGQHQTVNLLQEPV QPLLETDGSIMKPNILSQISFFIPGSSLFRKLRLLYSGDEDGFSMGSFESKVFNWRAP TILLVSGTRLPEEALYAHSGPASVFLSTLPSHRLPPDNTNRDQQGRLTFGVYLNQPWK YTHKETFGDGETILFQLQPVHDVFRSSTVNKNYASFRKPSASVPLGGISFGCPPPQPS QTYRRSNIMSLGPVSLVLEDSLEFGCFTHDYTSKGGAFRTSVARKFDFQERFEISSVE VWGCGGDEEARHQAERWAWEVREAEARRRLNLGTGDIEADRALLEMAGLVGSSRSGGS MA MYCTH_2309086 MSDRPLSGRPLPSSFDDNEDFYNESGFQKILRKLKEEPLVPIGC ILTVAAFTNAYRAMRRGDHHGVQRMFRARVAAQGFTVLAMVAGGIYYAEDRNKQRELW KLKQQREAEEKRQKWIRELEARDEEEKALQEMMDKKRKRAAERAAKNESAAAGVASQS ATASERAKPVAGEGTQMGAIEPPSQAADGQKESKSALLGFLGSWFGGSSKAPEDPGKE KKDQSHGSEGSR MYCTH_2309088 MTTSSETASPLGPKDGEQIQISGGNSTSTTTQIPTTQTPSSEDD GSLAKTPFLSSLSLTEYSAKPTISPEDRKMNMKNIVPEEFLLPNGNPDYLRLIITSYP RVREVCNEIPLVHAVNLSNRLECKVLLKREDEQPVFSFKLRGAYNKMAHLDRAESWRG VICCSAGNHAQGVAYSARKLKIPATIVMPKGTPSIKHLNVSRLGGHVVLHGADFDEAK EECARRAKQDGLINIPPFDDPYVIAGQGTIGMEILSQTNLQKLQAIFCCVGGGGLIAG VGVYVKRIAPHVKIIGVETYDADAMTQSLAKGERVLLKDVGLFADGAAVKTVGEETFR ICQEVVDEMVRVTTDEACAAIKDMFEDTRSVVEPAGALAIAGLKKWVAANPSSDPTRS VVAITSGANMNFDRLGFVAARATYGEGREALLAAKIPEKPGAFAELINAVMPHSVTEF SYRYAGDTEANVLLGISLTAPGSQRAHELQKIMDRIRASGTMDVADLSGDELTKSHLR YMVGGRSNVPNERLYMFRFPERPGALERFLQTLRPKYNISLFQYRNHGGDIGQVLTGI LCPDDEITELHQFLKEIGYPWEDCTSSAMFKTFLRA MYCTH_2066447 QERLERRYPTPHEWTFRTRMDFRGGNCARFEPSPGKITDWQQVA WWFETTVKRLEDPNIDGQGVKDAPHEYPPGTKDVTAKSEEWRRGYYEAMMGYAKAAEH MDGWVLDKSRNIVFPPGTMIGPSNPFPKPLPPGFKGAPREEDCELRFESPDAIYLRIL STPGFTNRQKIEAGLAYGSWLEYKGINGPASVILEDAVNLAASERPGLPAEPLDKKTW TLSEAAGLPSENLLNALTAYATFRARQGALDSALPILVSILKARRSLPPPTAHSSSSS NSITAALNSNNNNNNNNNGNGNGNKPAGARSAGIWSTLTAFLAPPPYPPPPPDGTAPP TRDALDLCQEAALSLHIGEILYASSPGSREEGLGWTREAVDVAEEQLHQLPQKATRDS PARAACRECLATGLGNWAAKVGHSRFWQLSGSRRNLENPKTPLVSGIRKRFAVLCGLL RLLVRKHIAWL MYCTH_2082917 MLYRGLRLAARTAPRFSLSSTSSALRQLPLQFQHVRTYADTIVK VPTMAESISEGTLKQWNKSIGDFVEQDEEIATIETDKIDVAVNAPEAGIIKEFLANEE DTVTVGQDLVRIELGGAPSGDKPAATEAKETPKETPKETPKEPAPEKQTEQKNAPEPK PQETKPATPSAPAKEESAAPKQPAKPAKATTEAPATLGSREERRVKMNRMRLRIAERL KQSQNTAASLTTFNEVDMSALIEFRNKYKDEVLKKTGVKLGFMSAFSRACVLAMRDIP VVNASIEGPNGGDTIVYRDYVDISVAVATEKGLVTPVVRNVETMDMIEIEKAIAEMGK KARDGKLTIEDMAGGTFTISNGGVFGSLMGTPIINLPQSAVLGLHAIKDRPVAVNGKV EIRPMMYLALTYDHRLLDGREAVQFLVKVKEYIEDPRKMLL MYCTH_2316017 MSSRQAALSLYRRSLKLALDWSVQRHLWRGQALYIRSLFEKNRD VSDPRLQRALLKETEKLLEKWKHPDPYVHPTAPGGSKYERNLPAPHLDPPPPLKF MYCTH_112137 MTGGGKSGGKASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQ RVGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGH VTIAQGGVLPNIHQNLLPKKTGKTGKNLSQEL MYCTH_112136 MAPKADKKPASKAPANVASKAPEKKDAGKKTAPSGDKKKRSKAR KETYSSYIYKVLKQVHPDTGISNRAMSILNSFVNDIFERVATEASKLAAYNKKSTISS REIQTSVRLILPGELAKHAVSEGTKAVTKYSSSTK MYCTH_2309102 MPPRRGRWSLPSAKASQGRLAAPRGKLPGFVPATPNAGSQSNGF SMRDEAKHTASHQAFSAWASEDAKLRQKPVTFVSAGYIEPLKERLLSEDPPGLSMPGR SSVGVIEDVGGSGQLQDATNPDDAKDIAAVPIEEETAVDIVGQISLSTEFATKSAGSL DQPSISEGGETKELFFFDLAENEPTIDPSITPPKIASPRSSSAESDSSEEIILFKGRT ANSRRPLLGNENFPRATPAPPEEHDKHNSEVILSRFEKGNAQNTRPPSQVSQKRSKAR RRRLQALEAMKDAEEDAILADYIANMAASSDDDLLANQIQPLTCHRDLGGDDDAIDFG VTDEKSPKGDNPLDEVEEFAESDASDTGMVDFVESEDDHDMDADTKDEALARLFAEQE ELGMGSDDLLLLTSAFADTGTRKSKEKRVLKANSGRAPHEPPSATQVADAFDCLDLAD WGYLSGRTRKRRSKHPPNFNVSDSEIEAALKTAWQRDRERKKSRKQERETLRSQGLLG KEAGPDDLRVKYLSGMRLDDIKLELTSFLVGSAERLEFPPLDKHARKVLHELASKFDI KSQSTGKGDQRRPVLYRTNRTVRYASTRFEDAARHVDQMASRIHRKYFHRVDVKVQKT GLTRNTGGSRSGHKALTLREGEIVGASVPELGRENKGRAMLEKMGWSKGMALGASDNQ GILEPVAQVMKRSKAGLG MYCTH_2067869 MEAAIAAGAEVSRVSRRILQKIWDPEPINDRNSNEPAWCLGRAY ILGPKASGAPQPVVASTPPADPATDKIGTKAASVPSVVRRGATTQQSPDTPPDSLASS LDSSLAYEESSGDSGWPPAFLDDFESRIWMTYRTGFELIPRSTDPRATSSFSIAMRLK TTLGDQTGFTSDTGWGCMIRSGQSLLANALLISRLGRDWRRMTDPDAERPILALFADD SRAPYSLHNFVKHGELACGKYPGEWFGPSATARCIQALANKHESSLRVYSTGDLPDVY EDSFMATAKPDGETFHPTLILVCTRLGIDKINQVYVEALISTLQMEQSIGIAGGRPAS SHYFVGVQGQWLFYLDPHHPRPKLPYRENPDDYTSEELDSCHTRRLRRLHVEDMDPSM LIGFLIKDEDDWDMWKSAVKHVQGKSIITVSPYDPTRDMGSARAEAIEEVQTLSDDDD DTVLEA MYCTH_2309107 MGAEQSTSRSGNTQVAVERKTCYYELLGVPREASDEEIRRAYKK KALELHPDRNFNDTENATRRFAEVQTAYEILSDPQERAWYDSHRDAILSGVDDLAGSA PTDPGSGHTSANAIFALMSRFNSSVPMDDSQRGFFGILNEFFDQLAAEERAACDWAGI APTDYPPFGKATDDYNTVGKRFYSVWSGFSTRKTFSWRDKYRLQDAPDRRIRRLMEKE NKKLREEGIREFNDAVLSLVAFVKKRDPRYVPNTQSEAERQQMLRNSAAAQAARSRAA HLEKLAEYVVPDWAQSRDDEEDNGEFATSEEEDEIEEIECVVCNKTFKSEKQFEAHEK SKKHVKAVQKIQRQMRKENASLDLEESEPVSMPSPVARQTIEDDNGAPGEDTSPQTQH TEEVREDSRLSAGGEDTDQATPFSGTEDDDYAPRAAVEERLLNGATRSRSPANKEGST PGATDSVATSLGDLTLDGGLEGGKKMGKAKLKREKKAARLAAAAGTSDSVSH MYCTH_2309109 MKFGHAFQEALAADSYPQHWVEKAVPYRQLKKILGKVREELITN GYAPDTLQQLLTEHNAEYRLETDAFHLLRPKLVVRPSLSGEQVPKTKSLSEVTSTTLS DYADCHSSELDVSQNGASVGGGGGDDDDGWVEIPLSSDARFFGLLQADLTELDTLQAK ERQLMNDKISILGNEIAEVAKPRKGLAKFSKSDLYRWREIFELYLAAQVFFSTSEAAS GLRKSEKARQQLVWFQEEVKKRQLLQKFKIESSATAYAHFLALNATLLQNLQFQELNQ TAITKIIKKFDKRTSLGVKRTFPKMMYPAQFISEAISKDVCAQLAREVVNQVPQVVDY TCTICLSICWLPIRLDCTHLFCIRCMIKMQNQNKRYCPLCRADVIQRANETHIDEQLV RYLERWFPKETKEKQAYNELERRRELLGDVYVGEEPPQCVVM MYCTH_2309110 MTDFELGASIPPHTAHAVSVSLPTWESNIGYEKGEDWVVGRMST GYPRFFIHRSIQAFAADIVARFGPKDAKAFLFPTRRIAARCLTFVKAHAPPPVAASLD IVHLVLDTSRSAPEALTPLSPAISAVLCSQESFPYVKQFWQHTGDGVSSRRAEFCHAL FKDGLLRPDDGLTTPPPMSPKPCRGPKRYQRPATVDTTRAPPSPKPGPNGDPTASPDV QETSRFLEERFGRNLDVTFFQPAKSAIKRRIAGALRSDGELTVSPPPEGEMESNSRGV VNLREDDVYLFPCGMSAIFNSHRALLGVRGNMKSVNFGFPYVDTFKILQKFGPGAVFY GRGAESELDEFEKLLEGGERFLALFCEFPGNPLLTCPNLRRIRQLADKYDFAVVVDET IGTFANVNVLPFADIVVSSLTKIFSGDCNVMGGTAIFNPNSRYYAALKEWARTEYEDT YWPEDVMFMERNSRDFVSRIDRINANAEAICDVLRESPLVKSLFYPKFNDSAANYEAC KLPSGGYGGLLSVVFHRHEQAVAFYDTVQTAKGPSLGTNFTLTSPYVLLAHYQELDWA EKFGVERDLIRISVGLEEPEHIVNVFKAALRAAEESVKTRGS MYCTH_2309112 MLPFLEVDHLPSSSSFYSAVIQPLGLRYHSTDDGHFPSITFGDS SRTTPIFQIRQVVSSRDRPLRTSRIVLSAPSAAAADSCYEFALRANPDIRESHSRHPA ENYVVRSGVSAQRRDTSGGGTRVFITDFVGNLLEVVYQPPPEYCSHYAGSTARYTKST SEGASRVLSWNYDVATSSLLASAAALSPASSASGRTLSRRSHARYPEDDDDQPHPGIR RSVTTGSSVYEPATSARENSRGLSAGAVVGTLLGVAAGAALGGAFTYNMVKDDRARDS RQDYDMPPSSRRYTFPERYEGYSDRKPRYVEVERAVDRVRYQGDYPTYPDYRPPPPEY IARYSQADAPRTTEVGDVYDDDSRGRHRSSRSRTSSARPRSESASYRDPYYSEMDTEH RSYVSSRSSRHPPIVQRSYTYDTPDRESYVSARSRRSSSTVRAPPAEPYDAPAHVSSH SRSGSRVTTVTYKVSAAPRNRSREGSYVSAHHVPLPDSRAPTYISARDVPLPDSRAPT YVSARHVPLPDSRAPTYVSARDVPLPASRPATYVSARHVPLPVSRVGKWETEDDDDED IGDTDSIAPSDSISCVGSRRNGR MYCTH_2316023 MSSSPEYRAGKRPRTQSLPPPELPQLVAEQHTPIPPTDKDTKRL IVVLSNASLETYKASHGGVNRMGVQREEKYSLLNSDEHIGVMRKMNRDISDARPDITH QCLLTLLDSPINKAGKLQIYIQTAKGVLIEVSPSVRIPRTFKRFAGLMVQLLHRLSIK GTNSQEKLLKVIQNPITDHLPPNCRKITLSFDAPLVRVRDYLDTLKPNESICVFVGAM AKGPDNFADAYVDEKISISNYSLSASVACSKFCHAAEDCWDII MYCTH_2309119 MSTPRVAVASTPQRNNGYGSTSYFTQSQIQQTYQAATPDADALS KSNPASNSRADNGGHSIPSASMRPARFIEEWDASQRGDSVVDSAVQGNMQRTSQNPAN ANGVHVDAISLSRGNTLKKKASIRRSGSLKRSGSRRSMKAGSVRSLALQSTADQDEMH SAFYCPVPTSANPTEALANRFQAWRKTLKDLITYFREVQTHYEHRAKSLMKLANVLNN TTTPPGLLTEGGLDDALEILRGYNKHAIAEANKAREIEEDVILALTGLRSDLHQKIKE IRNLSGDFKNSVDKEMESTRKAVNQLQEALGQNELDPSLTVGKQDPYLLRVAVDRQIE RQIDEENYLHQAYLNLENSGKELESIIVGEIQKAYNAYAGILKRESDAAYNTIEVLRA GPISMPKDKEWTSFIQRDDRFVSPDLPMRSAESIHYPGRDHFACQEIRAGLLERKSKY LKSYTPGWYVLSPTHLHEYKSADKTQAPLMSLYLPEQKLGSHSAEGSSSNKFVLKGRQ TGSMHRGHKWVFRAESHDTMMAWYEDIKSITERTPEEHSNLVRANSRSISRSSQRSSV SSDGVVDDEEEPPFTATAASVNQQPRNDGSSRRPSGGRFPSDLQVNAQRGLQAPGSPL SANSGYGEYPNPADPSITTTVPGGGFDQQPPSSRQAGRPQETERDEFQRTTAIGTAAS GALSVSSSVAATAQGRVSQDSDLANRSTAAQQPALLADRKQATPFWAEPVPIHPSHSH SHLSAQDGRPGNELYEPQTSTGVNGDYSANGIGVRTLSFDGGEGLVGTNQVIGDDELS ARPDGAVRADSAQTISHLHIPGGYPKGSITGT MYCTH_2316024 MSSRGAPRGRGGGGGRGGGRGGFQQRDMGPPATVLEMGKFIHAC EGEMVVESTNPKIPHFNAPIYLENKTAIGKVDEVLGPINQVYFTIKPSEGIQATSFKY GDKFYIAGEKLLPLERFLPKPKPPPGTVTKVKKPSRGGAARGGRGGSSGGFSRGGSRG GSRGGFGGSRGGGGRGGASRGGRGGFSRGGGRGGGRGRS MYCTH_2309125 MLVRLSTLALAAGILSTPSLVTCLSASDIPPDTPVSRLLASAQA HLSKGETGDALVYYDAAVARDPNNYLTFFKRATTYLSLGRTSQATDDFQKVLSLKPGF EGAHVQLGKLKARMGDWDAAKEHYRKAKRNEEIASLEEAKGAAALAEAAAKSENWEEC IKQADDAILTASRALALRELRARCAFEGGAMERGIGDLQHVLQMKPGDTAPHVKISAI QFFGLGELQEGMASIRKCLHSDPDSKECKRLLNAEKKMEKVFQKVTKALGKNQYMTAV RQLVPSGEGEGLIKEVKDQMRVLREDGIIPKAAGNVLIARLVEMACQAYYESNSKKAK EYCDESLKYDENALYGLLYRAKHLMDAEEFEESINTLRKAAEAHPGKDDVINPLMQKA QVSLKRSKNKDYYKVLGVAHDADERQIKSAYRKLSKLHHPDKAVKQGLTKEEAEKKMA AINEAYEVLSNPELRARFDRGDDPNSHEQQQYHGHPFGGGHPFMFQQGGPQFQFNFRG SGFPFGF MYCTH_2135313 MAQPNAEPVEDYDYESLPPNFSLLQNMAAGAFAGIAEHCAMYPI DAIKTRMQIINPASSTIGAGVIQATYRMASTEGILSLWRGMSSVIVGAGPAHAVYFAT YEAVKHIMGGNQAGVHHPLAAATSGACATIASDALMNPFDVIKQRMQIQNSAKMYRSM LDCAKYVYRQEGLAAFYVSYPTTLSMTVPFTALQFLAYESISTSMNPTKKYDPVTHCL AGAVAGGFAAALTTPMDVIKTMLQTRGTATDAELRTVNGFMAGCRLLYQREGARGFFK GVRPRVLTTMPSTAICWSAYEASKAYFIHQNDKTS MYCTH_2309135 MTSKPFSKLVPTSQGRSFYEDLRRREEDLQDQTGLLDEENLKQT FHEYDLEHAEGLGMEDSRTTLGGVTGPSTKRRPHKDDRPTWMPQEEEGDNDVPASLLV ERHDGDAAGSPSQPRKKKVKQPSAIPGPSNARLQWETTQAQQRLHDDSVFKQPPQQSG LPSSLFTGMVSGNAKKKAEWRWANVSNLDNFIRDVYDYYLGNGFWCILVERGLHLVHV AFTASYLTFLTQCIDYTKIRGSQNLSQVLVPQCVKNMSGWWSVGVWMFAFYFIWKSIQ FLLDLKRLRNIRDFYVYLLDIPDQDMQTVTWQEVVARIMALRDHNPKTATTLTATQRQ WLGSQSKERLDASDIANRLMRRENYLIAMFNKEVLNLTNPLRFRGNQQVLSRTMEWLL MFSILDFVFDDRNQVNQEFIRAERRAELSSKLRARMRFTAILFGFLSPFLALYLVVVY CLMYFHEVYKNPAELAARTYTPLAEWKFREFNELPHLFQKRLAMSHPFASHYIDQFPK AKTEMLAWTVAFISGSLASVLVAASILDSELVMTFEITPGKTVIFYLAVFGTIWAGAR ASTSDEKSAFDPEYAMRNVIQYTHYEPDHWKNRLHSSDIKVEFSELYKPKVMVFIEEI LSIIHAPLVLFFSLPKSSDQIIDFFREFTIHVDGLGYVCTFAEFDFSKGVGKNKSNNG ERDVRDDYYSTKHGKMEASYYGFMGNYGNFSVNPRAGATSYLPPGARNQFHPPPVWPS INSPAAADLHLSRMGFDRLRSGTSAREARHGTALPQPSPMASILLDPHHHPPSTHATG RSIHTPRRNRGGGRRDVIEETHEDDDEMSDVGRRLGDEEAYESGGALEESAWQTSPAR TLSRDNSTAESRRPPDAGVVHMIYQLNQAQMHRRPGGVR MYCTH_2309136 MPPSPRPLSSQSTASTPSLVAKAASPLSSKVNIVLSSSFADTEF REALALLDERGIQNTEETRRQLRLDLQKEVIDSNGEIIDEFAKVAEQLRRIGITIGRL NDNFNEIKTQIGSAHKATSTALAESSQLMAQRRRVEQKQALLAALNANFVLSADETAA LTLTSEPVNDLFFATLAKAKKLSKDCEILLGFENQTLGLEIMEQTSKHINLGFQKLYK WVQREFKTIDLENPQIGSPIRHALRVLAERPSLFQSCLDHFAEAREHVLSNSFQTALT GRSLSGVEDRSVKPIELVAHDTLRYVGDMLAWAHSAAVGEREALEGLFIGEGDEIAKG IQAGRDSEIWRLVADDGGESDEFDAVKTLNELVDRDMSGAARLLRQRVEQVIQTNEET ILAYKLANLLNFYKNTFSRLLSPESALVELLTALEAEALRQFRSLARDHVAAIQGEFQ HTPADLRPPGFLLDALEQLTAIMKTYETSFTSSSNREQEFEPVLVEALDPFVFGSANM AKSLRAPSDSIFLLNCLLTTQRSLSPFDFTQRRVAQLQSQIEEERTRLVQVQHHSFRM ESGLSALIAQLGPLGDRKEDVEKVASLEAVQPPALSQASQMLDDFLPLALMDAVEKLK NLQDSRLARGIVEEAAERFCVDFEHVEEMLMLADEMAEQNQCERDDFQSLRALFPRTS GEIRVLLS MYCTH_2309139 MFGNRTATALSILQKTYDESYLTCSTAVYYESQGNESEALRCWR QALDQIQDHNANRALPNFTPRSETERALLDSIRQLELQCKERIDLLEALRLSRQEAFE AGRPSSQCHAEQSDSEPRNGAGLGAQEQGWIGNGTIPAVTYTELARPELLRRRSTHSR TPPSQPAASSSPSRKPPASTPTQISPEKKTSRGPSPERHTIRTTLRPNRPGEKLTKTS LRPLGQRRAEGPGASKAAILAWGMLGSRDPADQSLADGQELGPSLSNTATPTEPLPRN SESVPKISDSNSRRLTTPRTRSPVKPSDRRTSVDLTDRLQADTNPCPRPSPISVSAAS SALASLALKDNPERPSPVRERIPRQRTAPPLTSLLKKPREPPGADRTLSDAPKSQPLG RKGSSDSAVVSRRSASKSHSTSRPKTPRSPSNLNISTSPVGRRRKNHRPRLSSSPSTS DLSSSSFDTPAPSSRQRHNRGRQREAPLIADPLAEHSDSSTGDEQKNLARLWKKRKAA VLQKLPPGVDQSAAKQILNEIIVQGDEVHWADIAGLETAKNALRETVVYPFLRPDLFM GLREPARGMLLFGPPGTGKTMLARAVATESKSTFFSISASSFTSKYLGESEKLVRALF ALAKVFAPSIIFVDEIDSLLSQRSGTGEHEATRRIKTEFLIQWSDLQRAAAGREAMDK DKERGDANRVLVLAATNLPWAIDEAARRRFVRRQYIPLPEAATRAVQLKTLLQQQKHN LSDADIDTLVSLTDGFSGSDITALAKDAAMGPLRSLGEALLHMTMDEIRPIELSDFLA SLNTIRPSVSKASLQQYEEWAKEFGERGG MYCTH_2309142 MAQPVSQAAKTAYRTFRELHGVVVTAGTMDKTVKVRVGGQKWNS FVQKFFDDPKTHLVHDPNNSLRLGDVVAITPGWRVSKTKRHVVKSIIAPGSGVPVEDR PPIPTEEERHAERAARRAAKEQRRSIKKMAEQVEKQLGITEIILRRARKEFALRARLL GVSTAAATPEGIPVGDAGAQQ MYCTH_2309143 MTDSDGSWPAESQQLFWDALNKVLSSTCATPEQLDETLRSWLNL VSKARDDYLESEDDVARCSEMLLESQIFRNNSNYVRTQIIYSLLQEDEFAQLHVYANF LLLAGRTEEDTFRAMIHEGCFVRLLELIKSCGGRDGRLHRLLLQLMYEMSRIERLRPE DLLQVDDNFVMYLFQLIEALSDDVNDPYHYAVIRVLLVLNEQYMVASTSAAVDPNSPT VPVTNRVVKVLSVHGPSFRTFGENIILLLNRETETSQQLLILKLLYLLFTTAATYEYF YLNDLRVLLDVIIRNLLDLPSEANILRHTYLRVLAPLLSHTQLSHPPHYKRDQILSLL EILRGTGNAHFTPPEPTTLRLLERVAKTPWLVADEPSSTNLSPVGSLTHSQTGSSVSV VANVLEKPGVQTPSRKLGLGLVSKDTGLPTPAEGSPSRPKPPPPPRALRPKTSLPEVP KHRHGSPIVHPSVTDVHVNGNGQKKPPPKIPPPRRKAKVLAATGGDARTMSESLPTPD PTTSVS MYCTH_2309146 MASTLRRRVPGNDTPSETPISRDGSPDEPEKVKSVVHHHRPKTR KRRNTAIFLLGSLFGIIAAGFFAKSSDLIDFPEIGELSMDSFLDVLPAGLVKDMRELI IGERAFLESYDAFSVGLKVRSEGFEAHHPMVMVPGVISTGLESWGTSNVSLPYFRKRL WGSWSMMRALVLDKETWKAHIMLDKKTGLDPPGIKLRAAQGFDATDFFITGYWIWNKI LENLASLGYDPINSYTAAYDWRLAYPNLEKRDHYFTRLKAHIELAVQLQKRKVVLTSH SMGSQVVFYFFHWVASKHGGQGGDDWVEKHIESWINVSGCMLGALKDVSALLSGEMRD TAQLNAFAVYGLEKFLSKSERVDIFRAMPGMSSMLPIGGSAIWGDLDGAPDDQPGQEH SYGSFLNFRRGQNWTTPDRNFTVEDAMKYLLDISEDWYRDQVTGTYSWGVAQTTAEVE ANEDDPKKWINPLETRLPLAPSLKIYCFYGVGKPTERGYYYRPPEPGSFTNLNMTIDT GLTQGMVDHGVVMGEGDGTVNLMSTGYMCNRGWQIKRYNPAKVKVTVVEMPHEPERFN PRGGPNTADHVDILGRQNLNEFILKIAAGRGDTIENHIVSNIREYAAKAKVYEEEDEK AIADRSVAT MYCTH_2309148 MAAYEDLRSEGKPAAGSKTALPAELRYLQYRHSLESQYLPAIRA LISKDLSEPYSIYVYRYFLCQWGHLCFMAIHPTDSSLVGVVICKLEMHSSHSPPTLRG YIAMLAVAAEYRGQGIATALVKMAIDAMTKGNADEIVLETEETNIPAMRLYERLGFLR SKKLHRYYLNGNSAYRLVLLLKESATDFALD MYCTH_2309150 MESDSDFYGDENTISSLEARVKDFDVIAWWDCRTSALDHFQLAS HKPPSPPIPAGCPHDDPEEGQLGAKRLSESVEEFLGRLPPATTNWRPGLDWIRISNPY APPQPDQALARFRKGAEERLALFAELKRMATAASAKAANRSLMTLRRDISEERRETIA DLLELAGACNVVAGKWMLFPGPEHVNEVWTKVATATANGELGITAKVETRVRADKERL VCIYTRDFRDKDDVRRVLNRMRELELVRPGGRQIYYKPDAWTELGIYGGNGWGIAASM YSSNEIFGYTKTVPSRFS MYCTH_2309152 MAQPSAILDLRFNPHEARHDICAAVSSTATLALFKLSPDEGQTL KHLNTMDIAAMSNGKIEPAPGEEIIFTSFGWHPSQADLMAVTTSTGHVYLVRLATYEG KWELSTEPFITHTLEAWCVVISPSPTVTMPPGEVEESGQSSFKVYSGGDDSMLRSRRC NWSEGGFIQFHPACESRGHDAGVTAILPLLVQDDGHELVATGSYDEHIRLFSFPPFGR PKKLAESRLGGGVWRLNLVDSDTTPSPSYIWRARILASCMHAGARVLEVLQTLDGEYR FGILGRFEEHKSMNYGSDFQPGSKGKLSVVSTSFYDKLLCLWEFEFS MYCTH_2309153 MAMNGAAGTAATGDGGEAVLSKIHEALKVVHSPYSPNQARQEAQ AFLENVKSLPESPSHGFSLAFNKPHEPIVRHYGLSLLEHAVKQNWEEYSTEHREYLRS WVLQLAETVSAEDPPYLRNKIAQLWVEVAKRAWVASWMDMDHLLVRIWRGSDSPVYKQ FVLQILETLSDEIFNGDDAVVALREGVLSKACVEIFTPAAILTEAFPNRQAGPDVRCE DDGWLSRITQLIGECLSGGVEQTEDVTACAVKALKVLNSVVPWAIPKALNAVGCRAVM CSCLATPSVPVQKAALETLHSLYSRSSFTEEEFVDLVVPMYTEIMVDLYRRLFEWSVV DPQDIDDDKYQFAKKFSELLCSLGNYLDRKFAAIPPQTNVQGFLELLMLVVQSQSLVV SIPVLLTWTRLLSHRSLGPAAAEMPLIVNLLEVCCARLIRYESFPEDSEDPVYVLLIE DTDTIPERHAFLGNYRRYCCSIIESIVHLKMSDAFSHILGRAERALNTLYDGQPPLNP ANYSKSSLPVLTFDAHATVIEAALKGYDKWRASRSRTNEEKQQATAVEEYFEKWCGQL LEMKFEDPLIRKRILQLLVTFSTRALDSNPAFMLRVLEHILMTWPALQPEHRLYNDAI RDLQTESMVELQRLASKMPDPLLDVYDQLEAKVQEMIASGTLDEKRQIAYQSFLFIIV HRATHIDPAVRLSKLTAFIDPVKDQWKNESLKAALGSYNGFCELLGLDKVQKYLTERR VHENADWGSTDLDAEGLALQAELEHRQSVLPLRVTKSFLTYSVERIEKDSAPYQASCT LWQDGFQLILPELLKLLSYAHASHNPANWVLLPAEMQPTVGRLLSDRFWQAGISEGSK DDFYARVLGKKNTLEGLASTVRGTIRFVRETCYAIIYCMTRLNTQFYGFLELPGPLAN ALFADSVYLSSHQVINLLTLVRFLVDNCPAELREHFVPPILAACFEQMDAKISSEWEK LGQREAVQAAGDELTEEMKAESILRQLTYSAVLMVADVLDPARVAAPSASEEQPVDAA SSAKYPPLRKFCLMNPSIAVPLLVFCSHAIRMHDGRSCGVVLRVFRSIIPEFSPSEVP RTMKDSGHTEPLEDFPIPEETARDIREFISTEVLKAAISSLHDPYFVDLQRELGALIA QILAYYASLTPTPRNILVSLPNIKPEDVDRTIQQVSQTGMHSRQQRALVLELLEDLKG VSISEMGKLTKSFGARPGSARGNKKSARSKMAQEFMTPQAGSGGGGRRTDGDGSAARN KTPDLEGMAGMFNEAE MYCTH_2309160 MGAEWWLEDAVIDPTAAECQRLNLKVGELRAEVIRLMSSVTRTQ ENIGRVQDLMRRAQDLDQQAAAWMKSVPEAWQPRTLCWQLQSLAVPGGSDYSKAEVFP GRVDAYRDFWVASVWNQVRTARLILMSIIVRCAAWVCSPLDYRTTPEYATSARVCVDM ISDILASVPYHLGWHTKRRDLVPEDDPACFGCGDEQGIKGLAGYFLSWPLACVLTQDY TTDAQRAYIKGRLKHIADELGIKYAHILSSLNVRVPSLLIRSNGLLAQPYPMDHSFGK LISSARKPYPAPKGCTQNQQLHQEVREPAQPVQVQGGSAGASGAGRYR MYCTH_2309165 MAWGKQKEQSPPSTIGSVYPPSHFYRPSANAVTELIPKKYRQVL PLIITLVILGAVAWVCYLIYLSLGKIQAQARKQMGENITITKDGMRVNVQGIEAESYL DRTQNWVVKAWELGSGSNPNEHEEATKRKRFAPGIHHLASAWLTW MYCTH_2309166 MSAPHDGYGQYPPQQPGEQPPYPDPAYATQADAPPPPASTHHAP EHGKKKKRTYAAGAFEVGTGANAVLGGQAQGGGQFGAPVPGYGGYPQPEASSAVYGAQ PTAYGAQPQQPYGMPQPAAPVAGYQVPEPYYPSAGAPPAPGGVAGLTAGMSAMNLGPG AQQQQPQLPPQARAGPLNQLYPTDLLNQPFNVSELDLPPPPIILPPNSSVTPSPDANC APKYVRSTLNAIPTTHSLLKKSKLPLALVIQPYASLHDLDDPVPVVQDQVISRCRRCR SYINPFVTFLDHGHRWRCNMCNLTNDVPQAFDWDAAAQKTVDRWQRHELNHAVVEFVA PQEYMVRPPQPLVYLFLFDVSYAAVSTGLLATSARTILDSLNRIPNADRRTRLGFIAV DSSLHYFSVPKDADENSETSMLVVSDLDEPFLPVPQELLIPLTECRNNIENFLAKLPD MFANNQNNGSCMGSALRAGHKLISPLGGKIVVLSASLPNVGYGKLDMREDKKLLGTSK ESSLLQTANSFYKSFAVECSKNQVSVDMFLFSSQYQDVASLSNLPRYTGGQTWFYPGW NAGRPEDAIKFASEFSDYLSSEIGLEAVLRVRATTGLRMSTFYGNFFNRSSDLCAFPA FPRDQCYVVEVAIDENLTKNVVCLQTAVLHTTCNGERRIRVLTLALPTTSNLADVYAS ADQCAITTYYSHKAVERALSNGLDSARDLLQSKITELLQTFRKELAGGSMGGGLQFPS NLRGLPALFLGLIKHVGLRKSAQIPSDLRSAALCLLSTLPLPLLVQYIYPRLYSLHDM PDNAGYPDPETSQIVLPPPVNLSSERFVSYGLYLIDDGQTQFLWVGRDAVPQLLVDVF GVTDRAQLRVGKGSVPELDNDFNERVRAVIQKSRDHKSRGVGSVVVPHLYIVREDGEP SLKLWAQTLLVEDRADQGMSFQQWMGTLREKVSI MYCTH_2095610 MSNVQNRGGARKISFNVSEQYDIQDVVGEGAYGVVCSAIHKPSG QKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQKPRSYETFNEVYLIQE LMETDMHRVIRTQELSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDLK VCDFGLARSAASQEDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEML SGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKVPFRTLFP NTSELALDLLEKLLAFNPVKRITVEEALKHPYLEPYHDPDDEPTAPPIPEEFFDFDKH KDNLTKEQLKQLIYQEIMR MYCTH_2309174 MAFYTSNRNQPVRSRPSELDLSPTSSRSATPPGTASSSSSTFLT SSVSSLWGGFMRRFSSEPSAATSNPPGMPHARTFQADGRNKQQHHHGQGNGVDGVYTP PHILQARRTASPMRPPPLEPLRLSGFSEDTRPDARLLTAPIAEEIRIMVPARLGIVDE WKLVYSLEQDGASLATLYEKCAQYQGVRVGFVLCVKDCDGGLFGAYLSDYPHPAPKYF GTGECFLWRASVLSRPPPPPSIIDNESSGNSNLRTTTIRAASPAPRNANITPNSRSPS RTPTPQPIRFKAFPYSGINEYYMLCEPHFLSLGAGDGKYGLWLDDSLERGVSATSQTF GNEPLSDEGEKFGVLGVEVWVIGA MYCTH_2067725 MAPKTPILFLKTKSSPGDTYEEFFTSPPDGASYEPSFVPVLKHQ FDEAGIAIVRNALQSGSISATAGSAYGGIIFTSQRAVEAFTKLVEERRGEDGWPHLQD VPIYSVGPATTRALKAVPQVPPLQVFGEHTGAGDKLAPFILEHYGEWYKDREVKPPLL FLVGEKRRDVIPKVLTGAGWRVDEVVIYGTGELESFYDDFARRLAETADRPTRWVVVF SPSGCDSMLRALDLLDASTGKAKRKQPGRNTLIATIGPTTRDHLIQKFGFEPDICAEQ PTPEGVWRGISSYRRR MYCTH_2309177 MARDGTETQPTSAPVAEGASASAATEPPKQYPKGVILGKDGKPC RPCNTFASFTAQSKSTLKAAATQGPPKDCPPDVEQLGRSTWTLLHSIAATYPPRPTPK EQSDIKDFMRLFSKLYPCWVCAEDFQSYVEKKEVKAGSREEFGNWLCEAHNEVNRKLG KPTFDCSKWEERWRTGWKDGSCD MYCTH_112121 MTDIREQGLKKPVNVAEYLFRRLHEIGIRSVHGLPGDFNLVALD YIPKAGLKWVGSVNELNAAYAADGYARTKGISAIFTTFGVGELSAINGIAGAFSEHVP VVHIVGCPSTISQRNGMLLHHTLGNGDFNVFANMSSQISCDVARLNKRAEIADQIDHA LRECWIRSRPVYIMLPTDMVERKVEGARLDTPIDLTEPANQSEREDYVVDVVLRYLHA AKQPVILVDACAIRHRVLKEVHDLVEKTQLPVFVTPMGKGAINEDHPNYGGVYAGTGS QPAVAERVETADLVLSIGALKSDFNTAGFSYRTSQLNTIDFHSDHCTVRYSEYPGVAM RGVLRKVVERVDLSKLSRPPSPEVVNEVTKNRDSSQTITQAFFWPRIGEYLKENDIVV TETGTSNFGIWETKYPRGVTGITQILWGSIGWSVGAAQGAALAAKDMGVDRRTILFVG DGSFQLTAQEVSTMIRHDLRITIFLIFNGGFTIERFIHGMEAEYNDITRWNYIDVPTA FGGSEKQVRKFVVKTKDELEELLTDTDFNEARGLQFVELWMRKDDAPRALKITAEIAA RNNASMSE MYCTH_2309187 MYGIRSIHDTPPAKDSGGDEGGSEDDDIEAAIRKEVAALTAKSS AAVATSTPGEIDNSINDNRMTPLKMNVDCLLFVKTQPPIDPVAFVRRICEDARRCGEV PGLMRCRYVNRLTPVTIMGKASENGLVDVAKEALGKWFDLSGKKKGSGGGEDEKKTAG AVAKGQSEAVKPQAGEKEGEGLANPERKPFTFAIRPTIRNHSNLKRDVVINTIAGLIN DDLHKVNLTSPDKVILVDIYQTVCGMSVVDGDWDELKRYNLTELYSQGRNVDAHRSKD MYCTH_2309193 MCQPPAENVVREMLGPLLSEDVAIDKLQPLPSDRPLRVHQVLLS DGRTLHLVLPPVFMWRPLRAEQDMLASEAVTVRWVRQALARGDPDAGEQTSRSPLKPG PAATILPLVPNLLRRGQGSQLPDSSFAVYEPIRGTSLSLLPTQPTPASQHEIDRQLGG LFRSLATLTAPTNRFGPLAAVIGGDTPEPAHPLGGVGVAARLLKGLMEGGLSATGGAE TWSVAFHSMLEGVLRDGEDMAVVIPYPTIRKHFRRLGYLLDEVTIPRLVVVEGAGEAN VLVAEEGEWKGDERDGEPEEKGVGGSGSEYAMGDSRGEEPEHGNGAARRLDKGKGPPG PKQKKVETNEEEQRRVQQQEQQRHQRQQQQQQQQQQQQHHHHHHHQHQQQQQQALKLT GLRDWSSAIFGDPLLATIFSDPVGHRQPPSSAFLEGFNAEDLSHNSGHDPGARRLPYP LDHTIIQGVDTAWIRILFYKVYHAVTRIVAEFYRPRPDSSARELEARRQLNGVLAKLA EVSGDAKKRHPRPTGEMSPAKRLRAAGDGQ MYCTH_2309194 MSRGRDMDTAAEPRPGDEDFDGFRMRLPEDCVEYMLFVIGNKTD NTLPSLEAIRRAADKKLDEIAKDYIWQKDPFKLETKTQKGLGLPYLYGTTHYGDNVED EWLIVYLLRELSKSFPSLWVRVSDSDGEFLLIEAAKVTPKWLSPENDGNRVWIHNGKL LIIPLSTPSDPAATATTGSASKPLTLREAIDTLKTTSPSALVHSPQIEAEAFYRLEKY PSQIAASVHHSLVTIPRKLAYILHARPASVAPATEAFYLRDPRSLKPLLRPVGASFKS SLTFPPTDLVTVSVRFTKVLYAQLKSQRFAPPPVAWRPVLHAAGLEAAAAVSAAADSK AEEEARKKLARLEMGMKLTTGFEILCQTPGQSASRVVREVGLLLEDLAEDGGDSALPT DDEIARWEGVGREDDDGWMDIDFRDFERELEGKGNNTKEGATGSGFGDASAQADLQKI VSRFEAFLNDESAGIDGAEIDEMDHDDDDESDEDSDEGDTDEDEDREVSFDEEQFSRM MREMMGLPPEDVGQAEGAKETSAGEPDERGESDDEEIRNVMEQMESELRGLGALELDP KPKKATAKVKERGENTPDAPPAEEREEDEDEDEDEDEGDEGSDKEVDIDYNLAKNLLE SFKSQAGMAGPAGNLLGLMGMSLPRDEEDSSDEE MYCTH_2309195 MPGRTSNGLAAESSRKRGRRDDAESSEQDEVVEVQEARSNLRTE PRKRARLSDIEDAKRQHRPVRESTPSDDDANEHEQPASPKSPPKTQYELMRDNNFEHL RHEAADDQRATQRLRFRPTLLGENAIADNGIIESVTCVNFMCHERLHCELGPLLNFIV GENGSGKSAILTAITLCLGGKASSTNRGGSLKSFVKEGCDRAVLTVKIKNRGQDAYKP DVYGESVIVERHFSKSGTSGFRVKTALGQTHSVKKQEVDDLVEYYALQVDNPLNILSQ DNARQFLNASTKSQKYKFFIEGVQLQQLDNDYRLISESLEQMVAKVPEQEERVKHAKA ELDKAQRLMSELEGHRQVRNKLRMLRWQLAWSQVVQEEEELRRREKDLAEAEIRVAEA QKEVEAKNQALELAEEKVERAEEVLRAVKEDEGNIQARLENAGDVYKQMKREIEQLHV EEREAHQALKAKTEAVKEVERKIAEEEKRLEDANGEAPRIKLRELDAVNNKIKRLETQ IQENKDGEPDLISRVDDAKKALDRIDEEIQRKRGEISNVESRIKGLEENRGSMYDAYE PQMPNLLRRIATDNSFENKPIGPLGTHVQLLKPEWSAILEKMFGINLNAFIVTSKRDE KILRGMMNQLNIRNSPVLICSQHSLDISGKEPDSEYDTVLRVLKIDNQMVRDQLIINH MIEQVILIPERVRAQQVMFDGAPPRNVKACLAFHDRKRGEGLRLAMNNGSISTSPIQP NPNLKPRMKTDCDSQIALLKASLQQIVAEYQELSAERRRLLQEFQRCQTAVTQLRRDR NSLEKDLRSALVEAEAIRVALDEFEGADGRLQGLKDHLEELQAELNHHGIQYGTLTAK KQDQNAAVEEALKKLKAEKLQMKDYEQRLSKAEAKLKQARDLRHLCLIEKNDVISRLG EYTEQKHKAEARRARQAEGVKEMTKHAEVVHKERVYIPEGETHKSIEKQYNTLKARLD RIDDKRGMTDAEVHNYFAAKKALYNQVVQDLQSITRVNDRLRHTLNLRLEKWRKFQRY ISSQSRANFIYLLSERGYRGKLLLDHERKSLDLQVEPDKTEKRASGRSTKTLSGGEKS FSSICLLLAIWEAMGSPLRCLDEFDVFMDNVNRAISTNMLITAARRSVNRQYIFITPN AIEGRNTLDKDVKIIRLTDPRQRTLADH MYCTH_70376 MRVTTTPAARSIATYPDCPNPQRRQKFSQPRPRGPPPSLPASSR RYHSRDHPPPPGPFTPAEATLLSAAYTHVPSHGFTPESLALGARDAGLLDISPSILPD GVFSLIRWHLHTQRTSLAAKAQRLEAENGSMTVADKVEALTWERLKGNVEAGVVPRWQ EALAIMAQPSYVPTSIKELAQLADEIVYLAGDVSVDPSWYTKRASLSAIYAAAELFQT TDQSPEFRETRAFLRRRLDEAAQAGGAVRSIGEWIGFNAGAALNVLRSKGVRI MYCTH_2309198 MNNIRETSQQDRRVYVGNLSYDVKWHHLKDFMRQAGEVLYADVL LLPNGMSKGCGIVEYATREQAQQAVATLSNQNLMGRLIYVREDREAEPRFGPPGGIPR GGFGGGMGGGPYGGFNPGMAGGGGRQLYVSNLPFNVGWQDLKDLFRQAARTGAVIRAD VHIGPDGRPKGSGIVVFESPDDARNAIQQFNGYDWQGRILEVREDRFAGPAMGAGYGR GGFGGRGGFGGGFGRGGFGRGGFGYGARGGYGGPGVGGVYDAANVSVPPNPFTDHATG GGEKSDTIHVRNLPWSTSNDDLVELFSTIGKVEQAEIQYEPSGRSRGSGVVRFDNADT AETAIAKFQGYQYGGRPLGLSYVKYLTPGGGDNMETDPHGGLTQDQIM MYCTH_2309205 MGHLTHDEFFNRLADIFHARKAKGHGAVYLTQKRLSYSQTSKSP SEQEENPLADLHPAQPLPILIRASNGKGKGDRAAKVKLSTVVQPDELEGFYSRYAEVC KAGMAALKPRDRTKRKAKAKKRKGMTAT MYCTH_2309206 MPLPAIKRPTLPAHLLKELGASAPKPGQKRGARRVSVTSRKERR KAERQQSRAHRTAAGRNPRPERAASNRSTNASHRAQNAGDGNTVSGGGTPKDIMKQRR PDLGEDGEDIGDTDDSHDSDDDALLSDGLLDEGSEINDEDADETGDDEYEEDVDDLPP QRKLSRAVKEKLAQDDAEIAELERKLGLKNRKTLPKSFKEDGLGDLLEGLSSGFSEEK QEKRKRKAEADDWLAQKRRKAEAAAAAQSKPQSDWDEDEDEDEDEEQFDEYSDGLDDE GFEDAGSGQEDQGASEDDFEGFDSENEETAEKPEKRVRENPYVAPTTGQPVKYVPPSL RKESGSETKLAARIRRQTQGLVNRITESNLLTIITEVEKLYREHPRQHVTSSLVDLLL IQVCEPTSLPDTLLILSAGFATAAYMVLGTDFGGQLIQDMVQRFDKYYEEAKLAALER PDVPKQTSNLITFMSELYTFQLIGPNLIFDYIRMLLENLSELNAELLLRIVRMCGPTL RQDDPMALKDIVSLIPPAVAKAGEKNLTVRTKFMIDTITDLKNNKMKAGVGASAVISE HITRMKKLLGQLKSRKVKTTEPMRVGLKDIRDADTKGKWWLVGASWAGRSGDPKAEQK ARNVEEGDSEDDSVLLDDVEQGPDLGELAREQGMNTEVRRAIFVSIMSALDYQDAYFR ILKLRLNKERQREIPNVIIRCVGAEQHYNPYYTLVAKRLCAEQREVRWAFQASLWKMF GRMGETGFGDDEAEDEEDDELMDLRRIVNTAKMFGALISGSSLGVTVLKRLNLLYLQK KTRDFVEIMLVNVLLECQTSDNPGETIAKVFGGVQATPDLARGLLYFFKKVVRKSDLA GGKKNTKLLKEACKMAEAVVQAALASGEDQ MYCTH_2309210 MLSQRLIGRTAVKSAFKPSGLPSIVGASRWRRTYATEAETRDLV IIGGGVAGYVAAIKAGQEGMKVTCIEKRGTLGGTCLNVGCIPSKSLLNNSHLYHQILH DSKHRGIEVGDVKLNLKQLMKAKEQSVAGLTKGVEFLFKKNGVEYLKGTGSFQDEHTI KVQLNDGGETSVTGKNILIATGSEATPFPGLEIDEKRVITSTGAIALEEVPKKLAVIG GGIIGLEMASVWSRLGSEVTVVEFLDQIGGPGMDSEIAKSIQKILKKQGINFKTGTKV VSGDKSSEGVKLNIDSAKGGKPETLDADVVLVAIGRRPYTQGLGLENIGLELDERGRV IIDSEYRTKIPHIRCVGDVTFGPMLAHKAEEEAVAAVEYIKKGYGHVNYGCIPSVMYT FPEVAWVGQSEQDLKKAGVNYRVGTFPFSANSRAKTNLDTEGMVKMLADAETDRLLGI HIIGPNAGEMIAEGTLALEYGASSEDIARTCHAHPTLAEAFKEAAMATYSKPIHF MYCTH_2309213 MAGSGASPAVPGAPHQGEKRSYPVLTRREIEAQIAEGRCIFILD QYVIKADAWLKYHPGGEKAIMHVVGKDATDEVNGLHSPEARRMMDKYRIGRIEGRWKN FLPPIQGGKFRPRINDGEEEQEEEYDGSLVIQQQQQQQRQRQQKRQELTPRDGTSSST SPSSSSSASSRSPSPTFDSEEAAPGLGLRRRKPELSRSDSVTSLSSVDDDGEEGEAAA PKSVDGMAHLDALTRKEIKLDLAKYPSVEPETQDAIVEKYRRLHERIKAEGLYECNYR AYAIEASRYTLLFGLMLVCLRWKWYVASAFFCGCFWHQLVFTAHDAGHMGITHNFQVD TVIGILVADFLGGLSLGWWKHNHNVHHIVTNAPEHDPDIEHLPFLAVSHRFLGSLRST YYEHVMHYDAVAKVMISLQHRLYYVILLFGRFNLYRLSWMHLLAGKGPRKGPAAWHRW LELAGQVFFWAWYGYGIVYRSIDTNWHRFLFVLVSHWVTTPVHVQITLSHFAMSTADL GATESFPQKMLRTTMDVDCPAWLDFFHGGLQFQAIHHLFPRIPRHNLRRTQKLVQEFC DEVGIPYALYGFVDGNKHVIGRLAHVARQAAILSKCQSVLASGELGHHH MYCTH_2095639 MGKSKMDDAAAQRICRARGEKDGFSKRAAEAARRNGQNSHTGPR DLSFSSSSSSSIGGGSSSSSSSSGGEGKIGQKGGWGKK MYCTH_2309221 MDPAVQRALNDKLYDKRKVGALELERFIRELVARKDYVKVETVL NQLCDDFAYAVHQPHARNGGLIGLAAAAIALGPELPRYLEVIVPPVLACFTDQDARVR YYACEAMYNIAKVAKGEILIYFNHIFDALCKLGADSELSVKNGAELLDRLIKDIVSES AATYVSVLEQPPAYDEDSKDAPADSVELPTAFSLKRFIPLLRDRIYALNPFTRTFLVG WITLLDSIPDLELVTYLPDFLGGLLRFLSDSNRDVHVATQGCLDKFLNEIKRIAHVKK GIVESKKSKEGKRKRVDSIDSESVHPQLEEGEDLDSETAADDDDLESEDDWVPGQDVQ INYKAILEILTATIDSPLEEDGLLESLRWIVEFLDICPEEVLPFTPKILAHLLPAMAS GVESIRQAAARVNTSLLDYVVSLSDEADVPPPSRIPGPLSPPGDRQDGTTSARASLSG SGSREEVSSPTPAQARAASGTLTPSVPSAQPQPQPDLDYAAAVNSLTLLFLNDHEATR VAALTWLIMLHRKAPRKVLAFNDGTFPALLKTLSDPAEAVVTKDLQLLSQISRNSEDD YFTNFMVSLLQLFSTDRKLLETRGNLIIRQLCTSLSAERIYRTLADCIEKEEDVEFAS IMVQNLNNNLITAPELAELRKRLRNLETKDGQTFFVALFRSWCHNAVATFSLCLLAQA YEQAYNLLQIFAELEMTVNILIQIDKLVQLLESPVFTYLRLQLLEPERYPYLYKCLYG LLMLLPQSSAFAALKNRLNSVSSIGYLHISPRPNATTPNVSTFDRPNRLKGREEGIIR WGELLEKFRSVQERARRLQRTGGGRDADDPAGGDLRIGDGLTGDKGQGLEGVGGAPGR ATRGSVPVAKEAPPAKPEPVPKPRSGLGRQFGRLGGAVSGRGKRA MYCTH_2309223 MAHLPPSAAIFSPSVARAAASAAKDWSYVDSWLQRKFPGSSPPP FERNADTLRALMALASANEAADEERALIARLEAETLDQLRAHERQQQTQAEANQSAAA TLQSAREAILTALEASLPREGQTALTALAALALQTGDPLPSPSSLGAELISLSASAAT LEQTISRIRTLTAHIAREAAATSQLAAELRPPSPGHHHPDDDDGDGDGNGDGDAADPA AATTTTGSTTAARGAGYHPPPTLALQNLALQRQIKSLSSQIPALRDKAAALARSQGGV APSPSLAQVREEEEAYLALLSLKEELDAQVRAFAGLPHDTELARRELEGLREELRRLE ARRDEVFEGLVERETPRKPRR MYCTH_54073 MYGASRNTSSFLDNTPLPEVDSSSAASTPLVMKRREGKGPLEDG NQLSLREQENVIDKIEKENFGLKLKIHFLEEALRKAGPGFSEAALKENTELKVDKVTM QRELQRYKKHLTSAEKDLENYRNQILEMQEKAKRKYADEEQRAELERLRQQLEEKDAE IDDLNRQLEERDNDEDKVERLQDEIGDLEADLRRKDDLITQHEDEIEELREKVDDAEE RLKDTQRRMLELEEKAQDGDRLREARETIEDLETSVRRFEQQMEDMREKFQDAISQKD RAEADLEELQEEMANKSLVTKGLSRQVEEKVARLQSEVDKARQECLSLEEERSAQQKE MEGLRIKLREAREERDSSERIRL MYCTH_2309226 MQVGARAWAKHDQVRQRLAECYDGMQREERIRVMRNQWRAEVAA RKTSTGSAAGVSGHDRRGQSRRGKDKKGGEVGC MYCTH_2309227 MSGYPGAGYNAGGYAQPPPPPPPPPQQQQQYPGYYPPQNYGYQQ PHPPPGPGYGGYPQPGPAYGGYPQPPPQPYQQPAPPAPNNYGRPPMPTVTSNSYVHGN PNAPPPPPPTTQHYGNQQQYAFQYSQCTGRRKALLIGINYFNQRGQLRGCINDVRNMS AYLVENCGYKREDMVILTDDQQNPMSQPTKQNILRAMHWLVKDARPNDSLFFHYSGHG GQTKDLDGDEEDGYDEVIYPVDFRQMGHITDDEMHRIMVRPLQAGVRLTAIFDSCHSG TALDLPYVYSTQGILKAPNMAKEAGQGLLGAVSAYSRGDLGGVASNIVGFFKKASNSE DAYNRTMAYKTSPADVIMFSGSKDDQTSADATIASQATGAMSWAFITALKKNPQQSYV QLLNSIRDELQTRYTQKPQLSCSHPLGRLSWTSRHQCAVCDVIAWWNCLIDGRIVQIV LVSTNYRFTCNYCCCPGFFQPWTCFGFIGLVRESRKRDHGLFSSRRRTGFGLDRV MYCTH_70349 MFGNLSFMLHQTGLPRGEPPKDVPPPTPINFPRCYPQVPDKDAS DAQDQASMKPDKPLTSLLQSIVRPTEVSISHFEALGLHVVPDATLAQLLPDPAFIPDF EAWHALSPEEVHAINESTRKRLNSGNLSPGCQTYLDRRRELLIPNEMAYRTIRRMPPP KGQQQARLGNAYEFYRFLDLFSAFWDDTSRPQGARTTADQAGESNGEGQTPTAERGKA TEDDTNAAAFFRTGAGHQMPVDYRQSIVTAFLKLVAYDFTCNVSAPRVEPRLYITSKP PTGSPRSSYFSSGCTFVFRSPNTREAARAGIVEGPIAAVSARHTTSFPPPDPTAPASK ADRDSILDLGREVVAGLITAQHRAREGRTEKRIGENAWWCTKPRWGGGPGGPIGREIE MLSGADETIGDKDAPPPEGGLSPSSSKESAAMSLPQRPFSRPPGGGLPFPTGNSSIPA SGGSGKGAKRLKKSGNLPMYDNYRMVRPPASTWDKRTRYEAIGRAKGVDYDDIFVVST LLHHISILRLRVPDRLLAVLEGEVDDDSKGGKRSWGKLEVWRSPWFDFFKAEERVQAM QIVWSMLAWMMREQPPKDKEGGAAEDTAQQGRSDVKMTGA MYCTH_2309245 MGPSLVATTSIATSSARRVQSPENPSASEPPTQPPPAALPSDDT ASRLSTAPPDVSPSPAPASQSRQDNTSVVASITDTPTSSPESATTTEAASPELAVGPV ATPLLSTIRSPQTQSDTPAADATGTTSTDVASTAIPNSNNNAVQSTVAVAGGVIGGVV AISILAFFIWWARRRMKRRRRSTLLTPLDVVQPSDRDEKRGGGYEISRGSIGPTPVAV KVKASLGYNFRRIRDHFRNRTAPSVNLDRGPSQFIGPTTTQSRARSGIIGAGRAAKGR LKDWWASLRTTLTRRGDDAREKSEGSPPRRKASSSQPDFLALLSMDTGELDREARRRQ ASIAHRRSSAAPADNFSGGMSLNIGHENPFSDANAIAHTSAKPAPLNTADPFSDSNAI RGPPSAMAPTGAPVADIRRSRSNSSAYNYRASRDSAGSLRSVATAATANQRNKFRSDP FDLERPEFLGGVSSSSSSSSSSSTADAVGFNTLTGSQSQQQQQQQQQQQPNPLPPARA RTRTASFTSRYSTYSKYSSGVSELVVGRGRGHHGLG MYCTH_2309247 MMSSPSKKKPEVVADRVLKRAEISKMARRLQNRLALAQFKTKHN LVNETLDTIEPKFEQEMRRRRLQDGDILSDSSSSASDLPYPSRTLMSSPLKAPLFSDA IGSSNGSSGHRKRTYLASFDETFSSPSKRFRQSPTAYRSFSTHHHFTQSSPIKPRRQQ HFTTSTGPDLSFYSGSRQINDVLTTNYAANSDDEDDLPTHSFQASHVRVSPPRTPPMR SRSLVKKPRDKQTADESKTEEGADLLLYLAASPSPANPPKNRMDPPSTPPPKNKLALP SSMMTTPGGGNPYPATPGLGFDFAEFLHMTPSPAQKPWKTPLTGGKTPRSATRRRLTF DDTPS MYCTH_2309250 MPPSFLSLKELRRRSRASFKTERSTDESSEASNHTTPTNGSLTP PSIGAESDPALNLQFKDQPQSQSAPPTAVARPQPHPLPNASNRFSVSGMIGLGSPVPA GRGPSLPISHYSPRISNVADGSWVYQKVLLVHGTIGEPFHPSLDGTLTVSRLDDHFPP ISWPVHNSCFKALVYLLPGANRFRFDFSSPKLANSGSSNPIHSSHLTVHMIPPAGAPP LQLAILLAKDSPGTFDAVPARVEREGNGLDTAIRKFRMAAYLWQAFTAEQMSRNKLGR RTFRFEEEWTTGSANLRDRQTGTLRSEARVHVIRTEKTLAELRDINLAQQNTNATDAG GLYGITADAVRDYFKPLPGQKLYVSVMLLDAHWDKDSKTIVGHAALGGQVGDLHLGIF GSHCLQSYPTCFEEVVPAFTDCTPTDTNYVGNDCNDAGSSWEAANIGIGAHLHEVGHL FGLPHEESGVMLRDYVKLNRTFLTREAYSTRTRSRGGFVSQEDECTWHRLDCLHFRSH PCFRLPNDPVLNPDDSVQGWPMENGTLTVTAATGVAYVEIYGEGDDVCHAWVEYQPEN GGPVLRSVSLSEHDLRARLPEGKRKGVLRISVKSYGGGSLVVDDFSKLCSKEAACLRL PSAMPGLPKMAFRGKKLGLSQMEGSEAHEVVFTSALKHDRVLSKVIVYHGLAVDGMEF VYDDDSRQVFGKKGGKAGGDVFDMDIRRGEYITGFFVRSGFWIDAIQVLTSLGRRSPL FGNPHGGDPHTLIPPRGYNICGVTGSCGPWLDGFSVIITK MYCTH_2309254 MGSTAYAAYKNGVANTGSEHTVTAMGRWSILDKQLPPADKIKAI HVYDFDNTLFKTPLPNPKLWNGQTIGTLSNPDAFVNGGWWHDSRILAATGEGIDKEEP RAWNGWWNEKIVELIHLSNKQKDALCVLLTGRSESGFSDLIKRMVASKGLEFDLISLK PAVGPNNERFANTMIFKQTFLEALLETYRHAEEIRIYEDRIKHVKGFRDFLDEYNLKK LNGVGGPAARGTINGEVIQVADVATYLDPIVEVAEVQQIISEHNAAVSKRRRGNKGER LAIKKTVFYTGYMINNADTQRLLTFAQTPQDLPEGELKFHANNIMICPRPCPPAILEK VGGIGSKMTWEVTGTANHENTIWAVCVKPVPETASFHTGDPVPLVVLALRKGARHAEA GKIQKWQPVPPDKAFRFETTVGEKILLRIEPEGFQNLVDGPFHHGKALNSKRKHTAAQ DDFRSRPSGGVQQQHRQFHHQANYTGGGGGGGRGGGAGRGNFRGGGNMNRGFRNQRSG AAKGGRGGRGGHGGGHHYKSLDDVGGRDTQSVGFAQMYEDDPQRFPPDQNIPKGPSNP ANPGFYNGLQHQVQQQQQRPGNGYSGPGAGGNTELNNFY MYCTH_2135338 MGIFAKRTKTKTRRRVRDLDQIKADLTSPRHLQLYKETKAAEDL PGFGRHYCIECAKWFETETSLVAHRKGKPHKRRLKQLKEGPYTHEEAAAAIGFRTDNG PQKTRSQDVEMS MYCTH_2309261 MLASRQLLGLARSRAVGSASLGLRRMATVSDSPLDKKVRQNNWE ENNFINYKKMSENLAIVRSRLKRPLAYAEKILYSHLDDPHGQDIERGVSYLKLRPDRV ACQDATAQMAILQFMSAGMPSVANPTTVHCDHLIEAQVGGAKDLERAVGINKEVYDFL SSACAKYNIGFWKPGSGIIHQILLENYAFPGGLLIGTDSHTPNAGGLGMAAIGVGGAD AVDVMANLPWELKAPKVIGVKLTGKMSGWTAPKDIILKVAGILTVKGGTGAIVEYHGP GVETLSATGMATICNMGAEIGATTSLFPFNDRMYDYLVATKRKEIGDFARSYAKELRE DEGVEYDQLIEINLSELEPHINGPFTPDLATPISKFSEAVKANGWPDELKVGLIGSCT NSSYEDMTRAAAIARDALNHGLKTKSQFFVTPGSEQIRATIARDGQLKTFEEFGGVVL ANACGPCIGQWDRQDVKKGEANSIVSSYNRNFTGRNDGNPATHSFVTSPDLVVAMSIA GSLHFNPLTDKLKDKDGNEFLLTPPSGDGLPANGYDPGQDTYQAPPKDRDAVTVQVSP TSDRLQVLSPFSAWDGKDATDLPILIKAQGKTTTDHISMAGPWLKYRGHLDNISNNML IGAINSANGEANKVKNQTTGEWDAVPAVARDYKAKGIKWVVIGDWNYGEGSSREHAAL EPRHLGGLAIITRSFARIHETNLKKQGMLPLTFSDPADYDRVQPDDRVDILCTELAPG KPITMRVHPKNGETFEIKLSHTFNEGQIEWFKNGSALNTMAKSAKN MYCTH_2309268 MPNNPAHMLKLNIGRTIAYIDRLPLFTRLAIVLMVGLEALCLQP WWDVKAWGRLEPDLINLSTMYRTNTFPLLHVNVFHLAINLLGVVPMLERFEVEHGTLT SLALFFGALSTIPALIYVGIERFLLQKNTPIIGASIWGFLLLGAEAIRQNKKSPYLVI SGQPTIPTWTWPIVMLFVVAPLMPGSSMLGHVCGLLVGYIFGMGYLKFLAPPEWALRF IEGKLNLRVRLPYYVSVDQKTYGRFSVLPLSNLAAAPAVTPGLAVGNQRLGPAPE MYCTH_2309271 MPRLLASALQLRRDPCILKLPPYLSLLCILVGVAWLFLLPLDQY SRKTYISENALLPGQVHTYFSGSDQNVFRAYKHEVNELAGKSNAEINDKLEPIIKGLG VKTARQNFTYRAAGHVCAGENLYAILQAPRGDATEAIVLVAAWKNVRDEINKNGIPLA LTLLRYFKRWSLWSKDIILLITPDSIAGPQAWVDAYHDAHDPSRVSSLPLKSGLLQGA ISIDYAQERRFESVHIVYDGINGQLPNLDLINSVVNIASGQMGIGVALQEMWRHDNGY PDRLRTMLRGMLKQGLGLASGAHSSFIPYHVDAVTLRPFGEGWQDEMAMGRVIEGSFR SLNNLLEHLHQSFFFYLLMQRERFVSIGTYLPSAMLVAANFTIMAIALWVKSGQPTEG EPPATAKTEPSSSKAPPPATAERDLFLPLGVVTLVQSLSIIPLYLFNHTPESTLTPLF FTFALLNTLLPPALSRVLATLPRRPISEHQCNLIKSFSLLLLGMFLSTLATLNFSLAL ITGLLASPLTFIPPCKTTGGKIAATVLLNAISPTTVLLVAAAYWGTDVTSVLREAAVA WHVCGTYSPVVVWCVWWPAWVAGGVVVFGGRRKEKVKTT MYCTH_2309273 MNVDTISDFLAEQRDIAPEELQPLVLEFETLWERKLWHQLTNQL IEFFNHPGSAPQRLQFYKVFVLKFADKINQLKLVDLALKAATQCRDNQERLSFMEGVV KKVDNEDSQDALVFATIAMARVKLDLNDLEGARKDLDKAERILESFDSVETIVHAAFY GANADYYQAKADFGSYYRNALLYLACIDIKSLSPVERRNRAYDLAIAALVSTSIHNFG ELLLHPILDALSEREEDKWLRDLLFAFNRGDLTAYDMLANHIKSNPLLAQHSRQLREK IYLAALTEAVFRRPPHDRAMTFATIAAETKVRPGEIEHLIMKALSLGLLRGTIDQVDE VAHINWVQPKVLDMKQIENMRARLKEWDSSVNQLGNWIEKVGQDLWAA MYCTH_2309275 MASLPTAVRSTAPKIARSALQQRAIHSDVHITRTGKPLIRVTGG RSSLGEHTATVFGATGQLGRYIVNRLARQGCTVVVPYREEMAKRHLKLTGDLGRVVFM EYDLRNTQSIEESVRHSDVVYNLVGRNYPTKNFSLADVHIEGTERIVEAVAKYDVDRY IHVSSYNANPESTSEFFATKGYGEKVAREIFPETTIVRPAPMFGFEDNLLLKLASVTN LFTANNMQERYWPVHVIDVGEALEKMLFDDNTAAQTFELYGPKNYSTAEIAELVDREI YKKRRHINVPKWLLKPTAGLLNRLLWWDIMSADEVEREFHDQVIDESAKTFKDLGMEP SDISKWTYHYLKGFRSSTYYDLPPATEKEMREEKKYIHVLDDQ MYCTH_2309279 MASHESDDEISLSSATLNALKEFYAERDARAEQFAKLQARADAL HAAAEEKVLSMDAFAEDWNESQFWYADETAGLYAKLLLEGVTENTTIAVVSAPSVFVA LKNALSSAPPGQPKPNLILLEHDQRFAIFPEFVYYDFAQPLKLPAHLKGTCDRIIADP PFLSEDCQTKTALTVRWLSRPPSASPAPDQSQGEPRPQPRLILSTGERMKDIVTRVYR SFGLRTTDYEPKHARGLSNEFYCYANFECEGWGWRE MYCTH_2309283 MSARPATPASPKNYKVKSPQPGQPMYGCEHLQRLFNQDQATTNT SIQHYKAILRSIFDTNPLVPQTSKAADGIVITSLTPTYLCLQCPSTLTEDDRTKHGSK KSHRFYVDSKSGALYCQMCDDIVWDPTFEELRLKKIGTGTFSSRKRKHEELFETSDPV RDNPTYITSNTTIASCKSSGLRGIYNAGATCYQNVVLQSFLHNPILRNYYLSDGHSSS TCNVPYCLSCAMDDMFQDFYALETTNGYTAANILSGFWFSDRRAFDNLVTTKEQDAHE FFQFLAEELHERNGDGKKPETGSEHSCNCIIHQTFYGKLQSTTTCQNCSGVTHQVQSF LDLNLPLENLSHKKGKKTTSRGQLTLQECLEEEYVKSDKCEYRCNNCSSMQQARRQTT IKRLPNVLSIQLKRFEYKQGRNERAAKIDTPVQFPLELNMLPYTNRGRTISSNGHDGG KENYELARSCTYDLLSVVVHVGEIDTGHYVSYCRVGDQWFAFNDHRVELAQKSDVLNS KAYLLFYIVRSLS MYCTH_2309284 MATQIPSLPETERLAPACIRILGGNPGKFTLQGTNTYLLGTGPS RILIDTGEGRPSWLATLRRVLSEERASLACALVTHRHHDHTGGIADLLRAWPGTAVHK HRPEPGAGLLDIADGQRFSADGVTLTAVHTPGHTEDHMVFFWEEENALFTGDNVLGHG TSVFEDLGLYVASLERMRGLFSPPEKAGSKEPVAYPGHGAVVSDGPGKIDEYIRHRAQ REMQVLQALRGDDGDGDGDGDRDRGKVRDEDGKGNGHSDGWTVMELVRSIYRDVPESL HPAAAGGVVQILQKLQREGKVEAVGSGERWRLAWGSGRSAL MYCTH_2309286 MLSLARSRYTLALQFAFLAINAGGVLLGVIYNASTPDLYPNNAH HKLGWIVTVVVAAQVAIGLLARVAGMLRRESSNLSGRPEERQGFIPVSTEAMAEHESH YSPGPYGRFSQDSGQGTEPKTESLRSHSISSAADSPTRNAHQRYDHPDDDADEDLEAA HLPIFSRSTKAHSVVAKIAGKISDRFWKILLFAYNFIDRTILILGFIAFCTGIIAFGR FFEGREIFSGLAHWIKGGVFFWLGIFTLGRWAGSFGELGWAWNLRPRKAGRKWCPSAE FVESAAIFFYGSTNIFLEHLGGWGGEWSAQDLEHLSITVLFIGGGLCGMLIESVRIRN LLNFTVAEAAALPPPRPSHLRDLERDARRSQENQGQELAEPESYAFSINPIPALVILL LGIMMSSHTQESMVSSMVHKQWGDLLTGASFARGLTYILLWLRPPRSVYPSRPPTELL ASFGLIAGGIIFMASASSTVRGMIHYQLDAMFIYTVTMGLVGLLMAWVILMIALKGWA ARREAARA MYCTH_2135345 MPPGRATSSGHMFLIGQSLSRSSLSKAPVPRRDYAMRSSLEDIP DEIIRHILLYLPPEHTLESFQLIARRYHHLASEPLLWRWHCRSSFRFWDPKHKFQEKL TALASSVDWKGLWITRKRANNRAARLLDGVISTKVGQLKRLQGICELGYDVKDYLLEQ CHVDETAEDFLARRYYANTALGSIHRSVAVDLWSRYQGRALSSEGLDTALGAFDMFVL HDQEQDLDYIVRTLDALAEQFRVEHPTFENLSTREKALCLVRWLRANHLTGMEHPEIN YRNLRNCFIGHALSEETHPSLPIISSAIFTCIAERLGLIAFCLAFPSHVHAAVYAPPG KDLDGEDTDETDGEKKRMCLDPYGSDQEVTLSDLRLRLVEFGWAQGTEAFLRPSPVPI IVQRTAQNIRATRDTVQNLTDNDILGSEMKRLRSGHPGLNMEAAEYASMWAELLMKQT TSFHWDSNLDSFLRKFAISWSEDVWIVRKYLAPLYDKFVASQPHSRLRQGWENVHEIL AMLARLDNRIPEVSRRYTEDISTRVRYKIGQVFRHRRYGYIGIINGWAAKGSYSLPMP HYLNAAEAEEEGDVYDPTESVHSSRMGPQRTYYTCLRPTVDRLRVAQENVVIVTDPSV IPDELFFVAGKFFRRFDPDTCTFVSNIREYYPDD MYCTH_2309291 MEKVTEALQKTAIGGGGDKKPKKEKKAPAAAAADSGPLELQPPP SFLQERIELFDRLYKEQQEELAKKPRDDILITMPDGTVKPGKAYETTPAEIAKGISNS LYKRTVVARIDGEQLWDLERPLEKSCKLELLDFNDDQGKFVFWHSSAHILGEACERRF GCSLCIGPPVENGFYYEMALPGGAAVTSSDWAPLENIVSKIVKEKQPFQRLEMTKEDL LKMFAYNPYKVHIIKDKIPDGTKTTVYRNGPLIDLCRGPHVPDTGRIEAFAIMKNSSS YFLGDANNDSLQRIYGVSFPDKKQMAAHKKFLEEAAKRDHRVVGKQQELFYFEECSPG SAMWLPHGMRINNAIMEYIREEYWKRGYDEVMTPNMFNVSLWEQSGHLAHYKEDMFLL DVDKEQFGLKPMNCPAHALMFRHRERSHKELPLRLADFGVLHRNEASGALSGLTRVRR FQQDDAHIFCREDQIKEEVADLFDFMKSFYGMLGLAFKLKLSTRPDKFMGDIETWDRA EARLKEALDEFAAANAGVTWELNPGDGAFYGPKVDIAVLDCLNVWEPLS MYCTH_2309299 MSRRSSAAAVNGAASKEGSRADPPTSDKQKLLLSTDSGHFSLIR ALHLADLITELNGLCGILSLFSSMRYCLGDPSQYRNLWAALLFLPFGLFFDFLDGKVA RWRRKSSMMGQELDSLADLISFGVSPAAVAFALGIRTPLDHLCLAFFVLCGLTRLARF NVTATSIPKDASGKARYFEGTPIPTTLALDALMAYWLSQGWVGHDALPGGVWFAGSVL EMHPIVLLFIVHGCLMTSRTIHIPKP MYCTH_2309306 MASAQVVNNSTGETALESGEAASSLPVRRSGRARKRPSGHGEDS DYKNGKVAASPVSSDSRRNPKRRAAPDAFNVPEHLLEASLGPWKENEQSEWPSWVELE SDPAFFTAIMGLLGVKGARIEEVLSVDEDTLATLPPPVHGLVFLYEYVADQSPEVTYP GHDVWFANQTTHNACATIALLNIIMNAERLSLGEKLRHFKQESKDLSPPLRGNMINNS TWIRVAHNSFARRLDLLDAALSLQNEVDNKEKRARSSAAMQRRRKKKPKKERVKAGKS GDTPAYHFIAFVPVGQQVWQLDGLSSAPVCIGKSLSSMSFSSPAL MYCTH_2309310 MGSSAFLARSDTALLTSAQLPWQAWFLGFAKVFYDRELAISEHN VKVSSILHNSSLIMGRQIINILPEGSAVLNPELHPFCLGGDRKTVALPMFFNATIPVE VEIIRTDLETNQQESIKLTRVQIRDIERKAKRESQEGVQTVVQFDYPVKKPGAYQLGR VLDEYKLEVQRKTPQTFVVPCPKAWVGPPAPQGRCVGDLSDFSLQVEGTPPLKIKYSR TINGKNHNFHFQSLQPEGFMSPLGSLRSASLFGLDDEDISWARPHRVPVGLNESMHAS GEWQYSVDEVQDGFGNLVKYDSSADDLEGKPKPKHLVQNFVVKERPQVRLEGCDLRRP LKVAKGDSKELPVRFKIAGQVPDVTAHTLTLQFSPIDTLTESGDHGDEVSILTHNAKN ARDRPSISAPGLYTLKSVSAGSCEGEVQEPSSCLLLNPLEPKLTIRSEEISDTCAGNS IGLRVDMDLIGTPPFIVRYDVISNGERRSERVNIPGLRYQMDLIPRVAGHHKYIFTHI GDDIYNKGRRLTGPEYVLEQDVKPAAAAIIQHSTGKVSSCLGDEVVTDILLLGDAPFT LEWEIIHDGKRKQHKVTDIQGNTYQIKTKPLTQGGEYTLGLTSVQDKRGCRNFLQEEL KISVRRQSPRAAFGQIEGKRKTLAVEGSTVKLPVRLTGEGPWKVFYANLEDGPADNPR VLERTVRNDNGFIDVKARGTYMITDVWDNQCHGVVDSKASKFDVDWYPRPQMSVALTH GVSQTDSGFVVEDVCEGDISGFEIALQGTPPYTVEYEVRHHPLQGSSSLSKRKFDITV GKETIQADTAKAGTYTYRFTALEDHLYSSDRQFKPLVVKQKVNRKPAASFVKPGQTFK YCKSEQDNEDGIPVTLTGVPPFTLEIEIRHQSAAVPEIYRTPAIHSNSYEIRIPRHQL RLGTQQVRIRDVKDGSGCHSMAELGGPTVQVQLFEAPTIYPLETRTDYCVGERISYTL SGTPPFEVWYTFDGVERKARSPTTSFRRVAESPGEFAITTIADRASECRAPVNIVKTI HPMPAVRMSHGRNARVDIHEGGEVEILFEFWGTPPFEFTYTRSTNARKGQKSQVLETR HDISHEHTKVIRTSLEGTYEVVAIKDKYCAFSTQGAEVGKEKVKGQKLIKY MYCTH_2309314 MLEDPSYNSVVRWSAEGDSFVVLENEKFTKTILPKHFKHSNFAS FVRQLNKYDFHKVRHNEENGESPYGRDAWEFRHPEFRADRKDNLDNIRRKAPAPRKAP PAEDAFPASQQIIVLSESLTATQHQIQALQEQYYELEKTNRLLVSEVLSLQKMVRAQS QASNELITHLNNMEDRRRNSRHSAQSSSHAGQAGQNHHAGAMGFLPDGSDEPAPELRR AREILNGVSPDVQADRELERLSMAYNQNGSPANSAGSSVMFAHPGTTTTMPLVHDPLN DPRHMVYPVGQTTGIDPFHADHIHNIPYSRPLSNPNVPAEAPSQATPPLKEQLGSMWR GKKPHILLVEDDKTCARIGSKFLTNVDCSVDTAVSESAGLGRRNDC MYCTH_2309318 MNDVLAKPFTRDGMARILRKHLVRMLKDPQAAGLADDLSQTVAG APAPATQAGYVPATMAGMAQVKFEHTPIQSPSTASSWHSPGGQMHQTSPNLDGGGPGG GYMAGPGGGMVLTSGATQRPPSQTPHHPHHQPQARPQQGGYAGYMTAQQVAGAQVAQA QAQAQAQAQAQAQAHAQAQAQAQAQAQAQAQAQAQAQAQAQAQAMRGMAESAHMAGLQ VGGGGGGGGGEDRPEKRPRLYGPVAGQGGGGYVQ MYCTH_2309321 MSKQQGGKGGAGTGKAKKPAKAGADEKREDVLQAVILADSFQDR FKPFTLETPRCLLPLVNVPVIEYTLEFLASNGVQEVFIYCGAHSQDIERYIQDSRRWT PGSTTSPFSSLEFIRVSDANSIGDFLRDLDKRGIIGGDFILVHGDVVSNIQLDTALAK HRARREANRDACMTVVLRSVGEQPHRAARARGITPVFVVDSTTGRCLQYEETHPLQSE HYVNLDPSVFSYGEFEIRTDLVDCGIDICTPDVLALWSESFDYELPRKNFLHGVLKDW ELNGKMLYAEILENGYAARATNLQMYDCISQDILERWTLPFVPDSNLLHGQTYKRVKG GSYVEDNVVAERGAKVIQSAVGRGTAIGAGTVIRGSVIGRRAKIGRNVRIENSYIWDD AVIGDGASVVHSVLAGSVVIGADCHIPEGSLVSYNVHVDNGVQLPSDPPARISVLTAD GERAETDVSLVGKGGKGALYQVVADEEDSDDEDDKNRDPAILQSSLIYSLRGLNISTS SISTLVSEDEHASDDDASLAESLQGGDSERARDRLSSFASDDAAKPDGGFHNDAVNGL VDALRAEDNDDFDSAKLEFMGLRLANNASDSAMRRAIAVAFVRRVAELLTPEHGGLEP AKAAGKALTAKKGAVKFVREVGVGGEGVAQQAEFALALQKALVGAKEVEAGRAGALLA ALLQQLYSLDVLEEDGILAWWADKRASEGEGMGALRDKCRVLVEWLENADEEESSEEE DEEDEDEDEEDDD MYCTH_2309322 MAPPQKSDKEVAAEFTSYYLQRATTEFAEDLDKVRAADDFKNDA LQILVSALKQGTALFSPEEQRRIVTAAAPPENTR MYCTH_2309323 MESGKYVEGSVYFYAPNKGAPVFFALAFAVSGAHHVYSCARYSC WRMTGIYVFCALLFTVGFVVREAGAFDYGDLNKFIVSTCLIYAAPYVSISHPTYTGCE RRSNPLTPSPSCRPLLELANYHILGRILYYAPYHSPIHPGRVLTTFAFVSFVIEVLNG NGVAYSVNQSLAASKQETGRALLKAALAMQLGVLALFVLLAATFHRRCARAGLRNRNL LAALHTLYASTALLSVRTIFRVVEYWSISQHDFWNPSRADLDRFSPMLRYEWFFWVFE ASLMLANSVLINVRHPRRFLPKSTKTYLAMDGTTEVQGPGYKDGRPFWQTLVDPFDLW GLVTKKDKGQKFWEEANGGRKGATGADSQV MYCTH_2316063 MGSPARAQTLPPPRSDRRGPEIYLHLEFRVSWGGKKQQSPKSHQ LTFRSRGSKERSPAFPRQVPAPNTNYLADIHDFEQRQSRLREMRQNANPNRHHQMQEY YYQGNQSGNHLQVPIPIIREPERPTRADARPSSHERGRSAPPPLGENPWDVSVVPSLP ARNSDIPPANRRMAEIRRKPLPAPPSQFRLGEGGQPWSAWSLPDGYDPDTAPKNDEDA RGYEDLPAVAPPADRNSTTFATNPTMVSTFSPGPATLPDPDSSARELGALSAAMMTVD NGFESQWWYQGRRDTVMGTDEVRVAAHHRWSTGPISATASPFDTPQLPAGVVSPMTDA AFSPAQVPASLLQRTLSTRSEELWFREKTQ MYCTH_2309330 MNNDFYTSPLSSEEEAQQRLAMQRNGQQGQSHGAANTGSMGGVA HTSPMSTVEGMALTGESLDDIFSQNDRRFQRRPSVAQPFSGSLTAREVGHHMSMMGFS NAGDAMQGFPLGLPNSTDLSGTSGQFSQQALMGVPGQPGFDALAPTTLSMDAFTNLNM DSMGADAAAMGIFNSPDLNAQYPSASLDAVNQDLPMGMGVDGTAMSAAGVNQMAGYGA GRGGGMMGTGEAFDASSAVPRETSNSMIMSDQTMGQFQANIAPTERREASASSTAFQS PAPEASRTMSQSSACRSSVAASATPAPAAPTATGPPLPPGLGARNPKKDVYSKSGFDM LRALFYVATRKNPTVEIGAVDLSCAFLVTDVTLNDCPIIYVSDNFQNLTGYNRHEIIG KNCRFLQSPDGEVEAGSRREFVANDAVLKLKNAVTEGKEIQQSLINYRKGGKPFLNLL TLIPIPWDSDEIKYFIGFQIDLVECPEAISGQESGAMQVNYRHSDIGQYFWSLPNTTQ WELEAGQTLGVDDVSSLLQQYNPKGAPAEWHKQSWHKMLLENADDVIHVLSLKGLFLY LSPACKKILEYDPSDLVGTSLSSICHPSDIVPVTRELKDAQQNASVNIAFRIRRKHSG YMWFESRGTVLYEPHAKGRKYIILVGRKRPIYSLRRRDVDAAHSPLGSMPLGADGEIW SKVSASGMFLYVSSSAKSLLDISPRELEGTSMRDLLRKESRAEFGRTIEKARKGAVVG LKHELMHRRGQLIAAQTVFYPGDGMGVAAKGGPSFLIAQTRLVKGGRVIAAGKGAGGT VTGAGTTAAAAAAAAAAVAGGGGGGEDATTGVVVVGNRSVTVTYPRSAIESDDDESDD IFAELGTTRCTSWQYELRQMEKVNRLLAEELMQLMANKKKRKRRKGGGSGAGAGGSGG VVAKDCANCHRTDTPEWRRGPSGNRDLCNSCGLRWAKQTGKVSPRNTSRRNSDASAAS KRTSVSTVSSNSPAGASPLRREVASDAVSSGSSAMERASIGECSGVGGGGSGMGMGPI REE MYCTH_2309332 MSVLPAEVNAQLAQLLQQLQSADNNIRSQAEDVLQNQWTSQRPE WLLMGLAEQIGTSTDPSMRSFAAVIFRRIASKTRKIGNSDNVDMFISLDKEHGTVIRG RLLETLIAENDKTVRNKISDAVAELARQYYDSNDSWPELLQVLFQLSQAPDAGKRETA FRVFTATPGIIEKQHEDAVAQAFAHAFEDESVAVRLAAMEAFAAFFRSLSKKNQAKYH VLLPEVLNILPPIKDSQDSEDLSKALVALIDLAEGAPRMFKQTFNVLVRFCITVIQDK ELSDLCRQNALELMATFADYAPSMCKKDPNYTNDMITQCLSLMTDLGEDDDDAAEWLA ADDLDDPESDQNHVAGEHCMDRLANKLGGLVVLQPTFNWLPRMLSSPAWRDRHAALMA ISAISEGCRDQMIGELKQVLELVVPALKDPHPRVRWAGCNALGQMSTDFAPVMQKEYY DVVLSAILPVLDSPEARVKSHAAAALVNFCEEAEKSVLEPYLDGLLTALYQLLQNEKR YVQEQALSTIATIADAAEQAFSRYYDTLMPILVGVLRRENDKEYRLLRAKAMECATLI ALAVGAQRLGGDAAMLVQLLGSIQDSVQDPDDPQAQYLMHCWGRMCRVMGKAFLPYLP KVMPPLLELASAKADIQLLDDEEQIEKFQQEDGWELVPLRGKTIGIKTSSMDDKHMAI ELLVVYAQVLEEEFAPHADEIMEKIALPGLAFFFHDPVRFVSAKLVPQLLSCVKKAYG PQSEQLAALWSKTVDKLLEVLTAEPAVDTLAEMYQCFYESVEVIGRPCLTDQHLARFI EGVNSTLEDYKDRVAQREEERRGVPAEDAEDEQEELLLAIEDDQTLLSDMNKAFHCVF KYHGVNFLRHWEHLLPTYQGFLKSSDPTQRQWGLCIMDDVLEYCGPQSSQYANYITQP LLQGCQDPSPAIRQAAAYGIGVAARHGGEAWSAFLGGAVPFLFEAMRVPDARNEDNVY ATENACAAIAKILHFNASAVTQQDQIIAEWLNTLPITNDEEAAPYAYLYLAELIGKQH PAVTSQASRIFVYVAQALEAEALAGQNAARVVAATKLLLEGTNTDVTPLLQQFTPEAQ NVIRAHFA MYCTH_2309334 MDTDSPAKSAENAASSSASSLGATAGSSMERNNSQSGIHKPSHV AAHRQSFAENQRYPPPSPRNHRHPSLTQQALLEIMNHPSSNRHPNPRYAGRDWRDITV GELAAPEDVRWAELDLSVQDATMLLLKQNPTNVVLVRETPDSRTAVTTFDYSDLNAYL LVVVGLADPGEEHVALYEMIARKAQAQEDIPLRDIQSLCRKEELVTLSADEYLDKAME AFGSGIHRILIANQAGEVIGVLSQLRLVEFFWNEAVNFPAIERLYACLLRDLQIGTHQ TIAINLDRPLTDALLLMHNEGLTSVAVVDQGLNVVGNISAVDVRHLTNAASLPLLKSS CMNFISVILSERGMEHGRDSFPVFHVNPYSTLGHTVAKLVATKSHRMWVVESASPSPS APATPLLQPSHPAGTQPPSTVSVGSPPPPASVIVPPPANVTAPQSPQPNQTFTTTVIP SGLPGAHLSGRLTGVVSLTDILNLFAKTSGLRPSDPAEQRARRRRSSSSSVRPSLDSS RASLDFRR MYCTH_2309335 MESARPKSSGFAAPRRVFTSPLPPSAPQPAGGLVDTLYYHPNVK IVSFTAGTSFLFNRQRTAGRSDIEPGSLPWSSQLERTIAVGPFSIYRAPGSVAFLSCG AALQPILPKSQVWCVDEESSKFVLQIRRPQYWRIEVPVKEQEDVRGAQRLREVFDSIL QFEKTECPFKRSFTVELPERPRTPVEKRPWTPARRSSASLPLTPVTPVEIARLHEGTP RGSFCMGDLRAALGTRRVLNEHTKNQEPPAGEVAPAYRDTFGTHGQTDDNSSSVNPGH DKPADVSAVLPQRIPSAASPLPKLSPLSSADKRIEAPRFTSPSESLESIRGRESWLPT PLPPSPPSSTPGSPRNSAQVLRMQDSAETANTSHTVPSRGIGSRPSQPWNANTTDSLN VSKCSTPACHPQEPAATAQSTVIPIIKEPETISPSSTPTSPHPESQMRREPTAASSAS SDRSCSPSRRSLSPLSPHGNAPTTKLRRQSSPTTTAYNTTARPNRASTAGTTSTQGTL AVVRSLPMTVLHKTCEILMSPPSHLISLMLDVAARITAGEWRGLVFGMGEGGERVSVA WDWSDDEGYMGYGRLERDDFWLRDRRNTRPTTGRRLKMAGAFPDSDDEEEEEEGIGPL DVPRSFRGTSVSPRKENEEGRSAVADDSAAEAVKSREPGEDKPAKEDAI MYCTH_70293 MSAPQRLHLDKDKLKQHFDISHFDINAILRGMQLTLVGAFRALQ NPDLFTSKHYKQAIKAVILGYVIRLVIALPISGIKLLIWLLSFAFPLDRVSWDDSVVS GLSFIEEHVLQAPLFFMSLMRYISPTLDDLFMASLLWVDLTYVQKHRPDVDSSELTKM YMLPPPLEDRQQQRLQLKRLPLSKDLSDPVKLRAMYYPPLKLYHADDVRDHRVRTADS LARFLRRFARKAALSLAVYAASFVPYAGRLVLPAASFWTFKRAVGLGPASIIFGTGVL LPRRYLVVFLQSYYASRSLVRDLLEPYFKRIVFTAEEKRKWFRSREGLLFGFGIGFYL LLKVPLIGVLIYGTAEASTAYLITKVTDPPPPPSHSQGFAQTQLEWRNKQRFLSLSLS NLDALHDQPSADEKMDRYPAASSRSNAEPKEDAD MYCTH_2129342 MGKTGIRTICKIDFNKPAEEQPYLHNRRHPDIPFAGTIKDGETV KIESLDWSLDWTGGQIKNDDSADDVKNVDLTKVHYLSGPFEIEGSMPGDLLLVEIMDV QPFQDRRGDSQRSSTGAMRAVS MYCTH_2309340 MDIFDFNISPLSTVGKLDMGRCAFESGRTEGQMRLEDAGTKSEI RFGDGLMYKQG MYCTH_2309342 MPDDNSCMFTAFGGAIGLENPSKTLRDQVADYILNHPNEYNKAI LGEEPLVYTSRMRQMDTWGGAIELSILSHIYNIEISSIDVKSLRVDRFGENKPNRVII LYSGIHYDRIAFCMDLSYPVEVDVTRWSTDDEEVLDKARQLAQRLQSLHYYTDTTDFV IKCELCHWIGQGTREAAKHERETGHRQFGELQITD MYCTH_108504 MPTLRERPNRGETSPAKKASQNSPTATRSTPRKRRSSMANDAIE VRESIEAKGDVDEDVEMEDADSPAHREDDEMVVNGNGDQDADADADADGEPDDMDEQA RQEEELRNLLQLIRDTSEYLCRYTIKVDGEDHEIASGFQRLVNKRSLPDYFEVIKEPM AFSTIRAKLGKKAYTKFSEFVHDVTRICHNAQVYNRPSAPIFSDAGRLLQVFKEKLAE MKKRGRPPKVFTPLEARIQAILKGLRRFRNENGQLRILHFERLPDKAELPEYYAAIRN PIALDTIKKKHKRKWYQSVDQALQDLELMFENAKQFNEEGSEVYRDAVELAKQARILA EEEKAKPDEDFRDEDGRLPLACIEYRGEIWRVGDWVHIRNPNDLSKPIVAQIFRTWSD ANGQKWVNACWYYRPEQTVHRFDKHFFENEVVKTGQYRDHRIEDVEDRCFVMFITRYP RGRPRGLPPDKSVYVCKARYNEEKFKFNDIKTWTSCLPDEVRDKDYEMDLFDVPRTMR KVPSPIKHLLQADAKETDDLPKPTWRSPNAPPLIGAVHRRPREPNESPPPEPNPPPVA VARVPLAVAPTQTPIKANVGMAGTPTYHHPAATAPPPTPPPAHFQMQHFQPRPVPQPA PHQAPVHLQQQPQMTMHVPQHPGMTQMQPSPHYPPQAYPPQYGVQPQMAPQQVQYQTP AHIAPAFDQHHRPVHPAPQAMTPSRQPPASPAPSMTPHPHANTGHVYNVPRAPEVYTL ADPVDAAIPADVREQFQRDEQGRVLFFTAPPLNRPANGVAEQHAGLGHSVRHLASISE LRAERARKRKERDEALAREQEANKKLAASRERQAQRRQEDERKSQADALEKFLVAWAA EIDRGTKTINEALGDIENWNRIKHEVKEAHKNMTMEERRIKSLQWYVNWAKENGGMTE FLEKQFDDLIHRRGWKEEWKKEAEERRERLR MYCTH_2309349 MASTTYFGRAERDGCHLGADFDLLAKWARACSLDHPVHSYYLTQ LSNKDRAQLFTGPAKEFHLKQGPDNTVKLETLQDEVNRLDPEVIDMIRSTNYRRSEAR LLELLNEIEDAQPKADSAAALVLSKALSRGVDPLTAEKAANQLSDKILDYAGHKVKAR WKAARDERLMGFNDQTPGSPKQVNPTPKNGSFNNAVDESNTSDTGDSSIGKRSTRRKR VKGVALNTSFRRFVNPTLADHLLSKPAANNADGNTAFNTHPGDVGDNHSAPQQKIAAE SISPNSSLPDYGSETSADSAYWNSPPCSAGESPSSPDIDSETAFFEEADGGVTLLPTS LAEELGFKDESGVATPIPALRFDRFGNGASSGHLGAGLDQAFIAVPSSFNGLPASNTL VNASNLSAAVGPLSAHTAQVIDTAVRHNIKVEASSCPFLPNSKIKDKYPLAKEQPWMG FRCYEVNTNLGNNQLVPKYWTTKRGKERYLRHKIGDLKLDDAKLRSDRILGTVARNPI HIFIDLSNIIIGFYDSMKESRGLSINKRVMAPAFSFKNFDTILTRDRNVAKRIVAGSL TNSYNKRWPGYMIQAQELKYEMNILERVPKPASSPVRKRKPKAGPRDADASTSGHDTS GEECFFGPMKHGEQGVDELLHLKILQSAMDTPSPATMVLATGDAASAQYSDGFKKNIE RVLALGWNIELYGWSRNISSAWREPDFAEKWEHQFKIIELDEFCEELFDMTIESLEQ MYCTH_2067470 MSADFWAGYISGAAGIILGNPLDVLKVRLQAGLTATPPSAAAPV TPTPASSSYVRPFLLGTAAPVLGYGALNALLFVSYNRTEAFLNQLTGTTSDGQGRGTN LATTWLAGAVGGLATWVVSTPTELVKCRAQLQIPSSQALASLGRAGAGAGAGAGVSSL AITRHILRTTGLRGLYFGGTVTALRDSIGYGFYFWGYELCSRLTPSLGPFELESGDST GGSARSDAMRVLLCGGLAGIITWASIFPLDVVKTRVQAQQLPLDQSGLSSDRAPLLGG EERASVAGTKTLGAWQVAKEAYREGGVRALFRGLTVCSIRAFIVNAVQWAVYEWIMME LGQGREKQGVKDDLRAVAD MYCTH_2309353 MTVSTTATPAANKENLVPRSRFPTPQSLDRLHKPFKCPAHATRT VATDRPARKRRKVDYRGADGEEDADRPYTNADRLALASRDVNRFPVFQPKDKELVFRK AFSVPFVNKEAAGYNPNRPPPTLGLRQGAAFIAKPLHDPCGEFAIVLYDPTIDDKPKK APEAGEERKDAEPPSKLDAPLMHKSLAEILGIKKRVQGEHPRVPVVIDPRLAKVLRPH QIDGVKFMYRCVTGMIDEKAHGCIMADEMGLGKTLQCITLLWTLLKQSPEAGKPTIQK AIVACPSSLVRNWANELTKWLGADAINPFAIDGKASKEELTRQLRQWAISTGRSITRP VIIVSYETLRLNVEELRNTPIGLMLCDEGHRLKNGDSQTFNALNSLNVSRRVILSGTP IQNDLSEYFALINFANPDLLGTRLEFRKRFELPILRGRDADASEAERQRGDECLAELL GIVNKFIIRRTNDILSKYLPVKYEHVVFCKLAPFQLALYNYFITSPGIQALLRGKGSQ PLKAIGILKKLCNHPDLLDLAADLPGCEQFWPEDYVPKEARGRDRDIRPWYSGKMQVL DRMLARIRADTNDKIVLISNYTQTLDLFERLCRSRGYGCLRLDGAMNVNKRQKLVDKF NDPNGDEFVFLLSSKAGGCGLNLIGANRLVLFDPDWNPAADQQALARVWRDGQKKDCF VYRFIATGTIEEKIFQRQSHKQSLSSCVVDSAEDVERHFSLDSLRELFQFRGDTRSDT HDTFKCKRCRPDGKQHIKAQAMLYGDTSTWNHFVNEGLKGIEDHLLKQECGGDEVSAV FQYISH MYCTH_2309354 MLRLRQHSRPPLIWWSCCRSILPSNADHSSRTFRETAASENATA YFPLLNRIAQGHFLEATTDKALYEKFIEVLRRDGHMDGEALSTFRLGLSMRTAAPRVE AHYQYYTTAVEPSLPDDQDKCGQWFLVGGKQYCTPALDVAHGDVRGDAQERVLPFDRK IGTGPREIILYADITSPDFGNFHQAALKLAQNGEGTYRVRYKRNPAQRREALSVNGYG VELALKRTDYIVIDDRDTGSGEAPPDQTPNPIGSSQVVLNEEEEITDIKPLEKSELAP LGMKAASFIMQSDAPFETLLKLTQDFPKYSTFLAAHNVSADFKAEHAGNRRVLLPEGV NILWMNGLQLIERQIQPFGLVELLQRERKLINGVLDLGLSGQQAISLLGHPEIAQARS GDEEPRRFDWRDEIEDGRVIIWLNNLEKDKRYREFSPSIYAVIQPMGHGLPQIRKDIF NLVVPVDFTKPEDVEMVTTQLLGFVRRLIPIRFGLVPLTPTGEAIEQAKVVYYLLENH GLSAVVSYLEKSLEQRKTARPDQNILLEAIKDRPLRPEASPLPFNDIFTSETHEKQIH LAKHWVERLRAGGEIPSVFLNGFAIPRDEHWVKVMNQKLMVDLQALQHAAYFGQINDN VWVPGMFLENAVARRNSLIFPEDATDLKVLNVKKIYTEHHDVLSKVPIIEADDQSIKA DWAALTVITDLDSLDGQKLLYFALQFRRDQPGVRVDIVHNPKDVTRSASQLNQRIKAR ESELSAVSRLLDLETILQSGEAQADPGYDAALAAFLATAKLQAGDNALILNGRVVGPI QSAEDFSKEDFDQLLSAERASRILPVHKAVEDLGLDDKISGPLDAAKVTSVTALSGIS DLPQGIFGSAPSLRTTAFNKLNSTHTSFEVGDPSRATIFLVAIINPASEVGQKWAPVL KVLSELEGVHLKIFLNPIEELGELPVKRFYRYVLESAPSFDEHGKVKALSANFAGVPL DTLLVAGMDVPPAWLVAPKVSVDDLDNLRIKDIKTRRGTEHIEAVYELESILIEGHSR EMPAGRPPRGVQLVLGTERDPHFADTIIMANLGYFQFKASPGVYNLRLKEGRSSDIFS MESAGAQGWTPVPGDNTTEIVLMDFQGTTLYPRLKRKPGMEAEDVLEESSANAGTGSA MEYVSKGLKFAEGLFGRAKSTPETKSLSATQHADINIFSVASGHLYERMLNIMMVSVM RHTNHTVKFWFIEQFLSPSFKNSIPHLAAHYNFTYEMVTYKWPHWLRQQKEKQREIWG YKILFLDVLFPLSLDKVIFVDADQIVRTDMYDLVTHDLHGAPYGFTPMCDSRTEMEGF RFWKTGYWANYLRGLPYHISALYVVDLRRFRELAAGDRLRQQYHTLSADPHSLANLDQ DLPNHMQFQIPIHSLPQEWLWCETWCSDETLEEARTIDLCNNPQTKEPKLDRARRQVP EWTEYDEEIAALLKRRREEQQEQQAGEVEKNTKSRKFEEDRATETTSVKDEL MYCTH_108501 MQSSSGMLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLWDY RMGTLIDRFEEHDGPVRGVDFHKTQPLFVSGGDDYKIKVWSYQTRRCLFTLNGHLDYV RTVFFHHELPWIVSASDDQTIRIWNWQNRSLLCTMTGHNHYAMCAQFHPKEDLVVSAS LDQSVRVWDISGLRKKHSAPTSMSFEDQVSRANANQTDMFGNTDAVVKFVLEGHDRGV NWVAFHPTMPLIVSAGDDRLIKLWRMSETKAWEVDTCRGHFQNASGCLFHPHQDLILS AGEDKTIRVWDLNKRTAVHTFKRENDRFWVIAAHPEINLFAAGHDNGVMVFKLERERP ASAVYQNNLFYITKDKCVKSYDFQKNIESPTLLSLKKLGSPWVPPRTLSYNPAERSIL VTSSADGGTYELVSLPRDGSGAIEPAESKRGQGNSAIFVARNRFAVLNTSTQTVDIKD LTNNTTRSFKPPVGTSDIYFGGTGNLLIITPTAVHLYDIQQKKTAAELAVNGVKYVVW SNDGLYAALLSKHNVTIVTKTLEQVSTLHETIRIKSATWDDAGVLLYSTLNHVKYTLL NGDNGIVRTLDQTVYLVRVKGRNVYCLDRAAKPRILQIDPTEYRFKLALVKRNYEEML HIIQNSSLVGQSIISYLQKKGYPEIALQFVQDPATRFELAIECGNLEVAVEVAKQLDR PKLWTRLSTEALAHGNHSVVEMCYQKLKHFDKLSFLYLTTGDNTKLSRMAKIAEHRGD FTSRFQNALYLGEVEDRIQMFKEIDLYPLAYMTAKAHGLEEEVQSILEATGLTEDQLN LPTFGKPLTPPSPVVPTYQANWPTKATSQSFFEKALLGQVEGLSLEDEPAAANGLGEA EEEGTAKRDTLGEADEDEDAAGWDMGEDIVAEVEEGFVNVESADAGGAGSSEADLWAR NSPLAVDHVAGGSFETAMQLLNRQVGAVNFAPLKPRFLEVYQASKTYLPASAGLPPLV NYVRRTVEETDPRKVLPIIPRDLEYLASNDLQRGYDAMKANRLEDGLRIFKGILHAVL INAVGSDSEVAEAKKLIASASEYAVAMSIELGRRALGSPDTVNQDPQLLKRSLELSAY FTIPKIEVPHRQLALLNAMNLAMRSKNYNSALSFANRILANGGATRILESARRTKAQC ERNPNDAVEIEFDQFAEFDICAASHTPIYSGTAYEECAFDGSKYHTKYKGTVCVVCEV CEVGKHGSGLKLFA MYCTH_2309360 MAQFGATFIPGGQDDYYMPEVVAPAPQRVMPEIPQNVQDGIQRL ELEARETEPNRDDKATDQTEEAESFKPFQTSAADPAAMDAPSFSPFPKVHGENIPPSD EEKETILWQARNHVLHSNNVSMQVTWARDVLIWVEIAQDAAAREWKREGKGKERPATP KTEHELRVDAINIIEYLAQQEHPEATFMKGKWLEFGKFGFRENKREAYTLYKKAAENG YGRAEYRMGMLYENSNDIPNAIKHYTLGVNLGDSASNYRLGMMHLMGQHGYQQDFLQG LQMIQQAADTADEDAPQGAYVYGMLIARELPDIAVPDHVLPCDLAVARQYIEKAAYLS FAKAQLKMGQAYELSQLGCDFNPAYSLHYYGLAARQNQPEASLGVSRWFLFGYEGIFP KNEQLAYKYALDAALGGLATGEFAMGYYHEIGIHVPKDIREARRWYEMAADHGNKDAK DRLESLNQSKTLTKADHETTTLTRIKSQHGSQRGKRPDRFTKPKDVLPTVTESDQNAG VQFPDPSPRPPAFTVNLDGNSPAARPKSSVAPYPTEDQPMPPNVRPRSTAPYPDDDVR GPHVNPNAHLPVGPGPHADREGSAFGIRPLSPNSGGLRPGSAGRGRPVSSQPVMGGRD PQAAAGYRKPSPGPGGQWQQPPPPQHDPRYGDPGHARLQKPNPNLQPTTQGGPPAGQY PMGGGQPGRDYGRPGPNNRPVSDNYGAAGGYDRYGSVPPPSPGRVPVAGPGSPGLHPA HAGPGGRPVSHIDPGSGGRASAPPAGPNGRPGPGPGSTASSPAPAAAGQQRPPPGGRP GAASSTNLVHPDGKTMGHGPATFEEMGIPQGKSEGDCVVM MYCTH_2112358 MASIQVQKLTEKEQLDRLDQLIHSSRHIGCIYPKIRQWQIKATT DIAADRTRKRRTSCPITMSRSAHPFPPPPNAPFLWARPVCDCHYYYQYYLCGCPDRYV ATAGGRLKHIPSRHGCAFWYKPFIERLVHHNAGFRHNPNPPTRPDPSVLPFPCYRHLM ESRIFLSPDELRAWRRRLSDPRWNPVSAARLNHNMSQLQKFQKESRLSQKQRGARKRA RAGEEDDRLILPLPRKRRVLPPKSKESRALLMLSRELITQHREIGPYKLPPGDMRAYA CQQRAHKGDRKSPRDPEPSVQGIRDTNLQAAELYRTYFNTFRGATHEELSDAWRKLHN LQRLLSCDPHSSLPLSPVTLAQEPETREAGQAKQAETEAHPPTTRGSSPMQALRLLPQ LDPEPEHGRRIPHDHFSSLTWLLEEHSVDLWRQDSYYSRCPPPLPPDVRYDGPTHTGY LAGAYRTDPEVLHQARVASWGREFGELGVWWARKVKELWAEFVEGSGHGAGGSGVGAG FWMGGKLNM MYCTH_2309368 MEGVERAAACATSRLLLFPAPDRSQCAEPTMAAPDSTTARRSAR RNKPDLNINTSPSPPPPPPPPPRAPERERKSNRTRGGGDKDRSRDRVNNNISISNSNS NSNNHNQNNNGRGADIDALPRTPTSDSPRGSRALRLPDGQGRATADIETFLEGLTPVD VPIDMSSKENESENDGPEQQHRPTARDSHDLSLSPRQVTRDSLVANMLLSLDQLSLGQ MATSYGHSGSAFDEPAVYPAFNDDARAMTFSSAYNSRPGRGNGHGYSYSSDLEGADDA SRISSRGRRSNSSSGYQPGLGRINSMRELSQRSPGRHHHSRGGKGSKSSSLTSVDAGY AQVLGSQRWARGFGGRSSSFDGEPRPSAAPWQLEFSTSFLDDPYDAAPTPTVPGGPRK LNTVPSMPVMSPSEPTMSDPKAASAKGAAGPERGRSTRSSRSATVGRKPEPKFNPVRD PPPLPVLDLDSAPAPHIGYEKTKEAFPPSATQPQKEKQGFFRRMFGSSKTALSSSSSS PQASAFSSHQPKPSAPPSRDSAHPTAHTLQKKTSSFFRRRKHSVTDTEPPPVPAVPQT KLPLERVEALSVKPEPSPITSLRRAMDPFLQGSPVSSVALASPDAPLPSVEPRDDPPP PNEKKPGGRGAAEDDDGRVPRGFSPDYEPSPRAVIRKVDSEPPASRPVATPSRSPGEA PVDSPPKSFLRDNSDSEDSPVRQRKPPRSSEPREKSLRPGPQADGRTRSPSPVVSKSK SVPNLNKEARLSARLEPKPSPPIRTDRRDSDTLGLSPGAGGETAHKHRVPSLRVDSAE PSPKGTGTGTGESVSQSAKSIDEPEVVVGDPTEDDRQKAKQIYEGNEDFIQKDKAAAW MGEEGIVRQRTLRAYMELYDFENRSIVASLRMVCQRLLLRAETQQVDRILVAFSKRWC ECNPNHGFKSIDVIHTICYSIILLNTDLHMADIEHKMTRSQFIKNTMTTIKTALEDSA PEAFDRPSILPGKGNLESEGRTSEDFKHNSFRASFKPPPRPGSALGAFSDQAPGDSCG PLVKAPFDGPFRAWENQVEIVLKDIYASIRDDRLPLFGAESLQQNPNGLSVMGMLKRS PSALSKAPSESVASTRGRVPDGAKANSSRWNTKSRSRPRGFNTGFSSSRTSFDDGNSV WTPTDSSATWSKLSLGRTHTSMSMDSFGSAYPRGDFHQSIGFANALSQAIIREDTALE PTKDDVKNAQLLEDESLELAGPPWVKEGIVTHKHHLDGIDKRARDRNWQEVFAVIQKG QLSLFSFSPNKTLRHKNRRGLGGNPPKGAVVGGGNWQDNATNLGTFSLRQTLASVLPP PGYSRSRPHVWALSLPTGAVHLFQVGTPEICKEFVNTANYWAARLSTHPLVGGISNIE YGWSDAVINSATTAAAVAAAAANDSTANGGGRPASGNKSHSRTGSAVNAAAVAAANIA GIGRSSMQSGRSMRSASFDFPVRPGSGSSGILGAALARPSTNNNSGGGANHISNGGSN PHHKLPGDRIHITDWVPPAPSMRPSALAEPEQLESLQAYVRSVEAELQAHNALRSPML GAFSPRSANAAKAMANWERKSEYLLREIVKFRTYVDSLLAAKARAEEIYAERNGDKRQ GGGGEIGKAGQEEDGGDDDAKREGNGDGIAVGEDGEVVFAGLGVPGGGGTGGRPVG MYCTH_2066367 MDDQTDEHQSVSRPSTAQRAESEDEPASPSKQLPDQPRRGTDVS ALKPENIPPLHPPALAVPEISYLESPSMDLKHSDSQAEIIVRQHLQDIESSFNAPLSP LPTSNNGLDDTFVFDSPSKKPAPERSRPNADESFDRSQVLPTLSRTESRASYGHAPRS QASHEESQEGPSAEAEPAEAEHADAGHTPGQALKTARRPKYLRSRMGSQRSSVSSLLT DPDSDVTVGAGADYALQSGGAAPSSGLPRSFNNSLSRSISMGSMASGIEDLHDSSGPA FGQLETLEEVDDNASPQPAARREANRDQLHTPKPAKTAALDAPTDTVIARHVRDVQVP ESLAKEYKNRGGLVTPARPGTSDAGGFGTSTASRHGRSLTLKEQSSTIERLSKENFDL KLKVMFLSDRLDKLSEEGIKEMISENVELKTGLAVLQRDNKMLRRRVKELEKQLKDEE ERPGTAKSAQSDDDQSAAYDQEAREREEEIIYLREQLEEYVTEIERLRNENLNKEAEK RRMAEVVRALGERTGERLGEHLERQEEADVWKDLLEQETARREQSDEDNRRLRDEIFR LKQELAAQSSIGPMHHTTNIYNITKKSRERALSATRSGASASGDPDANGVMSVASTLV EELRRETEQLRHENAELRREVGAQTSMLTSRNREKERLYQEIEDLKMAQRRGAPAPST IDSLLERSASRAGVHERSQSRASGTTRVTSTTVDEAEIEELENRMSELRDKNNSLKLQ NQDLQRELDACMEDFEAAVEAKKQAEELVAALQEDLESAMNDLMELQAERDEALQEHS NLENEFEALRKEAQEEIDALEGEADQRTAEIERLQLDLNDRTESFDALQAEMRKMSEA LVRLEDEQEAKLRRIRELEQELGDANKELEELEAKLVEANDKANRLSVQQESSQGEIA FLREEQESDKIRIGDLEAALANAEQSLRDEKERARELEQRLTNERRQREIVANREKEE VQQFVNELNKEASAAKDEARRLRKSLTSREVEATEWKERLLELENNLREALGDLNGTS FQSKVKSVEKELWREYQTLENHLEQRTKKLDRLEAIVRNGIVTGQINPHSHTLSETQA RLARLEDAYRQLKVENHTLRAAAEARRAAYAAASAERDGGLDPAGGSPSPSVPTGPKA KASASKIPKSGSRITSANTSSSPGPGAVVSAPGGSSGGGSLEPKFMLRLRDLENKLKA EREGRILDREEARKRINATEHEVAMLRENLEREKRRAAGR MYCTH_2316068 MASTVTSGSLVYERRVVERIHKYHWSAVQLNIWMLIMLIASCLV IGVFATFIQIQQALLLPIPWYFPYYITVGSLGVAFILLLLWLIFRRRLLPSIVMIGGF ILFVMWMVGLIVISIQLWGPTNSVSSSCNLFVFGADPRPNGETLQTLAWLEQRGICQS WQAVFAFGLVGAIFLLWIMVMAYQVFADDS MYCTH_2309375 MSPSRVSGDFNESASATQQSCTTTKQPAPRHFGRLDNTSMIESK FLSQPQDLGIVAVGFSGGQPKPGVDAAPAALIESGLLTQLRDDLGYRLHGHDAVHSFS DVVPAQDPPHRGMKNPRAVSAVTRRIAEQVYRHAKEGRLVLTLGGDHSIAIGTIAGTA KATRERIGRDIAVIWVDAHADINTPETSGSGNVHGMPVSFLTGLARDDKEEFFGWLTD EHRVSVKKLVYIGLRDVDAGEKRILRENGIKAFSMFDIDKHGIGRVMEMALAHIGNDT PIHLSFDVDALDPMWAPSTGTPVRGGLTLREGDFICESVHQTGSLVAVDLVEVNPRLA ADTDLGAHETVRAGCSLVRCALGESLL MYCTH_2309376 MVGFPRRPSTAVRYIVRALLLIFVFYSLLGPSDPSQDGSFAPLR PWRRLFSSSGHPIDKLVKAAEKEFASKIAKATKSLPDAAAAYRKRRGRHPPPGFDQWY QFAVEKQAIIVEDFWDQIYHDLEPFWALDPARIRKDAREFEMRIHIRDHKASTESDWF WTQIWLTLIQTIEHLLPDMDLALNPMDEPRVVASWEDVNEYLREAAKTKTMANPKEVV SNFGKLPPVEQAPPDQEQMPPVIWESDKHYWRIVRRGCPPDSPAREAEVITDFDQTPA IADPFSLAHMRDGYVANYTLSTSFCHQPDLQALEGIFVEPLSVSATKSLLPMFGGSKL AVNNDILLPAPIYWNEEDRFVGAEGASIPWEAKHAMAAWRGVATGGRNRPNNWRSFQR HRFVAMNNASILSDSSQPPPNFALPSPRYPLPDALPDWISANTNIGFTDMMCLYVPPP EESEPGFPGCNYTVPFFSIVPSIPMADQFHFKILPDIDGNSFSGRYLGFLKSTSLPIK STLWREWHDSRLVAWKHFVPMDNRFGDWFGLLAYFLGNGKTQGRDDVAKKIAMDGRDW AARVLRKEDMQVYVLRLLLEYARVVDERREMMGWVDDLL MYCTH_2140301 MKLRENDIKSAGLTLLYEPDWPIDPVVDIILVHGIGGHPVFTWK LERQGQMPTTPKTTTTPRSGTKRRLTKSPAATPLLRSNSEPLLLARNQRSLSRSRIPA GKNSADSSPRVGLDRFAEPGKPGSLGRSRTVLRKASPRLSPRERVAETGTDEPGLWAA ASDVDAYWPLDFLPASCPGARVFTWGYHTLVSNRKALLPQGDIFAHAQELLIELASRR TALGAAARPLLRLSEAERDGPLKEILLSTSAVVFLGSPHRGTEHCNLGDAVQSMAVAT LHADPSDSLLREVCGIRALELELGRQTFVKLWNEYNFKVKTFQESVIASYQYPELRAE TTIRRLASFIGDPRENPETIYALHNDICKFGSAQDQGYRALVKTLAAIITTEEDGRAS LNARETECLLALSHTQPAVPEPVQARAYPGTCLWLYDLHEFQTWCHRHGPNKQKILWI RGESGSGKTILLRSLQKRLERQWGPAGASFIWVAAEADDLTGGANTGHRRSSPASIYR SLLARLFLQDPSLRKALLALYNQTRDDPQTFDDAQISAFFADYYANQTARARARRTFI FVEVSDDACPSYVHELLGRLSHLAHNSDFSICLASGHHPEIIQEENVISIAMHLRNAD DILRYVNLNLIAGWQERNRTVNRIAEKSTGVFLWAEIVVNILNAAIMEGATRDMIEHT VEEIPGDLYGLYEWMLSTLNDRERAESLLLFQWVMLAAEPMRLNDLFLAIRLTEPDPF ALFERLGPLMAFDIGMPFSMRELRQLRNSEISSDTPSQFYRWLRARSIGLLELKSDND RCQGHHHANETLGLQRVYPIHPSVRNFFLSGRGFACLTTGNPSIPSSLPLSDFFDITH YTILRACLTYLNMRDFEALGHGCARRSRHKPFPPTTSSSSSSSSSSSLTSPSSPRQRQ DVPFVETTTLHWWHPPPTVSSQRHLVMSSYPFLRYAVAHLLHHLLAPVPFRYFLPQAE LLAVLAANRFRLWKRWTSLLGTYDPDVIIALHASPSRMVAAWLSPVYGARYRLGRVLR KVARLAASDSYNTRAARPPMYALGGHARRTLLPRAMRRVCAQQANYAAASKPSATLEL PNDIAASLHKDGSWAAMRGKRKGKNTDKYRVNIVSEKLCDDTLNYIKSTLTRHEGCDL IDIFPGAGVWSQKLNDLLRPRSHILMEPDEEFYKPYLQPLLDRPGARLLPESGIVWEQ LNKILSPEVLPHQVERRYSPKEMPERNDTLLVTMNLAMYPKRRFRTFESLAQLVLFQV ISSIRPGGLFQKYGLVRMLIWAENSEKMSMLPRTVQRRKKMAIEAEIATDWVCEIVGG EQDISTSGRTPAWFQRDDALDLESTMKTAERMRAGGFEMLPGREPQHLREFLEVAKLN DPAAFASKHTHERPYMAELEALEAAYLKGELSTSSKEHKRYKSLLYLRNHTLRRSEMI VKMMEEYYAIIQAYIDAGSNEKLLAKARKRGQAWGERANSLEQAARSDLLLHRDNLHI LRQESPILNWDRRYVEPLRATPAEFFPQVPCTLLDIQPKAVPGILRDMGQHSTRGGDT FDLILRGLVQRPIDPVTKSLNSIAAGAGEGIVPRCPSLLDPRKGGIPPGLLEQPTRTL SQSQMVEIAEAWMTWPFRPSYSELVSRTLEDMESPDEEDGKFAMSE MYCTH_2316070 MAPWGRVLWAIYLRVEDLIVAQLLRSPTFHRGVQRIHRTVQNLR HGRDPSEPLRPGEATAEQNESSNFFKYFVEELRNQARGQPTDPPPPPKK MYCTH_112089 MKLTSSLAVLAAAGAQAHYTFPRAGTGGSLSGEWEVVRMTENHY SHGPVTDVTSPEMTCYQSGVQGAPQTVQVKAGSQFTFSVDPSIGHPGPLQFYMAKVPS GQTAATFDGTGAVWFKIYQDGPNGLGTDSITWPSAGKTEVSVTIPSCIEDGEYLLRVE HIALHSASSVGGAQFYIACAQLSVTGGSGTLNTGSLVSLPGAYKATDPGILFQLYWPI PTEYINPGPAPVSC MYCTH_2129362 MFVMFLSSLPSVVLLFFVAARSVYYAFFHPLAKVPGPKLYAFWD LAFLYHLVRGEWPHKLKQLHDEYGPVVRYTSKHVSFISPGAWKIIYGHRMAGQETFQK DRLSYRPTMSGYPNILIANDSDHRRHRRLLSHAFSEKALRGQEDIMMRYVDLLMARLA EKARAGEVVDMVRWFNFTTFDLIGDLAFGQPFGCLSSGEYHAWVAMIFKTVKLGAFLE VIRRHPVLGILKSLLVPTELTRSHAEHWALSEQTAKRRLAGADMRREDFVSHILRHND EKGMSEGEIIENANLLIVAGSETTATQLSGTTFYLLTNRDKYDKVVSEIRGSFEREDD ITLLSVNELEYMNAVLKEGFRVYPPAPLALPRSTPPEGEYIEGYWIPGNTTVSIPHLA AYHSETNFRNADKFVPERWLGDPEYAHDARGVLQPFSVGPRNCIGMNLAYAEMRLILA RLLWNFDLELMPESRSWNEQKIYSLWDKGAINVKLTPVPRE MYCTH_33936 DFESGWDQTAWPIYAPDCNQGGKVSLDTSTAHSGSNSIRVDGAG GYCGHIFFGTNKVPSGDLYVRVYLKASKALTASHVTFITMPDPAQGTNKHLRIGGQSE ILMYNRESDDATLPELSPQGIATSTSLPTNSWQCFEYHVGTDGTIETWLNDKAVAGLT FGPGISNPNAGAWSRSSIIPKPSGVYFGWESYGGDTNTFWYDDIVISSSRVGCSGSGT PPPSSSSSSSPTGPAPSTTLVTSTTSRGSTSTTTTTSSAPATSTTSSGCTVPQWGQCG GINYTGCTTCAAPYTCKFSNDYYSQCL MYCTH_108493 MARLNTSALLLLTAAVATAAPRAPSFRNSTAPSFRNSTAPSFRN STGPSSGGNLTGPPAGAPTFSAAAAPSPPKALPQRATANDLRWQPSLDFDTDGCYNVP AIDAEGNVAEGLPYEWVGLSSDCRDESDLDNNNVYARQRCNSGWCVYLYGYYFEKDVP VAYFFGGGHRHDWEHIAVWVSDATGRAEYVAASQHGNYEVRPAADVRWDGDHPKMVYH KDGASTHCFRFANEADDNIENHKGIWFRGDLVSYNGFPSTEIRDKLFAYDFGKATIDF KDSTFPGAIGRAKPAGITFDVNLDEGSPGTP MYCTH_2120346 MVDAGFGIVPRCIPGTPTPFSLHVPDADLNQLSSLVKTAVVGSP SFYNTHGADDGPDYAFGMTRDWFTAAADEWVNKFDWRLHEKHWNSFPQFTINVTAPSD GQVFNLHFAALFSRRREATPILFSHGWPSSWIDFVPMLELLTTKYTPRTLPYHVIVPS IPDYGLSTRSNLTRTELDFRKAAEALNELMKALGFNAYIAQGGDVGSGLTATLGTFHQ ECKAVHFNNLILTPSEMSAVENLPVTSEEGATLARAAQFGDRETGYILEQGTKPGSLS LALMSNPMALLAWIGSMYVEHTNYSVDTILQQVSWYWLTKSYGRALWSYRSVWAAVLR DDGGNLPSPLAITTKPLGYSWYPDEVLSVAKSWLEYWFPNNLAFLKAHESGGHLAAFD DPEGFLQDMEAFVAIVKTKVRL MYCTH_11798 PDGSPSSLPDKKAPSSNAATGASAAGVRALTAQAVAFYFRAPVK AFFRTRVDYLAYARALQEQRQLELLRHHHHHHQSGGSGGTGVSSGGSISWLRARLRST TPAVLASAVRHYGWRVVPDQILPPLAANVGVGAVLYTSYLQILGRLHPESARASKRVY PPPGPLETFTAGFLAGSVQSLVAAPLDAVQARWDGVTSGRVVNNGGGGAAERPRSMWA FSADKLREIGVRGVFSGWGLSFLKDSMGSAVFFSVFEYVKAQGYYNFVRWYYGSLNED AIVLLSRKRPAAPADGPGRISSSRDEQQPRMPTIIRPHYALEPTFLLLAGMGASITQQ VVLHPLSYIQAEHWERLESLDAKARKLRREAGLPEAAGSARRWRMLRAYRDAYRTTWA ECMSEARAAGLSMRQWLYRGFWWNTIRQVPSTSAGLIIFELVRRKYGFGEDQVRINLD GYDILL MYCTH_103164 MSFSQENGRSYHGWKPEKGYILPSDQQESDRLDMQHYIFYLTFD GAFYTSLAGMEGPSLKHVLDAGTGTGIWAMDFADTHPRAHVVGIDLSPIQPSFVPPNL TFYIDDLEEDWDFREPFDFIYGRMLAGALTDWPGFVQRAFENLSPGGWLELADITFPT LCDDGTLPPDSALMQWNEHVIRAGHMLGHSTEKTKRGPLTQWPRDPKYKEIGMWSEHN FCGGMYWLSVALFTRALGWTADRLEVFLVDVRKDLRNRSIHAYWPM MYCTH_95630 MSVLLVGKYVEVDKRPRDKLNPDQWHALTALHSTLLHEHHHFFL ACQHPLASPAVRGLAERHAIPAPYSMIALLFETAPAFEDTWIKCLGELARYYIRSELV SQ MYCTH_2129368 MSDLSDKSNLQAPPPPPNSPTSLPNYSNSPKGDLGEAVPEAANP APSPAGDGGVSGFPSPGTSSDPPKQVRAQADNVSGKSTKHNDVPDGSITSSGTFAVFI PFPFRFKHCEVLYQPNKDDPTTQEPIGWLDPVLLPENSAIVLLDGEIKFQLVIFDCEV AGVRDHDSKVDGKNIREE MYCTH_2309396 MDNHSGLFIRRSDALGPKCTEIPYPNIKLADSDFDTHVLKGFAA QAYLRRRWHEIYNLHDGVDEPLRAAYLHHAEEALKNLQFPFTENEPPAKDVVYAKLRA GYWRAWVVTYRPFVRRVLELNGANRRRELTPEDTTLAGLGIKAIIESTRAFHGLEATI TNPFETAVEQLGNLVVLLAASVDPTLRNYTSESMLKEFFSWAIGFVRAVAHPTSALQT ILSILMGLEHKIIENPGYFGGQKWKTLGISVE MYCTH_112086 MSSTVQCPVCKGSGMRLGKYICSRCNGEGEISAPTEDPPAPAQD APQGSESATGTNHFLLLQQRPCWHQKS MYCTH_2309397 MALPPASTVDSLKFFEENGYFSDDCAAIGRLVIDQETKGNVESS ETLNLAKSILLHERCGAILEPYLNCPNNIELCVALGPDKNHCFVFTLQSGETDRIIIH MWSPRSQGELYRGSHVDLPGQRALIAAPASNGLLEPPSAILRMRENNPITVTMANGGI TITDRRFVFRILQGSTISFDLRKEKNPSEVAGKKKNPSDVAEFTLANMDSMVMGGRSA PDDGDRS MYCTH_2129372 MPSTTFQDYNVDGGIAESPQRGTPSDVRFADLLQKPLSELTWFA HIPLPDIEAFVNRSRATREAETGKGRKKGHVRRPLNAFILYRKCYRERAVAFCEAHAP GTVVSHGSVSSVCGASWGMEPEHVKVRFKQWALQELRAFQKAFPKYRYQPRKVVLLGE VGGGVARTRPASASDATVSPFAQQSPPAPPPWFAGLAQQGAEYHLTQGLPFLPAEHLD DFTPQCGWAPQMPLYLNIPPAPQHHLCPMDVSYPQVELGAPVSSPETTATPKATLSVC SDPDAASAQQSPEPVLCSRCACEVAFAGAAYRRTCFVPDSPAPELPLDAVVAADDFQA SSSIDTAAGNNNQFAVS MYCTH_54054 MNSEALKAFLDQASEAEKDWLANALYYRELETIRREAPLLDPNK YASKKVDVYDSSAAPPGQAVTAAAATISHGPNLQGGAAPDRPPPQYLNRKSGIDIDQL PWLLARVLAKVSTVSLSEDDGEAGNNATPPSGPLSDTRLDIANIRQSIGYTKPFEEQF PDEIQAAKADFLSTGYKYVNQESIFNAPDWLGDLRKFEGRTALLKGFPMVEDVTFLKH PNYWQTIEEHGKLIEDGQCYWSSIGLLLYGNAHSWLRVKAEHLSFLERVLVNPNHPRH AFYSRENQNMGVTYATGPAGLDSQWSGQVNLWERLQIPGCWTNEDLCQLTADVYGVFL VLYKYDTANEANAQWKGKVYDMKTYGAYNNRHIFLCYYLENHFQPMVPNEYCASEFKL PRLTLSNTDRYELVSRKRTRKVRDGPGHHWRAQPQVVPSLAWPSFEAEHLARAAGYGP YVKKSLPRHMSPSHTGSTVLQAPKPEEEVWEEVVWEEGGKGKDKKNASPFANPEALKS PNVTREVVSLLSAETSGRGSSRGLTQADTLRAREALGVLHRYIDKVSGATAADSGRHP LPTGRPSLPAASHNNPQEDLKGKGKRPAIDPPESGPHPSKRPRTPPRAPAQPSTSSNF VSLTPTNRGHQGPSTANPQTHAGPSGTALPGPATFFRAASKTLLTKVRVARLKRWCLD LELAAGDDVAAWRKERCVRELLAAGVQVRLVRAAAGAVVVDEEVEDRGVEVVRVAPAA PVAITGGGAAAAAGEGDGDVDQGQGNAGDDEDGDGDGDNGDGDE MYCTH_95623 MARLAGLVAILALVQPALASFYTVTEYYLLVESVVKLDETCTED CYYYTDTDLRTVKPTVTPTAKPVSTSTWTYTYNDVEIVSVFLTDGVGESDLVPTTTTD DNLYTNFAVMVTWTAPSSCPTPFTVVGDAYVYIPYDVRPYLEAESTATTVYTDYENSV HTYLTKYIDPTAVPPTARPTAQTTAYEYSYYIKNCRNPTATNPSEYYGPTYTAGSGGS RGGSGSYSGGGGDDDRDRTWCSTYTGCVVAAWVIAVATVLPTIFLLGFVESYLWFRRM MLGKPALRLGTICWCCLSLWFILLTRRSPGRSPEDRVLLRQYWDTLGAGTRIKLWFKH GFRWRYPVELIGNPDGNNPVIIPTYAPPPPPPPAGGPADANGSEKAPFAVHQQQQPAM YAPSPFPGGPQQQQQQQQQQQQQPYYMQPFPGQPYYPPQGPPPPGQGQGYGMAMPAPP PGTYVPGQQQPPPAPQPQPQPQQQQQQSPQQQHASSYSPSPAPTGATEMPSQQSSSGT GSPAPGTEQQPQQH MYCTH_54141 MSVYALARSPTHEFSKTPVQELTLLTGLGIEGDCHLGVTVQHRS RLHINPPPPNLRQVHLIPKEILDERSVKPGEIGENVTTVGIDLLALGRGTRLHFLPPL PATTTAPSPSPSEEEGSSGGRDHAVVVLQGVRNPCPQIDRFRAGLKEMFVVRDEERRI VRRLAGVMGTVERGGVITVGMRIVVEEPDGGVFEELGCV MYCTH_2065743 MSSENGIFAVDPERAAEDNSGFLIGVVCAAWSLAFVTALVRFYT RAVVSRSFGRDDVFMVLAVLCGIGGLASWIVGCHNGYGRHMDTIPPERFLTLIEAQFY QSIVEASFAFGFLKISIALSLLRFSRGNWYTKILWLLIGFTCFYTLFAFVTFLAYCKP IAGLWDPALRTKCYSRVMYRNFGLFNAGDTRKTTERPTPSLTNLMVVSMQHLHRYQFR HTAGAPDMGSAAPETSQDISNRHLDIQLDIGIIAACAPTLRPLLGRALKLSTTLDPYR GANYYRAGKALDRLPLSGNSTRRYLRQSTASGQFFEMNTGNRPWIAPERKVSVFSASA VHAERARVNGEASGEGLEAPVILPPRAAEIRGIVKTTEVRVEE MYCTH_2309402 MVGLYDDPFAYDGADFTTFLGPDQFAAGSSPEDVHLHSATEPHF PASVHAALNKGPGHPGATPPPLHHPHSLHQPPYLASPTDPLGPGGFDHHHHALPRSVA APTTSYNPAQPQQQQQPGDNSTTTTTTTTTTGSGSSSSSSDEDLRALLQQATRERDEA RMQLSTARNELYAARQLGKRLRAERDEARAQAEFLGAERAKLRQAEARLRRERNEARL AVLALKAGVPGPVPGLAAASVVGPPPAAAAAAAAVTAGGVMAQGKRVSGGGGGGLVVG GGVAGAGAGAGVSAGVGAGASGMESQGEDSGESPPMGMDFMMMGMEQC MYCTH_2309404 MPNSENLKQTHEFRDAALATVPDIEDLYRVGKELQVCPYYASRT AIPGAEVVTLPYPLLLQKSARDALGITLEGNVVIIDEAHNIMDAVANVYAAEICLSEL RRARQMLGIYVKRFGKKLKGENRVMVAQVGRVVESLSECLNGQLSAKGDQGIVDPNTV LKARGADQINLYQLIKYIQESKLAYKVQSYLAHTENDQEASSAGGGERGSSSTPVLHT LVSFLTALTNLSAEGRIFYEKLAENQGDIKLSYLLLSPTHAFSSIASAARAVILAGGT MSPFEDYKMHLFPALPSHKITTLSCGHVIPASNLFCWTLSSIKPTPSGDPSASDCFEF SFQRRQEPAMIRQLGLVLLNICSVVPDGVVVFFPSYGYLDQVVAAWQTQEAGTGATTT TTQQPTLWDRLAAKKAVFRETKGATSEDILQQYSTAVLSPRQPDRRNPADKPPPPGGR GALLLSVVGGKLSEGINFSDRLGRCVVVVGLPYPNVHAPDWKARLEYVESTALARLVG GGGDGGDGGDDDDGQPGGAREEAAATAARAQAKQAAREFYENTCMRAVNQSIGRAIRH RGDYAAVVLVDRRFSTERIRGKLPGWIRAGMVQGAEAKGLQGLMGGLNLFFKGKREQA MYCTH_2309406 MATVMPSRSRHVDSFQADSQSFRIHEDAPSTEDTEMNESALQDE DEAEQENEPLEEDGQNSDYSDSSEDEPPDDDSHFQQDMVKLQNAFVGFRQKYRLIKRI GEGTFSTVYKAEDLAYDKYDNSWDPDKELDKWTPPPLKNSASQSGTAPSHESQPQRRR KPKYVAIKKIYVTSSPSRILNELELLHDLRGCDSVCPLITAFRSTDQVVAILPYFRHA DFRDYFRKMTVPDMAIYLRSLFTALASVHSHNILHRDIKPTNFLYDPETRRGVLVDFG LAEREGYDCRPCVCHDDPVARKAKLRPLISSEKKGAYLREDTRPARRANRAGTRGFRA PEVLFKCTEQTTKIDIWSAGVILLTILSRRFPFFNSADDVEAMIEIATIFGRQRMQAA ALLHGCMLETTIPTIGRSGFSFEGIILWSTGRSETNDKLSDDEKLAIQFLSRCMELDP SRRISAEDALKHPFLRMGLDESVDAASNTGDDDEMDMLKA MYCTH_70246 MDSVNFDINDALKHYMSDPAGIPTPEADSALLDCENDPDSLTDN AVINAVLNPIVDAVAENPDAITRSSIFDSLQFLLKCAPISSVPLTPSDRDTPMLADHT HNPAQPARALGSGAADRLLSDPDSELFKQSRYTSFLSAHALSKIFDLITSGLAAEADV IHHDLESDEQELIAHHKQLLEMYGFLLQWTIAAVETKAAEKSSTTVPTRGRGKPKSRK DLGKDGTWDSTAQLETALNTMCKVLRLKLAKIFLTTSERDTFIGLLTRPVYMILESEQ RVKSTSIRMHVFKVLCIAVKHHGHGYGGFAQYDYPQLADEILRELSNKEFNSNDTKGP KSVSAFMVRLSELAPRLVIKQVTLLAKQLDSESYTLRCALIEVFGNMLAHLSKSEERG ENHKSQMNAFFDVLEERFLDINPYCRCRTIQVYIKLCELEQKFPKRRQRAAELACRSL EDKSSHVRRNAIKLLATLIRTHPFTALHGAQLARKDWQERLDKVDAELNALKPPVDAP GLDGNNGNTTVDQGLLDDATQIESPRKQAAEMTEEEKMAAIQKAQEEAATSEAIEKLT LTKRYYTEALKFIDVLHEATGIICQLLGSRNKSEVIEAMDYFEIGDAYNIEQNKVGIR RMLRLIWTKGNSDEGKGVQTHLIECYKRLFFEAPDSFSPNDAANYIARNMMSLTFGAT PAELTSLEQLLSTMMKQGMIPDLVIAKLWQVYGVQRREISRKQRRGAIIVLGMLATAS PEIVVGEIETMLRTGLGAHGRADLQLAKYTCIALRRINPTGRQTKESTTKFSRLPNDH AVLVRLAAITEVPTDSKEWYGVAEQAINAIYTLSRHPDVLCSELIRRKTRAVFSRTNS PAGSQPSSRPASRDETQPAPSPSSPAEGGEGDPTVPASQQTPASPPKKDNKNNTVGLS QLLFIVGHVAIKQIVHLELCELDFKRRKQEKEKSAAANGRSSLGASTSSRRSVSHAKD KSKVGDEGDELDLIGGTTEDDFTEAMAHIRERELLYGPNSLLAHFGPMVSEICANNTT YRDRNLQQAATLCLAKLMCVSSEYCEANLPLLITIMERSTDATVRSNAVIALGDMAVC FNHLIDENTDFLYRRLADPEPMVKRTCLMTLTFLILAGQVKVKGQLGEMAKCLEDEDK RIADLARMFFTELSTKDNAVYNHFVDMFSLLSADRRIDEESFRRIVRFLLGFVEKDKH AKQLADKLAARLPRCENERQWNDVAFALSLLQHKNDDITKLVSEGFKVVQAPA MYCTH_2095744 MPQDMPPAGGYEAVQYKRNLPSRGLFRPRPLLAGSALLMLFGWY KLVVGIREQNELAREKMWARIHLIPLLQAEEDRDQVRRHLADQAREKELLGENIKVYH SDRFVRPTFGVTPANVTKD MYCTH_2309412 MSKTVRLGSRLRYPITVVKLHKKPGDRIKKQETLMEYSFKWWKE VGDSIRGETWKEEQTTIVTWDSPSDGELRHWLIREGQHIVSDTPAMEVKEDCPHEIQF QGLCGMCGKDMTEVNWATETSDTARAPINMVHDQTNLTVSAVQAQRTEQELQRRLLKS RKLSLVVDLDQTIIQACIDPTVGEWQKDPTNPNHELAKEVKSFQLDDGPTDLARRCWY YIKMRPGLQDFLKRIAEMYELHVYTMGTRAYAQNVARVVDPDKKLFGNRVISRDENGN IFAKSLHRLFPVSTHMVAIIDDRSDVWPRNRPNLIKVSPYEFFKGIGDINSNLLPKRQ DLLTSGATANGAKKGKKSKASENQEVAVAGTDDGALTQQQVEEQERALEKQIKDRPLQ VLQEQLDREDEEAEKATVQSDDGSESRSSSPAPQRHRVLQDDDEELKYLEQHLTALHR AFYDEYDRKRAAHDSSADVDLDSVPDAGRILDQLKSTVLQGKKIVLSGLLPRDINIYR SELGLQIMSFGAELLTKVSRDVTHLVVNAAQPGREKLLQARRRPHIKIVSQEWLAACF SQWKAVDESPYLFTARLVEEDDPEHKQLIASDEDEDEVEDTDTEADSSGRGLNGETNG TSPRLKLISPTGQTHTFKLKKNGESADDEESDPGDDDDDDEEIEEGLLPDDLPDGQSS PIDGLKTFNWGSADEELAEFLASGSDDDDEDEDEDEDEEGDGDDGEGEGEKDGDDNGP RAEEDEDEFGSSSLGEEDGRRRRSKSASPSTGGGGGSSKKRKDRDEDDDDGGDGEGEG QGGNGTPAKRLRRTESQHGSSLRSLYRAGENGSSLPTPGRSDRQDAEMEGTEDSAVEE EGDEVEGEEDEEMEFDVAELEAEFEAEFGKAEAELEAQMAAEETTSGAEGDVGTENG MYCTH_2309414 MDKDAAPTAPPPAAAPQPSPKSPDQTDAGRTQPSILPTSPSAGP SHVNHDGRAVHRVSRKLQKKRRDGAHTPTMELPDRLKDHGDPADGEEEVLRPQGYGGG MFMNMNQSIFGLIAAAGSQADFADRFEGHSSDDDEDTQSPMAKTIAGPKGLQDASAPS ETLSQTTVLPRHGRFRHKSDHRHRRKFSESRLLRSVPGLARLSDKLKSSRSPKSPRSD EHVRLQGDATDTDVPPSDLAPAIEITRTETTTAPVMSRMLEARAQMAARPSFDLERTS DQLSRTADGSGEPGPTELAKKLAQIFEFDSAEEVIQEYPCWLLQHVLLTGYMYITTRH IAFYAYLPRKAHEVARSGYLAKCGKRNPKYNRYWFRLKGDVLSYYRDPQDLYFPQGQI DLRYGISATITDKDKEGVNFTVATDNRTYYFRADSPQSAKEWVKSLQRVIFRSHNDGD SVKISLPIENVIDVEEAHMLDFAATCKIRVIDNDETYAIDEYFFAFFSFGKEPINLLK ILVEDSSSQAQGPSDQTEPSAESSNRTSNSGNREQLPGPASRVSGKGREGVRATLSPV SPLCGSSPSPRPSMDRPRTSFDAFNSFTRRSMDAKESGLNLDSPPRRSRSAGRPSFSR KRDGGHERQESSDSYVQSMGDPSHASLSAMMASASSEDPSASQILKDSDVFHSPTTRR PHGNREAEHPLSPTGARSKQARRDASRPHTTEAGDAEAIATTPTLQSIATMGGYPFRK ANALIGYLDRHSRRMSNLLATESMGYVEKVSGMWKGGGKHYDEPAGLRTDEEDAGDDP ADRANSEARFRAHFALPESEKLQAAYFGHMMRVLPLYGKIYISDRHFCFRSLLPGTRT KLILPLRDIENVDKEKGFRFGYAGLVVVIRGHEELFFEFHKAEVRDDCTITILQSLEA MRYLPESDIPDGVDSEEAQAAVAEHVALQKARNEEFADHDVRLPREASSISETPTILF DDPKASFLNFKPSQSMRITCLTIGSRGDVQPYIALCKRLLEEGHRPRIATHGEFKDWI ESHGIEFAYVGGDPAELMQLCIQNGTFTLGFFREANAKMRDWLDELLETAWNACQGSD LIIESPSAMAGIHIAEALGVPYFRAFTMPWTRTRAYPHAFIMPGQKMGGAYNYVTYVM FETVFWKATAHQINRWRRRFLGLPNTSLEKLQVNEVPFLYNFSPYVVPPPLDYSDWIR VTGYWFLDEGDRNWEPPKDLTDFIDKARRDGKKLVYVGFGSILVPDPAKMTQEVIDAV LKADVRCILSKGWSDRLPTRKDKEGEQQQGGAKVGPPAEEKRPEPELPPEIFQIQSVP HDWLFRQIDAAAHHGGSGTTGASLRAGIPTVIRPFFGDQYFFGTRVEDLGVGICLKKW GAASFARALWEATHSERMIVKARSLGEQIRKENGVDTAIKCIYRDLEYATELIRAKTG KNQTRRQAAASAAAVGTSTDGSASGVADAADPFDDDEEESWTFVSGDAETVDGLSVNS PLKRTVPDLPWALGGLPVAGGAPGEAGTELGGGS MYCTH_2309416 MPPKSEPVISAFERKRLENIANNAAILSGISATAEKILPKPAPP KPKRSSTARVKRESVQREPPRPTRQSSRLAGRGADVEILKRKAEVEAEAEAEKAKAKK MRVSGDLNLGDIQVEGRKWEGGLDGLAGLKGLSVRGAQPGVRTFTDQDVAETADKGLK DLRLRMSGLKLYEKWPVQDIKIVPQRVYSLGFHPTESKPIIFAGDKEGAMGVFDASQE PVKAEDDEDEDAEISDPVISAFKTHSRTITAFHFSPVDANAVFSSSYDSSIRKLDLDK GVSTQVFAPSAADEDLPISAIDMPTNDPNMIIFSTLQGSLGRHDLRTKPGTAEIWGLT DQKIGGFSLHPELPHLVATASLDRTLKIWDLRKIHGKGHARAPALLGMHESRLSVSHA SWSSAGHVATSSYDDRIKIYSFPDASKWAAGTELTEAQMEPTRQIPHNNQTGRWVTIL KPQWQRSPRDGLQKFVIGNMNRFVDVYSADGEQLAQLDGEGITAVPAVAHFHPTMDWV AGGNASGKLCLWM MYCTH_2309418 MSTTLMPFLYQTRTLQLLSRTGFSTPAFRALFHSSNRTNHPRER PRSVKPARGGDDIPFELPEGYEPPVTRTDAERDAESCIRSTITPSEQDVFSRIFEEIA SRNKAGSPALKPSTASSPKDSQARASDESEAGPLSSGLQGSKADDFGGKTAEPNAESF RNTVNIIVQDAAEVQSKARRQMHKPFDPLHPLGQTSAATEWEKALLRFPPSLREAARM ALGTIEEEKASQKVGTAASDSDPNLSEEHPAGQQIDLALDPLSKTVQNEALRREERKR VDAKMRAAKSDFELWDVLEEEVFPLVHRLGIDEDSTKAASKRGKNGSSSKRKRGDAAK LPLHIYGPLYPSYLLNALTLFDTQFARSSPLALHILPRVKELGPASYVLGVSTPFYNQ LARVLWNRYGDPTAVFNLLEEMRVAGLYCDEGTRLVVQQIETFLASVSQGRWGPFLRE LASLPEYEFAVLPRIRHWLKTIDSHIAEKRYELQE MYCTH_2309420 MVRRALSHRRSSGLDLKVDTAALSPDYNTIPIMIFTRTARRESL PHSRHHQLRRSSSVSSRSTVSSSSSSSSSSSTSSSVARLGGSNSDGGGKRGTDSVYDG GSVIEDEADETLDEENEKEGDLEVAHVG MYCTH_2309422 MGSNPAISFGRQAARAALDAAEERFAANNTLSKAQHELAIGSLP GGNTRTLLHTSPFPLCMKQGKGAYVWDLDGHKYLDLVGELSAGLLGHTHPQVQATIRR TLEETGVSLGATTQHEQALAAELCARFRLARVRMTNSGTEANLHALAAARHVTGRRRV VVFSGAYHGAVLSFPNDHAGDAGDAAPAPAPNTVDRADFVVVPRYNDLALARRVIEAA DDLAAVLVEPVQGAAGCIPGGREFLAGVREAARSKGAVFILDEVKTSRLAPHGLGAEL GLGPDLVTLGKYIGGGLAFGAFGGREEVMRAYDPRVRGSLAHSGTFNNNTLAMSVGRT VLADVYTPDVCVRFNHKGDRFRERLAEVARGSRLSFTGRGSLIGLHFTEDGTEEITCR EDVNRKQRTDLRDLFWFEMLEAGFWITRRGVIALILETPDEELDRFVEAVKNFLERHR DIMMVE MYCTH_95610 MARQRQRGSSGHKHGNERDRNQQQSDHRGGYDGRQDRTTYYKNY YECGGGPGNAHQNMGHDQQQQQDTRNGGRRPQNLHRGQHHREHRRHDRDQGCEQGSWQ HGGRGEAVKGRRRVRWADQDRNDQQRQPNNQREERQPNSQKCPDSDVHRQQTQRYQYP LERRQQQQQQQRQQERTREMREYLDQDELDASDDEVLEDAPPLQEPDRPNYGWEHGWY QQHPIHTGIFGLRDQTVQAWQWQQEEQQEQQQRRRRRHRGRQQPSGYEDWLRDRDGIR NKDVADWNRGQRRQLEEQRESLCHLYCEAEKAKAMLQAAHEERQRQCQQQQQKSRSRP WFQQEQEQEQQQQQQQQQQQQQQHLLPILGQQLHTPPRTPSPSPLPSPPSQTPVVAGA GAGAGAARSSPEGVIGAAELTHAQKILHLLVYTTQLRRAVGRTAGLVVGAHEEAGLAA AAAAASFFDGLVAAVDRVTDRLDALWRAAHRADHARGGHAGGDDGYGFPLDGDGIPVQ TEFCAAWERIVDGYGEVVRREHALFAAEDPLGGGGGRGEEEWRDYLAALGVFGDRVGA FGERLRGF MYCTH_2129393 MVQNGGDFDLDIDMATDNVTEVAATSYEDKDSDTDSLGHNGDGE DEQAPYSELVTVNPETGLAKWDMAGEYAPPVSILLALR MYCTH_2066299 RLWKVILILDLKSYAFTIKKVKYLSYIIEVGAQVYLDLEKLVTI CDFLGFANFYRDFIPNFSRLIELLI MYCTH_2309423 MAAEERVLRLRKQKRMWFEKMMRAIARGIDSVEELERVEREEAA AAVAAEASGVTASSSTPSRLSADFGQLWDAVYPEVPLDPSLLADFGLVSGSSLSVGQG SSGGTAEVSRGNSGS MYCTH_95606 MVQNGGDLDLDTDMDTNVTAEQLLAQLGQLQQRIQELDQRDKAA QARIKELENREKYSQKLDVIEVAATSYEDKDSDTDSLGHDGNGEDEQAPYSELVTVDP ETGLAEWDMAGEYAPPVSILPALRQWGFTVTQRRDGSWTTDTQGIERPGPNVLFLQER IEWYRNEVFRLNTELRERDGRLIRLAQQSDGMRDEMRELRRVVETIKGEQPVTYDGPD SYAEHFDDQQLSHDVRNPEYQFMRANRGKDERTWESYWEKHSYLSIGVPTAHVQWEGF GKEFQYLPGDATRLHPRHEAHAQVPWFQCVAHECRYHFRDKFENNHWPTRQENGDGGL CPVEWVYDAGNRAAELLWKIEARDLESITIVPRRAWPRHCGTGRDTWDSCWSNDCLYH ADEKKLRIRELQMKLWHARRKAERTQWWEAASTQWLTEMSTIDEAAISRTTEEVSTDL GNGSGPFEGPGNH MYCTH_2067168 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_2309458 MRFTSVLTAALFGAAAAAPAAPAAPAPQAETPRIREAVRITDFY ARKGPLNGTLDGPVSSVSFKITPVRGNGTVPVSCSATAAEGEKSIRFKPTAYPCEDSS SHWDQYSFEVSRPKPLDVFDITVIHKAGPGFGARGRASVPTYCHAGGADSLVCGQVAN VTVGLHR MYCTH_2067982 MRASVLPVLIAISPALAGFDTWSPPGPYDVRAPCPMLNTLANHG FLPHDGKDITREQTENALFEALHINKTLASFLFDFALTTNPKNTSTFSLNDLGNHNIL EHDASLSRADAYFGNVLQFNQTVFDETKTYWEGDTIDLRMAAKARLGRIKTSQATNPT YSMSELGDAFTYGESAAYVVVLGDKESRTVKRSWVEWFFEHEQLPQHLGWKRPAASFE EEDLNSSMEEIEKYTKELEGSNSTSGSQKHRRRLPRRRAHFGF MYCTH_116679 MSNNPDIYHWLSNLPDFYRVDRSETTIINPNLKRPRELEMTTPP SSAARTGSLSPGPKKRKIDDSRPDSDEPDPDPDATPRSGRAQRPATTLSMRIAFPPPS SLSHSSAASIVSDRQDRSSKALYPGRPPPDSVYRKPEGGNDKDGMGQDEERFFDYLPL SALFAGTAEGASFQARIAHAEFYKVRSIKDHARECLALRRAEAAWNAKVHEPLLELAL SRRHTSVICENATSARILPCFLPCLITGEVAEGKMVDFVLAPDLGSESELDIAIQNKL VELAKQMKSSGLVSAQLCINQTDYPPLTRSPAAVTIETKVTGASLEEGRLQLGVWIAA WHSRMEMLGVGGGKPGPQLPTLPLVLTHDHVWSLYFAVDRLDKIEVFGPMHIGMTDNL PNIYQLLTVLRLLGAWIDTTFRSWVINAFRPQT MYCTH_2129400 MLGDSSNMRNPVTGADVTVAPRGAVLLADLPSPKRIPPLEAGTS LLVLILALSISRHPIIDDLPP MYCTH_55576 MSIPATQKQWIIQSAGKGLDELAYQDAPVPKLGETDVLVKLRGA SLNYRDLLIPKGQYPFPLNLPVVACSDGAGEVVAVGPRVTKWKPGDRVVTLFNQGHQS GPLDEAASKTGLGGCIDGTLRQYGAFDQDGLVRMPANLDFVEAATLTCAALTSWNALY GLRRLQKGETVLVQGTGGVSLLRCRCFAKAAGATVIATTSSAEKAEVLKKLGADHVIN YREDPNWGETARKLTPGGAGVDHVIEVGGENTMTQSFRSIKYEGIISIIGMLGGFQPK DNILEVLARVCTIRGVYVGSKAQMEEMVEVMEKHDIHPVLDGKVFTLDTAKEAYEHLL NKKHFGKVGIKIE MYCTH_54601 MRAKRAAIALFLAWPAITRAASLVSFPGNTAAIPSWELQSSVEA GTDLEGLSQVGVDTKSWHHIKTWRCTLMGCLIEAGVYNEDQLFYSENLRKVNETQFLV PWIYRNEFSLHPGPGRHFFLQTHGISSRADIFLNGKRVANSSEQAGSYVGRIYDITKQ VHRENALAIQVYPTNYYYDLALGWVDWNPWPADNGTGVWRDVEIKQTGAVMLEPLRVV THLGPTLGDEPANVTLKAQAHNLENYTVTITATGHIALDPHSDNQQHHHHHHPITWHR TYTLPPLSTTELALSATIADPQIWWPRQWGGQPLYTAALTVTTRGTTTPSDRSTATFG LRTVEARLNPTYNDTTFHVNSRPFQVLGAGYSPNVFLRAPPRTAAADYTATLQYVLDL GLNTIRLEGKDEHSELYAAADRLGVMILAGWECCDKWEAWSYNGDLAIDPVPVWDDAD YAVARAAMAHEARMLQPHPSLLGFLIGSDFWPDDRATAAYLDALEAADWAAPVLASAS KRGYPERTGPGGLKMDGPYDWVPPGYWWDTEPTGERLGAAFGFGSELGAGVGTPELGS LRRFLSEGDLEALWKRPNVSLFHMSRETSQFTTRVIYNAGLWNRWGAPASLEDYLMKA QLMDYEATRAQFDAYTAMWNAERPATGLIYWMLNNAWPSLHWNLWDYYMRPAGSYFGA KAGSRVENVVFDYVRRAVWLVNRSLDKSGTRRVQVDVMDKHGKVLYKDTTVTTTVPNT SKDILSLAGPLGNITDVVFLRLVLYDAQRQGHALSRNVYWVAKEPDVLDWDESDWYFT PVTSYSDYTALNNMAQADVTVHNVWHGSGVDMTLENKSKVPAFFVSLTLVDVHGNEVL PVTWEDNYVTLWPGEKLTLRGRSMGVDAKPWEVIVRGKNVEAKRVRHRWHV MYCTH_2129404 MILFELDRLKSSIDEALLASVRPSQGFSHGISSDARVARNLRNL AEAAKQFHSAASSTASTIRDHSGSSIGNFPAYRRERVESFIRSARELAAGSETPPSVE AASPPPDPVVRIPEEVENDEDDEAEFEVLFLNGLEDLARDSIRRTEFQKAIGLLTEAV RRQVILTMLHAVALGCLSTYRFDSALSTCKKVIKAQKRWARATCTVRRTVQGCSETTG LLATIYEMQGDYIAVEIYRPLRDDIPEFSNRSPTASMPGYLGFYGPRGSPDRDDRAQS PGKRNPSLRRNLTAIGGDVSPSPLLRPSRHQWE MYCTH_2067387 KAPKTYNTGDSPVVTDLSTSPAVSSLSKGERTGSRILYCLWSYV LARAR MYCTH_83193 MAPHASSPSTSSRPVTIQGGKDTGTLPFDHTAPAAPVTFQRRQA TGAGEFIAKPAVARANLAVSTFAPNGSPESKNGRMRDHTVLQQHVLFWDRDQDGQIYP WDTYVGFRDLGFSILFSLLAVVIINVNFSYPTRLAVSWWPDPWFRVYVGGIHKAKHGS DSGVYDKEGRFVPQMFEDIFSKWDVHGAGSLSAGELWNMIKGNRLAADPFGWGAAIFE FGTTWLLLQKDGRVSKEDLRQTYDGTIFWKIKEAREQGKGWNKGFGLRDLLLLGQHEV KARIV MYCTH_112080 MTREADRKPKRRFWLLTSPRTASNLLVKILNLDEQGVRPGPMRG GYFFLSAALERLNRLETPIGAWTKEDREVVNKAHQTAFDALQDYVEAAEREGQGVFVK EHASMMTDPFYEHKYTHGDDAVSGEPGMLVARGVDRPTRSPLNLTALPDEFLKTWHPT FLIRHPAVMLPSLYRTTQADLGEEFGNKRRREEGPLKPETTMKWIRTLYEFYVAHFPE DSPWPVVLDADDIMKTPELVAKYAPLVGLDPAKLRFSWERVPEEQLKAMPKASQVMTG HISTTSKIDPSKVAGDIDIDTEAAKWRAEFGEKAGRNIEKWVRDMMPDYLAMHSKRLR LD MYCTH_2129407 MGVGGSRRKGYERNAVSQETRAEEIERALDPGNKKRFVSVQSHP PTGGVSGADSPIPGSAERSFGRARNSPRPTTPDPCIGHPTTPPQQLCKCFATKQLSPE QAHGEESSAWIPRDIRGRPLSNGSTHSVV MYCTH_95595 MGQPTGLKRPSPRRATRFVIRNASSMKLPQCFDRGGRRVARPAW GFSVTESKLIHTRSLKTLEAISCAPLCCFLELGRVWIAARRSPHDTSQATMNPTNHPL NLIEYVWPCGHQENVEVQPAPGIPFVRGQFSLPVAKSPEEFTEEERIGVPGRRKCCRC WATSSLFKPLEACKECDHPFAGCHTCMIVDSSGTREIATLERRPIGEFDQTPEYWRCG TCEHVNAFDRDGPINGFLAPMVPDYMEDMECRKCGGPFTEDSWVINPFWVYLGTWNGR VVAEGGPWHWSLEWHRDCAGEQHTKDDCYGTRKNGRRRRENPCVKRHFAAEAAQKKGP EEPSAKPPIPPVFVIDTDRHSLPPPSAGLTPFPEDHEYGDSDRETVPEVRQEGHLHPD YQAVGTDRFTIGDYVSDDYEEDNGEYQGERGLEDTGDYQEPDLGSYYDAPAGYEEVLD ESERAAGDERPSDAELLHHPAQGDNFAANDHEGDSFLPGEDEDFTEAESEFSYVDDGH TTIPYNTYAILDTPAAAAYNPPGMIHDLSWDSEDEEDGDSEAEDGLEAGEEDAEQQSI EGEDRERSLEGADMMDGEVVPEEEERQLAEEEGEGVPEDGEVPENDPAEEEAALEDGE RGLGDDDLAEEEELADGEGPVDGEIPDGDQFDEEPLEAVEDGVPDEEVLEGERSLDVE EGEFAAEDGVIAEDGELGEGGFPEDGDYAEEGTPEGAENVAEMEEGMEDGGERGLDDE FLPEGEAEFPMQDAEAEEFLSGDGEAPLEDGEFPPEGEREFLPEEEGEVPPEGEGEFL PEEGGEFPPEGELLPEGEYPPEGEGEFLPEEGDEFPSQGELPPEGEYPPEGEGEFLPE EGGEFPPQDELPPEEEYPPEGEREFLPEEGELPPEGELPPEGELPPEGEIPLESELPP EGEFPPEGELPPEGEFPPEGELPPEGEFPPEGELPPEEFPPEGELPPEGEFPPDGELP PEGEFPPEGELPPEGEFPPDGELPPEGEFPPEGELPPEGEFPPEGDIPLESELPPEGE FPPDGELPPEGEFPPDGELPPEGEFPPNGELPPEGEFPPEGELPPEGEFPPEGDIPLE SELPPEGEFPPDGELPPEGEFPPEGELPPEGEFPPEGDIPLESELPPGGELLPEGELP PEGEFPPEGELPPEGEFPPEGEREYLPEGEDNFPVECDELPQGEPFMGEEFANGEQFA DSEQPLNGELTDGEFPSHSLPQDNLAAGEFPGDGYADGGYPGDGERGFDENLGGQPVD DGFVDDGLLNDGQPPGGYDNSYPADNYAGDGYPADNYTGDGYPADNYAGDGYPADNYA GDGYPADNYTGDGYPVENSNGVYPTDNYNGTAYPAGNYDGSGYPADNYDGPPPIEEPP FDERLPFDEPLPLDDQPPINESPLNEGPPLDEGPPFGDGPPFDSGPPLDDRPPLNEGP PLDNGPPFDEGPPLDDQYDQLPINESLPLDEGQPFDEGPPLDDQPPINESLPLDNGPP FDDGPPLDDQPPIDEPLPLDEGQPFDDGPPLDDQPPIDEPLPLDEGQPFDDGPPINEL PPLDDGPPFNSGPPLDDRPPVEEPPIDDGPPFDDRPPLDDGPPPDQAGLAEEPPLEPQ YDDRPFTNDNPGYQPPIEDQQFVDSGMANVNDHQMDGGYPQDDLGQTGGIRDGDDGIY SGAGYGDDPVDQFTNNPPPEENFPPGPGSSIQDSFREQTLDNFDNPSQDFQPQDQYPQ DNFDNPPQDFPPEDHYPQDNFNNQPQDFQQGDQYTPPPVDGYNDQQNFPPEDQYPQDN FDNPPQDFPPEDQYPQDNFNDQPQDFQPEDQYPPPPVGGYNDQQNFPPGDQYPQDNFD QHQDFQPEDQYPPPPVGGYNDQQNFPPGDQYPQSPIQDQNNYEPHHYPFDDQTQEPPM DSYNTRSQEYLPDGRFQEQPMEEYHTRTQEYPVEEQSQGQSLGNNLDTPYLRSSESQH QPGFEDKYNQDPRMPPGTEPPAQYYYPDNPTPYQPNEPMEMTMNSNGGQYDEFMTAAQ GLRRNKGHKKDRYRWLLPVMVTATLGWGVEALEEQYHAGNIKASGFSFKAIAAKLLGS KTKKDHSGNRDAQRFGAAGGMAREDEMNPTRPQETPDLGDEMSDPNNQDHTNDAMQNA GPTDQDGEWEDIDENEPVDSKKRKGGLFSLFRKRKKEPDLEAQDQEFLDGQELGISND GLDANAPLGGDGYADEQYPADQTDIHSDPKAKQKKRGFLTTLFGSKEHKTHGAEAMDA GDMGQGMNQGGYSWTNENLDMTRSPDMARRERMSQYGSDQHYDGDGSQNDNPKPERRG FLATLFGSKRPKRHGQDIPWSTEEYPTETLPERDPYGADTMPQEQYDSITHSPQMTQK PKRKSIWAALFGRREKRTQSDEIADSAMDTNRGDREYPMGSLPGEQMYSQGSTVPHGD NGNNDPEWVAQNPEKKGFWATIFGSKKSDKSRPLGNADSEELNQTQQRKGGLFARAQT ASGQNRAERRAKTRKRGFFSRLFGRKQQKDQDIEMGNLNDSDEARIGHVRTGSPQVHQ GESGARRSATADVIGNDGFEDVPDKKRRKRDKEDRKKRSQKERNKKQKMGLFHRASLA SSSNTGNKENGNGKKKTRQSMVHDENGNVVAQPRGTRKSRAKVRYAPTPPSKNMHQYV NRPKLNRNDTPSNDPCVRVTT MYCTH_95594 MYSTSLGPGSGSFFLQSSVAYDFLTRSKSHWPRRYGHQPWLYVP RHYRTLTRRTLTRVRPVAEVTKGMQQQPLTKAIQYPYMGMMSDGREFHWFEVVGTTFG ALHVPYMRSTGTVCNGSKQYRAPFKRHVAHAVALFQLPTELRGLLAGRRKRMRKKIGY VALSGCKKRGQWLQTLYALPWLQSKVRCMSNRTCLAGTASELPERIITRSLFPPCSRS RRNRCCSRSFASPEKRYNLPFCQTRFLCFFFGQPSYSVASSPSSISIPPVLASLHSIT ALQTHSCPWFLPPSPAVVPHLSPLFLPFRATKMGEWVKDHSSVLGEGNDDDDLFEFKD IPPPSSKNSRRCSYTPIDEDHLAALFAPSSPAPSAGFENCSTPSPPTSHLGNKGEDKT DVAAILDAVSAARSRVLEVSVGIHLSSLEDDNDADENELEAFFAAASSTAASSTAASS QGLDCPSPFALGPSVDGLTDDDVAISSCEPADPAPVLPRVPTPESSTSVPESSDEELG EDALAALFEAATPTLTPVASGNLDTPLLDSGAHEDGTQTPTTATRETPAPAVPTLAAA IEAVAALDDYEARKAAISQMIAAAKAAAVANNNINNNTPTPPTSTTTTARRAKKTKEK PKKPAVLLDKRGLPLDKKARRAELRRRERERPRTAAETEATHATAHLFASSSWRATPR RDKRKAWMVEAEAAAGGGWLEEQGGAGVPPAAKPPTALSAAAANSPPVLPTAEEDERC AKRRATLQEMRSRGVEIVDLLLDDSD MYCTH_2022670 PPDGGLLAWLQVLGSFFLFMNTWGLVNSYGVYQTYYQLDLLRDK TPSQISWVGSFQACLLLIVGVATGPLFDLGYFYLLLWTGSILVVLGLMMTSLCTKYWQ VMLAQGLVLGLGFGCLSVPSVAIVSTYFSNKKAFATGVAATGSSIGGVIYTSTFSRLQ PRIGFPWATRVMGFIALAGLAVACAVLRVRVLPRSVRRLLEPRAFLEVPYASFTVAQV VGTMGTWVPFYYVNSYAIQAAGVDPDLASYLTSILNGTSTLGRLVPNYFADRTGPFNI MLPASACSALLAFSWIGIRSPAGLVVFCALYGFTSGSFVSLPAPAIASLTPSLSVLGA RIGMSFSLTAIGILVGNPIGGAILRSGSGSGWVGLQCWAG MYCTH_2129411 MLRVTRSKAVLDGRGEAAIGTSGASYRGPGTLHGEKYGSFRVRS VNYLWDLQRREERYQTSYLRDLRVASGCTRALTSRSAPLSPPSPPTVDLEHTKSPYPG YRAAYNVLDYSVAVVCAGRPDGAQDLRVETSNLGATWAPRARSKMTPRCSMRV MYCTH_2309470 MQNKTLIFKKIPTALPVPGEHLVIEDRGFDPAAAPPKGGVTLEI LYVSFDPYQRGRMREGNIKSYAPAFELGGPITNGAIARVLKSDTDAYKAGDIVLGHLP TAEYAVLDQAGLKAIQKKVDNPHGLPLGLFLGALGMPGLTGWSSLHEIGQPKKGETIF ISSAAGAVGQVVGQIAKREGLKVIGSVGSDDKLDFIINELGFDGGFNYKKERTSDALK RLAPEGLDIYYENVGGEQLADALDAMKDFGRIVACGMISQYNLPREKQYGVKNLIHIV AKRLTMRGFIVGDPGFADKYVKEHQEKMQQWLAEGSVKAKLHVVEGIDNAAEGLVSIF EGKNFGKAVLKVKDE MYCTH_2112409 MAQNGTNLHNTPASCCQLHIKIPTPTPSEDADIGDSNAWLAQHP NGFLIMRSLKAHHGFITNSMLIRSIDTGELFVNKRFRRFAPSISDDGTGIMLDGRFTT LHPPELLFSTLEDSCVEVTLPDEGYFPKLYGYGFPFGQPGQRRDEDPSPWDIYSLYFK HYNGGNIGNLVEMYRDRRSGAPIPEPFIWHVMEQLSRAILFLSCGVAREDLDDLLSRS SYGEEEDEEDDHPLKPGWKPIAHGAILERNVLLHFPDGNDDPLTRCCFPQVILEGFDK ARLLCRESQHPRTVRNTAAGESSNDNPTPTTWEDVQLLGDLIGRLVTPTCPGEEAGRH KPSDSYYHSEIPGRRTRKPEEQHPAYSTDLIGLVQAWQNRRRDKSRQPSRHVWWADLP NRKHIESANWLLRHVLPKAIEKVRQYRRLHGSILLGNRVEDVSWVMPDPALANIPFSV NNDIGQPDAALDLVRIRLRYFLGPYVPVWHHYDAGEVSGKKPVEGESELYTIDGGPGK TEVDVGDDDEGDINWSDSGSGSSFETSDSGPRQPKQSASEASKWHIRTKGRGVPPTAT VLARPSPKFRTSVGMTMRPKNTPPPPRGRKPRVTRRTGVLPTRRSERIAAMKRKEPST REQGGWVQDQDRCEERGARRRRRR MYCTH_2309471 MSPSRLLARQTALAAAAAKGSVAQQSPAGYRTFASVSSSPVSAG RNHKVVVVGGGSAGLPISHQLLRTGKFSQDDIAIVDPAEWHHYQPGWTLVGGGLKEKN ELKRPLRSLVDPKLKLYPDSLATFHPEDNTVTLGSGSKLTYDHLVVAPGIKVDLASIK GLPEAVSNPDSNVSSIYTFDTVDKVFPTIRRLRSGRALFTQPTGVIKCAGAPQKIMWL ALDHWKREGLYRPGAAGGSPIQIAFATGLPAMFGVPKYSAALEALRQERGVEGLFAHD LIEIDGNEAVFALPDGKGTVRRPFDLLHVVPKMGAHPFVRDSPLANAAGFVDVDDATL RHKKFANVWSAGDASSLPTSKTAAAITAQAPVLVANLVKALEGRESQPGYDGYTSCPL LTEYGKVMLAEFKYGGVPKETFNKIGWDQATPRRAFYHLKKDFFPWVYYKSMVKGTWG GPKGWIN MYCTH_54667 EVYRLTIMLVYLHRVTENSRSQWLRTQQYIDRAYGDLAQLGSCD RQLPVFILGCEARSDEQRAVVLDLIARTEKGTSSRSFNYARELVKAVWVQDELASREV KYWDKLSYVLSCCKNLPTFV MYCTH_2309474 MPSRRYNTTTTTTTTNNTDTTTPQAQEPRIHSIFEPQTSTWQYI VADPATRAAVVIDPVLDYDKTTRAISTTAADALLDFIRSEGYTVSHVLETHAHADHLT AAFYLRRRLAALQGQGGRPGGDQGAAPAVGIGRRIGEVQGLFGKRYGVACDEYDGAFD LLLDDDQVFWIGQLPAQVMHLPGHTPDHVGYRIGDNVFCGDSLFHPALGTARCDFPGG SARALYQSARKLLSLPDHVKVWVGHDYPPEGERAPEPWTTVGEHRARNKHVRDGVTED EFVQMRNARDKVLGAPRLLHESLQVNIRAGQLPRADESGMRTLRLPIKVPDEGW MYCTH_2129417 MLYQLAGDCRFVEKQPLDAAPIMNDNPPFSVNEAHLGGVPTPIP DTPLTIIFLVLFTLALVLSITFYQLDRTRLRPDDYSDDGPDDDPDDHRHSSLFRRLVP PPLLPALRAGFILTRLAALATRLVWTYHPGTRDLEMASTILAPAGTVLPLIANVLLAR RFLRDYAVFGPHPAVVRSARAAVFCAVAALVMAVSAHADSYFTREPEELDRGRSVWLA AECIRLAVAVLAPLAVLAGGWGLPAREEVERDKPRFAGRAAMICGVGVLLTLQQGLRT GVAFEGRGPESQAWFLHKAAYYCFVDLIDLAIVCWFLVARMDPRFRVRRPTALMKDKE SPDGAGTMVPAWSESWRDRVNTEMEVFGNSG MYCTH_54709 MAPGADGNTVYLTEQEVQRIQTTVKENVKKRTELRGHAREPRQA KDAISQATGAALMADMGSMSMDQSKESIPALAVGQPYPPCTAPLKELQPMKLADLTLE THHRGRQLSVKRASPVVTLASRSWTMVQDDAEETERLEIVLHKTLHGKDVLESASTFI IKEPYFTLTEEGEPTLRIDHPSDLVVLHDAGNDQPTLPPDVSPDEIEKRALAHKQKGN TALGKNDLPLAHASYTAGLALAARLPTADLSRDLHRNRAHVNLLLGQFDEARSDALAA LIPTREEGGGGAEQQKNEAEAANDDDARVAELNAKCYFRAGTAAYSLARFAEARDLFE RQLRLMPQDKGAAANLARYGALAAITYDVRDDRIRVAPVGLERVVVERAVKNPSLIGD LMDLYGDWDGGEAKGVRETEDGPVVDVFRVHDIVARNGFGVDSRDGRGGGGGPGQGGS GGGSGGAAGLWIRAAYFNHSCVPNTEREFIGDLIVVRALRDIAAGEELVQSYDVTGDY EGRREALMTTWGFECNCALCEAERTDDVAVREKRSKLAKEADEFLKTVGTLASKRLAI AKARRLVAAIDETYDDKKYRDLPRLANRLLQQWLSAANRQL MYCTH_2309477 MRKGAISRFFSRNQMLKLEGEVLDMAQMVADKMLSWAGKGPFDV KEAFNCFTADVISQYAFGEPMGFVAQEGWEPNFATWVKSFLRSAYMMRHNVVARKLAQ VMPIMADYLGEDVKTVMRQMNVVIPGYIRAALSNPEKGRVFAELVQSDLLPEEEKTMY RLSGEGFNFLLAGTETTAATLTVITYYLLSQPEMYKRLMEDLEGLDPAKLKWTELEQR PYLWAIVHESLRVMPGVSHRSARIARDEDLVYRTRDGKKEWVIPRGTPIGMTSMINHW DPELFPDPDEFKPERWLVDGQPNHKLQKFLIAFGKGSRSCIGENLAYCEVYLMAAIMA IRVLPRAKLHETTVEDISYDHDMIVVQTKKGSISVRIKIE MYCTH_95584 MTYLDEEASAVDGFQVINTSFRTVREEEKRQTNTLKRHVYTKYW NPLMTTNQPPRASLDKGLVIPVLKTPKAVVEKVWNLLGVSPQDWPVDGQLLMPARIVE ECRSVDRTASEWLPLPHRLLSQVVYK MYCTH_2023927 LCVVLSVIVFMRFLGRIRGVGIGADDILSLAGFVLSGSTIGLNV AVFTSGVGHDFDQTSPLFPALAGNMEFILKVTFIFVLIYIWTLACFKLSQLCFYYRAF GVSLKIWIYTFGAIVAAWGLIFTFIFIFLCDPVKQQWTVDRVGHCMDQILVLKCVIMT NVLTDLMIIVLPIRTIWSLQMRKTEKFAVISCFAIGLACVLIGIVRFWQIFVIDLARN LTGTSLTTFMLCTIELMLAGICINIPMLRPFYLRWRQKTTQSGSRTEGTADITGSKSK YFATATGKKSHKSHKDNNTWIEL MYCTH_108475 MAAKEKAPEELAKAATLAHVPGGDEYEKMISGMLYDPLEKALAT ARFQARRWCHQYNNYFPDDPTAGFESLAAERLRMLRDFLGHVGDGTFIEPPFRVDYGC NIRLGRNFYANFNLTILDCAIVTIGDRVMFGPNVSLLSATHETDVSSFSGPAEELSMA TQTVEVQTELVEIPSEPRSENRTSTQSDHDGAPSAAKYDRATILRSISAGFSFFVAGV NDGSMGPLVPYFMRQYEINPTIVSVLFAASFFGWLFTAVTNTHICQYLDLGAMLAIGA ASQVIGQALRSWGPPFGLLAFSFWFITVGQAFQDTHANTFVTKLPSAHRWLGFIHAMY MAGCLVGPFVATAVASAGSVSRWYLFYTFPLGLGVANLVLVFVAFRSTVGRLPRKRTV TSSSSPHAAAGEAEPATSRNKAAATLIKNTVRMPTVWLLSFFFFFFLGAAVTAGGWLV EYLVVVRGGELAQMGYIPAGFNGGCFLGRLLLAEPTKRLGERRMILVYSVLCIGLQLL FWLVPNIIAASIAVSFLGFFSGPFFVTGVSVASKLFPPAIHSTALPLVFVIGQIGGSV FPIVTGILATHEGVKVLQPILVALFACTALTWLSIPAPKSTANTALHQE MYCTH_2066181 QTPAILPDLRRKIASAASRRIIRSEAVRPRRPSIDGTGSTDAAQ QGADADNHQTDTPTHSLFATEAAAAAVAAAHHGLQALQAAVAAPAPARAANPVSSQHA SLADASYLMTGPNVGQPQLAGSNQKANPNPTKATRLRRACDMCSQRKVKCDETQPCRP CTDLGVDCTFNRVVKRRGPPNKHAEAAKAAKQQRLEPNISPGPHNAAETLISISGAQE AQHILDAESIAPWPVLTLLIDDFFTYIHPLAPFPHEPTFRRSFSAREDRTNRGFLALL ASMIGCLVASFPRTARLHLKTQQQSMHMYPKAINLIERCRTVALAARGASFYSRDDIT VYDAATSYFLGLAAAYTMQWKVCRRFMAESMTFIRELGYHKPRDMGSSMFGVTYRGPP FNHVEDQLGKRIFWCLFLGLRSMYQLGTHHSDIVLPPPTPGEPYPDLPVEVDDQYILT HQILGQPEHTLSLLTGFNYGIKIYMTMNGLVSVELSYGISTLPFHDQKAMLDESLQAV KQILDGLPRELTIDLDAGSSSKPSHNLPNVMPTVAQAGVFDDAASALHYYPIPYAHLQ PQQHQQQQQQQQQQQQQQQQQQQQQQQPTPDFRHKPGAQLERRRLLQYEIQKANIYAS QISTRSYYVERKSVAAAEQADPVDANMTAERELIVQHLLTVLASIPQRSMEPNGASLI NKIRQVASTLVNDAPERKGPVAVKAQESLSKFVDILMRLERIPPAAGGPDGAVVGLAA GEDEEQELRTWADLREHQVRFLQGGGFMGLP MYCTH_95580 MDFSAPTHQTCDSIKDIWGSRTPYKDEWPTSWKATYSKDRLTYP TVRTNGRLERVSLDEAMEVNIAKPKELNGNTRLCRVTAAASIRESFGYDRQPGSHTDI DYTECFFMPPGECKLDLDIFLECARRMGFKDRDGNDLLPWKTPEEVFEAWKNASAGRP CDYIGLTYELLTGGSGIRWPCNERIPRGTERLYSDGPFPTSIESCERFGHDPKPVHPT AGPTFDRTQGPPFPHETEGRRCKTPCPEPEVRVSEKDAAEAGVSDGDMVVVRSRRGTV ELRCRVDRWDPISKQPMFKSDAARLSRLPPSAAATPEVPYIREQHSSALERVGTCGRW MDAEINDLRIFCHGVDGG MYCTH_103134 MIASPCVSRPGKKNGNSPRVRKRQKPIALTVPGQQIAKPIVWPA ELTRQKPARRKISIPQTAFEQLPPLPDAARGWEAPAHILPVSIPQRPADSSAASVLAQ QELLRHKQCLVYQEVSHFLYSETTFTFAGFGELDAFLDWISPETALSIRSVTFIAHML PNGTEHCKELIGGNYFRGAGRDHVALFRRMPNLRKLDIHFFPSAMLAFTTQFVDMMKP LEELPRTVAIGVVLPRVYYKQDRSGDGLPFVGRFGAGASFYSVARPVIFAGVVATSCE AYRKFF MYCTH_95577 MSPGQPARIISGGEEPLPCVSGGGGSSGGSGGNGGSTTRTWTRP ACSFCLAYQAVKNRERVRRILADVCLRYDEAEALLARAARAESDEFSWGVVRLAGTMI QQVGRQLDALSDTVASMGGVDGATD MYCTH_2309486 MIFDEQDLCPVGSDSQTATTTPDLFDDLSASVSNPGLSLSDTHG LNLDCAPPTGSALGRDLCRSSCCLATMLEMLNHLFPNASCRCTFAASREGSDDYFTCH GRTTDVVLSENRRIIQTLTRILKCECSHDEYILSITALVVLKVMAWYSAAAAAQDDEP APPPTPTPTPTPTSTATPASRPSPTCPMGSQHPRPVPSPSPASTEPATPPTAIEPLHH PSGGTRSPVACSACSSRAPLAERVVLQQQQHGAENHADDGGDDDDDDEDVDDVDDDGD HRHFHLHYHRGRPPAQLVLGELHRAQRLVNALAARLKAVRVRATTTTTTTVPTAGTGA GAGMRTGSGIASSGDGGSALACEGEGGGATGGDENLDRGAGSAAKRMPPLSGPTFGGL EEDLRRRMRVLWSETVDVLRRG MYCTH_2309487 MAATFTTPNRPLTWLITGCSSGLGLSLARLVQSKGHNLIATSRN PARTPDLVAEVEKAGGKWLALDVDDKDSAKVIENLEAGGQEIDVLVNNAGYSLHAPAE SFTEEEIRAQTETVYFGPYRLIRAVLPHMRKRRSGVIVNMSSGAALEGRESMGIYAAA KAALDGLSRVMAKEVAPFNIRVLTVQLGTFNTNMGNATILGKNPLPEDYKGSIADQMM QFMASGKFQGNGDKDKAVKAVYEVVVGEGVGAGREAERLLPLGRDLAARIKTVQDYYA HAIEVFGDICNNVYRDAN MYCTH_54815 MAFPYKKVLLIGATSGIGLEAANQLVAQGVNVIAVGRRQDRLDS FVAANADAPGKASGVALDISAIEQIPAFAQRIIDAHPDLDCVFLNAGIQYVMDFSKPW TVDLSKIQQEITVNYVSMVALTHAFVPFFQDKAAKQEKVAFIYTTTSLMSLPYPMVLN YSASKAALHSFILGIREQFKHAGQDVGVLELVTPLVQTELHNGQPGWGPDFNPGMPVD VFVKAALDGFVAGEETVAVGQAKAVYDEFEAEKGRRVGPVWAGIRKAMGKAHTFD MYCTH_2112425 MTAFGLGSPSVPQHPLASPSIESQLAAAQLVGDRAYTYENLRKY YEHSFNFGGEISSARLSNTTPSFDRADVGTGGQVPISFPLFAQSWSTWAAKGFEAVGI KPITALLHGELLGSTWQIDSIDSSTSPSRTRKMEAAIAQFNEDRTGPLASPGGDFYAG ERLPAQLKSRLSSETKKGPSVQATVYVLAEKIADDNIKNGN MYCTH_2309491 MATAFNPTPYQEGESLVLHVCESYSRNLPSRLTATISEVLSETM SVVLAVTIQTRHGSSIRCVLKLYDRRFGSCLRYVLDKRTAPHTRESEAVFEAFVRRGM MPGFLRYVRDRNETEDYAVAAWEFLDEPNHADGLAKYEATLWRDCIEHFECETKAYSR LADCQGRLVPRVLAHVSLSTTPLAPMPPEAAPYFEVKGILLECIDGFCLEDLTRATPL PRNLKTWQQLIQSAADAAHEINQRGIIMDDCAPRNVVVDEQSHTPRIIDLAQCRFKDE LVAQWYKREWHEEEGWDPDVEYWEQAMSTHNPGAIGAVMVTLVQKKTGVKLPITYPDY RAMIAGIRRRKAEAAVAGVAKSTA MYCTH_2309496 MAHIHDLAPEVSNYSSGRLTPPTPVRFPRTPVFASMNKPCRFEG DVFDLEVSGAIPPDIDGTFFRVQPDHRFPPLFEDDIHFNGDGSVTAIRISGGHADLRQ RYVRTERYLLETRARRSLFGRYRNPWTDNESVRGVIRTASNTNVVFWRGALLAMKEDG PPFAMDPVTLETLGRYDFEGQILSPTFTAHPKIDPDTGEMVCFAYEAGGDGSDCSVDV AVWTVDADGKKVEECWYKAPFAGMIHDCGITKNWVVLPLTPIKMDLERMKRGGNKFAW DPSEDQWYGVVPRRGAKSDDIIWFRADNGFHGHVAGCYELPSGEIVFDLTVADGNVFF FFPPDDNITPPADGVAKRNRLSSPTVRWIFDPKAKKSAIRTEAAGDADIWVADERVKP ALTWPTNGEFSRIDDRYVTKPYRHFWQAVVDPTRPYDFEKCGPPAGGLFNCLGHYTWS DQNYHHGHNTGDPSGDGRSNGSAEEATAGKFGLQDVYFAGPTMTFQEPTFIPRQGAAE GEGYLIALLNHLDELRNDVVIFEARNLGKGPLAVIHLPLKLKLGLHGNWVDSREIEAW RRRRAENGDVGPLRVAKEPLPWQKKFAAAAQNGSNGV MYCTH_2140323 MEQELAELKKQVHALQKELSRVSDEAEVRKTHHKYGYYLDKCLY NEVVDMFSDHPDAYVEFLGCRYRGKEGIKRLYQGRFQQTFVKGRNGPVHGWLLDHIMM QDIVDVDETGTHAWCRMRALMQAGTHQSIEEYYPRGHRQWWEGGLYENEYIKENGVWK LFRYRYFPFWHADFERGWSHTKKNYIPWPTVTYPEDPLGPDEILEQKMLWPDTRVVPF HYPHPVTGKPTAPDDLRAPAYGADVSTSDPPLSLDLPAGQSRPGATSREPKPGDRVLP ELVQNKVE MYCTH_2309503 MADMKETNSTLQRVLSHLTSAPVSEVVETVQKLNAIQALSTLKD GTGSVDLCSKALADGEVAKIDAQALAECATKLPAKPWTSVAGDGLVSHLVADFFFPNA DDPFVTGSTLIDRDLFIQDMTLCNPSQSQFCSPFLVNAICGLRSLSSDKIRLVNEITG TNLTELFVAEAKQHFDTEGGRRCLTTIQALYLFFMVTCHFGTKKAGSVYRLGALDYLS RLDLDKAFAEYRRGNGLTDPDKCRALFKTYWGIFNFECILCHTYLRPPPINLLPLAFF PGDTDGSRSTPGQAQIARRVVPATNRISDMQYRVMKYNSDPIPPVGDESDMRVRNDLL FQLQALKNSLIPNPADSETQDRHTILVKLYINMVACNILRPLRSTIPVYCPNKTSTTT TSSSTASIPTPITAKLHLLCLATTDIRLIETYLTRCAPPSYAPSVSVPLCSAVYTILP FLDDEDADRDLATRDAFARGCAMLERLESCFRGVRTFRWGVLAVAWKLGVRIPAPAWP AFEGLEAEVRRGDVDLRNVAVDIVIPLPRDLAERVFVTDSGCAARRMRDAIPGLDLAA VLGEWGKALRMEGERLEGEKLERERLERTRPEGQSLEAGRLEEEKRVGSVGSV MYCTH_2068307 MSGEAKWSHHEQALPEGAPASPTALDDAEKGIYDGNPALPVLAM PPNAHVDEKKALESGSASEGTGAAAPSPPSAPVKKAPRRKPSKWILFQLWFNTYRKFF TFVTLLNLAGIILAALGRFPYAENHLGALVLGNLLMAIMMRNELFLRFLYLISIYGLR SWAPLWLKLAVTSVLQHVGGIHSGCAISGAAWLVFKIVDIIKYRTVQHTSVIVTGIIT NLAVIISVLSAFPWIRKYSNQPLLPNSVLIPWLTLREVPVEVEIPSPKVAVLKFQRGM QQGLLGRISRTSIMEYHAFGIISEGRKSGCHYMICGVQGDFTRNLVADPPKTLWTREL KFAGVGHASAMFKRGIRICTGTGIGAALSTCIQSPNWFLIWIGSDQEKTFGPTITRLI YDNIPPERMILWDSKKRGGRPDSVKLLKEVWHSFGAEVIFITSNRQGNDEMMQGCREA GLHAFGTLWDF MYCTH_2112431 MAASARDLLCLHDDITEYQAADPEVNDRWEYLYNGNLLSLIQQP AFGVSVITQDSACRPPNATTPLPQHRPRYVVQLDVFHQLHCLNRPQKLLYPKVYRSDV TSDSDEAADTLYHLEQCVESLRQSLLCASDKSTIFWEWSPANGKDDEQHGDDAHLQGL MYCTH_2309513 MKLAAVLSTEVAILGVPSAEDSAVENFDNPAWWGKKKRDSTVEN FDNPAWWGKDKMATTVENFDNPAWWGKSEEDR MYCTH_2309516 MVRLMRWLAAMPASSWLGVAMASPTPAQELHPRQAKTSFWYANI DHTSAEVRGYAPDLDGDYTYEVFKAVAPGDGPGIQRAINAATNGTTRHGQWFASQPRV VYIPPGEYVVSETIFMNTDTILMGDATNPPIIKAAPGFSRSGTLLNAQDPTTGVSGEL SFAVSLKNIVLDTTNVPGDAPFVALYWGVAQAAHLQNVQIRMPSSVNGAGHSGIRMGR GSTLAVSDVRIERGQNGIWYNGHQQAVFKSIYFYQNTVGMLIDGGSTISLINPTFDTV GFGVVNTGGSPWIALIDATSKNSGVTLRTTSWPSYLIENLSKDTNSNIAEGPGDFVLP AQSNLAQFSYGNTVGRNPIYGPVNNGSPNRPAALLTSSGRYPAIPAPNYADVPVSQFL NVKDPAQNGGRRVLGDNTVDESAALNAILQLAADQGKIAYFPFGKYRVDSTLLVPAGS RLVGEGWATIVGHGSQFADASSPRPVVQVGRPGDVGVAQIQDMRFTISQPLPGAILVQ WNIAGAKPGDVAIWNSLITVGGTRGAADLTNTCRNPSSPCRAAFLGMHLTASSSVYVE NVWNWVADHIAEDFDGGSNFAAGRGALVESTKGTWLHGLGSEHWWLYQLNLRRAQNVM VSMLQSETNYDQGDNNPVLVPAPWTPDEAGWGDPTFSHCGASDKRCRMGPANYISGGS GIHTYASASWAFFSGPGYQGCAGTFQCQKYMHIVNQTPTGLQAFGLCSKDSYATLRLA DGTEIVTQNGFTGSWPGGGGDVGRYTP MYCTH_2066841 MASSLRAQSSRLLRLRSSLIPPFTPLSLSLTNLLSQSRGLPRNP AHIRVPCTNISSTATSRQPLAKGTQVTSLSGKTYTIDEIIYQRSRGRLLCCLYRASHE GKQYVLKDILPGDFQYILDLQKLVDGSAHVRTLVDSIPDRHMFIYPFLDTNLQLVNTA AIPPSVKKDILRDALAGLADLHEKGIYHTDIKPANIMMSSFRRSDGTIGFRDVQIIDL EDAVVLPPDSQGLGKRLTGNQFWRSPEAWARAAQHTPADIFSFGIVAIYVWLNRMVFF SDEANKAEDPSDMILTLHVSHFAYDLDDFGGFIEYHGGEDDPFVQRVIGLLALFDGTG KKRRPFSRWYPVDPQFKDLISKMTCFDPRRRITAREALKHPWFSE MYCTH_2112434 MVDFQALVASARVTLFASKIQGGVAFDPDRDIPDLEGKVVLVPG GAGDLGRQVTADPARHGPARLCVADLPRDDDGEPVTIVVLSAGIMRVRPGTTAEGYEI AFGINCPGHALLTILLLLPTLRRTAEPPDADLRVVAGSSEGHKLASKGGILFDKLKGP CEDIRYGRSKVAIIEFARELARRYPQLKLAAVHPGRIITGMTRGLRKEILLFKSSAPI SPIFCVSPAVGVRNHLWAATGPDRETAIVKNPELSKRSWEWTEKELQGIQ MYCTH_2066939 MVLSKVWGATLLAGFAVAQTYPPDVVDQLAKDSLPKLKEWLERN PQEGCTYETAVKRREWRDLTVEERKAYTDAVLCLQSKPALTSDQAPGAKSRFDDYVVI HIQQTPRNHGSYWNWDRYAKDPANSPLFDGSEGSMGSNGAKEEHQGIPIPGAPPPYNM IPPGDGGGCVTSGPFKNMTVNVGPIAPTLNVQRNPRADGLGYNPRCLRRDINKHSAAV TTANYTYDLITNNHEIYWFQTVMEGQFPQGKWGVHAGGHYTVSGDPAGDFYVSPGDPV FWLHHAMIDRVWWIWQLQDLDSRLTQVSMTKTMNNFPPSENGTLDDLSGLGVLAPDVT VRELMNTMGGIGGKFCYIYE MYCTH_103124 MVKLGFISALVWAAGLAVATPSPAPLVNVRTRNLPGMSDEDTLH LIWRRIAHAAHIRRENVFKNSTSLEKSWNDAELITFTYTPNVTDTKLENTDFEASLEV NVKCQTCYFKAGASVALTIDGEFDFGDAFRNVTGQVIDEAKNLTDSLVESLDDVIHWG EIRDLFTEDDFELDEFINFDRFDVDTDFDIDLPPLPAVNLLFQIDHLDLYVALDTTIS GKASLTIPLYKSQSPVGITIAPGVEAGIFATMDLLLSAEAEMIIRSGFHLQLPDPVGF HIALFGKNVSSVIFVAEFLTNITGGAEQAAKEGCAIRIVQEYTLALGAGAGATIAVGP HSWGVQPTTSVPIFYTTLADVCAITADAPKPTSTPPAITTTATTNNRLVRRERFTALV SSELFDPEGDEDTPTAVAYTSRAREFHTGITCASDGLAVCPQSLLRTTVLTTTKTYVT TVPTDVEPSFPQPTADTVPSTIPFGKNANKLAATTGVPVSYVPPPPSSSTTARTAKHG DDEDGGVLDDIGDVLNGKTGGVSNKLIIGLSVGLGVPILAAAIASLIHCIRRRRYAPL PRTEATKVEYTGAYQSPMAAEREAMVKKSPGVSVSEAHH MYCTH_35583 VTSLLPFCSALLLAGFAVRSYCTFHVDDPRVYTARMLLRCSAAP LVSMTNSVILGRLFHFVPCFALMHPGRMVVLVAAFTAGIELLSITGIAYLTDREAPDK SLRLGDFLTRSSLALQLIVIGIYFVLVGIYRICCIRGRTTYRMATFILRAVTVWIVGS PSRLLPSDPQMCLAQDGKTILNGPGWEDSRSVT MYCTH_2309523 MASELGAATLFRVDGIVAVITGGGTGIGLTMARALAVNGAKRVY LLGRRLDVLNTAAQEHPAVFVPIQCDVTSHASLQSAVDRVASETGYINLLVANSGVSG HSQGMDSSLPLSELRSRLFTQEVMDATTSTLQVNVTGAYFTILAFLELLDAGNKRALE GGFGGPAVGRSNVPNIPSQVVVTSSIAAFSRMDLSPPAYLVSKAGILQLAKYASSNLA AYGIRVNALAPGLFPSVLASNMIGSRDPSTEGVNDPRFIPARRFGGDEEMAGQLLYLA SRAGAYTNGCVLLVDGGRSAVMRSSY MYCTH_2309524 MVKHDIQINTQYRRFLQTDITEIEELGRGSGGVLNSATSKPLAV YKDEEGKMHKYSALCPHMKGVVCWNDVEKSFDCPVHGSRFSKDGIRVVGPAKANLAPA DNKAGAEDQEYAGGSSM MYCTH_103122 MAEGPVEVCRILAKPIPGRVHVHYDSDDDCVVFIRCNGREFHIE LSPFFLCNSPLITSRYHKFIAAVRDRGGMDAEEEGYSDEEEGPEEIQARFYGWLIAVF EPVFSKVAPDVPSSFDPDMIQTGEAKPLLSEYLFPEIHRCRFESENDKPFPIHMPDEK SQFSEPLCNIRPGLARELEQYVKFFDPSMVEVSFGHPKQALDDRPTRVLVDLDGSGEK TTCFFKGFGAGAFISLEKELEAHLRLLKSNVVPEARVVRLLGVVAAEDGRVAGLLLTY VDFRRENDGILDGIYLRRTSIPLRERWMSQIKEAVQQLHEGGVVWGDAKADNVLIDKK NDAWLIDFGGGYTEGWVDKEKTDTKEGDLQEVERIAEYLFSEGYEPASSDYEFDSASE MYCTH_2067101 MATPAFAQEEEKMESCIIVADRASFRPRDSHSQRASGASMKPAN RSPPAAVTKRPRGRPRKAKNNTADTAIVLSDSESDRISRSRLNRKRPSSEVDPDELSP TTKRPATSASTPISGISSTRFELDQLHRQLACEKKLRADAERKEAELQAELDQREASW AADLAAHTTPLQNELQRLAKEKNDLIAEYEKVKSRLRAASDGDKDHEVDVNIAESCMP DTVNSKDKIQDKNLLEARERLAEAEKEILDLQRDLDASSSIRSKVEKDLVVSGEKRKV AEDKLREMVETLAAEKKAREAAEDSLRTTREEITAVEIRRKEGEEKTLQQLTIMVNKR KQAEDKLKMKEQHLEETEKMLHRSKEIISRLERQQAAMVGEAQARLKEMATLESKVAA LERENWQLSQDVSKESPHHRKELAHLAGENDALRRQLAAQESLLSKLQHEHNQGIPLP ARASEGAKTLKMQPGAQKGMMLTAASDNVGRQMTDQQHESNKGRENAAKSTEEELSCL GHQHARGPHNVACAEPELRHKIAQLSRILEVRDNEILHLRQSLVKQTLGGDTSDKDGG QLRIRLLQVEEQLREKHNLVATQNLEAGKMRETISSLRAGVTKLEAELGSHRQGLQQC AAQLASKDEDIATKQGQITQLHRTISSLEKERTQLKDELSIQKRRVQQLGNPLAQHNN ESEAPNTTSTKDNEETIASLHQQLFETGHEKDTLQHQTAAAASDVDTLRSQLADATAT IERLTEAAATREAAIAALQADIRTVTAREGTANEVIVTLELENADLQARLTAATSRTA RLREEMAGLAESNAELQRDGRRRAAELEGLRSKLAELMAEGEVLEECLAKRDGCLERM RELLMTMPQGMESRRHK MYCTH_2309526 MANLAEEICIAFSRGRPMLRATENWWPTRWEDVELDLSRMVYGS NRIDSAGNSLAITVRLCQDAFRDQVTSAGIGRTDPTFKEHWRYLRASRRSVSLNNICQ SRCEILQHAYALSYMIDHVVLDRKPWSEELILETHRILQHCFDDSVEPGRYRTHKVVA ECEKPDSHPMSNPLTSPELIPGYMANMVQHLNRDTTKADAGDLDPYTLAARYHNQFVS IRPFGDGNGRMARILLNCLLLRHAGHISDIGVEAEDRDQYVGITRPPVPVFAFDEQKV EVKTEAWDNQLGELLLNKERLSPARLHVER MYCTH_10175 SSDVHSQNSSNPPRMGYACQACTKRKVKCDKAAPTCSSCRKSKL SCGYEPPRPRTRKRKPSEDVLERLARYERILCEHGLLDPEAALTAAAEGPAREPITLL WDEPEGSKAGKLLPSEGDSGYLYLNGKLWQDLEEHQIQGVPDGDEEEGEEEKAEDEAA PARSEVGFGFPSDPVSVALAGGPRLNLAPYHPTHEEVMLLWATYAERVEPLCRILHVP STGAMVERVSRDPSAASKSEECLLFAIYHFALFAMTEEDALSKLGQSRAALLRRYHFA TRQALANASFLKTAKLPVLQALVMFLLASRSSFDAHTYWALTGVAVRIAQRIGLHRDG EKFGLPPFEVEMRRRLFYHLMPLDAKAGQMAGMGVTTLPTTWETWDTRLPLNVNDDQI GPGMTKAPAEQQGPTDMMVCLSRFRLGNAIVEQAMMSQKGGGGGGGGGGSRPSPGRFD NADDAEQAVNRAESEMEEKHIRYCDVIDPLHYLTVCMARCGIAAMRLRIRLPRTASPQ ATEADVREAFQLALKILDTNAAVCTHDGLKKYRWHAESFFLWGTWDSFIFVLSNVFLK RRRMFSDQEADTVWDRIEVMSRYHGDLPRPKPALFAALGRLALQAWEARPSTKATTAE PDFITALR MYCTH_2309528 MRPFREAQRQGDAALRAFVAAQLPAASGPDEPGREVSRDRVGAA SGGGRTCTRARWRRCGRRRWRWRWRGAGWRWWARRRRVRVRGDGDGLGTSLALAGAYV LAGEVARRAGEGEGEGAVDVEGALRAYEERMWRLVAEKNHREPKLVHDLLMLMPQTAL GLWLRNRMLSFLSRSGLLGLGRISSAGAFRKGGEATLPDYEWVL MYCTH_2140326 MAWRSSGASNRDLIENLWRNRLITHPEVKEAFLKVDRAHYAPSF PYDDSPQPIGHSATISAPHMHASAVEHLLPYLVPSSSSPERPAPRVLDIGSGSGYLTA VMAELVGERGVVVGVEHINALRELGERNVAKSERGRELLQSGRIRFRVGDGRKGWVEP GEEAAKWDAIHVGAAAAEVHASLLDQLNAPGRMFIPVDDDGGGWSQHVWCVDKDEKGE VTRKKLFGVRYVPLTDAPKE MYCTH_2309531 MSGRYERINAQDDEPETPTAPLPRPTYPIPNSPPPSFRSRASSR ERQNSVNPDLADAFDADGDESDDETDDRQRLVRGNSTPSFNSATQAGDASQSASQSTQ PTRPVGAAPTTTRVYGSGIQSDGVFSNLSAKPETGDAEKEELPPSYEQAAADQAPPYW ETTILAPGLGGPDDVFIDGLPVGSFFGFVWNAMISMAFQFVGFLLTYLLHSTHAAKNG SRAGLGITLIQYGFMMKSAPPGSGSGGGAPSDMDGEGYATPVNPNSHDFDAGDVQGGD SVDDITGTDWAAYILMIVGWFILIRAVSDYLKVRRHEQLVLQSPDRGLGVPIIATGEN PERMV MYCTH_2309533 MSGAARNVAANHFRGALTRWPKDALRPDCQLQDVLAKRLQKTAL APSTGGATQEQADLKQANALYSLLQDRYKNRYRAPEKLFEPRSNPTYYKDLLKELEEA PHRSWLGRLAKRLSGMIRFT MYCTH_2309536 MSSAPAKQDAHPAVKGPSALRSVLAGATAGAVEIAITYPAEFAK TRTQLNRRLAEGKKLPWPPFGRQWYAGCTTLIIGNSAKAGIRFVAFDQYKKLLADADG KMSGPRTVLAGFGAGVTESLLAVTPTESIKTTLIDDRKSANPRMRGFLHAVPIIARER GIRGFFQGFVPTTARQAANSATRFSSYTALKQLAEGYTAPGEKLGSVATFAIGGLAGL ITVYVTQPLDTIKTRMQSIEARTTYGNSFRCAAIIFKQEGLFTFWSGALPRLARLIMS GGIVFTMYEKSMEIFDRLDPEKKYI MYCTH_2309539 MATRILVQCHSQDIPGDPKERRTTMANIICKHVWHRPFDEAQDR LQSLGQYRIEMPLNPKVTHYLRHFPFNGARTSSGYTDEEFKNTFGEEKYQAMLVQRIR QRLRWGEDLLAQEKAYLQDHPELATQL MYCTH_2309542 MSTISDAITKDHRELEQYYNEITNSNDHDHQERFGNQFTWELAR HSVAEELIVYPAFEKHMGDRGHKMAESDRKEHHRVKELLKQFQNMKPQQPDYIPKLKE LWGVLSAHIEEEEHSDLPALESALTMAREAGESEKMAKKFGMTKAFVPSRSHPSAGEN PYFESALGLLAAPIDHIADIFRKFPEQKVSPDPSTK MYCTH_2129459 MSLNTNQLDPRQRPPKAVATAIGAGVEGEHRGPRVSHRQSAKGG PANIIAKVSGCVLGGKREDDGLYFTNNNSLMQFQKPSNIVPVPFIFDEM MYCTH_2144983 MSSTSTQLPVRPSTRGAQADRPPQSSSPSHDSDSSDGSKYSDLM SESPETPTPAPRCSEPSAQPAIEPLSDSAASPVPAAPSRPVRRRLFPDAPSSGDRTRP FLPVRSEPRAAAAADSTAPSSSGWYTSATFVGADPPRSTLLPGSVTTPADFWLSWWSW WRSCGCECLGCGVESSRELLSGPRGGTLGVLHQTLVLGGVPGSRSTAPGWHEEGGAPR EGPGPRRQEGFVQWTNPVPESPFVMREGARGAHGRGPFAERPPTPPRPPRPVCPLLPS DGYAVPPSRGWVESTVIDRSNPYAAFLRANKEVLAGNASNGAGLSRTGAANGADGETA GMTWDKAHRAAMADPAYLPPAASSISRSNQTILLSTLRYPTALPNNLFSLTQMAQNNS SEITLRKLEPAMARQLVENAQSVEYQLLQRKISENARAGTQGSADNKTTMDNVSTVAH LDPDSDEDAFGYSDTEQDDQDNGNGHEIPEAADAIAYYPTHGDNNSDQFSQEIVEEAY EGQYYDDHDLDNIINDNAAIIQNDDPQNGAITPGENSSFGWDVAPTPHIPDVDPIHMY NPFYNPAAAYWSTFPDGPYVDEFGVVTAGRPMVSLHSDPPLPTFDTDPFLPFAPWRVN QLPAFQPETAHRVLTVPAAYLPCLGSLYPDATFLVSRHPASANFTIRLKGAALASSVA LHFPHLTDPSRLMTRTRSVAATTTTTTNSNNNNSNGSEGDDDDNDNDNADDNVEIDTG DKYVVALVQRDWPVGGVGFLPNTPSAAEFVDALRGAFDVLPAGQEVFYFLSCDVALAG GSGGTGRGVYVCRAYEGRRGPQDGRIVDAWLRCQGARGVPQDDLGRDVLARMGEAWVE PDRGSSRAPAAAAAAAAAAAAAAAAAARGRRGGPRRRGVGVEYDDEGDDDNYEG MYCTH_2309543 MVLRPHSGVKLTPGCVSATALISLWVKVVGKQAPENLRRNTEAV RTYPHDRSQVSFSDYASVQLTHFACKQCRGQGDR MYCTH_2309545 MIEVKVLLPGDWQLWRELRLQALAEAPHAFGATLAYWQGDGDRE QRWRARLAIPSSRNLIAFLGGKPVGMVSGVPAINDTDAVELISLWVKPEARGRGVGGR LVDDVEQWAAGLNLKTMQLSVMPSNEAAIRLYRRHGLRDTGEKGDPTPDGTSREIIMA KPISSAV MYCTH_95546 MAAGGTIIIEPENLTLGSSLPPGNPHGVSVHLPKWADTVGWARR ESRVLKAMKTGYPRFFVPRVVDRLANRLLEEQKSEIGGGTGDQKLAILLNSIQHAHLC RKVLPRLSSQPKRGEPPDIGVYLVTWEGQITPVPQECVTDRPQRTQAVGEEDIFLVAF PADIYLAAKAFWQHTGFGISSRRAAYWLETAPFLVPTTAPKALISPTERARQLGEGLD ALRTRIAAGQSSPPDNLHVDLSDVFLFPTGMTAIAETAATIKSLRPTDSSSPPRVAVF GFLYVDTNKVLSRILGFEAVQYHSTSASLSVLEADLEGSPTTTDQTATAKRLDLLVTE FPGNPLLQAPDLERLGRLARTHGFALVVDDTVGTHVNLALLAHCDVICTSLTKMFSGA CDVMGGSAVLNPASPYRDRLARALGRGRAGPEERAWFWEDVVRMERNSRDFGERVRRA SENARRVAGMLRLSGSVSEVYYPLGSPTQSWYDRYRREGAGYGYLLSIKFKTPARAVA FYDALDVAKGPSLGTNFTLCCAYTLLAHYKELE MYCTH_2129465 MAVFHPWQHRGSTISIAPGRHHHHSPDGDGAEENQTTLDTIANW AREGIAVAADVRAGMLHCAVEMMHLFDAAMAPALRQACDQTCRTPRWLQLQRRPRLRP HRPAVDIYAPGCPATAEALMYGMLQLKREVRNTKTARMWYRK MYCTH_2309547 MDQKGGASEGARNADPAEPSAPRPGVPERTESGLPPHLRPSVVG VPVTPGIAHGTYEAETRAKSGADGGESGKPEASYFSRRDVDHAIAESPAPDATNQEGQ SFETKLEVGRQEGSNYMRRVSIAAMGGPADGPSSSSNPPDGVFSTPTRETLAEIRAIS PDLALTGNIISATFNIPHSLKYRKGADWELTPRRGQSALFDSFSYLSSDDSPWNHTVV AWTGEIEQSAEISPPDTPPSTTLNVRPLNVLSKPVPVHVDAKRPPTPPVVDGLWIPKE DMQRLENQLSHNKNIKTVPVWLADDAEGHDDGIRLRDQARWRRYAEHELYTLFHYKQH EPTDGRAERVQWADYFRMNQKFANKILEIYKPGDIVIVHDYNLMLLPSMLRQRVPHMY IAFFLHCPFPSSEFLRCLPRRKEVLEGVLGANLVGFQSYSYSRHFASCCTRVLGFPSD STGVDAYGSRVEVGVFPIGIDATKVARLAWTDSVTEKYAALKKMYAGKKIIVGRDRLD SVRGVAQKLMAFERFLEMFPEWRDKVVLIQVTSPTNIEEEKEDAENKISSRVNELVMK INGAYGSLGFSPVLHYPQYISQDEYFALLRAADIGLITSVRDGMNTTSLEYVICQRQS AGPLILSEFSGTAGSLKDAIHINPWNLTAVAEQINNALTMPQDRRQAMEQSLLSHVTS KNVQFWIAGFLRRLITILGSRKSVTVTPLLDRSAMLRKYRAAKKRLFMFDYDGTLTPI VREPSAAIPSERVITSLKALAADPRNAVWIISGRDQEFLTQHLGHIKELGFSAEHGSF MRQPGATEWENLADKFDMGWQKEVLAVFQKYTDRVPGSFIEQKRCALTWHYRLADPEQ GVHMARECHHELDNTVGRKWDVEVMAGKANLEVRPTFINKGEIAKRLVNTYNAELRKA ASAGEAATGEDAPPNKIEFVLCMGDDFTDEDMFRALNGLLEPAKGQTEPEVETEQCFT VTVGASTKVTLARWHLLEPEDVIECVALLAGVGGGGTGTTNGGVLSMGEVNLAALSAV EDHIPDPL MYCTH_2309549 MINLSPTQLADVAAWNIFIEWRAFDHIPLEGHISIKDLARAIDA EESLISRIATHLLATNKLRPGPRPGTVAHSRVSPVYRTAHPASPLCTVAVGNAMRPFA HWPSFFEAYGRREAPGPSHTPFSFAWGRPDLAPWEVKALHPSYARAFARSMRSREMVG GNTTVVGPDALYDLGWVGAEARARGHAEPLVVDVGGGLGQFVRDILADVKGIKPGQCV LQDRSEVIEESRRLMVGDGLEGVVMMEHDFHTEQPVKGALVYVLRRVLLDYSDSPAIG ILRRFAEALPADNPKARVIIMEERLLETPAPHNSLVDLVMLNLGGKLRNEAMFRKIAS AAGLKVVGYFVRERDSNCVVECARA MYCTH_2067902 MTEDKDGNKRSVGDEPHQYQAGSTTHREEDQWKHRPPYRVHEKG TFDVKWRGKCHCGAIQYELSREKPLASKYCHCTTCQRMHGAPFQWAAIFHKTDINFTS GHHDLGWYDPTSKSTTHHLPCKVQCAYCRSPIMDEGRNMILLFPTLIEGIKTPRGREA FEVQSHMFYPQRVVDIKDGKPKFQGLADESPLVDEDTGEVIPGTEPKKEDK MYCTH_2309554 MSKLFSTRVRPANGDGDGDNKGVVLSDDQESQIGHLQAARDAKR QIGIVSASFLIVNRVIGTGIFATPGSILTLSGSVGLSLFIWVAGILIAAAGTAVYLEF GTAIPKNGGEKNYLEYVYRKPKFLVTGLYTGYVILLGWASSNSVIFGEYILHAAQVEV DRWNQRGIGLACITAAFLIHGTALRWGIRLQNVLGTIKVIVILIIVVSGWVALSGRLK LPEDERPHNFTNAFEGTTGSAYGVVTALYNVIWSFIGFSNANYALSETKNPVRTLKIA APLAIGTISLLYMLVNIAYFAAVPKEEILAARRLVAASLFRNVFGGAAERALSVFVAL SAFGNVLSVIFSQGRLVQELGREGILPFSRFWASNRPFNAPLAGLFEHWVVSVIIMLA PPPGDAYDFILNVISYPLAIVNAAVAGGLIYLYLNRKSWGWNPPIRATLPVVIFFFLS NIYLVIAPFVPPAEGQNIYSSLPYWIHCVVGFGIIFAGGVYWLLWAVVLPRIGGYELV RETVVDDIDGWERTVFFRRPIESSSSK MYCTH_2309557 MATAQGSWAPGSLAKRIFFELGLASLARSPRDVKLLVVQRFVRF VAYGSSTLVLVSFLGALGHSRARAGLFMTLTLVGDVLVSLLLSLSADRLLGRRAVLVL GAALMAASGLVFAAAPPSFWVLLAAAVLGVISPSGNEVGPFRAVEESIVAHLTPAADR SDVYAWSTLAGTAGTAVGAMSCGWAVEGASTALGWSLLDAYRAVFLAYAGLGLLKLVL ALALSPAVEEDEEMSSDSGNHPRGAPNATTPLLGSEAASQPEAGKTRWWAKLLPRLSP ESRGITAIFCLLFALDSFASGLAPWSWVTYYFRSQFSLEEGRLGSLFFTTSIISAVSV VLASSLAKRLGNVKTMVFTHLPSSIFLALIGTTSSLPLSILFLVLRACTQNMDAAPRS AFLAAVLLPGERTAIMGVINVVKTTAQSLGPFITGVLAGKGLFWASFVCAGSLKATYD LGLLAVFKNHARARQGPREEEQP MYCTH_2309559 MPSNEPWLTKTFLASLFLGIGGFLYGFDSGIITPSLALASFSTY FDKPNAPLRGAIVSVYQAGAWLGSASVGITSDRLGRRKAIAFGCAWGVLGGALMAGAA HVAMLIIGRLLIGYAVGTVTGVAPVFGAEIAKTNERARITATNQMMVAWGFFVALWTG VGEGKWSNANQWRLGFAIQAIPALLLGVGVLFIGESPRWLCLKGRYEEAEKTFRRWHY NGRNDEWCRTEFAAIQTSIAEEVQAQHRLGWGDLVRTAPFRRRLFVGSFVWAAAMLSG ISFVQYFQTAIYATLQFDQDRQLLVSGLYGCVAPVACILSLFFVDRVGRRKILIGSSA LLSISYLIITVLAALYPAIPGKPTNEAAQKAIIACIFLVSANYSALLGPMTWIIPPEV FTTELRAKANAVVQVIHYSISLIITQCSPIALERVGWKYYILFILTNALCTLVFAVAY PETRGKSLEQIDEIFGDVQIKRDVESSPEKEVLEKDGVSITEVSR MYCTH_54823 MTIAHTGIKVPASQYPAVVAWYEAALAPLGYKKSVVLRDGNVVG FSDNAAGIADWWVASSAAAPEPVSPPEGAFVPNHTCFLAKDRATVDAFHKAGVAAGGK SNGEPGIRAQYSPNYYAAFVLDPAGNNIEVLSITAE MYCTH_2309563 MPPTRPQRAKSPEAAPTGPSRRAPRVDRHCRALHEDGSDCRARL LHASHVFCPAHHREYKRLYESYKRTEKHYSGIESKADGADDVKTTIKAKVAAGRETLR LRDQVNRRFFSFSVQNRGHVKWIMKLQSEVLALERELERELAAPEAPEAKGSASPRRP PKPQSLSPLDPAVPLSALGHLPVSSPIVVLRQASDAILTAKIKELYSISPALDDSSSL VLDDVDPGVTRELDDRDYIIRFFFRELIAQKADADVLARAARTQSINTFLRESSAEHV EGYIRFFHAFQEGRHHTLHILRDAVCDYLLIDSKSPSTTILGAGIATDDSPRRMTSRG WDLLWTNFHDIVGWWNLELFAVQFDDLVAVKALTACQRYGTGTPSEDDEDDKDNEDNR DDVSSWYVPNEDVSQESALAVLHGFIAVTKGFSDHDSPRVEAEPAFAKERQTRCYLVG RMSKQDPVARQLAQELAERIASFVVLVYDREKEGEAASALVLPAPPDANPWIGRSRTA PTNEALGSQPWTVEWSLADVLSDIGLIHSLRDRNMAKDYYEFIIIDRTPGKTFDILDA VADALSKLKGDSPFDQVFRQVIAKYVPAEERDQYLEAVAQMDFGQPTLSAPPQYLGNR VRSWDIPNSLRAILGAALQDSSRVTTLHESRLISHVAADLESHGVTARITEYEASHTC PIAVRGVDGLDDLYFHYDMGPMSERVIRGSVLDFDPSINSLTEFAEAYHRAHPNAVFA KGSIKVHYCAWPMPMLAGPRYSRLNFRTPEGRLYRWKALPFDIPLASRVWQVFVNHEL NSRLPFARLQQTTLLICAENRDDAESSAKALSEIGEKHGWSFSIPPPASWLSDVKGLG LETLWEGVRAAL MYCTH_2309564 MDNSTNNPTKESALERGLLSSITDPTGNIQQIATRPYSDEFKRD TAYNASRKQVRVRVYLTTADRNFLSNKVYQHLDLEFSATSGSGHSLANARRAIEQEIV VSKCSKHQNLVDVGGNFFTYITMCREKFHCCCPLLDIRDSARLSTRLFQLDTLIREQL TEDPVPNLDYETANRRNAKKQRARAVQQNPAQF MYCTH_2309566 MPDGDQTNNGDYEYIDYHIFPPVEHERLLSWKAGTGSVLVAAQK GRPQKTDTDEEDVAVPRGNKVLVMQAIVKLVTKNA MYCTH_2309567 MTLSSPPEPNAWAQLDAKLVDLIDELCNTEYDSFLCTYIDQAFN IRCEYSDADSVPTCPRPPRKACRVAVDPQRYPSAFDWAAAFRRAAHSFLSRRQAAVPL LREFSGHDPQEDCLAGPNIHESGHISWAGEAIIEHSATHWGCILADQTAPVDPRLNRS RADKYLLRSELLACACLMRLEINDTLWEDEFRGWRLRVGNSPIKSTVVSFEDTRVRVI QASYDPSSPTPALVVTLRGSYTLDTGAIATCSEATVWAILWWILNPDGLSDSLTAPSQ RSQMRDR MYCTH_2112463 MGTRGLEIVRFRRRYYIRYHQYDSYFEGLGAKIVASIPPDPQAY QEWLKRMRAEYTARERDLEQHVYEIRDGSSPDYSQFDEFESLPSELPRLDDSGAEFFY IIDLDREILTMNFSIHWRLGNIPRQDNLWLRAIAKSVYRYKPTISLDICPEEHMASPA LELPKRNQEIGYDFHVVSPRTDIGDARKAFLTYVLARTLIEYQNEIIRFGREWSPDSF PFRELTFALVSIASSQATFHSFPAQPCNPRTCYRWNCQSNHLPKSPGWLSEEWVGHNA PLLEFGSMSHRPGEPPGASPTKTMYWLEGVLVSLTLVVDGEAITNAVSWGIEQGQTNF QVVVLSLFEVAFAEVSFDDDEDEPFVTVSEAIYLSPLRAEYCVSTHPRERPELKPGME IKHEYGQLIMRSNCTGTARRLRRHFSGLASLVNFFEVAASRRAASKSAGILPPELYHR ILDFVDYDTWKTCLVVSREFRAYCLCKYRLDDRIRIVGGPFVRLRNHKERLLSFDFED MQTGDILPMIQAPRNHLWTNECNWMPVIGSNRKALMVDTVVEFEPAGDVPVEADSEDD E MYCTH_2309572 MARPRPVQEVGDHVLYGQRSSFIAEWVIGTWLMANHHFLAYGDM QKEKRFSPTSLFAYDSPGLRMGVLGYGAIGRQVAKIAQSLGMEVYVYTRSEKPTPESR KDDSYCVPGTGDPDGLVPAKWFHGSSKEELNEFLAQDLDILVLSLPLTGATTRILGRE QFDILSKKQTFVSNISRGKHIDTDALLDALREGKIRGAALDVTDPEPLPDGHPLFSAP NVFTTPHISWQTPQLFPRVVSMLERNLENLATGKPLINVVNRKYNY MYCTH_2309573 MEVNCQCKTVHFRTPTPSPLAIYHCHCTECRKQSSSAYGTSAVF PADGLFPLSDDLAAKLSVWTRPTERGNTMDCYFCKVCGSRVMHRIRNPDGTERETVSI KGGLVEGLQWQRAVHIYTRSAVVDIPEGAERWEEAPDNMPGQKKKGCQWSELSEQQLS HLYGQRA MYCTH_2309574 MVKLSLIAASLVAPSVLAGPLIGPKTQTESQLNPRQGGYNYFQN WSEGGSNIRCNNGPGGSYTADWNSRGGFVCGKGWSYGGNR MYCTH_2309575 MLTPTEHSAITYTGEYNASGPGYLAVYGWTRNPLIEYYIIEAHA DLAPNEPWTSKGNFSFEEGEYEVFTSTRVNKPSIEGTRTFQQYWSLRKEQRVGGTVTT QRHFEEWAKLGMKLGNHDYVILATEGYTANGGSGSSGHSSITLQ MYCTH_2309576 MPQAGNLTHAASSCFAPFRRLAHGIADYATRALTAGAFPIRGIW YFLRHPEFYPLFVGRLLPLSVISFIVYLLLFTFTFLPQFLFLLIFHGRASALINTTVL VLGEGLVIIQGLFEGFFVDECRVDIFDATLINYGFTDLIAPQRVLFLEAPNAVRMLGK PTSRAEYQPWSIKQIIELIVFLPLNLVPYVGTIAFIMITGSRLGKLSHHRWFKLKGLD KRAKKSELRLRRWEYLWFGTVAMILELVPILSFFFLLTSTAGAAMWAAKMEERARTRV GQPITDEDRSQDVDGPIYHDDLV MYCTH_95529 MVYWRTQSSANISQLRIVTVTKFTACSASNIGVLTKTVTIPTRN ETAIIHNSQPVLSLSLPYAITYTLSPDAIFPTPFTRTITITPTPPATDGAAAEEPDAP VTTQATTATPQEMDNGNHEVSSWSENAEPNPVTVTATNPGILQGSAALAPFSSLGNVQ IDTAIVTTSETSGALVVVSQSCGSIVANSLPITITRTSVTPAATDSSATVSETSDFNP FTVRFSENSVYGSTPSFFTSADSSVAITIPQTVTSTPTPLYPVPSRPTFSESPIVSVT SVTYTVPPVSGVKTSSSTVTFFNRQQPTFVRGFRSSTIDKVTASVSSIGPAFATSEDS GYDGVMSSPTLGSSAHTPRLTTPRPTSPVVVTASENIGETTFAASPPLSATATTGIPT YRSLSAAVKAACQPTRAGTSITRLVGCWLPHFLSNPRLYPLLPERRRQNHQSPSGGVS IVTISQKISFGYGSSTIVGLTTKLTVGASPTISTYFPLPSASFPPFFTTTRAHHDLPC PTSVLSLNTRSTVPTHAITYNSVSRPSTTFGYVFSQMDSGHGYPAPGYGNPEQIRTVP LSTESFSPNSTDLPVSQSVPRSSALVGHGHNISH MYCTH_2065851 MVTLSTLARAVSIPALAGWNIVSTITFDGEAGKSVDQSTWEVIT NIHVNNEVQEYTTSPDNAHLSGNGTLLIIPLKSETGQWTSARLGSKQSFTPEDGKTTR YQSELRFGDSPQDKQQGIWPAFWMLGQSSREGTPWPECGELDIMERKNGEPTGHGTPH CGTGDSCGGLTKSVPLDGNGWHTWAIEIDRTNKNWADQTISWFLDDNKYNSIKGSDVQ DEDTWKAIAHSPMYFILNIAVGGDWPGKPNDQTADGMDNMMEVKYIAIYSK MYCTH_2135399 MGQIEAPLPGDVARGLPRPDAEDTETTLESAGMDLEKGIGEDAR PTSTDNSDPDARTEEGQPPASRQRTAEDDDGGPGSGGDGGGVTSGVLSRVLTKASTKS SWNPGPPPDGGLQAWMAVACTHLVVMNTWGVINSFGLFQTYYTTALGRPPSDISWIGS LQIFLLFFVGALTGRLTDAGYFRHVFVLGVSFQLVGIFTASAASSYWQVLLAQGICMG LGNGCLFCPCVSTLSTYFNKKRALAIALGACGSATGGLVFPGMVRQLLPTQGFPAAMR AIGYVQLATFAVALVGLKQRIPPRKSGPLVEWKAFCEGEYTLYAAGSFSFFLGLYFAF YYIASFSRDIIGLDYTSSLNVLLLMNGIGIPGRVIPNHIGDRVGTINVLVPRRAWPAS TAGLYVWCAFYGIVAGGIQSLFPAGLSSLTTDVRKAGVRMGMIFTIVSFATLAGPPIA GAIITASGGKYYGAQAFAGSTLMLSMALMAAARTVKVRRLMRAGHGSGSAWKMKV MYCTH_2120425 MRLINVKTYELEEFLDKPPNFAILSHPWGHDSEELTFRDVEEGR VHEPGVGSAKLLGSCRQVVADGLGYVRIDTCYINKTNLVELSEAINSMSRLYKGTSLC YAYLWDVPDDDRPDKPGSKFRTSRWPKTPQVRSASVAQRMSWAAGREAKRKEDIAYCL LGIFGVTMPMIYYGEGGEQAFPRLQEQITKKTKERF MYCTH_103096 MTISSDRNIEPIAVCGIGLRLPGGVHNGDEFWQLLAEGRDARSK IPPTRFAIDGFDASLSGQKAIHTRHGYFIDDDLSRLDTSFFSMSKKEIERCDPQQRIL LEVVRECLEDAGEVDYRGRPIGCYVGTFGQDWYEMATKDMHGMGNYSLMGSGDLVLAN RVSYEFDLHGPSTVIKTGCSASLVALHDACRALQSGDASGAIVCGTSLIMTPTTSTIF FDEGILSPDGSCKTFDAAANGFARAEGITAVYVKRLEDALRDGNPIRAVIRNTGCNSD GRSQGLTCPNGQAQEALMRNVYCQANLDPSETAFVECHGTGTPTGDPIETRAVGNVFG DKGVYIGSVKPNVGHSEGCSGLTSLIKAVLALEKGTIPPNIKFQKPNPKIPFSEKKLT VPLKPTAFPKDRAERISINSFGIGGSNAHVILESSSQYFGGTRLSINGLNGVNGVNGT NNYQNGTVPTPRPELFLFSANSPASLDRQIASFREHAARYPNLARDTAYTLAVHREKL PHRAFALVQDGKVLQVSPQVKAPHSAPSVTMVFSGQGAQWPQMGRELILTDPSFRQDL IRMDEVLQGLRIPPRWSIIEELLKPDETSQVHRAELAQPLTTALQIALVRQFQRLGIT PAAVVGHSSGEIAAAYAAGHISLEYAITIAYYRGYVASRASAASSSIGAMAAVGLGAA EVSRFLHAGACVACENSPSSTTISGDSKAVHKTLASVLAEYPDVLARPLKVDTAYHSH HMAALAAEYLNLLQGEESTNSCHGAPDGPGARLFFSSVTTRTLTREGTPLTSPYYWVV NLVSPVRFRDAVHNLLLHDDDHDDDHQQATDGGSSSMILLEVGPHSALAGPLRQICEA AGRPCNYTAAQIRGKNCVASLLSALGGLYQQAVVPADWRPLFPPQGGSSSRALAGLPT YPWDHSAGPFWYESRLSRDWRARRFPDHCLLGVRVVECPDTAPQWRNLLSLEQVPWLA DHKVRRDIVFPLAGYAAMAGEAVRQATGCETGYRLRRVVARAALVLIEAEPAEMVTAL RPRRLTDTDDSASWFEFAIASYTGSSWVKHCEGQVAPLDRAPLRRSVVMKTTAPEDGS GSGSGSGSLPRLVKSNRLYDAVARAGLVFGPEFRRLTDISTSVTDGAARAKLITVPSS EAAAAHPYPGPMHPASIDACIQLLLVASVRGLCRNLRRLVVPTLVENIEVSRGASKDD NGNVNNMHIEAFCPAQGLGSAEVECVVEDNDDQDHDHERVCLRMSGLQVTPLDGDGDG DSDRDGENNMSPNDGPVDVHAAAHLHWLPDFDFAPLDDGLVKSPTANVAERELRETLT LLCILESADRLANLTPAQPHYVKYRAWLDRQVGLARSGDYPLVPDAQSIAALDPPARR AMLESVYDRLLALPDPSNSKDENGNNNSNSNNNKMHAAAAAARAIKRLSDHAEAVFTA PAPGSGSDGGATTDAEGEGGSKDALNLLLGDGLLTDMYGAEGAGAFDFGGLVRRLAHA RAGRLRVLEVGAGTGATTACLLRHLFVFAGEDGDGDGAGPGLGGLPVYAEYVFTDISA GFFPKARERFRHAPNMRFCALDISRDPLPQGFAAGSFDLVVAPNVVHATPCLRETLAH LRTLLRDDGVLMMIEMSTEARTPAFIFGNLPGWWLGEEDGRVWEPFASPDRWDAELKA AGFRGAEAVVPDAEPPWQMAVVMLARPRTEEDEEEEEEKKKKKNGGRRVTLLCQDRAS GPATSLLSGLEKEGWEVTPCRLGHDPLPSGQDVISCVDLESRFFDHDTLTEEGLSAFQ AMLRQVQNGTDRILWLAPPFQVKCRDPRGAQTLAVMRTVRAELNLALFTLELDYERET AEAAARLISDVFVKKVQRARDDDVLNADREFVIDNGTLLVGRYRPFSLTRAQALLSRS SSSLSDPDPDPDPNSRVTAPVRQPPETSASGAGAGWAKTLRIRQPGDLSTLTWTDTPL PATLPPDHVEVRIHAAGLNFRDVLQATGSLRLPSSTGASGPELGLEASGVITRVSNSS SNSSSSRQRLQPGDRVVLLSPTGTLTTRLVVPSALVARVGTTTMTTTTPLPLPLPSLD AAAAAPVCYATVLHALLDAGRLRPGMSVLVHSACGGVGLAALEVCARIGGGAAGGGAG GAGGAGIEVYATVGSEEKVEFLLGRYPGLVARERVFSSRDARFRDGVLRLTRGRGVDL VLNSLSGDLLHASWECVAKYGTMVELGKRDLVGAGRLDMAPFLENRAYVGVDLYEYMR DRPERVGALLTRYVEMYEQGLLPLPDPVAYFEAGQVEQAFRHLQNGAHIGKVVVTMPE DPSRLPSQPPDRPILLDPDATYLLAGGAGGLGGSVASWLVEQGARHLTILSRSAGLNS ESRALFRELESMGCSVTAVAGSVENKEDVAAAVSGSGRPVRGVFQLATTMRDGPLLSM NWSQWDSTIGPKVRGTWNLHHALAGQPLDFFWMASSVVTVVDEPGQANYSAGCIFLEA FCQYRHSLGLPATVLNICPLEGVGYVAENSQARRNMKAQGLCLLGESEFLDFVRFNLS RAGRKGGAGGADGPATVTGSDCYGWKNPEQVVMALRSGSDLPLDHLDNRTNWRRDRRM GLYHNVRRHGDDRLSSSSRSKTDRIVLFLDAVLNADAATAANLLGNPDNIAFLARETG NKIYELMMRPVSEDEEIDTRLTLAQIGLDSLMAIELRRWLRGVFGIAISVLEIVGSGS LYQLGEVIAAKLSERLCLWESGHLSMANITMHKTENSTGATTFVTELQQPLSGVLSA MYCTH_2144990 MTTRSPLTTTALPRIVSNAGRRHKYLTYRVKTVCTVCDANSSIP KRRIWSLVCGPRSQDRLSMGKLFAGQWGLSRMYPSGGHVSGQSNRPLSRPAHALTYEQ VAAELDADVLSGLPAHEVKVRLEQYGRNDLGEEEGVQPLKIVVAQIANAMTLVLILAM AVSFGIKSWIEGGVVAAVIILNIVVGFFQEYSAEKTMDSLRSLSSPTATVVRGGEAMV VPSGEVVPGDLVEVKMGDTIPADIRLIEAKNFETDEALLTGESLPVRKRENATFDDNT GPGDRLNVAYSSSTVTKGRAKGIVFATGPYTEIGAIASALNQKERRVRPVKRKPNGKA GPHRYLEAYTLTLGDAIGRFLGVNVGTPLQRKLSKLAMVLFGIAVICAIIVLAANRFD TPQDVIIYAVATGLSMIPASLVVVLTITMAAGTKRMVQRHVIVRNLKSLEALGAVTDI CSDKTGTLTQGKMVARGAWIPGLGTYTVELTSSEPFNPTKGGVRFDSREPHEINFRPR SGREEKEEAGEKAQVSSPLELLGASKSRLQEFLTVASLANVATVFEKEGTWVARGDPT EIAIQVFASRFGKNRLDVMKADDGKTPEWEEVAEYPFDSDIKRMSVIMKHNATGEHFA FTKGAVERVIRVCTKYHTEDAPAGSEGGVAEMTDEFRQHILSNMEVFAGLGLRVLALA SRKLDAAMGANEDTDRNEVERDLTFLGLIGLYDPPRPESAPAVRECHEAGISVHMLTG DHPETAKAIAIEVGILPKAMHRVSADIAAAMVMTAAQFDALSDAEVDALPVLPLVIAR CAPSTKVRMIDALHRRGKFVAMTGDGVNDSPSLRRADVGIAMGQAGSDVAKDASDIVL TDDNFASIVAAIEEGRRIFDNIQKFVLHVLAENVAQAATLLAGLAFKDNTSLSVFPLA PVEIVWIIMITSGLPDMGLGFERAVPDIMRRPPHSLKTGIFTFEFLLDMVVYGLWIAA LCLSSFVLRVYAWGGGNLGQNCNDRLHEGCETVFRARATTFACLTWFALFLAWEVVDV RRSFFRMQPGSKKYLTQWFHDVWRNQFLFWAVVFGFVSLFPTLYIPVINTVVFKHKGI SWEWGIVFVAAALFFAGVEAWKWAKRVFLRRRARKRTGRLWKDMDIEERVFGEYLSGY ESSEGMVAAADGRD MYCTH_2309585 MSAQEKRGRHLAHSHAREGDVPGTVDLSTREGDDTGYGQALYPV PAEDPNDPLQWSKTRKNLILVVCSLYSFLSNSALLGPSVYIGIYAEEFGISPNKASNL VSYANLAFGFGESSHPGGKGVRECHKNKHEN MYCTH_2309586 MLAGGYSWRLFFYVEFAFGCALLILAFFVVEETLYHRKPPATEP SVQESSSSQQDEKNSVTHAAIEDVGAPAATGTDPIPPRKTFLQTLKFWGVYDKDTEFF LMIARSFTYFLVPHVLWVITTYGIYIGLGALTFNYTFPLKITAPPYGWSQTSSGLIAL ASLLGYLLAIPFTATSDLLAARLTRRNKSIREAEMRLGVMLPVVLLSPAGLIVYGFAA ERNLHWVAYFAGVTMNQFASYFFFTFTLAYAIDSYTANISEMLIAMNLGKQAISFGMG LDLLDWVLQHGYAVMIAGVFCAVVLVNNLALVVFMLWGKRIRRFMATTWLARVHRASV KEIATH MYCTH_2112475 MHRPRILGRTIKLIAPLALLAVAGLFPPAQANKSRKDLCGVSMH TSDLGPEVGNFSVIDAAWRVPEVIAEVEDEDGSGRRAPYVSLGVALCCGDDCSTRLAA GAWASPREPGRDSSASAMFQLSPVFTPVLIPAVHQFELNSSDVLWTRVEIHGPGEAQI TFSKFESAISNSTISVDLRVAVKDGGTVLRVVTRGNGAELEFDYAARYRDAERPALCG DSAWWFVSDSFDPGQDTDRPLPLARFSPVLVAGHGLRTRTSTTAEEGDRHDKSFPADL PLSGMARFWDMVRAAPDGQTEVLCNTRGFVDTGMTLLQSPHPWGV MYCTH_2309587 MVTINLAYTAPINPPGADPELTPDQVWTGLQYKVRRADKFVPII TACEVLDKEPPAPEQEEEEEEGPRVITRRVTFRPGITAVLGGGGDDGSSPRVVREVCR LYAPCRVDFVQDDGSTVGNYVSRGPAGELMMTYVFEWRAHGERAGSARVRELEERYAK VAKMAIDGSIETIRKMVKGEIAMD MYCTH_103090 MRFLRLAIAILGLCLLGLSDGVTAAAAGDLISPLDGYAALPKCN STCALTDAACLCSDARFNSDATACVKAGCTIRETLSAKNVTELMCGHEPERDNSLIPI YSVFIGLAVVAVALRLVARVLTQAYFWWDDFSNLFGFFFYVEMLLYTVTRFFVRTSVI LFYLRVFPPKPDNKLGRVLQYTMAFNVVYNISFLLAVAFQCTPVTDFWTQWEGIHRGH CGNANVLVWVAAITGIVYDLWLLAVPFPQLLSLNVHWKKKLMGGIMFFVEDIVQVCLW SGIELDVGVICPCLPSFRLLLRRLLPRVLGSTGRYELDPMSTAATGGGMRSGARRSFG GGPTRMSGGGGGGASGAGAGAGVGAGKIVVENTFAIKYGSSADLDDSETRSSASVRGL VQGRVSVGDEERGGGNNGGERKK MYCTH_2129497 MAPEHHSQLGAQYHTMNIKSQDATQHPAPTSTPRTTSFPLSTWS TPKNHPTTLRLGATKPEQHPPKTLPYYARSRGINQPPERLVKKQESAMCYYQRIWWGC GCYFGVILLRRCEHRNTPRCQRRHLLDRWHLATYCPHHRDPPLQLTYDAVATAAAAAA IAAKTSARAVVAPPPVQQRPAILAKETAAVAASD MYCTH_2309591 MEKAGQTSIDTHPARAPEGKTSRKAGVQIIATLYTAVAQRANNR HLCRQVESKKT MYCTH_2309592 MATVRDGDDIEMMTRRQSQKLHEIETDYQPVNWKRLFLAPKYLA CWIVALIALVLTIIITIKHDQVVATLRPWSEKVRDLPAGWLIPIAILVIISFPPLFGH EIIALLCGVVYGLWIGFGIVAAGTFLGELCTWFAFRYMFRRKAEKLERTNLNYGALAR LTRDGGFWIVLVIRFSAIPSHFSTAVFSTCGVNFWSYAFATFVTLPKQIFLVYLGVLL LQDKPDNSAKNIVFAIAFAVTVVMAVYIAYKMRKVKKVLLAEQAERRKARLREDDASA EEGIGLEVQQWPAEARPLGAGRYEVVAQDEADIGLAETVGTHDRRSGAPPHYRPEFQY RGAGGGEDIGKEPVRERTWV MYCTH_2309596 MSDPAPKRPFSKVSQPLPIDSGGWREERLALADPFRLFRPRLTQ VIIVGAGPAGLLLALLLSKKGIPVHILEAAAELDQQPRAAHYGAPAIPEFLRAGIAER IRERGMVLDTMCWRAPADRDHAYIAGFDARRVLSDVDGRGTDLRTHCLALQELDALML EEVTGRYGGLIEWEHRVVSVGQDADGAWCEVEVAGGRGGKKVVRGDYVVGCDGANSTV RKSLFGDEFPGFTWDAQIIATNTYYPFAEKFGWQDANFIIHPEHFFMAARITRDGLYR ITYGETPGLSREEYRERLPWKFETILPGHPKPDEYKVINFSPYKMHQRCAPKFRVGRV LLAADAAHLCNPWGGLGITGGFVDVGGLYDCLAGIWDGKADESILDLYSEKRIEKWKT IINPVSSENFRRVSDKDPATRFERDEFMQMLKKGETDEAFLKELLMGPMEVRYDFTQN YKDAAKI MYCTH_2309598 MSTQTTPTGPATLDDSEPSYIDYETFLDPSFTPASFANTLVLAT NNPSDTPLDLSTPLSRVLFDIQEIDSHIDSLTTRSALPLLQYTQDNAEASNHILTQLQ TQITALNDSYAQLDREVTQKHAEADQVRAVASRLWDTLRLARAVSRCLQLGRRLEAQH AELIGTAPAASSSTSSSSSSSSTTTITTTMTTTSATASGPGRAGGGSSREDHRALVRC AHTLLALREVFAGAGTPGAEGYGLDRVGVVRTLREGVVGPIERAVRETAERVVREFSM MGGVSSSTSSSSSSSSLSMSAATFAQLEETKARTVSALVALWLLTPAPTKSGERWVPS LMLQALEGYLRLALQSSIAGLSRALATLPSLEKTLAEVSARCQNVVALEAVLEGTKPP AHPGVPAKQAAQVGGNMLQPLLTYLETGSLASYYWRTMASSLAPRVQEIIAKGGVSAR TLRTNRQSVGDAIRECVARGSQLPSAVAAAAKGTSRASETKEGGKHWEREVAVMVASV VNNLGR MYCTH_2309599 MSLLEAREALSFPPGNSGNLTDTVIDNVHFNLTTLEHWNYTYFS NGTLSNGSWCFLTFPPYTPAYVFPNGTFLNATKCWSPTESIRERGGVAIGYAVLFGIT LILTLVNLHKHGRLHLPAEKRFYPIGRRWQWYWASFVCATAMVSLFVSIDVDRYYLPE LPVILTTFFWYLMQMGTVALVWEAVRHWGSWMERQFIDPDPFTLRQDDRRARVELYLP LLFYLFLWLNFFMIVPRKWSAIQHQRSPEQTLLEAAPSATDSRFKAGAFMLVGCWLVT VFSLRHSIKYYCPQNRGIFNRIIGFVRYTPLRFALIIPLAAALIAYQILVAFRFEYGP VKVGGNDAAIFVGGYTPSFLILVVQIVFGLINPNEDRELQRQRRERNQVLNREMGIVP KPSWWRRVNGEYMDPNEGMRERLMRNVREVHGTKPAASATVSGADAQHEGLGVPLDMT PISSPPLASPRVTRPPMQPYTGRSERRREERTAELAAGLLYPIAAQNSAATAARRREE LMKDGPPPSYTETVRGAQPTPNSAPNQIRSMLDV MYCTH_2309600 MPTRASFGTGALASQKPLPTSPFPESVQVPEPGTTAPKKEQTQP PKASKDPDNVDMEESDGDAHAADDAPASEDESVNGDGTKSKKKKSQRFYCTDYPPCNL SFTRSEHLARHIRKHTGERPFQCHCSRRFSRLDNLRQHAQTVHVNEDIPIDSLAATGT RFQRQIRTDRVRQPGRTRASTAGSVGPGGRGHSKSLSTSSITSVSSIASGYSVSDARR RPPPLVMADPRSRMSLESYRGPDGQYYRAPSPGDFSTPTSATFSTGQNSPRWGPVMLP GSSHSRAHSMYTPGSRTPGRRLSVPSGGNPFQSPHGAGVRAPILGPSMLNSSNSGAFS PSQSSLLASPTTSTATWSRRDSTSSAADEAWRRRTWHPDTRDYNGASRLSQVITPSQF PPDTVTLPPPNTGGQQQGQPLRLPGIESFDEFTRLAPPPRNPSPMMIDSGISRPPAGF QAGGTGTEDQRSRASQWDSSLDRGLNRLDINTPPRDGAGAWASEANQALHARAEQTRV RFEPEVKPSPAVHPPVAPTTPPNIFGPRHQHTVSAPSFNTPRESRRHGWYNGPVSSYP TGETIHEGRSHVDRIVHPNVSSFQGFPVRDQQPVIHQHQPGSPMERTERVERVERMEQ IPERSPNQGGEPESMRRLEALVAVATGQNNAATAY MYCTH_2112484 MPLRIDISDVAIEKTEAIHQLHVWGVKTSRWKNNCIKTPLNSRP SPNHRRAAVMHSEAPSLDLVWAEIRDDHILELAVINPAMEDNDLLNKLGHGIAIGFSP TMMDPDSVVDTKWRNQALTDILGPGHKVLWPGPVIFFSYGYDVSDYVKVGPNQPDVAK HNRFRSLWETDHGKGNDMQNEGNDSCSVGEMVWKWKVKERGTSMRVLDLSRRDLSAIL DYTAYSQLNPIPSSSMFAGSNAFHCVHVLDLNNPGYSLKNLWTEIDPAAPLIASKIPR GVLTFQNPRGDNLSFYFVGLPTWPNPLQAMLGAFAVGLPWLIHYSMDINPPFRGNMPR ATRWPLADLLWSIDFPSHDSANRAMLEAATKADADPYTGEDRDVQQASAPSLRPPGGR GSDTTDAAPLLHIYRPTHACDSITVVHALGAELRPDHVRALYKYLRHTRPTLWSRHGA DGFENYWHVYARVHLRGEAATTAAAESPYAITRRAEEKVQEKQERKGKGKQEVGDERN GGGWRNDPMLARIMAHMTLERFTGEDAVKSGERRAQIERRRRALLKVIEWWRTKGPGR EGPPAEGGH MYCTH_55985 MVRLTAAALLVFALSSMSITPNNAGARNVGAANGQQFITSGCVN DADCSSQCCADANGVGVCSAEAAQFQNGKNGCGFVDPDAEATVAVAKAQVERQGF MYCTH_2120439 MHLDHPVNQSLQQIDENSWLFGDRILLTRQASPPPDRPSWGDGN GLYYVVSEPPSPLPPCRPLPADSHIQKLYDAGGVSAVWRVGDAICKAKKYSDPNMTWE HVTLDHLHNKCPLGQLSFAVPNVIHHVQSKDRYFIIQSRIPGKTLAEAWPSMDESTKE YYVSRIAGICTELAAWTGDGIHGVDGRNLSDLYLTPLHGIEDMSPQNLLRNCANFGMD CSQFVFYHCDLGPGNIIIDPGERSFGVVDWEMAGFVPREWIRTKVRVSSGLDLHGDDD ESRQDWRRRLQGRLGQDGFPEIADRWMDWFMNDGNQEVK MYCTH_70142 MSTQTPPPGWRPTYDTCHEVSQLCPVQATTLGYYPNEGVNIFLA IAYGLAALVTIVIGVWKRTWGYSLAVGAGCILEFAGYIGRILLSKNPWNDGAFKLQIV AIILGPTLICVGLYFTLKHAVLALNRSLSRVKPRLYPLFFVPADVSCLVIQAIGGGIA ASAGRDKYDLLQHGNRTIMAGIVLQVVVLAAFGALGADYLFRTGRSFKSGAVAPEHRA GAALWATRKFRMFLWAIGIAYTTLLIRCIYRIAEMAGGWGNHIMQDEPSFIVLESFMV LIASALLAVFAPGIFFPQMSHSYVAPEDEKRPQTESDSAGTEMEHV MYCTH_2120441 MSGVVPPSDLPFSAPPGRRDASTPIVHASLENSFPKPLSVHRPP GYATLGRRTHKKSRTGCSTCKARKIKTYATLTADSCLWEFWRDDVVQLGLRQDYIMRA VLAVSALHLAYHRPDRRDFYIEEGILLHQKASRSAMRVMAAGDKIDKDQAATLFVFSM LTMFFAPLLAPLRARIEAAASADPALRRTYAHALDELELALVGARADPGAPRDVLDAM VWLWVVSDEFVPLLRAPTRTQEAVAIFAHFGLLLLRHHESHWWLRGWGDHVIARAAEI LDDDHRAWIEWPLREMRTRRGG MYCTH_2129511 MRYRRGWVRRGTGITPMYQVALARAVCGDPADETLRERMPQPGQ GCKVMVWPAGHVPGAASTMDDDVFVF MYCTH_103080 MSENAPRVPPKGVFVPSPTFFKPISEGQNSVQPPVDTETQVKHS IFLARSGVTGIAILGSTGETVHLTREERRDLVSAVRKGLDGAGFPDYPLMAGVLTNGG LDETVEWLSDYAEAGAQWGLVLCPGYFGAAVSQQNIVDWYTAVAGYSPIPILIYNYPG VTNNVQVLPETYRTLAKHPKIVGCKMSHGNVSHHVQVSLDPEIDHDKFRVYSGFGNLL GPIVAFGAAGVIDGMAAYYPKTVVRLMQLMLQAEPNPETRAEIRRLQFAVGRAEEFVM RYGIMGIREATSRVAGFGNLEGGRLPLRGSLPEGVWEQAKGLFLQEIEAFESSL MYCTH_2309609 MTDDKGRTVTVYHSYYPPGVKRILAVGSSAFIGEVDELTVLKYP LAPGGDMTRLEVERQLFEIIGRHERIIALRGFTDTGIYLERAPNGTVAEYILESGKPP PSVKQRLCWCREAAEAVAWIHARRVLHCDIQPTNLLLDKDLHIKLADFQGRYLSEDGK VLLDGWSGEPCRFCCPRDDDFDADVKTDLFALGCTIYFIMMGHAVFPDIIDGEDGWYE KVEDRFAKGEFPRDKHACSAITLKCWQKEYECAEEVVRDLQVLDGEP MYCTH_2129515 MRRIILDIPTLIIIIVFASELAGVSYVIVVVDDGGSDGRRIGHL VGLSVIHSIKTSAFLVA MYCTH_56173 MSTSYSYPNDSMASHPYYPPDLVLPGFVPNEISVPVLLASFAGA ASLVIWVTSVLARTIRPGISRADLFTAMWFMLCGCIHLFFEGYFAYHNGSMPARSDLF GQLWKEYAKSDRRYLTRDSFTVCMETVTAVAWGPLSFLIGWFVIKDNPLRHPLQIIVS LGQLYGDVLYYAIFFFDESVYGAVYCRPGSFYFWAYFVMLNGFWIVIPLIHIVRSTVA CARALQFVQDATKQRRSK MYCTH_2309615 MAAALFVIDVQNDLATDPATRIPHASRINTSGEKIISAARAALQ RAENNNTSSRLKTIVFVQHEEKPEDGTLVRGSDPWKLVFEPLPGDARERLVAKWTRDT FESNPDLASELKASGIEEIIAFGIQSECCVESTCAGALAAGFRVTLLSGAHSTYDNGA KKAEELEREVEERLRLKGAKVVPWEEVVAAWP MYCTH_2309616 MIETQNGSRTLPYHVLDRVPLRPMPTAAFIGYHPFPPVMNLYAN YSSGVISAIKSHKLCGATPNDFLYLVEYHIGYTARGPLNFGRGYYLRNGMTFKDPILA ATGEKSQLPLLGALFESETLVKLPPPDMNQNPRDMVDEVLRTEISREHGVTFRFSIEV GTRWLRRETFEWRKVTGTIGRRDPGGHKVRARYTLERLPLNPRAPSTTVAELSFNDVL SFTHLFTLELKGAGLTGELGDRWTLMVVTTALSLHLLRQLGKAEKVSGLGAAEKVDGT MYCTH_2316107 MRLIDRIQAKIELFRLEQRYTRRRHRRSTFVSNAIYVDGEYIYQ SPNTTGSSAATSKTSSSIATTRVVEFDSSHYSSSAAAPTTASATKQKKRLNRWSSMPG YGPGSSPDASMGRIGGMPTVREQEWRGGRVSFDERRRY MYCTH_54533 MKWLALSLALAGHSQAALRFGCSTVSIQRLDPLVEPGKIPSSHV HQIVGGNSFNATMEGDRSEHATCTTCTFSENFSNYWTAVMFFKHSNGSYKRVPLMQNT ALPNGINGGMTIYYTQQDFFSNGNQKITAFKPGFRMTVGSPTNTGDQGNPGLRFVCLQ NKGTRFPELPTFPSQPCPGGIMTVHHFPACWDGKNLDSPDHQSHMYNTVREAFTPAGA CPASHPVRMPQLAFETLWDTTQFNSMWPADGSNPFVLSYGDGVGYGTHADYVFGWKGD ALQRAMDSNCMFNACENGNPLQSQSVQQMNACTVKSQVTEELDGWLDHLPGQENMGNM MYCTH_2112496 MTPIRSPLGVAWPAVAALSAAIVYFVVRVTAKRRFYRRHDLPQP PHDPIWGHLKLIGEYGKMVTGDYIQGPWTEMKQDFNLPDIFHLDMWPARPEFIVCSGL GPDIVRVQGQDIPGQEGPHLRGAGLLDPPITTRRRSRTPRSFRPERFLPATTNTGAGG AAEPSFPRSAFRPFERGLRSCMGQNLAMSEMKVMLLCDHGAAAKPRLRHTDLDTVLGK HAFQCGRFSAGSSGDVLMKVRTAG MYCTH_2309618 MMATSLHRARVAPILRPRIACPSFPRRPWTPRLGTLNVAAASSP LRTVFHTSTPRSYGPRTQNVETNPPPDGQKTSRPPPPQDPLAAVDKSAQEQRKADWAI MKEMSRYLWPKDSWADKTRVLLAVALLVGGKVLNVQVPFFFREIIDSLNIDIAATGGT VATVAGTVIFAYGASRIGAVVSQELRNAVFSSVAQKAIRRVATKTFGHLLNLDLNFHL SKQTGGLTRAIDRGTKGISFLLTSMVFHIVPTALEISMVCGILTYQFGWEFAAITALT MTAYTAFTIWTTSWRTKFRRQANAADNKASTVAVDSLINYEAVKYFNNEKYEIGRYDK ALQQYEKSSIKVATSLAFLNSGQNIIFSSALTVMMWLGAKGIVAGSLSVGDLVLINQL VFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKVNVSIKEKPNAAPLVLPKGGEIRFE NVTFGYYPDRPILKNFSVTIPAGKKVAIVGRSGCGKSTLLRLLFRSYDPQSGRIFIDD QDIREVSLDSLRRSIGVVPQDTPLFNDTVELNIRYGNLDAPPERVLEAARRAHIHDRI ESWPHGYQTKVGERGLMISGGEKQRLAVSRLVLKDPPLLFFDEATSALDTHTEQALMA NINEVLREKQRTSVFVAHRLRTIYDADVIIVLKEGRVIEMGSHRELMESNGLYAELWA AQEMLFDQNRGSGQDKKELEKE MYCTH_83111 MSVRVVARIRPLLEKELEKDIIVRADRVEEGKPLTLVKIPSPKN GAEEFSFAFNSVYGPTTSQEELFTAEVAPHMKALFQGLDVTIFAYGVTGTGKTHTMRG GLRLADRGVIPRLLSNVFRRGKKIMKDTNGATTVTVSLSYYEIYNDKVYDLLEPPEKR TPAGLPLREKDGKTVVVGLSERACDDLKDFERLYIEANNNRVTAATKLNAHSSRSHAI LRVKVTQTTGDMIRESTASAIDLAGSEDNRRTDNGKERLVESAAINKSLFVLSQCIDA IARGDKRIPYRESKMTRILSLGQNNGITVMILNLAPVRSYHLDTLSSLNVSSRAKRIE VREIENEIVYKQPPRTTSGIGGTGVQRQPLRPLNNAHNVHTGALAAKAAEKADKSDKP DKPVKAFSVYTDKKPVAPARAAAPPAANTSLPRQPLQSKRPSETSDSLPRPSKLARPT TQSLLRPPQPITTTAAAAAAAAAAPPAQISITPDQIEAMVEKKVAEILAARAATVPQL QPQPQPQAAQAAEPTVAAASAEISEEVRRRLEALERRIESESRSSDADERRTEGLRLL LRARQAKEHGDDAGALRLYEKALPYFPGQPKLLGKIEKLRAKLGLADADAGGRRKKER KQTEEGEYQEGTEADIDEDEEVAGKSPLRGKGGKKKGSRSRAFEHEELDDPSSPRVKQ LLDIVNSRDVTLIKGLRGFGAKKAQDLVDFLTLKGDGESGRIESLTQLRMLPGMGGRT VERAYEGLVAAQAQVC MYCTH_2309620 MKRQAPLVRRLRPSAPTPAARLGFVCRQCRAIQISASPTTDSRP AADAFGAYPGSPRDSADARFEVLGSPYSLLSVTLSASQRLYTRRGTLVSVAGKVENAQ STLSLLSPFRRAPLGIPFLYQRVSSTTPITALIATKSPNTTLSILHLDGTTDWIVSQR NALLAWTGHTLTPSPRVQQGLSLAHWGSTHLTGRGLAALAAPGQIHELTLGEGEEIVL HPSHVVAYPVTRHPPLPFRFRSTRLGLQVPAVPASITSAAGRLVPERAARFWNAMRDT GTFKSLARLLYGLRTAVRRTIWGDRLFLQFRGPMTLLMSTRGVRVRDVLSREDVNEIA DAEAGVVPAAVKLATKPKVQEEKRPEQKVAIHVASVAKDGKVRFEDTKDLNEFVR MYCTH_103070 MHRLAYLVSLLPVVAAHGFVSSPPARRPGSAYRATCGEQPFYQQ SSDINGNVQGIQQVVGKDMTDACNLWLCKGFVLDDNKDQVQSFSLGQTIDFKVNIAAP HTGYANVSVVKTSTNTVIGEPLIEFDNYASNNGVAANNTAFSVKLPSNLGGSCTTAGD CVLQWFWDAPDINQTYEACVDFTVGSGSGSGTSASPTDPTTTATPTSAPSTSSSSAAA ATTTTTSAAAPPTSTEGAAPTTTATPVPNDEGDDEECPVDDDGEEDNDGEDDNADEEC PADNGEDDEDGDKCIADDE MYCTH_2309623 MYFTLFTAAAFFLMLCSGAPLVSHGRPVRGVDEPCKQVRDHVAK WTAVNGIRKEVVPRAPEDP MYCTH_2309624 MGSTTLLRGFKVSVAVLDAFLAANKVDETYGTPPFYKDHPDRDP ISKLLFSKIARFDENADKNKFRVVIPSVESRDIATTAYVTYAWASVVAHREVDLEHDL PAELPKGFEELRSEILSFSDKVEAKDRIADDGKIGVYLVVTYGLRGHRGPPEDQ MYCTH_2309625 MAANHFYDIQEAAALKISKIADKGDNYAYEDVVWHAWEKIPRPY FPERGATATAPRYSIEREAYRGSARDPPEHKPDVIVVRIHNVQQAAGQRPTAIERDIL WIECKAPTHLKPHGWHNVLGEAVTRLNAAHPDREVFLILAIGMKWMPFMWDPFNPFPR GQGLKMLKDNGQPWDDEIDGRIRPVNMPNQRHVNGRIIDTTRAFTLNYWDADANGNII HLAELQLLEALFNNIQGRIFNGANPANF MYCTH_2066192 FIRVYINDIIIFSKTEEEYLEYLYTIYKILNKAYIYISTTKSFI GYLAIRLLRYIINGKGITKTNDYIATFKKLKFLDTLDSLEHYLEIAR MYCTH_2309629 MPNKFQDLHTVDDVSYPYVFEQNATVNLKSGGVVRCNIYRPMKG GPVPVLVTYGPYGKDIHYKDFSSKYSEVNPRHKSAHSAWETPDPGFWTEHGLAVVRAD EVGLGQSPGMLDTMSRATTDAFVDVVEWAAEQPWSSGKIGLLGVSYYAGSQWRVAARK PKGLAAIIPWEGMSDYYRDRCRHGGILSNGFIKFWWDRQVVTNQYGRPGRAASNWGPD TIEGDLSEEELAANRRDQTIDNRKHRFRDEPYYASKEYDMGDIEVPLLSVGNWGGILL HLRGNVEGFVHASSEFKYLRMITGRHDLPFYYDEEVEVQRSFLDAFLRAEDRVGWSQP GTVRPVSLVLRKGNVGFNNPEAEKAYQRREENEWPIARTRYTRYHLTPDLTMHADPAG TMPKRNKLTYHALGTIENPHFLQFVTPPFERETEITGHVVAHLNVSASPDPSCPTVPS DIDLFLTLRHLGADGNGILYTGTAGDPVPLTKGWLRTSLRRVNAAHPRHRDWLPHRDY TSRDVLSVIPGEVYAVDVEVWPTNVVVEGGGRLVLEVSSGDTQGSGIFLHDDPVDR MYCTH_2112504 MHIPRDGAATPLKPPLDTWLFEDVQIRKVVHVDNDNNNNNNGDD DRNNCNRHTTLNRADLPSPNPANTRTHTRTTATTTTKTTATKTTTTAKTTVGNLSDNE YDRSTAGNLEREQEAVREQMRMEKSFRDAKARVREKERENARAEGEKSRFGGEKAERE RIEREVRREMAMREQERQRLKARIEEEITVRERERERERERERALREEVEREVQAEME NRAAALRRAKELEEAAVARAIEESIISEAARKLLLEEREERERRRVEEAIRMEAEARM QRERLLRRRAEGKANRDVQARSGTKMAGVDAAGLYYDLGSPPIVPQLGEIRALAGVDW LQDGPFGIESPSEAYSGDNELADPAPEEAPTWHQQAPPRTNTSPGGRVSLDGDMKAFV GRSNRGKGASSHWREKTTERWETIVQRDNHCHDTYHRFSEVSKSTRKFL MYCTH_2027194 TRPHSPSYHRPLLLSSRSARAALLSWFGTVAEARDMPWRRPFRP PSALSRAELARRAYEVWISEVMLQQTRVRTVVAYWSRWMARWPTVRDLAAASEADVLA AWQGLGYYSRARRVLQAARAVCAADPDGLLPGTVDRLMALPGLGRYTAGAVAAIVFGV PAPMVDGNVLRVLSRQMGLLADVKGDKRVVDMLWRAAEELMEAVAADGDEVGAHGQAL MELGSTVCASKPNCAACPITSTCRAYSEGVALAETGRCAIEGEAVLEDVEDLCAICAP FDEAVGGEDEEAAEDWRPPPSSPGNGDGKLSRFFAGKEEKRGPKSPAGGRGQGGLDPR TLTSAVNHARKFPLRKPKKKVPLEDILVCAIRRVSDGRFLIHQRPDKGLLAGLWELPS HPLLDKRTPKTRRTEAVEYVTELLGNRDKAVKHVAELGIVPWLFSHLKLHMHVHLFEV EDIDPLSGQGTRARWATTSEIDAESMGTGMKKCWSLVKER MYCTH_2129532 MHFSSGIISLLLAPVAIAAPQAIDYGPCDINGNDYCKEIMDNTA CFLNPKGAEEIFKCISGGRIGVCQCYGCLGYTPVGEVISKSSACEGVPTDTSPVKLTP PVTPAPTPGP MYCTH_2309630 MSSLVQTLTASGGPESPGFLNDLVKQLWPNLAVAVADTIKQSVE PMLDSMLPSPLDTLRFVKIDLGHVPVHLDKVDVHSTENGGIKLDLDLSWDGACDIELD GKMTPKIGVEHVKLYGRLSVLLCPLTNVLPCVGALQIAFINKPSLKMTYTDAAGIASL GVIDKALRKVIIDIISSMAVLPNRFLVKLDAANDWFKTYQHPLGVLRLTVESGSNLGE DAGETKNLLKRLVHDVPDCFATVNLSAEPEWRTKTVKNSRHPEWRETHNFLVTDHEQA IELDVKDEDTASDDDIGIATATVKQLLLAGGRQELRLVHKGEETAGRLAVSAEFYRFV PDPASLSGSEPEAVLGLLSVLVAAVRGLKGRREELKPSVRVDWGEQTFRTAIKTDAPG TDIENPSFDQAFQVPLKAGMVNGAPPVKLTLMNAEHETGSVEVPLDEVLRAPSLRLEK VFGIGNGVTLSAGIWLRGVKLAE MYCTH_2309634 MTDTDPPGIEPESSTAPTATKRDNDSATQLAATGRGRKVKGAFQ LNVHDALSPDPTNEDMYDVPDNKFAFSPGQLSKLLNPKSLDALYALGGLAGLEKGLRT DRHAGLSTDESALDGTVRFEDVAPQGVPKYGSNGDTLPVAAKAGDAKPATAPAPAHHA GGQFADRKRVFRDNRLPEKKPKSLLELAWIAYNDKVLILLTVAAIVSLALGLYQTFGV DHEPGEAKVEWVEGVAIMVAIFIVVAVGTLNDWQMQRQFATLNKKAGDRTVKVIRSGK SVEISVFDIMVGDVMHLFTGDMVPVDGIFIDGHGVKCDESSATGESDLLKKVPADDVF AVLEDVAKGGKPPADIEKLDPFIISGSKVNEGNGTFLVTAVGVNSSYGRIMMSMHTDQ EDTPLQKKLNILADWIAKFGAGAALLLFIVLFIKFLAQLPNNHDTPGRKGQDFLRLFI TSVTVVVVAVPEGLPLAVTLALAFATTRMMKDNNLVRVLKACETMGNATAVCSDKTGT LTQNKMTVVATTLGKSLCFGGTDAPLEEEEEADKAAKAVEIINIPNVTVSEFVKALSD TTKQLLIESNAVNSTAFEGDVDGEKTFIGSKTEVALLTLCRDHLGAGPLQEERANANV VQVVPFDSAVKYMATIVKLPNGKFRAYVKGASEILLAKCTRVIADPAGEELATTAMTE DDRAVFSQTITSYAGQTLRTIGSSYRDFDSWPPPELAGQQDLTAAEFDKVHNDMTLVA IYGIKDPLRPSVIDAIKDCRRAGVTVRMVTGDNILTGRAIAKECGIYHPEEGGIAMEG PVFRRKSEEELKKLVPKLQVLARSSPEDKRILVRMLKELGETVAVTGDGTNDAPALKM ADIGFAMGIAGTEVAKEAAAIILMDDNFASIVKGISWGRAVNDAVKKFLQFQLTVNVT AVVLTFVSSVASDKEQSVLNAVQLLWVNLIMDTFAALALATDPPSPSVLDRKPDRKTA SLISTRMMKMIIGQAICQLAITLVLNFAGASLLDYEVSNSVQNVREHEQERLRTLVFN TFVWLQIFNELNNRRLDNKLNIFEGITRNYFFIIINLIMIGGQVLIIFVGGEAFKITR LNGKEWGLSIGLGAISLPWGALIRKFPDAWAEAMVPHMPTPNVWPFNRKKKKEAALAE EQDVEKAERATSASDETGALHQKQRKRTTLPVDGEPGDYPFEPPLRTLTSLRGKRAST HISQVRSGFRGYVHDKKDQMKAKAKGMSASKTNVSAHVVVPASVAAAPGIAGKGPDVA H MYCTH_2309636 MSQHLSRLPHTGRNVRTGIELVDKDGHIIDNYDIVFCELFCLAA SALALQMNEDLADAGILWDEIMATGGHSAANPVGQPSTATMNGSSSSFNHELDDLAER GIVRSVRPVRRSGHGHLMFLVRRVDSSQVDHLAASGYCFAEPRHVAHMIRSKMQIRTN GLVDKFRAMERYARGVMLEPGVHVGLFAVRTRVHHLGFDVLVRKLARNLLPSMELPLE RLETADLSLLRRLDGTTLGVLQRQLERVNNHLPREAKFASLLLDAIRNLQNSVQDPVF ASAKLVAKPFPVPCTPPSNDSRPTTCFFIAFTIMIPIHLRVEAPAYDFIPLPFFKTQQ LVYKNSPHKAAFARSVHRNLSPILNSVPPTPDSALFPTNRLPSFIPIPRWLRLSESRM SSQGRAPAEVSSGAVRLGSPGAEHVALTPCNESVSSLLCENGGDADNNNNNNNNNNNN NNPVSERRARSDMPDHSAVGPVSRRWRRRQKHCPGASSCGGIMISQEVMVNVEEEDEE ERERRRAHDDDDDDDDDDDDVPGTPAPTHNTNHHDSSESGEPPGLRRQRSQRALSDMH DEARAKKPPKQGDNIERKSVSKALRVGVSRADVTKGQDDRITTFVDDMFAICIDSARK M MYCTH_2309637 MPETRYFVLPDQRQVAYGIYGAQDAASTVFYFHGCPSSHHEAFL LSEAGRRHGLRIIAPSRPGSGGSAFRENGTLLEYADDVLALADHLDVPRFGIVAVSGG APYAFACRKRIPRTRLTAVGIVAGIYPVTSFGTAGMKLPSRVMLRLATWFPSIVAWAI DRQLGAVARDEDGKKKLEALLVADMQQEATWESDKLAWEAAAPEAREAVVMGIREGVR YGGRGPAWEMKLYASHWGFELDEVRPGHENELVLWHGDLDANVPLAMAEKAARQLGPD AGLRVVEGQGHGALTFHRADEIMSTMRDNMLRAI MYCTH_54987 MKFLCLPGAYGSAKNFRVQLGPLADELERRGLATFAYSQGAHEV EPPAGWEDYFGARPLYRFLDTRHGDNFENLRRLRHMPYSINAEDAIRMFQDTGKGDDW HQPVWREAMDDVIKKVDEHPDVDAVIGYSEGAMVGASLIVEEGEQERRTGRKRRIKFA VFISGSPPLKFEGEDRVVALLADQSGVVIDIPTFHIFGCNDAFMGGAVALYNVCDPSK SAMFDHGLGHIVPRDAENVRMLADILEKLIPEVEGRSSRQGETESETDKSTAGSETSE SSA MYCTH_103061 MADKQPEPIAIVGMACRLPGSVSHPGEFYRMLCRQKTGWSKIPP GRFNAEAYHHPNPDKKGCINSEGGYFIKDDISMFDAAFFDITRKEAESMDPAQRLLLE CVYEALENAGIPKETVSGEKIGVFVGANYSEHRVGNLRDLDHIPSFDATGNQGAFLAG RVAYYFNLHGPTLTVDTACSSSMHALHLAVQSIRAGESEQAIVGASHLITHPDIWVSM GKLRLFSNSGRTYAFDNRAKSGYARGEGAGCLVLKPLARAQADNDHVFSVITHSGASH NGRTIGIVAPSPEEQEKLVKRVLAEASIDPCDVGFFEAHGTGTKKGDPIEATGIYQAV GRYFTPENPLYIGSVKPNIGHLECASGVVSVIKSVLMLYYGFILPNADFKWTNKAIPL DEWNMRVATQQKPWPAKRKYVCVNNFGFSGSNSMCVLQAAPQIRGLEVGDNSSYSPLR LFVLSANDETALQHSIRKLGIWIEQHAELYQTTMPRNLAYTLCQRRSHLPWRVAVVAN MCSSVASALNSHDTVPARAPSEPPRLAFVYTGQGAQWFAMGRELLGTHPVFFDAVSRA DAVLRALGADFSVLEELHRDKTSSRVGLARISQPICTAVQLALTELFAAFGVRPAAVT GHSSGEIAAAYAAGALTFESAMAAAYHRGHIIVELKERYPNLRGSMMAVGAGADELSP MLQELNEGGGPQVVVACENSPSSTTLSGDEEAIDRVGKLFQDKGVFNRKLFVDVAYHS PHMQLIAESYLAQVSHIEAPVGGTSSGVEFFSSLHGRKISLGELGPQYWVDNLTRTVR FSTAIQKLCTEYRPDILLEIGPHAALKGPIMQALKRLGSAALKISYLPSLIRGEDAAR TCLETAGQLFVRGHPLNFFEINHNREENEKPEVVPVLYTYPWSRQRYWYESRLSRQHR LKPFPRNDLLGALADWSSALDPTWRNVIRTEDLPWLKEYQVQSRMVFPVSGFISMVVE AAAQTACLNETDAAMFEIKSLRISEHLYLADGQEFELLLNFRPLDTGDRTGHAFRISS YEANRNWLEHCTGIVTVKLNSSSAPASVGAENGRALSRAGTKSFSTFQNGSTDSGSAQ PRASDKGSDIGNGLLKPSQKNSSQMDMYKRLESRSLSFPASFKSLVGTIMRKSRVRAH CVVRNTRSHMPLEHETPYTIHPAVLDAMLQIPLLYPDAKSEVKTSDAACLPSSIRHMI IRSHWTHKSGDSFEVSAAPEPKTASFMVELFSAAGAAAAVSMSGLKFKPLECKPQEPP SPRQLCYKTTWEPLNKTTEIDEVARRHIAGADKAIVIVTERGRDTEDPLVTLLIRKLK ECTTVPVVACSLGAIDDWNNYFIVLCELRTPILYPIDQARFDQVIALLTEAPGLMWVT RGATRFPTSPSASLALGLTRTARSERNAVASTLDLTAFSRLHITRQAELIRNAFGVSV LSGGEAAEMEFAEEAGELIVPRIVPDAELNLDVHRSLGQSAPYHQAIHQPERQFRLSR QIDASSGDELYFEDAADVPLEDNEVELLVEASALTQDDITSGTVGDEPSTTIPRSCAG TITRVGRAVGNIRVGDRVCALAEGPFGTHARARRTSVAIIPDTIRSKTAACIPGPLLA AYYALVNTANVRQGERVLVQLSGPAGLAAVAVARHLRASLYALILDEQQGALARKIGL PPERILDARSIYFRQELEEATQGRGMEVVLALSGQGTASAWECLANFGRFVEIRTPGA HERTRPELGINATFSSVNMINIAAERPEAMSILGVVVHKVATGAITPPTTITKAPISQ LHRGIRMVRDGAVESVVALIGDGTEQVRALHRVSRSIFRSNGTHLIIGGTGGLGRSMA RYMVEHGARNIVLVSRSGGGGDAVDELQRKMQRSGARLVVMKCDVTDEGQVRQLIEAC QRSLPPICGVIHAAMVLRDVLLENMSHAEYQQVIQPKVLGATNIHTALTATRVKLDYF VILSSAAGILGSRGQGAYAAANTFLDSFAQYLVERGVPGTALDLTAVTGAGYLAKNAE RQEEIIRNFGNESVSEDEVLALLSAAVRGMCGPQCLTGLKLHLGRDGQWPYYANDARF AHLKAESLAAAEREGLVPRQAVSPGAAFRAANSDKEATHIAAQGVAEKLSEVLTIAVE DLDVARNITSYGLDSLTAIELRNWIAKEFRTNLQILELLSSGTINDLAGLIVQKARTA CADEKPCKSPV MYCTH_2309641 MATKPQPEAGSLSAINYLAANPPQYPYHPEVREPLTLYISRVPG AQDIILSTFRPREKTVTGEDITSSLYYVHLDSPEDGLLAVPQRPDNAASPRSSSESAR SAIPRKPLPPQAKVAGPDHGALSSNEPCQRTTPTSVPQSLGPGHLAPPLDPDPQLQDG AIDLTGYRENPVFRKRRSGVASETPDHPTGPTPSATASSIARKPLNPAASALETPPHV EIGVADHNAPRGPATPASPERKGSPLRPGRTAHTEQSRGRPSSVAFSLTVIRRDPTSG TQCNVGKITSFVTNVPTPETADPSLDPDNMGGLPSHAQKISIRLETSGYAKYRDMPTK ADVEDAYRLGPGQSFSQQLRQSLDLGAGPARGKFPTSRTAWETDEGGFAREVVMSYTA SWKSNLVKAFQRKDRPGSPVHGEGPSPEVPSARKSFHARHGSASTIGSVDSADGIHSP TLITRPGPGLKPRGYVFVSPWGGRCEFRTSIDGRSLRCRHILDPATARIDPRELSQSI RDAQAMGRSRTEELASALVGAKQVSELRFSLPQGNSLRSHEGDGKPPPKGRNRLSGQF SKLLHRRAQSSDEEPEAVDELDSYDEGTALDLSLGKERAGGGAKGNKAKLGKLIIYDE GLKMLDLVVAANVGVWWTTWGRMS MYCTH_2309644 MIAPSAVSLAARQASLVRGPSALLRVSAPSRVLVVRRGYATPAG PPPKNFRLKPPVAWDQEKESTLDKVGKYFLLTEMFRGMYVLLEQFFRPPYTIYYPFEK GPISPRFRGEHALRRYPSGEERCIACKLCEAICPAQAITIEAEERADGSRRTTRYDID MTKCIYCGFCQESCPVDAIVESPNAEYATETREELLYNKEKLLSNGDKWEPELAAAIR ADAPYR MYCTH_2316112 MLRTAILRSAAVAARTIARPIPSAAARRIAVAPAPRVSSFVPKT VSWQAVRCYASGGSLDRTEVYERIKQLLSGFDKVNDPSNITETAHFANDLGLDSLDTV EVVMAIEEEFSIEIPDKDADTIHSVDKAIEYILSQPDAH MYCTH_2309647 MAAPHPSEHRHMRGGSLNIPPQGARPGGMMGAMPVARFEGPRSP PNTSHVPCKFYRQGACQAGNACPFSHDLSAASETVCKYFAKGNCKFGPKCANIHVLPD GRRVNYGKNGVTIGAPPSVPLGARVNPTTYHHQPSTSALTNSFLRADQQPPYHAPAPY RAQDDAYGHQLMENNLPIIDTTYSSNPASAYGSPRDEDANRFGLGLSPVNAKGLSVMD APLPASFDSNGISLAARYGPWPASVPSKFGLDSPSPSLHSVRDARTSETLKLLHSSAF GSSDHLSPSALGVSDTNGFGSSPPVGSATLSSAPGNDEYYGKRLMHSSAMRYTKPRLI SSSVPKIDRDWEAEFMFEEDYVPGALANEVLTPAEKARRGSATHTVRMTDTAEPAADS LLIGSSKFGSPVAASPSRWGPLFQRQKEEELEASGTARSMKHVSAFGHVGSPLRNSSL APSLTADAEGQQRTSSANESVSVLTRQLRETRLGEGGSGSSSPRLQPTAVRNHKSTGS GTTAAGIIGKEREKERPLERHISSGSIGSSVTGRFTTPIDEEDSSFVFRMEEEDDAQT RAKKRVSGGNPGTTSPLSTTWSYANVVANNRVAPNGSKGAASNDAETVAGP MYCTH_10713 GHRVSAFRQVLNVLGCILAVPLYYLTTAHTRYPVTLDIIITIAF TELNRYVIEGCRIAFQGRQRSGRDTASLSEKWDEKAAWYLENQPETPKLECLAAVVGW REDPGLYARALESYKSSKACVFLLAGIDGDEAEDEDMVRVFKKVYPEHSAVIDIPEPL GDIAESVRAKVIAQGRHHQDPVSDDEVDAVAMQYCIELAKSILVQHNLRIGAPDGVRH LCLKQRHMHKKGIMFTTFVFSLVIADILGVEFLWSSDSDTIVFPDSLEGTINTFAADP DAGGASSGLVVHNAEETTVTKLASAVYWGELYLTRSTPACTGTSDCQSGPSTAFRLAA LPDILIPWYLQRALGKRMIVNEDRHLTTNLLLRGWKVMFASDVLTATDTPTTMSRWLK QQVRWARATHIESLLHPRVYATSHPLLFYGMAKREFGPAIGAIAIIWYFFTSQQLVVF SVNDLVCRVLVGSCYNILRNPDRLKGGDLLWILPSILFYYIPLPAVHLWSMMTMGADG WGTSMRASGERARKESLRRAWFETGFFVVWMGILGGVVAKVLGAYLGMVWHERMAAIL VSVAISSFGTWSITIGVS MYCTH_2309651 MIVTHKNNRLFPVIIITICLWAVYRLVDPTVNNFSNALSRWNSD QHSDTGSPSPSEPETASPSTWSDPTSPAPGSASATETAGGLRADEHPVYGNLSANDVL LILKTGSTSMWKRLLIHLATTLSPSRVPPENVAVYSDHPERIGNLDIIDALANVSAAT KALPDFDVYHQNPEYAGHNVYVEAAGVDGDNYGPPGGWIIDKYKFVPLMQHAGENWPE AKWYIYMEDDAYLFLPSVLSYLSAFDWREPHYLGSYAAKSDIVFAHGGAGFALSRGAW DKTFGLNPNLSAEYEDYTASHCCGDQVLGHALNTYGVRFGENGGDEKFTWGFNPVVHW RFGFSRWNWCSPLMSWHKVHNRDVARYFDFESAWDFRKPLFHRDFFMAMIAPNLRKRA EWWDNQSGLLRVTSANKASPPTPETDFDMALWNNAWESADACEAACESWVSCVQWSYV EDLCSMDDKVMMGQGYAPAMSERKTALKTTSGWLIERLDEWKCE MYCTH_54058 MRIHLRGFAILGLAVEAAIARPPGPPDTPRSPGPPLPPGPPGPP GPPVPPDSDHLPPFSTFSNRVIYTPPKGGRAVYPRVAELSDGTLLVTASVSGVVGPDN LPAFPIFESKDGGVTYQWISNLTDQVNGWGMSAQPALLELRQPLGGFKPGTVLASGNS WSDKGTRIDLYASTDKGRTWEFVSHAAEGGRPNTTNGATPVWEPFLLTYDDELIVYYS DQRDPRHGQKLAHQTSRDLKHWGPVVNDVAYDEYLARPGMTVVAYIPPIKKWILVYER PIGNSSSHGVNYPVHYRLADDPRKFDAAQPIPIVIETREGTTVAPNASPYVVWSPVGG PKGTIIVSDADRSWLYLNTAGGDPDKWQIRECGQPEAYSRALHVFEKRPDRLMVLGGD TFDGNGVGALTDSVLDMNKFLQGGYSAPGQSP MYCTH_2120482 MRAALQLSALALLPAVLGAALPSTTSGPQFDNGHPIDGNGKGAP LLGGTNRQIDIDNSDNLGKQSTDNGIVPNLKWRFSDSKTRIFRGGWLREQVIQDLPQS HDISAAQQHLSKGAIRELHWHRVAEWGFVYAGSVLISAVDENGKYQVEKLNFGDIWYF PKGVAHTVQGLEDENEFLLVFDDPDFDKVGTTFNVDDWVAHTSRDVVAKNFGLDPSVF DHVPNPNPNIFNGTVSTKNVTGNPDDVLTGNASFVYRTFQHEPEPVPGKGGEFYKIDS TNFPASKTLAATYVKLKPGGLRELHWHPNAEEWLYFHQGTARATVFIGNAAARTFDFS AGDTAAFPDNSG MYCTH_112051 MSTPIPQPPGIPLLGNLFDVDPNNTWWSLKTLAEKYGEIFQIKV LGKRIVFVASGALAEEICDEKRFRKYVGGPIVEIRYAVHDSLFTAFDHEPSWGIAHRI IAPHLAPEALAGHFDEFVQCADELIAKWQGLGAGAQIRPLDELNRLNLEATALTLYGK KLNCLEGPAHPMLQAMEDATSEAMKRPNRPKILNWLVYGGKFKRATRALRSFAADMVK ERKEHPTDRRDLLWALLNAKDPETGKGFTESEIIDEIVTMPIGSSTAPCAIAAGILFL IRNPDALAKAREEIDRVLGDGPFKREHVPQLQYIAGLVRETLRLSSAAPGFNIEPIPR PGDKSPVLLAGGKYQVPHNQALIIVLAGVNRDPAVFDDPLAFRPERMMGEAFERLPAG VKKWFGNGKRECIGKHWAWDFLVITMTKLIRDVDFEAVDPSYQLKQDGWFNIRPIDFS VKATVRAR MYCTH_2309656 MKSATVATLLACLGTAAAQGVTISLPSGVSLPSGITLPSGVSIV TAGASSTAQANANRRYHKRQNGGFSISGLSIGLQRTANNAAAQQTDQANAANADNADA GNA MYCTH_2129548 MQYGLDSACLASLQAMPGFLRVFGYPNPNLTGGYGIDGTFQQLI GSLLTLGAFLSSIFAGAFAHFFGRKPALWLACLLTALGAAIQIGTTSWGVVYLGRLVL GVGNGFLVTFSNIYCAEAAPAHLRAVLVALFSEWVNIGSIVGAAVTNATSRFLDKSSY QIPLGIQFIVPAVLSAGLFFVPESPRYLVNKGRLDEGRKALEVLRSDSLDEEQFELEW VEMVKGIEEERKLASSVGPLDMFRGNNRSNLRRTLLCFGVIATQTESGAWFLISYTTY FLVVAGLGVDEAFKYSVMQTCLGFIGVNVGIYLMRHVVGRRSIMMIGSLTQGLCMLIV AVTATTSAGTLVARNCLIAFTSLYMFSYNAFVGAASYPVATEVVSTRLRTWTVGSAIS LGYFLAWLTGFCSPYFINPENLNWGARYGYIWAGSNLACGIFFFLFLPELKGRTLEEI DELFERRVPAWKFKTTKTNISEAALKEVRNRGVGSTEPKELAEPVELIETAHK MYCTH_2309658 MTAMRQRTDYGKRLLVNIVDERAETEPNREWVSIPTSSNPKDGW KKITYRQAANAVNRVAHKLVSSTGRPKEGEFPTVAYIGPNDVRYVVFALGAIKAGYQA LFISPRNSQEGQLNLFELTNCRTIWFDAMYKDAVQSWVQERDMHAIMTFPVAAWFPDE DVEPYPYDKTFEQAEWDPLMVLHTSGSTGFPKPVVVRQGMLAIGDGYHNLGEWKGRKI WLDEMSRRSKRMLCPMPLFHAAAMYVTLLLVQYWDLPVALGIGDRPLSADMALECLKY AEVDSVILPPAILEELGQTQEAIDALKALSFVAFGGGNLSDEAGDKLAKAGVKLFNAI STTEFAPYPLYWQTNPELWRYFIFNSELFGCEWRPATDENTYEQVIVRKDKHPGCQGI FYTFPEAWEYSTKDLFSPHPTLPDHWKYCGRSDNIIVFSNGEKLNPASIETILMGHPR VKGALVVGSNRFQPALILEPVEHPRTEQGVREFIDSVWPTVVKANKETVAHGQIGRQF IAISNPDKPFLRAGKGTIQRAGTIRIYEDEIDQIYEQADGVASSEAPVLNLESTESLT RSIEILFEKWLQAPKLEPDTDFFTVGIDSMQVINASRLLRAGLEAGGVRVDSASLATR VIYGHPTARRLAEYLFSVVNQKGQDATSGEPQHEDHAMEAILEKYTRDMPRAPTAGKP APADEGQVIIITGTTGTLGSYTLDIASRCPRVRKVICLNRSDDAEARQRRSNAERGLH IDFSKAEFLRADMSKHDLGLGREAYERLLHEVDRVIHNQWPVNFNMPVESFEPHIRGV RNLVDFSCKATKRVPIVFISSIATVNGWRKSEAVPERSLKEPEIAVGGYGRSKLVSSL ILEKATEVSGVPTEIMRIGQIAGPSSEKGLWNRQEWLPSIIASSLYLGVLPDSLGHMS TIDWTPIEGIANLVLEASGVTSQVPLEDINGYFHGVNPVTTQWRPLAEAVKEFYGGRI QKLVPLDEWVEALEQSQAKAEDISKNPGVKLLDTYKAWVAAAREGQNYVAMDTSRTTS RSRTMREMRAITPELMKNWCRQWAF MYCTH_2309660 MQFSTKLVLFLTAAAAGVFAGPAVDPGAVTSSQTVQDGDILYVG LDEVSSRSAELPATLVCRADTPFFLHTVCPRAPFQRRREAVHWRLFAPPRRLHPGPVP VPWQQRLLVLQRWPHAVPARPRLGRVLDRVRMGDGGGKKKNAEGHHHTWHLLFCC MYCTH_83083 MATDETSPLLPNVESEEPARNQNTMSGTLFWRTGAVFGAAAVGL GAFGAHGLKKRISDPNKLANWSTAAQYQLIHSVALLVASNNPVAGTLFTAGMTMFSGS LYALTLDTERFRFLGPVTPLGGLCLMAGWLALAFGKRGAGLRWPRT MYCTH_2309663 MPVPTTREVVPGALVNIVLKADQRTGRTVQGAVAQLLTRGNHPR GIKVRLADGRVGRVQSMAGDAALAGPLDQLGGEPEMIFGPAPAGFPQGRRGGRRHYTD MRQEPPPPSTQPVGLDAYIKPSKRRGNRGSKAGNSTIDDANDESSLEAPMQPANAHLR SGQDESICPVCGDFRGDAAALTYHVQTHFDD MYCTH_2129554 MPRGSGTDADESVASGLIAYCVVLLVVVLVVVSLRFYIRLRLLR KAGNDDIALGVTVAATIADCIGVTYATRLGLGRHIDTLSLEERSHFLKLLFLSSIGYH VVVILVKTTFILQFRRVFPLPAFQRLCDVFLVFLGLWTVAGIVGGTLVCLPVSKNWDL REPIWTCDERYYFWMAQGVIHVVSDVAILVMPLPLLKTLPLPPPHKVVLVGVFCLGFL TCVISALRLTTLHASLQERDVTWTSVKTVFWSVGEVACSIVCLCIPTLRPLMGSCCCS RRLGDTIVVERGTRRFGFYAVSLPGSVDPPATSPPPRTKSQNRITGNSRDP MYCTH_95473 MPGLQVEAILDAIGARLSPILPTLAALVAVVLLQHVLSRGPLAN IPLVGQELGGDEKRRQAYLSKAGDLYLEGYRKFTVVVVPPKYLGELRKLPDDVVDFDQ AIAQTMHAKYTKIPIGQPLIPHTIKASLTPALVRINPTIASEVQLSIRKELPPCDDWT SININRKLLRIVALVSGRVFIGEELSRSQEYLDAAINYTVELMDARRAIERLRAWQRP FLANRLPEVKKLDQRLAQADRFLRPIVAARRQLQDSEKPNDMLQWIMDGQDKFRQYST EELARLQLALSFAAIHTTSLTATNVFYNLAAYPHYIPELRDEIRSVLAEHDGVFTSPA LQAMKKVDSFVKETMRLYPTGFAGFQRKVTKTFTLSNGQVIPAGVVIQVPADAIARDP EVFPNADQFDPWRFSRLREEARRAGKAEEAAHHQFVSVSPEVLTFGYGRHACPGRFFA ANEIKMIIANFILAYDMKLPDGVTERYPNLTFGSTCVPDPRKELLFKRLA MYCTH_2309668 MARLRLGYTKSRTGCLRCKQRRVKCDETRPACKACVRHGIECSL STQPPPTAAGTAGSPAATLVAANPTAAGATGSAPCPLPASSRRSRTRPVNPTLQPKIE PLVVGSEAGGPSPAGSVPTLADSPATAVTPDPFPYLTKLVTGPPEEDTANWVFDLELL HHFTTSTYSTLLLDPARPEPSRMWQTEVPKQAFVHIFLLHQILAVASYHLAHLHPESR QVYSLRASQHQNAGIRRMRHALSELSPSNCHALFASSSLLFIGSLAAASTSRDALDAL TVDDLVDVLILVKGVGTVLYSCQDLIRSGPLSDLFIQRGGSDEPNPALDRVVLAVSDF LVEIAETETDARVRAIIHADAYRLVSVIRNSLVQTAGPEYRVVAAWPIQMSDDLIPLL RQRNQPALALLSYYCVVFHAAEMQGYWFMRGWAPAVIQDIARTMTGPWKRHSAWALGW ITGHVVMG MYCTH_112050 MHSKAFLAALLAPAVSGQLNDLAVRAGLKYFGTALSESVINSDT RYAAILSDKSMFGQLVPENGMKWDATEPSRGQFNYASGDITANTAKKNGQGMRCHTMV WYSQLPSWVSSGSWTRDSLTSVIETHMNNVMGHYKGQCYAWDVINEAINDDGNSWRDN VFLRTFGTDYFALSFNLAKKADPDTKLYYNDYNLEYNQAKTDRAVELVKMVQAAGAPI DGVGFQGHLIVGSTPTRSQLATALQRFTALGLEVAYTELDIRHSSLPASSSALATQGN DFANVVGSCLDTAGCVGVTVWGFTDAHSWIPNTFPGQGDALIYDSNYNKKPAWTSISS VLAAKATGAPPASSSTTLVTITTPPPASTTASSSSSATPTSVPTQTRWGQCGGIGWTG PTQCESPWTCQKLNDWYWQCL MYCTH_54466 MRLLRTAGAATLFLSPATFATNNPLTPGKLEADIRTEELQNVLW NLNHIAVTHGGNRAFGEPGYKASLDFILERAQTRFHNEFDTVVQPFNHTYGKTNQIKV TGPEGEDVFVISPLYNPATPLPDGITAPLVDTPVDDERGSACFPDQWEGVDVKGKLVL VKRGICAVADKSALAKERGALGVILYNEQPGTNIVVPTLGAESIGKTVPIGIIPLEVG QSWKSRLADGEEVTVHLLVDSISDTRETWNIIAETKQGDPDKVIMLGAHLDSVQAGAG INDDGSGTAALLEILTAVRRYDGFPHKIRFAWWAAEESGLVGSLYYTSHLTEEEADRI KYYFNYDMIGSPHPDFEIASDGNSGVGPQLLEEYLVEQGKEIVHGGFGSGSDFVGFLE LGIPSTALHTGAGAPFDECYHQACDDLDNINWEALTVNAKAAARAAARLANSLEGVPP RKKTSLNLHTRRGVVQNFRKWASLAEEASHGHTCSHTGKRVVV MYCTH_2120489 MAPKADAGNQEESNATSGSGPLSVLSPREQTIMLQALLSDSNFP AGIQVDYVKVANRMNLKNPRSVSNAWSIIKNKIAAYDKKFREDHNLPSAEQEAAATAA ENPEDDDNPSPKKRARTNKSSKSAAGGSKRGARAKKDAASAMPDNSGLGAAAGSSEAT GRADETEEDEEKKDQDKYLAGEI MYCTH_2066457 MWPTPKVAYLLLAAHSLLVSGTPVDAEAVDTAILAKRQCPQIHI FGARETTAPPGYGTAGTVVNLILNAFPGATAEAINYPACGGQASCGGVQNVIPSICAM ISYGDSARQGTDAVASAVNSFNQRCPNTQIVLVGYSQGGQIIDNAVCGGPDTGSGITT TTPPISAAALNQIKAVIEMGSPRFVAGLSYDVGTCTAQGFAARPRGYVCGSNSASKIQ SYCDSTDPYCCTGNDANSHQQYGNKYGQQALAFVKARLSGSGGTPTSSAGGSVPTGGN GGTCSPLYGQCGGQGWTGPTCCSQGTCRASNQWYSQCL MYCTH_2120491 MAVVDETSAAQSRQSHDNEKSLGTTASPPANRNDLESSDGHTKG DTAPDGKDAEPKRTVTGLKWFIIFTSLMSTVWKFLLFALDNTIVATIQLSIIGVSFVL RQVVILPIGKAFGMFSMKILFVVSLILFEVGSAVCGATPNMNAIILGRVIAGIGGAGI YVGGLTYISVLTTSNERPLYLAICMSVWGFGNVLGPILPIAALFAPAFLFSLPNINAM PDTPFKEKMRKQDWVGIVIFTAFLACFSMAGSFGGTHYAWNSGSEIALWVMTLVLFIA FVLVTIYHPFVPGDLRLLPVHVMRTKDLILVPLQAFLVAGSMMMSIYYTPLIFQFTRG DTPLMAGVRILPLICMIVFGSTITPSISNSALYGYTVLLGLGIGAFQSAGVGVASAVA PPPEVSNVVSVMTVVQVLGITLTLSVSGSVFTNRAILRITSALPKIPRSEATAVGFIT SLFLSRRNLFVSAGTAAV MYCTH_116629 MSSESTVARAPEEVEVSHFPEVIFPAGNSDFRAVRNRCAQACRV FNSTPDDADPEERSQKWLDIVRPDRDRAEDGILAVTHDQTFADPTLKAKTPFVKPPVF IDYGIRLHVGGSTFINRNCMIMDTPVADVVIGEGCNIGPNCCIVGVTHPVRLDERLQK YSIGQPVTIGDNVWIGANVTILGGVTIGSGAVIGACSLVKHDIPPLSVAFGVPARVVG SVHDIPPTPPDAAVFTHTLAEAKALSNRLPLPLPLAEDEQEEGDGEEEAEEEEGLLDF DLLELLGMESGDEPDDDDEDADERGLLFRRAATPPRRRFSVVSGSTAHNSRLLRAGPR ERLNIDQDMDRMVRALLLRRQRQQCRRLKKAWATKAIAAMAVVTVSMVLCFLLGLYLG ASKISQRATGLG MYCTH_2309686 MPRKQIPIANPPPLPSHLPDSVLELAVQPEKKPLQDDVRQSLRS FQRAAEYITAAMIFLRDNVLLDSELKMENIKPRLLGHWGTCPGLILVWSHLNLLIRNH DLDMIYVIGPGHGAPGALAALWLEGSLEKFYPGQYDRNAEGLRNLITRFSVPGGFPSH INAQTPGSIHEGGELGYALAVSFGAVMDNPDLIVTCIVGDGEAETGPTATAWHAIKYL DPAESGAVIPILHANGFKISERTIFGCMDDKEIVCLFSGYGYQVRIVEDLEDIDDELQ NALEWAVAEIKKIQQAARSGKPIEKPRWPMIVLRTPKGWTGPKEVEGNLIEGSFHAHQ VPLPKANSDPTQLKALDNWLSSYKIGELLKDGKPTETVLALLPRKDGKKLGQLKATYA PFIGLKAVDWQPFGVEKGSEESCMKVTGKFLDKVFQENPKTIRLFSPDELESNKLDAV LDHSQRNFQWDQYSRANGGRVIEVLSEHNCQGFMQGYTLTGRTAIFPSYESFLGIVHT MMVQYSKFVKIGCEVKWRGDLPSINYIETSTWTRQEHNGFSHQNPSFIGAVLNIKPRA ARVSISLLPPGLPSAACHRVLHSCSHCRLLVQVYLPPDANCFLSTVHHCLQSRNKTNL IIGSKQPTAVYLSPEEAAEHCRRGASIWSFASSPPDPSRQAQEDEPDVVLVGIGVEVT FETVKAAELLRALCPRLRVRVVNVTDLMILAPEARHPHALSRDAFVDLFTADRPVLFN YHGYATELQGLLFCHPGTARMSIAGYREEGSTTTPFDMMLVNRVSRFDLARKALQVAA ERNAEVREKAEALIKDMDARVDEVKRFIVQHGKDPDDIYKPPKFDHN MYCTH_2129566 MAAPEPLRTGGFMARSGPVEIILRILQCCHSTRDLLALVSTCRH VCNVWRGNVAAALWPVWLREIPHFQDALSAARMTELVVQAERRGELPPTRITPDLLQR RQPTLSELRAAFGLHRLSRAIAACLCSLDLTYPDDRPGGDLRPPEDPARMPEWTARVS HAVFRLLIVGAALAGAYKEPLFKALEHPDPEIQALPRRVPREPSHITWEWARDNKLGH KEMAFLLQFAVCDLDASLEAQDAVFGPIADWLLESILSDKESRQAMADRFEQRRGRAT YCLHWEEWEEEGLQCPVGLLTDGRGTHSDAHLVVWELMKMLWLVEQVHPIAGSYGVLD RLTSRPPSTTQGVNAGEHSQGPLESAVAVFFGMFRAEETLLTARRLKGPIENLITYPA VPETKEEGYGDEKLPSTMSVVVFFDYIFNNSGQPNHIVGPKYLVPPLELKFFEYFLQR HLGLCFNDGAFIDDPGSESDDRSYERFVDTATIFSHDDVENRCAMSDALNFADFLDGS EMLTKVPQDLTRIYLQRRP MYCTH_2129567 MTAATITTFYPLPRLPFEIRARIWELRVEPRIVEVVYYYPSPAK MTDPDAWAKGSMKSLPPVRHPPALVYRSSGPTANSERRRCSLHTFSTTARRCLSSTAP NSLISSSFSLDF MYCTH_2309690 MRLHIALSLFYTLGHAVGAEPQHAKRTPKCRCTPGEACWPDNSV WEAFDKTLGKGKLIKTSPIAQSCYDGPQKDLDRCAYVNKMWTDQDFQTSDPIGRNYPY NITCAPVDYAAGETPTSCILGSLPYYAVNASTREDITLTLNFAKQHNIRLVTSSTGHD LLGRSDGYGGLELWLHSFRNGVRFQKKYTSANKCTKSGWTGSAIHIDGAYQWRDVYTV AQANNVIAVGGGSPSPGAIGGWPSGGGHGPATHNFGLGADQVLEAQIMLADGRIVTAN HCENSDLFRAIRGGGPGYGIVLSQHIKVHPNVKAVTAHRLAIAPRNETAENKDLLDAI AVLHQQLPALSNNGVAGYGFWFRSFPGPFVGDAHSGYTHGFWTIGKRQAEAEKAVAPL MNALKKFEDKLVITSTFAEYQDYWSFYWAESGLHDPVGSTSIITSRLINPEALTDYNK VREAIEVVAGKPEEVSSNVVLLVSGGQVFKDKADTSSGLHPAWRVSPFVMISGQGIPK VASREIRDYVQHQVTHVKGAALKKLAPNTGGYMNEGDGSDPEYIDAFYGKNYAQHLAA KRKYDPDNIFFCRTCVGAEDFIERPDGPLCRK MYCTH_2309692 MSITATAAATAATPPAAFTPHPDAPLSGAARSVSESGLVAVVWV CFSIATLFAALRLIVRFRGNHFFLADDYWIMFAWMTLLTMAILQTLQMPSLWYLTYLR AGRIVPDQSTAHKTEQLTRWQFPIIKLFWTTLWSVKASFMTVFFRLVKPFPVIRRLWY CVAVIAALAYIGCWLSSALTCNPPSDYFKAGKCNSPREVWNQSFNVIYSTTVDVATDL MIMALPISILPSLKLDKRRKIGLGIAFSLGAIIITVAIVRMTQVIKPNSTDAVDLIGL AIWGAVETATAVIVGSLPPLKALLSRNLKKYHGSSRTKGSRYGTARTPQGLATHRSHG GGYGPNSESRTVMVAESIPLDAMHNQTGGGIYVQRSYQTTVEFDEASSREDDEVGIIK RDPQT MYCTH_2112541 MQNGTTSSWDADLLRSRFVRALSDMYRNEVPLYGQLVDIVQGVD RSVLASRGQSLDDLPKRHQLERHGAIRLGTQHEMRMISRLFGIMGMHPVGYYDLKIVG FPLHGTAFRPTSEESLRRNPFRVFTTVLRPDLISSPSVRKKATEILSRRTLFSPRLCE LMDAAEKDRSILTASDADELIAEALKIFKWHSRSTVPYETYLSLEQEHPMVADIVCFP SAHINHLTPRTLDIDAVQAEMIAQGLPAKETIEGPPAGRKCEILLRQTSFKALEERVT FSDEQGSPAGGKNGTHTARFGEVEQRGAAVTRKGRELYDRLLTSAITLAEREGRVLSE VLAEAFREYPDDWDELRKQNLVYFRYRVPQGVTQKSLDAIGVAKESSVHMEQLLQAGL VECEAITYEDFLPFSAAGIFTSNLKEEPGSEGNGTTRRKLQEVENSGRDELQRLLGSE IPSEIDLYKELQSASVRECATLLGLKEVLLA MYCTH_73162 MPCSHCFSRGLCCRMIESSSRCGECVRRGRSCDGSGVPVSSLSR IVDESKRLDRLEQDAEEALRADRDSLAKAQRRLDESLARLDRIRR MYCTH_2069736 MEIPSTQRAALVEKTGGPEVLEHRSDHAVPQPKEGQVLVRNEIA GINYIDTYFRTGLYPSPKPEVLGREAAGVVVTLGPGTDKYNLKVGDRVIWLTNAGYLR SDIDLNNGLLSRAH MYCTH_2120498 MATKDTTSTKEQYYAALASNNVSPLWTVLSKMVPPRVNPTAQVT SWPYESICSLLMDSGTLITAEEAERQLILPGQTAPAHRHVALVLRFIVEGSRGFTAGV ILTPSWHWHDHGSEGMGPMVWLDGLDLPVYRFLPKFPWAQTAAALDANPAEMYARYEY RLENGAYLSQAIGAREERVAAGTTTRSILQRRVQVRWKARDTFSVPAWSAVSHRYTLE EGNAYLFAVNDMPMIEALGLYRQEVV MYCTH_2120499 MVLTLKQFSGNVLGSSVSSQLLNATYDSIRNWIKNQRMTHLPPE GSDYDKVLAWAQLFIERLHSFDTAIRELDRQSTMAAQVAYGYCFILLELGKENSQALM RAFRFFYTISMPMVNLLERTELFNVSKEIQEQLILALSDLVGLVGSVATHFRNTIEDA ISKPDASSKLDTSSTPISSFNLYSTFSEQIRTFRVRCERIGEIMWRYQLVMAKLDAEA VSDAELVKRWLAPEDRVLTSVADRRSLLAHDREELTCLWIAPHLTRFLKGENKILSIS GRPGSGKSVLSSVIVEYLQRPILGVNYDALYIPISKRIPVEASARAVAKSVLGQLFEK RIGNVKLLQILCDAHDQSKHASTYEEYDQIMWMAVERALATALPGARELVLVVSGIDE ASCDEAALFGRLAKATANGTNVRLITLGREAHSPADGLMSIHMSEDLILDDIMAVIRN DFDVDSEFSQMSEFEQESIVTRLAESSTGSFIWAKLATKRLRRAVGLEKLREAIEEVV TKKPTIKDFVIREVRSPNVRDEARHMLVWLAIANRPLSLKELAILAQIDVGNGTISNR HIDVLSTMKHVQGLVFVQDGLMYLRHGLVRAALLDMIAKAELAPEITDAQGDLVARLL LYIKAKVPEQHKPSVTVLNSHETGQLLDNNPLLDLAVLYWPIHLTKTQDFRSGGKEGA AKAFSRVFPTTITALLLQASLWEHRPTPALLEYQNTITDIYRQLYREKSALTLQLLIF QAVLHRQVGKIDQAASLFFEVVLTGNEFLGPGHTVTIQMANSYLEVTESKRTSFVTGI MDKREQVLLVLVEGYKVQFGETSTQAVSILRQLEQHYRSVHQEQKAQEIKTKIDRSTD RKKPEGGDYVNPDNGRVHLYHIWSTPHEDGQALRLEFEERDELLLGESKSYNFGDLLE KAEKYAANGDAESAEQTYFTLWRWASEEYRVQYSEVWVERNLQTLLSYSKFLHSQHRT SEAKGILVGVWKEYGSSKGWSITETSASLLVQIAQVMKSVDLSFAALSLFQQCEHYYR ATRRTETSTYRELQKSIETTSREVLKWVSSSESVYSEFTLEQTVLESLGFSRSETQLT LSATNSLVSLYISQHRWHDASRLLKTVLRRIWPSLFSAHAQEVKLPRESIKLCLDLAG RLADCYHVRRLWGHEENIRVRVYCVLRASGRVDDKLRERATTDLVVFYRRASQTESLI ALRQEILEDYTTFYGEQHPAVIKTLWELADLARPRPVFVEYYRKIVRALNKEAEISTP EALPAVIVVARELWSRGMFSDALPYYRTLFATFLKAPKTSPKFQDQEWVLGCFSQYTD CLRSLRVSFSVLEEVTSQFLAQCKALYGAKATITVQVTLILARLYQESRSHEQKAIAL YEELLQLGSEHINREEISADLKVLHDAQVNAIKSSETRSEVTSSQLDRHSTVLRERIV SVRQKYGWAHGETLSTLTELVHTYSRQGKTEALVSELEQAVVNIMATETSSTRLVEAA STIASHYMAVNQVQKATELVDQVYSQILIKETADVKKTSQVDLSSRGRESLIFLAQFE HSLRRSSATLTEILADLTTQYIEFEKFRSLISSSSSFLDVSASSARLYHSLLVSGRDF AAAYVFSRFTQWFNDTEAKRLNLMANLSTTQVQAFLHSLLDHLGTHKSRDIVRTVGII GNTQVLRLRKNGRFQDACDLAVACFHYIAARKKYRTTTMAKLVLTMGMALGGREPGAA APSAKLNAESSRLLLETSRTILQSALQVLIDLNVNLAKLGIVHLNQLIGLLGEQQNWH ALVSLMARLWSSRETQQEWPPSVTFALLRRYILARWLDGDSRGALRATEHIVYNCRRV LGLRDPITLDMSVLLSQLYTEIGQRYQNQPHARGDENGAAMANRYYRKAAAIHENILR VYSDPAYASMDDVALVTHTNGYGGAVSPSGDGDVSPTLQFDLDRLLAHGQHLHRAQPD AGQVVRQHLRLLKLAIQRLGSWPKDYAEYERLSTDVFREYGADLRGVEGVERWDLRAH GRGRAEADDDLNSYDNQN MYCTH_2309694 MQLRPAANEPESQPNYSPATAQRPCRVDFLHPGYPGRAVLLSLP ALDDGGIDFDTALVACGLVAGNRWNDGFFSLDRSGAVSVERPDDGILREPQYFFHLPG PLNPPYPTVPRFSHWRFPHNDLPPLWQRWAADAAATRMGGEAARRCVLSNYGDGLEMA HLVPAGEDNWWLSNQMQQYSPTQLFSTDPIDGPANLLTLRADLHSVFNERHFCFVPKV GEKSGGDGSEADTGRDGATAERKPPQLVLHVFNSTPSGQLPNLWHNRAVHPIPATVAV ECLFARFAWTILSPRVFDMFLPSTSVPRRLLLWNREKGEWETEEASPEMCRKMWKNAR SCSPRKRSAPRSADAAEELLAKESPRLYDSGYFRADTSENDGCYNDELGPAERQEDQP RGRSRKRRHSFEEEQDCKDSDRSRLRRRMLLSIPS MYCTH_2309695 MDTSNNKVITFYDIILDPTAYPISPNPWKTRYALNFAKVPYRTT WVPLAAVAETRNALQLPANRKHADGSDFPTLPIIRDPLAATTSGSEVVVGDSFDIALH LQNTYLSNNPPSSSSNDPSSAAAGQQQQQQGQLFPADGSGTVALHRAFNAFVDQIFSQ YGAPLAGFYMPLDPRTAESDKAAFVRRIPGVTRWEDLEIPKGSEVRSKMLAEFESALD TKLGPCFPSPAPADGAKGGPQGTGPFMDGRQLPIYADFIVGGWLQFMRGCLPEWEDMR NKWSGGKWGRLLDALEPWADVDGREGVVPARR MYCTH_58882 MRFLPSTLLLAAAALAADKGRPSLKNAVYKNPKASVEDRVADLL SRMTIEEKASQLLQGDIRNWMNETTNALNQTGLEWSTRYRGSAFYVGVPVPNEWLTKH IKAAQDYIQKETYLGIPAFVQTEGLHGFLAHNATIFSSPIGLGCSWNPSLVEEMAAAI AKEARALGVNQLFAPVADLARELRHGRVEEMYSEDGLLAGEFARAYVKGAQSAGVSAM VKHFAAFGVTEQGLNTGPVHGGERELRTTYLPPYQRAIIDGGVYSIMTAYHSYDGIPA VSDPHLLTDILRDEWGYGYFTMTDAGASDRLCADFKMCASDPIDKEAIVKYILPAGGD VEMGGGSYSFEKIPELVSSGKIEEELVDTAVARVLRAKFELGLFENPFPGLPSEEANK VIHAPEHVALAKKLDEESIVLLENHNNVLPLSKDASVAVIGPMAHGFMNYGDYVVVNS STRGITPLDGIKAASRGKVTYAKGCERWSNSQDGFPEAVAAAEAADVAVVVVGTWSRD QNELWQGLNATTGEHVDVDSLDLVGAQEALVRAIVETGKPTVVVFSSGKPVTAPWISE HAAALVQQFYPSEQGGAALASILFGDVNPSGRLSVSFPRSVGDLPVYYDYLNSGRGAS PDAGVAYENGTLVFGHQYVLGDPRPLYEFGYGLSYSKFEYGDVTVDKTIVTAQDTVTV TVTVKNVSSREGQEVVQVYVKDLIASVVVPNIRLRGFQKLKLRPGESKRVSIKVNVQD LGVWDARMRYVVEPGDFLFLVGASSNDFRGNVTVTVK MYCTH_104674 MRFRDGQWLVAEGVQVQYAEEVYRITPTASGKGISLLCPTRKIL NRGNTLNLATLSIDIEPAFDGVLSVETTHWQGAVRRGPDFDLFPAGRPEVDAKVTKTE SGTTLSSGTLSATVSGKPHEFEIAFHPTGGKKPLTTLLNRSVGLAYTPAPSTPMQLAD MRNFRHYIFTQTTLAVGESIHGLGERFGPFNKVGQRVELWNADGGTSSDQAYKNVGFW MSSRGYGVFVDTPGRVELEIGSERCCRLQTSVEGQRLRWFIIYGPSPRDILRRYSVLT GAPGSVPSWSFGLWLSTSFTTSYDEETVNSFLAGMRARDIPVEVFHFDCFWLKAFQWC DFEFDRDMFPDPRGQIGRLKAGGLVKKVCVWTNPYLGQASPVFAEAAARGYLLRRRNG DVFQWDLWQTGMGIVDFTNPDARAWFAACLDRLFDTGVDCIKTDFGERIPSEDVQWFD PSVDPERMHNYYAFIYNKLVYEALQRRYGANEAVLFARAATAGCQRFPLTWGGDCEST PEAMAESLRGGLSLGLSGFAFWSVDIGGFEGSPPPWIYKRWVAFGLLCSHSRLHGSNS YRVPWTVDGDDQSEEGCSATLRKWTHLKARLMPYLFSQAQESVRGGLPLSLRAMCIEF PDDPTAWTLDRQFMLGDGLLVAPVFEEDGTVEFYLPRGKWTNFFTGEVKEGPGWFAET HGFGTLPLYVRPNTLLVLGKEGETRTVYDYTSDVEVRAYFASDSASAVLVDAEGKTVG TLRVKDGEIIGKELLSGSSVINVVSS MYCTH_104673 MGKVFNICLAAFAATGSFLFGYDSGVMTDVIASDDFLSFFNTST GSPIIGAINSTFSGGAVFGSLMGGLTMDRFGRRKTIMIGAMIALVGSILQAAARNLPM ILVGRIIAGWAVGLLSMSVPVYQSECAHPRIRGLIVGLSQQMIGVGFIVSTWVGYGSS YASGGLSQFQWRFPLAFQAAPALLLVCGIMFFPESPRHLMEKDNEEEAMRVLRKLHYD GTNDEWIRQEFHEIKTTIAAEKAITVPGWRIMFTVPQWRTRLMHGVAVQVFTQFTGIN VIGYYQTQMYEALGVTGNRALLVAGIYNCLGPLANLIFIIFIIDRVGRRRPLLWGTVA IVIALICESAINSRIDKSNPDHALSIGGVFFLFCVTVIFSWSWGPISWVYMSEVMPMQ IRARGNAFATGLGNWLVSTFWSQLSPMALKKLEWKFYFLFVAWDVLVTIPVVFFFFKE TKQLSLEEIDLLFGERSLGTLPKDLNKGTLDQPEVTAEEKVA MYCTH_2120504 MASPFLIFLAGTVAYALFRLSRVGRRPKGCPPGPPTLPILGNLH QIPKKNAHVQFKKWADEYGPIYSLILGTIVTIRSGIYSSRPEAYISSRASDGCRMVLM EYGNQWRRIRKFFHAFLQLKAIKAYVPCLELESTSVMADLLDKPQLIFHHIRRYTNSV STQLVYEFRTPRIDDPKLLLMYDTMEKFGDVTGAGAAALLDVFPVLRYLPPGIRPLYN HALSIRKASLDLAGDLWFEAKRKVREGTAKPCFCVGLADAQAKEDVDDMGLAMTASTG LEAASDTTACILSGFIQAMVIYRDAQKKAQKAVDRVCGDRFPTMADMDNPEAQYIRAC VKEILRWMPTATLGVPHAVIRADEYMGYRIPKGASVVLSIWGIHKDEKRYKNPRAFDP SRYMDDTTTSSESALGPDPTKRDHFGFGAGHRICEGMHVVDRTLFLFISRPVWAFDLS PAKDADGNDIIPNQDDLIGNFLRQPRPFPLGVKPRSESRAAAVRQAWKDAQELLDQDQ QWKETPEGMPFTL MYCTH_2309698 MASTGLSEVLFKKVDEYVDSLAPQIQPRITQELDVFQQKTIDSL EEQVIDAFRSLFNKETGGSNESTARTLSDRVPDAYGRQSLPFADEIANLARSFTDVAA EAGDDLRDIFDLTESRDRPGGSRSRGADHTDNVKGFLSAAVQAVQGHLENNSKGPGGG DFQLDGLLGVISNTVKDAARDPEEKARLIAPEIKEKVAAKLREQHAPIAEQFTRIALD HIKRWLRGNTSTRDLGDGVKGEIEEQVKDLVKGLGGLFGNKKTPSHAASRGLEDRTQD RDGGETEGSGGFSKLISEKLSTGLAGVHREVRLEFRKILGVIEKQLFELLPDEFQRPL EKILGGNPFDSQLDRDAGASTDRGFGDDIRAKLLSKIRGLVRKVQETLRESILAIVNG GHRKFERQSWLFVQNMVEQKVQKYLPKVKISVPDDIGNEGVSVGAPTSNIQLGGGHQS TAPLPTFGHSSSPASHAPVASPYQPQHSHAPQYQPQEQYQPQRPPPQQGYQSVNPQSY GEYRPQQYQPGQPHGHQGCYGHGDGYQYGQNPPY MYCTH_2309700 MTDQLDDRTLRLVFQSRPDILAGIQKAADTPARIALFNDIASFV YEQIGAADAQNGPASKRRRVDIAQLPTHTQTNGQAHGGLPPASLSAGVDAAAADPVLL EIKDISVSAPQRKKYDLCFTKHYLYARASGTSVPVQGIVYPWKDIEHAFYLPVPDKSQ AQYNYVLLPRDSYLPTTKQVGANANTNDKQQPLEPLVFTVPATAPKPGTVAGPSAAAA EAVSDTYSSLFHWALVTSMRSAGNHACELIASDPRLFHSVARQSHRPGEKAVHVKAFR GTKDGFLFFLPTGILWGFKKPLVFLPLDRIVAVSYTNVLRTTFNMVVELDTDAPANGD ANDNNTAATPIEKEVEFGMLDQEDYQAIDETYVRRHGLADRSMAEQRKAKRQLAENHR KPAAAASSGGDDAAAAADEGADGLTELERAQREAEQRLQDEEDELEEDYDPGSEGDSE GEGGSSEEDEDKEEEEEEEEEEEEGADRMQE MYCTH_2309702 MFWLLHNDICVGEWLDPVSASNGFRLPSFHKSCKRPPVALHTPL LLLLLLLLLLLLLLLLLLLSLKWVQPSAFERGKDKERKKGGER MYCTH_2309704 MDHDDVPPPLPPPRLVPIDGPVDPKLQLKESMRRDNYGSPDTDS LGHSFRRPGLSFRSDVSDDGYHSLDSLRSCGLPSPFGHHTLQSYRPNGDAIDNSMLDK LNRSARRSGLSASVNDLPRPRPHHTQLATLSLPHRTRQPFSDASFTKSPLSVSATSPV GGAPFGYNHGRGSADHRSPLEAAGSDYDRSPLSRPHRTPTGSAADDGPGPGYGAYDAR EDDTDFPMEETTRMRTLAIEDQWRERDWDRDRERERERDYYQPGQKRRASSPPSDDSA LASDALRRRDGSLLSRGSPTPRLLMIPQNSVSSASSVSRSGSFVSNLTASSVTSMGSF ERRSPHPLSPAGLSPTDPMSCSSPYPPPSSLSASPRPSAARSLSALSSQQRTACEQPL PPQVTRTVASPRKLAEIPKSHSSLAAKLKGPYMCECCPKKPKKFETEEDLRAHEAEKQ YGCSFCGNRFKNKNEAERHQNSLHVRRHSWSCSALTSYERAFHDSTARPGEADVCGYC GEEFPRTGRSPGGLTPSEQDWEDRIRHLQDVHKFRECNSSKKFYRADHFRQHLKHSHA GTSGKWTNMLENACMMEEEPPAPTR MYCTH_2309706 MALNRIDGADELYIGGVFGLNRPRLIQEHKFTHILSVIKYTLNR DEDAFRDVEHLSIDIDDMEDQDILVHLPRMVRFIDNGLRHKGRRDETTPVTPPPAPAA EPPRSSSSSPRSGAVLVHCAMGKSRSATAIIAYLLWKYPHRFGRADPAGTAQQAVERA LHWVRRSRPVAEPNEGFMRQLEMWWDMGRPADSDDAVEKHPAYQRWLYRREVEDAARV GRAPDRIRFEDEAAAEVAGGNAGGTATAAGAGRSGAGEQQCTELRCKKCRRVLATGPF IVPHQGRGAGAERSGCPHYFVEALSWMRPILEEGELDGRLTCPNAKCSASIGRYAWQG FKCSCGEWVAPAFSLQTSKVDKVVTRGNQGGGGAFVASRMAALGIRMPPRMAGPPTVA PPGAVVDKSKENL MYCTH_2309709 MSFPNIYTHRKVAEAKAKSCDICYKPSSSVLITPDNKDWFYICP AHLKDPGFCTPKIDQAAIEARKKRELEEEIERVKKEYEERQKQKKNKEKKKEEEAKGE AKSGDDKSKDDDKADEDKRQKVPEKTEPSRSGASTSPAAEEEEPRVFELKSTFYNRRL SKKRQAEIARRNFETMQDPKFFPSVPKGFP MYCTH_2309712 MTTGTHFLSGRHIVVAGAGMAGLSFAVALRKIWPTGVPPPRVTI FERDTKQDMLGREGYSLSLAGADDTGGLVALRDLGLLDEILGHAILGTDEKGAFKLWD ADWSQVLSVRLRPAPGLPTSGIRIARKHLRETLLGAVTDEIRWGTACLSATKSESGKV VVRVSDNQGSQESTVECDLLVVADGASSKIRASLRPDDVLQYAGAVQMGGLAHFPEGI PPPLGENWGQQVSGGRGVSCFYSPVDKHRLVWAFSFRESTPRQTIKPPTSEETARVLL DEILQKGHMLGQLFETIAGSATDPKEVFCLPARDKKPFSHDLAKTGPIVFIGDSNHAV SPFAGYGASLALKDGWDLAQKLTAADSVVDAVRKYDAVSVPRATKVLATSRSRIKWGH STGLMFFLWRGFLKALGYVLWLTGRA MYCTH_2309715 MGSTPEWATGTWRSEVSARLQAARGSRGPPVRTSSNVTTNANVE RGSEGPSSAITSLRDFLSAVPRPDTVTGAFSSDSAFESPTVAAPDFHGSPYATNLALA ASASHVPATEPQPNEERGLLRVNQNVKRAASPEFSSPDGLLEVEQETDGDGRRKKSKR SSNAAVQGGRGLPKFACPYFKRNPRKYRKWTSCPGPGWDEVHRVKTHLYRRHPLPIQC PRCWEPFKTDAQLQAHLQQNPPCPIQSNRTLQEGFTKDQEKKLRSRKKTHADMTDEEK WREIYMILFPDDDPDTIPSPYYSESEDGGDNHNSGLSGELEDYATFIRREMPTLVRRE LETLFREEYPDIEERIRPRVADIVLSLQPRLLSLYRQSQMPLSEYGPQQHAETGRTAS GSEPTLTPLLSQGTDPGSGSEPHSTPDMVVGASNITLDELEAQLGLGASGLGIQWNSI GPVPQVPPIQPPEGDVGLALDSFDWDYDFDKWLNPALFMPPVGGNYTAGPSARAQRHN RG MYCTH_104665 MVDVPSVLIGIPFLADAKPHHRRRILKQALNQLPEETRNRVYTL GRGSSPYEVDAIMGPNTNTVVIADDEVHVGLFTEVARINHACRPNAYYRFSERRLTME VVAFRAIDAGEEILMSYVPLETPAEERRRYLRNHWGFECSCSLCRASQLDLDNSEVWR SKVKSLKETILNARSEGFYQNAITMTEEWLMVSEWDMTPPLMAEYHDTLADLYFLKGD MVNATRYARMAVDGWARLGSVDDEQLERSRLFLRRIIDIN MYCTH_2309720 MDDGPLKQKLASCSEKEMKRSDWSISHRGGGTLQFPEHTYSSIM AGTRMGAGIQECDVTFTKDKQLVCRHSQCDLHTTTNVVTIPELNAKCTVPFQPASNGT AAKAKCCTSDFTVDELKRMCAKMDSSNPAAATPAEFVGGTPAYRTDLYAKTTCERILT VREYIDLVDGLGLKFTAELKTPEVPMPFPPGGDYTQARFAQQLVDEFKAAKIGPERVW LQSFLYDDLLYWIKAEPEWARQAILLDESATTPEAMPQAVARLEEYARAGVRIIGPPL PYLVTVGDDGEKIVPSAYARRAKELGLDIITWTLERSGWLGDGSHGGYYYLSIANVTD SEGDVYNLLHVLAQDVGVRGVFSDWSATVTYYANCFGLMLSR MYCTH_2309722 MKLAALLASSLLCAGVWAAPATKSDDKSSTSEIDPHHGYVNHEG HTCEIIDEDDVKCRAGPSTEFDIVTTFNKGFKGTFICAEKGKCVLIDGLENCTWDLIY ILGVPCYVSGHYTDSECGVAKLGLCSGG MYCTH_2309723 MGQFFETIPEYLMKWILEQKMFWVATAPLAASGHVNVSPKGGPY FGLLDDRTFWYMDLTGSGTETIAHLYEPGNGRITVMFGSFEGPPRIVRLFGHGTVLER PGHETRLIPIRISPERGGAGSTFDDFVKKHDVEVIPSSRSIIIVRLHQVASSCGYSVP FYEFKGFRATLNEVFAKKEEKFVQGASDESRERYWAYRNSYSVDGLPGMRIGYETHKR EGIAPIEKMVGPAAPRGYAYRNSRSFSAWHLVLVAVLSVLCTVLSFPLLVPLLQRIYD PAVLSSFRSS MYCTH_2309724 MPVYCITGTNRGLGLEFVRQLARSSDNTIIAGVRSLASTDLSDL RAAASPSTHILECDTGSLASIHAFAKAARLALGEDRKIDYLINNAAVNLASHQTSLNL GPDELQAQMAVNVIGPAKTLELFLDAGLLSQHVRVLNMTSGLASLHLTAQSPARKCAG YSITKAALNMLTLHQAADLRSHLPGAVVVLADPGWAKTRLGGEGAVMEPSDSVAGVLK VLHGLRPEDSGSFFSHSGDKIPW MYCTH_2309725 MWRKKYYENPRRLALAAVAKETQELTATIAAKLPHLDTTKCEKL KLSELAPLDPSKCPRFRLALARGSSDDSDKPGLACGTVLRVFNLDTFDAALAMPSAVL GVPSSPKQTKTTATATTPLTAEAEEALRVEQLRASASAPENLDAATAGRVAVLNMASE RSPGGGWLKGAAAQEEALCFRSTLAASLRRDLHYPIEPRAGLYTRDVVVFRRSMAADH RLMVPDTPEPELPVVSVLSVAGIRRPEVRRAGEAVLNTEGESADGNKAEGPGGGASKG ESQSKNGAALGTDQRAQRGRDNKGRDEKGAKKAPRGPLVFADAAARDLTKDKMRLCLR MAGARGHTMLVLGAIGCGAFKNPPEEVAKCWDEVLSEAEFVGGWFKEIWFAVFDRRNE GNYEVFRDTFDGKVVGRISASV MYCTH_2309727 MRDTSREGLVWVDHGLGPEAQWTREPDLGAIKRVCRRHLGIPED AAEEALTVSFHRDGAFNKLYRVDCGRRRFMLRVSLPVDPRNKTLGEAVTLELVRRNTD VPVPAVVAFDASRSSEIGYEWLLMDRMPGVPSHYRWRKMTMAQKERLTARVAEFQAQL LRCGGHHLAEGFRGIGTLGTEPPRSDAHAHAHADSAPEPGPIVSSFFFSGQRYHYPVP RGPFSSSHDWLRAQLNVIVREHTAALAEAKTDLDREYAESALRVARKLLRMLHKIFPA VVHPPERTVIWHDDFSLRNILVDNSGNITAVIGWECASAMPRWVASQVPEFLRGAARE TEPDRNCYTDVDKGAARAAVNDGDGPGEGGEDDDDDGLDNEGKTELYWIHLMEYEQTQ LRKVYAARMRQLRPDWDLEVEESALKVDFLAAVARCAAGFYLRRIEQWVDAIERREYL PLMEVLRVGMHQADGASATKTGAIRSAVTWSTNTLTLVSRLS MYCTH_2069956 MSDGKALDIVVPEVGTRAPRVNGDIADFLANHPDLELGGAQGYT IERRKHMEVFALHRLPKFKVNPIRAVEFTAIRGPHGTIPIRVLYPDNGEPRRGEGKMG GIIYFHGGGYCTGSVDEFENGLRLLAEESACIIFAPDYRLAPEFPYPTQLDEFDAVID WVLDGAAQQRGVDRTRLVAAGDGAGGSLATAVCMRRRDEGKHPVAAQVLLYPATSLPT DTPAAEENRAGFYLQFNGIFGFADHYLPHTISGPSPTFMYVAPGKQPCAALASLPPAA VVTNGYDPLRDVGLAYAGKLRAVGGLARWRHYADLTHAWLQMTAWSAAARDAARDVGL IVRDMLYGQSD MYCTH_2309729 MGQDFSDASSRSSFDDDDDDPEAALNPHGDDDDDTDNVAPLRLR RLPPRGEQDASSAVLLPGDGTIMSVYMMMHRIRRLVIAAIDDIYTLDELRAPHMDRQV VRPLVDRLYNPQDPAIVYCLVANRVQFLRPRSSEALHQGVHESRAILCELVATRILRR FREDHPGRPGLLLLANILVSGFDPCDAAPESVRSRRPQWAIQKRGGHERKLTALELAI ISESKMLIKSPACQLVVDAVYKGVVVYTPLSFVDFLPDHYKHHPVSLYEPRRAPILNH HRLIVPQIRNAIELLHFAILLLLYMLTVAHYGLGADMEGLRLYETLFNVFAAGWVLEQ FAAVVEHGWEVHAQNLWSFLDLTFVAIYGAYGFVRAVELLLFDDAYYALPVLCTAAPV LLTRIAFTLMPDNIVFIALHAMMRDFTRLTFIAVWCFAGFVVGLLFLARTSGEDSLNA GVTWATITKWLLWIWFGLDGTGIERSADFHLILGPTLIITFAFLGNTLFLTILVAILT NTFSKIISNEAAEIQFRRAVLTFQGVKSDAIFSYPPPFNMAALMILLPIKFLVGPLAF HRINVAAIRALNAPVLLLIGLWERRRVWARRAKSSRFRYWRYFAGLSPHGDIQAVFSS EPPPEIVDALEKMDALHDLATVDRELAAHSPKDLRAPPASAVRRRRTSNSSSIRRFEV MYCTH_2309731 MAVPEDKRWPPVPPDVDRSTIEVETPELSITGNSMLYRVKGHPG IVYKARAELREYELQKAAGDCAIPVRGRVMLKSASDGEIDCMGFLMDLATPITVPTGP APPSAPVLPPSRRHDIMHQMIRLVQRLHAKRIVHGDVKLENMLLDNQGKLRLCDFAEG RYVDEDESVWEGSSTWHYESPNRLLRGERMGRDPAPPTIEDDLYGLGLSIWHLYTGKM PHEDMAGDDVGLKERQRKGETVDVAKVDDPEAREIIRGLLRQGGARI MYCTH_2309733 MDPNREAVNRALSLIAELDLPHPSGALLASFVNEALHPVVAARY VNDKVSAGDVQSLVSDWIYIVESVTNGRIPPPPDATEQLEIRARDGNRCCITGKTGTA RDPLIVVPVLPVPSGWVADNPRIFEMLGVFFSPPYRDWWLSYVRAPERMLHYYSHWLV QKSAAQAFAQGLVKLERQQPSMIEYKVEFVHIGPERPIEIKGPYPLLGDHSRAGILTV DARFIGTQARLARSIRYVKIAKSIAPQILPRKPGELVLPAMNTRKPSSGRSLHWAWLS PLFGHVKSLINFIGRGFVSSLRILPRSARSVTYDALRKIGEMIYGRDDPSLFVQRLPF ELFLKYRGTANLARNEFNALRMVQQRTCIPAPKPLDVIPNGDDSYLLTTRLPGVPLWL CQEVMSDADCDAIVTQLKDYLSQLRDIPQTVNPEAPICNTLGEACRDHRIRGGDPIGP FADEAAFSQCLRFSDEPSRRGHKIVFTHADLNPRNILVDQVALPDGTTGWRVTGIVDW ETAGFYPEYWDYTKALFEGFRWKPRYIKMVHRVFEEFGDYSRELDVEKRSWEAGDGI MYCTH_2095899 MATYTKTWRNKVWIGWFLMQLPIILFVDLLDYIWPASLYQPAGS PLHAAYELKEWYVQKYNDPIVQWSAETASGHDSWMGLFMHLEAFFLLPTVLYGLYRLA LSRRRGTSAADELLLLVYALEVAFTTLICIYDVSFLDEAAYPPAIKREMQFQLYGPWF VVPALGAIDMASRILGRVKAADAVLAAKQSQ MYCTH_2083273 MQLLVLIGAALVARALAATNGHELALPSYHYGAPIRVECMNRSS ETGEHIQNEKHEIEWVPFPVCNETDQPLEFHYGIEGEVNCTIPMVSDPFFHLLEFYIH NDAPLACRLPARPPPHIEVMGETPPPQEYIPLVFALAGTLQLSHMHISTHLNVLLHST PKQHIHPHDSGVLDSATAYSTSPLTHLEGSATRRLVIGDPLPLSFSVRWFPTPALPKV SGKVEWSGMGGHIYASTVFYSLLSFFAGAAVSTAYFFGSVLPRRLRGRGLGGATPLGY GLNGVGNGWGYQKRAVD MYCTH_58635 MFRKTALLLLAALPFSFAQISEDFEGGWDESAWPIYANDCNQGG KVSLDTTTAHSGSNSVRVDGAGGYCGHIFFGTTSVPSGDLYVRTWLKAQNALTDAHVS FITMPDSAQGANKHLRIGGQSKILMYNRESDDATLPDLSPQGIAASKALPTGDWQCLE YHVGADGTIETWLNGEAIEGLTVKSGVDNPNAGQWQRSNVVPKPTAVYFGWESYGGDT NTFWYDDIVISDTRVGCE MYCTH_2316127 MAFNTLFSSILLQLCLASIGLAAPVSTEAMDNSWQYGTGGGLVG LIVLILDIIVFVEILKSRRTPVQKLIWCLVVFLFPVLGLIIYYLFSNRSAYQRDSGYE SLA MYCTH_2309742 MADNQNPVVDRRLGAEIDDDLPLPPPDHVAATIPPFYLYGEREC GDHRHDTSTSTSNTNSNSDNHEAVAWQTACTNCHARATLNQIHDLPCGDRICRECLLV KVLSVKLHIEANHKKIKEARAKMRAIDLCFVRRPGGAMTPARKRMLCLRHSRLRASVL CLAGLACCGRDMGLHRFLPCLGPDKARELWLALKWVTSIPSEQRACGWPDCGAYVPSC CSYALPGDWARRWHCVTCQGNSIDCARNLTTAQTRFPFLPKGQPALTPAR MYCTH_2120525 MATVAAQQPVAPAGPPGQPDIGYLPDHDKYLARAKRRQETEKLA KTLPHGFPQQLESDLVWDGNTLAETYDWNYHLTQDDLAEIDSALQHFKSLNKPLGLID QETFPLPQLHQTLREVSRELHHGHGFKVVRGVPVTKYTREENIIIFAGISAHVAPVRA RQDSQYQGRPADVVLAHIKDVSSQVDASVIGAPSHTNEKQVFHTDSGDVIGLFALGEA AEGGQSYLSSFGKVYNEIAATRPDLIWTLSEPWAADTFGKQAKPYNLAPVIHLQPATE KDPVRVIIQYARRTFTGYWGLPRSADIPPITEAQAEALDALHFTAEKYAVSLDFHQGD IQYANNLTILHARAEFRDSAEKQRHLVRLWLRDPEYAWKTPEVLQPRWDRIYKGVTPE NSVFPLEQYLRTASSSTNASAPPPVKA MYCTH_2069159 MPFNWRRPFRTDGGGGGGGVQTEQQQQRNGGGSSSSVDRFDSSG EVTDGSLKYTLEKGENDSPVSYQEASGAPVERRSPLGYDVGSITIIFLNVSKMIGTGV YSTPSAIYKGTGSVGLAMIYWTLGFFTSLASLCVYLEYASYFPNRSGSEVVYLEQAYP RPKWLISTTFAFQSVALSFSSSNAIVMAQYLWRCGTSTPTDWQLKGTAIACYTCAFLI VGFHTRFSYWVSNGIGIIKVLTLIFISITGFVVLGGHVSRVPEPKANFVNAFEGHATP YGLTNALYRIIFSYAGYENAFNVVNEVKNPVKQLRRNGFAALVIVTVLYIFANIAWFS AIPKAELAEAKEIAAALFFSRVFGPDKAVNGLNFLIALSSFGNIVAVALGSSRLIREC GRQGVLPFPRFWASTRPFGTPLGPYALKYTLTVLMILAPPAGDAFNFINDLYVYPSSV FQVLLGVGLLLVRRRRRRLGLPRSEFRAWDPVIAFNILVYVYLLVMPWYPPAGGANAG DVSFWYGTYIVTGIGILIGCGIYYYLWVNLIPHVKGYRIRQEVLVFEDGAQSHRLVKV PVAEVAEWDATHDAVGRPLNVNNGKSGSGSHQKNDDQEEVGKVVRIGDPEKQ MYCTH_2309743 MSRNVRQKYPPKPVRAPKSRDYDSSSLDLSDDSGYSGVEDVTDS EDDDEEHVVAAEEAHIISDALRKRSSGPSRPLVDDDEDDDADEESEADEEDDPEVGAG PEDADQHDDSASWEGFSSDHDDDLIPAEPVSLDLLDQVSINTGRHVRFVGVPDSDTDS TTTDTSEVTDMNDFFPDIFVEQSALDPMFRREIERDDETSSNSSFWDFYAGSQDFAAG DLEDQDETPTATPRPSLPPSEVSTPVPVPEETQDLDGYETDGDTTEEDIPEPIVRKKQ MRRAHATGASSDSDTEKPVQCKPGRPRVGRFKLDRSDNKPIGVVDPATGKMIIFTPRR TNQLDLSPESLHVDFSRQDLASSPLVRNPGYIMMGAMVPSNNLNDFVNMQPFGPAEAF FPCTSDIFGGEEESDDSYFAGMEEDEEESMLRIEDFVTFNSDSSDDEEGADWNGDLVS SPTRPKTATSTASGGTEAAAAAHPLLTHFDGKSDVVGAFRRNQINQQLIYSDKASQES LAFSGPYYHGTLRGIKSGSLEAVTTPITPLRRQKRSNTVGSGFADLQQSSPLNVLSQK RKASGTHAEANAHKRHRSISDMEVLRI MYCTH_2135445 MHGCQLPAAEGCLRCLGCARLIQYMDTWIRRTSTPQIWLQLRVE PSPPWSPPTSKKSLTTKSPYRSQRFSTIPIQTSSRFSRCRRPSLSSKRPLRACQLTPA RLQSSSLNEIPNPARPSTKFLADFHRLEPISASRHEAYARTDKRESALELRSTGSIVC PRLLHP MYCTH_2309747 MAASTQKATNLFQVYLRLRPPQGGAATGERFLTVEEPDDDSKTP KHITLNPPNDRRRAIEKFAFTQVFEEDATQLDVFNCVGVANLINGVLAPCGGDGTDAL VATLGVTGSGKSHTILGSRSQRGLTQLALDVIFQSLGENIVDCDTCPTLEQSIVASDP SEAAIFSAPTFLDSVFVDLSASHRPGSRAQTPMNVGYPPSSPQPRPQQEVQHGPSGRI PGLGCETVRVVMGKDSIATLSPLATTTTTDKSQSISDNRRGLGAAFVPHNRQTGHAFC GPRRQQVQRAKGARGRMQPSGRATASTSCVRLKSGTNQAAHSQGESIGPGHTPSRRLH RPSTFPQQPDVNGLSVSCDPSAEYAVLISMYEVYNDRIFDLLTPPTKSTATKEYRRRP LLFKPTEASPDRKVVAGLRKVICGNLHQALMVLEAGLHERRVAGTGSNSVSSRSHGFF CVEVKKRTRGNRKHGAEPSWGGSALTIVDLAGSERARDAKTAGTTLAEAGKINESLMY LGQCLQMQSDAATKDKPNLVPFRQCKLTELLFSNCYPSPSAISSGRYRNPQKAVMIVT ADPHGDYNATSQILRYSALAREVTVPRIPSITQTILAAAAQQQQQASQSLSSPVEAPT QARPSSPRGRGRGSGSGSGSVHYPPLLSSPDIVRGASSPAHSPANTAGSNDNSMHRST VEAAVLEISRLTEEIEYLQQALEAERTARQEAEARLLSMEDRMVDLEQAVREDCTNEF ERRLEIEMARWRAMMQVEMERGEEHWGRKIELFERSFGGGGGGGGVLLRNDGNHNDGD DYYDGDAGGEEGDEDKENILVEDVHQENERLRRENEALRREIASMSPTKRLPLRERVS DAGAVARGGKPETGSPRHARTSRAVGGGGGSYDEEGSLLDRLERLRVNDAQASARSSA GGGKSRKVRKLPGKRWNAVGDDDDLF MYCTH_2309749 MRSLQDVLLVFDCTALPGIPDERRGIRVEVGMAPCSASKKTVAR GLCSGEISWRNNGKDGTTGRYGKLAVYGVGDVQTSSVHRVCSLIKEDLQTTQLVSTVF SSQLGGGHLLDIYLPRLAPPLTTGRQSLN MYCTH_2309751 MDRGIHVLGLGNLGKYVAFALRQGHQTDVPSRLPPVTLFFHKRY LLRHWESENRSISYIPEIDTLPPESRKLGRATGFRVELVGDAAAGIQPSEIENHPGVV VGSDAPIRHLIVATKTMATTSAIAPIKHRLNKDSHILFLQNGMGVADEVSEKLFPDPE SRPTYWAGICSSGIYYKSPFTFVHAGTGALSVGVVGGASPASADLPPPANMDRNPMVT QLLDAPLLGARLLSPERTLRAQLLKLVVNSIINPLTAIFGCKNGHLIESAEARDMSLH LLQEAGLIVRALLPRSSGELVEQEFTDAALGDFVWDVARKTANNTSSMLQDVRNGKPT EIDYINGYLVSQGQRLGLPTTHHAKICRMIKQLESEHASQRSQET MYCTH_2309752 MRLPSGPPPIIRIANGTFYRQQPGSVSALTSQAHVHAHPNPPYF KNLSFELPARSKTAHNWCIVGPSLSGKTTFLQVLRGQHLAFPPTSRAFPYLSTEEVPS HLRTPARAVQYVGFDSQGSGSGLGPAAPTYLAARYESRREITDFSLRDFLLGNTQLNP SKLPDDKGVDEKLFQRVVADLRLESLLDLPVTFLSNGQGRRARIARALLTSPEVLLLD EPFMGLDPPTVSGLSPLLQSLAEKANPRLVLSARPQDPLPDWITHLVYLRTDAQVAAM GTKETVLEGLRKYVRGVRNGKLAEDESLPVHALSEMGRTLTKDGVTGEGMAEDFSIGV PARGGATDSETKSLGEPLVEMAGCRVQYGGKVVLGNWTEERDGETVSGLHWTVRRGER WGVFGPNGSGKTTIVSLLCSDHPQTYCLPIRLFGRSRLPEPGSGERPLTFWDVQSRVG HSSPEIHQHMPRNLTIRQVLESAWADTFRSKPKLDAAATEQVKATLRWFEQELNPAVF HKQARPTLSDGSADGSVGWANDYMFGELSFSAQRVLLFLRAIIKHPDVVVLDEAFGGM DDAVRDKCMLFLTYGEEKMYSLGPKQGNGSLTGATIVDSPASRSGSVKVTGLSDQQAL ICISHVKEEVPDCVREWLCLPEANTGLPARFGRLDGPLRNSSKRWAEIWGVGQGASAP PV MYCTH_2095925 MSLRIVPADNHHKTFTHLASRETVAPSAPGLHDTLRAGVGPSAF DVAANRPISSHPLEARLKAWESTREALRMETLRRVYGIAEPVRRQMELKITREGEWKP MALGGGLPGASVHEDILAGRDETITWEDVFTGEDSRPLVGMHEEMERKLKMM MYCTH_2309755 MAEPAPKNGDTEPVSQVNHDPVPQPAGPLPVNEADEGKDRTDTK ETVTKATAESSAAVADAEISSKGKEKNEPSLPPAEEPKEGDSLSTGPSYPPQHAVTPS HPDAPVCAITLLLPTGARHPYKIDEKYLSKRNVDVPDLTESGARDPFSISVYKLKELI LREWREEWEGKPASPSSIRLIHFGKLLDDKEQLKKYHFSQDSPNVVHMSVRPPEMMEE EEAAHSKASGREGRTRDGGSGCCVIL MYCTH_2129615 MFFNGAFAAMTAVFFGLALATDNGAAFRTHLQERGIFAESDMVT VTWTETATITITIAGSSPCETVTDTESTDSSTTISETIITTSTGTSTSTSFVTSTATA GTTDSVGTTDSAVPSSSVDVSSFSTSTHHTITEVSSSEISATATPTTAVNGAGGNKAQ TVGGIIVGGAVALVMAVA MYCTH_2309758 MFMAYLPPSVYSPTRGPQGKVAELRYELNSGGKKDKHFTAKKIA LKKIVANMTMSNNDMVALFPDIVGCMNIPNLEIKKMCFLYLVNYARMRPEVAVKAIPV LEHDMEDPNPLVRALALRTMSYIHVREFVEATVPIVRHLIRDPDPYVRKTAAYCVAKL YDHDRHMVEKSDLIDRLNGLLRDDNPTVVASALASLMDIWERSDAIKLTIDYSNASKM VAILPDCSEWGQTYILEALMCYVPQESGEASLLAERIAPRLSHSNSSVVLTCIRVILY LMNYIADQKQITALCRKLSPPLVTLLAKGPEVQYLALRNALLILQRRPEVLRNDIRVF FCKYNDPIYVKVTKLELIFMLANEKNIDEVLTELREYATEIDVHFVRKAVRAIGKLAI KIEPAARRCINLLLELVATKVTYIVQEATVVIRNIFRKYPNQYESIIGTLCEHLDSLD EPEAKAAMVWVIGQYASRIENSDVLLEDFLFSFAEEPVEVQLALLTATVKLFIQRPTK GQELVPKVLKWATEETDNPDLRDRAYMYWRLLSTDMEAAKRIVMGEKPAITAESERLD PATLEEMCLNVGTLATVYLKPVQTVFRNARPRRLQDSPALQREDLALPIGLRSDANKS LSQLGMGGQPAVFDPRTDRNYNPQMQQQHQQQQQQQQQQQQQQQQQQQQQQQNGDGSA NLAQAVSDADAYFASQTRWMQIHEQGDSFGGNGGEAAGYVVNQYAPQTVYQPAQAVAN NGDLLL MYCTH_2068812 MSTHAAEPLPAWAAQLKNPPAHKSKQPGIPDPPGYPSAQSSSNS KDGKATQRKAQTPEEMDTLKLKKAWEVALGPIKSLPMTAIMMYMSGNSLQIFSIMMVF MAFKNPIMGILATNQAFERFETETNRAKMVRVKLAYVVMQLVALALGIWKVNAMGLLP TTRSDWLAWEAQREAVEHAVPAL MYCTH_2069908 AVVMIKEQVIDIAPVTTRYSTFTGGFGMIVGGVGAILGDCRLRP GSCPDRVGRHARQRAAQHGLLNRGSESFDDGEKGHGVVRPPGNDHAAVERRLRGNCRR AQADEALQFLCFGMAAGLVAIGYLQMRRAGPGRGRTGAYVA MYCTH_2309764 MDGRRSPPRPPPEYALPPYGDPDDSTSTGTLNHGAAVRLLTSME EPYDPRPSRSRHHYEPSLDSRQSILDPPPMPPPEPSFSSYNPAVDGASPTRPWTPSRG SSEFSRPPPVSNRYEPADLNGSPRPGTPASTYGGSPRRPLPPAPLFSTGPRGSTHSFS DDVTVSIPLAEDTDDVFAPESDLGGSKYHQRDSYAESQATLSDEATDVSTNEKTGSYA PVPEGKQERRGVRAPQMSKREVQLINGELVLECKIPTILYSFLPRRDEIEFTHMRYTA VTCDPDDFVERGYKLRQNIGRTARETELFICITMYNEDEFDFTRTMHAVMKNISHLCS RNKSRTWGENGWQKIVVCIVSDGREKIHPRTLDALAAMGVYQHGIAKNYVNQKAVQAH VYEYTTQVSLDSDLKFKGAEKGIVPCQIIFCLKEKNQKKLNSHRWFFNAFGKALNPNV CVLLDVGTRPATTALYHLWKAFDTDSNVAGACGEIKAMKGKWGKNLLNPLVASQNFEY KLSNILDKPLESVFGYITVLPGALSAYRYHALQNDETGHGPLSQYFKGETLHGQHADV FTANMYLAEDRILCWELVAKRGERWVLKYVKGCTGETDVPDSVPEFVSQRRRWLNGAF FAAVYSLVHFKQIWLTDHTLARKILLHIEFVYQFIQLIFTYLSLANFYLTFYFIAGGL ADPKVDPFGGAAKYIFLILRYVCILLISTQFVLSLGNRPQGARKMYLASMLIYAVIMA YTLFACIYVVVRQFSKKGDARDENDKISLGNNVFTNLIVSTASTVGVYFLMSFLYLDP WHMFTSFLQYLILLPSYICTLQIYAFCNTHDVTWGTKGDNVMKTDLGGAVGKGSIVEL EMPSEQLDIDSGYDEALRNLRDRVEVPPQGISEEQMQQDYYKSVRTYMVVSWLIANAT LAMAVSEAYGGSSIGDNFYLRFILWSVAGLAAFRALGSTAFAVIGLINMVVEGRVRMK LEAPKWLGGWGSKITDTMSSVASGVRR MYCTH_2309766 MADVKLRRPEEAVAAIAYLASDVVVSVQPSLASDSEFSGLLKQL AAKKAPSLVAKTSDAVPEIQSVRHNTDPLLSVFTPIRSGRLVSVTTTSSILLPSVAHL YKLANFPVVLHVALAPKSFPDYTAITSIRNSGWTFLQSWSLQEAHDIALTAHALAIRS GKGVIHFFDPTSSAGAEAIDVASLDVIRNILNLDNVRRFQSAPIAGSSIYADDGRVAV VSDQPESLATGTVPVDGVPTPSAALASPTITSQSSVKSSQQPSAASSPPLPSAATTIE PAAAIVSSEDIYKYVSSIWSQLNDLTGRQYSAFEWSGNPKAESCLFLFGSDVSLFSDA IAHAQADESFATADLLVPRLYRPWLGATLLQALPKSVKKLAVLEQVSRKTTKWGPILI DVLTSVKSAAGGVETIVGHQLGYISKETVQQALRGVFQNLNSDKPVQNLEVGEREAPQ QSPEYGLEQPKLETAYTKILNQLFGDRAFIANSLDSDNAGISNTISATPEFGFGSLLA RKERRKKFVSEVKAAASSGQFLTENPKRALAKWVANAEDSKKAEEAAEDVISKLTIDN SRLSRSLLQNKHFFRKQSLWLVGSDAWSYDLGNSGVHHVLASGENVNMLIIDSTPYSE RAAADANRRKKDIGLYAMNFGNAYVASTAVYSSYTQVLQAMDEADKFDGPSIVLAYLP YFGETDSPLTVLQETKKAVDIGYWPLYRWNPENEKKNEPNFSLDSERIKKELKDFLDR DNRLTQMMRSTPQFGGVLSEDYGTEIRAQQKRQAKDAYNQLLEGLFGAPLTILFGSDN GNAQSLAKRLGTRGRARGLKTTVMAMEDYPVEDLPTEENLVIITSTAGQGEFPQNGKP FWDAIKDNTELDLASVKYSVFGLGDSHYWPRKEDRVYYNKPAKDLDRVLANFGATRLT DLGLGDDQDPDGYQTGYQEWEPRLWEALGVANVEGLPEEPAPMTNEDIKLASNYLRGT IAEELKDTSTGAISAANQQLTKFHGTYMQDDRDLRDERKAKGLEPAYSFMIRCRLPGG VSTAKQWIQMDDISNELGNQTMKLTTRQTFQFHGVVKGKLKPAMQAINRALMTTIAAC GDVNRNVMCSPLPSQSKYHRQVYECSKKISDHLLPSTTAYHEIWLTDDDDKKTQIAGN AVQDFEPLYGPTYLPRKFKISIAIPPHNDVDVYAHDIGLIAIKGDDGNLEGFNLLAGG GMGTTHNNKKTYPQLGRMLGFVRADEVHIACEKVMLVQRDYGDRKNRKHARLKYTIDD MGLDVFRGKVEELWGKQFQPARPFHFDSNVDTFGWTKDENGMNHFTFFIENGRIEDTA EFRMKTGLREIAKVHKGEFRLTPNQHLILSNVADEDLPAIKALMAEYKLDNLQFSGLR LSSSACVAFPTCGLAMAESERYLPVLISKLEACLEENGLSRDSIVMRMTGCPNGCARP WLAEVAFVGKAYGAYNMYLGGGYYGQRLNKLYRSSIKEDEILAIMKPLLKRYALERNE GERFGDWTIRAGIIKETKEGRDFHDGVAEEESDEE MYCTH_2309770 MPSASRRSWRWLPRPKSFLGFMSLQTGTELISIALVFNKATAVY GVLTLFTGYSLSALQVTAYLCSLFVLATLAVCVPHIRTQSPLHNLALAWVYAVDSLVS AAYTAAFATSWYCADIHDPKGPAGAAPGDAAASPSNGEVQLDAGQAAQAEAGTGAHDT AASLVLVVAFTLVRVYFSLVIAAYARMVLLRFVDEHMGESEEIADVSPDPFALGAPLG EGWKGKLGRAMVSVGRGYWLGGRKEDEEWARQVNSKFRSTRE MYCTH_2309773 MPVRIPAATKTEVFCMGAAGVTGFAPFYLMAPGAEERLSRQTVK WAPSWERNITFFRNPVERGIQRISPPVERTVKRIEHGLPLEKAAKRTNTTLRRNFERL GFKPT MYCTH_117681 MRVENCFFCGRPAWPSKGITFMRNDGKSFRFCRSKCHKNFKMKR NPRKLKWTKAYRKNAGKEMVVDSTLQFAARRNVPVRYDRELWAKTLKAMERISEIRAR RERMFYKKRMAGKRAREVAAARKLVAENEHLLPRLRGSEKRRLAELAAERGVDVEELE REELAKLRTSKKTKAFGGEVRRLRVRTDGGVEEITESAAGHMHEDVDDDDDADDDDND DGMDTD MYCTH_2309779 MAQAGAGGSYSNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNM YQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNAK SFQNTRKWIDDVRAERGNDVIIVLVGNKTDLNDKREVTTQQGEEEAKRNNLMFVETSA KLGHNVKTLFKRIAQALPGMEGTDAAAQASSQMIDVKTPAAPAPQEGCAC MYCTH_2309781 MPVLKAPSGNPDAPQQHKQPSRKGKKAWRKNVDISEVQQGLEEL NDQIIKGGVIAEKDSSELFTIDVEGDPELPKRFPKHIKKGLKADEIIAQRSAIPAVPM RKRSGDKTTDGIVPVKRQRTTYVTHKELARIKKVADGHHESTVTVEDATYDPWAQPEE EPKKEDQLFLPKVEKIKKPKTLEQRPISLAASGKPVPAVPKPKGGHSYNPTFTDYQER LIEESEKAVEAERKRLEALEAERIKMEAAARSAAEAEAAEARAELSEWEDDSSWEGFE SAAEEAVVKAKKPRRKTQAERNRIKRRKEEERRLKHEAAMKRKRAQEDRIKQIVREVE EKERQRALEKIEMSDPSEEETDDVELRRKKLGKIKLPEKDLELVLPDELQDSLRLLKP EGNLLKDRYRSMLIRGKVEARRKIPFRKQAKTKVTEKWTYKDFSI MYCTH_2068429 KKKTYNTGDSPVVTDLSTSPAVVSLTKGEQTGSRVFWRLWSYVT EECLAVIHISCSSYLP MYCTH_2309782 MQLTTIATFVSLAALGVAPSVLSQSIPISATACTPGQYACGYRN GQDAIYICSNGRLEFAASCGTGRCESIAGVPHCV MYCTH_58642 MQALRENRKAVLWSVLISLSIIMEGYDTILMGNFFAYPEFAKKF GHYYGEEDGWQVSAPWQTGLNMASTVGGIFGGLLNGYFASKFGYRWSMIGAMGFLNAF IFVVFFAPNAATLVGGQILCGLCWGVFATLSPAYASEVCPTNLRGYLTTYVNLCWAIG QLLASGVLRGCLPIVGEMAYKIPFALQWAWPLPIMVIAYFAPESPWYLVRTDRLDEAR KSIERLSGDKTDDQIGAQLAMMVHTTKLESEVTKGATYLDCFRGVDLRRTEICMLTFM GQILSGSSFAYTPTYFFTTAGMETYNAFNLGLGAKGMGFLGTVLSWWLITYFGRRTLY VTGMGILSTVLFVIGILDVSAGRRGLWPSGGLCIFWLFTYSLTVGPLAYSIISETSSV RLRPLTVVLARTAYQVANIVSQVLYSYMQNSTAWDLRGKSGFFWGGTAFLTFVWAFFR LPEIKGRTYEELDILFANRTPARKFATSHVDAYALSVPPAAEKHAHAEK MYCTH_58986 LEGIRDDVSKYARAVVRDWRSVAPRLLSGVTPGHLALSVVCDID PGHPEARPLAELVVAPILLLPPGHLRKCNIRLAMTPDGRLQQLAKDAVCRSCGIATDP LPLPPNADPVLTALPRELRLRILEYTDLVTPTRQVMWSGQDRAYSVFAFAYSDRGIAD ENHRAQFFRCWIGEDLAPEPPSPGCFCRRHHAAFSPACKCWVPPGPSLFLVCRTLYED AQYVFFSKNRFTVHDYKISPPWALPFVEDCEEDELPPKYPYPNERFAASHFLREIIPA PSLAHLRFLELVFPPYRPGSWPETHHPAMQDWRATIDWLRPRINTRRLTLQLAVSNVG DIALEPYYRPITAEEARDMLKAYMDLVQPLARLADDGLARFYAHFPHPQQWTEEFRFN STSHDWDRLRRAELALKEHAERCVLGSRYDSLHADGKEEPRLGDWQEMYWDC MYCTH_2309784 MLGARAARQVGRLGPRGANLTARTTQRSRIRLQPLFTRTPSITR PEAAGQSEGTTTTTTDLHPSRPLFPAPLVLARQLSGKPLPQGKPRLLNFAYRAAAWLG GSVLLIGVGVLGFFLYDASTYHTHPSQADIDISALALSPRRGGPKNLPILEYYIDDDD SEEMRRQKDKPRLVILGGGWGGVSLIKELDPDNYHVTVISPANYFLFTPMLPSATVGT LGLRSLVEPIRRIVHGIGGHFLRARAEDVDFSARLVEVSQVDCNGVEQRFYVPYDKLV IAVGSVTNPHGVKGLEHCHFLRDINDAREIRNKVIQNLELACLPTTTDEERKRLLSFV VCGGGPTGVEFAAELFDLLNEDLIQLFPRLLRNEISVHLIQSRDHILNTYDETLSKYA EDRFARDQVEVLTNSRVSEVRPDSIVFTQKDADGGIVTKELPMGFCLWSTGVSQNEFC RRLAKKLGSAQSNRRALETDTHLRLNGTPLGDVYAIGDCATVQNNVADHIVTFLRSLA WKHGSDPERLQLRFSDWRSVAEQVKRRFPQAVGHLRRLDKLFAEYDRDQSGTLDFGEL RELLRQIDRKLTSLPATAQRAHQQGQYLARKFNKLARATPALRANDILDGDLDAAVYK AFEYKHLGSLAYIGNSAVFDLGKGWGLAGGLWAVYAWRSIYFAQSVSFRTRVMMAMDW AKRGLFGRDLMSY MYCTH_104628 MSAVAYGLDGPFQTYPDCTKPPLSDIKVCDRTLPEAERAAALVA ALTDEEKLQNLVSKAPGAPRIGLPAYNWWSEALHGVAHAPGTQFRDGPGDFNSSTSFP MPLLMAAAFDDELIEAVGDVIGTEARAFGNAGWSGLDYWTPNVNPFRDPRWGRGSETP GEDVVRLKRYAASMIRGLEGRSSSSSSCSFGSGGEPPRVISTCKHYAGNDFEDWNGTT RHDFDAVISAQDLAEYYLAPFQQCARDSRVGSVMCAYNAVNGVPSCANSYLMNTILRG HWNWTEHDNYVTSDCEAVLDVSAHHHYADTNAEGTGLCFEAGMDTSCEYEGSSDIPGA SAGGFLTWPAVDRALTRLYRSLVRVGYFDGPESPHASLGWADVNRPEAQELALRAAVE GIVLLKNDNDTLPLPLPDDVVVTADGGRRRVAMIGFWADAPDKLFGGYSGAPPFARSP ASAARQLGWNVTVAGGPVLEGDSDEEEDTWTAPAVEAAADADYIVYFGGLDTSAAGET KDRMTIGWPAAQLALISELARLGKPVVVVQMGDQLDDTPLFELDGVGAVLWANWPGQD GGTAVVRLLSGAESPAGRLPVTQYPANYTDAVPLTDMTLRPSATNPGRTYRWYPTPVR PFGFGLHYTTFRAEFGPHPFFPGAGKGDGDGEDKGESKSEIRTQQQQQQQQQQRRAAA AATTPIRDLLRDCDKTYPDTCPLPPLTVRVTNEGERASDYVVLAFVSGEYGPAPYPIK TLVSYARARGLKGKGGDGDGDGDGATTTVSLDWTVGNLARHDERGNTILYPGTYTLTL DEPAQASVQFALEGEPVVLDEWPAPPSANSTARGRHR MYCTH_109444 MQPFLLLFLSSVTAASPLTALDKRQQATLCEQYGYWSGNGYEVN NNNWGKDSASGGHQCTYVDSSSSSGVAWHTTWQWEGGQNQVKSFANCGLQVPKGRTIS SISNLQTSISWSYSNTNIRANVAYDLFTAADPNHATSSGDYELMIWLARFGDVYPIGS SQGHVNVAGQDWELWTGFNGNMRVYSFVAPSPRNSFSANVKDFFNYLQSNQGFPASSQ YLLIFQAGTEPFTGGETTLTVNNYSARVA MYCTH_2145046 MPAPEGAESPAVNGTAAPETDGTIATRAHYAPPWADVSIIGIAG SSGSGKSTLSHAIVNKLNLPWVVILSIDSFYKSLDEESSRKAFRSEYDFDAPDAIDFD VLVDRLRDLKAGKRAEIPVYSFEKHARLDRTTSIYSPHVLILEGIFALYDPRVLELLD MKIFCEADADTCLSRRILRDQRDRGRDLEGIIKQWFTFVKPNFEKYVDPQRKVADIIV PRGVENHVAMSMVVQFIQQKLLEKSTHHRAALTRLELEAQSEKLSPKVTIMKQTPQMQ GMNTIIHDIDTSSEDFIFYFDRLSALLVEQALNNIPFVPVEITTPQAHTYSGLRPRGE VSAVIVLRGGAALEAGLHRCITDCKTGRMLIQSNVRTGEPELHYLVLPPDIDRHEAVL LLDAQMSSGGSALMAVQVLVDHGVRADRIVLVTYSAGRMGLHRLTKVFPDISVVVGRV VPDIEERWVEKRYFRC MYCTH_2309794 MSSWSAALELGELDLDSAFRDAVLSQLRQSFDRMNSSTSTATPP QHAFEKRAADVKAPKSDINALILDYLLMEGYPNAAAKFCKEANLQPQQPDPSVQTRQE IQHAIHSGNIEAAISALNKLDPELLDTDPKLHFSLLRLQLVELIRQCNNGDVTPALEF ATKNLGPRAAANREFLEDLEQTMALVIFPHDKNLRPELASLLSPDLRRTTAARVNEAM LLRQNQRREAAIRQLVRMRAWAETSARAKKKDLPESIELGLKADSPEGPGAGEGDHEA MITT MYCTH_73070 MSSLRTTASAARLLRTASHARTAAVPAARRYESSTTTTATATSV PAKTEQEKKETSPMATLPRNAPDYNVPIDMATNTFTPVPKHVQDGSEENVTPAGLLSG APMELQARTVRIYKPAKPATQSGEHGSSRWRMDWDVLGKGHRWENPLMGWQSSGDFMQ GTHINFKTKEDAIAFAEKQGYEYFVQEPNERKFVPKAYANNFLYSPGKLKHIRTK MYCTH_2309800 MSYVTRRALSTLIPPKVASPKAIGAAPDAVRMQRVVSFYEKLPR GPAPEVKPTGILGRYQAKHFGKNPSAKPIVQAIVFLLVVGYAQNYYFHLRHHKNNAH MYCTH_2309802 MATESPKQRSDEATLTSSTEPTIKVDSDSQNVDSKQPHVNGEAS AAATDELENKHISDIVDDLVNSTEVSISGGSDNEAARSDASKTKDDAKSQGRASSSIK KPTSFKAINVNKTFLTSKTPAPGTQPKAAEKAAPTTSAASPAPGTTTTSRPRLVAKLG SGLITKSSGGNGGKPAPDPSAVWNKNRPAPPPEPKKYTDEELRKYGIHMASRLQSDSS KGHSNWADIEDDDDDWAPDTITWKDGTKVAITHPEGQPANAPASESKSQPASKDNAPA ESTKASSPSSSPSVKPGVLGSGKGLVLKGAPEKPTLVAKPPPPPAPTKSPWAPLPKIE RVSPAAVEAQTGPTPQPNSGDARTNQTHSQPPPREIAADDFSRTPWRDGPQGGNRELF NSQSGRYEPAPDRRGPMRQEPQYGRHPAVMQRPPHHEQQGPTEYSGGLQPGRNEHQGP EERRRGSSNISGGSGSWQRLKGAEHPLPPPELINARRASTTAGSDSPASPRNFSPSGA QGGSQHGQAFGPRPSPGTAHATPYSSGTGPGGRTMPPPVQPSPTITPVAPITEDDIEL QKRLMRERRELALKRRQEEEAREEAARRERIRLKLEALGPPPETSKSKKAAAKDQAFT PTQIQSREPTNSEKPAPERSQEAQKEAPQAVTPQPQPAKQPPTQPAQSTEPAAQTVSK PDGAESRPQPHPWATTPRQGDRPAAVWGPQPSTKNVWAAPNNNNNRSLGNGTFATEIG APPPPSGPGPIAPPSSVRAAGPSQTNMTSAAPWQPPIGPPRQPQAPRSTGERDMKDNP WAAAVRANDGRFSEMLSSQQDERERRLQAEGRSPAEAQPAIKDTWRPTRLDENGVRTE GAPKQTIHIGKENPWAGSAEPKPSASQQAPSVPGGDVSEYSQLPQSSIPAHDMASASM IGTKTAVPPGRGSRFFPARDARQDARDVRPEPTAEALRHNAPSPPPPDMAGHPAFDGD ATHPHVSLPRPHPIVRLPPAASESKTSAPEANRTTAGSSRSQGPSFAWASQPAYKEAD GPRASAQKPENPWQAKIDNLLGDRKVHPSRTAASDVQAPPQKQQESSVPEPVAPDAGV EGLATTKVMAEECFEEQEMGSVPPIRLPKTVPEMAWQPSPAPKPLSKKFWPTVLSAEP ISFPIDVSGAGNVWRICIPGTESKTVTVPFGRTRSNPRRGGPRGGRHSSSAQHRQGKG RDGSSSYPGEQRDGVSGSNSHGRNNRGGYRGRENWSRHAASQIQA MYCTH_2309805 MATITNASTGGDGSSSSSSSSSNNNNNNNNVNNDISRGGTASDG GGLFKGVKLAQKKKLINLLRGWPAPSLLPAADLQEATNYVLSDPAIAVPVLQYGIDPG YQPLREEVARWLSELYPRYTPPGSPGSPPAEKGGGRGSDGSSSDGSGSGSGSGAGISA DEITITGGASQSLACVLQSFTDPGYTRAVWVAAPCYFMACPIFEDNGFRGRLRALPED EGGVDVRVLERRLKECEAEPWENRPLKNPYPDRKLYRHVIYLVATCANPSGKTMSLAR REQLVHLAREYDALIISDDVYDLLQWPVTPLSSLPSPSASPSPSATGTGSGSGSESGS PFPVPRPPLLPLLSQIDAALPPSRHNPAGSGKVFSHAISNASFSKLVGPGVRTGWIHA SADFAYGFSVTGTNRSGGAASQFAAAVVWRALASGRVARHVDSVVRPALRRRHALALD AIARFLAPLGVTVWGGNTVRGAGQGVGFFSRGDGGGSSSGGSSSGDSSSNSSSSSSGE RGGEAEVGDGREEVYGGYFLWLTLPEGVDSAVVAERARAEEDLIVAPGQIFEVAGDED SARFPRNLRLSYSWADEEDIVEGIERLATVLRRMLRGEGDDEARKEDAHGDAQVFK MYCTH_2309808 MGFAQSYLLREVVCSDLTAFFRLFILNRARLNNGSARPSSPFLS TALSLLLLGCSSGIPGRTMMTRARSNTAETALLNASAHYDLGNALFAAFLSADMTYSC PVWAPLPRRGNSVADDDDRNDNGEEETDEEETLEAAQLRKIHRVIASARIKRGDHVLE IGTGWGSFAIEAVKTTGCRVTTVTLSREQKAWVEERVRREGLGCKVDVLLLDYRAIPA VPGGYDKIVSIEMVEAVGKDHLAAYFGVVHRLLKREGGIAVLQCITMPEGRQAAYEER EE MYCTH_2309809 MIELSANWKKLQAKIKAESASKPAAPKRKADDSVPDQAKEGPKK RRKQQQDRGRKPAQLSRDSSKGDQPKAKPSMGNTQSSKIDAVPPKHGISPSLAVWAAD NDISPESIAEAYGLGLQANSLLSTDNPGRPNEGLAPEVQVGKYIAIDCEMVGVGDGGY EDELARVSVVDFHGKQVYDSYVKPRRRVVDWRTHVSGVAPKHMANARTFDEVQAQISE LLKGRIVVGHDVKHDLRVLELDHPGKMIRDTAKFSGFRKYGNGPKPALRVLARELLGV EIQAGKHSSLEDARVAMLLFRKHKPAFDVEHANRYPDEAKSKPKKGNKGKKTKKKR MYCTH_85756 MSPGATNGEAEVGSNEPMQKSKATPDTLKIGCIALVTKDGQPRR AEILSIRETKSGKQFYCNFDNFNKRLDEWVTVDRIDFDQDVEWPNPDKDKLKDAKNKK TGAVSKKSQPSKKTQKRIGKREQSVASEGQTPHQWTESADGQNKPDGEDGANASLEVG ATPGVGPDEMELDEDETPASAAKKEKAQPFSREQEIEKLRTSGSMTQNPAEISRIRNI NKVEFGRYVLFPWYFSPYPEAFSQEDCIYICEFCLSYFGNLKSFTRHRTKCTLQHPPG NEIYRDDFISFFEIDGRRQRTWCRNLCLLCKMFLDHKTLYYDVDPFLFYVMTSRDEKG SHIIGFFSKEKESADGYNVACILTLPPYQRKGYGRLLIQFSYELSKIEGKLGSPEKPL SDLGLLSYRQYWAENIIDLLLGYSESGEKCTIETIATRLAMTTQDVEHTLQALKMQVY HKGEHKIVIPEKLLKQREKSRAKQKRVIDPSRIQWKPPVFTAANRTWGW MYCTH_2309812 MATPAVTSSDAGFMTSDGPGSRTPRAGRLPGSSSGSRPAVPPSE SLALSDGEAEGFADDQVPVRARPTDPANIPRVEDKIGLIIQESFENFIEGYVESPNAS GQPTSSAVTTDKYYVAQIHGMRTYQLSTFYVDYKHLESWHNGALAAGIMESYYRFLPF LTAALHNMIAKYEPQYFREHRQPTASSQQHSSGASNMGSASQSELSSKTANQQTDKLF SIAFYNLPLVSRIRALRARNIGQLLSISGTVTRTSEVRPELALATFVCEACRSVVPDV EQTFRYTEPTQCPNATCLNRTAWRLDIRQSTFVDWQKVRVQENSSEIPTGSMPRTIDV ILRGEMVDRAKAGEKCIFTGALIVVPDVSQLGLPGLRRVAVRDDRSADAGGSGVSGLK ALGVRDLTYRLAFLACMVSSDVSALGASGEAQIVDVVGAMTAGSNLETAETVKEVQDA VLASYTQEEIADLRAMVHSDRIYSRLVQSLAPMVYGHEIVKKGILLQLLSGVSKTTPE GMQLRGDINICIVGDPSTSKSQFLKYVCNFAPRAVYTSGKASSAAGLTAAVVKDEETG EFTIEAGALMLADNGICCIDEFDKMDMADQVAIHEAMEQQTISIAKAGIQATLNARTS ILAAANPVGGRYNRKTTLRANINMSAPIMSRFDLFFVILDECNEQVDRHLAEHIVGIH QNRDAAIAPEFSTEQLQRYIRFARTFRPEFTEEAKEVLVQRYKDLRADDAQGGVGRNS YRITVRQLESMIRLSEAIAKANCVEDITPEFVNEAYHLLRQSIISVEHDDVEVDEDED EDEDGQTLRRAADAASGVPATDGDGDGDEPMEEEGDGERQASTGPSAAGQQQQQQQRQ REKQTISYDKYISMVNLLVSKVAEDETSGSGEGIEGDALIQWYLEQKEEELQNEEDYN NEMALARKVLKKMVKDNILMAIRGQGMTDADAGEGSSAQAAQIVYVLHPNCAVEEF MYCTH_2068755 MLLNRRHLVAGVAGLLAATGVHAACSSDLVIDDFTTWLTGLNNL GSENGDDGTMTAIAASPGQVVFVPKDDGSYFYESFPCQQANTEGYNAIQFSVLGPEGG SFAFELQTTSSCDDEVGVYNSSWTEVGDLTGERHTITLPLEGWDDSPNYDGIVGLTWS TFSENGIQWSVGNVSLVCGGGGDEGDGGGSASQSSTVATKPTATTATSSQGSTTSSPA VSSPPATCSNLLIDDWASQSRLTFLGYNAMEQTSSDDESMSSVVVSDNRVTLTPRDDD SYFYSQFGCLDASEQYGGISFSVQAKRGTSFSVTLAYVTKCGSQNEQSVTQSTDELGW TFDGTEKLYSVPFSAFADVDTTRLTMIYFGDFSGPVTFGPMSFYCGSTPSEYELPSGI PTAPPITVTTTAAPAPTKAMVIDTFGDPETNDLGHVALQQHNAVCDPDVKPYPETWDS LEAARYATASDMYIPINHFAVDLTRVIGFALKGFYGTEPTRLTKMEIVDELPEDWPGV PPKLASGRLVFSCTRPDSFAFAIDDGDPALAPRVMEIVQQADIPVTFFTVGLPLLDRT NGLADMYKTMAARGHQIALHSYTHPPLEGLPSDAAIDWEYANDIGAVRQVFGDGSPNN NNNNNPVHTNYFRPPFGTEGARMRQRLAANLEDANPYLVQWSVDVEDWLWAESDTPEK QLDAFKRDVAAGGNLVVMHYLYNSTVELLPEFIEVAKATGKRLMRVDQCMEDPNAPPL EDEEEG MYCTH_2309814 MTAASPRVPKTRQSLPTIAHHPLSQPPPSADNPLPARQLPLHNN NNNNNNGNNGNNNNRRSTPSSAASLFRSTLSPPTSRPASPAGSLASSSARSPVRASTL MTGSVFGNPLSRQQQQQQQSLLDLTAGTGAGAGAGADDGSPTDPLRLLLRSFVPHIAI HASEDVDDLVRDKGFRGARGGGLWELLRPFGERVQGKVMIRDSSGAAKAWEDFAVRFV RFGESVEEPEGAVSLSAGGAGSNGKEVGGASRDGKGREEVIAQVEAVVERHLRFAEVA YAGSAGAPSPPLTPAREVPDVEATSPYYALYLRRLLSGMPLACHESFAHPVACVMAIS SRNPAPIEALQKLYRDTSTGKRSLPPWVDGEFLRYYVLVHDEENGDIAKSMALFEQMK RNFGLHCHLLRLRSSQSAETDDDSIPLPRSDWMSAAEELADIEESETREDFEDPTRYI FESDATAIRTFVREMVTQSVIPTMERNVSIWNDQVASRRRGLSGRFMSLSKRFTFGSS SRSSAGGTSSSSSNYDAQGFYRADTPEAIMRRLADYAFMLRDWKLAMSTYDLLRGDFQ NDKAWKYHASANEMAALSLLIMPQNMSSKTRIETINQMLEQALYSYHIRCNSLYGAAR CTLLGLELLRLRGGSGIDEAVRWGIRVLESKLMGPIGDALLKERMAVCYASKKGAGSQ AWGSRRRKSALWSVLSAEAWVAQAKYVQAQKCLRHARAMYALLPGECGVQNFGVASDF LASLNDQLKAGLQTDMTHGARDGRDGQGATEVDEESETLGSKRPRRTSLIRPPGGGAG LETAPLHDTEPVMLATQEKDGGPDDGFG MYCTH_2309816 MSQSLLEFLVENEPAFRKSRLPALYSDFQTQRTLNPDGYQANVS AWRKALRHIVSSGLAPAGRGSAPDLFVLHSDEQLLRALESKQYGRPLALGAVVREALA AKDLIPLREFLDAKESIYRRSWSVWNLASWTMKQLGVADFLKKDSLPSGQFVVVANVE EAGKAFGAQNGPAANARSRFERTFTKAHFYRTLNDQLVEGKHLSETDMDILLRFLSRD KSAILYDGNTIKIKSPAGACAADEPDQITTEDASIAQLRELIASLTHQTALLGRRIDE LTAQAKQAVAKSNRVAALAALRSRKLAESTLARRFATVNQLEEVAAQIEQAADNVQLV RVMEASGAALASLHARVGGAEGVEEVVGRLREQMAAADEVGSILAESAGAVVVDEAEV DDELAALEGEEKRKAEEAERAEREERERREAEETRRRLAAAGEAPRGDVKLPEPGDNN KDDKEVRAAEDMMSRMSLTETPER MYCTH_2309819 MESFDVPDSTDWIGTPLAGLMEVEQAFRCHVCKDFYNSPMITSC NHTFCSICIRRCLSVDGKCPLCRALDQESKLRGNWALREAVDAFVKSRDAILRFAKTP VTASSPKSPKRKAAEMEEPGDEGQNKRPRMTTRSSKAKAAEATAAMLREEADVPEGEN NTPNQESEPDDGLAACPICWTRMKPWQVDRHIDTSCPGSPQPSKGSSSTSTNSRGGTS FGSARTLSQNPPTPTKAPERLPALAYSMLKDSALRKKLAELGLSTAGSRQMLEKRHRE WVTIWNANCDSAKPKKRSELLQDLEVWERTMGSRAPTMSRAANMGAQIKDKDFDGAAW AAKHENSFKDLIAKARSSRSKVVQKAEGEQNKGESGSAQDERKGRDDSVSASEPSKTA VVDLTCPPSSQPEPPDSPPKRAGASGESDTGLLEDLRSISGKEAGHPQADGVSACSPS GKPEGAGS MYCTH_2309822 MAMRGGPRLQVGSAAWITEERNAALSIARSEIEEFSYSARNEID WLNEHMAEIFSENQMNVAELFKTPGKLRGKTPRTARKAPPSSMRMPLSEMFSATINGA SSPFTMQNNSQPHSPRITAAEDKVEPEVGFSESPAKPAVVRPPHQVEPRGPISFGDSG YHGSQSQDTMPCDQFDKDVEMSDSPQRPLRISDHMHPLPGEPAEQGTPSASPSREALN PAGENKERQAAASTAAAVPASPSGAVAQLSSPFASRTRLPILSPRSPSPQKMSSPPRQ PLQRSSSPQKPPSPEKSSSSPQRRTASPLKELEKPTGDLHYAVDKDADEMVDEIDEVR SPSDASSPIRPVVRKSSLNFASLPAREPLASKKSLGGARISRTSHLDFHRQSYYNRPT GGKSLGGGVMRRESLEDDPDKMDVDDELKTQNNEDAAAHSKTYTQRLQDQISMLGKGQ STGTTRSSKSLANLLPSQQSAPASQIQSQAEPTSEERTSSPKSRNAAAAPGAFPADED DEGDWIAPPDTAAKAQGPKPVPSDTQLSDAREELSSRGTATSAEHSKRSAGSPGKPTM SQWTPKHGKSVSVPVLPTTDQLDTETEGSPLKKTTSVSNSALSTVAEDNLSSPPRSPA RAFMDNPLRQVKNKLSSLLKSSKGLIVSSAAVSAEGKSSLLQSPSTTRLGYHQEPSVE SFKTADNVVYPDLSQHLAATAPPLSPVRSNSSRRTRSSAERERLEAMERERDEREREK ERETEREKEREREMKEAKQMAEQMDKLEKAREKEREKARVFSKEQEKIAAMEKQVAAQ KEQEKAAQPQPQQQDFRTPAPALKNRPRSPTKSTRPTPARANEHEGKHRSVPSDEPDV EMTDATTTVPPPSIPRPTTASSIRTQKRPMKPTRETAAKPKQVPTLIRVNPLSSQQTH FHPSNSVLAANLQETLGQQPGSARQVNGKASQASLQGKGSVQSLKSSVSSTGRPKALE MAAKRKEQEEREAQRKRETKQEMERKRAAQEEERRQEQQRRAEAERQKEEERKAAQRR AAIEKAKQTKAPPPAVRPQPNAPPEYAIAEKGTFRPPSRLGSTMHQESRLVNTVLSGT AKGSTSKRPLQQDAGEESSRSQQQQQQRALPSYPTKETKRMRMSEEFDEDLDMMDHNP RIIKGPPVRPSGGFKKDPQPKSAYNNGYPHAPPSSASSKDLFKTTVTAQHNSHSKPTH PLDMAQFSKGAIPFAQNPNAHQQQQQHKTPARPIGGGGIPKSTKSVARSSPRMLNGEL IELPDIQTDDDSEDDDGHMPIAAWADSPALRDALLRQERVDPIQVFGPPAPLNMEEVF SKTKDRFHKFRARTSSANWSGSDRLTEEEVRRDAAARDKMRREGGWSYELSRELV MYCTH_2068645 YRHFVRVYINDIFIFSKTEEEYLEYLYTIYEILNKAYIYISVTK SFIEYLAVRLLRYIVNGKGIAKTNNRIAAFKKLKFLDTLDSLEHYLGIAR MYCTH_2309825 MVKLDYNRDYYADLELPGPVDIAEVKKQFKKLALKWHPDRNPGK EEEAKEKFLVIQAAHEILTDPNTKSKFDAHRQRTPKPGASGVRGNPWQYTAQEVNQKF GVPPRRPPMPTRPPAPTAAASTKHWDWAHKAKSKTDNLRANMEAWERARPQSKPSQPS ASASASSARPPKPPPREPPIPRTASQARRQEAAFGSRKSGYAPASPAGDEPPVKNRHY NSGTDAAEASKSTPASTDDDPLSSLFSETFLDNRQRTPYAANVGERTNPFEPLNINRA KSMRDGPRRFQEGAADTPPTPPPRQRSASTGSENIKRSTDEIPRPSEQSATNGFQYHS RASARYSPRGADTNSAPPTATFSGPNSSTSSVNSSTNATVNGDASDQSKQGTKFFSVP TDGKDTAAHQARFTRNSADNINTRFVAEEKESFNFQFSAGTAGSVDDSFLRAKQRARG GGHHSPLRNEFTPSAEPGSGAEKSNAEKSSGAQQAEPAKKQGDFVPEQWKEAFGPHIF VPPQPGKASTSPTRPIRPIKKPRPVRMTAGTAGMVDDEETSGEDKSKASTPASGINGS RSPNAMDIDTPPPEPAGPQAGAPRNINVEPTKPEWRPGNGATAEPKLGAGLKAPNLNP TSAGSEDAEDFVRPLFSEFRNVEPFAPPKPSGLGSFADLSSNLPFPSRPSAKIPLASH GNQQEKPTAKQLDVPSPPTAPRPPASLCIPGAKVSAPAWMNYVREFEAYMTSWSEFNR RVTDHFAARQRQNEGNGLGWLNTRGGAGVENYLRALEVDKIVRQKWMAACEAHELHFR EYLGVRERVLGGS MYCTH_2309828 MRSALALCRRLRTTTSNSLVADSAKKSATLRSATRPGSPLGHRT AVRFLGPASPGTWFYAATPARFQSSSPTSGASPSPPPNVPVNRSSDETETMNGTSQMT TRSKRKEPPYAVDGRRSKHQRSNGEESSAGENTSIVQDEADGGFDDYEDHRLPAVLPA GPDTAEWQATIEKVVRNVVSIRFCQTCSFDTDPALTSEATGFIVDAERGYILTNRHVV GSGPFWGYCVFDNHEEVDAYPVYRDPVHDFGFLKFDPKAIKYMPVTALPLRPDLAKVG IEIRVVGNDAGEKLSILSGVISRLDRNAPEYGEGYSDFNTCYYQASAAASGGSSGSPV VNIDGYAVALQAGGRADGAATDYFLPLDRPLRALKCLQEGKPITRGDIQCQFLLKPFD ECRRLGLTPKWEAQMRKAFPKETNLLVAEIVLPEGPSHRKIEEGDVLIKVNGELLTQF IRLDDILDSSVGKPVKLLLLRGGQEVEVEIEVGDLHKITPDRFVSVAGGSFHNLSYQQ ARLYGVACKGVYSCELGGSWRFDSAESGHIICSVDNKKTPDLDTFIEVLKGIPDKSRV VVTYKHLRDMHNLHTTIIYVDRHWYKKMKLAVRNDETGLWDFSNLADPLPAVPPVPRM GEFIKLENTSHPAIADLVRSFVQVHCSMPVKLDGFPKNRKWGMGVVIDADQGLVLISR AIVPYDLCDITVTIADSVVVEGKVVFLHPLQNYAIIKYDPSLVKAPVASAKLSAEPLT QGASTYFIGYNRIGRIVHAATTVTEIFAVTIPANPGAPRYRAMNVDAITVESSLSNQC GSGVLVAQDGTVQALWLTYLGERNASTHRDEEYHLGFATPALLPVVRQIRQGLIPKIR MLSVEFRTIQMSQARLMGVAEEWIQQVALANTANHQLFMVTKRTFEPNEREEAGLREG DILLSLNGNIITKTSDLDVMYEHEELDAIVVRERQELRLKLATVAADDVETTRAVSFC GAIIHAPHHAVRQQISKLHSGVYVSARTRGSPAYQYGLAPTNFITHVNGKATPDLESF LAEVIKIPDNTCTFSASSLLFPFLLFFSFFFLVVRLLIGLGFADFRLRAMTFDSVPWV VTMKKNDHYFPTMELIKDPSDECGWRRITYEGGKVIQGEGPDGVVGPAGESTDMDMDC EVCD MYCTH_2309829 MSVSPTPTTEKSTPAREAFIPLVAVVDFHHARGPEVERWFGVEE GRDPAAEYDWTLLPFMALSDGAHA MYCTH_2309831 MLFFGSRCERLCLTQFSLVSLIPRLLHNLQDSAGPELDSYERSL TMPTSLRTSDRNSLLSYMGLPLQIFDKGSLFGPYTPLQQLDILADFGTKSYIVGSTNS LLLQQKDRYSDILINLDEGTINVTSPSLRAALQLSTPDRRWIDFITQNVNDTWDEANP SRPKTMGYVGSEEFIRVQFEEYLLALISSVKYRAHLARHANNPRMLLPDIDGDPSHDF GNDFVEAWSRTQNFRIWNSHTDSHLFDIVEPKHPCAGGLTIEDIQRRITQQVQDLHWD ERFAQGREALGRNLAAGREKASTLFGKLYADMEALREAQRRKSEEAKSAQSRDGASPT AGSHGQDQQEKNGAVPAVDFTKAQQTMQAVGSKAGAFVNSWAAWAGEKRRAAWGGGKS SSSSSTTTTTTTNNSSGDAPSNNTNSSSSGGGGWASGWVRIGSSSGSSNSNKNRASSP QSPRGGYDALPSQPSDRASIISSASRRSTDASDRAAEQRPLNTNRFSAASTSGESMLD DAAATAERASVPPSSLTPPRSPPGKEGSGKSEVGPERGRIDIGGEQQRKNKEEQGEEE EGSRSKEEGIERDPKPDSNPKPELESGPEPGPSPTLSPNPAVAEAAEVQELWNRR MYCTH_2309838 MAEFVRAQIFGTTFEITSRYTDLQPVGMGAFGLVCSAKDQLTNQ NVAVKKIMKPFSTPVLAKRTYRELKLLKHLKHENVISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQIMRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVINTIASENTLRFVKSLPRRERQPLKNKFKNADPPAIDLL ERMLVFDPKKRITAAEALAHEYLAPYHDPTDEPVAEEKFDWSFNDADLPVDTWKIMMY SEILDYHNVEAASVGQMEDQQYLAQ MYCTH_2309843 MASGKLPSRERRPSVGAPIVDIQGSVGPAGISRPKHTRVFTGFG AGEIKHVEASIPEPQRKTWRKHQSRGFKDKDGFEREVVRHVETTLARSLFNCDEAAAY SATALAFRDRLILEWNRTQQHQTFVGSKRVYYLSLEFLMGRALDNAMLNVGQKELAKA GLAELGFRIEDVIHQEHDAALGNGGLGRLAACFLDSLASLNYPAWGYGLRYRYGIFKQ EIIDGYQVEVPDYWLDFNPWEFPRHDVAVDVQFYGSVEKKTNETGRTVYHWEGGETVK AVPYDVPIPGYNTPTTNNLRLWSSKAASGEFDFQKFNNGDYESSVADQQRAETISAVL YPNDNLDRGKELRLKQQYFWVAASLYDIVRRFKRTKRPWNEFPDQVAIQLNDTHPTLA IVELQRILVDLEGLDWDEAWNIVVNTFGYTNHTVLPEALEKWSVPLMQHLLPRHLQII YDINLFFLQKVEREFPGDLELLRDVSIIEESQPKMIRMAYLAIVGSHKVNGVAELHSE LIQATIFKDFVRIFGPDKFTNVTNGITPRRWLHQANPRLSELIASKTGGYGFLKDLTQ LNQLELHVNDKEFRKEWAEIKYANKVRLAKHIKATTGVTVNPTALFDVQVKRIHEYKR QQMNIFGAIHRYLKLKSMSPEERQKQLPRVSIFGGKAAPGYWMAKQIIHLINNVGAVV NNDKDIGDLLKVVFIEDYNVSKAEMIIPASDISEHISTAGTEYVKPCSLTRALGEWTG C MYCTH_2129660 MRDDVSSPRQDQDLPLRAPLRRGFISVEPRPVQLSGTSDSWEAA HGLRLPRSRGGLPRRRTKGLLSPQCGILQRGIKGRTTPATAEPQDPLSWGVNRRHETI LENKLEAPSPEMVAQVHAAPAYNHNRWQPKPVIKGEQHMTQECSSRLLAPGGLPM MYCTH_2309848 MGTCMSTSGEESEQRKRSNKIDKELEEDSKRLRKECKILLLGSG ESGKSTIVKQMKIIHLKGYSQDELASYRPTVYKNLLECAKALCGAMRQFEIEPVLEEN KEYCDFLLDYSLDANPQSRIDPKVGVAVQSVWNDPAREQLMERQTEFYLMDSAEYFFQ EAHRIVSPNYLPVEMDVLRARTKTTGIYETRFKMGQLSIHMFDVGGQRSERKKWIHCF ENVTSIIFCVALSEYDQVLLEESSQNRMMESLLLFDSVVNSRWFMRTSIILFLNKVDI FKQKLGRSPLGNYFPDYSGGNDVNKAAKYLLWRFNQVNRAHLNLYPHLTQATDTSNIR LVFAAVKETILNNALKDSGIL MYCTH_2309854 MTKLREGMDLKAYMGIYTAVHNFCTSQKAVSFPLQSHVIGSSQR GAHLLGEDLYKKLTEYLSEHLLTLVEESRTHTDEALLAFYTREWQRYTTAAKYIDNMF SYLNRHWVKREIDEGKKTVYDVYTLHLVQWRDVLFAKISEKVMAAVLKLVEKQRNGET IEHNQIKQVVSSFVSLGLDDGNSSKTTLDVYRYHFERPFLEATKVFYQNESNQFVAEN SVVEYMKKAEARLNEEEERVKLYLHPEIAIPLKKACNQVLIADHSAILRDEFQVLLDN DREEDMARMYNLLSRIPDGLDPLRTKFETHVRNAGLEAVAKVASDADKLEPKVYVDAL LEIHTQYQGLVKRAFKDEPEFTRSLDNACREFVNRNEVCKSGSNKSPELLAKYTDVLL RKSNTGVEDAELENTLVQIMTVFKYIEDKDVFQKFYSRMLARRLVHSNSSSDDAETSM ISKLKEACGFEYTNKLQRMFQDMQISKDLNAGFREHVQTLGTKGLDSSYSILGTGFWP LTAPGTNFNPPEEVAADCERFSRFYKNKHEGRKLTWLWQLCKGEVKANYVKNPKMPYT FQVSCYQMAILLLFNEKDKNTYEEIASATQLNNDALDPSLGILLKAKVLNLEGATKVG PGATFALNYDFKSKKLRVNLNVGMKSETKQEEAETNKTIEEDRKLLLQSAIVRIMKAR KRMKHQQLVSETINQIRARFVPKVSDIKKCIEILLDKEYLERLDDDDIGYLA MYCTH_2309859 MCSPGRDRILTVGLPAWIDSYETRYGTPSEDQIRALSSPPPRAL PAHHNHVPSQAHRRVSRDGFVYENPTVLGLQGATTSRVRLRNFLRRGDGTERGRKWDH LRTAEPVIVPRYSRATADSPWRGYVQSSRYGRLSNEEAEIVDPETLHKMQPEFNNPVE IHHATATTGGKPTRTRVLYKRLWRIILRHPLVPLAFRLTVLLTSIVALAISARIFEIE HRTDHDRSSELTQAIVAIVVDSVAIPYIGYMTWDEYTGKPLGLRPANQKIGLVLMDLF FIIFKSASTALAFEALVYHNAGDRETRQYSQALAAFQVISLIAWSFTLSVSVFRLVQK LGVGDDDK MYCTH_2316157 MMRLYLLPISTRRTLLYCQRLNAPASEKQTWSDWIQAKAARTWS GWEKKENGWQKSVANYGNQVLRRIPYEEWGLKLVPPLSQRRKQVELKGTEKVEVIYPK SLLVMDQVPTILYRLATEREALHKRRLFWCFVGMPLTAPIAILPLIPNLPFFYLVYRA WSHWRALAGGRHLQFLVKNNLLVATPSPVVDEVYAQQKQPLPSTPEPTTGSNEKLVGN PGPASSTGAQDRNGETMLLSQANGKKMTQALDLPQLEAELERAIWQVETAINKKQR MYCTH_2316158 MPFQTTQDQRRYRPLTPSPLNPNTYLNGDRDHQGQQRPQWPNGT SRRPLKVVRCNTSSRIAQRYAALANESPTQRLLRQKAAAAWQL MYCTH_2309867 MEDDAQAMAISTALEADPISRPSSVSTQASAPQNLAPALSNPQP QTLSTGDSATDNPQPRPVAIAPAMYPAGTSPASTAPAPGGNLPTCQNCETHTTPLWRR DETGAVLCNACGLFLKLHGRPRPISLKTDVIKSRNRVKTMRPDLAKQKKQQQQQQQQH LAQTGDLNGADLGAVNGAAGVRRASQKPANGVLDDTHSPISRTGTPNLYASHMAPMYQ NLEDQFQAQQLSGFGGPDGRAPSPLNGDRLDMPQTHEQLLATNASLKTRVSELEVIQE LYRGRIQQLEQEEANRQAQEKTKSEADEQLRNQLNAINEAHAQLQKELEESHRRENML KRRLDELEVELKEAKEALELHENGRAKKAKLDDSAANKTEEQASTSQAATSANSDEK MYCTH_2309871 MPSTHKKDKPWDTDDIDKWKIEPFTKEQSSGPFLEESSFMTLFP KYRERYLKDAWPLVTKALEKHGIAATLDLVEGSMTVKTTRKTYDPAAILNARDLIKLL ARSVPAPQAIKILEDGMACDIIKIRNLVRNKERFVKRRQRILGQNGTTLKALELLTQT YILVHGNTVSVMGPYKGLKEVRRVVEDTMRNIHPIYMIKELMIKRELAKDPALAHEDW SRYLPNFKKRTLSKRRKPYKITDKSKKPYTPFPPAPEKSKVDMQIETGEYFLSKEAKQ RAAEAERAEKAKQKKEEKKREREREYVPPEEDTTKSKKRKTTHD MYCTH_2309873 MPYSLTVKKIEGKPGEVYYPLQLNTVPIPTPGPNQVLVKLAAAA LNHRDLFIRQHLYPGISFTNPLLADGYGTVTAVGASCSAAAQGLLNKPVLLLPHRGWA SDPDGPENLGRDFVIIGASRGTDAGTAQEYIVVGEDDVVPAPEHLTAAEGAALPLVGL TGWRALVSKSGGNAEPGRNILVTGIGGGVALQVLQFAVARGCSVWVTSGDKRKIERAV EELGAKGGVCYKDADWEKQLGGLLPRERPYLDAVIDGAGGDIVGRTVKLLKPGGVIVS YGMTVGPKMDWLMQATLKHIDLKGSTMGSTREFKEMVDFVKAHKIRPVVSRVVKGLDN LEGIDSLFEDMKAGRQFGKLVIQISEDDSSSKL MYCTH_2309874 MPATQAPPTSEDEHGTRPLPVSRAVDILPDPRSALPRYAAYFRA RHRFWEAFPEGQYVRLPTPGTDLECGFHALILSMRHQLGARCASGPGSDPGGEAGSAV RVPTLEELRAVFRGAVVQGENAGAGMANLSWFTADQLAAVFAEWGRRFLGGGQRCQMG WVTDRDEELGLEGWPVMMNTPDVETGEVGEGIVRVWVWNDGGSLRGGIGHFEGIRRPT QRELAVMGDGQ MYCTH_2145069 MASKFTREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKQCIIDDEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITSRESFEEIRTFQ QQILRVKDKDVFPMVVVGNKLDLASERKVSVEEGKALANEFNCMFLETSAKTNTNVEQ AFFEVVRAIRRFNREMQGGPTPGGGLSHNGAGMGKMDIADEDAPSGCCKCVIM MYCTH_2309878 MASQTTASERASRKIHTAACLIIGDEVLGGKAGQEDTNSHDVAK WCFNLGINLKRVEVIEDDEAEIIEAVRRMSERYDLVVTSGGIGPTHDDITYKSIAKAF DLPLILHKEAYALMKKLSKPRPGQPEFNWDVDSPALRAKLRMVELPTDTSRPLEEQFL FPCKDLWVPIAVVNGNVHILPGVPKLFTQLLNGLTPIILPRLSDPEGKGLTRISISTP LGESEVAEYLAELAARVEPKGVKVGSYPQWGKKRNTVTLVGRDREFLEGITPEVLHYV KGTLMTTGKEDAMSDAEE MYCTH_2309883 MAPSLEAPEPVEDVLANPLKQKPQLVAPEPEHCPGPESEQAGTA DSCAGCPNQAICASAPKGPDPDIPLITARLAGVRHKILVLSGKGGVGKSTLTTQLAQA FATNEDNTVGIMDTDICGPSIPKMLGVEAETIHVSSSGWSPVWAEDNLAVMSIQFMLP NRDDAIIWRGPKKNGLIKKFLKDVEWGELDFLLVDTPPGTSDEHLSVNTFLKESGIEG AVLVTTPQEVSLLDVRKEIDFCRKAGIRILGLVENMSLFVCPNCTHATEIFRATTGGG RALAAEMGIPFLGAVPLDPRLGMSCDDGKNFFDWYPTSPACIALKQVVRALAEQLGLD PKEVMPEE MYCTH_2309885 MQRSRTSASDTAREAPRGHNAWEDSTVASMFGDNESRAGSERLR VPQAGHSRHYSDVPPPQRALPRPPSRAQHKHDERLPFVIGENGMLKVIPPPSAQKAPE TAPAPLALNATPSGDIFDDQSVRQDEPDHEVRRIFETPTKTNGLRRTKLAYRENRDLR SNASPERVGPGYSPESQTVSLSPERQTEAGGHIDKIRLQERLARERERQRERERERER EREREKERQREQERNIQNQQPNPFETLTPVDFDDPDFNDTKAAVVASSSAIDADALKE ALERTPRANRQPQKQLFAPQNHNNLLGEAPPPLGRTASRRQKSPTKELAPNAAPTTIS RKRRQSLDYNDAELHAMSYSELRNQDFDFDPQTAALQQPSLPPTGGSIQERLEHYKSK GTLDQHQFFTQISIEEWDEAGDWFLEQFGTVMQRLREARRTKRRLVQQYEEEIAAREE AVRGKIENIGRTLEELKKEGQTMMEGKDVDMEF MYCTH_58873 MEPAPDTPLQTRVVDDKSPIIIPWILSRIGEHRKQHPDRAEQRP FIVGLNGVQGVGKTTLVRALAETLQEREGLPTLVVSIDDFYLTHADQLALAAAHPDNA LVQHRGEPGTHDIPLLTAFLASLKAGSHPIPIPQYDKSAFSGLGDRAPPSTWPSTSPD RPPRVLILEGWCVGFRPLAAPALAARWSAGGGGGGQSRTLHRHKLEHLQFVNDRLADY DRVINGALDAFIHIDAEDTEYVYAWRAEQEEQLRREKGSGMTEEQVVKFVDAYYPAYE LFTEGVRRGVFEGVPGKRGCQLRVVVGRDRRVVESMVI MYCTH_2309890 MADQLRFDGQVVVVTGAGGGLGKAYATFFASRGASVVVNDLGGS FKGEGNDTKAADAVVNEIKAAGGKAVANYDSVENGDKIIETAIKAFGRIDILINNAGI LRDISFKNMKDQDWDLIFKVHVKGSYKCTRAAWPYFRKQKYGRVINTASAAGLFGNFG QANYSAAKLAMVGFTETLAKEGAKYNIICNVIAPVAASRMTETVMPPDVLALMKPEWV VPLVAVLVHKNNTTESGSIFEVGGGHIAKLRWERSSGLLLKCDETYTPGAILKKWDRV TDFSNPQYPSGPNDFLTLLEESQKLGPNEQGEKIDFAGRVALVTGGGAGIGRAYCLAF AKAGAAVVVNDLVNPDGVVNEIKKLGGKAVGAKFSAEDGDAVVKAAIDAFGRIDIVIN NAGILRDKAFTNMDDSHWDPVMNVHARGTYKVTKAAWPYFLKQKYGRIVNTTSTSGIY GNFGQANYAAAKCAILGFSRALALEGAKYNIYVNTIAPNAGTAMTKTILPEELVQAFK PEYIAPLVLALCSDKVPNPTGGLYEVGSGWVGQTRWQRSGGHGFPVDVPLTPEAVVKN WERIVTFDERADHPEKTQDSLQKIMANMENRSGGGKSAESNQYLDAIQKALKAEGKGT EFKFTERDVMLYNLGIGAKRTDLRYVFEGNEDFQAIPTFGVIPPFDAETPYNLDELVP NFSPMMLLHGEQYLEVRKYPVPTSGRLISKAKLLEVVDKGNAAIVKSGVTTVNAETGE DVFYNEMTVFLRGCGGFGGQKKPADRGASTAANKPPARAPDVVVEEKTTEEQAALYRL SGDYNPLHIDPAFAKMGGFKVPILHGLCFFGIAGKAVYEKFGRFKNIKVRFAGTVLPG QTLVTEMWKEDGGKKVIFQTKVKETGKLAIGGAAAELV MYCTH_2140421 MYRVSALLPSWDRSRQSTPGSNNNNNNNNNSSNNSNKSNSSSSS SSSNTGTSSSPLPPKTPGALDKVFGWAEKIVPASRINTSTTTTTTTTTPGARTRYGRE TYWPTTLDKECDKAARIIKSFCFDGFLSQESDEPSEQSETTPAESASTTKYITKKIPP RIIQNAVGLAVFSCMRSGLWMSGSGGAGLITARKADGTWSPPSAIILHTAELAFLMGV DIYDCLLVINSVQTLELFTRPRLILGVDVSLTVGPLVAAGSKDPEIRWKEISETVLTY VKARGKHQAVQLDGSMVTERCNENERFYCAGVTILDILAGNIPKEIPQMRPLFEVIKA AEGRSDYDKAMMEWLAQQPAPGDADIESPRESLASLTSPTKVAFGIPDANDPDPFGVI GLEMAGIEIREAGTKHRPTSTQFEFQPSPSSPLYGRFSRQSMDTFVSRSNRGSCMSAR TQATAMTDACTQTDVTSTADTTFSRANSDDGKDSVERLPTVVEPEEVDYTKVDASILE RLKRRTMEPVAPAPPAQAVETKEMKGPGVATGTEGKSTKEAETTDVTTATEVKTMEDE KVEPVNRAVEPGAVSEESSPEATNEQDEDADDEDDEDVDEEPIICEVATASAQPPVRT SIQRPQITQVMQVKGAIVTIPKRVPPPLPARSPARVSRGSKSDFGDVSSLKSPARSSL LSIESRADDNATTPEHDHAPVTAGGSPTQVPRPSSPRHIKNSSSTCTAVAVDKTATAS RPASLSIPPSTDRNASEQGHGSDDETGSQSKTASPSSTAVSATPQTTGPASPTEDDSD RDPTTPQTAETDFDTASERQADVAKEDQLREEARVGRDDCLKAVNLPPGSASEKDREN IESAAA MYCTH_2112630 MRRRARSVPILPIANQQSALNSELAASGHFQDEGGGSFMIIIRA TESGSARSSWTQPQRGTTPGPHAVDRCIALAVISRTPPLRAAAGGGEITACTPVRVYV LFQMITLDPFFWFNTALSSSSTQNASDKRQTKVYPRHGCSSVSDGSMCWCSYIQDNTR THA MYCTH_2309896 MDSLPVELVRLVFQYCDPGSVRVLRLASRRYADVGYEYLLEPHF NAVEWRDDVSRLCNIANHDRLRDGIRSLTFNFAKLDEDYARQTTFFQHWLREAEQPST LLQDAWTRYYELEGEARKLPPFHSRSAAIEDAFKRLPNLRHVEITHTKCPYDIPLLQD VFQVRTCRKRDRGQACKNINAVVSAFRHARPASLSIDQLPLEIFRPADDRRLWLDCAR SFASLSRLDLVLDTPANLLPASRSRAVNGLGGVLHSSVNLTHLSLAFHHYHAPAQKFH LSFQALFCGSDFVFPRLTDLKLEGVSCAEDDLRGFLLRHAATLERLRLGGRGLAKPFE WSMGGVHLHQGSFRGLFTGLRGRMPRLRRFHMEGDAEAGDFTASSREVYMFRPVTDDD WAPLGGGSGGDAAAAAAGYRGKRVETLGACVKVVDSLALERYLVEGGRYPRLGKEEVG DGL MYCTH_2309898 MAFTGLLGIPGDRAMKPKSPPKTTPSKSTSSKSASSKSTALQPS TTSVPEPKSTSTPEHIATESELRPLDPEQPDLRELNACLEALAAVFPDIQIQVFRDML ASFDGESRLALVADALLKNRVSWVKGRWRVAPKDDQPAEELVPKKETFRSPEYKQAVK NLAWHEFKGLSRSAINAVLAEHNYSYLDARQTLVDLSSKSWRFTISSLFLRRKPVTAT EAENHPLIIWKSTGRASIVPTLKSTGNAELDRELFEALVKPLTERARADREAKDRQTA MELNKAEAEATGSMIECACCFAESAFEEFTSCNADGHMICFRCVQHCISEAVFGQAWQ RSIVKETGSLRCPAAESTECQGCISPDHIHRAMLQERNGAEVLRQLERRLADHNLVAS NLPLVRCPFCGYAEVDDIYLPVSESDLRLRTESVNTFVLCTICFCCLPFLLPLILFGY LLAFVLFVTVLVRSKRTFGDELMTEMRAAIVRRRRRRRGLRFNCMNPECRRASCLACE KAWTDVHVCNESSLVALRTQVEQAMSMAVKRVCPRCNMSFVKNSGCNKLTCPCGYQMC YVCRKDIGGVGEGADNGYQHFCQHFRPHGDGRKCTECTRCNLWETENEEEVLRRAKEE AERKWSAAEKRELSGADMRYLETGLPSQPPVKSITATLREGKWPTLAEVCDVIIDIVF V MYCTH_2309899 MSSQTGKWREDQVLIICPGSQTTLAQLGCNELTPPAHRFPTRMF RDVDSDNWRPYHTYKRRKGGDGGAKKEEEGDKAAAGGQEEGAAEGAAGGEEEEWEYVE DVDSVEGAVYPIQAGRIVHMDAFLAFLEHVHSQLTTTYHNTPIMLMASPQWTRPDCEA IARYVFEKTKTPALCLIHSGIATQYGLKWPNMTVVDVGYEKVDVTCIHDGRVVSHKDV GPDEEISGGEVFTRRLLKLLEGKGFDYDMAEQLKKSPICEVLPYAPDREELMELPVET EASLSGTATAAGAPGSEASKIGEAAKSFATDADDEAGTGTEVNAVEEDGVLDVANIVA SGQTREFLAKKEKEKAEKGKAGRKGKDKEGGGGDAPKPVRLPNSKKVRNVFHYEELVT EDVPVAPPAQENGTKDGGGDQDANMTDAPAEAPTEAPADAPAEGEADDTTRPEAAAEP QSQHAHQPEQAQREEQQQKQQQEEEQQQQSEPQPQPPSEQQPSEPAPTATERVTRRVR RDIEVGLERFQFADRKEIDRIVTAIYRAVQGIEDMYMRPGCWENIVFVGNGARIRGLR DNIIQTLQARHHISPSSAIMFTSELPSNMGTPSGTGSQTPTSSFAGAPHQLPTSSNVN PLLQAATTANMAGANNNAAAGSGGAGDAGGGGGGTSHHFHSQTPTNIRLAPLPNYLTE WAKHGFEEAMFLGAQVAARLAFCIHNLDAQGLEAQRLMSLSRVDYNELGPKGIRSHSM LG MYCTH_2309900 MAAERRLISSGSGFEAQIGYSRAVVSGDMVFVSGCTGYDYKTGT ISDDVAEQAEQCMRNIAAALAEAGADMADVVRVRYILPDRRDFEKTWPVLRKWLGHVR PAATMVQAGLMEEVMKIEIEVTARKTAASESKE MYCTH_2309903 MFGPGLVAWLTTLSAPVALAQAVWQPNQVSTRICYWQQLRAAVL HDTVYLDGGALYWEPRFADGSAGVPTFDNNLAGLIYTLNFSTPFNTTQNISAILGTLE TGGGDTNSRAPNYLDGALLGNDSEFCLYGGMSAPSTGSSDPPGDSVLCYQAYQYGADK PRFRPGFIDRDLGENVTRYVAYGGAASAPSENLAWYFSGLRSPTWGKIYMPPYDGGGA TFANTVSNRLITMDMANPVSVTFTNDTLPRNIPGRANPELVWVPVGFRGVLVALGGVV YPDWVNASNPRSANETASREQSPEFMSTIDIYDVESREWYRQKTTGGPGQLARGCAVV ARAQDGSSFNIYYYGGYDGIHMTERYNDDVWVLSLPSFTWVKLTSSQVDGRAGHKCVS PYPDQMLVIGGYPSLQGYLPSCLRETIRVFNLSTGEWLDRYDPAVYANYTVPSAVVAK IGGSGTGGATATSPSPSWDATELASIFAKEYPTSKITTYYPYASNAPVDNTNPSVPTT PADKDEGGGVPSFLPPVLGVVLGLVFVTMVAVLILLWRRRRLLRKGGGAMSEAGTIDT NKNRIALWLRGQNNASPEVKAPTVATSSDYLPVNSTEPTDHSPGTNATPLSIAEMMDT GVQRPVELPADTARPAELQSSKPSPEAAVAMQASLNNHAYYQSTHQTGHANSSAYYTA PPVPQEESPILHRPDSDALGRAPATSSATTPTSAGTSPVTTGAPAQGASGRSNKVVSG ISSLSERDRAHLRQISDTTVSSVTTAPVGPSGTTAAATTTANNANNLVTGSGGRETVV SNVSLLSSPGGGPPTVVESPAAVSPPTATAGPGEGGDYLGIRPPTGSQQQQGGPGPSS PSRRSVFSENLDSGTTGDTGGQRQGR MYCTH_2309909 MLLTRLIQGLGALTVIQFAAAAKEPLFPRASEAAASSGEDQAQE DGYHADTWWCKKTVTHTVTCTVTETDTATITKTVPGPTASVIVTTTETVTDTETVTDT ETVTETVSWGTTQTQEVTATTTVVQETTDVIPTTIEVSKTVINTITTTEVVPTTLTSS TTITEISTSVTSYPVTVTDSTTIYTTKTVLVTTTSVSTFTTVSTYTMVREATNTVTDT VTDRVTDTTTDRVTNTVTQITTVPASTITDVVTTVLPPITITVTESGTVITSVIPGPT VTQTTTTTLPPVTVTLPGSTVIRDVSVCPAPTNTAPVPRPQTTQRQLWGCDPGYVCNL PKPAGCNLWAEPPDRDFACDPRYCQLAPPVPNVQWPKNGTGYFPPVEGYFNLNPNAFG LSYEIFELNEVVTKIGGKKTTITTGNWVSQTDLTHFPPEPTSDATGEKAYYARDPRLW KRDETIVPAVCFLPCNLAFLETQRVGLIPELCEDGSPFREANDACLSCIETNGLDLKT TLRAYLGDEFLQFWDFCDMDPAEPPGQTTRPPPQSQVTATPTISSSSQAPPNSETEPV PITSTSTTTTTTTSSEEPPSSSSTPPASSSRSSGAPSPPSSSSEAQPEPTGPTATSSE TETEPTASSSSSATLSGSLSTTASSPSEPGPATSSPPASDSGSGGGGGGGGGGAPPLT EGPSTTLRTSTTGLPATSSIATAAAGRVAAPPSLTMTGLSSLGALLGGAVFSFFFLLF MYCTH_104582 MEPIVHTIFEPETSTWQYVVTDLSTKTAVILDPTADSLLALVGE KGYIVDRLLETHVHANHLTAATYLQDLLTRDGKKLDRLLDDDEPTFFCGDSIFNCDVG SARCDFPGGNAKDLFQTASKLFSLPPNFKIYTGHDYPPNTPRSTPQAFSTVAEQMEHN KHLRTGTSEADFVRWRTERDAALAEPRLIHQALQVNIRAGRLPRDGLLHMPVNVEGW MYCTH_2309910 MNNVLTGAAMGAALTTAGVYAPDIILSQFKFTDFTMLQTFLTAA AGSTLLVTAAQALHLTDLPPRGPSSLGLCGRYDGNLVGGALLGAGMAISGACPGTVLA QLGVGVSSGRYALGGAVAAGVAWSWIRRRRAPGSGCPGSAPAPAPPSAVADGKEEGGV VSSGKGDHRHQTGAARKDGRGETVYELLGISRGALLVGLEAGLVLAVAAAVRYTRADA AEARGLPPYYAGLAIAAAQLVSIVLRGSLLGASAAFEELGGWLWGVREYSNVLFSAGV VGGAWLVSRAVPALRPVTEVAIPPLRSALGGFMIVFGSRMAGGCTSGHGISGLSLMSN SSFLTAAAIFGAGGLTGLLLG MYCTH_72978 MPIEKRKSHSTYYHASLAQNIAKNSFVVMPCSCVIRSIFVEVVL TIALQRRIKDAKRRAELELDKS MYCTH_2309912 MLSRAPLRTCRYGPSRLLPANVFPVAILVLRNAQLTRSGFARSY SSEHAHHEARIESVRNIGIIAHVDAGKTTTTERMLYHSGVTRHLGNVDHGNTTTDFLP MERERGITIQSAAITFQWPPKSLCPPGYEPTTINLIDTPGHQDFRYEVDRCLPVLDGA VCILDSVKGVETHTERVWESAHLSKIPRLLFVNKLDRDGASFRRSVQEVATRLRTWPL LCQIPWWHKDDFIGVIDVIHRHGLRFSSTGSMSVVSEQSIAKDYPALREEMETARLRL IETLSENDDQIMEEFLELEKDVSSASIKRAIRRLILDGEAKFTPVFAGASLRNIGVQP LLDGVIDFLPSPIDRPPLEVMGAQAQNLSQLLEQKVKQKGHHQHQDPIVALAHVFKVV DDPRRGMMSWLRVYHGAFSRSSHMWNSNVHNFEKPQHILHVSAKDHHEIPHLSTGHIG AMTGLKSARTGDTLITFPRHHGNTAPEALRNLRIKAPETPPAVAFIAIEPYSRTAGEK LEEALKKLSREDPSIRWSKDEKTDQLILSGMGLLHLEIAQDRLLTHYKIDRESAMWGE IEVGYAECLLAPTKPHRAVYDRPIRDKAGKAACTVTIEPLEAHHHDTLLESSIERDGN IIHVAILPSGAGDAETLPFDPELVRQQLFNGAIAGLTRGPRRSAPIRRCHVHISFDPA HDFFGTASTGGHITNAALSAVRGALKEAHASGQVGILEPFTRVHIHCPEEAGTAIQHD LASARGGQVLEVRRPDDDEALASGTGTIDITKVYVPPDPYESVQSLRGAKKGVVRMLT IIGKAPLKEMMKYDSQLRSMTGGRHTLQLDPGGFELVTGPRERALEERLG MYCTH_2309915 MDYTSSIHDAEHAAEASPWGNSPTSSPGPNQSTFGPIAGDTPGS PFRYPNSNNGLNDDGGFGGSDIDYRRPDTASTVSQPTEPEPEVSAGVESHPDHQDVAG DQGPLSQQLQQQQEQQQQQQQHQEQQPQKATGSIDRAQEQQQPRRPPPPQFKLQAKIT GLERTGRKDPILRFDVHTNLPAFRTTQYRDVRRLHSEFVKLAEHLISANPEAIVPSVP PPLTSAGAGTEEDEIRVKALMQRWFNYVCSNEVLMRDDEMVLFVESDFGYSPMVKKKQ PATGVRRKILKQFAPPPDDTPELQEARPIVKLFYLGAMDAGHKVDKLVKSRRGLGLSE SDFGVKLGSMHVQEPHPGLANAYRKLGKVIQTVGDYHAAQATAEATTIGDPLQYHSQD AFVVKETLTNRQILIREFLQAQEATRSRLNAADRLKASSNVRREKVDEAIASLDEARH NELALLEKTTRVTQNLVHERRRWFARTAADLRLSIREYVLREIEAERRTLALLESARP DIRSIDSSGGLSRLGRESHPPHAIRRTSMAASQGPKGDAWSGVPRRSVDVLGRSVSGS LVGSSVVEAAGEDGSFAEGGERGDLSGRTRTLSGVAGVRMPGVLEEDDEDRVDARNAA SRLAASTF MYCTH_2309919 MPLSLGHESSGTVVAIGPQVTGFSIGDRVALEVGVPCGQCGICR QGRYNLCKRMRFRSSAKSVPHYQGTLQERINHPAIWCHKLPDNISFDAAALLEPLSVA IHAVNRAKPTPGSTALVIGAGAVGLLTAAMARQSGCSTVTIADVDRGRVDFAIAKGFA THGYVVDRNNASTSSSSSSSSLYSPSSSGTSTPLDGVMTPASTLSVSSQFDYAKSLAA DMLSLTAGAGASSLPLGDGDEDDGVDVTFECTGKEVCMHTSLYATRPGGKVVMVGMGT PVQTLPMSVAHLREIDILGVFRYANTYATGIRLLCARDRLAKQAAGAGYVLPCLDDMV THRFKGLENAHRAFELASRTVDPDGNLVLKVVIEA MYCTH_2135496 MVGHAANELLSTQKASDSGLKVVLHPLPILEISDFIVRGYQRGL RGAVVGALLGQQNGREITIEHSFSCKSAKNADGLYELDQEWFKKRLEQMKLVHKSPAL DLVGWYSLVPKTGPSALHLPIHRQISSYNESAVLLGFHVEDVLSPASGDPLPITIYES NMEAEDSKEAGEGEDKEMKDAEAPTNMVLRFRKLPYTTDTGEAEMIAMQFIREGGANA ATVDGNEKHILEQFDKKIAVNDGKGKRRAVAYEESSKSKKDAAARPTEPATRNPDANL TRAEAEYMSALQAKYNAIKMMRSRLGLIITYLQNLPPAFTAGTQTTSEAADFARASNG QYTIPSNNILRHIQALVTNADLVAPAEQATLEREIQRETNDVNLISMISDLMSSVNEA REAGKKFHVVESARNSRQGRVAATSGSLYEQSLFESELGMGGDGDFADGSDSVLAQY MYCTH_97184 MSEPSKKPEPVQPQPPGSGKVEFTNNLGPPFKRPVVEDEIEFIS CSPVKKKRLTEQTTAHNTTTSTAPPQLQDTRSANQETRDFPQIPPAGRCRSLCGIGIG QAKAPGPETAMESRGTSLPVLEKFAFPQTFPLATGRPPRLSDAISPKQFPQASLAPPE TGTNTATTISTTNTSTQIPTQESSVTLDQISCLDFDGVPTNSPGFDAGRVFSADCGIM SGISVGNTTSAMPPLPPFPTSSPRAGIPFTMYSTGSIMTVPQAQNRDKPSVAVLPTGA KLTSCCPHCARIRQQNTFRQAPVGVSGMSPKMATQTHGHANPQGHEHASSPRSVPPPP GFSPPGHIIPPRSAPAPGQEQRRLPNQWQHQNQQPPSENPLHPGPPTPNYYLRPLLQD MAQTIQASFPYAQVAARHGMAPARVAEVLARVVGAPLVSRRE MYCTH_2309925 MASSAVDVESGSARRSGSGSVKEEPGPVAEKGTPVGGGSPESAS VLSAPGDHTHRTLKSRHIQLIGIGGTIGTALYVQIGRGLMNGGPASLFMAFTLWCSVI LAVTLCMAEMVTYLPISSPFIRFAGRYVDEAFGFAAGWNFFVFEAAMVPFEITACNVI IHYWSDIVPPGGVIAIIIVLYGLINVLAVKWYGEVEFWAALGKMLLIVGLLIFTFIVM LGGNPLHDRFGFRFWREPGAFAELYHTGALGRFMGFLQCLISASFTIAGPDYVSMAAG ECENPRRVMPRAFNAVFYRLTAFFVLGSLAVGILVPYDDEEMRRAFESGAPGAAASPY VVAMNRLQIRTLPDIVNAMVLTAAFSAGNSYVYCASRSLYGMALEGKAPRLFLRCTPA GVPVYAVALVLLIALLAFLQVSNDAAVVLQWFVNLVTASQLINFSVMSLTYLRFHAAL KAQAVDRRSLPYRAMLQPYAAWYALSGTFVMTFVGGYMVFLPGNWDVPTFLFSYTMIA VCPILYIGWKLYHKTRIFSAEEIDLKKNLDEIDAYERSYVPSKPSNFFDRLLDWVFG MYCTH_2309927 MPHLEPDGPLTKRQRTDAVVKARKTAKRGSAIFAPFRTIGLVSP TAVPFTSIPLGKTTFQVTTSVGRALQTYDLKRGLNLVFVTRPQTPADITATYAWKEKV FAAWGDPRSGEPQGLWAFQRGKKAAELQLPADLDQPIKQILIFGSWIVACALTRIEVW KSSTLEHYTTIFTAAAKKGANEITGGVCNMPTFLNKIFVGRKDGWVEIWNISTAKLIY TILPPSPDCGAVTCLQPSPALSLLAIAYSEGPLVIQNVLTDKPVLQLNAGTEDAPVTC ISFRTDGQGAGQDGRKDGVMATATGVSGDVTFWDLNKGGRIMGVLRSAHNPPSYNRDV RGGISKVEFLPGQPVIVTSGLDNSLKSWIFDESPFSPVPRILHMRSGHAAPVRCLQFL PSDFDGAEGGNKWLLSGGRDRSLWGWSLRRDGQSAELSQGALRKKARKVGILAGSSLS HGPTTTLDDLKAPEITCIASSLNRDGGMGAMPGKQVIWDKGNDKTKLSSAELSGMTGW ESVVTAHKDDPWARTWFWGRRRAGRWAFKTGDGEAVSTVAISPCGSFALVGSSGGSID MFNLQSGRHRQRFPSRLTPAQLRQLKMQQLKALDEVNKLQSRARKTFAPGTGRHTKAV TGIVVDSLNRAVISCSLDGKIKFWDFVTGNLVDEIDWAPMIKITACRYHAGNDLVAFA CDDHSIRVVDIETKQTIRELWGCRGDITDFCFSNDGRWIVAASRDSIIRVWDLPTSHL IDAFRLEKPCTALAFSATGEYLAGAVEGDLGVQLWTNRTLFKHVPTRQISDKEIGQIA GPTASGEGGQGLIEAAFEGGGEGGAAEEEEEEGEQDDGVTAPTIDQLSADMVTLSLVP KSRWQTLLHIDLIKARNKPKEPPKAPEKAPFFLPSVGAAAGASASGLAAGSLVPLADE AKKQAEAQGSRLTRLDRMRNQQALTSKLLACGASGDYTDFLEHLKSLGPSAADLELRS LSVGDGSDETNELLHFIRALTSRLKSRRDYELTQAWMTVFLRLHFDLVMANESLLRAL AEWKQYQTKERERLDDLVGYCGGVVGFLRSPRT MYCTH_2309929 MGSTDVNAGNATLPPSEPQVNVEQATTSIGAAPPAATPAAPALA AGGAGPGGGEREERPMGSEPRSQPQQQHGSHSLSPPSSSLSAHKSEQSEPDITEAHTE RADASVAPPAPPPREDSTERVTVAEAGSSQNQSRGNAVPPSSLRDFAATTAVTDPDDP DTPRLQANTAAEAAPSRSLPDPALRSARTSMSEGPVSNRMSVSSLYSLASARGIPSSA ASVNGSENGSLSGSSVHRSASGILASGGGSKHHTTAAQAEPGVSNMTVTTGGEGSRGA HQLAPRESPAQAQNPSEASKKPQQTGTAPTPRSQPTRSRSRANRRFSGSTGASSHQSP SGDRVVSHRPEKEEHKPAPLGVIGVCALDVKARSKPSRNILSRLIQNREFDVCVFGDK VILDEEVENWPICDYLISFYSDGFPLDKAIAYVKARKPFCVNDVPMQKILWDRRLCLR LLDRIGVPTPQRIEVNRDGGPALLTPDICKYIREVSGITFDPSDSEAERIRSASPRKV ELLDGGDILSVDGTLIKKPFVEKPTSGEDHNIIIYFPSSAGGGARKLFRKIGNKSSEY VENLNVPRAITQPNDSFIYERFMQVDNAEDVKAYTVGPTYCHAETRKSPVVDGVVRRN THGKEVRYVTALSSEEKEIASKISTAFGQRVCGFDLLRAGGKSYVIDVNGWSFVKDND DYYDHCASILREIFIKEKQRRDGRTPPMPSPVIFDPDAMSARAGHVNKEREQASSQGR PSVERSARESLVEQLPPAKAESKHNGMPASPLASQLSGSSQDGVATTVPGAASAATSV VPEAMAQDEQEPPPPPPPKPSWKLKGVVSVIRHADRTPKQKYKFTFHTAPFIELLRGH QEEVLLIGEPALASVLDAVDAAMKAGIEDPAKLKALRNVLIKKGSWAGTKVQIKPMFR KKTGSKNAKSSREQDDGAGKEDEPVVQTDEDTEKKDGGKTPRRPPKRTDSLSGVTMSK FTAAEESLVLDKLQLIVKWGGEPTHSARYQSQELGENMRGDLGLMNREVLDEVHVFSS SERRVVTSAQIWAASFLNKKDVPEDFITIRKDLLDDSNAAKDEMDKVKKKLKGLLRKG NERPPQFAWPENMPEPSEVQTRVVQLMNFHRRVMHHNYAKLYSGAVNSPNSVANPGAD KNTNECGSSAVSVSSLASGRIVVAGQCGQQHPGTVVLRRGRGAVQGALGEAVRRVLRR RES MYCTH_2309930 MQASDEAKCFIYFTKESHIYTLLNCILEGGLETKIKRATIPELD FLSQISFELYEMPANPPAGEDGAPAFNYSIKITISPGCHVFDPLDVQLDSRHCIGCAP RRSLTAHHDWKVVIETLRAKFHQ MYCTH_2309931 MKPAEHGGQTPAVSGVAQGVEPVGTTTIKGEDVAGGGSAADTPT TTTTTDSAVDTTTAAVIADIITTSNAAATNMPDPSSKPEGEGKGEDESKGGDHGGDEN SAHQA MYCTH_2316167 MALASYSQCAHSFVMIKSDNTLIEWRCHDCHDGPFWFIWECRYC RHHTCRTCMDNA MYCTH_104570 MVAIRWGSLVVRATCFTSSLRGPCREKAIAAEHSLEAAKALQGC LDVPKIIANTFNNAQRVSVTAQKRVAATGLATSTYHFDHQGKRGFSQFINNYYDDEGW WALALIRSWDVTHDQAYLDMAERIFEDMQAGTDDRCGGGIWWSKEKQYKNAIANELYL SVAASLANRIPDKKQRYEQLAKDEWVWFKDSGMINKNNLINDGLAIDVNGRCTNNGLT TWSYNQGVVLGGLVELANATGDPSYLGEATAIAKAAVELLSDENGIIREADRCEPDCG ADGSQFKGIFVRNLHYLQRAAPQDAFRTAIQKNADSIWANNRNGKNQFGIQWAGPADV GNGPNAATHSSAMDVIVAALAIGRQGRFR MYCTH_2309942 MVDPLEWHGLMLTLSHLLYRRSPPADNLADIVQALLMGLSFERR STSGSPTGSNVRDAACFGIWALARRYTTPELLAVPTASFTTEARSEASILQIIAIHLV AAACLDPAGNIRRGSSAALQELIGRHPDTVEQGIFVVQTVDYHAVALRSRAMQDVALG VTRLSHRYGEAILDGLLGWRGVGDVDTASRRAAGVSYGMIAAELSSTEPDPIQRLTQA ISLALTRVKLLEARQVEERHGLFLSIAAVFDALPAADGSQRTASLDGLIQLSLDALLD ILNDCDTKTYRKPELVAEAASRLIISSFPVLQAAAFASSTLLPGHSLMSKSGDELAKI VKSVSTRNDNKLSAFVTLAGSNLEKWLVWPDIDIINTASEAAVVYMIFCTNAERDVAI RGWADAARARQSSKTRTTSGHFSALAAVYPMASTLALDQDKMLICDAIIERWKNDTST DTRACILQSLAGSEVLRQNVKLFSDLLGEGCDDYTTTARGDVGSHVRFAAIRATRSLW EKLDDDIETKGQLISTLFLRILRLAAEKLDRVRAEAQAALAVVLKPTQAAMLRKSTFS SKAYFVFLLDLLDQDWLHPAVSPVKDDAEKWMDALMAGIVSSADTGNEELVIASRAAL CEFCERSSASRDAVCTALGRNLKAWQGTDRVLVPTLEVVAFLFHVGVFAECSGVNYKS LCLQVQKACYKTGNVRKIEACIRVYGAVAALGWEAQPVSSAAAVCGSDDLLKKREEGI REARARLGALMFHPWPRVRSTVVDELWILYRDETVAQTLRSVNWGSAEKAKIKTVVDA LGLASA MYCTH_2129702 MCDTRSRPFRGGLQLSAERGLTFRLQETAAVAAAAGGGGGGGGG GEEGRKGGLAICMIEQTMEVSNEAHNVKSAPTPRRGGRGISSGAGGTMNGKALGPWLV AVFDVARAAHGGDTLHTLSPDRTNETRSRSPRSDQFRSF MYCTH_2309943 MEALKHLINNVPDWLKKLDELNGQIEQRQIELARLTEENNKTNS PDGPSSSGGPKSVRNRGSTESLRPRDEPAAHPADSRPQPGAGEAAGTPAAANDGNEQP APSSPSESQSPSEIQRQTSQVRAAGQARARAMLRKRQRTDSVISAEGATPKYRSRSMI IVYYDSYVQLFFEELVKFVSGGRNMMRKAKMAAKVAQIKRLAELETPDEQDDAPSTTT TTTTTTAAAVPPLPTTTTTTATGIIEVDNPITPAPAEPAPSSQPIEAAEENKPAMHYV STRRMGAGPGGRAMRANMYGRVGAGGRVMRAGAGPAPMLGADGKDAAPDVYDELDKGL EYVQSMCEHAAHQFLRDGECGEEVANIKRRLAETKELADKEMERIRREEPEALLKHGE NDATHGRSYRPQSMRKAAGKPSSSAAGAGPEAGAAPSLAPAPVLVPAEPTGPLEVDEG VDDVDKEEAPKLVWRSTRMMR MYCTH_2096067 MSESEANAEALVPKFKFERLLNQDQAGRRSSMLGTIDGQPALLI LERAPFPSSADYLGSVPASLRAIRNLGANDIYHWYLANSGPVSGADSHEFADLKINLI WPCTEKHIKKYSRQGVRFVTETPEIYRDHVRPYMQAQREAGRLNWAFNIIEGRKEAED VIYRTPYGEDPEEGFLLLPDLNWDRKTLDALHLLGLVERRDLWSLRDLRKKHLPWLRH MKAKFLDATTSVYPSIERDQLKLYLHYQPTYYHLHIHIVHVALEAGATQATGKAVGLE SIMSQLETMAGDDEEAGMDSVTLCYTLGEASDLWVDVFEPLKRTNTAS MYCTH_2140435 MATPSLDDFLRPLAVDLETVLKLSRELESTFRQLSVESSDQFLP TPISESVLRPATRDQGRFLAIDIHVAEVVRNGCETLGLSREAELPMGVTFSFPMQQRS LSEAILMPMGKGFAITSDLDLGGHLAAGYQKHRTADMPPVKVAAIANDAVATLVSFIY QFPAQVNQKAAMALIVGTGCNATLPLLLSSLHESKRPSAISVRPGQEAADVKIAVNTE WSIRGSAPPLRQLGLISKWDTELDNAGEVPGFQPLEYMTAGRYLGELARIVFLDYFQT VLGLPAQLLPSKLHERFGLSTTFMSHLHPESTKGPMLQQLEREFAPEDASFRWTTELA NVLYRIAKAIELRAAAIVAASTVGLLRCAQELPQPGVERTTLAVGYTGGCIQHFQDYL GDTQRFIDEIINHEFGPQPRVRVVLTPCHDGGITGAGILVPAALAIVAAETTQTTHPG SMSGSEPTSTPNRLQPSRPRSAADGDDDEGNSGDIDPNQLDVMLSRSVQSAAPLLEPE SFQHSMLRNTRARSRSRSRSRSRSRGRRQPSTNGTAVATCKLPGSGAAPDEETPLLQN TTTAALEGTAKSDNPYLGGVSVTRFWLLFLQINASYFIACFDSTIMASSHPVITSYFG SSNSASWLSTAFLLTSTSFQPVLGGFSDAVGRKGPYVITMAVFLFATLWCALAQSMTS FIVARAFCGLGAGGVMTLGSIIVPVIVLCLIVAVLVVPSDLGLGGREKQTLHEAMRTF DFKGSVLMSSSVTFLILGLSPHANLIFSNHIGAFLSNATLFNVPLYFQGVLLTSATTS GFRLVVSSAVASAAGTATGFLISYTRRLKWPLVLGTSLSVAGALCLTSMQRGWPALLY ILCLLPGAAGAGFQFPGTFMAVLAASEQREQAVVTGTLILWRSLGQVLGVACSSLVVQ NALWYYLEALVAPGPDKEGVVARARKSVEAIRDLPLEFREPVVRSYEAALRVTFGCCA ALAVVGWLLILPVRLPRLGKR MYCTH_2129707 MAAQVPPDVLGTAVGVLVYSLICLISGFFLLWLVWVHEERKSYV AELNITGASTGLDLVLFYIQYYSYNVESLLVFFWAVELANSIFQLRITKIYRFHASLV AKAIAALLPVARMVLLRFSGIDKSTVAFMALADAISAKALYSWPSCVVIFIPASRSPP WNVGYARQQQSASGGAYGARYGRPVPKKTIYDDRWLVLRFSVAFCALSLFQIVVVNFQ LRAAATNNRANIPPELDLSATRARGDFALFAPAPSAVLFAILVFATRPGTGAASCWGC PTWVALLVLPAAAVAGAGGREVMVLSWVSG MYCTH_112716 MNDDWTPPIPAGYNDANRAFIQALMARGTLTFREGQKVLAAIKS AVEGGGGDDVAPESITMAEFENFVRTAREAVEPLDYDIRNMRDQVRGGERVWAFVNAH SDPATQLGTARSPEEVAYIKRLLDAMFDHHNTPRLEVMAVDEGQALRVSRPARGPAAR DSNVNTNTNGGMGGGEEDDDDDDNDGGTGDGSTTAAAAAASRGLKHSEVLSLLSSLVA EGWLDKSRDGFYSLTPRALMELWSWLVATYNDPDAESEWQRIKFCEACKEIVTYGQRC NEPDCTIRLHDICEDAFWRTRAGDRKCPKCGTPWEGNHFVGERAVTSRSGFQRGRGRR RRQTEAAEVAHDNGGVEEEGGE MYCTH_2309963 MAPFFKKHKDTDKAGKKGGTGKEEVKPEGKAKTTGAGAADALAL AGSPSAEPALLLNDEDEEFLERLVSNDNEDDEDGPPPPLPPRPKTPDLVWDDSESFRV SRSEEGLTTTAPAATATAATTTTTKTTTKKPNRLSRIFHRKHSTSTTLTVPSSTAAEV TPEEADREWADLNRVLSPLGIEPADPDSPDAPNKGAKNSSSTNTTTTTTTKAGKTSRT KAAALTASAEIQSLLRQFVVVLKDIMRGAPTAVDDLTALLDGRNDVLRRGFEKLPSSM QKLVTQLPKKLTSSLGPDVLAAAAEARGLKKEDTDAGGLARFLMPKTLNDLVLTPAIV KSMLKAIVNTLKVRWPAFVGTNVLWSAAVFLLLFVLWYFHKRGKEEREKREKEAAERE AGEGKVDEKEVSV MYCTH_117625 MASEAVSPQREPTPSSLHSTLPQKRSLEDDHSPAVSSPLNPESK PQKVQVQIEENQVTGREKRAKKDSLKKRESKGTSDSARATPDPRQQEPPEELGPVRYK LAHPKPTDFELSRGPVFTSHHEVQDPEGRTIEFFETSDHVYNKKSFHYTHCIADPAFP SMFYYRNTEPPPFGAHMSFEDAASHMFFDRNGMHVTSDKGFRMSRANIAVREGRWYWE CKITRGILKERKEGEPDSHGHVRVGFARREASLDAPVGFDAYSYGIRDVSGQKVHMSR PKDFFPPGEEVKEGDVIGLEIQLPSERLHRKIVQGQYNPVVDLADDEEPDQAEAPNII RDRIPIRFKAHIYFEKIDYHPTKELEELMNPSPVGPGRNLEAPNPNHPVPALRTLPNS YIKIYKNGVLMGTPWTDLLAFLPPASKQAQQTGGRDALDDGSLGYYPAVSVFRGGAVE VNFGPNFWYPPPAEDAEMGGVDGSSGKGLNKLRAMYERYDEQIVEDIVYDIVDEVGFW MQDGQKIIDRSARDEKAETVAPGREEIKELVQDD MYCTH_2309965 MPVVKGGVWTNIEDEILKASVSKYGLNQWARVSSLLARKTPKQC KARWNEWLDPSIKKIEWSKEEDEKLLHLAKLMPTQWRTIAPIVGRTANQCLERYQRLL DEAEQREASALGLTGPEGGETKAPTADDVRRLRPGEVDPDPETKPARPDTIDLDEDEK EMLSEARARLANTQGKKAKRKARERQQEESRRLAALQKRRELKTAGINIKVTTRKKGQ MDYNADIPFEKKPVPGFYDTTEEIARNEYQRAHFDPKKQQVGNKRKGEEEGDADRKRR KNEKDGPSASVQAALKAGQMQKLREAEQSSKRKPLVLPAPQVSDGELEEIVKMGMIGE RASAMARDSDNDATRGLVGNYSTLNTNAPIRTPRAPPQEDHIANEIRNIRALTETQSS LLGGENTPLHQGSGSTGFESAAPRKQVVATPNPLATPLRPAGSAVGATPLRAGQTPLR TPRDTFSLNAPSTEMDMVGGTPADARMRELSLRHQLKQRLAALPKPKETEWELELPEE KEEPMTAEQMEVDAAERDRREREIREAQELLERKRRTQVMQRGLPRAVEVDYNKLVQA ASEIDDPARALVAREAALLMAHDATRYPLPGAPPAAKPVPLPQIDDSALAEARLQILL EMKDQPKPEEVQAVWNKENTNSLLLGLGCYDDDDEEDQVATLKSALDSALESLMSSAE KGNKLEKKLGLHLGGYKNRAEMLRKKVVEAHAALEKARNALGGFRILQASEQQAIQNR LAALRAEVAFVSTRERQAQELYRSVRAELEDLRIEVDARQ MYCTH_97168 MAPNTSISKHYRDMRRFWPSKKDSSQQMAFAFLLVTQLPFPNGV VPRVVRSQFLEMFASNTERAEQIANACANGPAIDSLVILLRLLLALGLDPRLSRQYPL FKLAFHDFGRKMVDDYIVKGELLDILYPNAVGPANRVHQKTTSFEQPVEANEFERVQA TLGELLKHPLVSAALWSHPSLRFFRWRTWAKKPGEAVFAPYELSKAQPGALSSLQRTP YRIDNPHPIDLGEYFSRHLGIRDKNDVSIAFACEFPIVIPVIMRGVQKFDSIRSFVVE GPYDYQWHKNGTLSPLTKSRAYRLRAVLNLAESDVRIYHQDTSPVIEQLDPDLIAAFK NPGEPYVPKEAYLPKLHRGEHARGWTFEDSPTRHFLLIYAKYRTEKDKPFEKHRVDFG EYIPVLRGNGEQRPASSPYVRLGEEQPPPNHFL MYCTH_2309967 MEIVESQEAFRFVEGKLRFSYMQYIVRDNNILYLGKWANRHQAP RALSQLYDVEKLRTDDRGPQLKPSWKVTLGQSCYIKRQKLFDYAGKLDLERRILREVE TCEIIRKHPHPNIAFYYGAQETRGRVSGLCFKRYVSTLLDKVNPQHLNKSTFLLSGRP LVEHRMKAQLSRILDGIRHLHSLGLVHNDITPDNIMLEEDGTLTSTVAGMLGKCFETP TLKGRMAGTTLL MYCTH_2129714 MTDTSSNSSVSEIEDSDLDGSSVCGGQRLPIFGRKDELKPQSTA TTRQLRRRDPSDRVDYNVENHYLALEAALEPANDEEERPSIKREHRQDTIRMGSLKRG AWQPAASKNLGNSNKRRKRADVPQDKPEDGQKKDRDEGAQDRAGESRAVVVLDCAGPR FSSAPLQHTPTRAHALGTRPRIFNRSLPDLFTYGLKLDDSVLPDINVDGQALPNPGFL STAISDNLQLLVCHPVWEGSLSLVRYALQVAVMHRVPGHIFPIAPLPNLTSRVTVMRN PSLAGHPMLARDFDFASYEMSHPCARPMIQQLCDILEESVEKEDFHEADAGFREKTLF LLRVQDIQAVINSTNRLAVNGMPVFRTCHDYFLHHKSLAQAERDHRASIDELEALKRH KKEWVLATRRKWIVSSRHWRQESTGVAPPAEDEPGAYDMPEGDACPAHLYCEQLGRSE AHLALMRGTIWPLIKTPPPVFGL MYCTH_112714 MRPFFMLALTALAAVANAAALPQTQTQKEPGLGTDYDAIIVGGG PAGLSAASGLARVRRNVLLIDSGEYRNAPTRHMHDVPGFDGVTPAYFRWAARQQISYY KTVTMVNGTVFKIQPGNEKNTYFVVSSREPGAEGGEEVKERTARKVVLATGLRDLLPE TPGVRENWGKGIFWCPWCDGHEHADQTLGLLGSLDNVPAAVREMLTLNRDIIAFVNGT DTPEVRAVTEKKLARWETYLQLHNVRLDNRTISAIVRLQNGTDGSEDPSLPTAPEHDF FRVDFTNGEPEYRAAFLAAFPSEQTSSVGEDLGVQLLGGRLFANQSAGLVTNIPGVYA VGDANSDNTTNVPHALFSGKRTAVFLHVAIAREDAERELNETEVSKRDLEPVARSLWD TVNGPPGDILYAGEFDQ MYCTH_2069031 MKALSLLTLLPLAAAAPTNTLAARGLTVPTGPVPETVRVVGVSL LGSGCPAGTADVQIDATKTLLEVTFSEYIVQTGPGTKAADWRKNCKLTLNMEFDEGFS FSTLATDMSGFAQIPAGSRGLCTNTFDFTGISGQSYYSIALPGEREGPFTLSADPDVV SWSPCGVTTAILNMNTQCNISPTRDPALIAITVNVSLAWRKCE MYCTH_97164 MDTAQDGDKEADGMLRALIQRLSDQIDTMDKSVSHAQLTSVAEQ LEASLIQVQRLQERLRASLSRSLEHEQAAKRKAVAMRDISEGFRALGRLETKVDAVSA KIGEHLNTCKAHKIDLNRRIAALQETLAETRDHGNAETAKKSAQESAEATTASTQLHI TTGRPAPRTASSNSADVNTPNTVNDATRASNALVRTGPAPSPVHAARKRKRRRRQGKS ELSVPNAADLLPSDDHRRKISELAAMPRLDCQIANEVLSCLLPCPDGGLWDANRIHDC VRSLSTGESGTKKVTWLGRRMLLLWDRSHDGWFCAVHAPADRSDPVDPDGRCGSCLPR TWCVQLRRAALTGLLRRYCYARVCATEHGK MYCTH_72932 MTPTATISAVLTCAGMAYARAGAGTPLDPVQPVVLPNGAMAKNP LAHLGGNGPWTTAPNVNGIPPDVPKNCYVDQAAYVLRHGSRYPDPGAHSGWLDMARRF REGDYSASGPLSFVHRWDTPLAHPEIQLSQLSQTGYKELSDLGYTLRTRYPNLYQEGE DFYVWANNYTRVIQTAQLFVRGYLGPNSTLLGKVVSVTGKGFPDQLANTLAPSDMCPA FKDDSEVQQSAWRSRWLPSFIERLSKYIRGNLTLQDSQWNDFPYICGFESQITGRLSP FCDTFTQEELEQYEYQQDLRYYYGLGPGASVSSKMMAPFLNALMQRFVDGPDAQGIAK GGALFKVPRLLMNFVNDGQLIQLAAATGVFDKQKPLPVNYIPRDRLWRSSRISPMRGT IAFERLNCRVRNSHGGWGLAREKNETFVRIRINEAVYPVPGCQGGPGQSCRLTDYAAY IARKVKAIGSFAALCNATDPATPRTVLGASFLTDLDQPHLQIVTP MYCTH_2129720 MIGPNEKELMRKRGSWERNRFSYQAQQGGLFPAASRNPHAPCPP TPQVQAVQWRASIPFTHKGEPQPQRYDFWEQEKRIDTLRPISKRTGTEGKATGYKIRS PWQINVAAAEVNSYLIDLLQACPFHVDPSKNKSHRARLDFTTLAPQLRVPKSSEWREH IGTSP MYCTH_2309971 MAEVDLQAVHDTLVSVAFEAGRMILAANPSTGSTNTKLNAVDIV TETDKAVERMVSTRLRAAFPTFAFVGEETYQAGVTRVTDAPTFIVDPIDGTTNFVHGF PNACVSLGLAVDRAPAVGVVYNPFQDLLFTGIKGKGSYMQRNASLEEGRGRGEKVRLP LSSAGGTRPPPALGNLSSALVSIEWGSQRDGDNFELKARTFRKLAASKENGGGMIGGM RSLGSAALNLCACAAGQLDMYWEGGCYAWDVAAGWCILNEAGGRMVSGNPGGWETELD SRVYLAVRGASSGQEELVKEFWDVIGDGKMDYKH MYCTH_85648 MTLTQRKSHRDPDAFPTVQLFVLAIVRLAEPIALTSIFPYAWAL IKRFQVGNEDDASFYAGLLISAFSLAEALMGMYWGSLSDRVGRKPVLLLGCIGTMFSM IVVGIAQNIWIALVGRTVGGLLNGNIGVIQTMVGELVTKPEHEPRAFSIMPFVWSIGT IIGPIIGGLFADPHESYPDLFPNGSLFERFPYLLPNLICAAMLLVSIILGYFLLEETH PDMQPRVLLPNDTFLSENTPLIETSDAMKRPAVDLRDENYGTMRSRDAAASCPTPGWT TKEGVRPASVFCKRIMAVVISLSIFTYHSMTFDHLLPIFFEDDRAPTSGATVHASLRA LSGLGVPRNSLLYSPGGLGLSMQAVGVIMAVQGAIALFMQAIVFPLAAERVGVYRLFL FVTVLHPVVYALVPWLLYVPEPLLYPAIYCVLVVRNFFSILLYPLLLILIKEATPSST ILGKVNGLAASAGAACRMVAPPVAGYLYALGKKMDCTALAWYGSTVVAVVGAVQCFSV KRDREDNGKRPEDVEA MYCTH_2069804 EEYLEYLYIVYKILNKAYIYISATKSFIGYLAIRLLRYIINGKG IAKTDDYFTTFKKLKFLDTLNSLVLRFSYIRQPNKAYGTN MYCTH_2309975 MTGDKETEPALVVGSEDQAASPLVATKADSPTAPPPEREPLPSY TDQAATLATFTPHRPFPQVLSAYSSSLKPIKLCGSSADDVLFAVETHTGYSGKTVLGT KPGLLLHNGTSKQDPILAAVGDTSQLAARAYVFSPESIILLPPAADIPSAPMATEYMH ARTSGDDVLFFFEVEVGLGEKLHRRQFIWKKAKKGVDPDVKQGGFRLEWRPSVAGDDP GSLGVSSSSPVDDQWETVALLEWGHLLSRKMFTVQFLGSGLSGKLGERWRLMVVMTAL RLLLLKVKGRTAKSHVAAGEKLYKN MYCTH_2309977 MLNIFWGPEKTQAWRQMFEDANITQSAKNGISMNHQIHFWFDNA RFALKPLRETPEGVVVQWHWLKRSVLKPLVDIRPDQDTLLQAGITDQNWGDNLAHRKS GVRIRTGQTFLIRANKPEDRVSWDLLEMQWNLLRVAAISGAADVTDDYYDYDEPDERA YDAEVAAKQRVILAEHGTAARDKSEYYGGEQSKAKQARTPGDSDSERSNNDGGPGDSS GGGAA MYCTH_72924 MPCSWCTSQGLVCKMIACIKRYEACICRGRSYDSSSIPLSSCKP EQRRIKDAKRRAKLELDKS MYCTH_2068997 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_2069012 GLPIVVVVNRGSKFKGEAKAILEELGVKHIIISPYNSRANGVSK ARYIPIIATLVKMTIGIRKN MYCTH_59005 MLFTKAVSGLAILAGLVAAHPGHDASKEAAERRAYLQNAKRTSL AHCADKLRARGTESRNIVRRKAVVEKARQKRGLTKRDFSDVLGTDHNKTTLGYTPNTD AATLFAGYNSCLLTPEVTQGPYYVAGEYVRENVVEDQPGLPLLLEYQVVDVATCEPVP EVYVEIWHCNATGVYGGVVASGNGDSSDASNLDNTFLRGIQPTDAEGVAQFESVFPGH YTGRATHIHIMVHTNATLQANQTLGLDNYASHVGQAFFDQALITAVEKLEPYASNQQP LTTNEEDSIMAEESATDGVDPVMEYTLLGDNLSDGLFAWIAFGIDTTRSEAISPAVYL EEGGGRANPDAGGPGGPGGSFPTGSFPTGGFPVPTGNVGRGIGRRARH MYCTH_117620 MNTAGAVILALVLLLIGAGVGWIIFTRIRASRLGLPPPPLRSYI PFLSPGSTSYGGGGPTPAPGGIVGWVNDKIRLMRHRNKRTAAGAYEGPAPTTPYYGGR YPARGNNPSAPPEDDDPWDSRLRGYNPYEEDDDEERQRGSGYGPGGEGYQMNLPVPAP PEEGAASAAGPSGPAGAAKVAGPAGAAGAQQGGHDEEEWRRGRTENPFGDDADPSNLS VRGVSPRPTTEGGAASSSSAARSAVREDRDRTSMFREDV MYCTH_104551 MTGLGVMVVMVGFLAIASLQSESRPCDTPELGFQCGTAISHFWG QYSPYFSVPSELDASIPDDCEVTFAQVLSRHGARAPTLKRAASYVDLIDRIHHGAISY GPGYEFLRTYDYTLGADELTRTGQQQMVNSGIKFYRRYRALARNSIPFVRTAGQDRVV HSAENFTQGFHSALLADRGSTVRPTLPYDMVVIPETAGANNTLHNDLCTAFEEGPYST IGDDAQDTYLSTFAGPITARVNANLPGANLTDADTVALMDLCPFETVASSSYYSSSSS SSDRATADAGGGNGRPLSPFCRLFSESEWRAYDYLQSVGKWYGYGPGNPLGPTQGVGF VNELLARLAGVPVRDGTSTNRTLDGDPRTFPLGRPLYADFSHDNDMMGVLGALGAYDG VPPLDKTARRDPEELGGYAASWAVPFAARIYVEKMRCSGGGGGGGGGEGWQEKDEEMV RVLVNDRVMALKGCGADERGMCTLERFIESMAFARGNGKWDLCFA MYCTH_2310013 MFMPTGAERNFAVLRDQPAAIPQPSAEPVWPSERTYEKTLGREA SEKTKLWRSASRESSARRNLFSRTLSPSVRRLQISGPTDFRHLHSESFQFPPPPQESR PRPSSFRPIELSIYRQENRLSAILPHIECNITPPPRAYTGNSSNWEDNSGSSTTLTQE ATLAHERSHSAMSFHLPRKYSRQISEASMSPPRIPPKSRARAATAPNTERIVERIASA MIEKERLQAEINSLVERQSLYLNSRPSTGYDVRGLEPMPSIPALPAAGRSFAERLSTD DRPRTAPSYSHSMAPHEKTPELTASAQSSPPQRHDRVTTRNRYGSPSTSSDGRVITAD FPLAPPLPLVLRPPLRKKKSFSRVSNWLFNPDDAAASPTVAAAGHATTSPRPVKESDG FYQCVAPPEGLPRTSMDTSSSVYTWETGGNSDGNSNGDGDGAKTLPTATTATTWSPDQ TPKQGWSSSTRHTTPVLGGSERAGLGFDKETGVPSGVGGGAGVAVDGEEGNGLGVDDG TNANGHRPLSVGVAF MYCTH_2310016 MQAPPPPQGPQGPHGPQGGQMPPMMPSPEQIAAMQRQLAIDAEK MGMTVPQFIEHLKRQAQERMHAQQQQQQQQQAQQGGGHQHEHQHPHQHPHPHQHQHQD TSGQPRPIVPGPPNPVALALAKFLRSQNLKPRTCILNGERKDMFRVKRALRALQSDAY KAARKKNPALPEITDRASLENAFKLLPLSMLALRVVKADPHDGHDHAKKQGGKRIKGL WTVRIEPQQDAGDDMYYVWLWEGSQVMRKVYAGLALAVIFALVCYPLWPVKLRQGGYY LSWGFLCFMGLFFAMAIFRVILFCLTYFILPPGLWLFPNLWEDVSVVDSFKPVWAWHE PASKKKKKKKSKKSERSASGSAAAGATFSATTGQPAPATATTTATSTQVSTAPQQRSN YMAPRVEELEDDE MYCTH_97150 MSDHLHRGLFASVLGELIVLNQSSCHPNLPGYEGQGLCCNRPWA YQDVGSETGSPRLTAGSILECHEYSHDLPLDRSNSLARAILREWRETVAYLASHIAPG RLELSLVCDLHRGEVELARLVVDGLCTLPRLRDCHLRLCEPRDSRLQQLAYEAVLKVR ALGSVKHHRSSERTGSGPLALPRELLRLRILEYYTDLVTPDKEVLCAGKSVHFSPAGN DHRLTRPVAASAAAGTRRFHLVAPPTPFFLACRALYEDANLVFYNQNRFIIVDNPLDD PFASRERGDYKRVLCGQPVLEASRAPSLSRIPPTPRAGVCPFYSVSRPWDGHPALQDW DDTVEWVKDKLNLPALILRLVVAGHPWHGPENSYKITRADGKEVLATYNHMLHPLQRL NPATGQGLARSYAHLPWSLTWSHWAHEQQLNGRSSDWLESKDRELKKRAECIAEAECV IRSGARNRLVARS MYCTH_2096092 MDIHSMLRKAKHGLEELLPGDEKHSHTHDGDIDDAHAEQSGNRY SSFAPQSSGHAKWYVDGASYFWAVSMALEEAREYIYILDWWLSPELYLRRPPARNERY RLDNMLKAAAERGVQIFVIVYKEVPQALTCKWASQHTKHALEALHPNIKVFRYPDHHH SGNDIVNGLQELHSNLQNFSLKTFNLAKASQEAVEALYGVADDITLYFSHHEKLCLID GKVAFMGGLDLCHPIADAHPGNVEELVYPGQDYNNARIYDFEDVDKWENNKLDRTKSS RMGWSDISISLSGPIVDSLAMHFTQRWNFIFNKKYSTRDIGKYWLLEAPHTHHGAASH YGGDFESHFDDLQQRFTRHVRRFVGTDEETHHASQVKSESAHIQLTRSCSKWSLGVDT EHSIANAYIEAIKNAKHFVYIENQFFITATSDEQKPVCNKIGRAIVDRILRAHQNGED FHIIIVIPAVPAFAGDLKSDGALGTRAIMEFQYFSINRGGSSILETLRNSGVQDPHRY INFYNLRNYDRINSSAIMGRAERESGVRYEEARREYDEHSRDDYGQGSHGDSRYRRYQ EAARHMSDETWDSVAACYMHNGPDLRSVPWTGSPEDEFNAFVSEELYVHSKVLIADDQ LVICGSANLNDRSQLGNHDSEIAVVIEDPTPVSSTMGGRPYTASAFAASLRRQLFRKH LGLLPHQRPDAADRNWTPVTHHAVNDYDWGSAADKLVQDPLSWEFRDLWRTTARRNTE IFSRAFHPVPDDKMRTWDDYNDFFSRYFVIPGESADKAEEGYRQGKVDYGHAVREEFP GGVEELKEWLAGVRGTLVEMPLQFLIDVDDLAEDGLMLNTLTDELYT MYCTH_2112674 MASPSMTYVFSQEQHGHLIPYIAALHASCITLDHMVGPFLPPLT NEKLLPWWKERIAEAVGGTRVIVLLLPEATLGKKPLGTDLRGLAMLKLSESETGSFRG HIDAVLVDRRHRRQGGAKALVAALEYEAAKRGRSLLLVDAETDSVAELVFKKLGYIEI GKVPHFSRALSNGKKGETFFYKEFLPSST MYCTH_2310028 MRVFDVTFLFGVAALVVAQSPTVDDDEEPPKETTYFNGKEVPPM LELTPSNWDKELKASKYLMVKHYSPYCPHCIDYAPTFQTLYEFYYTSSPAGNAPKDAS FTDFYDFRFADVNCVAYNDLCTKNKVSSWPTTILYEDGEPIVTFKGVKAMKVLSSAIE DALEKAKPGTRPSAIELPEPGAKSYPPSNDAAVDKPDPEGKRTPANPKDQPDKGAAPA STSLASEPATPESTSPKYLVVPFDPPPQVVVKPTTTPNPNGESVPLTAETFQNLVTTT HDPWFIKFYAPWCHHCLKVKPTWDELAKEVKGRLNIGEVNCDAESRLCKDVGVRGYPT FLFFRSGEHVEYQGLRGLGDLIQFAEQAIELASGVQDVDADSFKALEEKEKVIFLYFY DHAATSEDFIALERLPLNLIGRAKLVKTRDVRLYERFKITTWPRLLVSREGRPTYYPP LTPRDMRETHLLMNWIRSVWLPLVPELTASNAREIMDGKIVVLGILNRENDESFQSAL REMKSAANEWMDKQIQLFQLERQELRDAKQLRIEEAEDRGDERAARNAKNIRINMDRS DRKEVTFAWVDGVFWQRWIRTTYGIDVKDGERVIINDEDNRRYWDQTSTGNPIVPSRT SILETINKVTANPAKIKPKLTIGFFEKLIFDVKTSFKEHPFLSSGCVLGIAFGCLSWL RGGIRRLRAGHFKLDDAPSNRAPLLGLSSDGSKVD MYCTH_2310031 MSRPYKRPALADGDGDGDGDGDGDGEPSRKYTPVSLTHPVSPPL KKRRRAQSLEETEPARSPSASRRVFDSPFRLTKIRDLPREMNKDTITLKDILGDPLIA ECWEFNYLHDIDFLMAAFDPDVRHLVKVHVVHGFWKREDPNGLELQEAASRFQNVTLH SAFMPEMYGTHHSKMMILLRRDDTAQIVIHTANMIIRDWTNMTQAVWLSPRLPLMEPS RCDARPEEVAAGSGAKFKIDFLNYLRAYDTRRTTCRPIIDQLSKYDFSAIRGSLIASV PGRHKLDDTSPTRWGWAAMEQALKSVPVSSRRSDIAIQISSIATLGPTDTWLKSTFFR SLSGGRPGGTLQQPPNFQVIFPTPDEIRKSLDGYSSGASIHTKVQSPQQVKQLAYLRP MLYHWANDSANGADPQEGGGGGERRREDYENDGGDDEGDSAVVVKEAGRKRAAPHIKT YIRYGDKSGPSIDWALVTSANLSKQAWGEAAVRGADGGATMRIASYEIGVLVWPGLYG EGAIMRGTFLTDSLGTEEVKEGTTAVALRMPYNLPLQPYGKGEVPWVATANYSEPDWK GQIWRHG MYCTH_2310035 MSKTVLILGGSFAGLHVAHALLKKSIRDVKVILVSKSTHFYWNL ASVRAIIPGQIEDDDIFKPLEDALARYPAESWELVIGSATHADFDSKTVDIAVGDGTA RTISYDQLVLATGARTHPDAPWKATGSYEQALATLHATSAKVKDAQHIVVAGAGGTGI EVAGELGYEYGKTKEIVLLCAGDKLANGHGIAEAAANELRKLDVTIRYDARAAEVRPS GNGTGKTDVVLAGGETITTDLYLPTTGQVPNTEYIPARFLSTDQRSATVLVDEYLRVS GARDVWACGDVVSQPRAGFFITQKQAASVARNVEAALAGLKPTVAKGPPVDIFACAVG RGRGVGRVNNSIRMPSLVVWLAKGRNLGIPMLKGYIDGSVA MYCTH_2310036 MAPRIEAQEIETYWNIFSARTNGGKYLTGEQAAPVLKNSGLRDD QLERVWDLADVDNDGNLDFEEFCVAMRLIFDLLNGEYTDVPTSLPDWLVPESKAHLVQ ASRAITGKAAQFERVEDDDESQGLKDGFDWYMSPADKAKYEQIYQECRDMRGEVSFSA LQDLYDSLDVPDTDIRSAWNLINPSASSTINKDACLAFLHILNNRHEGYRIPRTVPAS LRASFERNQIDYQVGSARNAAQSRWATKADDETSTGRKAKFGDQYLTRLGRSGFKTAG TDFSNAQTDAEWEEVRLKKQLAELDEKIAKVEAAAERRKGGKRDSKPALVKRELEQLL DYKRKQLRDLEEGKATNRPGGNLKSVHDDLQTVREQVEGLEAHLRSRQEVLEQLRREI EDEKAGR MYCTH_2112679 MSGMRLRDSSRLRIPGRYQEDLGPSRADRPLFVHPDVPFNPDLV QYCAHPSLPLDYPGMGPSEAVKARLEALAAEEAQSGNDAEQSGAGEEVPVVATCDQVT QDGTSESSSECSRGHRDRRGQRRHAPSNLNNMFAGLTISEPASRSTAPMPAEPTVTAT TTTTTTTTTNNNNNKKMAPFARPARVANVPWRKPGQKLAENSKKRKKHGSQDRPNWAD LPDGIRYAIIHDLTRTAPLSQVVQALDLGHVEVSSLLGLISEESRKKKKFAELLLQHG DDAGIPSAEELAALCPVTEGITAEEVRCGRAFLRFLGLDGVAAGLGFWEGTGADFHDV QVDEGCWALVDGFDLPDGGDGERRSLRRLRNLKGAELLLRLDPPTKTLNPQRLKSGSG GPSARAARSGPIRVPPSEGLHPLNYTVAGKSGRRYSTLDKSDWPAWEALRDTGMVPEA GLVVMTANSLVPDEVAFLSSEDLETISVAQPAEMFGSLPADDSHVFAGQEPDPYPRAP GNGHHNPFDDDYDLEVFVDSPVKQNEAVTEQQPQHRAESTSTQTALEEQATRDPATPE DRDHEMENVSTQPFNLQAPAASHQLFPAGQTGPFDMDAATLALNQFLIAPRLAVRQLD PELASSAAEVIARVSSAKPRVRFEDEALTDSTLSRQHSQAVDMGDSPTFDELEDLLEG ADIVSPKTKLWGEATQSKNARSESGWSREFWARSLWHYNIRHKEACSRQLPSATSSSR TKLAPQAEKNPRSRTSSGDGDEGEGAKEHSKLVKRRAYKPEPDENGQPIKRIRRKPTP ELDENGQPKKRPRGRRPGSRTGTGKDPGQSAPRRPGFTCEGDRLLRSTEGKIMTRAEL EEAYPHDQRIYRSGGNLGGGKFEIIATGVFWSFVPEDEQQAAAEEHKRRREKKANQDE GMNDGTGVNAPTAASSNNNGKNAALTRTVAHSSLTSPESSTPPQPGLSTPVGKPKQTK LRIFRRNAPAHITLQASASAPPATATTVPKTPTTVPAPAGISAAPAATAHAGTTAAPA PAPAHVPRPLAPRPPRNTTPGGPSAQRPVVPGPALAPAAMDPRRAARVRELNERCARY HVATRDRFDSDDAFLAHAESMLPLLYPDLGGSRAGSAGGGPPPPPALGSSRSFI MYCTH_2069453 YLDNILIFSKTIDKYRKYIKAVLDVLYTYKLLVNREKSEFYMRK TVFLGYEISLGQIRIELSKVKAIKNWL MYCTH_90296 MRELRRIVETIKGEQPVTYDRPDSYAEHFDDQQLSHDVRNPEYQ FMRANRGKDEPLEYLRSTYNGKDSGKNSNTSRATPRDCTLDMRHIRKCPGSSPTRQEN GDGGLCPVEWVYDAGNRAAELLWKIEARDLESITIVPRRAWPRHCGTGRDTWDSCWSN DCLYHADEKKLRIRELQMKLWHVRRKAERTQWWEAASTQWLTEMSTIDEAAISRTTGE VSTDLGNGSGPFEGPGNH MYCTH_74816 MESSPLIKAHDHARAAAIATQTSDTTVAINEHAQAAGEFANASK ITSSHEALRTLKLLEQHHKRLSELLKFPTAPPRQASSDEMAEDDEKDDEKEGLAVNES KTPSPSPRNEPPPAKKTSPAKPPPTLSHQRYPGRNLSSSIASNLASARGIRASYSTKP LTPSVSNDQAPGSLEVHPRREGSTRSRTTDQPEQAHKPSWVPPVIQEDAVSEPPKSES SKSEPSKPAPSEDGFSRFYSTFGSLINRLSAPLAFAGLPLISEEPAPTPAAPPSEVPQ KKSRQRHSASSAEPDLSKIYSRATLRSLERDGHGATDSSFYVVPTSGHTASYASILNH DSKEKRRIAASLHHT MYCTH_2310059 MESQSNGLTKLLPKSIAAKRRRKKSTSPGEVVSVDDDVEPQRGD IDASRSIPGSGSGSGSGTPSLTSLKGSLNSTSNDNTTPAVDDPDPESINQRPTAISAH PSQIGYLPTSSPLVQATHDPEPLDPEETHREPARSFTLSQDSSESGVQSAPTLDRGRT TLEPPELRTKRSSSTSRLRDVFKPKKSSGEAKSASGQDKRQASPAPAQEAEPKSSVDR SRRFSRGQQLEPLTVPPRTPPQDGAAPLIVNTPPTPTDTQPAIPTTTAGPTRPADAVL KGESMITQRRRAGSNAGPSKLSNVVAPPLTPTPESGPVTPGNPNSAANFFSSMFSAVQ NTASSLSTTIPSLGQGAPKNKGTPVKGQATEKESDTVAVESAASQRPSSEPKEPAIKT LGMGDLSLSHLGIAETPSAAPSPITGRFAETETRARSESAPADPHGIGSDLPEDSKDV NGPRPHSIQDAAAAAAANGERTIPAGSVYEDKSGVRRSGSIRSAIGHGRNRGSSIATH HTAATGPIGAAIAAANSSLVNPGVGAPKLTGFAVANKKRNRDFHMLFKSVPDDDYLIE DYSCALQREILAHGRLYISEGHLCFSSNILGWVTTLVMSFDEIVSVEKRSTALVFKNG LEISTLHAKHIFASFASRDTTYDLIIKIWKLGHPHLQSSLNGVRLEEPGGDRTEKVDV ESVSATGSHSISGSDGEESDDEEDVYDEDEDEEDSQAAGPPSDGPAVPESTDKAIPRK ASGGLASPEKQDDGAQAGTPDFPGPATHAPTDCGDAATHFEKVLGDDVIPAPLGKVYT MLFGPASAAWMGRWLTTDQKCTDLQMEDKRGLTEEVRTRNYTYIKPLNASLGPKQTKC IVTERLEHIDLEKAVSVLVTTQNPDVPQGNTFTVKTKYCLSWAENNGTRVQINNVIEW TRTSWLKGAIERGANEGQAQYCKDLFAALKAAVASRPRAGTLNGAAGARGKKRSRKSK SALASSPTGDTEGAATVSAEQSWGIFEPARPILNSVVDAIRPILTGNIVYGLLVGLLV ASWFGFGTRQVAPRYTLDPAFANAPQRLAAYEEMWRREESDLWQWIEERAGLERLGAD PPLRKRSVDPRTVEEKLREERMDERELREAIRVTEEHLEVLKSVVNRKGTEGR MYCTH_2310062 MTLSGDKSVPSDKQLESVQTIPLRSLDRGPALARGPTPQLAPTL ATPPLHIDDTSDTTTSLLPPHDDRAELQYRDPHGRGPESTAATADQTETDTDSPSRHH HRHPAPDSMTKDLPPLRSAAGFSSPSYGSITPRPSNDSGDEAANSLSVRSRKPSDASG HSPGEPGVRRRRGGSQPRSPTSRRASRQSEELGGSTTIHGLEGRFGATERALLNDLAK EPEGEEDDEQRSYVLDSDLDEDEDDPPDNSPYAQVRASVSPTDNTSLSISTPRMWVLS ILFSILGSSTNLFFSLRYPSVAITPVIALLMVHPLGLLWDYLLKRPDDPPEEYVDGFR SDQASVSSADEGAHFIPPEGRGRLDRLRLWLAQGRWNEKEHSCVYVSSNVSFGFAFAT DVIVEQTQFYKQEASIVYQLLLTLSTQILGYAFAGLTRRFLVRPSGMIWPGTLMSAAM FTTLHKEENKEANGWRISRWNFFYAVWLGAVLFYFLPGLLMPALSYFNVITWFAPDNV VVANLFGVVSGLGLFPVTFDWAQVAYIGSPLLTPFWAAMNVVGGLVLVMWIVAPIAYY SNWLYSSYMPILSVAVFDNTGNEYDVSKVLTKDFMFDREAYSKYSRVFLPITYVLSYG VQFAGLASLLTHTICWHGKDIWKQWKRSLEETSGEQKGSYQPVSEPGEGFSTRGANGR HQRANRSSLSIDNLMSREDVHNRLMRRYKDAPMLWYFLTFVSMTAIGIFVVEYYPIHL PWYGLLLALGICTILFIPIGIIMAVTNQHSSIYLICQLIAGILFPGRPVANMVFVTYG YISSAQGIKFAADLKLGHYMKIPPRILFTVQIVATIISSLTQIAVLNWMFVNVPGLCT PQAINGFTCPIARVHFNGSILWGVVGPGEFFGPSATYRPLVWAFAVGAVLPIPLWLYA RNRKDSFVRKINLPVLFGSLGWIPPATGLNFSVWAVVCYLFNHLIKKRAPAWWAKYTM TLSAALDSGLAFGIVVVFFGFVYSGAMKGFSWWGTEVYKRGCDWRACAWKTVPEGGRF GPEVW MYCTH_2310064 MASLNVAKQHLRNVMKQKLKNIPQHSIASQSSTVYSTLKSLSAY ANAKRVSVFLSMPTGEIQTDAIVRDALSAGKEVFIPYLHENMVEAPGLPARVMDMVHL RDLADYESLKPDKWGIPSIDPDTVAERQRVFGGPDAQHSDSTLLDLILMPGVAFDTDP DSGAIRRLGHGKGFYDYFLFRHGLKAGSLGQQESPVLLLGLALSEQFLSPSEGAVPVG PLDQPLDGLVLGNGEIKGPLAPANKTD MYCTH_2310069 MTGRGAGGGRRVLLPPINFLFRLLQQRTTVQIWLYEQLSIRIIG TIRVGNPATVVICRC MYCTH_2310072 MASNGSQEAFTVPNVLAAMLAMRSGDTEKKKAAVEYLGRYQKSN DAWTTTISILQNSTEAEAQLFAATTLKGKITYDLGTQVSEADLPALRSQILLLLKKYA PGPKPVRVQLCVCLAILAIQMQTWKDVLPTVVSTLGNEVESHACILDFLRVLPEEVTE GRKITLSEEDLAQRTSELLSDNAEQVVQLLVNYAQSSPAAATNPQLFDCISSWLREVP VSVIVNSPLMNAVLHGITDDKSLLAAADCLGIICRETKDVDDNFDTIQALLPKVLELR PRIQALVDEEDIEGFKAITKVFADAGESWVLVIARQPQHFRPLVECLLECCARDKERD VIGYTFSFWYELKQYLTLDHYMEARVQLIDVYARLVDIMLKQLEYPYSDDPNELDLFD GDREQEEKFREFRHHMGDTLKDSCEVMGVAACLTKVHDAIKLWQEKYGSQATPTSVPH WQSLEAPLFAMRAMGRMVESHDSSVLPQIFPLLVQIPISNEKLRFAAIMVFGRYTEWT AAHPEFLEPQFQYIVSSFQTDSQEILRAAAQAFMYFCVDCKQLLSSQVVQLQAFYDQI LDKLPVSSKEEITEGVAYVVGAQKTEDVYKLLKLYCDPLVQRLMAKANAATENKAKLD LADHINLLTFFVQHVVPYLPSNAENPAVKYWQEVFPILSTILDNFIDFVPICERVCRC WRFMVISYRTAITPLLGPLANKLAEGFTQSKQGCFLWATSAILREFSEDREQVEDGIA ENIYVFFEAQATSVLRTMSDLPPVDLPDVIEDFYRLLIDALLYYPTKLIPSPLFTPIF QAAISALALEKQEPVSAALHYIRDLLTYGGTNPAGSGSDLGPASEQLRQIVKNLLLAQ GEALVKQTLAGMMITFPRDCFADGSGVLLGMFELLPAETTTWVDRTVRMLPQGTITPA EADRLLARIRERLQGGDPANLRQIRVLLQDFTNTYRRRYVAPRDGLGQLEAARFHFSG MYCTH_2310074 MSDAVYDLSATPAEGQRIKHNNVVYTTVKEGLAHILIPEDSAKP GQSVQEVFYNPIQQFNRDLTVLAMKAYGKERIAQKQAASRARAGKHADKKRKRRGEQA QGEQAEGERPAKSPKLSGEEATESTEDAAPPDAEMQDVAAERAPEDAAGAEQQQPGDV AAGETEANAPANSGGNEAKREPKIPFTILDALSASGLRALRYAREIPFATSVTANDIL KTAAEAIERNAVHNGLRDKINISVDDAMAHMYSIVVKELRRTSLSKNKPGPSEKYDII DLDPYGSAAPFLDAAVQAVRDDGGLLCVTCTDSGVWASNGYPEKCYSLYGGIPVKGWY SHEVGIRLILHAIETAAAKYGLAMEPLLSLSVDFYCRVFVRIKKAPFLVKFQGGKNMV VYSCGTGCGSWTTQLLMKNKPAPNKKGDGIFYKHGFTRAPTTGTWCEHCGSTMHLAGP MYAGRIHSPEFIKTVIAEAEEAPPEIYGTKERIRGVLQTALEEFLPSPEEMEAEADEG GDGTQNKPKKNLAEAKAAAIDPYPFYFHPAHVSGILHCSSPSEPALRGALIRLGYRVT RSHCKAGSMKTDAPWSVIWHVFREWIRQKAPVKEENIKPGSVAYRLLRLEKKAAGGEA AHETEDGSQESKTAEASEAPNSGETSAEKGTDVTKQEVVFDEQLGRYQDKKKYVRYQM NPRENWGPMNRAKGK MYCTH_2310076 MTVQDTSGDSSVQRPAASGTPENDKIHPAISTESQDGESRKNAL AGIKREEIIFVLPGKGDKQGSYLIFSLSEDPTANGDKPFVLNIVQCKQHELADDLSGF VLSQDSSPPTHLSSPRELHVVVSTGSGLRRAETFYQEVLCPLLDAVGLQPSESEDARG YRVTVTKNSSTIREFARELGADAGAGGKTVVLLSGDGGVVDLLNGLDHASHPPRTPTI ALLPLGTGNALFHSLHKPHYSTGAENPGGPSPLVVGLRTLFKTTTTAAPLPTFKASFS PGATLISGPDFEEAATAAENPPAASNTGNDGDGEQQQHHHHHHHHRHHHPAVTHLVGA IVASYGFHASLVWESDTPAYRVHGDKRFGMAAGELLKVGHAYDARVEVRRRRRRQQQQ QQQRDAVHGGGGDGGEDDGFSVLVVPRGEDGGDGGGRRGGGRGRGKFNYVLATLVSNL EKTFTISPAGRPLDGRLRLVYFGDVGGDKTMQIMMAAYRDGAHVAMPEVGYEEVEEVR VTVDEDDPRWRKVCIDGSIVELEKGGWMRVEREEGTRLKVLVDAGTD MYCTH_74803 MTSISAESVSTILVSCKQTRFHIESPNYREVALLRAIADKLIPG IPEETRVSILQQTSISDASAEDLLPPEAQRSSKEGLTVLEDVINKATSRSELEQEISL LSAGVNSADAYGALRALRKLRHQRMQKRLFVLDKDARLRSGARGLQARKALVEHEKAV AQSAALNEQPADEISAETLQAETQEAVDMLADLQLQVGPSRMAGIESRAKKILSGLGF TDAYMAKQADSLSGGWRMRSALATALLQESDILILDEPTNFLDLLGIIWLQRFLQSLE DLPTPPTLILVSHDRDFTSSVCTDLLILKDKNLTYFHGDLPTYEAAQAEKKQYLTRMK EAQDKQRAHMQESRGVSIILPDPPDLRFPGALLSLEKVTFRYPPAKGGGGATTTTSAA NPAPPTLQDVTLAVGEGDRVGILGLNGAGKSTLIRVLVGDPAPAGARQTGAVTTHPRL RMSYYSQHAVEGLQSLGRAEPSLTALALLAREAGGALDEGELRGLLGSLGLPGRTASD VPLRKLSGGQLVRCELTRLLWSRPGLLVLDEVTTHLDYETVAALRAALRGWKGAVVLV SHDRWFMRGVVEGAVDDEERRSDAEKEQDGDGDDDEDEGGNLRRRVVYKLAAGKLTVL ERGVDEFEEGMERRVKKLMGGG MYCTH_98222 MVGVAAYPLTGTADLSRVEAPVTFKAYLLCAFAAFGGIFFGYDT GWMSGVLGMPYFITQYTGLQYDYDAGRPVDVDPTQFALPSSTKSLMTSILSCRTFFGA LIAGDVADFIGRRPTIIIGCVVFSVGCVLEIASTNQVALFVMGRLVSGLGVGFISATI LLYMAEVAPKKVRGALVSGYQFCITLGILLANCVDYATANRNDTGSYRIPIGVQFLWA LILGVGLFILPESPRFHVMKGQFQAAARDLSLVRGQPIDSNYIKDELAEIVANHEYEM QVIPQTSYIGSWMAPFQGSLRKGNSNLRRTLLGAGINFIFYFGTTFFQQLGTINNPFL ISLITTLVNVLSTPVSFWAIEYLGRRPLLNWGALGMFVSQFVVAIVGVTAGRPEVARD GGDDTAAVRAMIAFICINIFFFACTWGPVGWVVIGECFPLPIRSRGVGIATASNWFWN CIMAVVTPYMVGEEPGSAALGPRVFFIWGSLCLLSGAFAYFLVPEMKGLTLEQIDTMM VETTPRRSAGWKPTTTFAAQMGRLRPCMPDLQSSSLIKVLKSNNAVSPLLQR MYCTH_2310081 MLVKSIAAFAAFALAANVAAEPMPYKPTLMRTSTRALFGIARRQ DDSGYQPEPVECNNGDTCAAACGAGYETCVSNDDLTHCYNPTVGEICCPNQSGGSCEK GYYCTADKKGETWCCPEGMDLEACAAAYGLPGGLVSQTPPPASSTSTSTSTSTSTSST STSSTTTTKPSTTSSSSHSTSASRNSTSFVVPSTTIIPTFVPSSNPIQINSTSISTVS PPQPTQTKIDEGAGSVVAPATALVLLAAGIAALL MYCTH_2310085 MQRAKSAVDFSSLLNPEVSAEREQTPTQQQQKQQEQQAEGGNMA AVGLIRPNGPLPAGAPAAEQPTELPRPYKCTMCDKAFHRLEHQTRHIRTHTGEKPHAC QFPGCSKRFSRSDELTRHSRIHNNPNSRRGNKGHHQHAAMIQRMQHDAMAPPPGPKMI RSAPPTALSSPNVSPPHYSSYPMNLPPPLSLGPYNRGALSSQSGSDVAMLARTADRDT TPSQSHYPPSRQHPYYGGSLHSSRNPLPGLGAYHMSRSHSNDDHDDHYAQSYRQPKRS RPNSPNSTAPSSPTFSHNSLSPTPDHTPLATPAHSPRLRPYSGIELPPFRNLSLHHTP ALAPLEPAPEGLAPPLVPAPRSKGVSLTDIMSRPDGNQRKLPVPKVAVHDLLGPSDGF SASGRSSSSNSLSGMDLYDKL MYCTH_2310088 MDYYTIHGHDVSSFDLPSTHRLPTVSAAQALQDLEGNTTNFIPT GLAALDASLSPRLDSVEQSGIQKGNVTEVWGPPGAGKTAFGLQVAAHCLEKRHRVVWV DGFHRVPLGRLRAVVGRATGEGKDADPSRLDGFIHYTCPTLPHLIALLCRPTASCIPQ GTSLVVVDSLSALVNHAFPKLPEARPTRDANGRKEPSSSARRLQVLQYIISSLQKLAA TRNLAILVLTQCATKMQAERGATLVPAINASVWEQGLSTRLVLFRDWLLDGSKTRGLR FVAIQKLNGKEVGALLKSVCAFQVDQTGLISLDCDHTRQSMTFTSTPARKRKIGDTNF EIADSEDEDYGWDDDDELPPMPSQWQGSEDLLLTRDPESDEEDNVDDEQSPQDCEAGG RPSVDDDTEIPGEAARPNYTGSP MYCTH_2129775 MDKRLQGSDGYLKHGGERSRWLKAESRQEGRMLLAALLEEDNGS VPSPLKFLALAAMGVSSSSFFRPPVCSPAQYLHVNTIKVHAGRWYYKGGDPPVRICKP AAPEKRPSRASALASTDPHYGGRPGRGREMNAPSVNRPDSQMPHRIIPWHRVHTIGRG PGGSIVSAAPSMMLSRGVWNRPIPIPHRSMTSGQTRSAQIRSPAAGVGA MYCTH_2096131 MPDDQVSQQNGATDTDNAVGKSPAEETKAPAEETVAPVEPQPFS WLQPHPLFVIALVGPEETPFGIQKDFLCFKSAYYRKYFAETPNEGLENLVRLPDTPVE VFAYAQNFMYTGQVFPSLDNLPSYEVLIGVWKLGHELGIEGLCDATLEAMAECRRVTQ HIPATPLLVQVWKDTPEGSSIRKLLLSWAAEYMRSSESRAEFARSLPQEVLSELVVTM SSLDESPPQVDAAGSASAPGAQRRSAPHEADEAEGRPSKKRCASDAEANGTSSVTPSS GAQAPGRKQGGPRASLPGAKPGPKPGSKRRSSAAVNGGQQFSSNQKLNFCADLLSRML SGPGFWTRVVGPFKDPVDPERDGVPDYFDVIKKPMDLTTMKGKMDRHEYKDENEFLAD MNQIFTNCYTYWREQDPMWAACEKLQKSFEDKYAQMNKWIAKMEGEEGH MYCTH_2310096 MNRLTVSGLRLRPSSTNAALAAVPPSLLRQLVVRCRSLPESLSP SSSSSSSSPLASFSYQSRRGYATQHYQQPQTESRRRAVTPFNDDGRVPWTELSAGEKT ARAAQQTFNFGMVVVGLVLTGGVGYVLYSEVFSPDSKTTYFNRAVDRIRTDPRCLELL GDGKKIMAFGEETYNKWRRARPIASTVTKDAQGNEHMMIQFNVQGPKGAGRVSIHLVK RAGQSEYEYKYFFLDVRGHQRIYLENADALRAKSGESKGFRLFGVKWS MYCTH_2310099 MSGLASKQQSLKIFEKLKTKPANKVCFDCGQKNPTWTSVPFGIY LCLDCSANHRNLGVHISFVRSTNLDQWQWDQLRIMKVGGNESATKFFQSNGGSAALNS KDPKTKYTSAVATKYKEELKKRAARDAKEYPEEVVITDGVEGGESGSTPAEEEDDFFS SWSRPAVKKLSPPISRTATPPVVGRTPSPLAGGQNGKDAGAPAPSPLGKDDEGEAKPA AAPATRITTSAALKSKTTGPRKTNILGAKKATSKLGAKKLGSDVAIDFDEAEKKAKEE AERIEKLGYDPNAEEEEVAPAPKAAAGGGGSKTESAVVSPSPASPARTGYGSSRVREK SAAEVERLGMGIGRLGFGQVGRPAVAKKGAGGFGSVGPIKASAQDDDGENYAQRKFGN QKAISSDEFFGKGMFDSAAQAEAKSRLQGFEGAQAISSNAYFGRPESDEGVGAGDDYG DLESAAKDFVRRFGITAADDLENLTHLLGEGAGRLQGAVRAYLGN MYCTH_109914 MRLGLLSASDQSGGNKPVAKEHPCPGSAPADRCSQTERTLAARP SPLLPSRSRHGRILSPWTLAALWRPPAPSDTARPLCAKHSRVPTASTLRHQRRRRKKR KRANI MYCTH_37566 SFTQGSTSSLSAIETSTQPSSYLSLIPSVPQPPVTPYSPDGGHF YTPAPPIFPGLEVRPRLGSNSSTAGQGMAHAHRSTTLPHPGTHLSAREAYASATPAFR RSEHHIQRSPPFSSIRRSNLLSPTSTSTSYGSLKMDTGYPGSRTQPSIPPLGPMTSLG HLSYGDTSATPIQVDISGVIDKGFFVAENEWTCYRRNYFACICSFSLTPLLPNSPIHF LPTGSTQAYSVYGFAMCISAVVSDNESHTIELVQHTPKRDKGPIAKPDKVRLSPKPPQ ASQHPLTSLYGAPEASLGSPRYEQGFGQPQQSSAPTEHTFERIQFKQATANNGKRRAA QQYYHLLVELWADVGQQGGGDSWVKVAYRKSAKMIVRGRSPGHYQSERRGSASSGPGG SSGSMGGGGYTTGLLGPGEYPANQPMMGGGGYAQQYDTRSGGYGGARPHHELNMEPLI STEDVKAMTSTKGYQYYPATIYESEHHDPRQQQQQEQQQQQPQEQQEQQQQHHHHHHH HHHQQVELFSHARQEVSGSGAAPSMSAMFDPTKVKPEMENGLPSLLYHSVPYYQRCGR FEGKATSSGQYPKLIPPPSTTMNMT MYCTH_2129781 MPDFAHVAQGQPPTPDRAPSLTHAYFLSYDRHIRCGFRVSQRSD KVPIRLSACLPTFAPFNSSGHAAWSPLSDADVTAHGLGLVRGVGSSEALGISTASAVA WSGLNKMQWDCLMSPSNQVVHSLSYRRRGGMGNWCAFYQPQESKRMRPANGRDPEAER TIGRAIKGQDASVPSGQWSKRRGGRGGIRFVKRATLREQRNPSAEARNGSEAKERPGG RRVQYVQVARRQTSLGRARGTAAAVSKSVNIAQTTIVAAEQLPDRMGLDDARHALRAR NEHQIQSDPLGTQGMEHAAFLTCKVA MYCTH_2310107 MVLRRMVLDWEWQKEYCQYHLYELPTKLRVALVVYLVTYTREGV SLQDLQAILLPPRDVPEYQEDPSLAPSVVNEYFHYLDLSGSVGRSLKLRELSDFLFPP QPKSIELQESWEDATEQSPAGVPRPLLPNLTHLSLALSPTTSSSSSPPHGAAAATPTT VSWRHLLALAAHLPGLTHLSLAFWPEPSLTPNARLATVVSPQTGRTVPYGGTGPYSHS LDDDWAEQVLILRRLSRSLYGLEYLDFTGCGEWFPALWASAGEGDTVDWSGAWGKVET LVMFPGYRLREDADAAETARYWEYVDWARRVERHVRSRRAGKGRFITVETSRRPGEIG C MYCTH_2068493 MSAVQEAPRKRTAYIALGSNMGDRIGWIEKACNEMDARGIRVKR TSSLWETEPMYVLDQDRFVNGACEVETTLEPLELLDALQDIEKSLGRKKLIDKGPRNI DLDILLYDNIQFDHERLKIPHVGIAEREFVLRPLAELIPDKPIDPSRPWRLTVDYLDA LPPSKTPLTTMTPLSSHHPPIQALNPSRKTHVMAILNMTPDSFSDGGQHKPIIPAQGA ADDAGTTAADTAAARLKETIAGFLDAGATMIDIGGQSTAPGTAEVPLEEELARVVPAV RLVREEFGSRPVLVSVDTYRAAVAEAAVAAGADIVNDVSGGSMDPAMLPTVARLGATV CLMHMRGTPATMNGLAEYPAEQGGLIGGIARELLARVRAAEEAGVRRWRIVLDPGLGF AKVGPQNVDVLRRLDELRSWPGLQGLPWLVGSSRKSFIGRVTGVPTPKERIWGTAATV AAAVQGGADVVRVHDVREMAQVVAMADAIWRY MYCTH_2310110 MASPQQIRTELTDLFKINHPILLAGMNVAAGPKLAAAVTNAGGL GVLGGINYTPEMLKEQIEELKSHLHDKNAPFGVDLLLPQIGGNARKTNYDYTKGKLNE LIDIIIQSGAKLFVSAVGVPPRHVVEKLHKHGVIYMNMIGHPKHVKKCLDLGVDIICA QGGEGGGHTGDIATTVLIPAVVDACKGHKSPLTGKPVQVLAAGGIHNGRMLAAALMMG ASGVWVGTRFVLSEEAGAPESHKEAVRTAGFDDTIRTLIFTGRPLRVRKNPYIMNWEE ERAQEIKELTSKGKIPYEADLDKVMSGEAEATPAIKGSGLMNASADDDTDVDDLLDQF RPFLMGQCAAVVNEKKPAKEIVDEFINDAVASIKKGHSYTAKL MYCTH_2112701 MKYPGGGGPFHEKAFKISLVVTSFISVLLTAIVLSAGTDAGRLE QLHILYIDTSKIGKEVVSNAGSKVSDACDSIPDVDPPKLPKIPIFGRDSARDVLEVRS LSDACKNAVKEGEKKVGEVTESAAASIGLKQYYSVHIGALCEGDIEDEKPKVKTCTRK FHAGSTEISNSVEPLKKIPGAEDIGEKISEIPNKIARTAYPFLVIVLLLALAFLFAIG VACLEGDSKLQNILQEISLWGAIICVTLSSLGLFVCATLITAVAEEIKDKINKFNNVG IFAGTSPALYALMWVSLVFTWISLGMLIYLWILAHKGTRTSKDRSQTTTPGGSTSGFM MPK MYCTH_2310112 MPRLTDDTDEDHALLDEGRRRASRILHGFVDFAFQGNVLEIAFG LILASMFTALVTSFVSDILLPPLSVLLPIHKNLEEKFAVLKPGPHQSADGGYNTLQQA QDDGAVVMAYGFFLSRLLNFMGVGFSLYVLAAVYQYFSRDPIIKRTVKCKYCRKIISE KALRCVNCSSWQDGREDQPQATSLHPHYVPNIAETTP MYCTH_2129788 MKYSALLLVTAGLAAAQLSVVTVVLPQFDQQAIDASVIAAAPTA TTYRLNCPPGADSSDCGMPDEFEFVYGPSTFAYTMSLDLPKDTADCVGTMSKEGLSTV ARDTLTDLTSFMLPITITAGLDKLAASTGAGTAPEAPARTTTTGGTTETAGSTGTTGS TVATSSTQTGGVPPQITQNAVLVGAAALVGGAMLM MYCTH_2310117 MRPQRPALLARLCAAAGSAQGQSTVSVYLPEYGNSDWGALRGSI ISSNNSVTAYTVFCADQSPNCHLAGEIPFVFTEGAHTLVYKGTDAGTLTADLECKLDG KTAATCTGSTSVGTSHREGQLTGPTETVWTSTFTGADVTWGVLTLSTPAPPPSTTGID GTALAPMTSTPAPLSGGSRSLSMESSRAVMILLSVTVFGFLLG MYCTH_40869 MRFLRSLKPALATTAAIAAIAPKDLDINDPSSIKGVAKTIASGA MSYYSGTAEKFVDLPEPYYWWQAGALMGSMLDYSHYTGDKSYDRIIATALLGQVGPNF DFMLPSHFGQEGNDDQAFWGFAVMAAAERNFPQPDENVPSWLQLGANLWNSLASRWNT TACNGGLLWQIFASNPNGLDYKNSVSNGGLFQISARLARATGNNTYLEWAEKVWDWTE GVGMIDKDFNVHDGASASHNCTDINPVTFSYTAAIYMYGAAVMADVTGDKKWVQRTER ILDAARSFFSPFPNATNIMYEHACEQVGTCNADMRSFKGYLSRFAYAASVFVPSIKPV IEELWHPTAKAAAKSCSGGPDGTQCGQRWYYGGFDGTTGLGEEMCALETIQGLLVGEA EPPLKGDQIKTVRTFEGSSNTKRGGRYDARLA MYCTH_2310121 MSPFNLETCARPNILALEPYRCARDDYKDDGTNILLDANENAYG PPLTTTTAATTTSTTIPSSSPPQSQVDGTAPLSLDLSALHRYPDPHQTRLKQLLCDLR TTTTTTRTKGGERSRALSPDNVFVGVGSDEAIDALLRCFCAPGRDRILVCPPTYGMYA VSAQVNDVALVRVPLRPAEEGFQLDVDAITAALSASASAGGAAEGPIKIVYLCSPGNP TGALLRKEDVRAVLEHPTWNGVVVVDEAYIDFASAEEDSLAGWVVEWPNLVVMQTLSK AFGLAGIRLGVAFASPEIARLLNSLKAPYNVSSLTAEIAEYALSEKGGLARMRENRAK LIAQRERMVREMAKIEGVGRLRGGQDSNFLLYEMLDKEGKPDNVTALAVYERLAETKG VVVRFRGKEHGCLGCLRITVGTEEEVTRFLDSLRKTLAEVRGRTPVADEEQREAEASG VVA MYCTH_40885 MRLSWVLVGASIALAKSHKDHNFETLVTFGDSYTDNGRLGYYIN HGGKAPRPGTMHDETTTTASGGLSWAQFAARDAGATLMDYAVSGAVCSNQIVSRYFDL INRTFPAILDDEIPSFQADVLFKSLYPHRTAENTVYAVWIGTNDLGWGAFLSDSQTPG KTISDFVSCVFSVLDHVYKTGGRRFVILNTVPLELAPLYALPENGGTLDSQYWNTKTK YNMTEYGQKIREYSTSVNTMLENGALVMASLKKRWPKAMVDVFDVHSLFNDIYNAPTK YLDAPHNVNSYYHQCGPAGSPCTDQPGSLNGYMWYDELHPSNKTSSIVARNFLDVVAG KSKYGTRFH MYCTH_74772 MYSAPYGYQNAAAGPIFNGAPPQQAAHMQPSPSPNQQQQMMYNT QQFPMPGQPGAFPVGPNPALMGGGAAGSAGMMQNPGMPHMAANGQMSFQVPFTTSPYV AAVPSSTAPQPQLPANFMMAGQMGPYQMNPGLPSQQPMMQRMMPAQQNPAGISVSTPQ RQFSQSQGTPTSTMPPQQQQFSTPQTQGTPQNQTPTTAHPPPGSLATPQTPTFPADQG QPQVNGTSSGSTPQSPATDSRDRERMAVLLEINQELLYESIQLVNSRNELKKEQAAAQ ASGVKNNDVDYAEEEKLANLDYNQCMRRLQANLTYMFALADRKGKAQLPPSPAYLTPP PLNLQLKLRVPPSTPGDPIERPADPVADRAERDQLLKSLYKKLHTLYPGIDPNKEPPA QPPAGVRPSGGAPGGAPAAPTTEAGGGGGGGGAGPNPTHNPNPNNANVLAGTAAPAPR SVAGAKASPQQQNGGVSGAFQVPNQNSPAPGPGRIQGTSMTSMMAEAAAPGLV MYCTH_2310128 MEPDRDGGETRPACALQDSTSPKESAPGPTNSPPTTSETSSETS THDSLVTVRLSEPPSLHLVTGAPPSTIPAKTSPLTNYESSDAQAETPGEDDDDSESEI FEPENSASKRRPNLLQELGRANAESTDDGGGENNRPGSSSSVGSERVDWEELQKKEEL ELKEQGSNNVRSPSQGTPIPVPAATDTSCTRQTTASLLARTEQENVRSATGSKGGRSK ATEKRQSRESRPRPPSMAQLRKMVNGPTPPALRYSKLPPTPMTELDFYLALVKDPKQT AARLPTLLTNKVRKGIPPPLRGVVWQSMAGARDSALEEIFDRLSGESSPYEGVISKDL GRSFPGVDMFRDPDGDGQRMLGRVLKCFSLYDTKIGYCQGLAFLVGPLLMHLPDKQAF CVLVRLMENYDLRQCFVPDLSGLHIRIYQFRELLRQHLPTLSAHLDGLQVEPAYVSQW FLSLYAVTCPLPMLFRIYDVIFAEGASETIMRVGLSLMRKNQVRILACTELEDVMRLL LSRGLWDCYNYNADEFVQDFVALANVVTKERLIALEQGYREAKISPSANLRGAQAAQA SADVTTAASRFLGRIWTSSSTPKLAPIPAAAQPSGSTSNSTLNPGIGTGSRSLSMLRR STSKQSLSSMEAASSTTSSAASVLSSVSTEATTISRDSAPDDLPREATPLASKTAPTA FSGSVNTSKDGSKYLHSQIEDLLTALSELQRNHALLANQLQREKEEREDDRRAVRSLL DGLRKKADAKMERNETTPTEQATVSGGASTGPTAEQLSDLLDVVELRFNEPAASPRSS THETKMQLREELARTREQLSQERAKVKEYDRKIQELEQEVATVRDQLRDTHAHVRSVH QEKQRLERQIHGLRARASDTPPSADNGEWFPRTVGGGVAGTGLRGLKLGRSRSTPSQA ASNHGNKRVSSATMKKQDGNEPLPSGGTQNNTPPNENDALVLELVQAKTAEAVARQEL EEAKQKLEQFRKAFGLAPGESPPVLQHGGNSTPPPPSTSTSFSGMIGRLTGSSTMPVG SESFTKASLSPTTNNTSSGGFWGWRR MYCTH_2310132 MADSAAANGSSNVEALKASPVAQNVMDQSSKASAEFSNLAASRH TPSYTAATGQPLTHYHSFFSELLSWRNPRASAIAYASIITLIFSVRYLDIIRWAFKIT WMVLGITIAAEVTGKVLLNNGFATQLRPRKYYTIPRETLDLVIGDVHELINFFVIESQ RVLFAENVYASAAAALAAFISYYLVKIVPYWGLAVIATTVIFFTPLIYTTNQELIDNQ LKHASDIVNAQTAQLRTVAQKHTAQATQATKQYVGDYTAKAQALIKGARHHVEQPQKA TPIKETDFPAPPKEDIKLPAETESVSEPAHGPEPVAKTDDEPLIAA MYCTH_74764 MDSDVDSVFGDYQDDSDDYTPEVKPKAKAAPKKMATTKPGKMVQ TTLTGAKAPAKKRPKPDSDAEDDMPKKKKAPAKKANAKPLAEIENDSMAIDNNDDDDA PVSKPAGKPKSATETYQKLTQLEHIIKRPDTYIGSVERTDQKMWVFNKTEKLMENRTI SYVPGLYKIFDEILVNAADNSQRDSSMTYLKVTIDRESGEISVENNGKGIPVEIHEKE QCYIPELIFGHLLTGSNYDDNEKKTVGGRNGYGAKLTNIFSQRFTIELQDSTNGKRYK QTWTDNMGNMEKAKIVSNKSSDFVKVTFLPDYKRFGMENGIDDDLEALLYRRVYDMAG TVNFKTYCEMYAKAIANERGDAAVDGEKPAAKVEFDQQRSNGRLWQVGFTVSDGSFQQ VSFVNNIATTSGGTHVNYIADQICEALGKELNKKKKGHSLKPSHFRNHIFIFINCLID NPAFTSQTKEQLTTKVSAFGSKCQLSDQFLKKVKASEAIANIMEFADRKADKMMAKSD GNKRSRISNDKLIDANWAGTKRGHECTLILTEGDSARGLAVAGRAVLDPDRIGVFPLR GKMLNVRDASLDQIMKNKEIENIKKILGLKHKQDYKDTRGLRYGHLMIMADQDLDGSH IKGLLINFLEVQFPSLLRVEDFFQEFITPVVKVWQGNNPKKPQNLKMFFNLPQYETWK EAHKAELRRWKYKYLKGLGSSSNEDAQIYFKDLDRHLKKFDILKPEESQLLELAFSKK KADARKEWLGNFIPGTYLDSTMSRKTIADFVQKELILFSMADNMRSIPSMIDGLKPGQ RKVVYAAFKRNLVSDQKVAEFAGYVSEVAAYHHGEMSLQQTIIGLAQTYVGSNNVNCL EPSGNFGSRLSGGSDAASARYIHTRLSPFARRVFSKLDEPNLENQYDDGKMIEPKVYV PIIPMVLVNGADGIGTGWSTQIPNYHPLDIVENLKRRMGRLDPNDPEEKPFKPMTPWW RGWKGVVEPDGPNRFKFNGVIKQDEQNPNEIHVTELPIRMWTDDFKSRLEEILRAEKT PSFIKDYREFNDHQTVHFIIEMEDKHLKAALDEGLLEKFKLTKTVTTTNLVAFNTRGQ IHKYESPEEIMEEFYQYRYNMYTERKKHWLGVYHADYRKLKNQYRFVSEIIENKLVVN KKKKDVLVQELRDRKYEAFPPKEDKKVKSTDEELGKDDAEDEDVSSDARDYDYLLSMP IWSLTNERLEKLKNQIAAKKAEYDELEALSEKDLWVKDLDEFVEEWHTQLKLDEEITT GIRRMGRRTSHKIGAGKGRRPKDDDEYQPEKKTKARAPKVEKVETKSHERFTEKFQAA TKPKPKNDLSDDDFALLGKNAVVKQESEPSASRPDTTSGRNKRAAATKAKYALSDSDD DDFMDLDQPEVKDESEVSEAKEVAEKPAKRTTTKPGALNMDDEFNESASDATAEKPTK RTATKAKPSYVDDDFGESGSEEVVEKPAKRAATKAKPTSVGSEPDRSTSEEVAEKPAK RAAARKRSYVDDDFGSTEDDDDNGDDMLGDVGAMVKGIGAPATNNGRLSLFSMSRPDA ADAPIPKLKAKQSRSILDTDDHDDTNYEALAMSSPRKPTKTDDLDDFLSDDNLPGATK PAAKIAAAASKAKAPLSVVPAAGAKKRGRPVGSKNKPKGDDASAPKTTKSVASRPKTL TTLSPAAKAYAAKKAKSTKKAVSDDDDEDELMEDPAPASPKPAAKRPGRAAAAKAKAN PIYLDDDDDDSFVSADDGSGGAGGRGRRGKGKKDDDDAFNMDEDSD MYCTH_2112712 MVSVAELLNPAPPTPPRAPLPTSRPLCFPARQTVAPSNEQAPVT PSIEVLRMTQNADGPPRRKTRAVVNFPPFEVLDEHSIREVRRFRVHPFGSIQETGERI PYNSGKKDFFSKTGREGFEVFHYNFKVPGDDTDYTVMWDHNVGLVRMTPFFKCRGYSK TTPAKMLNLNPGLKDITYSITGGSIKAQGYWMPYSCAKAVCATFCHKISGALIPLFGP RFALECIPEKVPGYGRMVIDPDIVKRARKDAAALFRPNAALPSPRPSRSVSPLLAQRS VRVSNPHDLHSDHDQRLPISPYTDADADYRLGGSDHEGLRPYATSVAPLRIPTSRLPT GPAAVPTPQHSPAWTTVNHPPPQAYAASHHHIRRETPNLHEELPSLNVSATANPWLSA VPRSPVPGVVGVGGDGGSSGDNSSSTNRWHPYKPRSHHSRYGHFEHLHHGTSPISATL PERGIILPPLRLKRRFSKVDATVTGPCGNRSVDNNRNVDSNINDNNDDNIDDDAAYDA RESHAASSWKRSSNSNGSSSSSGSSPESVTAAPPPTPASASSKKSKSSPSSSSSSSSS SSSSTPPPLPRAAVRTDTCATTTTTTNSAAAAASAQSKPGNNNRTAPRRQREDNNDND SNDDGGDGSRAAAGRGRGRGRGAPPRRESERDAAMMLMRMRRRGGGSSSLGGSDVEEG DEEQEEEGGAGAGAGGGRGKGERRREEDADAGAEPRSPRTARHRHHHHLLGDAVVVVA AAAGEDDTEAGAGAAPGAVRPASSYMPPPSAIGMAVVADGLVIEPPHPPRPASAGPTT RSKRRRTLER MYCTH_2310137 MDQVTKNTESLNLGSSQPNESAGSAPEPGPMLPELPPGRTLNSG MTLEETVADLNKHPLFMTELDDAEDNEHLAALQALAYEGTPLENAANFKEQGNECFRE KRWADAKEFYGKGIPILVAEERRRAKGEKKRVKKAAEKPVSINPDANNSASGPGPDLQ EEEQQAPQPNEDEYEEVDDDPAEVKSEQALLETLYVNRAACHLELRNYRSCTLDCAAA LRLNPRNIKAFYRSARALLAVGRVTEADDACARGLEVEPSNKPLLALAKDIVKAAEAD AARRRREEEEQARERHREALLRAALEARNIRTRSTGKPPDMEDARVKLSPDEHDPTSS LVFPTLLLYPCHLESDFIKAFNERESLEQHFGYVFPLPWDRAGEYSSAGVECYAETVS GGLVKVGKKVPLLKVLSGGNVEVVDNLLKIFVVPKSKADAWVKEFKEKKAAGAP MYCTH_2310138 MTTVKPEPVDDNNVAGSADHVDTISYLQRLIAPDNPPEILEKGV SIGVRVLENLKKSLASGPAQTSGPGWLKAIADLEALAKPTRTIVGVVGNTGAGKSSVI SAVLDEERLLPTNCMRACTASPTEISYNYSEDPDELYRAEVDFITADDWLKDLRGLYS DLLDGNGEVSRECSNQDSDAGVAYAKIKAVYPRMTKEMIAQATPESLVNQTAVRQVLG TVKKLRATTSASLYRQLQDYVDSKEKNTEKRMEYWPLIKVVRIYTKAAALSTGACLVD LPGVQDSNAARAAVAANYMKACTGLWIVAPITRAVDDKTAKSLLGDSFRRQLKYDGTY SAVTFICSKTDDISVTEAAESLGIEEEISESWSRIQELSDDIRKLKSDMNDLRDERDA CNDLIDKIEQTWDKWEALGSKLANGATVYPPNSPSKKRKRQSGPKGSRKNCCSSDIDS DFSDTDGSDSSDKENQEARNEEREPLTSEQVDAKLASLKNEKKEVRAKKKEIEEKIKA FRERIKQLTAEKEVILAEVKAVCIQGRNEYSRKAIKQDFAMGIKELDQENAAEEDEAN FDPDVDLRDYDAVAASLPVFCVSSRAYQKLSGRLQKDDFNGSGFQSPEDTEIPQLQAH AKKLTEAGRTAAARRFLDSLMQLLNSMTMWASDDGTGSSLTDTEKGKEETRLRKQLRK MEQVSDPAASAPTHRSD MYCTH_2310143 MSHMTNPLATPDQLFQRRSFEALPIELQDAIFFATQCLTQAAGI LLQLPQSVTAQANVLLARYWLVEPMMSHEFSDVSAATVYLTAKLSAHPRSPRDLANVY AYLLSPSSSLFQPPTAPPPANEPASYYLSESAYHAFHARVLALEARALYALAFDTHVA LPHPLAVTYLQALDFLAVAPRERIGSRAVAYLNAALLSPQMLYLTSQPNALAVAAVYN AARDVGAKMPECEWWEVFDVDREELGFLVVGMRSLDGWGRKMRADLARIMRGAERGMV TRRDVEDELRRRGIEVVVVRAPRGGGAAEADDAEEEAARRMDEKMADLEARGV MYCTH_2310145 MLSNPLHRFSPYHIPSTTLLSNGHVPGGHLHAAGLDSLAPGSHY ALQQLQQHVGVHTPHLARTGPQPKHRQHPYGPAARSSGAAGPIRRRISRACDQCNQLR TKCDGQHPCAHCIEFQLGCEYIRERKKRGKASRKDLAQQAAAQAAAQAAQNGQKTENS TTENTKPAENRNDTPSNTKPVLTVNTDQPPALDKNVTDVPEDPNLREQRTGSIEALGD MSAHPAPMSAHPGAIEREHLDNPTALDLNGYGSVHSAYDRQMGAHMMNGPPHAPYGPN QGNMSSYPELPYALQTQSPTGYPANAANGFRLANSPLGPYSMGGEAPSPGGWMNMSSP PPQFASHMPQNGYNRSQLRYPVLEPLVPHLGNIIPLSLACDLIDLYFASSSSAQMHPM SPYVLGFVFRKRSFLHPTKPRQCQPALLASMLWVAAQTSDAPFLTSVPSARGKICQKL LELTVSLLKPLIHTPSEEASPVSSPIVDGVALGGLGVALPGSISMDALTSESGAFGAA GSLDDVVTYIHLATVVSASEYKGASLRWWNAAWSLARELKLGRELPQNAPASRQGGAA EMEGEGNGAEMTIPGVITEEEREERRRIWWLVYIVDRHLALCYNRPLFLLDIECEHLY QPMDDTDYQNGNFRAYTTDPNVLGSDTEGKRTRVKGPSFVCSGHSIFGYFLPLMTILG EIVDLTHAKNHPRFGVGFRSSREWDDQTAEITRHLDMYEQSLKEFEKRHLSINAQAQA ADEKAAEAAGVPTANANDLPGTPSGHSVHSVHTTSSRMTESDIQTRIVVAYGTHVMHV LHILLTGKWDPINLLDDNDLWISSQGFITATGHAVSAAEAISNILEYDPGLEFMPFFF GIYLLQGSFLLLLIADKLQLEASPSVVKACETIIRAHEACVVTLNTEYQRNFSRVMRS ALAQVRGRVPEDLGEQHQRRRELLALYRWTGDGTGLAL MYCTH_2112717 MGPAAPAGTQCASGGRAPWSPMLAMEPSNADGRDHPDPPRYKTP VISLQWWSRSEVSMFVGGIAESTWGPCVSRDPKESLFEVDRWRPVFKGFARAQHRTLS TRAAYGSSGLNEVGYAKPVPLKEQCSRNMETTMPVFLITPAPGNSAKEKQADPSCGAF PVASPLGQNVSRPVLGLNPTLVPTISAKKAAKKW MYCTH_2129802 MRSVTLVKSQGRSPKPSSPSPTDEESVTIGVFAIMVRRALVGSP WPFPWLESTSDAARVQQLQPPTPPPPPPTQFKHVALLATHFGGAIAGLSPTTGSRSLP VRDR MYCTH_2310148 MGFGGRPRFAAKEAAFKAHPHLRLGFHDILILSSSDAEELTGER ALAGPGASAPVALIRAGEGAGAGARDQMARVSISHDGEYATATCIGFEAGSAGSGQCT SEPPRKGWAAWFSRIWS MYCTH_2310149 MPPLPLAFPYPLRIGTDICRVARIAHILRSRQGARFIRRVLAPE ELARARPAVHQVLRVAAAQQAGEEEERAKGSARQEDEADEAKGGDLDAYARAATYMAG R MYCTH_2310154 MDRFHQLNPFAKRESHSARSIVWYKVLTLITWLLSVVVTVYYTL ERPQDGKYHRGTIWHQNHRHPSGFSLNPVITSIYWIVLFLLQLGYISQLFSSKTESVN AACSVGSHFIVNNLLHFAFVMLFVRSHFVWAEVLLLLNFANLTSLYFRHSAHPRLSVH LPAVSGPLAWTFVAIYWNGAIMVPHHNSLVARVFANIFVWSILGYGLFFIVFYRDYTM GFALSVLSASLGVAQFLRQIVALQWIFAFTIMAVLFVLTVVVALPAWAGRQAPWGGRA ERRQQTDAERAPLLNE MYCTH_2310160 MTKFRNGTRRSAPRLPDKEWERMKQVIMDKYRILPLEAVVKYMK KEHNFSATRRQYIHRLKGWGVRKYKPTHSGPVRRSSPAPATSGSDAPPPPVDVDELCV VRVRPSSDYPELTANAGWPKRGRGQPGPLRDSLLWLADMLSLLGDFRNVGHIVTEQWK TNLRRYGGDAWLSTTRAKMEPKVARMLARSGDRQPVPSQDSWERLCWEFRHAYTYCTA GGEETHGEEQIAIALDRIIFQDGQGADRLKQLDRRVSGLDTPAYVLLRSALARYNSVH PDDKKFDLDNIMQQFVAQHLPTTTRSGPDITCLSSCLRWCISELEKNDAGVPPQVPRP PGTRRRHSAIRPGADDFFTTLCTFWHHLSQESGGILPCRRGAGARAPAWALDAEDQLG IQAPYLLGIVVCMITAADLQETCGEAARRPVLERALAGAKALNRLLDRGQSGKDALLE AFLRQACAVGWPLTELHDRDLGCRVRDVRVDADSMAPYRRYIAESLRVTDLSPPT MYCTH_2069574 MAFTMHSHSGQFCPGHAKDQLEDIILHAIKVGYKTIGLTEHMPR TELSDLYPEELDPSPEETLASLAPRHEAYLAEARRLQQKYASQIHVLIGFEGEWIRPA YGPLIRSLAADPAVDYFVGSIHHARGVPIDLDAPTYADAVRACGGTERALFAAYFDEQ FAMLEAVRPRVVGHFDLVRLFSSAPGRSLRRGKGGEEVWARVVRNLECVRRYGGWLEC NTSALRKGLAEPYPQREIAEEWVRMGGRFTFADDSHGIAQVATNYRRGLDYLEGLGVK EVWTLERRRAPAAEEGGGGGDEDEAELVERSVSIDEFRASMNLE MYCTH_2310162 MEAITSPPATSPGGNSVAATPFAAVDPVRVVDHLASLLEAALGA TRKELEAPGSLLSKARYAETLQRCTRFAVDTQVALYVQKDLVPTDTVEDGEAEEAPSH AYTISSDFSSSSTTVAYLVLLKRPQPLDPNIPITSQIQMLNLPGPAYLSANGGEQGPT ASPFEILQLYLHNGLAPYFDASTKSQQTLSGGRGRADVDAKTGIPVTKKRWTELELAL LHLQQNVEIPEVSLPFHPIVQTALDEAVARGTKPTLDLIPPSVLQDSTFLNNLQATVN NWIKSIQVITKMTRDPTTGTATQEINFWLSMESALEGIENQLRSEGVMLTLEILKHAK RFQATVSFTADTGLKEAMEKVQKYNQLMRDFPLDELLSATTLLKVKDSIGQIFTHLNK KLRICPYPIRRALPLVETISGDLDEVLHRLLPGTELVKLDYQEFRRVMKQTDDIFRIW DENIKEFTNVAREVTRRRNERFIPIKIVPRHAELQARLKYVSNFRDNHEQLQRTIVNV LGPKATVNGISDGSGANGTAVVEEIGDVDAVEEVRQAWEGLKDVDLLDVTPEGTAKWA RAENIYNERTSRVENSIIARLRDRLATAKNANEMFRVFSKFNALFVRPKIRGAIAEYQ TQLIDNVKQAITALHERFKQQYGHSEAHAMAQLHDLPPVSGAIIWARQIERQLDGYMK KVEDVLGADWALHAEGQRLQSESDLFRKKLDTRPIFEAWLHDVQRKQISISGLLFNIN RIRSAGNTLELAVNFDAQVIALFKETRNLVWLNFPVPHSINNVAKEAKRVYPYAVSLM ESVRTFAQTNRQIADMSEVAVLLSGHRDEVYTLIAKGIPLKWESFVNTYEVHFKNYTA NGGLDNFKGGESKHVMFIREFAAAVSLLQSKTITLANIHATVQKAMAELEKCPYEVSE FESRLETIQSAVDQLNLEQYVNLGYWVERMNRQIKAILLARLQHAVQAWIEAFEDEHL ERSGDRRRPLQTTDGAKADVPVIKKLVHEITMRNQVIYLDPPLEFARASWFSQLQEWI GVVCNLKKIKATRYQMSISTTVYDEPRFSDLPSECTPALLRVQTSVEKKISEISAYVD KWLQFQSLWDLQSEHVYDVLGDQLSRWLQLLQEIRKTRQTFDTTEVSRSFGHITIDYD QVQTKVNAKYDQWQHDILIKFASRLGTRMREVHAEVEKARRDIEGQAIEANSTAQAVQ FITTVQTCKRQVKLWAPEVETFRQGESTLVRQRYQFPNDWLHVEHVEGAWDALNEALA RKAKIVQDQTDALRAKIIAEDKVILDKINEIAHLWNEEKPVSGTIPPDVASATLSDFE TKITRLQEESAMVAKAKEALDLQPTPETSLGIILEEVQDFKSVWASLSTIWNNLNELR ETLWTSVQPRKIRSSIDNLIKMTKEMPSRMRQYAAFEHVQNVLRQLMKVNPILTELKS EAVRDRHWTKIYKQIKPGKRYSPVSMTLGDVWDLNLVATEVIVKDIITQAQGEMALEE FLKQVRETWTGYTLELVNYQNKCRLIRGWDELFAKCSENLNSLQAMRHSPYYKEFEEE ASSWEDKLNRVHVLFDVWIDVQRQWVYLEGVFTGNADIKHLLPIESSRFQNINSEFTA VMKKVYKQPNVLDVLNIPNVQKSLERLAELLNKIQKALGEYLEKERVSFPRFYFVGDE DLLEMIGNSNDTMRIAKHFKKMFAGLTGLVMDEESVITGFTSKEGEVVRLKKEISLVK TPRINDWLALLENGMKATLAELLAEAIDEFSPIFASEVIDREALRKFMEAYPSQIVVL ATQVVWTTSVDQSLAAGGTTLQTLFDREVQLLRLLADTVLGDLEVLMRKTCEQLITEC VHQRDVIEKLIKANARSNTHYLWLLQMRYVYTPEGDFLQRLHIKMANAKLSYGFEYLG VPDRLVRTPLTDRCFLTLTQALCQRLGGSPYGPAGTGKTESVKALGVQLGRFTLVFCC DDTFDFQAMGRIFLGICQVGAWGCFDEFNRLEERILSAVSQQIQNIQLGLKHGAEDEN AQIELVGRQLRVNANTGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKELIAEVML YSQGFNQAKQLSKQTVPFFDQCSVKLSKQAHYDFGLRALKSVLVSSGGLKRARLTNSG GNLGAEEVVEPEIIVQSIRETIAPKLIKSDVEIMMGIEEVCFPGVKYVPANLAKLEEA IRRLAAERHLVVSELWMTKVLQLYQIQKIHHGVMMVGNSGSGKSAAWRLLLDALQQVE NVEGVSHVIDSKVMSKEALYGNLDSTTREWTDGLFTSILRKIVDNLRGEDTKRHWIVF DGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPPNVRIMFEVENLKYATLATVSRCGM VWFSEDTVTPDMMVTNYLETLRSVAFEDLDEDAVATGQSSAKALEVQGQVADLLHAYL TTDNFIILALQQAESFNHIMEFTVARVLNTLFSLLNKAVRNIIEYNAQHSDFPLDPEQ VEAYISKKLLLAMVWALTGDCPLADRKVFGDKVAGLASFGSPPLDGSSSLIDFDVALP GGEWTPWQSQVPTIEVNTHSVTQTDVVIPTLDTVRHEDVLYSWLAEHKPLLLCGPPGS GKTMTLFSALRKLPNMEVVGLNFSSATTPDLLIKTFEQYCEYKKTLNGVMLSPTQIGR WLVIFCDEINLPAPDKYGTQRAISFLRQLVEHNGFWRTSDKSWVTLDRIQFVGACNPP TDAGRTPMGARFLRHAPLIMVDYPGEQSLMQIYGTFNSAVLKIIPSLRGYAEPLTQAM VRFYLESQQRFTPKIQPHYVYSPRELTRWVRGIYEAIKPLETLSVEGLIRIWAHEALR LFQDRLVAEEERKWTDEAVHRIAMEYFPTIDEQKALGGPILFSNWLSKHYMPVDREQL RDFVKARLKTFCEEEVDVPLILFNDVLEHVLRIDRVFRQPQGHLILIGVSGSGKTTLS RFVAWMNGLKVFQIKVHGKYSAEDFDEDLREVLRRCGCKGEKICFIMDESNVLDSGFL ERMNTLLANAEVPGLFEGDDLAALMTACKEGAQRQGLLLDSQEELYKWFTGQIVKNLH VVFTMNPPEDGLSSKAATSPALFNRCVLNWFGDWSDQALFQVGHELTHSVDLDRPNWV APDTIPVAYRGLSLPPSHREAVINSMVYIHYSLHRFNAKLHKQQGKVTYLTPRHFLDF VAQYVKLYNEKREDLEEQQRHLNVGLEKLRDTVDKVRDLRASLAEKQKQLEQKNDEAN EKLQRMVADQREAEQRKATSLEIQAALEKQEAEVASRKEVVLRDLARAEPAVEEAKAS VSNIKRQHLTEVRSMSAPPQGVRLALDSVCTLIGHKVSDWKSIQAVVRRDDFIASIIN FDNEKQMTRALRLKMRNEFLANPEFTFEKVNRASKACGPLVQWVEAQVNYAEILDRVG PLREEVKQLEEQALQTKAEAKAVEETIQNLEASIATYKTEYASLISETQAIKAEMSRV QFKVDRSVKLLDSLSSERTRWEEGSKSFETQISTLVGDVLVAAAFLAYSGLYDQTFRK SMMEDWLHQLHLSGIQFKQPNPVTEYLSTADERLGWQENTLPVDDLCTENAIILKRFN RYPLIIDPSGRVTEFLQRECKDRRLTVTSFLDDSFTKQLESSLRFGNPILIQDAEHLD PVLNHVLNKEYQKTGGRVLIQLGKQQIDFSPSFRLYLSTRDPSATFAPDICSRTTFVN FTVTQSSLQTQSLAEVLKSERPDVDERRSNLIKLQGEFKVHLRQLEKRLLQALNESRG NILDDDNVIETLETLKTEAAEISTKMSNTEGVMAEVEEITLQYNVIARSCSAVFAVLE QLHYLNHFYQFSLQYFLDIFHSVLHGNPHLAGETNHTVRRDIIVKDLFVNTFKRTALG LLQKDRITLAMLLAQASPYKMDKGLLDVILDERIEGKDVSTDTAAKEEVFARAKRISA LKEKLDGVSEADWDRFFTEELAENFVPKVWDDSTAPAGQALISLLLVKLFRLDRFVPV AERFVTLVFGSDLFDIVEDLKQTVDQVSATRPIALVSSPGFDASYKVESLVERMRVRC TNIAMGSNEGVASADKAIANAAQTGSWVLVKNVHLAPTWLQSLEKRMESLNPNKDFRL FLSMESSPKIPVNLLRASRVLMYEQPAGVRANMKDSMSSLSTRSVRSPVERTRLYLLL SFLHAVVQERLRYAPNLGWKGFWEFNDADYECSAFVIDTWIDAAAQNRTNIAPANIPW DMIRYLITETYGGKIDDDADFRELNKLVETFLTPAAFEIGHKLVAASTAEQEGGEAPA ELVVPSGTSLQEFMGWIQRLPEREPPTYLGLPANAEKLLLVGLGKSLIGNVKKVTDLL DEGEALMAEA MYCTH_105286 MSSANNHPGLSFGLRRSFPPPQQHNPTNKPSPSLSQHASPYPPH AYQHQHQQHPTSQYPHHLFTPPPSQIPNVASLQNASRPPPPQAPPQLPGPRQRAPPPR QMQQPSSISYLHQSLNSQPMGQQSMPPQSMPQQSLPQQSLAPQSMTPQNLNPQPMNPQ QLSQPSMGQQSLGQQSLGQSSLGQQSLGQQSLGQQLQQPLSQPPMAPSMTQSMSQQSM GQQSMAQQSMSQQSISHSVHSVQHNDGSILSQQSTPRPSSQPTLPPAQQPMSQVQQVQ QVQQVQQVQQVQKLPQAQQVQQVQSVSQVQPVQQQPAARHSDSAEPVQENHQEEDAEG ASHDESAETETALEPKLIESSPFVPRQPMGGVGVSQTLEILQQENPHVPLLPRDIYNA RAAINRNPQKVASGLAENRPAIYSKPHPTAEERIRADLRRELANTREELEKVKKDSEK EIEELKAKLREKDKMIEKFEQFIDICNQRVIVSLSNKEDNRTGGSTAS MYCTH_2310167 MLHEILLSLAGHPSPLLRSAASPPNPDDPLSSDVVISPPERALL ATLARLSDLHIRLVTATAHVSATHPSVICRAVASAVDGLHLAAFRRKVLEVERTLLRK DAALVGAYDIVPLTAVVAEFAGWERRLEWLARVVGVVREQGCTGARAMDFLRGELQTG FRDIEEAARSLVGVAEAAWVKQVAAWVLYGRLPEFGERDFFVVVKKAAEGEEGGEEFA CESALLPGFVTPATAASMLFIGKSLNQIRAKSVDDHRLRGTDHLSTQLTRLSALRHPI DSATFARTIADIRHFLSRTTLQRLLPLSKVLETLQLLRDFFLLRRGEFAMALTQQADE KIRSRWKRAENLAYERRDGLSNVTVKEGEVAAVLAKTWAAMGSMQGEHAEEDEGLELA RDLLRLSIAKPRATTPATPVSAEGGLPLVAPTPFRNLLFSVPVVLSMQIPSPLDLFLS HSDVQTYTAINSYLLSLRRAHIRLTDLWKITSLRRHHPAPPRPPYGSTRGGRERVHLL RQRYATRSSILRNSWATASAAIFFLGEIEGYLQTEVVAGLSDGFHRWLTTGEDDQRHD DDNSGRKQTPIPASPKDDIDMTDEDDEDNEEDIWLEGSNSASQQTTSKASLHHDPQTL ATAHRLYLRALVHRLLLTQQSFTDPLYELLVQIDHLVALVHRLHSVWTAADLEADAGV VDAFVDLEREERDVQGEIRSVEERVKKGIEGLIAELRRLEGSAALQGGGGGGGGGGGE FAGGADEGQGEGDSAMRERGEYVPRRVGGVDRLLMKLDFGRWFGRGGDEGAVDDGGF MYCTH_2316205 MASGHNDPKLLYAINGVSAYHIVNGKEEPLTPAGPQTLSLLMVP TTSAFADPAIDPDATPEQDFYLHLHLPPELDLPLPATTQIYHQPPTSYLIPRWDLGPD SGAFTRIEFPQVESRKGIQEDVDTFETILAQCTAFLERAPPPKIGKDEQWWEGGSESQ KSAARKAAEAAGDALPAYNPADFKPGEGYVRGSHSGAAKGQIVLVDEEDGSVIGELAD GYQVVEDSKLKPGSKDPVEITLPAAGGQNINVAPISQDLYAANLHPAYKKSFLVSNAS AASRLIITGSDMLSKLLQNQADNFTKKVQPDAKPLTFKPTTREHIRRISAFTGSAAAL SAKTVGQITKVAQNWGASLGGHGKNKDGSPHKGFDKDGKPIPTYKPGLLNKSMMAFST VMDGVEQAGRNLLASTSEAATTVVGHKWGPEAGEVTRSLGSGVKNVGLVYIDVTGVSR RAILKSVAKGMVVGRTSTGDNIIVGGGDGGVATLNRERQDTQSLSGMTVAEGKQPAGS LNEKYK MYCTH_2310172 MSGSPGSPGAIDSKKGIKRTPLRSSIACQRCRKSKIKCNNTGGD QPCETCIRNGKECTYPEVTPAPPKRSEPSSGVKMEQGPERKRLRRIEDIVKMEGAVPP AVVAEDVLSAPYLTETVWNQLFDIYRLHFATELPFLHLATLKEKLGNRFRAKPADTSP EINLVLLGILTLTARFHSTLVSYVTAPRNSPAGPGVPKPRQGGPAQDASSASEYYADV LTKALGGLRTSMTVASVERVQAFLMLGLYEWSQARPRVGGMAAWMYVGVAIRMAQALG LGDGDKESGKVFRSRPVKPAQQTMTPTQRITAKEIRRRTMFSCLILDRLLGCGKDRVS TIRSEDLQIQLPCAEVSFDLSDDVYTGFLKPTPGVDPNRPISDSVLGRFVRLVDLWGE ISKWSFSGGRFTEDHPPWSPESNFFQLRRKLEAFYGDLPDHFKWSDSNYYKHENHQAS SVYVSLHMLGAVCRIMLHREYIPFIPILCSTPVGPLDEPTFAPGQEPQGFWEASAEEI FHAAKDIVDLAEICRDKLPMSSLVLFAIWTAAFVGIYAVHFPHMDTKGHMLPKEETKG PIDVTKHGPTGLTYKLLTHMSLWLKLAETYVGYFNEMARYYETVKQDYERYVGSNNKS RRGGGGLEEWKERAFKVVNNGEILVVDEGKSTSRDSTVEPGSSVGPDGSSHPTDHAKT PRSTSMSFTPINTSQSHQGQSDSASEAAAEAAASTLWRIQQPHGHQHNSHHPHPHPHQ HQHQHQHQHQHQHQHQHQQPSPSQTSGAVPSPQMAQHPHPHANATMAMSTMAVPKLPP YDAGEVAMYLEQNQSMPWNLAPGGVDQFAHGTEDLDHLDQGNLFWGSMPENWTGSTTA GVGVGMGGMLQGYSGY MYCTH_113387 MSAQQSPFDAVGMLPEQGHHAQHSRSSSTQSSSSSAADGAVPDL VRLDMEFFMARLTRTSSSSSSSSSSSSSSSTGPSVVSDIFAASR MYCTH_2310174 MSSNGNDQSNPSTLSGMLSTLAPVALVSAVYISIFLVLRKSQRR YYAPRTYLGSLREGERSPPLPSGLFNWVSRFWKIPDVYALQHQSLDAYLYIRYLRMAL VMCLVGCCITWPILFPVNATGGGGQKQLDILSYANIDRENRSNRYYAHVFVGWLYFGF IMYMITRECIFYINLRQAFLLSPFYANRISSRTVLFTSVPDPYLNEARLRKVFGPAAK NIWITADTKELDKLVEERDKVAMRLEKAEVKLIKLAHKARQEAIKKKKGASAEEPDMD PIVADAESGSIAARWVPQKKRPTHRLGPLGLVGKKVDTINWCRAELERLIPEAEAAQA KYRAGGYKNIPGVFIEFRTQSDAERAAQILAHHQGLHMSPGYIGIRPGEIVWKSLSIP WWQKVIRRYAVIAFISAMILFWAIPVAFVGAVSNITYLESLSFLTWLQKIPSVIMGVV TGLLPSVLLSVLMSLVPIVMRLCAKLAGEPSDSRVELFTQNAYFAFQVIQVFLVTTLA SSATAVAKQIVDNPASATTILANNLPKSSNFYISYFIVQGLSIATSVLTQVVGFFIFT LLYKFLANTPRTLYQKWSTLSAISWGSTMPVYTNIVVIAITYSCIAPLMLGWATVAMF LFYFAWRYNVLFVTDTQVDTRGLIYPRAIKQLFTGLYLAEVCMIGLYGASVAPGPLVL MVACLVFTVLFHISLNSALNPLLYNLPLTLLAEEESGRLLDPEKTVSAEPVASTAEST AENKAGSKEDRAAEDLDATEARVREAREARKAAAAGGNPFTRFLKPWVYADYAVLRRL VPRSLDLDPYPDEVAANAYYPPSVTSTPPLLWVPRDAAGVSRQEVAHSGRVIPITDEG CELDEKGRLVWDREKTRPPVWEEKIYY MYCTH_2310181 MAKRDRRGERRAVPSHLAEHEDDEHAGQRGYVKGTDYALPYFSQ WSRLYESEPEIRDGLLRHVNCGYLSTHGVPPTLLALKQHAQSLCVLIHALNPTLESAE IAPGGSGGGGDDPLALRYKLNDAFDFLDDLTVPYINDDPDHHKPLAALANEVRARDEA VGTTAYHCPLAETRPRGGAGADKQRPYANHHNLVMHANACLERLDHELGSTGGLMSLL PPANTSSGSDLAAAAARDSLLGQWLHFTQSLVGRMHELERAYGSALDALAGEAVVPAA LALSLSLSQYQTRSPPAGGGGGGGGGGGGGGRELVYPQDRWVLANAGDDAFERVHALL DRREALAAARERVWRRNGVIGDALWQQQRPRRDDEDEDDEDDDDEDDEEEDRGHARGI VTVDILTRYYRVRGAGGAGSRSTVFVVPAWEQHPGVEYTREAEARPTVVACAQPRFPE RATELERRFRDRINRARAVERDNLRLRNRMDELEAEVAVLSRHNHMLAKTRDALILAV GGGSSNSTSTSSSAGADVAEPAPKLHEQRDRP MYCTH_2310183 MPPAPKGDAALRSGAAPRQVGARSLAGTRRCPGARRRRARTAST LVTAVLGLAARASAEPVLPYTPTTILLAPDGAAGSGAAYIFAPSGDDRDAVDFLALNV SSLRASALEPTKLTPDLPFLSKDAADCATFAPTILRNGTIVVLAGDCSSTAASTLWSY TPGQPDARWTQHPVVPSASWDNAQAGPYHLGGLVNFSPQLSPVLSEPTLYFYGGMCPR KSSSSADTWQSNAAYSNRMLRLIPPSPPPSSSSSSSYTLDYASAGGQQQPPVAEAGFT WTELTPSLSNRTIITTTSDGGGKDGAGTEQVTTSIIITQQTSHVLLGGHTQGAFVNMS TAAVWSLPEETWTFVSIAPPPAASSSSSSSSSSDDDDDDGRADLARQRERQEEEENNK KKQKTKTKRRLRREAAAAAPGMVVDSRSGHTTVLSEDGTRLVVYGGWVGDVSRAAEPQ LAVVRLGVGLADWEWEVPADQGSAPSSSSGGGGGVYGHGAVVLPGNVMMVYGGYETSE GGKIRKRRTGGSMFYNITSGSWSDVYVSPLVQGNSGKSGGDGGDGGGGSTHGGDAGGD SGLGGPAGNGNSSGDWTKAKRIGLGVGLGVGLLVLSILACLGVCWFRRRRQRRRSRDE TLRGLSQGLNGPLARGVGEEDDGEMLERDHGMGMSFFPWTAATARDWYMGMGGDDPYM QGRRSLGYESLRGGYRPSSSSSSSSLYMPPPPSASTFSHRPKAAKGLHHQPSSSYDFT PLTRGPNRIEPIYEADEDSEGDLGKNYPLGPDKEERVGNDTDHDDDDDPFLTPTLSTP TGGAFPRPHTANSSPRNSGSPTPPAAQRKKQQQQPAEETKLAAAAGGGGGGGGGGGGG GGGGGGRGAAAAAGGRDGRRLRLRAGQSEQEQGEEELVREPEEGV MYCTH_2316209 MGSQHFAPRWASFGIAALLCSMSRAQQQADGPSVPQVYCATVNT ADMDPLFSNWQSDGRCYGNCTDLNYALAIVQNKNCWCSNLVPNKADRKPLSDCQNPCP GYPSDYCGGDGVFGYMYAGGSTPTGTAAPGGSATGEPSSSTTGATTSGPPSVTTITVG GTIRTVTAPTVPTATDGTSIGDDNGSGLKGGAIAGIVVGVVGGLLVLAAFLWFCFVKR RKDREAADLGLQTGVGGSPGRMATPKSGEMTESRYGSTPGGAAAGAWDSQNKRRSHLM PVDPRLDPFATGLYSGDQNRSRESLTSLQDNQDYSRRVHQAPRVLRATNPDPEED MYCTH_2310189 MMPPKRKRLDRGPSDAGSSRPSPHRPADTALGQHDRSFDGGRGG RGGRNSRRGERRGSFQSQSANSSLNGPDPRSPPPPARPSSSSSSAALPPPTTAAPLAP PPVPATPASSAAPASPVQTFYDYSIVTDDCVSRWAKGGRQEIIDHGVQSREDEDLTEV VMIFQELIHSVTDGRLQGSDAGDVVREILGPEISEADRNDGAFDPHILFLDTVSTFLD VESGPLQPQLRDFMVASNVSPALMRLVLDPPILQHLDLIRDTFVRMGIRQSTNLLYRQ ANYNLLREESEGFAKLVTELFTISGAEPPTSEVVQTTFNKVMSLIGTFDLHPGRVLDV ILDIFAAVLIKQFRFFIKFLRVSSWWPRSQLSLPTDRFVGGLPIWALPEHPGWVATEE EEALLAQQRLERDIAFWERARKLKLDAYFELGGRQLAPSEEERLADGASGTGPESSIE QEWIRITKTLPPPGNRDAAQMLGFKLRFYTSEARDPEDTLPANLLYLISLLIKVGFVS LTDLWNHVWPLDEDMETVKEQKLKELEEKERAKRPGGEQNALMMAGALPDDMPPPPSN NSRRDAATTKQDQNNKTPTAAEKPESRGPDDQKVLLLKCLLTIGAIPESLFIIGRHDW ILRAFPDLVPLLHRILHHSIDVLYQQARPTSSTPIECPPKPQLDPDQTGVPKGHLKLK TPPVKRALRWPNADQADVEGISYRFYWDEWADNVPVCRTVDDLFTLSDSLLNIVGVKI GEDASLVTKLARIGSKSLSDDPSAHNTERWLGFLKRVLVPALSLGDANASAVDSVWDL LKRYPVRSRFGVYAEWYEGATSRLEPVQKAFAHTKLLTLSKMKRLSHSNIMQMAKELA KVAYQSPGIVCKVALQQIESYDNLIEAFVECAKYFTDLGYDVLVWSVLSSLAGQERSR TQATSVLLTSKWLQALSRFSGKVFQRYSNMDPLPILRYVHAQLLRGNSTDLVILEELI ESMGGIVSAFDFTDAQLRGMTGGEVLRRQTLISLGDKREVSARSAQRLMRALSHSNLA GQLLINIAQYRQNAIYKITDDKAVIKYLSALVDGSHKVLSRYLDLLLSNLDPDTFNRL VPDVVQLMRDYGLDANLAFMIRRNTIRWDTKALPPTKESPSQATKPTTDVDGDVTMAT AAADGTPGDASNTEATKDGAGDNSSASRTPSRLPESLSDALAPLIEEIPKLLPQQSWK YISPACYVFFWSLQLGNLVWPQDSYEAANKRLKAQAAELTTDRTDTPRSAANKKRKRE EIMDQQQRLLQETKDGIERFQKTKLHIGRQTSGWFPAGIAHADATSDALLEECILPRL QISPVDADYCFRLIKFLHEFPKSNFQLMSLYNRLFNHNRLRALIFTCTVREAEHLARF LKFILGDLSKWHGNKNAYEKEALGLRELQGTKTREYIGFATAFDADGKPTEFIEHDAF KELLFRWHKELNSALRSCLNGMEWMHIRNAISILKGVIDYFPAINFMAEKFLEQLNTI KDRESANSNAPESAQGHRVDLSVTAQTTYSELAKRKSKWVLVQAFRPGAVSTSAVSLK SSSCANHAQKSDGKDEKGPTMPANSSLRGSAAPFKPNAGRAPQSSEVEDGEVDESKAG QASPGGNVSSLPRPPPAREPPRETNPPAPKTSSAATPSGRPSTPKPAPAPQSGTRQEP PRFSTLPPGGPGLPNRPEPPARHDSSGPRFSQPRQDRRDLPTREARDYRDSREPRDQH QRDPREPQGARDGRDYRTPEGSRPERSRDISSSDRRGPDPGQREPGPRDSSRDTGRDA SRASDRGRAEPPSRRHDHAPAADRDSRPDSRPDSRPPPRERGAPHGSSRSDSRPGREP AVSTPPATTSQSAPQGPPINPERARIIEGERPEIINPARAALINDTREPSGRHSPREQ PRERPPRADSPRRSDRPPTNPPLPDNGRDDRHGRRRHSPGPEIPRDSHMEPAAPPLRA ERNMEREDRNAGPRDSYTSQSRPDHDHGRLGQQDPDYGRLNPIQSVVDMPPGPPSGPR GRGGRSVARPPPVNGPPMRPDNRFPPLEPIRPPTPERHPPTGPSASRPRRGPYDNGSG IGSPTSSTPPTVGVHPDRMRQISQASSAPPPPPPPPPPPAASGPPGPSGIHPDRLNQI ATQPPGPAIHSRAPIHTPDRPPMSTPGSGSRPAPSGPSADFSSTPTGPAAANDRMRPG GRQLRGIQNTLDKASADNARGSGPRTSRSRPNLAGSDAQILVGASPVSTPVHERPAES FRDSSRREPSDRPPRGGPEPIQVVSDSRGGRETSSGRGPANGDDYGSSRSEHDRSRRE HHRSERSSRPSGRSSRDRTPDREREPKDSREYRDRRSSNVPSSSSRDDRDSRRSMRES TGGGREQIPGGGRDLAPPRESSSHRSHRGEPGPRGDGGSGGGGRGEGGRGGDDYGRGG SSRSNGPPRDSRSSRPGDERGDPRGGDDRARKRRSEGVEVGSGSHQDKRQRR MYCTH_2310192 MVICHALTGSADVGDWWGPLLGGPGRAFDTSRFFVVCMNSLGSP YGTASPVTAKDGDPSKGRYGPEFPLTTIRDDVRYVVMFLSWRGVGSHAHHHYHHHHPC RLHKLLLDDLGVKQIAAVIGGSLGGMFVLEWAYFGKDYVRCIVPIATSSRHSAWGISW GEAQRQSIYADPKYEDGYYSFSDPPSTGLGAARMAALLTYRSRNSFESRFGRNIPDPS RRQTIRERPAPSTPSEAHFHIHNDGHKWTKSPSRRASEAQQAPKNEGSGSASPDPQFH GSKNGSLTGGEVIPPTSTYFSAQSYLRYQGNKFVKRFDSNCYIAMTRKLDTHDVSRGR AGTIAEALAMIEQPTLVLGIESDGLFTFAEQEELAEHIKNARLERIDSPEGHDAFLLQ FEQVNHYILDFLREVLPDIMNKDTDGAVVESSVGELTKSSTFGEAEVEDITAW MYCTH_2310196 MADSDELAGASSPKKTWTEHETERIEVDATLADDLDDTVGEPSY TTSLASSVVDYPIEYGRRYHAFRHGRYSRPNDEKEMERLMLLHNIVTRLIGGLYTAPI DKSKTHRILDIGTGNGIWAISIADEFPDATVIGNDLSANQPSFVPPNVKFEVDDVEDP WVHPAKFDWIFCRYMAASIHDWPKLMSQIYENLNPGGYAEFQDFNLTYYSEDGSLTES HALRRWNGRLTDAAFSLGRDPNPGSKLEGWVKAAGFAGVTARRYRVPIGPWARNPLLK EVGAWNLAQVLNGLDGLSMRLYTTVLGWKEDEIWALLEQVRKDLADPSVHALFELHVV YGQKPE MYCTH_2310200 MDGPTPTLKESIAPSFQSTVFPTAPYSSRPPSPPYIHVPPPMHS SLSLGSSSKHDRNGAGTLEEEDDPNHDSDVLMTIRPSPSAVHTAGLTQQELYTVTQGL LPQSARDGSSTWVYESRRQAQQVLSYLYLGPASAVKDRAFLEREGITMVLCARDARFA TGTGGEGMLVSGVRRAVEGMGVEVEGIDVADGRELVGAFPVAAAKVNQHLLAVAAARE GVGAGAGAGAERPGRVLVVCETGNDRSAAVVAAYLMAMYGLDTVQAVQFMQLKRFCVA LGDDFRFQLQTYGDILRARGDVGATGGQHHHHQQQQQQQGPAQPGEAGNRAPGTAAKR RIEQTMGETDEGGEGDMSMEIDDERYEGRSFAPFVDRSY MYCTH_2310201 MADSLDSIVKGAPVTLPGQDPAKVVGGTTPIGSSDSPPASGKIG DPLSHTPSSPSMIYLNLLILEASLRAQYLELRARRRHHTFFLTLLTLWTAFFGYALFF APREDGRGVGGSVYWVIETTEHMCFLAGIITGLLVWATGIWERGVRWPRRWLAVSNRG LRGFNCKLVVLKRPWWKEALSTIGWFLTYGLFSDNGSSYRWVEPSLLREVDRELNLTR ESHPAVHVNNRDEERGGHEEDLAPGGDYVKLLLLAKPFSPTFRENWEFYRAEYWEKEN ERRALLRAKLKERDRKLSKQKGGWFWWLPGRRVADKPPATSHEKAHHPRHAAVAGEHR RTRSGSASTRRGSVSSGMSGMHGPRTPASKIDGEDHPGIARKGSSSSDASDKKRKKLT AGAKSRPPRVESRSATPETPSPLAKESTPKADSQTP MYCTH_2310203 MFKTYTAATFLLPKRLKLASLLPPQPTALSTDASLSPQQDPRQP ILLASHQAMSQTRADSPPRPQRPMAYFPLGYKEAVQQWWASVSSRQAERNVLAFVPYL REASAETVVGSQLQDLANCDPFGLRVWRTSMVELSGKNRALNELSIERVGEQADDTLV MLHGYGAGLGFFYKNFEPLSRARGWKLYALDMLGMGNSSRPPFKIRAKDPKEKIAEAE GWFIDALEEWRKIRKIEKFTLLGHSLGGYLAVSYALKYPGRLNKLILASPVGVPEDPW AIDSSMPEPQESTLANEFTQDQESIVKGEPARVRAPAADNKSTTTIAAPPKRPIPSWL VWLWDANVSPFSIVRMAGPLGPRFVSGWTFRRFNHLPPAEKDALHMYSYSLFRQRGSG EYALPYLLAPGAYARSPLINRIQDVGRQVLRPATDTTPAVRETGIPVVFMYGENDWMD VAGGYAAEEKLKQRRVQALLNATDDEKRRENGSAKVIVVRKAGHNLYLDNPDEFNEVV LREMEETRQRRLRLGE MYCTH_29375 VDRPSSNNDGKEGDGGDLAQSLDRLTISTSPPAKTPRSPRSVRP AGPAPLKTATDRSPSFPSPGNAVRSPLRCSSSASVLHPSQSATPTLLRKASLNSLHSA NTVTPSRRASSGSILPSSRVRSGRSPLRSVSPELPEIVIPTPHSIATDHFKAELDIHH GPEPTRRAETVVILQDACYGHRYSRPRASRAQLSTIVERPERIKACVLGLSAAYVRLG ERHQDGAFPLHPDTDAASLPSIPFRIQKSTRRLSLGSQTVTNVHGTKWMEELKMMCET AEAKLVGGGKELQRPDIDRGANAEAPQKFHEGDLYLCSESLEAIEGALGGVCDAVDAV FQPQGPRRAFVAIRPPGHHCSASHPSGFCWVNNVHVGIMHGVLSHGLTHAAIIDFDLH HGDGSQAIAWQHNARGVGLAKNAAWWKKTSIGYFSLHDINSYPCEMGDEEKVRNASVC IDGAHGQSIWNVHLQGWKTEADFWALYESKYAVLLEKTRAYLRSHTERLKASGLNSRA AIFLSAGFDASEYEDPGMQRHNVNVPTEFYARFTRDVVRLAAEEGTSVEGRIISVLEG GYSDRALCSGVFSHLCGLAGDGPSNKDQELGSGASETGQQFTQQRARKDSSASERGVR RYESSWWSTAQLEQLEATLAAPVPAPRVIRNTPPPTYSSPTQASQAKAVNPKNRSGSV LSPTTSNGASRVSFQLPPPPEVPWAIATVQLSRLLIPSGRQTASCTVEELNADATRIR RERQAALAGSAPPTASSAPEDRPPTRMGLRERKPKPPPVDDDVDRKTRRKTVAGPAVL DTEAGSRGATSVPGKQSRPSRRLSAASTAVSSESSEVPPSVPRIVPIRSSSKQEVVNN AEASSDVAGPGGSSNLQVKKTRAPTKKEAVPRAPKGKKSTGPANGAPAQTTPSANVTA ASSADKAGDAVDKLAGEMKKIKITVVTKAQKEARERERLAREKLSQAKTVPGPPPAAG DGSPSQSTPGAADTTTTTTTTTNSNNNNSYLTSETEHQLPSPTPSPAPQAPQIQTPVN PSTPPAEEDRNIATTPSVASPPPTDPRHVPLPASSPPPALTETPLKNDATPPHTSEDS FVHYQPEGPTPGPPPQSAAEQPQQQNQQLQWLPPNVSATPVPSPIKKKDLPVFSSTGF IPFAPRPGTHSDG MYCTH_2310208 MARRLLTALALAHSATAVLDRFVDVLVQVDEFRIHAEALAVNDD DGFEACKTADAVIGACYYAGYLNPSAPGDDGYLCGCCYDTTAMPEIYSSCASWALDQD YTSIYSVASQMSSACADVTVCSDSDPVRTVSDLPTSISLPPACVSMRSIYSSCAAIPS IYTAAPRDVAACFCPEEDGKINKSFQSYASSCAPFARSSFPGDYSIITQLQTYCDANM ALKSTKPLVFTTIGTRTGLGFGPPSITSSASSPTSDASTASESAVTTASSTGLASQGL TAPGIAAWLFELATLLLSFFVMI MYCTH_2310212 MTSPSLRSSFPHSSSSLSSSPSSSSSFTLFRHLPPELRLQIYRH ACHPRVASLTYLPAPQDTFYCPTRPPALLHVCRESRAEGLRIYVKCPLPNLHHTTTTT TTKPDDGREGQGDDVNGGERGRDERYFYFHPHHDTLYLPRPGPAADPFGLGYADWARE LAAAVAATFAGVVRRLAVDYVPAEVRRPWEAYGKICLIGGCPRLEEAYLVVSGAGAGA CAAGERGGAEREVELVDPGDGDGEIAEIMERVRESFRVELGDGIAGLLGAPGKDGERS GRSGEVGLELIPKAKMPSPSWAGRRLVCAS MYCTH_2310216 MVSLLKLANITEEGVRFLSPHDGSPMLLTPEHSISLQNSIGSDI MMQLDDVIVTTSPDKVRMREAMERSVRWLDRCIAAHKKPDTQNLFCIIQGGLDLDMRR ECCREMLARDTPGIAIGGLSGGEAKEDFCRVVAACTELLPDLKPRYVMGIGYPEDLIV SVALGADMFDCVWPTRTARFGNAITKHGVLNMKREIYAADFGPIEEGCGCPCCRPIEE EGAMGITRAFVHHNAAKETVAAHLLTLHNVWYQLDLMRRAREAIIEDRFPAFVKEFFG NLYPNKDFPTWAVDALKGVGVDLLET MYCTH_2310217 MAARNVSPGGALLRTSRMFALPNPIPPPPHDGQAGANFHSETAT LAFPTHQIITTLSASRKQGDWGLKRPLPLKSTTKSTHAMLRVKAIDTIEQITDYSSAT DHGITLRKFQELHMPLTARRPAGGDHKDNTSTMGLPQKSVFEDSLDVTDIHPDKRAEA IDNRWKFTGPWLAGMTQGEFNKWLAKEVRPKRPAFREFLKKKIASELHATAAKEALDK GQEQPPAIDPSSVTEDQLLDYLRKLRHDNQALYDMVGQFLDLAPLTPPTVSQTGLPTS KVSQLKFTEMKNPYAERGPPVTHPSAGISYLRSSMYMENHPIYGPQKHHSPVVARIVR PRRQAQAMPAKLGVAGFIVDTPLGDTGSNYRSGSSAIFDRLDPSIEGGAKLWVQPKIA SVDSSGRVILRVDDASRESTLVAQELLGNAVCLGAKPAEDEMNKRESASDIRQKYRAA DTPPTMSSARDYGLRV MYCTH_2039818 MGRVRTKTVKKSAKVIIERYYPRLTLDFETNKRVCDEIAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEVSALDFSEAGQLEVD AETKDLLKHLGFDSIPVNVTTVTQSPVIERGGRRFGGR MYCTH_2310220 MATSTNDRQSKPKPKGILKQPSTFPQPQPQPSSSPPPPPPLAAE LTRAERLAQQEAAARLRLLQKLRETEVKPAVPIETFELLSQEFPRHADRAASSPHPDD VAELLRLLADFTPQEYLDLIEERNCLGKCGYALCARPRRTHDGPYKINTRVGSVARTE DLNKWCSDACAKRALYIKVQLDNPSYVRNDQGRLVVKLDLRDEGAGGGGSGGGSGGGG GGGGGGGGGSGPGKGKTGTEGGVRSSAAASPRGKEEDRDQLAQAMAQLEIDRHKQAKK DATTLAGERGDATDGLLAGMTRVDVTISERVVDGPHEAPSSVEGTESMIEGYKPKNGT DTGKKPEEGADSDDDDDFFTVRF MYCTH_2310222 MFKVKALYDYASGHEDDLEFTVGQIITVTDEVDDDWYGGEYVDE SGIKHEGIFPRNFVEKYEPTAPPRPTRSRPKKEPEVVPAPAPEAPAAAAPPAPPPDSP KQATPEPEETPKPAGPAPSSETSSAAPRVPAPVAKPPAPQPVQPVQPVQAPAPAPAPA PPAPQPAQVPSAASPPAPKSGPSSPKPSGPPPVSEKPTSSSFKDRIAAFNKPAAPPIA PFKPGNLSSSGAAFIKKPFVAPPPSRNAYIPPPSQVPVAKIYRREEDPEVKEQEAEVL ENAGKAGLIPTASTNEGQASEDQPKPMSLKERLALLQKQQMETAARHAEAAAKKEKSK RLPKKRLDSQDTVEGESAERTEETTRTSTDEAPPPRVAHPPRRRSSKGTEPRDGNEAD MSGAGETTEGQEELTERDDSDGKPKHVASASKQEDSSRDEGEEDEEEEEEEEEIDPEI RRREELRARMAKMSGGMGMPGMGMPLFGAPAPILPKKKKAVPEKRVEEAEEPTSPTAR APPVPLPGLMRPPPAPEPKQPGPEEEGDEEEKEEDEESQIKTPHQEAAAPPVPLKESD VPPPVPGGRPAPPPVPADCEYHRAHRFAPWNIIVNLVKHVRRHRLQLPLRSSRPAKDR CRMTNSRSDLSRSLVLPETV MYCTH_2069203 MESGFVADDIDLAKPSSWWLQPNGLPPQLHGRKDIFFESEESSS ADPHQGGKTIVTRDIYVLFQDYSQTVITVRFSPQDPSDVHLEQRHEPPPRALRQDQLE QSYERFGRAIGEAAASKKDTVVGDGTPQALVYELLRPFKDALLPVGARAYGALVYSNL ANASTTQNDEIRPGDIVSIRNAKFQGKHGAMHAKYSMEVGKPDHVAVVAEWDGTKKKV KAWEQGRESKKVKLESFRLDDLRSGEVKIWRVMPRSWIGWESNTGAGGAGN MYCTH_2310225 MAAQYPRRQPSSQSSSSHGSRSRGSNDSYDSHSTAPTSLYSSPC PSDLKSSPSSAEAGAYAGAKHRQAYDSRDDVSPATSLYPRSSVETYASTTASREDLDA ADLDETVDFEDSSIPPLPVYRREVVEPYVRPATPQEFGKLFPSLNRLTIRHDEFTSDG NMNLRIDTVVTGRRRTAIQLFHLRMYDLAKREFSLRRYSRDSGREVCNSKRKFTEPAV PRPNTAADERPTLKQSMSTALRTFGGGKPVLRRAKSGMTVQGRPGTGYSTCEGDDELV FDASNLSRLSLDSRLVRSKAQRPTPTNTIKLEFSNYARVDVNRRGGKGSKRYEFEWWG HRYNWKRAVDKQLALVSFHLIRDGNTGAPVAHIVPETRAPTQVFADDSAGGWVPPCFM WIADESVIDAVTDVAE MYCTH_2310226 MATGLIALVDDCIKERWQTKKAHRIPMPSPLKSVNLDAVSPKAL MQHVFGRRYSNDHQRPTTPSSPLRFTSFAAAR MYCTH_2310228 MHINNGNGTGPQTGAVTPRSSASLRPLTLSHGSLETSFLIPTGL HFHASRLKEGFVATLPAPTDELAQDDEPSSVPELVARYMGYIAQEVAEGEDDAQGSAE EVLKLILNEFERAFLRGNDVHALVATLPGIDAKKLEVIRSYFAARAASNRAMKTHESA LFRAADEGAAKLYSIFGGQGNIEEYFEELRELYETYPSFVGDLVASSAELLQTLASHP SAEKLYSKGLDIMSWLHHREATPEVDYLVSAPVSFPLIGLVQLAHYQVTCKVLGLHPG VLRERLSGTTGHSQGIILAAVTAAANSWESFEDVAKSALTILFWIGARSQQTFPITSL SPSMLQDSIDNGEGTPTPMLSIRDLPQAEVQKHIDQTNQYLPADQHISVSLINSPRNL VVAGPPRSLCGLNAQLRKVKAPTGLDQTRIPHTERKVRFVNRFLPITAPFHSKYLANA NALIADDLKDVEIDVKSLGIPVYDTNTGKDIREEIDGNIVPALVRMITSDPVHWEKAT VFPGATHILDFGPGGISGLGVLTSRNKDGTGVRVILAGTVNGTVTEVGYKSELFDRDE EHAVKYAVDWVKEYGPKLVRTSSGQTFVDTKMSRLLGLPPLMVAGMTPTTVPWDFVAA TMNAGYQIELAGGGYYNAKTMTEAITKIEKAIPPGRGITVNLIYVNPRAMGWQIPLLG RLRAEGVPIEGLTIGAGVPSIEVAQEYIETLGLKHISFKPGSIEAIQAVINIAKANPT FPVILQWTGGRGGGHHSYEDFHAPILAMYGRIRRQENIILVAGSGFGGADDTYPYLTG AWSTKYGYPPMPYDGCLFGSRMMVAKEAHTSKAAKQAIVDAPGLDDSEWEKTYKGPAG GVITVRSEMGEPIHKLATRGVLFWAEMDQKIFSLPKEKRVPELRKNRDYIIKKLNADF QKVWFGQNREGKAVDLEDMTYGEVVRRMVELLYVKDEARWIDPSYMKLTADFIHRVEE RFTNKPGQVSLLQGFAALEEPYSAVERILSHYPEAETQLINAQDVQHFLLLCQRRGQK PVTFVPALDENFEFFFKKDSLWQSEDLGAVIDKDVGRTCILQGPMAAKHSTKVDEPVK EILDGIHNGHIEGLTRDLYGGDASKIPTVEYFGGKLIETEIPLDIEGLTVSIDAHKNT YRLSSAPSTQLPSLEAWLSLLAGSRKNWRYALLQSDVIVQGQKYQTNPIKQIFAPTRG LFVEILNPNDPAKTVILVKEQPRHNRYVDVIEVKLVGEKEIAVNLIKDTTALGKPVAL PLRFIYRPEAGYAPIHEVMEGRNDRIKEFYWRAWFGDDPLDLDAPVTGIFDGGKATIT SEAINEFVHAVGNTGDAFVDRPEKTMYAPMDFAIVVGWKAITKPIFPRSIDGDLLKLV HLSNQFRMMPGAEPLKKGDEVYTTAQVNAVVNQESGKMVEVCGTITRDGKPVMEVTSQ FLYRGVYTDYENTFQRTVEKPMQVHLSSTKDVAVLRSKSWFVLDDLATPEVELLGQTL TFRLQTLVRFKNKTVFSHVETRGQVLVELPTKEVIQVASVDYEAGESHGNPVMDYLER HGVSIEQPVNFENPIPLGGKTRLQIRAPASNETYARVSGDYNPIHVSRVFAAYANLPG TITHGMYTSAAVRSLVETWAAENKNNRVRSFHASLTGMVLPNDDIDVKLQHVGMVAGR KIIKVEAINKETEDKVLLGEAEIEQPVTAYVFTGQGSQEQGMGMDLYDSSPIAREVWD RADKYLMNTYGFAITNIVRNNPKELTIHFGGPRGKAIRQNYMAMTFETVAADGSIKSE RIFKEINEKTQSYTYRSPAGLLSATQFTQPALTLMEKASFEDMKAKGLVPRDSTFAGH SLGEYSALAALADVMPIESLVSVVFYRGLTMQVAVERDESGRSNYSMCAVNPSRINKT FNEEALRFVVNNIAETTGWLLEIVNYNIANMQYVCAGDLRALDTLTGVTNYLKAMKID IEQMRKEFQPEMVKEKLVEIIKACAQETEAKPKPLELQRGFATIPLRGIDVPFHSTFL RSGVKPFRSFLLKKINKTTIDPSKLIGKYIPNVTAKPFALTKEYFEDVYRLTNSPKIG HILANWEDYQDDSSELTTALTNGENDAKVNGVKGQNEVNGSVEH MYCTH_2310232 MRHEVEQELAHTLLVELLAYQFASPVRWIETQDVFLAEQVAERI VEIGPADTLGVMAKRTLAAKYEAYDAAKSVQRQILCYNKDAKEIYYAVDPVEEEPEPA APEASSSSAPAAAPAAAPAPVAAAPPPPCAGPAAQVPDAPVQALDIVRALIAQKLKKS IQDIPLSKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGAAIQATFDGN LGKTSQGLIARLISSKMPGGFNITTARKYLETRWGLGSGRQDGVLLLAITMEPPARLG SDADAKAFLDDVTQKYASNAGISLSSAAAAGPAAGVGGGMMMDPAAIEALTSDQKALF KQQLELIARYLKIDIRAGDKAFQASQESTKVLQSQLDLWLAEHGDFYATGIEPCFSPL KARVYDSSWNWARQDALSMYYDIIFGRLKAVDREIVSQCIRIMNRSNPTLLEFMQYHI DNCPTERGETYKLAKELGAQLIENCKESLGVDPVYKDVAIPTGPKTTIDAEGKMSYEE VPRASCRKLEHYVQQMAEGGKISEYGNRTKVQNDLSRIYKLIRQQYKFSKGSQHEIKS LYSEVIRSLGMNESQIIPKENGRINGLSKKGKPKGKVETIPFLHLRKKTQHGWDFDRK LTKIYLDCLEGAAKNGLTFSNKYVLMTGAGVGSIGAEVLKGLISGGAKVIVTTSRFSR EVTEYYQSMYARYGSRGSQLVVVPCNQGSVQDINALVDYIYDPKNGLGWDLDYVVPFA AISEQGRQIDGIDSKSELAHRVMLTNTIRLLGNVKAQKAARGYETRPAQVILPLSPNH GTFGSDGLYSESKLGLETLFNRWASEDWGNYLTICGAIIGWTRGTGLMSGNNIVAEAV ERFGVRTFSQQEMAFNLLGLMSQTIVDLCQNEPVFADLNGGLQFIPNLNETMTKERKT LTETSEIRYAVSKETAAENKIINGEDSEALYKQKIIEPRANIKFDFPPLPNWDSEVAP LNEKLRGMVDLDKVVVVTGFAEVGPWGNARTRWEMEAYGEFSIEGCIEMAWIMGLIKN HNGPIKGQPCSGWVDAKTGEPVDDKDVKPKYEKYILEHSGIRLIEPELFDGYDPNKKQ LMHEVVIEEDLDPFQASKDTAEEFKREHGDKVEIFEIPETGEYTVRMKKGASLWIPKA LRFDRLVAGQIPTGWDAKRYGIPDDIISQVDPVALFVLVSTAEALLSAGITDPYEFYK YVHVSEVGNCVGSGMGGAKALRGMHRDRFLDKPLQNDILQESFINTMAAWVNMLLLSS SGPIKTPVAACATAVESLDVGYETIMEGKARVCIVGGFDDFGEEGSYEFANMKATSNA VDEFAHGRTPKEMSRPTTTTRNGFMEAQGCGVQVIMTAKLALDMGVPIYGILALTTTA SDKIGRSVPAPGQGILTTAREHRGKYESPLLDINYRRRQIERRTRQIEQDKEADLEYL AAEAEALKADGRSEGEVAEYVADRARHIEKEAERQKKEVLRSYGNNFWKGDPSIAPLR GALATWGLTIDDLGVASFHGTSTKANDKNESSVICQQLAHLGRKKGNAVLGIFQKYLT GHPKGAAGAWMMNGCLQVLNTGLVPGNRNADNVDEVMEKFDYIVYPSRSIQTDGVKAF SVTSFGFGQKGAQAIGVHPRYLFATLDKETFEEYRVKVEARQKKAYRYFHNGMINNSL FVAKDKAPYTDEQLSSVLLNPDARATADKKTGLITFPSNFMKLSEQTQTHSERKATLE SVLSRNARQLESINTRVGFDVEDIAAINADNDTFLERNFTPAEMQYCLGSTTGRSPQK AFAGRWSAKEAVFKALGVASKGAGAAMKDIEILTDQNGAPTVKLHGAAQEAAEKAGVK SVTVSISYTENHAAAIATAQL MYCTH_2310234 MRQTNLESRNKVFLGSFVHSKVLDELEYLHQTAVFVDKSGTIVA IEKDCDESKARETVLPRLGWAEADVEVVKAKEGQFFFPGFIDTHIHAPQYPNAGIFGS STLLQWLKTYTFPMEASLSEAAKARRVYTRVIRKTLSHGTTCAAYYATIDVSSTNLLA DLCMGFGQRALIGRVCMDSELSPDYYRDASPEASIAATKATIAHIRSIDPAFSLIRPV ITPRFAPACTLPLLRQLGALAEETALPVQTHISENKPEIALVQELFPRSLTGAADGSY AAVYDAAGLLKPRTVLAHAVHLTEGEADLVAARGAKVSHCPCSNSALTSGAAPVRRLL LDRGIVCGLGTDVSGGYSASVLEAARQAALVSRHVAFGPGGQERDKLGVEEVLFLATR GGAEAVGLGDKVGGFEVGMEWDAQLIGLGAIREDGEEEEVDDEEGGGGGAGNVDVFGW ESWPERVAKWVYAGDDRNTIKVWVKGRLVHQRK MYCTH_109897 MPGGGLFGVGVPPSQPVWLLYIKIAVLVLSLVIMGISGYVLSLT NGGAASLDMFAAIFSLLVYGAAGALELWSPNNLFRVGGLVCYPLSIIFWLSAWAWSAS QSSAWMSLNGWWKAGTIGGCLGACAGIGALIWILAIVHLFFFIRACLSDSGAAAGVGQ AELGQVKPEGQSQQYQQPYPAQQPYPAQQPYPAQDPYAPQQYPQQYPQQYPQQQTSPY PTQ MYCTH_2310240 MLAPSSPIAFPPSSSPAPSSKRPRPADAPKPPKPPKPRVLGGFL ADSDSDDDDDDDDEVITDEPSPKRRMLHRGTQQDAQAGLDTGAGAGAGAARAEDPLMN ETMSAAQEVVSTPPDSQTQDDLREEAERYERLFGTQGLPTLAAFTSKDPRPASYHVST CSGQMVPIRERRPAAAVPYAHMVAARSRTKEGRAHRSYYGVAIHELIDSANKELAEAR KTSGSANATTPLTPPAKPDRPVRSVEAQQSNPKRPKRTMLWTEKYRARTFMDLCGDDL TNRQVLRWLKRWDPIVFPHAANKNKPAVRRHGAQHHQHAGQPPEEEKPHRKILVLHGP PGLGKTTLAHVCARQAGYEVMEINASDERSRDVVKGRIRTSLATESVKTVEHKKPDPG KQQKVARPVCVVVDEVDGVVGGSGASGDGGFVKALIDLVMLDQKNSSASGSAAAAPGP GRRKKKSDDFRQMRPLILICNDVYHPSLRPLRQSGLAEIVHVGKPTVEAVVGRLKTIF EKEGIPCEKDAARKLCEAAWGMTSGIDARRGAESNAEGDLRGVMVVGEWVAGRLRAAC PDGATPTLTRQWVDKHIIRDLAHGGGGARGLGRGGTREIVNRIFQEGAGFPKQSTPAV QPSKNTIHEQPQAQLGVSEQQKKYAMARLQEMIDSSGEVDRIMTEVFLEYPNRDFNDD SFMTKPDLAYEWLHFHDTCSSRLYSSQEWELVPYVSQPILACHHLFASPRRHQPHTTM SGYGARWGEEADDGEADAAPLPFSGPRADYAAHEAEKANRAALQALHAQLPPTLTRAF RSPEHIATDFLPYLVRIVSPDVKPVVVGGSADKGGAVASVRRESEKAMVKRAAQVLAE VGIALHKGKLESDVTAGPAGRTQWVYRMEPDLDALATFETASAYILASQAPTRYAVRQ VLEQELHKALAQKESAARQARLRSGEGGAAGDGGRQQQQHAPGSGDDDGDEYKENANS HSHSKMAAERAGVAVKRDFFGRVIVERPLAETDANAGKRIKRKQDAGKAGRVWVTYNE GLNNAVRRPISLEDFLRGF MYCTH_2310241 MAANKYADRLKSFLGIDPDQSLDDSAFRDDSYYVEEEPTVKEAL VELIPTGRDILNYIKELFPFLGWIFHYNLTWLLGDFIAGVTVGFVVVPQGMAYALLAN LPPEYGLYTSFVGFLFYWAFATSKDITIGAVAVMSTIVGNITIKVQESHPDLAPETIA RSLALISGIVLLFLGLARLGFIVEFIPLVAIGSFMTGSALSIASGQVPKLLGISGVNT RDATYLVIINTLKGLPRAKLDAAMGLTALFGLYFIRWFCNFMGRKHPRYQKTWFFIST LRMAFIVILYILVSWLANRGVSDKKEAKFDILGTVPSGFQHVGAPRFDAEILGAIGSD IPTTILVLLIEHIAISKSFGRVNNYIINPSQELVAIGFSNIFGPFLGGYPATGSFSRT AIKAKAGVRTPLAGIFTAIIVLLALYALTSVFFYIPSAGLSALIIHAVGDLISPPREV YKYWKTSPIEFVIFFAGVFVSVFTTIENGIYVTMAASGAVLLFRIAKSPGRFLGKVTV QSVPRDSLRGGGGTASPGDKSHAAFLPLDRADLYNPDVTIASPYPGVFIYRFGEGLNY LNSARHLDTLTIHIFQHTRRTRLDRYDKVGDRPWNDPGPLPWKSGAAEEREAMAARPT LRAVVLDFGAVSALDMTAAQALIDLRNQFDRYADPDRVEWHFAGVASRWTKRALVASG FGAGPESSRRRGSSTVGSAADSEQDKLALEPLIGAAEVASATGAEDSKAAEARSKARE VDIEAAAGEVTPVVSSSGKRLVPLFGANRPYFHVDVETAVAAVIRKLEARASEWSGRE MYCTH_2310243 MQATPATGLSYSPGLEEAESSFPERHLDEDKPQFSASGGIGTGR YQSGVVKRQVFEPSLPTVYARDWPGPGQSISQDGHVSSHGPLVDGTTLGGPFPQPQPN SGSLSAGWNSFREQPQDGFFWPPSRREFSVDDDSDSIQSRNESHEDFCPSIPALSGQR PRRRSSHRVTKPAKPPTPIATHHLAARTERPYIPDFDYSTDWLEPSDASVASFSHSSH DPTPITPGNEIEIALRDNASSSSKVDSKRIAHKLSEKSRRNRLTIAIREIQKLLPSGV DGEDLLPTPKEADYVVRPGVPSSKLDIVEMAVGFIRDLKAMNKSMAEKIKDLEKELEA CQCRHPRAEEAEAAPSGEPTMMERTAG MYCTH_2316220 MEHLLVSTSSDASYRSSTPCEFHCHLNRAWPITPPQDAGDGDLF RCIVDHGRNPFSIVWQGSPEYPDLSNFPALDRVEVFPLPLSPALAAIWRNSALLDYGS YASIRASEHSRFPILKLAHPDTQSLELIEHEFNILTDLQSLGLPVPEIDTQPIVDGGR ICGYRMKALSKLKQYELHHRQHDIQRVLLRLHSAGFCNGDVTPSNIMKDGNDRIILID FGFAGRVGSAVPPFIPNWVYASGIFEAEGDLKAFKRFIR MYCTH_2310246 MATQLSGGQVLSLSTDEHLSDNTQDGSPQIQTFTGGGDNVSETK RGLLAQQLLQAPSIDATALTALLDRIPSESDHRPVQSYYPSPSRSSTVEPPEDEEHEY EIKCHQALLDDNCRPLFHVDLLPHVEANPDAHTHLLRPWTIQQHPADAKGKWQALSRQ WNRWKEFRAWQLRGRRRRPGFGEYLDVYRRDYFMSGGTSRRAAEPEFEQTARLRWERD YSDYGEDEEDVERHAEVVRRLLPRGFVIRRPLRLLLDPKTQDLWTTYAEYLAFEAECL YLLAGDARRLEKKAKGKYEVAKAKVDQQQCRVDWVLSEIEKIKEEQKAAACEGSSGKT GSSKKRRPTDGSDGLQDVVEPRLGKRRRMGKTDEDTTDKDKTDETEEVLASRSNNLS MYCTH_90226 MAARCLLMPSPRKLPDFGISREGDTLKTFCGTYAYLAPEVYEGK SITRRRRPTYTALVDVWSLGVVLARLLCGLPKQEEIDEYMGVEWCESIREGVERALRQ GYRQGRRQDLLSFVLESMLCLDPDDRETAAECHKAALRLLLFDSNSVPESDNDRGEAD GHHQHQQSDTEASTILAGKADGSLSSLSRYIDHTDELLGRRRDRSCRAPSPETVVPLH VGQLLEKLRNPHDGLFCKSSFGEASDSDSGSDCSTSAPATVMIARDTVVEPQTQQQEQ EDRPSDAPIREALVNYLNNGTGATLSVKRSRPASRSPGSKSPRVLSAGGADGGVGDGL SARTAKRNRTVLGAGPDGLSESAVI MYCTH_2070221 MGLEKTLETLACIAGNPPSKEDQKNGLRTTLVIVPANAIDQWIS EIGKHCDSAVSHYKRSDTRNEVARQHSPIWITSYNELMQHYPSDNMIRKATQNPLLTA EDCEAHRPQYLGPLFQIRFYRLVLDEAHAIKNHTSRRMQYRTQNTKFFGHALFELPRP HVLEPIMVNMSREEALIYRRVEGILRRKVSKLFRRAKEQNQKVKGRWWLIEVLRLRQA VLHPFLLENMMKDHFEPKDIEWLISELSKIQTSNPFIDQIGHWCEEQLQVRRSEQGSK APKHEGLDASFDMIRQLEKVNKHKDWEKRAHDLCRRCGFVPDDQFYPKCGHVLCQSCI ESYAAENRSSTMTGGCGPCNALLANVRRSLPPTQDPEIVEIGYWRGPSAKKRRGRGDD INGIQPTTKSKTTFLADSDREIGNNMLTPSAKTLVLKSILLDWCKRYPNDKIIVFTLF VDGGRIVGRMLQDANIDFLYYFGSMSHAEKQKAVKDFAEKKEIRVLALNLACANRVII LDMWWNSAMEQQAFGRVYRMGQKKETYFGRILVRNSVDVRLAQLQLAKLEMIAKTIKD HDSSDMALSIEDQAALLGRVVRDEDGNIIEIVADYDDEADTDAINATWNNDEWDQETM VKDI MYCTH_2129842 MSRQGSFTSLLAVQIYRLCGGFALYDDCDERIESCIADPRHVDT CGPSCDGPGICWPFAEICGGEEKLPCPEGKGCFNDLLCFPLRFGSDYYEKSKLEEVHR TDQDGWQEDS MYCTH_2129843 MSQVLAIVGATGQQGGSVANFVLSDPVLSTRYKVRALMRDTTKP AAEALVPGAPSSAAGAGARFVFAATRTVYDEQVKERELRQSKDLADDDYCMHFIE MYCTH_2310248 MASTMGMSLDNRRARLHQLAAEWGIHPLPPVAPPSPAPQSRTPN DEFHAEELLKRRRMSVIQEKPSQGTLKRAFSSNRKTWEPSEIFEALNAHVANGGAPGV ADALIAKLLSVGGNLNVANGKSKTNLLTRRRSTENMERSRVLQKAVENRQADMVAVLA QHADPLTLDRALPLALRAGDLAMVHLLLSRGANVSQTQDALDAFRQICIMGGNADLVG LVLQSEGPPPPSWLSMCMVDAARKGCLQTVLRLSRSSADCEYNKAEALRAATAQCRVD IALAILTAAKPPTTGGQGILESFGLLLQHATVGPNEKLALTEALLCAGASGDPVSVLL SQACANEFHDMITLLVSYGASVEFQDASIVRNAVSRGQSTLVHLLLGDSTILNPIYAS QCVTSIPKAIAPEDRYAILSLLLKKGAAGTPLHDALVDAVKAGDLPSVQLLTTPQFPS PRPSTNPNRRSSLPGMVYIRHEMASVDHKSGLALDIAVQTGNLPMVKQLLAGKPSTKT LDQVFSSALKLEPAVRYDIVECFLTAGVSRASVSAALQQAVEEQPPHRDENLISVLLR HDVDVNFNDGAGILAAITIRDLPLLETLLKSKPAPQTMAAAVARAMVVEEKPVRYEMM RMLIGAGAGRAGTEVSEALTQLLPVKPTDMQLATLLLELGRADANFNQGLPLSIAVTD GDPALLDLILRHGRPNPDSIYRGLELVAGLSTDPSKPAKLAALLRYRPTPEFLNAILF KEVQTLLALPPASRSLTSLRALLAAGADVNAHKAAALCCAVKAADAPIVDLLFGGGGG GGGASLTARPSPASLAAALPQSLNISDPMDRLAFTQRLIRAGAPPAEATRALIYAITA HPADLPLITLLAGHADHQSLPSGKKQGGALLVAVEGGNVEAVEVVLEKGAGRYGKAVL GAALREAVSAQVVPDGNKRVGITKALLKRGVDAGALGDALLVAAREGDLELGRLLVEH GASVEHREGQAIVEACSGGSAEVLKMLLGGKGEVKMVTLIKGFQAAAAQVGDLGKRAD VFRLLLDKGVAGEVVDAQLVSAAKCGIEGERLVRLLLEFGASVDYDAGEAIWNATRSA ILGSLKLMLGVEKVGERQKTPSQTTLLRSLKASKKLGSDLRYQVIQWLFQAGLTPCEE INIALNRAVKDEPDSRLVRLLLEHGASPLANGCETLIDAAQLLLDDILSILLEADIPA KDASWAFQQAFTPGTATTWLTEKGLHVAKMLLHKGAEGECLAVALSTAIDAYGSDIDA VARGFAQALLQFNVDVSHEHGLVLQKAAQKADSELIQQILQQRPDSIAVSMAFPYIFD ADLSESETLHLIELFVNYYEGGERLDVMFVHPVSEPILFRALNKFPRSVRILKTLLDA GYYHDQTTVMRVMDDVEEPEQVSLLFWSLSQPQKKVSSAVIELLIDSGAKVDFETRVT KTTPLTVAIQNRRHDLVKSLVLAGAEVDVVDATGNTPMTLATRIGGDLGISLMSSILA ADPSINDGSLHNAARDLNLKAMQVLVEHGHDVDFPSTLHGGRSALGELCLNAAHAGPL TAAQEKQMEKAMAFLISKDSDLTIQSDGKSVLLLALHSTDPIPTTRALLKVGLWKHVN KPYNHYTDGTYTYSATQYVARVLPCSDDLRPQLLQLLKANRAIDVYYANDGPQPKDAV NLPPELLRAERERRAREERIAKENEEHAIALARTKEIAQIQNQIFVARAELEDARARR KREDEMAALRERQAAEEAAFAAELQRRKAAREAAIAHEQRLTEAGLTRARLVADAELE MEGKKREMMIKWERDMSRQKENDAKALSSIRIKEREAIERLDAAADERATRRIAEQRR LVEGQTALAARLANGAGGLDRRQIGYVTGELD MYCTH_2140504 MDSSRLDSGDDQTPYAQRSRLLDPSTHEIRLLTFTYPPLRPSLN DTPNNPNPTYTLTLTLTFTLTLTLALALTHAPLSHPTGPCTPPSFSALSYVWGPPASS SSADPPPPTVTIDGHRVPVTASLRSALACLARERWADALFGSQVSKYSSSSSSSSSSS SSSSAGWGRVEGFVKTVLQFAITAADGATRMGPAQGRALVAWRRLWIIQEVVLARKAV LVLGTDPEAGVTWIGRIDLGMPLLDAILWTSIGTSPDGAIQATDLRDNRIYGLLGMVR QRDRCRIPVDYSPDMTISKVLFCHILSFCQRTPESIVREGVRSWVPDWTAPGVMPTIG GVFLEEDHEAQLRGNASGSTNRRDWVSKCRIQDITYDDPAVSLPCVLVGSVERVGHEF KAVPTSPNYLDNCRDWLLEIDEMVTASGRDSGRADVEDPSRFIYGFKVLRGSVLPPPD LGTDDAKREWIMSESWDYRRAWKAHKRRAFVDPFGRPGLGPADMVTGDQIAVLAGAQL PFLLRGDNAAGTHPVSEGTLFKWLKLV MYCTH_2310250 MAPVPKTMSGILIEETGGVEVLKWKTDLPVPELKEGEVLVRNEF IGVNYIDTYFRTGLYKTPLPLVTGKEAAGVVVASAAPGLKEGTRVAYVADHAYAELTA VPADRVASIPDGLAVETAAASLLQGLTALTFVREVAGLAPPHAPTNQLGVSEGPWVLV HAAAGGTGSLLVQMLAVHGAKVIGTAGGKAKCEIARRNGAQWVVDSKSEDLVARVKEI TGGKGVDVVFDGVGKATFEADLEIVARKGTVAVFGNASGPVPPVDILRLGAKNVKLMR PVLFAYIATAEERAAYTKELFDLLLTGKVNIHIHDIYPLQEVGRAHADLEGRKTTGKL LLKV MYCTH_2310252 MAVGTVLVTGGTGYIGSFTTLALLENGYDVVIVDNLYNSSKVAI DRIELICGKRPVFHQVDITDEAALDAVFAQHPEIDSVIHFAALKAVGESGEIPLEYYR VNVGGSISLLRCMERHNVTNIVFSSSATVYGDATRVPNMIPIPEHCPIGPTNTYGRTK VMIENVITDHIEAQRRNSQKAGKPYEQWNGALLRYFNPCGAHPSGIMGEDPQGVPYNL LPLLGKVATGEREKLLVFGDDYPSRDGTAIRDYIHVLDLASGHLAALNYLREHKPGVK AWNLGSGRGSTVFEMIKAFSKVVGRDLPYEVVGRRHGDVLDLTAHPALANKELHWKTQ LTLEDACADLWRWVSNNPKGYRQDPPAELLEALEAKKA MYCTH_2310254 MSPDSHLAYSRLGIMADITTSRQSSPAIARGLASELRGTPEVIA SMPPRRRVRGPASPSPAPQGSRGSTPADRGGMARTPVPAKYSTSYGSPMTQLPDRSTA GGGSITKAAAEIFTKVKRDNVAAEARRRSKDQARAARAARATRAARAGSQNTTASPLR AATPPTIEEVDMESEEGSEGQSSSGAEGSEYEDRNGTQPERRSPRKPQPRDVRKRARD DEDEARAEKERKERDARLALERSAEAQKVKNQKLAEAQAAREKAEQERKADEERQAEQ RRLAEQERQAEQERRAQQAAREEAARAAMPPPPQPLVPRPAPTATPTAPHATNSADAM DHRPGSARSFIEEGKLFQEANVQTPTPPPPRQVRRPGPSAPPAPPAPPQAAQGTTVRT RLSALPPGPPSPPSVLKRPPRRPGGTTPAPRHERRPSPANDDRGSTSRSSPEEPSPEP DEENHRQHDSPSNPFVAASYAQRLRSRFKPLAGKSEVNGAAGGHTQAESPQAPASSDY LPRWSGAKRSFGLLSVLKLLAGAFVMLHLIRLVHTLVRPDLFEPAITWYGWNDWTNNI GQFLPSPLLHPLGVLTDDQYDDLKDYLQRRTTATEAAVNNLQSVLPKVVSVRKDQKGK VIIADEFWTALKDKIEHDSRILSLDGKSRISEPHWRAIEQRLKDAGLLAKPLSADDVE KIVDKSAPASWEKWLAKNKQRVADIIGHGTDSSRGSLSGSPKGTTESVVSRSEFLREL TARLSESKKEVETEMGGLRKDLDGVLREVKKLASEGGMSRAETTSLINRIVDKEITGR LTRIGSKSGAAKIDAAFSNRVNLFSPGNNAQVDISLSSPTYEIVPPPVGSKKYLKSMP RQAPFLPDKSQALRPWTEPGHCWCAAILGARNRTHPAVLAVRLAQFVIPQHVLLEHID PAATTDPLAMPRDVEIWAMFDDHARRERVLDWMAVQFPADITGAQNGNSNNNNNNNQK LISEGWAKIGHFVYKHRPEDEGVYVHHLSRDLVDLLGAATDLVMVRALTNYGAKDHTC FYRVRLYGEVVEEEELLAERESRKW MYCTH_2310255 MAAGSTKDGLPHRKKPILKKEVKSLKRKRGEEDLNKLKAAIEQL DPKSADLNNFTDLPLCEATATGLRASHFEVLTDIQRAAIPLALKGNDILGAAKTGSGK TLAFLVPVLEKLYHAKWTEYDGLGALIISPTRELAIQIFEVLRKIGRNHYFSAGLVIG GKSLKEEAERLGRMNILVCTPGRMLQHLDQTANFDVNNLQILVLDEADRIMDMGFQSA VDALVEHLPKTRQTLLFSATQSKRVSDLARLSLKDPEYVSAHEAAPTATPTTLQQSYV VTPLAEKLDTLWGFLRSNLKSKIIVFLSSGKQVRFVFESFKRMQPGIPLLHLHGRQKQ VARMEITSRFSSAKYACLFATDVVARGVDFPAVDWVIQVDCPEDAETYIHRVGRTARY QSKGRAVLFLDPSEEEGFLKRLEHKKVPIQKVNVRASKKKSIKNELQSNNFQSADLKY LGQKAFISYVRSIYLQKDKEVFKFDELDLDGYAESLGLPGTPQIKFQKGEDVKRLKNR PRAALSSDSESDSDAEGGGRRKKDKDQVRTKYQKMAERQNQDVLSEHYRKLIDEGDTQ AGEGGGGGGSDDEAGFLSVKRVLADDAQIDAAAGGGGKDSAGAEPKVVKLGKSELVID SHRREKLLKSKKKLAKYMDKGTKLVFDDEGNAHPIYELQGEEDFAKEGPADKLRQQFV EAEAEKVKEADVEDKQIAKKRLKEKRERRKAREREELGLAPRGDGGTVQLDADGAAEG EDPLALLRSLPIAGQESGGETDGEKEEEEEEEDREPPRKKAKKWFQDDSDKEEEKKKK KKKKGGKKVIEIGHEPDNLEDLEALAAGLLED MYCTH_2310258 MAERPGVLRRLANKVAVDSEPGLTSAQMMLTNHDLKPVEPERRQ WGPWNFVGFWVADSFNINTWMISSSMIVGGLSWWQSWLCVWIGYSISGSFICMTARIG ATYHIGFPVASRSSFGIWGSLWPVFNRAAMACIWYGVQSYIGGHCVYLMIRSIWKSWD RETIPNTFSQDSGTSTADYVSFFLFWFCSLPAIWFPVHKIRHLFTVKAYVVPCAGIAF LIWSVVRAGGVGPIVRQPATKEGGELAWEFIKGVMSSIANFATLIVNSPDFSRFAGKP RDALWSQLFTIPIGFALTSFIGIIVSSSSAVIYGGKPIWDPLDLLEHFLDDGGSAQRF GVFVIAAAFALAQLGTNIAANSVSAGTDMTALLPRYINIRRGGYICAAIGLAMCPYNL LTSSNMFTTYLSAYSVFLSSIAGVMIADYYLVRRGFLEVKELYDARRTGPYFYTAGIH WRAYAAYIAGILINVVGFAGAVGTPVPIGATYLYNLNFFCGFGVSAAVYWLLCRIWPV PATSDRWMEVGDEIGDLRVAYHDRRSSSLVGVEEEEVESGSAAKDAGKAV MYCTH_98157 MTALAADNTAAVAEVARPGEAEDISEKTTNITKTKEGAPESPEI DAIPPTYDKESSQSKDDASDDAIIVTGADAAVHLLSLRDDGGQALTFRSILLATILSA FQAVMYQIYTFKPTAITIQGTFIVLIAYFLGNGWAALLPRGDRLLARWREPGGQGRPP LWITLANFLNPGPWNLKEHAICAITATSASNAAASVQVFAAQDLFYDLPLSATTVVLA VISIGLFGYGLCGIMRPVAVWHVDAVYWSNLPTVKTLQGLHWEDVKNSKPLRWFWYSF VGMFFYEFLPAYIWPWLNAISIPCLAAMHTVGKKAAVLTNLFGGSINNEGLGLFSISL DWQYITSFNTALPLPLQAHAAFGYLVCYAAMLGIYYTNAWGAKSLPFMSTRLRSQDGG TYPTAEVFVGGVLDREAFQKYGIPRLTGSFAYSLFMANAASTILYSRYGNGIATNNLS KMLAGLILPERPIGNMYFACWSHNVIANCVNLSGDLKMGEYLKIPPRVMFLTQVYGTI LGGFINYAVMISIVGGNRELLANTDGNSSWSGANMQSYNTNATSWALAKYMFTSGATY FKVPIGLAIGFGIVILHRIFAHFVPKIRSFSVYDINMPQFIQYAGYIPYNASQTCVLL SQVLSGFYVQYWLRNYRPRIFRDYSYLITGAFDGAALFALFILSFAVFGAGGRSVPFP KWWGNNVDGYYDLCPVPEE MYCTH_2310262 MVEMRLSAVVCCSLAAGVASASRQGMALIPGSSSRGFGLLARQN PFCTTESTCAECFGEGYVVCDDIGCFNPDEYDQCCAGAVICVGKDNSCCKGWGGPGVT GKAGVPTGTTPATATPTDADADTGSYSSYRDDTGEECCQRAPNPPLHWCSGNFPNFRC YNPKNQFCCTNGKVCDEKDCCALFNESTTHPWSSARSSTAGPSSSSSSSSSPATGTVT QAPTSATAAATADSSSTSTTSTGAGSVSGPSYIALGLAALGLALSY MYCTH_2310263 MELTVAEASGLIAAGVFILQQLLSLIFPAALVGFVREENTAVTW SVLGRSLQSSPWPTILQNDTAARHGVRRRISNGLTLQTVTMLLISVAAIVTPLGLYQS IEPGDRELARFEFVKDESPFGYATHARLSGPFSRSCGSSRPCPGSWGNTTCTRQGLAE VCTSQFGRAIPEIWRSTFREGARQVNESVSSIFDIQWRNQINTSDPYGEWSRYMQSGY RQTGILVLDPTIQLVDGLIVDAKDGGIGFRNHTAPATAYEYGSTWSEDILFIEPEAQC VNLNLTFDFQLVDTTYSRRLEPRHLSLTDHGGFSALSRTSPDLSLPSHGNGQGPVDLR ERAYKAAWANNFLTLAHFNATDLDPNNITRLDVTPGMRLLADDSGIDVPKNNTNTTAG TETAFFVDFQSVRSNLQFGEYLDLGSTSAGKRPRVTVDQFDFVSELCGGVAGASPANI NSSLVGCGLVYGAAYRTDGGDELSPQPLSNWTVPVYSCAASVRATIRTVTFRHNGSGL AGLRVTAAQPKTYASPAEHPVWAVEAMPREYMIRDSQPLWGLLPESAPPALGNNLSTV RQPWLRLPGLVNSIDSPLANGLDYVANRPGQNLPGVDFYALALQNAFGVARPGSGLYS LYGDYSGLTSLAMYSKWRNLTSSEAGTAHLLRLLWTDIAANSVVGTKGWGLDDPAALG EGEGESGNRVRKRDGDDDWNGDGNGSQDVGAVVPVTVYRLRVRYQLPYMAPAIVVLVL AAGVLCTWLALTAMRRTGPAKMRWLLDATSPGRILGSFLWPEKAATLRGTNEWVKTLG TKMVVVGSKGPGGPVAVAAGGEDKPQEGNARPEGEETVQLMSKDRPAGTAAA MYCTH_2135569 MTRAPIRSSRARAERPQVKMPSQRPFFLSTFFAAFRQQTPASLS GASASTQQPNKHTTQASTSSQQTPSATPRAISTAAASTGTSQATSPQSRSGGVMNQLP LHSPRHQQHHHHHHSAGIPIPQSPGRRDNHGRRRGSDSSSEGFRDALGAEKLYIGGRT ATGEERFFKLGVVRRVRSGDRLSLDRLSL MYCTH_2069347 MQIITTFGFFVLWLASRWWLALVDKYVPEPYLDEVFHIPQAQKY CAGHFRDWDDKITTPPGLYLLSVAYHRFRLLSECTPFSLRSNNLLATILTAFLAAQCR HLIETRAAGRNGETSSVAMPFGSYYTGLNIALFPLIFFFSALYYTDVMSTLVVLVAYR NHLLRLQARRLGIVNDLWTVVLGVCALFMRQTNVFWVVVYMGGLEAVHVLRSVHSGSQ KDSTLHDPPLSQSGPQDWFLCVLTLAVAALSNPFRVVRQIWPHLTILALFAGFVAWNG GVVLGDKSNHIATIHLAQMLYIWPLFAFFSAPLLIPSVLSAATRPIQYLHTLFSLTSK RAAFISVSYTLVTVLLSLVVVRYNTIIHPFTLADNRHYMFYVFRYTILRSHALRLSLV AAYTVCRWLVWDQLAGAAGPAQNPPRPVKEAAAATAAAAPRTSTALLWLLTTALSLTT APLVEPRYFILPWVFYRLLVPAWRATDAPAPPGIGRAWPRGGLAGRLWLVAGSVDVRL PLETAWFVAINVGTMYVFLFRGFYWRGEHGELLDGGRVQRFMW MYCTH_2310269 MSFPTPSLRAPAHPQYKSPYGPKYFYQSHVAGITTKTLVRTGVK SGLYGGVALFAVIFFASGIPRIQQDILQKVPIIGKRFVREIHPADNPF MYCTH_2310270 MDKDLDGRFERLEKALGNMIDGLAKNNPSEKVAEELLAAENDLF DALKLLEKHQNNNARIQQLRQETARYDTQIKDIASSLWNMRKELKAVPVTANPPSGKK NQFTTAELLAYARRISRNTLPLPGVTNGVDMSTTAPPTQSADPEDSFRLQSQPSQTRT PNTSFNLSFSGAVGTPGGPTNTPTPNTANDTQPTTQPSPSQPPKTATEDRLPQHLKPA INPLHDAAFHPWPTEAQIRSGALAAIQRLVDAGIDPKGYDPEEEERKRKAEEQARREA EERARQEREAAERRAREERERMARERELARQREGEAAAAGGLERRDSVAVGRAKPKQF TFLGADDDDDDDEDD MYCTH_2096246 MRVKNSAPLGRLLAALLAGPQLVSAFYLPGVAPTSYKEGDPVPL YVNSIRPTAGPDAMLHSVVSYDYYHPLFQFCKPPDGPQSVGESLGSILFGDRIKTSPF ELKMGKNESCKALCKTTYQKTAAIFVNNQIRSGMSINWLVDGLPAGQKIVDVLTEEEV YNPGFLLGQQIGDSDQVQFNNHYDILVEYHQVAGTEDQFRVVGVIVQPESKRYTAPID EESCTTPMEPVILNESGDTEVQFTYGVYWIPSPTAWATRWDKYLHVFDPKVHWFSLIN SAVIVVSLVLMVMSILVRALKKDIARYNRLDQLSLDDLSGTAALMEDGVQEDSGWKLV HGDVFRTPSHPLLLSVFLGNGAQLFVMAGFTIVFALLGFLSPSNRGSLGTIMILLYTV LGFVGGYTSARMYKSLQGEKWKLCIVLTPVLVPGIVFATFFLLDLFLWAQNSSGAVPF TTMLVIILIWFIISVPLSVAGSWLGFRAPTIEPPVRTNQIPRQIPPVTSYLRPVPSCL LVGMLPFAAIFVELYFIMSSIWFSKIYYMFGFLFLCYVLMIMTCAAVTVLMVYFLLCA ENYNWQWRAFMAAGTTAGYMFLNAIIYWISKLSLGGFAGSVLYIGYSLLISFLFFILT GSIGFFASWLFVRKIYSSIKID MYCTH_2310272 MAAPATLPALLDSLTKSISSTLEVAPKIANIELPKDGISLLDVK NELLLSYLQNLVFLILLKLRQAKSGDTKSNTEGQSLDDLVVSKLVELRLYLEKGTRPL EDKLRFQIDKVLRAADDAERNAKQAEEASKANGNGSGSESESESDSEEGSDEESDEQE APAAAGFKMSDLQHRPNLSNFQRPAAAAKYSGKETDASGVYRPPKIAPVVMPTTERRE KGDRRPLKSATLDEFIADEMSTAPIAEPSIGTTIADRGRKIKTAAERRKEDERREFEE TNFVRLPKESKKERAKRLRQEGGSRRMNFGGEEWRDLSEGVDRISRLTKSKSSGGGTK ALLEKSRKRGIDTVDGPRGSGVSMGERFQKRLKVLEAGRGTRGKGRK MYCTH_2310273 MGSQSRAYNPLLHPILFLFQLFQWLTDKILSPNPPGPSTRLSRP KIAVIGAGITGVTSAAHCIGHGFDVTIFEAGGEDELGGVWSRVNNTSSLQIHSVMYRF HPSVRWARGYPDCQQILAQVRDLWTRYGLEGRTRFRTKVDRVYRDDRARWVVNNASLG RFDGVVAAVGTCGEPKIPRMPGMDKFRGEIHHSSRLPGACSTRDKTVIIIGGGASAVE ALEFAHEEGADKIYILARSDKWIIPRNPLWNTLLAMNVFGQPQTFLSWIPELLLRKMF YRDLEDLAPAPANKGGIFTDTPMVNSDIMDKLRSGRAEWIRCDVEGFTETGVRINRGA KGVPRGGPGRQDVVEGDVVVMATGFARPKLDFLPDSCFEKPYEPPNWYLQTFPPNHPS ISCINCTYMNAIGTVGNWHIGIYTRILLMFLIDPLTRPSPFWMKRWIDMTRLFKKTSP VGPSEFFTYLELVWWFCFCVALNPFRWKWALFVFFGLGFMLPKHVVAAEDRIRNGLGM REQDTTGQDVGLSL MYCTH_2310276 MLSYLATLCLILFGALAAAVTNTGTGTGTPGLARREVSPDNTCG GETGYTCPGELACCSQHGYCGSTDEFCLSTAGCQADFSNSTDACHEPIPGESFSIDGT CGTEGAGTEGYRCPANATITSCCSAA MYCTH_2112769 MMSTVLSNIPLKNSLVWSSPEKGSRACDDNTACQSILHEQDNFP LPSLSADSETRTLMAKEGTYNCLHLAAPRWYYDPRLYQIRYWNESTSRREESSELNRP TPSVWRPHPPPPEQDVQRGEPEARQQQARHDGGEGGAEQGRQQPDAGVDGARAVDHLE TLRDGDDCGHEGEARNTSHRVSETSFLFIVPKDNHERTSVRDLTHPASCRRE MYCTH_2310277 MESLPPSMPPPTTAGSVHRDGPQMMEMDVDGDLVLRVGSELGKA QDFRVCSATMRRASPIWKIMLFGPWKEAKPTEGNWRVDLPDDNPWPMGILLAIIHSKF GLVQRVESLGEVHEILLVADKYDMTALLQPWAACWIHLRLPTPPGTGSRVTGRELMLR IHAAWELGDEGMLSSVITHLVFSVSISNDSDSETQVSYKGETIRPEQNYGPPDLLELI QKRRLAVIQKLLDFYHEQVNRRTTDTPDRTFSGSWDPAGTQRDCQVLGSTSRSQRQRL EELGPLPAQATAVTQSANAIMQALSDVFARGRSLHRDRKSRDPSLAYQDLLATIEELK MERDVLEPHHKERLAAQRMKMGLGTGAS MYCTH_2310279 MSGTQNKNPGNFANRPEEEVREIASKGGQSSHSGGFASMDPDKQ REIASKGGQASSGSFEPGSERAREAGRKGGSRTAADTD MYCTH_40175 VIKDSWQYLERDKEGELLRKAASKGIVHVARYYHETVRVRNIED DVCNNVRKGPDITKAIDFWQSRSLVSLSTTITLLSALADCISGHESLRQRAGLLHRDI SIGNLLIGEDNRGFLIDLDLAVKEQRLGASGSKGKTGTRAFMAVGALLCERHSFTHDL ESFFWVLFWICIHCDGPGRGRVVDRLDRWNYADIEELAKLKLGTVSDEDIFRITMEES CYSSVATVSIALEGCKQVRHHSGIRAVGGTPRPTITIYRSR MYCTH_2068637 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_2068961 MSAPWEEIPFVGPVLGSLVDVTQHQAIQLGEQVGTAASVVADAI IGKERKERAVTAVPAWLVDKFADKDRIVQAASETVRDAADDSLVQLSTQVLQRWRPIQ DGAADAIERAQDDEVESVLRCLWGLVTSISEKVSPGKLLKALPELPRLVLETALGTVG RLGVLRGGILSNEINVATLGLVWNHFDELVKFFVDLIECVKCYAPASSLDGKAAQGDP HGPYNELDLLQPMNRHAVACQLQRLVKSVVFILKRAAAPVKFEQIDMTKETILPSDDC GLGTLIVVRPSGWQCVTREKWLFVNGIATELFWLHLACEKLAKRYSREVTGVFNRGDG ILWDLIECAGERDARGVGSAGSQKAATNRTKSSRMAQEKLEEQLRAALRQAGEGRAYD HVVVIAHSQGCLLLRPALEELILSAGKDSRGADDIRRTMLDRLCVFTFGSPSVDWRLG RDEDGAFPAPLRGSKQEKRGTDLGFLSSHVLCTEHFANAADFVAKLGVLSEHKDEHDS GYESDSVFVNREKDWVGHLFGTQYSLESRHYGAEKGPEKEIGRVAQMAGFSSVLLNCQ GGISIRDAMRNANAGQQPDGMV MYCTH_2310287 MGWHWSIIGMGASAFITCTDGKTVLKGQDVWENGRHCASFECPS EDAIVREAAIYKLLGDHPQILRCSGLSEIHPGVRALRLELAPFGDVRHYLEQHPDDPP PLKTRLNMVLDVAQGLAHVHSRGVWHFDFSTKNLFLFPDFHVKIGDFGGSVCEALGYN GGTLEDQDYELPCRGRDFMERPRDKRELFALGSSMYEIVAWARVFPGLSEEEVDERLK NEDFPPVDTLAIGHIIDGCWKEHYSTANDVLQDLRSRVLLVNGDGVDNTVKGNGQALS ARYGGP MYCTH_2069178 ICLEPFRDQSLVRALPCHHLFHAECIARWFRKHHDTCPICMARD DSVSGSGSGGSALPEPPPPAFLAF MYCTH_2310289 MAQNERAFQSSLPPQNDSDISIDIAAAGSQPDFESRPGGIRPDP GEEELFNIEDNPFAFSPGHLSKLIEPKSVAVLQQLGWLQGLVKGLRTDIRAGLHIGSE GEGDGDGADRRRVFGENRLPERKSKSFLELAWVALQDRMLILLCVAAVVSLALGLYRT FHGHSGAEGARVEWIEGVAIVVAVLVVVLVSALNDWQKEWQFRKLNQKKEDRSVALVR SGRTAKVSVHDVLVGDVMVLEQGDVIPVDGVLIDGHSVGCDESSATGESGVVRKMPAE AVSQAFRRAHDADARTLAKMDPFLLSGSRVLDGVGTFLVTAVGRHSVHGRTRMALRTD PGMTPLQARLNVLAGYIAKLGSGAGLLLFTVLFIEFLAKLPGNPASGDEKGQTFLRIL MTAVTIIVVAVPEGLPLAVTLSLAFATKKMTRENNLVRHLQSCETMGNATVICSDKTG TLTENVMTVVAGSLGTGEGVVTFGDGRIASSEGSDSGYGPLENRRKATETQDGGVETP GWPAGDATEQPQRPQQKKQHEQQQQQQQQQEQQKTQKHRQQQEFLAATSPEFRELVKE SIAINTTAFEGQDERGKLVFVGTKTETALLDWAKRDLELGPLSAERGKHPLVHLFPFS SRRKCMGSVIQRPPGYGDDGGGGDNGENDDSGSGGRGYRPHRNRYRLLVKGAPEVVLE RCSAGVADPGGAGPLPRSPLREPDKARIAETASRYASRSLRTLTLAFRDMDEWPPPRT GNGAGIGRRGNHDDGVDGDDNGGRDEDWAVELDDVFRDMTWIALVAIQDPVREGVPAA VLDCRRASVAVKMVTGDKIETARAIALECGILAQEDEGGNGHGQEHAVMEGAEFRRLG EEQRRGIVRELRVLARSSPEDKRVLVESLRSLGEVVAVTGDGTNDAPALKAADVGFSM GIMGTEVAKEASDVILMDDNFSSIVKALAWGRAINDAVKKFLQFQITVNITAVVLTFV SAVASDQERSVLNAIQLLWVNLIMDTFAALALASDPPTGSLLDRAPEPRLAPLINLTM WKMILGQCVYQLAVTLTLHFAGPSLLPSSYSEPQQHTLVFNVFVFMQIFKLVNSRRID NRLNILEGLHRNPLFVFMMAVMVAGQVLIIFFGGDAFVVTRLTGPQWAISLVLGFFSI PIGVLIRLLPDRWIRAGVRWAARRLPSWRRRKNAEDEERGRVVGAAADTDALWDAYAV EDAEAGGVGRDFGSTMFSVRDDLAFLKRVRGGRMAALADAFAVDRTKKTEGERKLRQR KRSGSAMRSAVGAPGLLAASIGAMSPGNRPRSEARQNSQWGLERRLP MYCTH_2112775 MLHIRGGEGATLDEGQRLVLTAVTRLASTLSALGVLTILLTFCF TKHFRNPMHRLIVINAFYNALDVTCTMISTSGPRAGDTSALCQFQGFLNQIYDIESLR RLEWKYVASITTVTFIPAFVMLFIRTPDKGPMYGGVTLWCAIAPKWVLFRIIIYYVPI WSSIIITIALYLLVGLEIVKRRRVLESITSRMHRATATVTAAGADDDGDIPPVTAVSY PDSSRNSTTADYGGPNGAATTTTTTTIISSSGGGGKSYAASTSTRIIPSNPSSLSFRQ YILMPLFFFLALLLVWVAPSTNRVASFAKPGFSSYPLLLVVGFTGSLRGFWNSIVFVV VGMRSWKRRRRREEPDEMALRPVTRG MYCTH_2310298 MAQRNAPKKAVHFGAGNIGRGFVACFLHNSGYEVVFSEVNDATV SKLNSQKSYRVIEVGADGTSESIITNYRAINSRTHEAELVREIATADLVTCSVGPHIL KFIAPVIAKGIDARSPELTPVAVIACENAIGATDTLAGFIKSPENTNPDRLADYDKRA RFANSAIDRIVPAQDPNAGLDVRLEKFYEWVVDSTPFADHAPPDIKGVRWVNNLQPFI ERKLYTVNTGHATAAYHGYIRRKSTVYDALQDREIQEEVKKALQNTADLITQKHGISH EEQQAYVEKIVRRIGNPHLEDAVERVGRAPLRKLSRKERFIGPAAELAEHGKDYAALL DAAEMAFRFQNVEGDDESFQLAEIMEKNSPEDVVKQVCGLQPNEKLFPAVVEVVKRVQ ADTQSD MYCTH_2310300 MRLDVKRQLFARSERVKGIDFHPTEPWILTTLYSGHVYIWSYET QQIVKTFELTDVPVRAGRFIARKNWIVCGSDDFQIRVYNYNTSEKIASFEAHPDYIRA IAVHPTQPFVLTASDDMTIKLWDWEKGWKNVRVFEGNSHYVMSLAINPKDTNTFASAC LDRTVKIWSLGSSTPNFQLEAHETKGVNHVDYYPHSDKPYLLTTSDDRTVKVWDYTTK SLIATLEGHTNNVSFACYHPELPIIISGSEDGTIRIWNANTYRFEQSLNYGLERAWCV AYQKGKQGIAVGFDDGSVVIKLGREEPAVSMDGSGKIIWARHNEVLSAVIKGGDAIKD NEPITLSTKELGNAEVYPQTLIHSPNGRFAAICGDGEYIIYTALAWRNKAFGQALDFV WASKDNSNDYAIRESATSIKVFKNFQEKKGGLDVPFAADGLTGGVLLGVKGQGGISFY DWATGGLVRRIEVEPKQVYWSDSGELVALACEDTTYVLRFSRDAYNEALQAGQVDDDG VEAAFDVVTDISESIRSAEWLGDVLIYTNGTNRLNYLVGDQTYTVAHFDKPMYILGYL QRDSRVYLTDKDLSVTSFALSLPVLEYQTLVLREDMETAAELLPSIPHDQLNKIARFL EGQGHKELALEVATDPEHKFDLALSLNQLDIALDLARQADSDHKWKTLGDAGLAAWDV PLAAECFVKAKDLGSLLLIYTSTCDREGLAKLAEQASETGAHNVAFSCKWSLGDVPGC IDVLVKTGRLAEAVLFSQTYQPSLTAGLVAQWKESLEKNKKGRVAKALGVPGEDEELF PEWDEWLRLEKEGPAVAEETNGAGENGEEGEQASEEGVE MYCTH_2310303 MADAPVERVLSVGGHDIGYPHGHLGHLTEDEANRLQQFKNYLEE KGLYKPGPPPSHDDQTLLRFLRARRWSVNDAYGQFKDTEEWRRANQLEVLYDTIDVDA YEQTRNLYPQWTGRRDRRGIPLYLFQIRHLDSKTVSSYEKEAEAANVSKAQTDGSTPQ RLLRLFALYENLTRFAQPLCTEMTDRPHPSTPITLSTNIVDVSQVSLRMFWNLKAHMQ AASTLATAHYPETLDRIFIIGAPYFFSTVWGWIKRWFDPITVSKIFILNPNEVKSTLE EFIEPRNIPKQYGGELDFDFFDRPNVDPHIKEALTWENGYTDFPEGPAYWVPVDGGRK LACLAVGSKNGKERRETVCTIPVASFKGDAAAKDASAAAAGAVNGA MYCTH_2310306 MAKSKKLAKGTPDSPSTTTTTTVPQSIVPTPTWLNDGHPLPRLI VFDLDYTLWPFYSDIHISPPIRQVPGSDPPALVDRNGELFSLFPDAFPILRLLSSPAL PDTRLAVASKSPVRDLCREVLKLLRLPPPPPSSSGADRGAGGGGSAAGSGPKRVIDAF DAGLEIYEGTKLRHFQALADRTGIPYNQMLFFDDERPNLEVERLGVTMRLIRDGLTWE ELGNGIMQWRGNQGFV MYCTH_2070469 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_2310316 MASEQPSNPIKKIRLVRIAHVWYEHADIEKVKQFALDFGFVETA QIGKTTFFRGYGTEPFALALVASDKNEFGGAAFVVESEEDLVHASKTLPKECRATEVH EMKDVPGGGKRVTFYDPVDGFPFHLVYGQTPVALEDPGFPALKFNYPIEKNRGPNQFQ RFKKRPAPVHKLGHFGMCVTDFAKCYEFYNTYFNFHPSELVYNEQGVNKTVFFRLDRG DELVDHHCFFFFEGPKMHVHHSSFETHDFDAQVLGHDWLRSQGYKNCWGVGRHVMGSQ IFDYWFDPAGFIMEHYVDGDLLDMREPTHYTKAAPDNLHVWG MYCTH_2129884 MSWLERSDYFYGDLWPENILLSETEQVKVCDFGRARTRVAGLAY EQFDIGSCIYTIPTGEVPYGQQETPEQFREMYDARVRGKFPPTEDDGVLGHVVSSCWH APYDRMEDMWKQLSNGPSACRLVEISPRHSLTWLC MYCTH_2310317 MGTAAADLLASLRGAGLLSSSVIPSDFTPSLLLNVRFPGTDADT CHGTLMRVSQVQSAPVLSVAPLNSALATTTTTTTTTTTKTFTLLMIDPDAPTPDDPKF AYWRHWVVANISLPATAETKDETGSGSGSGSGKGAQLQLDECTSGARVLTPYLAPGPK DESRPHRYLFLLFAEPDGFRPEKGDVGGDEFVQRRSFPADEFVRRHGLRLVAAQWMLG AGDGWREE MYCTH_2310320 MISAAPAIAQEAMSASIVSVSGCPAVVSTTDVCSTCVAAACVVP ATITAGCGDCPESPPTVYRSFPCEEGCNNIGCRTVYRVVTATGSVCPAAPTPTPSQDP DNPADGDDPTEPATSATTASTAGAARLMPFRLW MYCTH_2310321 MNHLSFLAALGGFSVMTAAFGTINAPAVLGQHNEHEMITRLAFQ CPGDQKSDGICFEPRSLDQLAGYHVNVMGIALTGSGTNGAVGAPDTFDPFPEGPEAHC DDADYLDIPGYPQSRAEASAKLQACVDHLRHRFRQAVGAADRLLDDRHRIRREMVQLS LPYGDCTFAFPEWQHDDYGRAKCSTLEGFGRALHGIQDFYAHSNWADSADPTQPISIS NPPGLGMTGTAPFLDLRADGAIPEDQIPLNLTTGCFNLPDITFGSGACKGRVTHHALS KDRGIINLDGTFGATEADPRSEAVSSNFQRAVQAAVQHSRELWADLRDEIRRQYGNVT GDLMICSLIRDDPVKDCRNRTMVVAVDNSLTSESNGGILLEESVAQEITSRLNTEGLD SVAVVDSQEPAVLRYPMGPPAYVSFSFPRPSGDLCVASGLELGIAETIGAQPETYADR GAIILLATTAESPECSDCTMTQLRRAAEEGIRVHYACIDMHGSAAAGGAINNTPKHAG WVRCSPGDSLVGPVLKTGGIAVFIGPAARVPAHFANLVMDRGLTATDEDENTPESTRV YPGITLADFLNPDQPAKSFTYPVSTGESLNLTVSSVSRDGRGAEACFGVTLWYKYPDT EISTHTRCGDSSPLLLRYHANESFDLVLEAEYGDTAPRNELLHREEILFLLSVDTTMP DKNETTVGRTTSILSTPGRNGSSPTSETVEVLSGTATVDDSTSTWTESSRKTATDDAS LSCRRPPSGRYYYSPMCSVPTIPFMSMEGNLSAGVEGSARQDDIGNDCIRDIEYV MYCTH_2310322 MHPAGLLLVSLLATGSSAHGVVTLIKGANGVDMPGLSVVDGTPR DCSTNRCGSQADTSIIRDREISSGRVGPLGRTQGSGPVNASTMIAAFMGTGATPPNIT DPEAASVGVEDDLGQLDNDDDGGSGGRGGGGRGFRNAARQLLKGLLGGGGGGGGRNAG QAPAAKTEGNVAALAGQGATSGLPTCSDDGIITMVFHQINQDGAGPLEAAVDGTSGGT DPAAFQQATVTQDVPGIGVGGLSLATSRDFPLQVQMPAGMTCDATVAGVDNVCVVRVR NSALAGPFGGSAAFTMSPAARKRALAYRMKQKRAREFRA MYCTH_2310324 MVGKLKPHTAEDDVSFSRNGGDPDTATEAATGSDSDDFRIIDPH SGVKRGLKTRHLSMMALAGIIGPGLLIGSGGALSAGGPASLLIGFGVIGIIAFSIMQS LGELTTLYPTGGAFTALSDRFVDKAFGVAVGWNYYIIWFCVLANEYNVISSVLVFWSD RVPIWGYFLIFWFAFLAFQLLGVETFGEAEFWLALIKIIGLIAYFLFSIVYASGGVKG ADAVGFRYWHEPGAFTDGFRGVASVFVFCSTFYAGVESVAVAATETRNPGHAVPLAIR QVFIRIAVVYMGSAFFFGLTCPADAPDLVGGTSRALKSPMTVAIQKAGWEGGVHLINA FIFITCLSAVNSSIYIGSRTLLYMAQDGKAPRILGWTDKRGVPIPAIVFTNLCGALSM MNVSTGASKAYGYIVNLSGVSTFLVWGAISFTHIRFRSAWKAQGHAPAELPFRSLWYP YNAYFGLAANVFLALIQGWSTFAPFDGGLFVDAYILLPLFGLIFVVYKLVFRTHWWKA HEVDLQSGRRRDLDEAKRQGSAPGRAAGSKWWTTIFKSV MYCTH_2129891 MKPSFDIHEKERQPHPAERRRRRRLTPRVRVIVVFLAAVYALYC YFISKPLLAHPLPQHTGPYAVGDITIPAAVDAPLTDQAIPTSQDGGEGASERERGSPP GGEDGRPGPDPEGGFPVIIFSHGTVSSRTDYSHFAGELAARGHVVVMMEHREGSCLGK PGQGGGSDKGFVEDLRQGGRADWRRYYWKIHVLPAGSVGEKQ MYCTH_39035 MLSKVLGFVSLAAAAAAAPLQSRAARTSPPEGCLTVGSSGTYKT VQSAVDALSRSATSEQCIFIYGGTYQEQVTIPALRSALVVYGETEDTSRFSANKVTIT QSRSQDDSADNDATATLRAHTANLRVYNVNLVNTRGKGSQALALSAQADRQGYYACQF RGYQDTVLANEGAQVYARSYVEGATDFIFGQRARAWFDAADIRVLAASKGYITANGRD SADNPSYYVIHNSAVSARSGDSVPAGAYYLGRPWRNYARVVFQKTSLSGVVNAAGWSV WNTGDERTDEVEFGEYGNTGAGAGASGSRAAFAKQLSAPVSIETVLGSDYASWVDTSY LS MYCTH_90182 MHFTSYLSVLSVLGTSGGVLAWAPPSYDGYRLVWSDAFPGAPGT LPNENNWNIITGNLGVNNELEVYQRDPRNLQMSGGNTLQIVPWLDGSTWSSGRIESKY TFTPQPGGRTMAEAQIRFGSNDISTKQGLWPAFWLLGDSIRHGTGWPACGELDILETI NGQLTGYGTAHCTACNEPVGRGGSVPIPNQDFQRWRIVWDLTNGDWRAQTITWFMNDQ QFHQLSGATIGDQGVWSTLTNKPLFFILNMAVGGNWPGYPNDRTQGGYGAMMEVGYVA HYST MYCTH_2310333 MADTVETPPDENRGPEILAVCGSLVGFSLVVVILRLYVRAKMVR RVGADDWTIVAAMTVMFVEMMVIIPEVQLGAGRHVQYIDPPENVTKGLHLNFVTQPLC LVALCLTKVSVGFFLLRLTPASRLNWFIIGTMVFTVLSSIGNFLTVLFQCRPLALTWD SSVEGTCIPPSQLKFAAFFNSSVAALTDVVFALLPIPLLWNVQMNWKVKSAVTAILSL GIFAAASAIVKITFLGSYGQHNDFLWDSADITIW MYCTH_60685 MKPAALLGAALAAVAFPAGAHARCSSDAPPPAPVGDDLTEPKEL TDLFEKAKKAVIDRLHEDEKALRARGEAPRCTADKLIFRREYGSLSKDERLAYVNAVK CLQSKPPRTPASVAPGARSRFDDFVVVHIQQTLDIHYSGIFQAWHRWFVYQYEKALRD ECGYTGYQPYWDWPKYASAPQDSPLFNGDPYSLGGNGEYVPHDGPVIVPPEGVSGGNI SLPAGVGGGFVRTGPFANMTVNLGPVGGLADTAPGPQGGLGYNPRGLKRDLGGAMNTR YANYTTVLRLLTQPDVDAFRTVSEGVPYTVEIGPHGGIHYTIGGDPGGDLFTSPGDPA FWVHHAQMDRVWATWQALGLLPPADGGDPDPARRYTDLGKGDYAHRTWQNSPPSPFAE LSDVIDMGYAAPSTTIGAVMSTTEGELCYFYL MYCTH_2310338 MDQFSLSAAPPPIPRRSSERERVRSGNQSPDRRPRDSSSCYPAK AAKPRRDRDYDDVFADWTSRSRDRHADRHHRDQEDDQVGPLPPASPEVISSLITSLST ISRPVSNHFDGPSYLSPIGVGSPVSLSPVSSPAGGSFGVDYGAYSKPSLKELEEEDVP LEDLPASAPVVRTSKPPSGLSPVTGPRSPKSPSARDSSGFRGILSRRSSGALSRPSSK GSITSGAESIGKLSIERSQEPLSPAAEGHGLKNQQSHDSWGKKGGRNQRGLMYMTSKE RLREKEADKKRASIGTGGGNGKRLSAASGPTTPRLDPLSADSVIDEEPAGDFSLGLDG PIDAFADPRLIPARDSSLGRKKRSSARASRTSKRDSESGGYGAIPELEEQPNGNSGWQ GDSTNRRSSKNREGLDPLSLTISLPPDPASPNNRRVSATSPSTPAAGMFPDIDLDDGA PSPSVAQGRRRDREASAEYRRRSGRHTPDPFGGYASEGGGTTDKKKRSSSKLRRLSGA PSPTPDSAEQGTATGNNRHSDQPHVAYERPRSADSIDEAVEKYLCSPRLSQKIRHPQS GRIVSFSEVGDPNGSAVFCCVGMGLTRYITAFYDELALTLKLRLITPDRPGVGDSEPY AEGTATPLSWPDDVYAICQALKITKFSILAHSAGAIYALATALRMPQHIRGRIHLLAP WIPPSQMNVVGASDKTPLPPTNAIPTSQRILRALPTPILKAANSSFMTATSSSITSSL PKQKRVKRDKRGNGTGTRDNKDQPKGMFHAALENKENLNPDNLNSKYSTIPAAADEDA NGGAPEGSNAAASAPQTHGIGHRHFRPSVSRQGSNPRRESEDPILPASAQMEDRERQE LYDNRLTHAIWQLATTGANPAVDLLVCLERRHTIGFRYVDITRPVVIHHGSRDTRVPV ENVRWLGKTMRRCEVRVLEGEGHGLMASAQVMGSVLMEISREWEEWSRVTGATRREHE RARRNTVGQGK MYCTH_2310340 MAAITSNDFVSWDDFVRIRNSQDEQLGREFAALRQRLDGVEQTV AAEFGMFRDFLIEVQLDSRRTAARLQNYMLKHSYLPISPVPAYDPLHGIINPDPALFP GSVGAFYKLLHPSNDDEIQMLSYLISFYDIQLFTPQDEDEARPEGSDFERAVERLEVI LGLNGDNFSKLKEPLAEGHGAEGRRPRLEPRPNIPVVHKSRLSEEFSNNTRLEWRART RSTPSSQRPTIYNLWKAMEAEKRAEQEKLAEQEKLAEQEKLAEQEKLAEQEKLAEQEP LGSDATGSTRPFTNPRKP MYCTH_2310341 MSALRSWFRGSSATPSSKPSSKATTPGQQSPTGSTSSLNGKTKP RVSSERQETSDLEDAMAAAGLIMNDDIDGAEALLRLREDSSTFHKLGMGVSTFIRSIL GFEKDVMAEAARRLNETENRAWDDTKKAQKEADGSVSRIYPPGSEFALVNAEAQLMNA VVGVMHESLTEAIKGFYKLRKAYLTLESIVEAEARYLRSIGQDGVTSSSPSTQDSSSD DTVPGLPDGPVCDELEGGTPTAKDRGTGDHGSSGAVEVSQTPEAQDAASQDMTLLDEK LKKLAADERSRSSPLLLPVSQDPKGDGKLTPGSIALDQLNTAGADKSLFKSSIEIFVH SGANMCFGILLLILSMVPPAFSRLLSIIGFKGDRDRGLKMLWQSTKFPNINGAMAALV LLNYYNTFLGMADILPPEYDVDDSSASSSDSGGSNGASSNSGTSSTSSTRSNSSESEN DFEAVGYPRERCAALLAAMRERYPESRLWRLEEARMLANGRQLDEAIERLSANMDSKM RQITALNNFELSMSSMYVLDWPAMRDNFCRCVELNTWSHALYYYIAGCAEIEMYRDAF HKVAALPAGGDAAAERSVLLTEAQKHKKAAEEYLRKAPTVAGKKRFMARQLPFEVFVS RKLLKWEERAKAAGVDLADAVAVSPAMEMIYLWNGSKRMGTKHLERARTLLAWERCTA PADKVAKFREEKDEDAIALLAECALLRHLGKGAEAKALVEPLLTMDKSLFKGHTRDDY CLAAAHYEVAAVAWMDVCDRESWPKGTVDEIQAFRRQKADECRQYLDKVSKWEGFVLD ARFGLRVKAGMETVAWLRAKKGWE MYCTH_109876 MASSQSTTKKDDKVADAKTEQQPVAEQKPAALEEDDEFEDFPVD DWAAEDTEAASGAGARQHLWEESWDDDDTTDDFSAQLKEELKKVEAAKKR MYCTH_2316240 MSLANKLSITDVDLKGKRVLIRVDFNVPLDADKKVTNTQRIVGA VPTIKYAIDNGAKAVILMSHLGRPDGKVNPKYSLKPVVPELEKLLGKSVIMAPDCVGP EVEEIVNKADNGQVVLLENLRFHIEEEGKGTDAEGNKIKADKAKVEEFRKGLTKLGDI YINDAFGTAHRAHSSMVGVDLPQKAAGFLMKKELDYFAQALEAPKRPFLAILGGAKVS DKIQLIDNLLDKVNTLIICGGMAFTFKKVLDNMSIGTSLFDEAGAKTVGDLKQKAEKN GVKLVLPVDYITADKFDKDANTGKATDAEGIPDGWMGLDCGEESVKLYKAAIDEAQTI LWNGPAGVFEFDKFASGTKATLDAAVAAAQSGKIVIIGGGDTATVAAKYGVEDKLSHV STGGGASLELLEGKALPGVVALSERQ MYCTH_2140529 MSWELNRRRWLRTINSRFIYGRIPLLHAIVFFIEMALFARLTSR FNAYYDERPILTMMVTNSILGGIADTVAQTITSIRERAVRKHPKGRLDPREDALAIEI HELDRKNPFSNRDLIPDSKSLPPPFDFERLTRFMAYGFCMAPIQFRWFKFLERSFPIT KSAAFLPAIKRVAFDQLIFAPFGIAAFFTVMTIAEGGGKREVFHKMRDMYVPTLKANY VLWPAVQVINFRLMPVQFQLPFVSTVGIAWTAYLSLTNAAENVQEHRIPDRADIRLP MYCTH_2112795 MAFTGHDRTILGRLTTVFTPPAPCTYAVGRCSTCDVAWWGQTCA QSSVQDDTNCWPTTTDGAPEPSQAALYGWGFYSPGLECPEGHTTACTAIAGESSQWKV QFLMEAEETFAGCCPTGFHCDNLNGQTCRKVATSTAFPTVSCQDGASNNFGFITVPNA KVGSVNLYAPMIQIAWKSSDRPETSSSSSTTTAGSGTAPTTSNPNPGITPSANTTGDS SSTLSTGAVAGIAVGAAALALLIFASAFFIFRRRRRHMNQTAGVTHPHQGGRVEHYDA SAGTVVAELGQGHELVEMFVENRRPADGRGHGPVPVHGGVLPAPGYYNFPAGSGPNPV EMPTERN MYCTH_2120747 MPGILLRNRLRSLESAAEEEGDFLLALQHQRAAESFRLQLWAQR GSIEALVRHRFNLQRNDTCTIIPHELWIQGGFNLCVLVDIESRGLSRRLVFRCPLPHK LAEKQYPGTIDEKIACEVAAYAWIQEHCPEIRIPHLYAFGFLNGSQFTHVNQRPWHVR IYHTFRRWINRLFNYPLLSAYTHDASASAIGSAYMLLEYIGPETGQMLSATWAQHMND HNRRSRLFQGMARIMLSLARLPQSHIGSLRFNPSDGTVTLTNRPLTCTTMIFENSGTP RTIQPGQLYQSTDSFVSDMLTLHDNYFFHYAHAVRDEDDARERITIRTLLRAVTHHFI LPERRNGPFLLQLTDFHQSNIIVDDDWNVTCMIDLEWICALPVEMLSVPYWLTDCGID GIIDDHYTPFDEARQAFLAAMDAEAKNIRPGHDIPITRTMQNSWTSKAAWFWACIRSL NAWLFVFEDHILPKYSANKDLISEVKQISTLWKEDIETVIRVKVEEEKGYQAELQSLF ANCDGLPKPVGNDVTGATMLA MYCTH_2120748 MSSTDEKRVDDEANALEAATAPEEQTKPVSKLTRWYRSPLFNVI IVGLISFTQPGIWNALNNTGAGGQQEPYLVNGSNALTFGIGVKKVLIIGTLGYAPYSA SLYVNNRYGTEWFVLFGGVTCGIAASALWASEGAIALGYGKVKDRGKFAGIWLGLREL GQLIGASIQLSMNVRSSSRGKVGYTTYLVLIALQCLGLPLALLASPPEKVIHSDGTRG RVVAKNTTIKEEVRKTWNLLKRKQMFLLVPILIGFQWNSTYLGIYMTKYFSVRARTLG SLVSGVSATFANIFWGWFYDLKRFSRPTLAKICWFFFVLGMLGTFGWQVSNEKLYGDS NPRVTLDWENPGFGRGFASMVILRFLNESHYMFVYWIVGAFFDDIETLTLAVGLVRTF ESVGSSIAFGIGASSVSPMVNLIIAFAMFGFTIPATSLVVFLVPERPVDLRKEESEAS SVGESENVAPNEIAAKAVESSGSSNT MYCTH_2140530 MAVCFTCRTALRRQIEGLRKLRQRRLHSTNKDSARTSRVERPQE QIPTPNNVPTLNFWQRLGPLTRAAQAYARAQRKRPYVTQVCTSLFIYLCSDISAQSMG GRDYDPTRTLRALLIGSISSIPSYHWFVWLSESFNYRSRLLSLATKVVVNQVCFTPVF NTYFFGMQALLSGATLAETWERITKTVPVSCLNSCKLWPAVTAFSFAFLPLEYRPVFG GVIAVGWQTYLSYLNRLAEGSIAARGEALEEPEMAKPLSAGLARLSSPKMAGPYLGAR RSLAAALRPFSRSGSQLRFNNNNHVPRRPASTSSSSSASRAPSTARNVLVAGLLATVG GVAYYYATDTRASIHQWLVPRLLRVIFPDAEDAHHAGTAALKTLYSLGLHPRERPSAL EDGTDGAGAGGGNPLAVTVFGTTLANPIGISAGLDKDAEIPDPLFALGAGVVEVGGCT PLPQEGNPRPRVFRIPAVDGLVNRYGLNSRGADAMAARLRERLRTLARTLSGGGGGGG LTEQEVLDGALGEDVPVGSLRPGRLLCVQIAKNKKTDERDLDAVIRDHVYCVQRLAPY ADVLVVNVSSPNTPGLRDLQAAEPLARLLGAVVDEAKKTPRKVKPRVMVKVSPDEDDD TQMEGVVRAVWTSGVDGVIVGNTTKRRTGLVKEGVRLTAKEQKALLEEGGFSGPAMFE RTLSLVGRYRKMLDRYSLGTPGVDDAFPPQKVIFATGGITTGEQALKVLNAGASVAMV YTGLVYGGSGTITRIKKEMKEKLAIKES MYCTH_2112799 MKTLAALVVSAALVAAHGYVDHATIGGKDYQFYQPYQDPYMGDN KPDRVSRSIPGNGPVEDVNSIDLQCHAGAEPAKLHAPAAAGSTVTLYWTLWPDSHVGP VITYMARCPDTGCQDWSPGTKPVWFKIKEGGREGTSNVWAATPLMTAPSAYTYTIPSC LKSGYYLVRHEIIALHSAWQYPGAQFYPGCHQLQVTGGGSTVPSTNLVSFPGAYKGSD PGITYDAYKAQPYTIPGPAVFTC MYCTH_2310362 MFGISYGITKLQPGDFITCYNPGRCGLAIVAEGGKVFWFVQERL PRTYRLHEIPRYTDDDARDFIARHSDLIFLKGPDGLTLADFWEKTSSFRLVPIEEAKF KLWHWGRIVCVGDSIHKSTPNLGVGANAAIESAAALANGIKRLADQWRATDGSLPNIR DIEAMLAEYHRARVVRADAGVDSSGFLARSHNMHGLGRRLFVRFVMPHTTEFVPELMG NAMIGAVKLDYLPLPMASLTGTKPFNPSQGDGKQESKLKRALFASPLLALAFVAAWVM DPNSAGPWAAALRDSGVFELPSGDFVPILRSFYRLPAFDDFVALVNTFFFPSVYGTDP VSRRQVLSFLTDGTVLLTIWIFESARRANLLTPIQWPHMYATLGQLLGIGVVSPAYCF LHYVLSPIEKFSALDQRLTNTRTSFAALPVVLLTYLLPFYSMLAWPDLLTRQVLLYLW QLYPLWAAAALFAGSRLFLRDTMATDKLSRPLRDLPVMRLYVGAAAALSAVVWWHTRL GAGGLVHVFVPAGLPRSEHNLTRFTAEFLKWDEVFGFGSHLLWLAYLFWDLRSAGMLR EGWLRVVGLGLLSLVLFGPGATLGLGWLFREHILATRRHKNALTPESVGRLHGSAF MYCTH_2112801 MPQRQFDMIHDHIDTIDFHLRMRQPLQYTNFMAINHPSPVPHTQ AERAALLAATAFRFKKSVDDGTVEFPSIMDTPVCRDPLRWLFNTYRRPGVGMDEMRKG AGDYCVVFRRGRLFVPLQEKKEERGTTPASLDALRAAMEAILDHVQDEGTWAGILTSN NQDSWARIRNELLAASPANAEYFQTIEDAAFAVHLDDSSPVGYAELAKQCKLGDGFNR WHDKPLQFVVTANGNSGVIVEHSYLDGTTPEPLFDRAAEKLIQSA MYCTH_2310363 MGQKQSVAQSTFFCPTTGTEIDDPLGLCARCQANHDIIWQSVFV CPNTGYRIEQPPSRPDRECPSCFQMHQPYSKRVYICPVTGATIPHPRDTGGRCQKCNR THEDNSTPVSSKEAVSERSR MYCTH_2120753 MGVINHNADAPRPETLVTATLAFLEKHWPVLLVGGLVIRALYKR YASPLRKYPGPFLASFSRLWKVLSTASGHTHLDHIALHRRYGPVVRIAPGEVSVSSPE AARTLLSAGRRFFKTPFYGVFPPPENPDIFTETREDVHATKKRVANVPYSMAAMQQLS PFIDDTVELLVRKIDEFIDKNPRGVFNLGDYLHYFAFDVLGEVAFSRSFGFLEQGKDV DGAIKTIDNSQWYNGVVGQVPELDHFLRRNPLWQFVPWLSTKNALITRMALEEMARRQ PFDKDNGGLLRTGDGRQDLMASLIQGHLKDPEKFGEGDVFAVAHGAIFAGSDSTASTM QSFFWHILDAKPVYQGIVRELEEAVKEGVIPAEGNLTWNQAQKLPYLQACLKEAMRVR PAVGLNITRLVPPEGAELDGHFFPGGTTVACNGWVLHRDKEIFGQDADDFRPERWLED EERAKKMERYMFQFGGGSHLCIGRNLALLEINKVVPRLLRDFRIELAHPGRPLKVHAS FFVVQSGLEVYISRKA MYCTH_60661 MSNSTAVTKASDASVPVDPEQTLKACKALVSHIRKTAAAPRSDG KQNLLADEESTIAETPIWLTLTTKKHIHDSHRLQPGKIILPNPLNTNEELSVCLITAD PQRWYKNAVADEFPEDLQAKIGRVIDISHLRAKFKAYEAQRKLFSEHDVFLADDRIIN RLPKALGKSFYKTTTKRPIPVVLMAQRPKVDGKRVPAPKGKKQKRDPAENVNARPVPE IVAEVWRAIGAALVHLSPSTNTAIKVGYASWEPEKLAANINTVVRELVERFVPQKWQN VRNFYVKGPETAALPIYQTDELWLDESKVVPEGQQPPSALPGRKQKAIAEKPNIGKKR KSLDGESEPAQLEAPKEEKEEKEERPKKKAKKALPESNDERLDKEIAERKAKLKKQKK AAASKADI MYCTH_2096307 MDSSPSSSKAPEPTLPTAAGVKRSAPSLLPAFEPLSSSPGLPRP SKRHASSNAFLKYPTPAPTSSTGILSSSPPRLGSRPAPRRTQSTVSERAPLTSVPSVE LNENGETLLMGRSSSSSHYQLSANRLISRVHVKARYIAATNPLEPNKIEIVCTGWNGL KLHCQGQTWELAKGDSFTSETEGAEIMIDVQDARVMVRWPRRDKDQDALGHLSDSTWD ESPRPRVARAGSELQGSPLRKTTRLASPESPTPANVSTSNASLDSLVPRGTEQDDAPV EIYEDASADEKSPKPQKAATGASFATQAAESFSSDLSDPQSDAENEPNEENDPIVHSF GPFASNVSGRLASFSTATPRVKPPVSRNPLADVLESSPLPPPSPLKPADANSSAAAAS SIPSAPTPEALTGLSAEEVSTITNHMINQLAFSRLSSTPLSTILNNLPAAERKMVNKE QLRIIIESTPCVGIIRRQGKDAAGKPLESEYYYTPELDTDEQRRLAVTDGLRKPSLRN CRKQHKQYYWKRPKTP MYCTH_2140533 MRWPWWLPRYQELSTENWSQTSWQKPSCVASPRSASEVQSIVAT LGRKNVPFAVRSGGHSPAPFDANINTGVLISLGKLNHISYDKERQTAGIGPGARWGEV YATLDPFNVTVVGGRVGDVGVGGLVLGGGLSYLSNLYGLACDNVLEYKVVLANGTLVT ASSTSHPDLFWALKGGANNFGIVTQFTVATYPIKEAWGGLRTYSIEQMPQVLDALHQY QTAEDKDPYANLFISIPITNATDYGILVTFVYLKPVEEPPAYAAFYNLTPTADATALT TLNDLMTNFLQPEFPRYNWYTTSFRPTPSTYSGISNLLLTAPEVGKIQALTGGTLVAS FQPIDASVGALSSPSSSSTTPNQPPPSSSSSSSSYAGRQPQGDWAGNALGLRAVPQTW LALQLLWWEAGDDGAVEAAARSLHGRVARLSRAEGSFLDYVFMNDASWRQPVIAGYGP ASLRRLRQVARRYDPDRVFVRLLRGGQKVPWEEE MYCTH_2310379 MVNGTASNDPLAGLIPPPSELNDAHKVYGVAAGCIVMCIAASGM VLWRLYLRVAARKFGLDDYATAFALLCYLTWSGLAIYINLHAGVGKPLWELTLGEIQL WYKGIVACNFLYPVMSTSIRASLLIFYRRLFVNPATSLVYAWTINILLALQLVYLVVF CSIPVLVCRKTSDAADPFTSAMYCDAWVYIDETIALYSVSLAFDLALLTLPVFPIASL RMPAKKRAGVLLLFLLGFTASAAAAWKLGMYTWELNRLYDIDPRWYNYQMSRLVPPQF DSYGVTFWVPSMLEPTLAMICASLPGLRPALGRIYEVLSSALGSTFGRSREQHNGAKI QLGDSDQPMYDWATHTIGGSTQKLRGGNSSGTASRDGAPSKISHSELSSTGAGPDDEE RGPYHLENGVITRHYRTAV MYCTH_109870 MATPERSLAEFIDSLQLPGQQAAELTAELQKDAELVSFLEARAH SGQKSSSNSSTTTTATTTTTTTTTTPATTTGLSAACRVLQTALGSGKVVSADNETEVN ATWSATTWQTPACAVLPDSAQGVATTLRTARFFGVPFAVRSGGHSPNPGHSSVASPGI LIDLRRLDGIAVGPRAATVTVGPGQRWGAVIEALDPHKVTVIGGRNPTVGVGGLILGG GYFHFSPQFGLAADNVKSFEVVLADGSIVKASACKNSDLFWALKGGGPNFGIVTSFEL YTIPVHEVWVEGLAFSPAQVPEVFEAYAAFQKSTTPDIKATVSVVVSLDIVLVALLYT EPAASRPQAFSPFDKLTPLSVILPPTNMTVLQFSQISAGTQPNTASRHDYRAASSKID AQLYTDVYNIWLERATKVKEATGANQTFTIQTFSKNLVQQGIKKGGNPLGMPLEDFQC WTTLMDWNEAADDAAVRSAAIETTEAWARLGAQRGLAVDYLYLNDASRDQNPLASYGP ANVARLKAVAAKYDPDRVFQTLQNGGFLLRDV MYCTH_2096317 MAPKTTSPGMTTRSSSSSSSSSKGNTKRKPVKPPNDALLAQQYG GGHVGGWVDRLPASVIPYVQLARLSPPAGLLLIFFPHFFGILHAAIVLLSAAAAILRA CAITLAGSFFSSNAAHAWNDLVDAPMDRQVARTRGRPVARGAISPLGAFLFAVSQALL AAGRRGVLAACYALPNILAIIYYPYAKRHTHLAQVVLGFCLSYGVVMGSCAMGLIPVV VHIPTVCLMGACLLWTVLYDTVYAHQDVEDDRKLGLKSTAVLLGDRTKPALWCVLGAM LALLAVPGRAAGMAPPYYLFTLGGCLASLGTMVHRVDLKEPASCWWWFRYSFWLAGGS IAAGLVSEYLIRAAPWAELCKPWLHLFPKLPLSLAAPDVESVTF MYCTH_2316248 MPGTIIITGANGSLAIPAIEHILTKYPGYHLVLTVRNPSADADA NTKRLRAVLDRHPGPANTASVRALDLADLSAVHAFADAVAAEVEAGTLPRIASIVCNA YYWNLVEAPVQTRDGLETALQVNHVAHAALVLRLLASSFAPGGRIVFLGTNATDPGAN PNEKYPPVLPDDLEDLARVPERVEPGDRAGTGFYRYAVSKLAVTMFAYALNRRLEKDP DLNTVTAVTINPGNLADSRALQTNTPKSLSLAQKLVLKPLRPLLSLLDPLLRTAAAAG VDVAELATGHAHPGKRGFYTLLKESKSSEESLKVDKQEAVWKKTLAWAKVTPKNDEW MYCTH_2316249 MLAFLDRGNIGNARIAGMAKDLALDTNRYNWLLNMFYITYVIAE FSVLLWKVFPPHIVGSLVVFSWGLIATVQAGVQSWGGEMALRFLLGAFEAAYGPGIIY LLSFFYLRHEIGFRCGIFASAAPLASTFAGALAYGITSGHSKLANWRLLFLVEGLPTV LMSVIAFFFIPDSPEKARFVTTEERAIVRSRTVRQVGSVSSERIGGVKLKDVLSIFID PKAWMCALMYFCGNVSYSSLPVFLPTILEDMGYTAINAQGLSAPPSFAAFLFALITTW IADKTQQRCFVLFVTSAIGGVGYIILATVETVGVRYFATFLASAGVFSTIPNILALTL NNQGNDTRRGMSIVLINLIGQCGPFLGTNVFPLEERPRYIKGMSICASFMFFSAILAL SQRVLLMWENRKLDRKYGPVKKETEGKSESVAVENYGPNFRYVL MYCTH_2310391 MSDEKPPPSDLEVGELAPQQTDSLHDGSPQQSPAAPNYTRRQEA RVVMKLDWNLMTLFFALC MYCTH_74601 MSQPGEPLTPEQIAHFNDSRQSALWGCLTVFLVINNVAIAGRLW ATWKTGSRQMPVRAEGISIVLSGVLLNVIIGNLMAATHYGLGLHYWTVNARDPHYPRN LSKTFMHIWITMVLMSSFFACIKVTLLCFYKRLFLVSSSRLRIFWWANLIYVVLWFFG GTGFYLFQCHPVQWYFLQHYERFNKPVPGGVTGQCDAQKVTNVAMPVIFSLISDLGLL VLPIAAIWNLRLSKWKKIGLFAVFGIGLAACMLELARILNLLLDTDDKTDPSC MYCTH_2310392 MAATTAINRRRDPYEGMVVTGFEGGITTRILAHPRRSFAFEVTF DLAHPRLVALAGQRPPPAHFHPYQAEYVEVTAGRLIVELEGRRHLLTPRSGEFVIPRW ANHRLYPPPPPPGKEGATARDDGRRRGEGGDEDGKTTRFLLSGEDTPRPFRLDAVFFE NWYAYQDEVVLRGKKVDILQVMSTFDAGGSYLSLPWWVPFGRTISLVLGVVLGRWIAA LLGYQPFYRQWTTDWDTAVEKMEQSLFQRRFADKTKTA MYCTH_2310394 MAIVKGVKLLVLGSLIATSIAKSLERRFESGDDDDDDTPLPLVI WHGLGDTYESEGMRRVAEIAEEVNPGTLVYPIRVEENPSRDRYASFVGNLTDHVAKVC ADIAAHPILSTAPAIDALGFSQGGQFLRAYVERCNKPPVRSLITFGSQHNGITAFRDC KPTDWICRIAMAVLKGDAWTDTVQNKLVPAQYYRNPDEYEKYLEHSNFLADINNEREI KNEEYKKNIAKLENFVMYMFEEDTTVIPKETAWFEDVNGTESTPLRARQLYTEDWLGL RALDRKGGLKFRTAPGDHMQLSEELLKEAFGDFYGPLNLHRGRSDPRPANWRSDDL MYCTH_2310396 MAAMGMTTLTLDVTKEDSIKACHDEVSKLTGGKLDILVNNAGRT HTHPATDLSIPDVRETFETNVFGVMAMCAAFSDLLIASKGLIINIASLAAVTPYVFGS AYCATKGAVTAYSRTLRLELKPFGVRVMVAMTGTVRSQIASRTHRTLPEGSIYQRVRD IFERRLTFSQNNRATMDTAQYARKLVAKALAPEWPLLLRAWFGRPDWFWAGGWAAVVW LGHSLGEWITDFVMYRMMGLTTLEKVLREEERKKKKL MYCTH_2069072 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_2135602 MANNELGMIAAPDTKLIDRTRDGLKRSYDLKELGEDKRFLGFDV IRDREARKKAYYMKDTGALNWVAYGTRPDIAYTVSRLAEANTPPWLTGCHHGTRPVAK CTTEAEFANLAPAALSAHLWVARIFLNAYLTVMNPLNKARTRTIDIQYKWAIE MYCTH_2310402 MAQAGEQAAAGLARLPSELFDKILLDVDTVRDLARFIVTARFVY RRFSIQRRAVLFCVLQNELGPVLADARFLLVFPYSDPRADKAAYIEWLRLMAFVYHEM LRDGNARSSMPVRGSDALPSLKELTGLCHTLYKINFLADMYVTARLALFDLAGGAGTP ATTPLSPLERRRLVRAFYRRQIMSNAWAATRRPHSGWSHEDMAAISNSSTHQGEQLGL FGTLEPWELQHIDHVDFFVTRLCFTLVHYSPRTPDGAPAILPRQFDDLIAHQHHLVQY LQTHRRLAEMAVHDLPTGREAARSAHLWDEYVNVYQMIPLKCAWQGAQAHDFANPIRD KWQRDGLVVPYVGDGLDLVPYGWLDALRGRYFNQFGECLHTISWLPPWQSDRQSVQQR KTLSLWTHAGFSLWDRKRVEALKELPMFEPVYTGWVFSRLVEES MYCTH_2310404 MTKCSQCPNDKTEGPGWVMKKPQCFSPGCVRGWKKCTSCTYVNG YMVKCCGSCGSVSDGKPAGQKRCSACDGTGYSSQTPMKHACTQPHNQPASRLGSRPGS SAGSRPPSHRH MYCTH_2070109 PEGGTLGGGELTVPAAESYGLGPRSEQNPYSGELAATERALGSL PTLRSSRIEISTRNKAAVLTLRQPRKQSGQHHICHIYESIRESTTQEREHSSLLAIPS IRWRS MYCTH_2310405 MATSSHDSILLATRPGGSGPPAPAPSPAPAPALPPISRKKQAAV LFSAFMTTALTIGYNQSFGVFQQYYLSPSQDVLIPSPASQVSPPPTALLAFVGTLCYG LTWAGGIVVNPVIARLEHGSWASATSSTRLWRRRLLRLLTPRVITVSGVLVTSAGFVL ASLSRSVWQLLITQGFLAGMGMSLLYFPLLAPAPEYFTNHRATALGFILAGGGAGGLV LSPVIRALLSSIGGRWTLRLYALFNLLAGLPIAWTVPRSRFAVGRNTAAVSTPGHRLS ESPERREEEEEGQQQRGFGTHVSRALASRPTFLLSAAAAFLQAAGAQLPIAFIPSYTA ALGLGGDGGGSGGGGEEEGASTMGATLLAASSAVNAASRVVAGYAGDRLGRQNALVLT LLLAAGSVYAFWLTSALLAVEEWGGGASSSLSSSSAAAWSSSAWSLWLAFVALYSFSV GGYYALFPALVADVFGIRHYAAVNAFILFVRGLGTLFGSPVGGQLLGSAAEGARAYTS VACWDGALLTAATLCCVGVRWADAKGKGWRWIA MYCTH_2310406 MEATDDIRVDHVPRAPSPARNSEIQLPRYRRHGEPERYRLSAAR LAMAPREPPTKLVHFWFRTRYVSAHASQISDLHPHVLGLRICPSTVASFFTSATRLLP AFAQPWIRAVFPEWFLPDRAVLKTQKPGEDQEIMKEYFDTEVEAYGRLSPLQDAIIPK FYGLLRYNGTRAMLLEYLGGISLSAPEGATMTLEELSSLLQPCYRALHAFGVHQDDAN LSNFRLVDGKLKVLDLESAVFHYSAAQKATFLASSVRHLAKHYLSMQAYYRHEGSLEA A MYCTH_2120773 MGDLDEWVVLILPYLRPPCPTSWLLTTTWSFSQYPPFPTTGSAW CDPPPWRTNIANAGFHYYSPAICPRGFVVGPSCGIITKERTDEGFPAIATGETAVYCV PSGLTCTTELTDYRGGVRGFARDATALGALFTFGAAIQIRWVAADLTKLETHPLTPGL RLATTGSQETTRGPVMGPTITIDPATLTTGEPGRQPAPDQGDTDTVLYTDANPSSPVS LTLIYDDPEPTSSLGPASSAASGSGGGGLGSLDRPTSIVVIVLVTVIAGIALWIGAFL LVRQQRKKAARRKGSRSTREGGDDETCLENGRRQRGPARPAGPAGSAKHARKPSGATK SIGSPVSELDATGTPAIGSTPNPAELEGDLLIQPPERTTWVHQRLWLKSPALLQPPQS SPRSLLSTRSTRRTIRESFGEKVNDPAAALGRLKIPNPLAIGRSSPTSASPSTRSFWR MPRSLKTTTTTTTTTPPAGTTAAARRSAQLPKPSPRSAHSVSVRASRASTPGRGKPGW REDGPSSTQSDATL MYCTH_2310408 MSTNTTTSSQPGDTVADLASSLMKQPSRHGTTADGAAGESSGDS SSSSRSAALARGMSHTGSWEPVLDRRQSWDAQEYKHDLLKRQYMDGEGTAPGSMGGFS EA MYCTH_2310410 MSLSIASTVARRGRQPKSIRPVALGSLGSATVSHGNRQQTRGYK FGRLSSYLEPYIRHHSHRYREDFYRRRAWDNQSLAEYAKSTIQRVVHNYWSPECRGRF GAGRYLNTDAAPKKTPDNPTGVRPGQNIEDAERGPLEHLLFGDAKSQSAKNTGRSGQE DANSDYVIDPITNRKVPRKTVDTSYSTADRGAEIPVRTTMPYRSQFGSLRPPEVDKTR TPVFSDSPTSGTQKLPFVNHYGTPHGTLLDTLNLEHKEVRWHRDDTIASATSMAASWS RSSDVPEYPDLHRYTAVRYQEPDGKPADKQSAQKPADLAKYGPVRAHEPDGKYKAESA APAKPEDLDKYGPVRAHEPDGKYKIESKAAVEPQELDNHGVIESHQAEAEANDRAESS VSSEELGKYGAVRSHEPDGKYKLDSESPVNPEELAKYKAVRSHEPDGKYKLESESSVD PAELKQYGAVRSHEPDGKYKLQSESSVDPEELRKYGAVRSHEPDGKYKLEAEGTSSVD PEELAKYGAVRSHEPDGKYKDAAEYIETPDEAELAAYRKPVLAHEPDGLYAANHVQPE YDAEELAKYQQPFFSHEPDGKYAASYVEPPRDEAELFKYGAFRSHEPDGKYAANYNEE KPDPGELATYGPYRSHEPDGMYALRNNVSVSPAETQRYRAFRSHEPDGKYAPEAQAAR EAQDLANHEAFTYEDAETRPLPRSEPKELRKYQAVLWSEPDGKPVETDGTGQTLVEDS PIGDTAKQEQKPFRRKVQELMAQAAAESDALEADKQATQEANIKNNRDQKRRHLTGNY VRDFPEEFSKSWATEIPQASSSPLSLEQKSSESTIQPALDRYNSKDSGDGRLSSSRKS DPTSPVLYKVLVYDPTTECIRTAETASTVRESTAPLTPAEILLRISNPAKFFPHFGPL QAQGFEMVSGSGDVLIFRKVRDAVPAAAEATSSTPHASAAAAAPIGEERTPPPAGIVG RKGKRSLPKKVAMGAACLAGSAYSVGVVSEYFRNGGIDGTGPKGF MYCTH_98107 MFTGSKVAHGGGFGGFRSSSTSLSYLAPPPDFTGIPHEVVVAYK NLTKKDSTTKEKALQDILGYVQTLGAEGRIELYPRLSVDDSARVRELSHQLLFHLLNL AKKRMAKKLPAFVGPWLAGAFDRDKRVLKAAADALAAFLQTKEKEEAFWKAVQGRALE FATEAIKETPDTLSDERATTKQDSDAKYYRVVGASLNLALNLMRKGDVGVLKDGLAGY LEVDALWTMSTADDAFVRRAFYQFLDTLLTIRPDILEPRLQHSATDLLKVLISLTKRF PQVWGTQKHPLQRLQQFVAQGSQGGAEEYWQSLDQLLQVLPKKTPSPEVVSTFLGFMR KGIAERLETRTSRYRAFQTYARVFEVFLHDLPLTTSLLEENLSSVSRQYLHPTSESSL PSPPRPEPLADAWMIVSRHSDDETCGAVKEEWHKLGTAFLSRMSNSLPEVSAGYQNSQ TSIASEGERWFALAANVLSREGGEHTSLFSVIAETSTNVLRGALDLLTRRNFKPFGAA AVIQSAFRHCPRLCAESNLLASLYPADQAEIYGTVVASPSLPYLVSGLNTLSEGSHGQ FEKVWVSLVEAALRLPDRPSTISAIRVLIGIPSVATYSQSLTGLQSFSVSAWKEYADG GSQAGLQDLCEATLSYDTLAEESLDAVVAGVISSLEAPETAGPALVALELVLKKKPEL LSSKDDLHVRLVTILLALTELSEPRLSEKAKTLRQLLGAPPSGQNPVTRILEQHLSDA NPSSLEVDTLVQQALSALNSGSVPADDIFPSSTVWMKELSPFLRRPPPPSLSLTSSMG GAYFLVQGDPNAKPPRPRRDSSGRSVPARMALFTAKLLSSGVALSSLPPEFQLELVFL LCLTEAIYEDQLSAAQTEGLWAQGSESEPDAREFLDLNSAAVRAVLQVCGDWKDWDMS GNSLVERLINFMLHEAVGLSAAAFYAAKSLSSLFQLLVQTHGGPPANLESWLLKLGIM KAAPNTSLATAAFLTGFGEALASSKVTANFCARLISELPGFDGVSDRFPRALPSLALL NLCMDVYETGAVPIETRKQVLALQQLTRWTGAPEELGYQAAAETCKAISRLLPGTKDT YGQYWEQAIEYCLWLWNKAAKDKHEERLSYIHASLKLMQALHAVDEPNDDLEEALASH RDAETSALIALLAANLPDELLSLLLNAPNPEDYTDEDLAQFPVAIRSYLLAWHLVFDA YSKASFRVRNDYTENLKSGKHLDPLLRFLADVLGHALGRALDLDKEGFTAEHIRSYSI DLADSEPAERDMNWLLIHLFYLILKYIPGLFKMWYLDCASKQTKNAIQSWMERFFSPL IISDALDEVVEWSSSQEAGDGDTEEIIVKVSKTSREITAGYPVDDDAATISLRVPTSY PLDPVDVVSVKRVANCSLVDGLMAFRRNISLALKGQEECAICYSIIAQDKTIPDKKCG TCNHFFHKVCLYKWFQNSGRNTCPLCRNGIDYLGSDTKRSRPEHE MYCTH_60632 MPTIVVLGAGVSGLTCALLLAKQKGNTVTVVAKHMPGDYDIEYT SPWAGANVLPMNLEKDSRWERRTWPEMRRLAAEVPEAGLHFQTARVLRRKVDVEAGLK SALADGLFQLSPWYREVMDNFRELPASELPPGMHSGAEFTSVCINTAIYLPWLVGQCA RHGVVFRRAVLKHISEAARMSHTGRRADVVVNASGLLACRLGGVMDAKVQPARGQIVL VRNEAAGVMPTTSGCDDGDDEVVYVMQRAHGGGTILGGTYQKGNWEANPDPNVAVRIM KRAVETHPELTGGKGIEALDIIRHGVGLRPWREGGVRLEKELIDGTWVVHNYGHAGWG YQGSYGCAERVVELVDEIVGRTKCESKL MYCTH_2310419 MTRMRSSPKTPTATMADQNRPIHIRATRLVFDILNGRHVLSKLI PPLVFLADALLCALIIWKVPYTEIDWNAYMEQVAQILSGERDYTKIRGNTGPLVYPAA HVYIYTGLYHLTDEGRNILTAQKLFGFLYMVTLAVVMACYWQAKVPPYVFPLLILSKR LHSIFVLRCFNDCFATLFLWLAIFALQRRAWRTGALMYTLGLGVKMSLLLVLPAVGVV LLLGAGFATSLRLAAVIGLVQVLIAVPFLSNNPWGYLGRAFELSRQFFFKWTVNWRFV GEEVFLSKEFSLALLGLHVAVLAIFVTTRWLKPARKPVSQLIVPILLGKSPFTEEEQR AVSRDVTPRFILTSILSANVVGLLFARSLHYQFYSYLAWMTPYLLWRSGVHPILQYAI WTAQEWAWNVYPSTPISSGVVVGVLALTAALVWLGAREDWEPRRVLLKGEAAKR MYCTH_2310421 MGKPKRAVLAAAQESATPPDELTETQFVARVQKAEGNSLYTCEL PNGKPVLVELEPKFRNTIFIRRGGYVLVDLASAAERSKASKVVGEIINIVREEKEWRK QPYWHVLHACRMLPSRL MYCTH_2310422 MGSTLPIALPALKTNPKFIFFTDFDGTITNQDSNDYMTDNLGFG TELRKKGNQDVLYGRRDFRDSFQEMLDSVKTPFNECVDILRKNITLDPGFKSFFEWAR ENNVPIVVLSGGMKPIIRALLAHLIGEEAVDSIQIVSNDVAPREGKSINEEGGWKIVF HDDSGFGHDKSLEIRPYARLPAEERPVLFYAGDGVSDLSAAKETDLLFAKAGRDLVTY CENEKVPFRTFHDFSDIHKTVKDIVEGKLTVAEAAVGRK MYCTH_2310428 MSAHTALRLAVRPLKRATLLDQIATTAAQKPLRQRPRSQLLLCL CCSIAVGPESNPARTRFFSTAPPPPRNLPPSLESIVSRKPEPESTAAKDASPAASSSS SPPSEPSDQPLQPDSEHSAPSSSSSSSSSSSSSSSSSSSSSSSSEPTASVQPSRPESK EPPGDSAPPEPEPESRSQDSSLPSFTETHRHPLSARFSTFMDNLQGRVLTATQTINDL TGYSAIEAIKKRNGELEREHAAAQQRLRAARHNYKSLTTHRAATQREVTALLARKDTW TPADLERFTTLYRSDHELEAQVGAAAAELTEAEADEARLSGELNAGILKRYHEEQIWS DRIRRQSTWGTWGLMGVNVVLFLVLQFVAEPWRRRRLVNGIAEREKGFMDEVRRELVE LKAASQTAAAAATDAAAAAAALTAPAAGSGEGAAAAHASGAQGVGSAARDGPDVAVPV EREDWRLPRKPWKEVLMDFWEDPELLREAVLDLTSDRRIDLRMRDASLIALQGAAAGA TLAVVLAVSILRST MYCTH_98099 MNDDDKILDITKKIEREKALINAANLMRQQTNNEAVRSKLDTQM REGRRNLEFFEERLRELQMRRLGQSVDNMSIGGSTLGSLRSTEQGTEEGGPPAPPPKD GSSYAQYGQGDLMPPRGPFPGQPPHSGIPKARPNFTKLDLIKFDTPYLGPRIQLMLSQ IQFKLNVEDQYLKGIEKMVQLYQMEGDKKSKADAAARRIESSQKIVLLKQALKRYEEL HIDMDADSADDDSINVPNLRKPLTGQLSIRILAVKDVDHLPLGRFSRAPETFITIKVE DNVVAKTRASRNDKWEAEFHNIFVDKANEVELTVYDKPGEHALPIGLLWVRISDIVEE LRRKRIEAETSSTGWVSADRLGSAPRIPPPQFPMGAQSPQFAGPPTSPGQQPPSFTPQ PPPVAPVVSQPIDAWFNLEPTGQIQLSLNFVKENKAPRPMDAGLNRKGAVRQRKEEVH EMYGHKFVQRQFYNIMRCALCGDFLKYSAGMQCDDCKYTCHTKCYTSVVTKCISKSNA ETDPDEEKINHRIPHRFQPFSNITANWCCHCGYMLPIGKKNSRKCIECNLTAHAQCVH LVPDFCGMSMAVANQILEGIRSTKKTRQEKASSMSERNLRAGSKGTTGSSQSGASYAP SSLSGQTVSPEATEAAKIMYNQTSSPQRAGAERPSTSSTTASAAAAAAMSPKQTPTPQ QQAGQIPDFGPGHYGAPGGYGRPTQQEESQYGAVPQQQQTYAQPQQRKYNPADYANIG VHPGQPPAQARPTQQPVVQQQYMQQQMYQPQQPQQTAMVPKPHPAPAQAEQQVPSASG VPTPARKPLPSATDPGTGQRIGLDHFNFLAVLGKGNFGKVMLAETKRTRKLYAIKVLK KEFIIENDEVESIKSEKRVFLIANRERHPFLTNLHACFQTETRVYFVMEYISGGDLML HIQRGQFGTKRAQFYAAEVCLALKYFHENGVIYRDLKLDNILLTLDGHIKIADYGLCK EDMWYGSTTSTFCGTPEFMAPEILLDKKYGRAVDWWAFGVLIYQMLLQQSPFRGEDED EIYDAILADEPLYPIHMPRDSVSILQKLLTREPELRLGSGPTDAQEVMSQPFFRNINW DDIFHKRVAPPFLPQIKSATDTSNFDSEFTSVTPVLTPVQSVLSQAMQEEFRGFSYTA NFE MYCTH_2310435 MRSFVRALRPAVRRSQAVCAPRIRQPFAAQCARPLSTTAVRRDA SLADVAPTPITHFSEVETAMAETVQKFANDVILPKVREMDEAEQMDPAIVEQLFEQGL MGVEIPEEYGGAGMNFTAAIVGIEELARVDPSVSVMVDVHNTLVNTAVLKWGSEYLKK KYLPRLATNTVGSFCLSEPVSGSDAFALATKATETENGFKINGSKMWITNSMEAEFFI VFANLDPSKGYRGITAFIVDKGMKGFSIAKKEKKLGIKASSTCVLNFDDVEVPKENLL GERGQGYKYAISLLNEGRIGIGAQMTGLALGAWENAVKYVWNDRKQFGQLVGEFQGMQ HQIAQAYTEISAARALVYNAARKKEAGENFVMDAAMAKLYASQVAGRVSSLAVEWMGG MGFVREGLAEKFFRDSKIGAIYEGTSNIQLNTIAKTLQKQYTA MYCTH_2096341 MAATFLRNLVLRPALRPVTAAPASPLITRAFSSTPSQSATLNQV LRGCRKPQRARHAVSPALSAIHAPALKGVCVKVGITKPKKPNSGERKTARVRLSTGKV ITAYIPGEGHNIQQHSVVLVRGGRSQDCPGVRYHLVRGALDLGGVGNRMSSRSKYGTK KPKKASVG MYCTH_2310437 MAAGGVRYVRYIIVAFFILTVIYFVSNSADVTNLRTHIPDSKSP SEGGTNSGTQDASPNDAKVKTTYDPAQFLMAMTPNDPGWDDLTSINKGPRMNATFVTL ARNSDVWEIAKSIRQVEDRFNRRYNYDWVFLNDQPFDDTFKKVTTSLVSGKTYYGLIP EEHWSFPDWIDQDKAARVRKEMKEKEIIYGDSISYRHMCRFESGFFFRQELMMNYDYY WRVEPSVELFCDIHYDPFRFMHENNKKYSFTISLYEYPETIPTLWDSVKKFMKNHPEH IASGNSMGFLSDDGGETYNRCHFWSNFEIGNLNWLRSKAYLDYFESLDKDGGFFYERW GDAPVHSIAAALMLPKEEIHFFNDIAYYHVPFTHCPTGENLRTALKCHCKPSDNFDWK GYSCTSRFFEINNMEKPEGWDKEGN MYCTH_2310439 MSFSGFDTSRHNTAAAGFSQAHNPFAGLSNREEEGDALEFEDTY DGLGDQLEETGDAFNDDTFGDAADLSSGPAGKDFDFFGSTAKVANAIEEEHARFSRQQ PAAKSSQPASQAPPHAALQSSSGLSSYSQYSQPAARKPARTGYEKYSSEPVAELQVDA ALWGVAPKKQSQPASTASPAATSASTVPGRKVLSLEEVEAQMRAQAKKAAQQATPSPA PPPAAIPSGPHAQAPPPMHYDQAYQYSHHAPPQLPPQPDHNRSDQTLSHGHGHPVTIL QRPSSKQGASPQPAAQPPAHLRQQPPAPVQPTQILQNPNRLSGDAARLGMRQHPAPTI PGYPSHPAHRHQGSFSRQPQLITHPSQLAQLSEEEKAAYLEQEAKRAKRNHKIFLMSR DNGIMTPQDKSFVTRIQLQQLVAATGNPNEHGTDESLAEDFYYQVHSQIQGGQRQNPN QPLNNFAQTYLFQTGSRQGGMRRQHRGPENHMQRMEQQVQRAVEAAKNKPKNKQLVIE GSLGKISFSNAKTPKPLLNIKRTESTGDAHRPASAHKHAAPTGDRKSELRTIERVYTT LMQMEDHDRNMPPPPANDADTEAIQKFVTWNSEAQILNKHLWESLRIHDHPDNGRVHP FIALLSYSKGMKAMQRISRHLTHEQRTTILTLILVNLDSLEVVRNAQVTSESMQLNAA MRENVELFSLAVMSTLFNILNELDLDLVAGMLGLVCTRNVDVIAKSRIGASMLTMILS RAEIIKHGGGGSEQAWRSWDVTHTQFFDLIEPTLPHMFPGPVTASDDIYVWQLLAALG IGASPEQQQRLVLAVKDRVMDTVALSKTLPQDLAAQRLQNVNLFMRSIGLDVELLQ MYCTH_2120781 MSSNRPPRAVASDDDDPIIANYSVFIKPPLPENRNLVVLQYVNK TAQDPAQIRVPRISELRVKPKTGMYEVDVPIDTTEAYDRNKGIAWGTALQKSMEAKKG GSLGLAGGFNIVATSTSARGRRGAGAGADDETPLTWAEAARQDKVLRTQTFGGGRSAE EANTRHMIENLHLTPVSSVVHLRPVPHHIDAATEQERLARGGANSAGGSGGPGDKSGT GAGAAGASAGRAIHMTIKSAMDADGGAATETMADRLRNVQTETWQRMEWVHDEAEMAW EAYNECLLLRPGNGGGGAAAAAAAEGEAAEDKGKGKEVAGAAEAAAAAAAATSADESG AADLVDKVPWLKTDWEEQELLRAGKETAVKMEEPAAAESRRQVGRTGGSANAASTAST VAAASGARKTTRKGASRGTAMEID MYCTH_74573 MSSGTSGGPGGPPGPSTADLPHNSHGPNILAACFVTWTIALIFV LLRFWTRTKIVRLLGPADWCIASSLVVAAGLCASYVVQVNLALGKHVWDVDLRTDYVP MMQAWWFSLLLYIITLSLTKISICLLYLKIFTFESARRASWLVLFIVVITSLWAVAIT LTYCIPLQATWDPTVVASFCQPQSAWWANTGIVIATDLIIFILPIPIVAPLNLPRRQK LVVVGVFTIGFFVCIVSLVRLVILIQAKGSTDPDFTYTPAALSYLTALEVHTAIVVSC AMTLRPLVDRFFPGLLAPAGGIGGGGGAGSGQSSTAGGVSMRGGGRHQQPPLTVGSRP SRRNPLRSWTGTAHEDEAAAAAVVVLEDAAGGNEGTAEGGGQRGGQRGSEDRDLENGM AQLPGGETTLAPHEASASPWLKSDASSERTEDMGVEAKARSLN MYCTH_2316262 MAVNLHPGTNGTNGTANDDFTVKAGLAQMLKGGVIMDVTSAAEA RIAEEAGAVAVMALERIPSDIRKMGGVARMSNPKMIQEIQAAVTIPVMAKARIGHVVE CQILEELGVDYIDESEVLTPADDKYHVQKSEFKIPFVCGCRNLGEALRRIKEGAAMIR TKGEAGTGDIVEAVKHIRTVNAEIARAKAALAAEGDLGIAAMAREIGCDAALLKQTAE LGRLPVVNFAAGGVATPADAALMMHLGCDGVFVGSGIFKDAETPEHAARRARAIVKAT AQYKDKKALIEASIEHGEAMKGISNAGLKPEEKMAGRGW MYCTH_2310453 MAKSSTITVGVLALQGGVVEHINLLRKASSHIQSPDALQFNFLQ VRTSAELSQCDALIIPGGESTTMAIVARRLGLLDPLREFVKVQHKPVWGTCAGLIMLS EQAAATKQGGQELVGGLDVRVLRNRYGTQLQSFVAELDLAFLGEGAAPFKAVFIRAPV VERVIASEEKEQEQEEEGEAGSVASQGKGQGKGAPVEVLGVYRGQGKQEEAGEEGDIV AVRQGNVFGTSFHPELTDDVRIHVWWLRQVVDATRHGSLPVVADGV MYCTH_2310455 MKQKTPDPMTNPDSRWVEVRHALGRLLSYFIAIKVFIAARRLWP RLFVDFEVTWIPSSEPLDDPPNIRRNAEGIIKRMTCDKSLLEAYHGYAAHLQSHKLDE RIRKHVQSGKFTPIVHAEVNLLESVLASRADAERSGEGPLRFFHEAEFGAYIGTSKPT CLLCRLYFDAHPAGVLCRESHGNLYHNWRAPDVRKADGSEPERLRREILEAMVKKVRK ETEHAICQRSSFRKNHDSRNTPTNPLWGTTDIESQGRAENGIDRASRAGQIVRESASV TSRRAIRASASESWSTAVGVEELTSTVGQISLDGRSTRVRNNDDSRETTPERPELSTP TGQEKDDSRETTPVAPEPSTRTGQNKDDDDDDDDDDDNDGGARL MYCTH_2310457 MTQKVSSDSWASPRLPPDDGDWGSAEIGLPFPLGQETSLSSWPL ISRQDGQCLASLVLPVIEETVDPQHLLVLPGSSGLELSFPPNDLAVGVPTPFSGGVQE YSYQGVSEPPMFGFEAQGSPLNTNPEIATWSPAENSLPDANMLSVALPTVSHALDI MYCTH_2310459 MKKHGRQTCPYCHDSINAFHKALERHLRSHHSDLADVRANPKVW KEEVLCEGCGRRMRADNRKRHLKTCKGMRYEQT MYCTH_2310460 MAQQGQHHVQMQQMQYTMHQLAPAQHPVPGHVQQPPMQQPPQQQ IQAPMTSQPPQQQPQQPQQPQQPQQPQQPQQLQPPQQPQGQQQQGQQQQQQQQQQQQQ QQPQRPSFQMALLPPPQDRLYNTWEDLREDVKAFAKSQGYAVVIVSSLNRDADGQYRR YNLCCAKGGKNYTSHSKGLRNTRSAKTGCPMRMKAIQEKAYPYNDKWHVIVQCAEHNH EPFTGGPGANAPSQFRKIEADGMRWLMIMHREAQCNLRQLTIGIRISFGDKYQYVKKS DVRNMLAKIKREEEKKAAAAAAAQGLPTNAPYTLIPPSHLPPPPAPPAQPVERMPQLP PEMQVPDPDMESDDDGDEL MYCTH_2310461 MLVIRFFWLQAVLATAQLVDSDGVLGGGGDSAPSSVEEQSPVGT NSPGGILDGVVDKVGGAADKLLSPILDPIVGPTGLFGDDDDAATSTTPAETQDLPPVT SVESSAADPEPTSELATEPTSEATNETTTQPTTREPAPTSQQQPPASSERPSPLPGTE EQQQPTADPAPVPKPDISTVSEAPPSPTTSAAEAPAPSSSVAGVIRISQPVDSPAGTS SAPVGQSPTRAPAPGPTSSRAASQPAASSPPPGDREPVSTLVTTSGTTFLSVFVPPTP TGRLAVIGDTGEPLPTLDLSGQSPSSPEGDGSSDDKSPATAEDETAAGSGGSPTESGT TGGTGGKGIESSSSSSSSSSDGLPLKTKIGIGVGAGAGSIAIAVTATLILWKIRMGRR PASSSSSEDRERGASPTPQEKAKMDFESEHDVAFDFGFLRDRAAATAPPVNATGGGVA TGAPGVGRKLTVVNKGEDEWLSTIDTPRQQQQQQFVAELDGGEVPGYYNRGAIGVGR MYCTH_2310462 MTVHNIETVDQFKETIAKHPVVLLDAFATWCGPCKAIAPQIAKW SEDPEFSDSIYFAKFDVDQLPDLAQELGIRAMPTFLVFKDGEKADSFTGAVPPQLLNL IKKHNPKSSEAADKTEPPKAE MYCTH_2310464 MAPSTIAALLVGAAVSSACTIPTEPLGNNITYPFRAQVQNASRP EVHNKYMNLFEAGGGDRHLFIGPVGVPTYDLTLVAGVINHVPNGVRAVIGGEYSEIDH TTKMFMTGRDDPRAIFQPTYACNPVTDGLQIELRFVTWQGQPEGGHICVRSSFDGSHE FRYSPPGNRLIDVNRECIKVTLVVMPTTDLPPPGTSTTLSTSTTSARPTSTSSSTATS TSTPTGLPTPAPFTDLTDLGFRFVGCAPEERWTDDGAFRTLPDATESSDDMTNQRCVA FCAERGFRYAGTEWRRECWCGDEVAPTRRPATTLESLARCDDGYLCTGDPAQNCGGDA WLSLYERCEEGEACENEVFT MYCTH_2310466 MAERAEDADDIPQPESAPPTTDQQQQQQHDSPPPKAPAKRNAFE ELMAPKPKAPISQAPQFLAHKASQVIRGVWRGALIEYIEHPERFPDKVLRVTADTVLI KDAFPKATVHLLLLPRSPAHYLVHPHDAFADPAFLAMMRGEAAVAAELAAAELARRLG SFSASNRARDEAMSRGVGPDQLPPGRDYSRDIRVGTHAHPSMAHLHVHVISRDMRSEK LKHRKHYNSFNTPFFVPLEDHPLAQDDVRRQTGYQNGNLAKDLVCWRCGKGFGNRFAE LKRHLEDEFEKWRAE MYCTH_2310467 MPLWLFRRKSHRRRGRVSSIEDDGYGGKTPAAGLPPRSQTVPEM AMTYDPSPPERQANKRQRTESNKLHRRARSYSFSPGREDAVGLEKQKSTRARRDGDTP MRDAPTAMDIMPWRAPTLHGKRDGDHLPRKLSSKKRRKEDRQREAEIKAMSSFAPHRP AAEDWMAGRPMRKESKKFRSGFGVSIKGPDVERYSRSSDISLPLPESIDSALSSDSDY ISYEVSAFESLAPRPTLRYSTHARSGNYTQDGAGVVRRPSPQRTRLTESIPEEMLKAH KRVDSLADELSAGDLRELMERDQRRRARKRQLEQKKLELKIARQAEKQRAAEAEAEKH GRESPPNLSRGILGREDIGLGINPPSATITSSRLRNSDGLADNRNEAGDVGNILPTRE NDRPEPLAAFHRVDSHSLQPLESLSEIKEDAPVLASTVSKASLRNTISRSKSPQESEA RTEQSEQPSRGSSGNTAKGPRSWTSFFRWTNRTKRNSGGPSSFSNTSRDSMPTPPAPA PPINPLPRRLTSGVPKRTTSRFREDLPELPMSPPASRLQSPEAAAVPSPIKVSTNVPP PNGESCPSPPASRPRHDTPVSTRPSVEEMRETPSTFSRPDEPGVSPEPQSMSLASIDS EGSWFAGGLAKKRKSSGIPEHGSGLRRSRYAPQELNGEQRPEIENPNDDMDITDDDYL SRLTPSHGDRSAWNRKSTGEARPSSDWGEEDAHWGSVEGHLPTVVRSQAASRVKSREG LLRSFGEEGEATSQQMDAEEHRDSSDDVTLQRATSIGHEEEHASH MYCTH_2310469 MPAAQQQSRSRKKEEEAAYANMRLPDREIVGCINELGIPFTVAD LQKPNPIQVQMIFEWFGELLMNKTRQTVDPAMRAAAEDICGDFGDSLMPPDTRNLMGF FISLRQLMLDCGVHDFNFMDLYKPTHHRLVKIFSYVINFVRFRESQTSVIDEHCNRAE STKARIEQLYAENQNLEAQLDEMRANRRQMEALVAEKTRRNEELKKKLLELRRTQEKV AARLEEAKTKKGELAAELEEKTAAKLALKQESAKLRPYTLQSPSALQASLTELSNTLN NDKAHIDSLDRRARALQTSSDSFAVVSSDVASCIKLLEEIAVELAKEEEDNAKNAKQR DALTERGASVREVERTEALLQRQLAKWTERTETLRAQSQEKAQRAKEKMEELRAVHKT LTEERSEKGKDIERRRVRIEQIEKKMLDLKENIENEVHAAHDEYLKLEAHIKLYITEM EQAI MYCTH_2310470 MDDNYVHSHSTPLFLSPVLPSDLIQFIINRCRYPTTLIICGNRG EFLSALTHNIFQEQQQQQQQRHGGGTLPLGEPHDATAQQATTAGPPPNLDPAPTGRPH AVPPQPAADRPATPPSHAHANPTTTAPRKPHPLLTPHLAQLSTARHIRTVFVPTVSHL RAFLSVFTVDSSLTSGKAPVAPPPPPPQPSPAARRALLVVYNLLGIHRNTSEWSVQGL GTSCAALVEAGVREGLAVVVVEGPIGFGEGAGVADGRDGDEEVDHGDAGPRKGVSLGL DGVLRESVPVLGGRGKRVGGELERRGGWAGKTVDVARVLGRWFQFREGEWMARG MYCTH_2310471 MYRGQKTLPLFSSVVKNVASPNIEIKKLVYIYLIHHAEQEPDLA LLSINTIQKSLSDTNPQVRALALRTMSGIRVPVISQIVSLAIRKGAGDMSPYVRRAAA LAIPKCYRLDPSQLPQLLEYLSTLLGDKQYYVAGAAVTAFMTVCPDRIDLIHKHYRNL VRMLVDMDEWSQLSTLRLMTVYARKCFPRRTRTVKNKERPAELQDFYGEASEAGPERE QVTVLDPDLELLLKSIKPLLQSRNSGVVVAVARCYSAIGTPSYTKAAIGPLVALLRGA QDIQQVALYNIVSICLSCPADFVKYATHFLVRATDTQPVWELKLEVLTLIFPHAPRHI KSLILNELEHFSRGSDKLLVREAVRAIGRCAQADSATAPRCLRLLLSQITSLDGILAA ESLTVIRHLIQQDPTAHVATVIRLAKNLDSATDPQARATIIWLVGEFSGLGGADNIAA DVLRILLKDFASEPEIAKRQIVLLGAKVYLHYVNRQIEESQAGRPQFPQPQAEFDQGQ EPFQPSPPATGSNRPKILEEDDHPIAKLWRYLLLLVRYDTSYDLRDRTRLYKSLLSVP QLATLMLLAPKPAPQAPSPSETRRGYTLGSAALVLAGGGGVHGLRGYEDLPEWVEEGK EPDPRLRDAEGADRYGEKRVVPAAEVLDAAAASRASPSSVGAESKAVKAANGIGEKSL DDWLAEEESDEEDSGSVEGEEEEEEEEEDDDDDENEEEESDEESSDEEEDESEEESEE NAKLIRPA MYCTH_2068390 KTYNTGASPVVTDLSTNPAISSLTMGERTGSRIFYCLWSYVIVR SIGSAF MYCTH_38980 VMQTEDVDVKRPTGAYGIDSLVAVELRNWFSRDARVEVPVFEIL QASSLAGVAKAVARKSPLLKIS MYCTH_98083 MAISNMASISSSLMSSMPSLTRFCGKERKLESFEDITAKAALKV TKVSQTPFGLGWAGLFIE MYCTH_2310474 MSPTSSLVYSLLVPKPQPPPYNRLAKLTMTVEVETVALQPDKAT PAPPSVGAGEGGRVKRG MYCTH_2129970 MVQNGGDFDLDIDMATDVTAEQLLTQLALETAKASRIKIEPPSK YGGTKEDLVGFLINLRSYFWLNNDKFLDDKAKVLYAAIRLEGKVLRWFEPTWNDYLTE EDEDDRDAFT MYCTH_2310477 MSRGLQRWQQHKEHGNNERRGSSRDKKKGPIVIHYPPPPPPLSH IAPGAPYGPSAAPPFPPGAPPAPPPPPSQSYQQPNYPPNPYVGGYQPPPPPAQYGQYP APPPPPPPSYTPQPQYGQPAYPPSYPQAPGYYPPGAAPPSAPPPPSYPPGTYPPHQSG QPPPPPPSTPYPPHYPTPPPPGPYHFAPGQPPPYGPPAPTGHQYSPPPSWSSAHAGPS PPSSRSANQTPLGSHRGRSLKSHGSKKSHQHREQNRHGHEKHGKGKSRNERRGHQASR DEQQTKTDDSRSKPEGNEPKVQDNEEQAGEGSLEDTSGWDPRLKEEFKQAFPDIKTKP ADPVGIPLPLEYTDEPTIPPAYNATCVKSEFFREDNQKDFGRSIREHPSWATLKNDPV FRHYQGMVMRCFPGSEHEYPSYDPPDAPPSPSSIKMPPRFRFDRSAIRTKQDRPEGHP ADNHDDHIRPQSPPDRRRRDSGRDWYDGDGRRPRKRSFDAGYDRDNGEPDPKRARRWS LHRRDWSREDHGRANVARRRSPSPPRCNLEGDPWSPRAGDTNFRASNDRRYHEVHKTT KYSPPRDERISYSDRRHDSGYQSGHSIEKDTARYQDGEWRRRSSDRSYQRRTSPSRGR DRSRSRSRGRSQRRSHSRSHSRGRYRSLSRGHSRGRSRASTPSRSDRNRSESPLTALE ADLLGLARDSSEPETKPVAKKPVKRVQVAAAFGRRW MYCTH_2310483 MPGLPSSVDLDECISRLYKKELLAESVIEAICAKTKELLMRESN VVHVRAPVTVVGDIHGQFYDLIEIFKIGGWCPDTNYLFLGDYVDRGMFSVETISLLVC LKLRYPNRVHLIRGNHESRGVTQSYGFYTECARKYGNANVWHYFTDMFDFLTLSVVIN DQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDPERDEFSLSPRGAG YTFGAQVVKKFLAVNNMNHILRAHQLCQEGFQVLYDDRLSTVWSAPNYCYRCGNMASV LEVSDTGERFFNVFAAAPENDQVKDAQQSGGGEKGADGGALPDYFL MYCTH_2310488 MTSADEDLMPESTSGYKLSQPKQSLAEYNKMDENDESLQRYKRS LGLAEKDLSDPNDPRVCIIQSLTMESPGRDPVVIDLTAPGSLENLKKQPFKIKEGATF TMSAQFKVQHEILSGLHYVQVIKRKGIRIPGGKTSEMIGSYAPNTEKQPIYTKKFAEE TAPSGWAVRGRYDVSSSFVDDDKKTHLQFDWTFEIDKDW MYCTH_2135627 MAPNRHLPERHNPLLLEDVPPHSELVARRRLGQTQLTARMVAGV PAGDIHPSALGAFDYAHLRAPLPKGIVSGIFKSSPPSYFLMRRSEDGYVSATGMFKAT FPYATQEEEEAERKYIKSLPSTSPEETAGNVWIPPEQALILAEEYQILPWIRALLDPA DIATTTGADSGPPKKITAPPKFFSGQPTLAPPTPSTSRTSRSRRSASPAKSTASKRAI ASPRKRRGASSQPSVVTDSLPSSTGDSVSPTLVNGETPNQSQLSTASTSKVAKVEEAP EAELKIESIEKEPAVVLEPVEEGPKVKIHLDQDVKVDKEGDEVKHTKVELEVPLFGEL PSPEDAAKMVADAKAMVEAAVKADNEASGASSSKGKRKADDIAQDEDGEGKEEGGAEP PKSKKVKTEAELRKEKIRKRAYFGLTATVAVGAIGALVPILTPYVMNAL MYCTH_2310492 MKPAVRLWKPVLRAQRRAFSSSARRLDNYAFIGLGQMGYQMARN LQAKLPPSDTIRVFDINKSAADKLVQEMAAQQAGGAAPEVAHSAADAARDADTLITCL PEPKHVKSTYDAIISAFQTEPKRDKSSQSKSRLFIDCSTIDPTSSREVANAVSSAFPN GEGVFVDAPMSGGVVGATAGTLTFMLGAPQSLLGRVEPILLRMGKRVLHCGPQGAGLS AKLANNYLLAIENIATAEAMNLGVKWGLDPKVLASVINVSTGRCWPSEVNNPVPGVVE TAPAGRDYAGGFGIGLMRKDLRLAILAAKEAGAELPLASKASEVYEAAESDEKCKGRD FSVVYRWLGGKE MYCTH_37869 MDKVDPSMFPSDWDQEDIENAIANYYYSCTHVTPTCPVRATTLG YYPNRGINIFFTIGYAAATVMTLALGLKKKTWSYTGFIAAGCALELAGYGARIPLTDN PWNKNAFETQIVAIILAPTLICISIYLTLKHICLAFNPALSRVRPHKYPFIFVPLDVS CLLVQAIGGSLAASAALSNYKLVQHGNRCIIAGIVLQVVVLSFFGVSAGDYFVRVKRW IKSTEASPEAIALWQDKKLRTFVYAVTAAYSGILIRCIYRIAEMAGGWGNPIMQDEPS FIVLEGFMVLIPCILLAVFAPGYLFPQMASRMSTPGRVRLFSKRESEKPPQPKVLAGQ QQAVNGTETVLNQEQTKEQPISTSTTVEPKGAESV MYCTH_2310501 MFGCLSITTFFSFWKEKKNNTGDHRPKNEKQKEPAYTPITMTNQ FDHPSPYPPLRGAVRPVSYAHQYYRVCPYGRRRRSHVPPPRSPRSRLPPQREGDEEEE KPEKPTGNPSEKDYELQGVHGRDFQAEADAMKKTKEEEMVVEKEEEERKRQAMLAWMS AESETEDSESESGEGTSKKKKGLVTFAAWVMEG MYCTH_2310503 MVRVTEELALSPEHVTLYYASDPLLGHLPVLIFHGPSTTANYTH NSSRIQVHIYSPAGYQSFPRITVSPNSPFYSVVAHLPREFQGDEVCRGLAFGLYKYFT ELPEVVKNHLKNQYPITQARRPSSAPALFGEQHAADLAKAAVQAENTAEAIGILEYAL RAQHLSGVDMDLVLPPGSIVPLENADFEDVADDEDDVFDPTLRQYGGYAPLVKLFGEP VFLPTSKLRRAPSRPMSLKRNKSFSKNQKVELRMKMGELVDTEERYVLKLNELVKNVA NDFREKAKERPAGSTSPCEEDLEKLFPKSADSILENNTAFMQELRRVMDETEEEAVKD MEAPFPVTRLGSPNKVKDPTGAVAMAKVFLEWFPKFTDCYQDYIRASQNFPQLLNAFL DKQSSFRQRVVQTGEQTIRSLLIEPVQRLPRYSLFIDQIVACLPMTHPALQPLLRARD IITNICSMDEPLPDKPHLTNRLRAVVEHWPLDLEPQGRLILAADFLELAPPYVSVSHH QDIQDRSGVFLLFSDCLVILQKRTSSGLTGRVFLREIDKPSPAGLLASMTNAAGGEGT WEFAFAGWHSLADVRFTESSDGRLIWMSSTQEMKGAPPSSEHCGSRAMTSRCFLLQDH YEGRVSKWSEDIIKARIEGRFSEKEREESTWTLRTVKMQDIGFGLYAAVFQEGAQQLI EGRREPAPIRVVLDNDKGTKGAPVGHYGVEILVEAKTGDMQRISMSTLGLNGKKFTDD VALEDFLPTLSRRIIQLLSTQFSVANLRLVPALVSYHTKILRTINVTPRTEKNTRSFL SSSPVKMLSNLLVGSTASSSTTSVDNAGINGSRHKRTRSNSTRDVTVIAGSIKDRDIP RLTVEEEPPENPLVRLEQTFTGFVANMQARKGYFVARTLLNRALADELQVNDLYNRLI EFPFDLDAASELGTEVIFAAFEKFIRIAWREQIGPVMTMEHLEALQVRASKKVVGDFA DFVRFIFSDMAPQNRRAFTAIIKLLADLLEGCGNDGDRGALTLAFAELLVYDGNAPDY INLLDRLVEDCDRIFEKADARDPTGLLSSLSYESLITPSNRSQKSTTGSITSNTSSLR RKFGFDTLLRQNSKTDTERSSVWRTLSKHSKTPSSENGSLSKASRSKSVDMGVNYTYT PNRFRRPGSRDRPSVAGAFDDIGHRPGSSHRLETIGEPEGTESGSVKSIKKKRRSSLS DLKSLMAATSLEDDAEVMPLQVNKQQTAEKFNSVPRPPSPSKIPIGPQSHVSTFRSSK QKENTVDPFQPPVESAEENEKPVPQRTRARTMTISQIPTLKPPANPRIPVPPSPEPPT RPSSSPGKPAGTSQKLRLQSPQKLRERLQTEKRAVEEVDASLRSELSRISEDMARVNS ALPRSATADIRKLSVAVKALEDRVPQVLEELEERHALMQRDLEATLRATEAKVRAIDQ LYKEATAENELLYEKFNSELGKIVKALKGKNREDKEELVARLKESSDEAARIKKENAR LKREMVSLRALLKGATSAAGAGSGGTGSDGEGN MYCTH_2068800 MPEPQPNAPGHEGNSLPLDHSHILLTSFNSFLTVAIHNILYYRN IYPATTFLSTKAYNLPVHQNRHPKVCAWIRDAVDAVAAQLSTGHVSRIAIVIHSPYES TAPPRPPRDSSPSGSEVFTPTPSTSSSSQPPAPIPPGSVLERWMIDTSRFPAWPSTSN NNNNNNNNNPGSNGEATGPSAAETAKGMRDFARVLARDARSEDARERHLAPDLANPSF GWPDLDEQLRGALRRMASVAEGMAPLPLEGCTFTVAVELAEEGRAPIGHPQVWIPSEP NLQPKGRGREVAGQDIGGVRTRPIRSVEAGPLFFECWVEESKAKEALMRMTERGEGGS QS MYCTH_2310505 MDEHLAQLLANTQDKNEGPRKQAELDLLHAQRNPEFPLSLARIG VHTGAPVQIRQSALTYLRKFIEKNWAPDDAGSGPQIPIEDSTKDYLRNVILELVLNPE DERKVKVAASYVVSKIATADFPHRWPNLLPSVLGVMPNGTDAQLHGALRILQDLVEES LTDEQFFGVARDIVKACYDVALNENRKQNHRSLAVLVFRSCFDLMDIVKEDHKKEVKS FAEQVLSGWLPFLEQVIKTPLPPVLENSGSQPENWYGPIALKDQAVKTLIKIRTVFPS LLLPQSLALFTATWEELSRLVPSHQALFIDSDAQSRLEDIDGLPFTLDFLVLDELDFL NQCIRAPPVQKQLEAEIKSRGAVHDTPWVLDLMNLLVSYSQVTQEEEGLWDIDVSLYL ADETSVSSNYTARTACGDLVIKLGEWLNKAALEGLFAYTKTLFSGEGATWQKQEASLY LFNSILNDFQDCDKTVPPEIANAYLELVTYAISRQDEPMLRARGYLVAGALAQVYQPA LGLLDKAIEAITRDESELVQVASIKAVEGFIRGGAPADRQVPIVLAIQGFLEAKDLSD LEDADDLLVTLLETLRTTISMDTRIAIQPDSKAVDLLFLIAKHGAANFHVTLIVCETF EDIARTYKDNEGPLKDMGHPRLYAALCSKVLPSITGTFDVANLTQDDPLVTLAAELLS LLVQYGSEPLPEGFVAATLPKLHHLLMTSSEGDILRPSSEAVKYILMHDHKQVFSWQD ESGRSGLEVCLRVIDRLLGPNIEDTAASEIGGLAAELVEKAGHERLGPFLPQLLQAVA TRLDTAQAAPFIQSLILVFARLSLVGAQDVVNFLSDIQIKGQVGLQVVLSKWLENSVN FAGYDEIRQNVIALSKLYSLNDSRVAQTMVKGDLIIANTDRIMTRSRAKLNPDRYTII PAPLKILKVLIEELVSASGMQAASNMAAAAATELAEEDEDGDGDNDGWEDEPDDTLDL ALGSTKSDLMGWAEGGNNSRQRDDETQAYLIDFFMRADAENIADFRNWCGMLTDDEKR KIKEAAQ MYCTH_2310508 MAPSDPLGPAAVLKSMADALPPHENNDTAADLKNPLDSIALFVH ACMVNLGFRLLGFDEDHTIEEECARHAPNLPPQWNTSSGSHGFVYAHTQSAMRFVLHI DRLGAKVEIRGLATGDERIARFDITANDYVSPSALPLRVSRSSEATQDNSDLVRKLKT IFISEERIKDLASLLKITIIQRLLPALQKEGYTETLPARPTRASPPPRRPDEPSHLPP PAAPHPYPAPDPLAAPPPHRPVPAGDFPPPGFEDEYEINRPPGRPWPGSAPAPGLGGF GRVGHDDLYPAGLGPHDPITGSFTGGGIGPGGLRRPGGPGTGGMGGGMHPTFEDPLFR APGGRGGQGEEDDTFGGQVPPGARWDPVGPGGQPRFGGGRGPRGGGGFGGFGGFGGGD II MYCTH_2070514 MRDFHDRTAYEQTKTPAPARVIFQEFLKVLGRTEAEQPLIWYSR SVHMRSVLAVPALSPSPVGLGGDQNTTEEEEGEGENKGEVESGEVIMLDDRLLEKISK MPPTAGYAGDRDLVDVSVYLWDDEYTKEDRNALGLLQGAMRAAHLPPLEVVDCREVPS VWEIVDEHTSSSRVLAGGSGNVSPSPSPSPSGSYEEFKLDRRRAPHTVGSAHLYIRRD IVEAAERESIVPPYPVTFPHDYPYKHDNWYLDGLQRHGSGRVVKRSETDG MYCTH_2310511 MSTQETGNIPLSHAPDGTGYKLMELPPELLELLESQDPPTLTLH PSPTAALLKTPSSTYSLRQKNTSNALILLAPSSASSGGESADDGRPPAAVGLNAIATL HETIELVPEEGNTATPAPKARGKWHEKFGRGR MYCTH_2310513 MVYANEVVFSDSPTPLPSPSPLSLPQPDYFDDLVIDDYFPQFVP ERSPAMSPILRPTPGPDAEQDGTSRCEEIVLDCFPDICPDYLKSQVSKHEGDSQSLIT ELLDNLENGQSYPKRSNSLKRKRREDEDEDEEEKLRKKFEGGDPRLASNGPAYVSLYL KTAKSLLKASFPERYVDDIESAFKANNQQLYTTYLLLDEASWNPEARPMRIKRVVSRQ PHPEEALRTGNHEPAELDALEAFDAARAICAAKAQARKSKEAEERRKTQEEEENLQRA RADGTVAECGCCYDELALNRMVHCDGENTHWFCRPCARKMAEHAIGLSKHRLGCMSVE GCDGTFSKDQKELFLDDKLTTALELIEQEEVLRLAGIDGLEHCPFCRFAAEYPSVGEN KEFRCENPECRLVSCRLCRRQTHIPKTCEEAAEERGISARRRIEEAMSAALIRKCNKC GAAFIKENGCNKMRCTRAGCGNVQCYVCSKSCDYSHFDDRSRGGKRGNCPLFDDVEQR HRNEVRAAEELARKQVASENPDLNEDLLKVNFSEQAEKDDERRRTTVNPAPPPFPFVQ HNQPAVFAPWQPFAQVLTPQTNLAAPAGQAQAQQPRTQQGPAAQMAQAGALPFYVPNF ANPVAQLGMLGVPVAPVATMAPANGAVPLVPNPEQNARRALSEGLKAAWEVYRRVTAH TSTAANTGASGKYGTGIPTTGVSRALFGGLASPNASAQGPASQARYLAQPIIQPDQNT QEQGIIHNAAGPLAQQFPWPFGGFDMAHGFQGGHPPPTRDQGGA MYCTH_2310516 MPPYVPRKRIRDDTPPTQNESKPNQGRETKTAIAPPRKSTLFDD LDASSTPRSSTRNGSSVLKIDDSEDDESPLSSLSDAEFEDIPAPKRHKVGAPNDKEDK DEEEEDDDEDEDIEFEDVKTPHAALKDVPTPSGDLELTLIRDTRISLANALGKKGPSK LERKIRIATHCVHVQFLLWHNAIRNSWLCDPIVQGILLSHLPPRLWAEVDRWKVASGL EVPEESPRSGRIQQDVKGKGKAQLRKSRDWSEASQRLEKGAVDMSHGDPLFRLMKYLA SWWKQRFRITAPGLRKWGYMSLERLDRLTKAFKQEGHDQERFGERIRNLDEFRICAQE CAGSRDVGAQLFTALLRALGLEARLVANLQPLGFGWTKLEEADPEKEGFSFGQTAQKD SEEVVRKGTAAPKPRSTRRAKSAVPTRKSRRNKQAEMEEPSDSELAVDNSDDDLAIEL PQAPPKRGKVYDADLEFPHYWTEVLSPVTNKYLPVDPIVKSVIATNRELVESLEPRGG KADKAKQAMAYVVGHSRDGTAKDVTIRYLKRQMLPGRTKGMRIPTEKVPVYNRHGKVK RYDQFDWFKSVMRGYARGGPKHPVTEADQVEDASDLKPVKHEKKEVKDGEETLQYYKQ SKEFVLARHLKREEALLPTAKPVKIFKNKGKAGEEEPVYLRKDVVQVKSAETWHKQGR APKPGEQPLKRVPYRAATTNRQREIAEAEAATGEKVLQGLYSFDQTDWIIPPPIRDGI IPKNEYGNIDLFVEHMCPQGAVHVPYRGALRVAKRLGIDFAEAVVDFEFGHRMAVPVI QGIVIAEEHYDAVMEELAKDEAEKKRKEDEKRRKAALSMWRRFLMGLRIVERIKQDYG QVEVSADAAGPGGGGEAKGEETRDVDAGTGLEDEDMGGGFLPEGYEDAEEKTTSLTSG FFPVVDEEEGTGSEEGGLEIDHGEGAREPAAEPSTSVMSTRPKRKAVSKAQATRRRRR PNIPSSSEEEQDEEIDSQSSDDE MYCTH_105197 MASPNPNKSDETSYVQMSAAAVDSRGTDASLVGSHCQYSYCNQL DFLPFRCQSCNKTFCLEHRTEDSHECTNPGAWAARRREQELARPSLGQGKPMRDPVGR KKVCADDSCSAVIGTPLAPGVPCPGCNRDYCLKHRLREEHDCSSKTPIGARAAGAAQA AAVAEGARSALERFRLWGQAKKQQAKMSLASTSSKPKVAQMVAVNNLKKTAKGDAKIA PEKRIYLYVEAEAATARAKIPKGEFFYSQDWVVGKMLDAAARSLQVPNVNNQSSSEED KLRVFHVEGGRLLDFNEKLGDVLQSGNTVVLLRGVGPPPDLIEA MYCTH_2310518 MWPFSSSSEKPTAPSSAQNESTASAQSQSAADHLKKADADIDPK NLPEKQKLPPRLQRLLDKSAKEENLFDELVEGYVPPSTDSNVRYAAYAARFRTILLSA HRYVAYTSDIGESFRPVAHPWLVRSAYGVSWAYILGDVSYEGYKAYLHNQRVLNPQLE LTTRQQQILGLDDDGAAPRPAAPPGVIPPLEDYRTVMLQRGIFQSIASMGLPAFTIHS VVKYSGRAMKDVKNAKLRTWAPIGLGLSVVPFLPAMFDKPVENAVEWAFHKGFETFGG PQAVGNAPLIGREKRLSERPKEKRE MYCTH_2310521 MVQTYKIFGANVGSHYLAMGVLGTLFAGSYTAFGGGSKAQPASP PINASSSDEADFIKKFVEEAQAGEKNSGGAAKH MYCTH_2310525 MAATFSPTPYQEGRLLDLQVCKSYSPNLPSRITATISRVISMTM SVVLDVTFQTRQGPPVHRVLKLYDRRFGSCLREVRKDRAAPHTQENEAAFEAFVRRGM MPGFLRHRKERNETETLPVRAREFLDEPNRTEGLAKYEAALWQDCIEHFECETKAYHR LTDLQGTLVPRIHAHVSLLPTKLATIIPQEIAPYFEVRGILLERIDGYCLENLTLGPL PQNLRTWQHIIQLAVDAAYEINKRGVIMEDCAPRNVVVDRQSQTPRIVDLAQCIFRDE MVSEWYQWGWHEDEDWDPDVEYWEQVSTTNNPGAIGAVMANRVQRKTGVKLDFRYPDW SGIIAKIRRRKEEAAAVERKTPRR MYCTH_2310527 MAAEERVLRLRKQKRMWFEKMMRAIARGIDSVEELERVEREEAA AAVAAEASGVTASSSTPSRLSADFGQLWDAVYPEVPLDPSLLADFGLVSGSSLSVGQG SSGGTAEVSRGNSGS MYCTH_60726 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI THRILQEQRRIKDAKRRAELKLDKS MYCTH_2069029 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_2129999 MVQNGGDFDLDIDMATDQRVQELDQRDKAAQARIKELENREKYS QKLVNKAYAKIEALEGAKAKRIKVEPPGKYRGTKEDLFPDDKAKVLYVAIRLEGKALR WFEPTWNDYLTEEDEDDRDTFTQAVFRSYDRFEEELRKLNDEALMQLFYNGLKEKVKD ELYKYDRPETLDEYIAQAIRIDDRLYIREQQKRGRINGTTVKANDKKKRAYVSTSYRT HPGAIDVDAAQKQDQKKTTKDKSNVTCYNYGKKGHYKQECRSPKKGWKPTPGKEITAI DETTKDVIEVAATSYEDKGSDTDSLGYDGNGEDEQAPNSELSDEMKDEMRELQRIVET IKGEQPVTYDGPDSYTEHLDGQQLSNDVRNLEYQFM MYCTH_90104 MDGRQTETVRSSVVEEQQHQHQQHQQQQQQQQRPIVHDRATFAE VAERLRGHIRVVHQEADLIESTVDDVLRDPNLEELREAAKRVRLTARFMGFELDRLMA IHGRLERSQTVVPSRTVETTAAVALAAAATPADDAAAAASGSSGSAGSTGSDDDPADA GDSSSGSASA MYCTH_2310539 MKDGGIGGLEASREPPPLQLDGVKSSSRLAAATRPIPTSTIAPE PKAGSTQTEKWNTKNLALRLGADLISAASAAVMVAPIISIIDRSIMENASGRRSLAQS IKSSIQALLRTPQRVVFSKPFALIFALYGGTYLTANSLDTATSTVRALPATHVSSGTA KFAASSAANIGLCIYKDQVFVRLFGPPGAAPRPVALPSYALFALRDCMTIFASFNVPP LLGPVLSRRVGDEVQRFVSGQTMAQFAAPATLQLVSTPVHLWGLDVYNRPGATSWRDR WAVVRKNWAVSTAARICRIVPAFGVGGVVNYKVRRGLMERLV MYCTH_2310541 MFLKHIDLTGALRPTFVSDDEDLLFVQDNVGLYEGKFKLPNHQN GQIYLTSHRICYVDKAEPRKHSVALDLKDVERFEFYGGFLKSSAKITLIPKPTKRASL QPRSPVAAGAPSRSSTSSPAQQHDGSFRVSQADAAPASSATWVCTICSFSNPVPSNFD PTTANVHTPLPPCLACGIKPSLTHILKAAISNATSRQPNSPALQTPLPVRPKSGADLS LGPDLARDSSPQSSDPDASFQCPRCTFLNHPSLLSCEICGAALISHDLPPELAPQTRA HTESPGPVLHAHSPLASGADNPESIKISFRGGGEKIFYERLKSSMTQRKWLLQGAPPI PKAPRPGESSLDGPGTGSSTSAPATTTPTSQSRAKIGGIAGLEHHSQAVRKNNELVIG SAFEDLEALMASAKEVVALAESFARQVKGASSAGENALLADSVNQLGLVATKDIVGSS SGAGGSDSLYLSELARTLAEFLTDDRRGVLRKAGGIISLVDLWAMFNRARGGVELVSP ADFEKAAALWERLGLPVRLRTFKSGVKVVQGRDRTDETTIKALLAWLKDLHEIPPERE VPWDWRRFGRGVTARDAAERFGWSIGVAEEELEMAEERGVLCREEGIEGLKFWENFID TGEGKSYKDPAKEQSDKLVKMLMEAGFL MYCTH_2310543 MMPTWPAAPPSGAQPPAGYTASYSAPAYTAVPVRQSFGQSYSAP QSSYPTAHTYGPPHSPSPSAANSATNVNTPSPAAQDQTKTKIDWPDSVRRYVQRAFIP ANLDSSVSRAEMEVKLKETITQANEKGVMYTLDWDKMPLPQEMIREERARALLPLNSK PQTQAPTQSTTTKKRKSWDLTDSETPNSPSATPPPWRSGAGRLEDRVSFSSDRRLTSE ELPKSSKFNRADKRQKRQEGEYTTFREETPPPSDGPVVGTCQDLEKRYLRLTAAPKPS QVRPPHILRQTLELLKKRWKKDQNYSYICDQFKSMRQDLTVQRIRDDFTVEVYEIHAR IALEKGDLGEYNQCQSQLKGLYKLGLKGKANEFKAYRILYYIHTANRTELNNALADLT AAEKKDKAIKHALDVRSALALGNYHRFFQLYNDTPNMGAYLMDMFVGRERLAALCNIC KAYKPDVPLRFVTEELYFESDVEAAQFILNHDGQDLLEDRNGTIVFLTGKAGPRFEGA RAEAFSRVDIKGQI MYCTH_2310546 MKALRIGRRSIKDEKGKPHISIPQKSAVAIVPPKKVIRALYDYT AQSPQELSFSRGDFFHVIGRENDPDWYEACNPALPDARGLVPVSFFQALGRNERDSGQ SQPDSAQSAKHPDHDSGYGEAPAAVTPSAPASQRNSKSSGKPGAMVYGVVVYDFHAER GDELEAKAGEAIIVIAQSNPEWFVAKPIGRLGGPGLIPVSFVEIRDMATNTPVANPQE AVRKAGIPKVEEWKKMAADYKNSSITLGKFETGTQAQPIEQGMERMSLQQSSGRVSQN SGSNMQQQAQQGGYVTQQSPVQQTQPSLQNSQLPAPVSARIPRYCFAEDKYWFVIEAE LEDGRTWELARYYEDFYDFQIALLTEFPVEAGTTGKKQRTLPYMPGPVNYVTDAITEG RRHNLDAYVKNLLAQPPYISKCNLVRQFFAPREGDYEIDPSTLDEEYRLSAGSRPSSA DSPANSASRQPSQQNLGGSGYGNLGGARQPGQQQQQQQPPMARQVSSLSQPSQSSLSP GMQTAGSFMKVKLSYNGDIIAIKVPTDVTFESLYDKIVDRLKIPPGEEPQLSYKDEAT GDKPPLMSNHDLDVALQRNEKLLLYVE MYCTH_74504 MSSAEIAPAVTTTTTTTTTTAQTPTPTPTPAKERHSLTLDQRRA LRRWASSQPVRPSHKACIDWFQSQYNQTISQSTVSHSLSPKYARLDGDTQLSGSRLRF GNWPDVERLVLLWHQTTVNSGRIPSNDELAEKAKSIFTQLPRYKDETPPEFSPGWIHR FKKRYGLLIRRQRRHGSVQNAADDIPYLVDAVPRFMNVTPDVSPAAIREAVQRVVGVE ASLAQHPGVHHPNGDTPMYNADEDPEVVLQNALHALQQEEADAEAQAAAEREARERSE RGLPPPGSLAAGQAYAQQAVAAVNAISTPVSAARASVGPPPSAGNGGVAGAGAGAGAA GAPGSTPSATAAGPRFIAPTPPPAASGSAVGAGSGGEDLTLTPIPSGGPVSVNDRPVR CPFCLNQRMLRTIKEAVEHMSTHVIV MYCTH_37941 MTNSSSTTPQDSHVGPKSTGGKASAVQTPANSHLGQDKPGIFDE QGAVGKQFTPEGALGGAAQKVGRPLDKEGVIGKQFTTEGSIGGTVQNMMGGASKKSN MYCTH_2310547 MKHLLSLPKQRDVKYNTHRRRKPFIEKGSRDVAAMIIQVTGDEV LRKCKRCGQGKGPFEGCVVVAQAAHDRAKRRYPCCANCLFGGKKLQCTLAKSTRTRTS LAASAAAQSPGVSAPSKEVNEAGFHGEPRTQQSTVDDGISTTPASPSLSDLSTATALA SHGTFQCPHDMIEMEDWEIAPGRIRGTSIIAEPETIAFSKPYLSNTTSTPHAAVPVCD DVGFRVDTVQPGDKLLLDAEADKTRLCSVAAGKVRVSIGGEPEFVLGPHGLFKVRAGA ACTVRNELSFDAVLHTVVLGGY MYCTH_2310549 MEDPANEASGSFGPSHKSPAALEAAFDSAATTRVDTNSTQQTVQ NGLPKRVFKMKRTGFSTLPQNTTTRELSSSNAHPPATPGHLAEREPKAVQIISYLPSR ISTTPVTGTSVTPSKAQSASSSARKTKYATDEERRKATSIALKQRWASGVMANVHKKR LETIRRRKEAEALLGTPGIAKSSSSVVDLKQATKSSKSLTRLSWVPNSDARQAHEARE RPVVSEQFSSNKRRLTAESATSSSHEDLDAQSENSTWLSISSVLDGDQAVEQPSDEDE SKSRTNNDASFITMAASDRAYSKWRDERGSLIPTYGALIPEGYKFSTTTPGRPWICPV RSCRKVFAKLRELGSHFNRGHRGAQLHDNEDGTLSERTTGRLRRPSSKLAAPFPAVVI SRGPPDPAEAPAVEPSFPTLVPGKQLLGVQDASMLEGNDDIDLDTEGTTNTGDEANIG SAIEESQEATPITTNGVPTNIAEAEPGRPYTMWPDENGELVPTYGSLLPAGYQLDNTI PGRPWVCPVRTCRTSHCKRSDLGFHFMVQ MYCTH_90096 MSSSTQPRWRRDAAAGAPVWRPGMIAFLHKKKECYPEAIEDLIS TGHLPHGALGHPVIILQRPSMQSTHVLITTVSSYCAEENNGSPPWKQPRHRSKCPEDF RSFLGSERVSNTYQPLRLHPGKQFPKPSASWVYIQSAFVVPVCVLGRFFRPPMPRGMM LTMLPESLEDLRCHMAAKCKAWAECQERLLAAEAARLSLPAPLAAYTVPVPAAAAANP SSPSTSDEEGEPAATVPAARRPDPPLATSTPGPRIRSFAATLRGSGAALAPTSASALA VPWRRQGTSKHRDKPWKTRKNWRE MYCTH_60517 MASTEATLRSFFQASKYAVVGASTNTEKFGYKVFKWYVNHGLPA TPINPSAPAINVDGKDYPTAASLSALQEAEGTAVSIITAPPVTKKTLEEAKDLGIQAV FLQPGTFDDDVLANARENFATVLAGKGGAGSEGWCVLVDGERGLRAAGKL MYCTH_2310551 MASTNYKEAFSLFDTRGVGRVSLDKLGDLLRACGQNPTLAEIHE LEKSVGGEFDFETFQRVLNRPGGFRDPGEPEEYCRGFQVFDKDMTGFIGVGQLKYILT NLGEKMTEEEVDELLKAVDTSSGQVNYTELVRTILSN MYCTH_2310556 MAKYANPPQPPPLFTGTKDSIVADSKSLCDRTRSLLDSLVANIK PAENPAAATFDSVIRPQVEDENESSLSARILGFYQYVSADSALRDASTEADKILDEFA IECSMREDVFRLVDAVYRNSGLAESLEKDKNRLIDEALAKSAGLDDVESARLLEKERK SYIRNGLGLPEGPKRDRFKEIKKRLSQIQIEFQKNLNEENGGIWFTKEELDGVPQDVL DTLEKGTGENEGKLRLTFKYPDLFPTLKFAKNPETRRRVFIANENKCNQNVPLFKEAI LLRDEAARLLGYPDHASFRIEDKMAKTPKTVLDFLGDLKSRLAAGGVKEIEHLLELKK KDHEARNLPFDGNYYLWDHRFYDRMMVEQEYSIDENAIAEYFPLKSTVAGMLRIFEEL FGLVFVELTPEDRKRISPTGKGEDIAWHEDVIIFSVWNDESEGDGFVGYLYLDLHPRP GKYGHAANFSLQPGFLKPDGTRRYPATALVCNFSKPTDKKPSLLKHDEVVTLFHELGH GIHDLAGRTRYARYHGTSTVRDFVEAPSQMLENWCWTPSQLKSLSSHYETGQPIPDDL IEKLIATKHVNDALFNLRQLHFGLFDMTVHTPETHEKLEKLDVSKLYNELRVEISQIK GPEAQGEPSTWGNGQATFGHLIGGYDAGYYGYLSSQVYSTDMFYSVFKSNPMDPVQGR RYRHTVLEKGGSQDEMLTLEQFLGRKPSTEAFYKELGLEN MYCTH_60509 MASITPVSDTKTPEKKADMFDSPDPVSQSQSDDEVGEVRHAALG DYEKQKAAEGEAHFHRLGWKRLTIVLIVTAVALGSLSLPGAFATLGMVAGVIITVGIG LVALYASYVIGQMKLKYPDISHYTDVGRMMCGRFGYEFISVMFVLQLVFTTGSHVLTG AIMFGNLSENGACTVVFTVVSGIILFLVAIPPSFAEMAILGYIDFASIIAAIFITIIA TGVRAGDLPGGVGSVAWSAWPKEDLSLAEAFIAISNIVFAYSFALCQFSFMDEMHTPK DYDKAVVTLGVFEIFLYTLTGALVYAFVGPDVQSPALLSAGPLVSKVAFGIAIPVIFI SGSINTTVVARYIHGRVFRNSIIRYVNTPMGWATWIGLVAALTVLAWVIAGAVPFFSD LLAICSSLFVSGFSFYFPAIMWFMFIKEGKWYERKNWALTAANAITFIVGIAVLGVGT YSAIWDINHRYKTGMVSDPFSCAPLA MYCTH_2310561 MPESKPLLCFRVFFPFVFLSMVAFLAVYLFPAAFPARDLFTFSA LLEMMKPVFVQVGQPMRWRLSTLVEMWSRCTRMLGGSPAFTEWMEPWSSQVQASNPAI VRYVYRNYP MYCTH_2310562 MEPTDRYFIFENRLDSFRVPQVVSSKGKAAKTLAWPHKTLSPVA LAKAGFFYEPHLKSPDNVVCFLCEKSLDGWEENDNPVGEHLKHSPTCGWAIMAAIEAG YGNYGKLHPLDPAMVEARKATFAGRWPYESKKGFKCKTKKLVEGGWKYTPSLEADDMT TCAYCDLALEGWESDDNPFDEHYKRKPDCLFFALINQYPAPKKGRGRAARSSKPSRLS VQSVATVATTVSDLTSTADISADHDDSVMTTASTMTQGGRKTAKGRKPVTGKGRKTKA KKEEAVDILEDGGQELQQQSGARGHKRASDAMEDPSVVNAEAPASKKRATKARASMAV NTSSIIDADMGDALPAKQPASRKRASASTAKTKRMTSRASITSQASTASLRAQMPDDD ELERQLEADLERYNSDADGISVEQIPPPARGRPKKATTARKVSVQKKKKAEHDSRAML DPTPMVPNDAEIEADFEAIQAGMEVEQIAAAGTLAVPKKGRKAGTRKVSRQISKVKEP VPPSEPAEAEEVRALEQAQARDIDAPQPQPDVEMVPAEDPDVSTETVLTKPVAPPSNE KRRRGRPSKKSTASQASVEDLAPRPSMEASGKVAATQPRESIPAPTDPPDAIFRKLAP DSSLNSARSQLPASVPATTTTPQKSSKTLPAPPSSSSRLPQPPSTPRTRPSLSARSNN QTTGTTRTRSSPQSSDAENQPPTSLQPAASVLPKRVVLAPLDTAVAQTPNRNSPSRRN IISGLQSSHPWEPADLDLLFSSSPVRSPHDGEDDKENGHSGQRGVAKLLRKGAELTSP EKRMTVEEWIYHNAGLAEQKLKRECEAMVAAFEAEGMRALKVLEGLVVEA MYCTH_2069697 MGHKTRKGVLYVTSFFVPPLAVYLRRGTNADFGLNVLLTLLGWI PGVLHAMYLVSK MYCTH_2310565 MVRGRIPAAVLSRLAPRSRPLRLVFTPYVKASSAVQLGYPLVPA RNYGTTRNDGAGGVHANEAQESARHDAAADSAAATARTDAKSAQGLAKEATTSSIAGS SVVSDVQDWEYDPNMKVESFRDLPHANFGVNQHIPFDAEFKEVLKAIPWQFRAPIRYA FAYGSGVFPQSKPSGKTATEEEIRSVHPKAPLAVQRAQDGTPKMIDFIFGVSHTQHWH SLNMKQHRDHYSSLASLGSGAVSYVQDRLGAGVYFNPYVVVNGILIKYGVVQLNTLEK DLTQWDTLYLAGRLHKPVKILRDDPKIRLANQMNLLSALRTALLLLPPNFTEEELYGT IAGISYLGDPRMALPTENPRKVKNIVGNNMANFRRLYLPLIETLPNVAFNDPGVSAKD WIWDETKNLRLAQDMDPVRRGNMVRRLPKAFRSRLYFQYQKKLAIPSEEFRKMMDESK HEDSVAFKRREGGGFERRIAQDDPVELRNYIRTVIKQTIGWPATTQSLKGPLTSGFRR TWRYLREKIDKYRQGRRAEKENAANGPESTSGSDGSA MYCTH_2310567 MTMMLNRQQIPSFRNSTTTQPSYNILTGEPNVPGGTMARPGSRQ GSVSGPSPPRGRAATAASSLSRESSKENRPPADAEEYEAQRRRIEELKAEVGTLQYQI SSYEQEKELARLQMENEMRDTKRRAEDDFKAKQAAEAERGKAQRQVEALQSELDELRA EKERQKRDLEAKARDALEEARLLQEQLEELSAAKDEAARMAEREAIDLRAKLASLQRT ANELEEENKAKDDALEKAQSQLAERDETIGRLEADVLRLKAQTGDAETIAVIRRELSD QVIHIRNLEAKNREQGMELKHLRQVHKAVEIVEEEKRSLQRKLEAVEAIQQELDEERR QRQRLEDERQSWAAYLQDEPGADGQNEFSSPEDVARALVAERLRSASLVEKLGELQPE IADRDNIIKSLEDEKAELLDQVEKLRAAGGSGAGNDKARARLERQRALAQKEVEYLRA QLKTFEMEDMTLQPESVDQQKVKRIQELEDLVDKYKAEVSTLHADLSSLESASTSPVQ PVLLGSKRPREADDAESEHLGQLARKNRKLQSELSELQTAHRLLQKEHEVATSQLASA QAQLQTRILSLRSNPTSDHEATKAATLAALKLENAELLAHIQRQPTLFATVPASQLAA AQREIAEAKAETASAQKSARRLKEVWAAKSAEFKEAVFSTLGWTVTFIPGGKMRVESV YYPSRTDEHENSIVFDGERGTMKVGGGPRSAFAQKIGDNIKFWVRERGCVPCFLAALT LEFYEERTRAGGGVEG MYCTH_74487 MASVDDLSRSEYPAMLANLQPSQAVQVLSDRVKRIARANQEIAD WLQQYVAGLRKLLVFKVPNAASELGIFQAPWDKILSSTDGIAASHQLFAQRIDKDVEQ PLRNFQNRKEMQNMQTISANLSAMAKELEDATEKSEKLSKKGGKANAQKVDQAAARLE SATQTWESQAPFIYETLQALDEQRINHLRDVLTQFETHEVDQATRTQAAAEEVLNVML EVNTAQEIQNFVQRTVAGKPKVEKKSFTRQPTPVTPTAAPSSITGDDGSEHSGHRENN PPESKLRSRIGTMLGRRRQSVHGGFGQLGSPPKSLGSFSRGLGSSHSQTLSPRASSHN LTGSQHRLPSVVESPTGEQGRDSTAENEQAKLSHEGTNGDASGEGPKDTQPSQPSLLN GTAEDIFDAPGTAPPSQQRGRGEPDKDADGFTIPPQMNDPISQAQREAAAEEGDHPFK LNIKNEPIPEEDQDAKQAALSSVANALNMGMPTRRTGTVRGRREVRNTVYMPSLPTHD ISPEHPFPVSPSLPTSATVPKPTPPATFTSETSRASDTQSIRSGTSFGGGSSYSGIAR IKHPEMSGPVYGPGLHSSIIETVSAVFQNGEPSWVRVTGEVALSYVSDPEAPFADHET IRLNNFSTLESIGPNRAVVANTANPDEFSVDLSHLTTTTPVFTYRVHADSDTALASQC PITISPVWKPQADKLGLLLQYRLNPSCALPRPITLSNVVFIATYEGARASGVQTKPSG THLKDKHIVYWRLGDVTLTEEWSKIICRIIGEQNAEPMPGKVEVRWEWTPPAHSASEG GSGISVARLLPEEKGKGKAVEVEEEDPFADASSVPPSPDPTGQQGRAWADVPVVKRLV SGKYESK MYCTH_2140577 MAESDWRSSLTATQRYDNIQNLMRVLAAAGITQSAFEIENEAYK TAANREEYDAACNPPVSKAEDPESPVSQPDSAVVEHAGRESLPGITIGNYPNCHYIAS GVTSEVYRADVHALKVVVETHNIEPHNPHREAKILSSLRDPDARSSLRDPNPPHIIPL LETFRDPKTQNFVLVFPYAPFTLASLLSTHRPASSPLPDAVTRTIFTGLFRALSHLHS RCIIHRDIKPSALLLTTPTPTDPSQVYLSDFSTAWHPTFSPGDNNTEPAHHKILDVGT GPYRAPETLFGNRSYGPPVDLWAAGVTLAECFARRPPFESRPAHEDGNQLGLVLSIFQ TLGTPTPETWPEAVGFRTPPFEMYRVFEGRVATEGWQAVVPGLPSGEGSWMELVQGLL RFESAQRVTADEALKFPCMKEGGGDKSE MYCTH_2096434 MAGEPGEAMAHSAFDTILVLDFGSQYTHLITRRLRELNVFSEML PCTQKLSELKFKPKGIILSGGPYSVYEEGSPHVDPAVFDLNVPILGICYGMQELAYRL NPENVIAGTHREYGHAMLKARSLDNHVDRLFEGIEESMRVWMSHGDKLAKLPEGFHTV ATSDNSEYAAIGHETKPIYGLQFHPEVTHTQNGSKLLKNFAVNICGCKQEWTMQKFLD EAIAQIRHTVGEKGQVLAAVSGGVDSTVAAKLMKEAIGSRFWAVLVNNGVMRLNECEQ VQKDLSEALGINLTVVDASQQFLDGLKGIEDPEKKRKFIGGKFIDIFEEEAIKIEKAA ASDPTKAGKIEFFMQGTLYPDVIESLSFKGPSATIKTHHNVGGLPARMANGQGLKLIE PLRSLYKDEVRALGRALGIPEELVMRHPFPGPGIAVRILGEVTPEKVEIARQADHIFI SEIRKAGIYDRISQAYAAVDPSRAVGVMGDKRVYGYIVVLRAVTTTDFMTAEAYNFPW EFLQRVMNRIVNEVHGVCRVVYDITSKPPGTIELE MYCTH_2310585 MEARAERAAPPPFPRRLEELRQARLVPPSWLAWESPQIYPASLR IPVRDGHH MYCTH_2310586 MKNRQKSRSGCQICKLRRLRCDETKPGCRNCAQKGFECPGYQQR LQWSTKHERPTTTQTRGPPNFSQLVTAASESIVSTPATTAQRSGHGGARASPVVPVFD GRTRPTTFLSPSTSASPSASGSYSSPSSSTASPPAHHEDSTVSAEAHARLAPVLNSVA SNDNNAMTPTPKTEPGTPDEAEQASGLTMFQPAVDIPTFLIEHWFKSVCPSWSALDSP ANPYRSLTAKLWHSSTPVFYALQSISAASLVERLPHVMRDTAQAAPRKADEAIQEELC AFSTATRPKFPTELLLSLFCMSSSTCWLEASQLGQQYLRQARAVLKVLEGWTLDSESQ ELLTFFKGCLIYEEMLRSVVSDGEDEIQHMLGWPEPAIRLPLVPANPHPWTGVSSEIL RPFGKALALCRRSRNRWRLSGATTYRVLKGAMKDIEEAKKVEDNLFLAGVPQIIV MYCTH_2310587 MGPIGQLQPLKLYSHKRGSNPWKVALTLEELDISYVSEYLEFDQ TKTEPSLSLNPNGKLPTLRIPTVKWLFLS MYCTH_2310588 MSAQGPACGHKVWLNRTYAANDLHAANEYFTKDIKRVISVLDSQ LRRRKGPFLLGPNISYTDLTFVPHYLMLSLFVPGYDPAIEHPDFAAWLERLKSRPSVQ KIVAVKEELGKRTISY MYCTH_2112885 MHRHGTRAEWFFKKNVKCGDKPCAACRSNNAKRIWRDDSVASAS SSSTLSSPSRSRTSSLPLVLAADTVASDFSSALNPAEESNTSVSPNPPPTAHGVEAST SNFLPSLAASSPTDPSTRKDGSAMRPKYLEINEKATFLTI MYCTH_2130022 MKKNDVPESYDDLDVSDMIRTGKLRSHIGRSSPEPVARNLKIEV PLLLCGDDHTSTVQGTRVLALDDLSDAKELIESSDTLSGSDGPTGQLVALFQTSAASV TRFAEQEKLRPLDATVRVTVPVMDFSIPVPEWEERIWEAKEMFQWVQKNLGIDWYNSF KWPHNRAAEQRLAWVPLPHVRSKKLVLEQLEVNAASLEFFLDGDSDDESFTSANYVYK EPGLAVLRSETNDDEGYLAPLQMSVQPLLGDSKNTGFVVKMTSPGEPAPTLLSNSPST ASGTASTSADLTNLLNRRKRQIEEALLKRRPDAEQRWPLAADPEVSASDIIDPTLISS TNVLRGFVSEYTDFGPLVDNFLEMHFPKKQKLTHSSYFTQQASTKSASQFKSDEAARL MPPPPKPVPALAPTINRPETPPRIVISSAISPNLIQQLERLVPAIELIPRNYERHRTD WCHSGTELPNLDEADIVVSPATGILVTTMVQLRQRAIPGGQLRESKTSITSVAAVVTK TTTTTNFFHVLESVVVRHERVVVLVSEGNRHNETASPLSQSDARALAELQGFGAGLSV ATAHVHVVYVGGGVETLAKWIAAVVCENYAREAAAVRELLLPVETSWEVFLRRAGMNV FAAQVVLGKLKVPDGGPAIGGQGGQMFGLPLFVTMSREQRVELFAGALGGRRVLDRVS DVIDGPWGKRAVNQLVVNSTMSSKWEAN MYCTH_2310589 MSSPKVRLVASTSNPNGSLPVRNEAHTHDSFFKSVQWSADGTTL FTSSFSNRICTFVLPETLLEPRQSPAELTATSALALPEPTSAIAPCPYFALENPSTQV LLTASTDHPIQLHHAFPPSSPSSTSSSHANDTYHNDDDDSQTTTPSTPASSSPAPPPP PPTGRPRPIASFRLIKQETEAYLPIASLLWPAPGTHFIAGTANRIALFDVSRPDALSP DPLLSIATIPSARHLAKGGGVGMRGMVSALAAQPVSSAVDDGGGGGAGWGWGWGLLAA GTWTRNLGVYDLVRSGDCVATWSVEGAAKEAGIGGRGVMQTLWSPCGRYLLVNERAAT GLLVYDLRGTHRLLGVLEGRDGTTNQRLSCDVFPGSERTGGFEVWAGTKDGAVVAWEG VGNQEGAVQPTWTWKAHGSAVGATAMHMSGSVVATCSGAWTFVGGDSSDSSEESGSEA STARRGSSKPRIAVKETSLKVWSLDSSFSSQSEEAVMDRGSAET MYCTH_2310592 MESLIAEDRCEELRDLSIVNLIVSIVIVIGMLISYLPQHVRIIK RGTSEGISPYFVLLGTTSATSAFANILLLPKSRQDVACCKEIETFHCIAGLLGIAQLG VQWICFTFIFVLFLVFFRYKSVDDTYDEEPDEDSPRWQTALLVASLTLLHGLAVIVIT GILSTVAKEYLPAWANALGVMAALLAAVQYVPQIWTTYHLKHVGSLSIPMMCIQTPGG FLFAASLFARLGWSGWSSWGIFVLTATMQGLLLCLAIYYEVKAHANSADAPKSPSQLP HRSQQRVNGFDGDSRADLEGDGATDTTPLLQDGRNGDTRRDYDTHRG MYCTH_2310595 MSSWELQDGQNVVVGRQNTAPCPNGNGTTIGTAQEFTVLCNTRL AGDVLDRFEASSSWRQGTASRARGRTMTGIGNSP MYCTH_2310597 MAVTHVPVAAGHDEDSDHHELPSNAQQHPHPHSQPALHQHSLSN ASTATVDIEAWTVAALESLSIAPVARGTGNALSIPLDGDALPGQSQRGSTPHNGAGGG GTPSGMKLRGVAFDDGRGSAAPYGAPITPPRRPPSRRDSMRRRDALLKGKEGSRQRRR WENDHLLHVPNVVPPLPSDWQICPTHRVLPPVPYQLAQYWDKGLRERAEAEKQRRRQK QKRNTEQQQQQQPEVGFVPRDLRNTAKRTPAVKSWLRALEEPVRAFVVERGLAVPPGA GAGAAAATTTTTTTTTTSARENKSGESESDTDSDDEEIVFVGRNARNARDGESWKKAQ RNVAGQKQQQQQQVEEERGMVLEMEDDEGGGGAFKRWLTHSISDYYGLDSKSVMVGNP ARRVVYVGVKQKQKQAALRQKPPNRPLLPPPLWEMF MYCTH_2310599 MKYGTALVALAGLSSAREINIYSRKLSKREVPQEHSHEATLRAT NEALKLNNPLNIQDAVFALLGNAAAAQGAGDVTNLDCLQQIIADQAFTNAKAAGDLEL MTQAILYRALERNTGSVGQASVLCNETATNPEIANIQQHQDPASPEAANNAAIELEVA KSLASIGADPLLALQSATFPPGEIGDPTAKGNTCDDPDDPQGCIFTLNLLTPAVTEDE ILAAVADITPGAGAGGDVDDGVDDGSDDACEVIVTPPANDTQVVAPPSNNTDGGNGAG DSATGTVNIQTFTGSLGGVPPPVESTAGSDRPFSVNGATFTTANAALQRSCSIQKNAC ANAANSGQLQGGVQQCEQQEAECRAANNLKRFRRSSPTRRQVNGLDFGTCGSPAIQFA VGLDGRKEASFQNVNTADFNHGSAQAIRIIADFTCSRLQSSCKAPQETVQACQQASQA AQAASGQAAADAFNSALGVSA MYCTH_2316294 MSRALARSATAAASSARLPITAVRAVSSTPALRDASSTFESPFK GEPKNKVPDFSKYMAKGSSGSNALFSYFMVGSLGAISAAGAKSTIQEFLVNMSASADV LAMAKVEVDLNAIPEGKNVIIKWRGKPVFIRHRTAAEIEEANSVNVASLRDPQADADR VKKPEWLVMLGVCTHLGCVPIGEAGDFGGWFCPCHGSHYDISGRIRKGPAPLNLEIPT YDITDDNKLVVG MYCTH_2310607 MRDSQSQDRCLVDPTGRFLAMHLWEGVLTMMRLGDRKNKMVLTW MDQVRLSELFIRASTFLYTETGHPKIAFLYQSRADSGDAKLATYRMTADDRNTEASKF NPERDREIDMDVPDSSASMLIPVRKVEEGVKRHNVRNVESAKAHIGGLVVVGETRLLY IDEVTKVTVQSALKEASIFVAWAEYNAVNYFLADDYGNLHLLTLVTENADSVVVTSLD VNWIGKTSRASHLVYLGNDLLFVGSHYGDSQLFRLDLQNEDPKALLQLVQTLHNIGPI LDFAIMDMGNRGDEGQLGNEYSSGQARIVTCSGVHKDGSLRSVRSGVGLEDVGILADL EHCRGLFSLKSYGAPKTDILAVSFLTETRVFKFDAQGDVEELESFAGLAFDQQTLLAT DLQGGRLLQVTPAAATVLDTESGLTVASWKPGDQRSIINASANSKSLLLSVDGTELVS LSISDDLQVVRTRDISKQDQIACIHVPAQPDNVGVVGFWSSGTVSIIDLHTLEPIHGE SLRTSQDDASIPRDLALVQILPPGVSGPTLFVAMQDGNVVTFNITKDLALSGRKRVIL GMRQARLHLLPQPDGIYSIFATTEHPSLIYGSEGRIVYSAVTAEEATYICPFDAQAFP ECIALATDKQLKICHIDRERRTHVKPLAMNEMVRRIAYSPKEKVFGLGCIKRELVAGE EVVQSSFKLVDEVIFDRVGRSFPLGAPSYTELVECVVRAELRDSYGNPAERFIVGTSF LPDPDYGPGTDVRGRMLFFGVDADRNPYLILSHELKGACRCVAVMEDGTIVAGLTKTV VVCRYEETSSTTGELTRLASYRPSSYPAEICVRGNTIAVADLMKSVSLVEYVPGDGTP RLVERARHFGSVWATAVGFVREGSWLEADAQGNLMVLRRNVEGVTAEDRRRMEITSEM NLGEMVNRIREVEVETTPGALVVPRAFLGTVEGGIYMFGTVAPHVQDLLLRFQGKLAD VLKTAGDIPFRSYRAFRNAEREGDGPFRFLDGELLERFLDVDEATQEIICKGLGPSVE DMRNMVEELRRMH MYCTH_2310609 MSDRSEATSAEDVAASFHLANRAGYNYVHLRQWELFEKSLANLW TPDHPDGVSVELAVEKSSRLILSSDRVQSQPELSRERPHAQRGCRVLYIGRNLAVAPV HHLTYGSGPKGSPRLIRQALASYLNSSFQPHRAVRHEDILVLSGVTSVIDSLAWSICD EGEGIIIPQLTWPPYIVQEIWAKMLDDKSFTENFLMVNRQRLGDQYAFATRFLDEHGI A MYCTH_90076 MANLPPRPVFTNLGDGTPAYNSRARGSNLPSGMNPGHSTNPRHF HPYDRRLSTPTTQGSRFATIPPWRRNRIHHNSQPGFPTHQYTGQYSAPFSGGTAFASH RVPVNINATRARQQQQGGRQSVPANYAVNEPMVPFSRSAVSSSSHPASCSSEATATTT RGANGAAGSAPSPNLGAGETSPRSFSAINTVSHGAGSQPSWHGVSQTTSSTTLGGRPF ASNNPPTCTSQTTPSKTTTTGTAATTTTTATTI MYCTH_36460 GSVDMGVDTLWRLGRLQPGLGLGAGLVARLRGPMERFVKGLGKI GGWTDYAIAATRGRVLDRIFAAAQQSLLKWTIGIGCRPCANLTCNTNRMAAINVFLLW SGLYPPSRLLSKSISEMVSALQYITATDAELSAAA MYCTH_2310610 MSDSPKILIVLTSHDKLGDTGKPTGWYLSELAHPYSVFASHGFT ITLASPAGGPAPLDPSSIEAAAASSDAVSQSFLAEQRALWESTEPLASFVGRAAEFAA VFFPGGHGPMFDLATDPTSQALVREFAAAGKVLAAVCHGPAALANVRTGGDDAGQAGE FLLRGRRVTGFTNAEEAAVGLDRAVPFLLEDRLRELVGSEGAFEKADADWGEKVVVDG KLITGQNPASATALAEAIVRAVLGSA MYCTH_2310612 MRSDLFDCLPQSAALLLLSSILQLTDAHAVQRLPRKTPEPTITV PYRALNVAPWPLHATPPPGGDTFANRRRQLDDTFNTVCGYINGDQNLPATCGQGSHCV VDTDHNVVGCCPNGAPSCTAGVFTGCVDGNSGPQTEVNPYIYSCTGSDVCYMNVYEGG FSQYGCGTASDLAATVQNSASGITAVLTRPTVSVSYTQGISTLSEPTTLGTPRSRTRS STVRTSHSSSATSASSTSSESTSSTSEPAAPPATDKSYRTGAIIGGTIGGLAVLIALG ALAFFLRRRNANIRQGPGLGGVRGKIISPPQPGKGTGFAALASDDADAFETGPAGSVL NQPYPQQQQQQQQPQMTMHTTAAPTAAAPTAAAAAAAAAGAAASAPPTNRSLLPPIAT SPPMPFQSEVSPVDRHDLDTPYAYSNSAVSASAAAASGLSAISVSPYPPSSSGGVSSA DSGNSLPTSAMAAAAAAAGAAAYQHHQPYQNPGPTAYAGGSGVLMGMNPLLLNRGAER HLESDQVPLTSGREVDDFSHGYHAALGRIGEEDEEGEEEQRRSRGYGGDQAPYRDHVG ESAGDNAATGGTGSGDGVKTVLRGEARPLWQQNRRQSRNLMWM MYCTH_74462 MKAIFALGTCLLSAAQCLPSARATASSGAEGTRFSIDGKTGYFA GTNSYWIGFLTNNKDVDTTLDHIASSGLKILRVWGFNDVNSRPSPGTVWFQLLSSSGS EINMGPDGLQRLDYVVQSAEKRGVKLIINFVNNWDDYGGMNAYVKAFGGTKEGWYTNA RAQAQYKKYIQAVVSRYDKSDAVFAWEFANEPRCKGCSTDVIYKWATDISAYIRSLDP SHMITLGDEGFGLPGDGTYPYQYSEGVDFVKNLKIKDLDFGTFHMYPDSWGVPYSFAN GWIKSHADACKAANKPCLLEEYGAYASCDIQKPWQQTALSFAADGVSGDLFWRWGDQL SYGLSPNDGNTVYYGSSLAQCLVTDHVKAINGSGAV MYCTH_2310616 MPIRVKSRAQHAEALRTPSPTGMAHYSRYGAELPDISPDDSFRV LVKKLSVYIAGVIQLPVTFEQLRTTSAGDGLRALVDHLGTNCTHPAIVNALLALKWHY GAISEDKGLNEARANGCEIVAWRFLTHLSEREAVDYCLYEIPDPKDADASPSDEETAV DEHSALLGQAWRGTANSARQAFAQPASLKRNMLLQSISRLTMSMTADDDDDEDEDDPT AHFTNLNALEIAAIADAKRFLSQNVVQKIITGIWNGDIIFWDSLSVYSVKKPRFYKPA TADPFSRLRVPKYLKSFEVIFFTSFLFLYYAVLMERDPTHITTLEIFLCLWLAAFAYD ELSEWIDAGSIFYATDVWNIFDMAMIGIGITYFVLRIVGLETHNSRLVDLSFDILALE ALFMVPRVFSILSLSPYWGTLIPCLKEMGKDFLKFMVLVVVIYVGFLTTFSLVGRDVF SLKKMTGFLTRIFFGSSSVGFDIMYDIDPIFGPPLMTLFIMLSSILLTGSLTGMLSNS FSRVITHAREEYLYVYSVYVLEASTSNRLTHFYPPFNLIALVIFRPLRLFLPSDHNFR KARILLLKATHLPIVAVIEFYEWLRGRANKGTQYHAFRGPRYTTIGSPNPAATAKRFS HQQRHKQSCASLRADASAAAAPNTLRPPLPLSNKTAPEMTATHPDMGASSSTEITPHQ RQETAGEAEVGAMGAPSADVEARIADLSAKIERLTELVVALHANQSRRLTDVNVP MYCTH_2310620 MEPGARGRSPVARRVTPKLSSDTLYSLPYRPRKDAAHGRKTAAV DIDGLDTGTAVSRRQDSEADPRKQPAAADALSLSGTTPPSSPTVTNFPAASKSMTPDS AEAGRPQLVLTDSHGKVAAEAGDDAHFRKHNRGIGSIDSLLSSTTCVNTQSECSRTES RLSREIRIEVKETDSGAPIVSHPKPKKQPSRVQLAPPSTTDRRGSVVNHSEGEGRMSE DEESRLVNEISSWVLRNTFGKDVDDCAAPLLVWDCTYRYLRELWAATHEGNAGFIQTT SGHGTPSPHYGGTSAPGGNDQQNSGYPGKGKRKADGGSDGGSGFGGRDKEEDDERDVS PASQAYSSKGNITNFSCPYRKRNPLRFNVRDYYVCATHSFADMSQLKKHIRAHHPPVQ RNAGPFLCPRCCQGFVSKNELDSHLRQLEVCRVSYDSGGADPEDGITQKIISSLEARS LKAKIDNWVSLWKLLFPGDQVIPDPVFVPVMEVFDFITESRKFLDVLKDLLEIQYRHV LEGASQLMDVDLKIKQGLERSTSSIYNWIETVVQDWEQKIAGTVSPFTSSAISQPATS DSWASTPRLPPSPAPTPTVASGTAAASGILTGAESPSAPVTAAPRSTSARRRPNPQPK RIKRPEILPKAPPPTQIPLPVQRARTPQSQSVLASNAFRPAPAVLPSQSIPMPPSTTQ GLSPGPPYQTSWDNTPVTVAGAYSIPYTGAGDILQHQAAPTHFGSIHPHQLDVQPSYL GPEQSETVSPDAMQHDADPSPQSAATMHANQISMSATPRSSLASLLWNRDENRDSSQT LVEAHPPGRCHNMYCPSCNKTLPDDMSAQPSPVSIHPATGPLQQHNAFQTVGTGQGGG PFQPSPGPGEIHSFGDQVEWYGGMHGVGGNGNMFGGGHHGPQEGY MYCTH_2310623 MKSIAFRGLRHLLWPWNGGGGGGSGSGSSSDGPRPLRPTAYLDG LRGFAALMVYFHHHQLWAHATPEGDPVFQNAFGSHGEYHLATFFFIRNFFSGGHFAVA IFFVISGYVLSAKPLSLIHAGEHVKLLDNLASAFFRRWFRLYIPVIVTTFVYVTSWHV LGIYNAGQTPKKTLGEELWNWYVEFKNFSFLYKDGWLYMSANQHLWSISHEMRGSVII FAACVALARATHKARLLCELALIFYFLYIVDGFSCALFMAGMLQCDLTLLARREGGGY FPRWLRRLERHKTLIYYLLFVVGMYLGGVPSNTQEITELREEMGWHYLSYLKPQAVFD FKWFYLFFAGNIMVACAARLGWLRRFLESAPCQFLGRVSYALYLVHGPVLHTVGDLIY YAVGWSTGADIQVHGPGHGPVKHWESVLPLPKVGPLGLELSFLLPNLLIILPLTLWLA TLVTRFVDEPSVRFPAWLYKRVLGGGEAEPKPVELAPLTRVE MYCTH_2316301 MVAKLGSPLGKPSLAATTAQFRNCLPAQFRRVLPLYVAAICLVL FVFNLNLFPSSVPISRPAVPAASVPQVRNEFPRKIWQTWKVNPLKFEERDLNTARTWL AKNPDYRYEVLTDDNDMRYVEYHFGPNGFNRPDIVEFYRGLKAAIVKADLLRYMIMYA EGGIYADIDVEALKPASKFIPARFDEKDIDMVVGVEIDEPDWKDHPILGPKSRSFCQW TFMCKPQLPVMMRLIEQIMTWLNGVAKEQGVTIGEVNLDFDQIISGTGPSAFTEAIMA EMEQNKEDPNLKIDWDLFHDLDESKVVSRVLVLTVEAFAAGQGHSHSGTHDSRAALVR HHYHASNWPSRHPRYSHPAYGEVERCNWNPECVRTWDKNVEAFSKLSDEEKAKIIEQK QKEKEEEEKKRKAEEEQRRKAEEEKKKKQKEEEKKKKEAEEAERKKKEEEEASKEKEK EKAEGQQKEQEPEQQKKSGWFS MYCTH_37947 MAPLTRYRASDEHVPIADLVATHYAQRAASLPGTLLVTEATLIS PSAGGYDNVPGIYNADQVAAWRRVTDAVHAKGGYIFCQLWALGRTANPAVAAREGIRI HSSSAVPLPVPLPGVENAPVPEALTVEEIKERVREYADAARRAVEAGFDGVEIHGANG YLIDQFIQDTVNRRTDEYGGSVENRSRFALEVVRAVIDAVGAERTAIRLSPWSRFQGM RMDDPVPQFSHLISKLNEFGLAYLHLVQARVSGNADVTPPEDETLDFALRLWDRPVLI AGSLTPRDAKALVDKEYPDKDVITTFGRYYISTPDLPFRIKEGIDLNPYDRSTFYIPK SPVGYIDQPFSKQFEALHGSQTVALN MYCTH_2310626 MMTRVSPATLVTHLITNRAGMPPWNTRINWVALAIDQWDLVCFT MLPLTRAFVKKVYILGKRWTEVNGVSPITDPLFRNASLGLLKTLSKPKGDDQLMEASR ILCYRKSNCVDGSEHTVFKNDLVSKYIPKEGEVDPRLIADISAYRDHDLAAAKLQFTK KMPKKVTKERKGRRAMKREAAAAAAAAATEEAAAESGEQQCQNEKEESSSLSEGEEDA PISERLMDLADRMNDVIANLQKSLNS MYCTH_2310628 MAARRTRLLPKIRSALQKLLFVYLVWTIIEAHRCYYRISRAERE AIARTDLIEPTRVYIASLHWNNEDILRSEWNKAVLDLVKTFGANNVFVSVYESGSWDD TKGALRELDKELETMGVARKIILDQETHKDLITQPPADEGWITIPDGTRMPRRIPYLS KLRNLSLRPLLELAENGTTFDQVLFLGDVVFTVSDVLNLLKTNNGRYAAACSLDFSKP PNFYDTFALRDARGHQYATQTWPYFRASQSRKAMINAKPVPVSSCWNGIVAMPASTFA GIRGLRFRGIPDDLATSHLEASECCLIHADNPASRSRGVFVNPAVRVGYDRKAYDAVH RNAPRRRDDDDDDDENGHSDTGGGGGGSWLSLSEVYFGLWKNRLARWLTTPWFEEQEV RRRIERWARAGEGREEKGEFCVVDEMQIVVHNGWKHLR MYCTH_2310631 MDGPPPNIYIIGAQCTGKTTLVNHLRAHFANRNPVPDGGPPALI SEVARTVLKAHHFTARDVRIPSRSLVLQRFILQAQAAAERDALESGGPRKRWFISDRS GADPIAYSMRYVGHEAARALAKTEEWVELKERMRQSVVVICEAGKGVAGWLKDDGVRL MPVDLEEWAGFHRTFCEFLDGEGVRYEVLTADISAHEERVDFVLKRWEECWRKRSRS MYCTH_2310632 MSPSALPTENGEIPVEVGVVPNPNPSITGRWTVDKVLETIPTGK PAEGTSSPVGYFHILERLKTTKREGWRRFGINRGESISDHMYRMSMMSMLAPPSLAAR LDLNKCMKMCLIHDMAESLVGDITPVDDVPKPEKSRREAETMDYITKRLLGNVDDGKV GAEIRAIWQEYEDSKTLDSLYVHDIDKMELLVQMVEYEKRGKGKLDLGEFAYVQTKIV LDEVKTWAEEIMKEREDFWAGQAHVHGEAGVAGGVPPEKMAKQDAYYSRE MYCTH_74446 MSFAPRFQPNGGFNSNASTAPAQPLHADIFRPPTSPSASSCNLA KSTGSVLSDISMSTARHAGTAKRKRTSTRESTPLDWHLNMEGAYDGREERRGEFRYTL AGQISTTPAEPLGGAENGQLEDSVYSDVDYRRALGPRTMGAADSPPGQVAPSGTEGAP SSGSWSLFSLQLIGNVVGKVWEFCKKGAFRGFQAGGGQKYNANGATVTETTGEPCAPE PDASAEPVEESSMGEYAPSYSPETAQSAASAAYHDLSTPESTPLPAAKRRQVSANNDE LRNWVVVDEPSRQPPKQFAAEVRAAASKPSGLVRPRTGYYAQTSVSSHRRITAPSPRF TGGSGTLASRPSLRISHAGSPALTPREPASFASTRSSPVSCPTPSRIPLGGHRRKHSS AAASATSATTTTGTARSRRQSILPRRSSDIEAVSSPRLDAEALQLAQEKLAAERDADA KVDAFNAKLLSMIRQGREALGTKVEVEMDSELDMELDMEMNDGLGTGIRGGGWEDDD MYCTH_2069839 MTSNIDDPIAEHGWTPVPLDADAIFQGKPYLHEPAPVLVKDIHF PSDDPLVARAQEYAKEQLPLQTYNHSMRVFYWATAILHQQFPSHAKALSPSTLALACL LHDIGTAPAFWTSTRLSFEFHGAITAINLLSSPPPSSTTSQAAEAVCEAIIRHQDLAL GSVGVRAGTITLLGQVLQLATVYDNVGARAYAVHAATRDDVHRAFPRGGWEACFAGIV RREIAAKPWAHSTHLGGPDGELIANAVEGNLLMKAYE MYCTH_109821 MRNQVLLSALVGAAGVAARDVPSNVQSFYDSLKAKGACSNKLAT GFYANDDGPNTFAYCGDHLSDYNVIYLKGSGSAFADMDIDCDGEQNGKGDDGRCGNSQ DTQAITSFQDIVEGYKKGVKDLNAYVHPYVVFGNTGSKSGWKTFDPQKYGIKPLSVMA VVCNNKLIYGVWGDENGDDGDKPMVGEASISLATACFGTSMTGNNGHDETDVLYIAFP GDDAVPGADGANWGADSWQAFESSIEGLGNKLIQRIGGGDPGNGGGDDPGNGGDGFDD CEWPGHCEGASCSTDDDCSDPWACINGKCGTDPALL MYCTH_2310644 MNPVTPVRQIPGAFINTPAPGPNTVRRRLNFNEAAGAGTTGTMG TAPEPITSTLGSGQQEIATGMLPPPQARDDLPPVVKAAQVINQTLQLDESYPDIDSYC RPGASSDYDIQYADSSWAPFHKTSTYPIPDQVFSRLNQGAVSTRIGLFASINYAWAAI DDSLFLWDYTHPDPELIGYEDATHTITAVALVPPKPGVFVDTITHILVVATTTEITLL GVSATPTPSGSRSVTLYQTKMSVHRGSSDVSLIVGTASGRIFLGGDTDTDVYELFYQQ EERWFSSRCGKINHTHQGWASVVPSLPLAGLPFGQRQQEGLIALVVDDTRNLLYSLSN RSTIRTYHMETPEKLTKVIEKDKTSCLRDFAHMADSSPLFTDRTNIVSLSPIPATEAS KLHLMALTDTGCRLFFSATSSASYTIGGSTSLAPQSMQLQFVKFPPKEPGVRPRTVPG QSSEGQLDKTSRALDPSALGVRFAPGYFFDVVRKHSSSDILFVSAPDTGKIKATTPAS ALKYYEQGTWVDLENGSRILEIGLTTAPFSASKQPLGFGNELAVQFDSAPSEFAVLTN TGVHIVRRRRLVDIFANAIRTCSGEEGLEREMRKFLNQYGRVETISAALAVACGQGSD LRAGTGRAPDQKTENLARMAFVEYGGQPRIAESDGKQLVSESVRLSSRHDALALYLTR LVRTVWKSRVIETKAEAKGSLAVSSTVPAAKLIMIQENIERLRNFLEANKSTIQGLAG PSDRLFSRQEEIANQKEHQALHGLRKLMESVSEGISFVLMLFDERVSDIYARLDPASQ QQFRDLTYEQLFSQTPGKELAKVLVKAIVNRNIASGANVETVADALRRRCGSFCSPDD VVTFKAQEQLQRASEQVNNPNVLRTLLGESLRLFEQVAGSLTHSNLQSAVEQYTQLNY FAGAIQLCLTVAQQKDRGNTALTWVNDGKPANDSRKKAYDERKACYALIHEVLDKLEV AFAGEPEVVDGRPTLAATKRNEAYTVVNDSSDEVFHFDLYEWYIEKNWTDRLLAVDSP HVVTYLQRLAETDYQHAELLCRFYTHRSRFFEAAQVQAALAKSDLNIGIKDRITLLSR AKGNASVNTIGISRQQQQLLNHEVTELLEIAHIQDDLLERLRADTRISPDKLPDIEEA LDGPIKSVTELYNEYADQAAYFDLCLLIYHAADYHNPRVITDTWLRLIEQTSYETEQR QAHWQLAQAGQPLPEGVPPITGEPPLPYESVSQQIQLIAHRTSLDSLVFPVDTLLPEV CKYAVNNGQDASIGADPCWPVLLFLNLGVPHALVVQVLENMFDAQEAPFTGRRRKMVV QWIAVAVEAWVREVERRAAGAAASGGSFGASSSVGASGGGAPGGDGVIGVWVSELLAR ADECLAQIAPAPASARTAGQVSAEAEETLELRRLVKGLKRSVDAILGGEGLLGGSLFR MYCTH_2310645 MASNFDLQETLERAVDLWESLPKAAHWALAGIGAIYVARGVLSF VQLFLTCFVLSGTNLRKYGKKGTWAVVTGASDGLGKEFAHQLAAKGFNLVLVSRTQSK LDALARELTLRWSGFQAKTLAMDYSKDDDADYERLAELISGLDVGILINNVGQSHSIP VPFLETSRDELQNIVTINCLGTLKTTQIVAPILTKRKRGLILTMGSFAGYMPTPYLAT YSGSKSFLQHWSSSLAAELKPQGVDVEFVISYLVTTAMSKIRRTSLLIPSPKPFVRSV LGKVGLGWTEKFTNTYTPWWSHAIFKWAIENTVGATSGITVWFNRRMHLDIRARALRK AEREAKKKL MYCTH_2120853 MDKLQQFLPSAEKGYLPYYLFVVSLVSVGNSLQNYATLHYTRRL YNGRFVTNHSLPPASDRFNPEDSIAKVKPASSTGKDSEKAKDQVSPLAARLFGIYTFV AGIIRFYASYQIENPAMYQLALWTHVIAALHFTSEMFVYKTMRFSGPQAFPFLAAYGG ATWLILQYNHYVR MYCTH_2120854 MPDQVLDDISHRRYNPLTGSWLLVSPHRTKRPWQGQQEAPSKNI LPEYDPKCYLCPGNQRAQGDRNPHYKNTFTFINDYSAVKEQQQDYNPDTEKDDIASLL LQAQPVTGRCYVLTFSPRHDITLADMTAAEILPVIQTWTRIYGSHLSATHPLKARADE ILSAIPVNPDGEVDPPKNQLRYMQIFENKGAAMGCSNPHPHCQVWTTSTMPEEPGKEL VQMAKYRADNGRHLLADYVKLEMKKQERVVWQNESFLVVCPWWAVWPFEVIIIAKRHV RALVDLTDDERLQFAEAVQEVTRRYDNLFETSFPYSSGIHQAPLDCTEEEAETSWFHM HFYPPLLRSATVRKFLVGYELMAEPQRDITPEQAAARLRSCGGVLYRKLLN MYCTH_109817 MGVIRKKIAARGGEGGVKYVCDVCSADITSTVRIRCAHSACNDY DLCVQCFSKGASSNAHQPATHPYRVIEQNSFPIFDREWGADEELLLLEGAEIYGLGSW ADIADHIGGYRDKDEVRDHYLKVYIESSRFPLPERCSPYDMELANEISREEFQARKKR RIEERREAAKNAPALQPKTKPTASVPACHEIQGYMPGRLEFETEYANEAEEAVQLMQF DPGDGINPRTGELEPEMELKLTVMDIYNCRLTQRAERKKVIFEHNLLEYRENTKMEKK RSKEERDLLNKAKPFARMMNRTDFEQFCQGLVDELNLRQAIAQLQEWRSLKIGDLRSG EKYEQEKALRIQKSIPLGSMDRERLATNQRNKQQPPPEPPSGAALLVAPELPFRSTTT NGASTGEGANGVKTESNGNVNGGSVVVANGAPPTRQKYVPQPIPGVQPLQLTQDNAPD LHLLTPEEVKLCETLRLQPKPYLMIKEQILKEALKGNGSLKKKQAKEICRLDSQKGGR IFDFMVNAGWVVKA MYCTH_2310650 MPDNTVYLITGANRGIGLAVTTLLLSRPHTTVIATSRNPSASLD PASFPEGKIHPTSRLIPVLLDDGDDPARAADPARASRTLAARLRDEHGVTALDVVIAN AGGSTCAGNVLTTDPDVMGRDFRVNAAGTARLFQAVWPLLESGEETKENKKKDEEEEE GGKGEEGGPAGWEKKKFIYISSTLGSIGILERESMPGIAYGMSKAAANWFVRKVSVEL KGKLVAGVLHPGWVQTALGQILADAVGRKEPPMTVEQSAKCVVEQIDNWTPDKSGQFL SYDGKPLPW MYCTH_2310652 MESAAQALSHVHDLYVRSGGIAPSGTQRPPAFKAIGIGLAVGSG AFIGTSFVLKKVGLLKANEKYNEVAGEGYGYLKNFYWWAGMILMILGEGLNFAAYAFT DAILVTPLGALSVVITTILSAIFLKERLSLVGKVACFLCIVGSVVIVMNAPESSSVSN IQEMQGFVIHPAFLTYAGVIIVGSAIAAFWLGPKYGSKNMLVYISICSWIGGLSVVAT QGLGAAIVAQASGTPQFNQWFLYVLLVFVIGTLLTEIIFLNKALNIFNAALVTPTYYV YFTTTTIISSAVLFRGFKGTPTSIVTMVNGFLTICAGVVLLQLSKSAKDVPDAAVFSG DLDQIHTIAEQEQPETEPKADAIRGTAAIVRRLSSARQKMELDELKRMHEEKLQESLA PVTENGAPQYEWDGIRRRRTTTFSTHRSRTGTPGSTHLAPPTPHPPLGWSHFPTEEEL AEANRPISPALSSIVGTIRTRARSVLLPGHPDFRPKTPDANKVQSPMHPVQLTSVAVA GSKPATSTDDYDTPPGTASSSARRIQFGGLPTRNISDASKEEDASSVPPTPPPHATAR RQFSFQSMFRRHQHDSRTTSSRDGAPADRHRPGSSRPTSSRHGISSRGYSSPHVHGAT EEETLGLVQGDSNASGTDELSVPALRRYAGDDDDGDDNNDHLDHNNNHGREYHSDKDD DDDDDDDDDEDDGGYARKGYRGDGDRAGASRLYGHSITRGYTAAPRRTSVPRSWRRDE EHDMIGLGLGVDEKRAGEVRQEKEEKRGRSRKRGGTRDAGLDDRSRSAGEEEDKPPPP PPHGGTYRGKPGAFI MYCTH_2310653 MARLKLGQWDSAVADCTACLRLSPDSIKAHYSLSQAHLALRAYD DALLHARTAHALGAKAADKSLGTLTAHVLRCKKEAWDAAERRRVREDADLEAEVLRLL ERERDAAVREVGRQQQEEDGGEDGNGGDGGGGGEEARKEVAAEWDAKIARMREVFEKA RPASEKRRTVPDWAIDDISFCVMVDPVIVSGRSLVTGVDFSSFVVSLSLSLSLSLWVD HWLTRVALIRQNPASPTSARRLSSTCAATPMTR MYCTH_2310655 MYLEALPSLYGNTTFILTATRTAGEFLTRYGADGARHPFRSLEL CIRLPNLLTEIYYPPAHSSSKGDEGPPAIFAGGARPMLSVTNNPWQHLCDALVALPAL QELRVWLDSSDLRPWHKRVSETRFFRRLADVRGLDKARFVLGLPELPERRGPDSHALQ GQYLEGDKLDDVPFTVQRGPRPNNWQNHLHNILSLGAAALTLPTPGTAPVHPNPVHP MYCTH_105157 MAAREFWDVVKHEVRDMLVPGLAKRMEGGSPGGSPGSNRVRGDD SSAPTNLPSMITAPPSVSVLATESLALASSSPTTTSTTTPTTTSKVVMQTTTAPAEPS ESETEGMSIAAKAGIVIGALGGILVVFVLGWLVLNARRKKLARRRQQIEDDEKINGPF ADSAAIQPTPNKSPRLSLRPVTQLLPTFTTPQAERRQSRGIALTLNPVSNPSLARPTG GSAWERPTLRSTMASPSGDSNRADTSAGLHPNPFHDNNRVPDEPVSPVSSLSSVDQRV GVATTTDSVPEPVSPILGDDEEEEEENQRRQQSGASNLTRKASVRQDLPKPLDLTKAS SQLHAVPPSPAGTEYSMHSIAPGQSPGPSASAAAIAAAGGPAHSTVHRVQLDFKPTLH DEMELRAGQLVRLLHEYDDGWALCIRLDRSEQGVVPRTCLSTRPVKPRPPQGPGAPPA GGGGGNQRRGPPVNPPHQHHNSGSNNNNNANRGAPRAGPNPAGSPPQQGWRGHGPQTG HGPGGFDGRDVSPPPGQAY MYCTH_2310659 MAETPFQIPGLGQAKPNEKLPVENFAPDLIAAAASIMGEDKVTV GATNGHAQWERKEQEAKQEEATKTSTSAREGADSMELNITDTSAMGASQDQKQDVKMH DQEPKTAEGQAPDHDMKNGDDASTPDVTHALEAALDGMLSNIRASARPAQNQDGVAVI QEQQQESSDAQQEDEEAEAEWEVDSSPYESSSDSSSSDSDSDDDSEDGEGYPLLGIEE TARLLMAADGDGDADGDGSGKSKGAGAALRTKNEMPEEVLPKPDVTITPEMKIERLGN IEFIVETTVVIKSQTPGEVQVLDTGSVLCREDRTVIGALAEVLGNVRSPMYTVGFRTL DEIKELELATGMPIYYSVQHANYVFTQPLKEAKGTDASNLHDEELPPEEMEFSDDEKE AEYKRAQKQKRRGAKAGRGGREQGTANGQTQPPNPATSSSLNYDEDEDGPYKPLSRPP GYGQGGPPSLPSLPPKPEAGFSPPRGGRDQGHRGAHRGGRGDFRGRIQRGGSHRGGDR RHGSRGGGGGGGYQQFGHDGAASPQTGFPSVPPPSQAPHVPPPPFGAKPAAPSGQWPA PHAPYAPPPVSYSPPAQPQVPIPHHQPPTSNFNFNYQAWNQNQGQQYQYPQAASHHQP PPPQQPAAPTYAPPYVPPQAPTWQSAGTAPPVPPAAGAYNPTFYAGYQQPPQAQQGQQ YWPQQQHGAYGQGPSQ MYCTH_2310660 MATTQAAPQVPAPKKQKKEFVHPSAKHARKKAIQNAFAIEPISA FYIFLGANIIAALFAPIQDCDETFNYWEPTHYLSHGYGLQTWEYSPDYAIRSWLYIAI HALGANIRRLLPRSSKVAEFYFLRYLLAFGCALCQTLMWKAVCLALNPRVGLFFIAAL VFSPGNFHSSTAYLPSSFAMYMGMLGAAAFMNWRGGLKTSQGMFWFALGGVLGWPFAI ALCAPFVLEEVFFAMLSDKERFFESFIRLARGVVATLLLVAFDTAINTFFYRKFEIVT WNIIKYNVFSSTGGPDLYGTEPWTFYFKNLALNFNVWFVLALLSLPLFLLQKLVSRRS AGESFQSGLRTLVFLSPFYLWLGIFTLQPHKEERFMYPAYPFLALNAALALHTLLALL GNAGPKTLIGRIPAKVKLAVVALGLLASVNVGLARVWGLYTGYHAPLDLYAPLATTGG PGDTVCFGKDWYRFPTSFFLPRDMRAKFVRSEFRGLLPGEFSEARTGFGFWSGTWLPT SGLNDRNEEDPGKYADLRTCVFLVDTQFPERRAAAAAAGEPLSLPPNEPDYAQDVERW EEVRCLPFLDAERTHFLARALWLPDWEIVPERLRRKWGRHCLLKRRK MYCTH_2112920 MKRKRPVELMDLPKEVLSMIVKDAETPLDDSTPLDQNNYGGVKA LSQVNRTFRAICLQTSLKHVRIWNSEDSLARRLREIYDHGQHILSNSTSISIRSIGYT LDAARHYRTRAPEKTDFIRDFGLVLSAMPKLREVRLVSENGRHGIEPVLRKFFNAKKL VFPEVKSLYIRTAGPVARIYRCFPNLEAINFNLHGNTGKVPSSPLSQDFKILKEPIFQ SLRTLAIYKSAGSGWTGDDISAVVKNFPNVERLFLQGCLGGDVSHMFRFLPHKTGELF APHRNLRYLALTDEPYLHITKNHRLVRKLEPFIPYARWEAVAKKFFAVLPDLVELCMI RQTDFSGLVLRPIRHAVPDADDGGPRGVPVEVVRRYADESPRRRLNFPPARWNGDMCV WWPAWGPVPTEDDFGMPDEPDGAVQLIGRHNGVHFRNVAEGLPVVLYTEMILDHCETG PWDVEWLRVIRNQR MYCTH_2310662 MPHPESKKRIAAAKDDGVSPEDGGDATIVDNGSPSPSGSERRRR RPTFTTLPPEIHLLIAEQLIYPDALSLKHTNRYFYQLVDTGVELKVEWLMERRMLHLE CPSHHRCDLGSDLRFCRGSVKLLMERRREHIECESRPGLGCLIYGTSTCPQARKLKTR AKKWMRLHAVDQTRWAMFLVWLIPLLIGWVWMVGVMVF MYCTH_2310664 MAGFVSDSGSEYGYDLTASDEERLFAIADRLSGLSPQLRPAPAG PAPQVNHASTTPARNAIASGQCQSAPEPDPDISFAIEETIAAITDDDLSFDISELQDD VDAGRGQGSAHVQGRRRRSRDSTPESSHWKLAPSVSDGHGHLASFISGTKPRSMPTLL PDPEVRYPDLSRALSEVQDAPPLEQAKDEESKDEESVEDNRSPLLRFRTFPMKPFSVS DLAAGSWCELQYFYTLTRLPGGKKTRTTAMKRGTKVHEKLERELFQPVKVEITKKEDN FGLKIWNMIQGLRVLRDQGYTREFEVWGMVEGHLVCGVIDSLGYENPDEELQDEVISS RGSSQTMRNSQPYELSTPGDHEIFITDVKTRNSVTPPPQSQVRVSLIQLFLYHRFMSD MASDRLDYMRVFARFGLNPDEPFSDSFMAQIGAIHDEVFAEEESDVESASNLGHDTDT IDGGDDRGSNSDFVSASSNPSQHSSAEPTTQPTLKYRTLSTLLPLLKRELALTFPRGA SDLGKIVAVEYRYRGRDSVPRNQTVIPTTATVDLDGLDPDETDPFPPDADADADAAAD AETSRQQQQQQQQQQDQQQQRHRRQQQVPEPERGSVICTHTFFVEPETLDLFLAETMR WWKGERPPRGVPLEEAGFKCRSCEFVDDCEWRRDLDREARRRAAKRRSEREAAARAVG EVGPGGGKEEVKAEMASGIDAGLDGGDVEDGGGAAVTGREERRRRRGRPRKTERRKVE DENTQGMKGGGRPARLSTA MYCTH_2310667 MEDAKEQSKSAQKKAEKQAKAAAAKAEKAAKQASLPVVGGKKSD EIIGITVSKAENFPQWYQEVVLKAEMIEYYTEISGFFIMRPATMYIWNTIRKWFQERI EAMGVDETSFPMFLSQKSLEKEKDHVEGFAPELAWVTKAGDKDLDVPVAVRPTSEAVM YPYYSKWIRSHRDLPFRLNQWNSVVRWEAKQTTPFLRAREFLWQEGHTAHLTEKQAGE EVLQILELYAGIYEQLLAVPVVRGRKTEAEKFAGGYYTTTVEGYIPSNGRGIQGATSH CLGQNFSKMFDITVEDPNEKGKHIHVWQNSWGLSTRVIGVMVMIHGDDKGLVLPPRIA KIQSILIPVGLTAKMSAEDKEKHLKHLDEIYQTLKKAGVRAEVDLRDGYTPAWKFNDW ELKGVPLRIEFGPKDAAKDVVSYARRDTGEKGTIPIAELTTKVPEMLETIQQDMYNRA EAAYREHRLKITKWEEVVPALDAKNVVIIPHCLVPECEEKIKELTAGRSDDAAENVPE GQKAPSMGMKSLCIPFEQPEGLVKGETKCLNPECGRLAEQWCMFGRSY MYCTH_2310671 MASMFAQSGNGTLFLGGQKVSGADIRDQNVMATQMIANVVKSSF GPSGLDKMMVDDIGDVTVTNDGATILSLLDVEHPAGKILVDLAQQQDKEVGDGTTSVV LIAAELLRRGNELMKNRIHPTTIITGYRLALREAVKYMNEHVSIKVENLGRESLLNIA KTSMSSKIIGADSDFFANMVVDAMQAVRTTNNKNEVKYPVKAVNILKAHGKGAAESIL VKGYALNCTVASQAMPTRIQDAKIACLDMNLQKERMKLGVQITVDDPDQLEQIRAREA GMVIERIEMILKAGANVILTTKGIDDLCLKLFVERGAMAVRRCKKEDLRRIAKATGAT LLSTLSDLNGDEKFEPSYLGHAEEVVQERISDDECILVKGTKAHSSASIILRGPNDFQ LDEMERSVHDSLCAVKRTLESGSIVPGGGAVETALHIYLEEFAGTVGSREQLAIGEFA QSLLVIPKTLAVNAAKDASELVAQLRSRHALSQRIQEGEANEDEKTVARKKAYKNYGL DLVKGKVVDEIKAGVVEPSISKIRQLKSAVEACISIMRIDTLIKLDPEPQPEDDGHDH MYCTH_2310672 MGSNDLTPPPSFPLDSLPDADSPLLVLTHPTRAERVATIKHTYR NWGYALTEADYIAREEYLTTVPLARNGGITHWILTVASLPPDGRPILSSCESLRKRAV CSRDGEPPTEGVAHGIASVFTEPAYRGRGYASRMMREVGEKLRGWQAPVPPGRSLFSV LYSDIGKSFYARHGWPAFESSHVSFRPVAGAAGETEQVATAIGYHELAELCAADEKLL RADLTRRRDGKTHVAILPELDAMLWHLMREDFMTKSIFGKTPAVRGAVTGKPGQRIWA VWMRGYYGGLKRKEGNVLHVLRVVVEDPDQPDEELVGGFRSIVQIAQHEAAEWQTQDV QIWNPTPKLKGLVEKCGIESEFVVREKHSIASLRWYGDEPVSEVDWVANEKYAWC MYCTH_2310676 MTPGIIQSLQHLHEELRHYRAPAVVHPTVESVKPNKSLFSWFGS SKKKTPIGAIPDNLPRGLYLYGDVGCGKTMLMDLFYDTLPQTVRSKTRIHFHNFMQGV HQRLHKMKLQYGTDVDCVPFVAAEIAEQGNVLCFDEFQCTDVADAMILRRLLESLMSH GVVLVTTSNRHPDELYKNGIQRESFIPAIQLLKNRLHVINLNSNTDYRKIPRPPSGVY HTPLDAHAASHAEKWFRFLGDPDSPDPHPETQRVWGRDIVVPRVSGRCAWFTFDELIG RPTSAADYLELMRSYDAFIVTEVPGMTFRQRDLARRFITFVDAVYESHAKLVLTTAVP LQELFVSRAEMRESLLARRRERERQGGDGKADEELDEAAVEDAMSHMMDDLESNVEQL AKSNLFSGDEEAFAFARALSRLSQMGSKEWVERGMGLESKGGKEEHDSWAKTRSRQME DSM MYCTH_2310678 MKISGASLLALLLPAVSGRFVEPGEPDRVLLYPDGVPQPSESSE KYHIELAPGETRWVTEEEKWELRRQGRRFFDITDHPGLGTLRARDTFKKRSVFPKAPK LQDEVNPLLANLSKTEMKDHLTTFTSFHTRYYKSDYGRQSSEWLLGQVRQTIKEAGAE DYVHAEHFKHPWGQHSIIATIPGKTNSTVVIGAHQDSINLWLPSILAAPGADDDGSGT VTILEAFRVLLQSEDVVKGNHQNTLEFHWYSAEEGGLLGSQAIFSAYEKEGRDVKAML QQDMTGFVARTLDAGKPESVGVIVDYVDPDLTQFIKVIIDEYCDIPYVETECGYACSD HASASKAGYPSAFVIESAFEYSDNHIHSTEDLIKYLSFDHMLQHARMTLAFAYELAFT DFDSLEGPDHSDAL MYCTH_2310682 MTKHQQAIQPQISEQIVRLCTSSESVSSLLARDATLSPGDAWEK LYGHRATRSAAKSKQEVGDGNDKSSWELTEHDRLKRAAECGKWGPTRPSDLFLAIYHD ALSTLNEDPRRAMVSPSLMGSYGTVPLTIISVLPDIVRHMSNVIVQAQKEVYLATNYW QNSVASRYITNAIRELDCRAGARGAKIVFKILYDRGSPRQLYKPHYIVCEREATSQNV NLPHPDEIPNIDMEIMNFHTPLMGTFHAKYMLVDRRIALLQSNNIQDNDNLEMMVRLE GPIVDSLYDMVLISWNKRLNPPLPCQSGPAEATGHGGLKVWPAGGAGEPATGAGAGES AVHFVEEGVNLNGTAGHQSKSSPQMSTPERDGIGEAGRTVSTFPTQSVGHEPSALPAH TADDPHYDDDITGEVARAQSFLAPKPRRTHMQAVTELLNHTVNQGLPGDPNAPGCAPE NFMTPYIPHQTHIPYPMALVNRAPYGPPTHSSVSTPQNAAWLSALRYARDSVYIISPT LNASPLIPAIIEACERGVDVYCYVCLSYNDAGELLPRQGGHNEKVAAALHASPSLSPA GRRHLHYHWYVAKDRTAPVSQARRQRSSHVKLLIADGRVAIQGNGNQDTQSWFHSEEV NVLIDSPALCASWLDALQRNQNTALFGAVNRKDGVWRDAEGRQADGAIGPDAGGVFGW VKGVKGAVERLRGTGGF MYCTH_2310684 MSFPDEPAKHSAWEGRDTSYYAAPAAPCVGRGSDERPLVPTSPA APVLASDYAYVPAVRSTKRLPPRPAQDCFKESEDDGGGRTEATPLSCTPAPHSERGNR RPPPDRYRYYYHTFPDPAAANNSCKDSTEGAAPGQGPCYFETLLDLSDYYLDSDSYPE PTKHERELETLATESGLADRKRRRGWWWRVHQAGVVVSWVLLVVVWMSVAIAVSWRVK GGSELVSGGWDGNNRDGVGEWAPCTAARPRFCRLVVGAET MYCTH_2310685 MAKNKTTKRESAPVTKITDPRFANFETDPRYKLPSKKTLKTKID KRFSRVLKDADFVATAKVDRYGRKLKTDTKKKALQRLYEEEEEDEHDPGEDAQVEDDD IVRRELEKADAKYDPARGGGFSSSESDSDSDEDSESEAEPEAEDEEEETRPGIHLRRE KQQVEEGEVTNRFAVVNMDWDHVKSVDLLALFSSFVPPGGRIEKVSIYPSEFGKERMQ REELEGPPKEIFKKSKESDDEDDDEDDSASDSESDDDDDGTGDSDEEVKKELLKEGDD QDFDSDALRTYQLERLRYYYAVVVCSDKNTAHKIYEATDGSEYLSSSNFLDLRFVPDD VTFDDEPRDECDSVPAGYQPVEFTTDALQHSKVKLTWDMHPEEASRKESIKKAFSGSR ADIAENDLRAYLASDSESDDGEGDDVPAEERGEDGAPLSKKEIARRKMRAALGLSDEP APKSSNSGPVGEMQITFTPALSEKPKKDEAEETTIEKYKRKERERKERKRAMALAKRE GIDPNAVQKPQAEGGEEDLGFDDPFFTAEPVKPSKSALRKEERLKKRAAREKEEAENA AQKAQLELLMADENGGGAHLDHFDMKEIARAEKLKGKKKKKGKKVAENNGGLQEDFKM DVEDSRFKAVFENHEFAIDPSNPKFKATQGMKKLLEEGRKKRKAGPEDSPNGKRDQRD SKKAKADGDQELSTLVESVKKKVKAKR MYCTH_74402 MHAFINPSAPWLKEHIKAFVRQHVPDVVLVDDVGDLPEGGVDAL FQFCDGCSLTGHFAAANAAKRSLINAYPNSDALARKDHLAALVGYWTAKRPESILRKH YPHTVPLTLDYAEYVDDALMAADDLSLLSSLEQNEAREPKDREWWILKPALVDSGMGI RLFSTVQELASHLELVENETDDEEEEDDDDDDDDDNDEEDEEEAEAEKDGDEQVGTTK ADMTEEQSPTLTSPGLQSLDALFTVTSPTAPPPPLVVDKSKNKNKSKSKRPRYVFKEG GRIPSAQMRAFAAQRYLAAVPTLGGGRKWHIRAYALAVGRLRVYVAREMLVLLAAEPY VGPWVGERPNMRAALTNSAMRDEDDLAAGRTMRAFWDLGEEEEEEEEEARRADWKTDV FEQVCGITAELFRAAAHTMADKFVTVDKAFEVFGLDFLLDTSGNAWLLEVNETPAFYD NPVAGPVALRVLEAVVYTAMEHMGRARVGDDPRHAEVKGRLVEVLDETAKLGKSNITE IIPE MYCTH_98003 MRLTKTDLSVALLASALPATQAACSLPSSYRWTSTGALAQPKSG WANLKDFTHVPYNGKHLVYGSYYSNAYGSMNFGLFSDWSEMGSASQNQMSQAAVAPTL FYFSPKNIWVLAYQWGATPFSYRTSTDPTNPNGWSAPQPLFSGSISDSDTGPIDQTLI GDDKNMYLFFAGDNGKIYRASMPLGNFPGNFGSAATVVLSGARYDLFEAVQVYTVVGQ SSPTYLMIVESIGARGRYFRSYTASRLDGAWTAQAASESQPFAGAANSGASWTSDISH GDLIRIDANHTMPVDPCRLQLLYQGRSGDSADYNSLPYRPGLLTLQGASSGGGDNGGG GGGVTVPRYGQCGGQGYTGPTTCESPYTCKYQNDWYSQCL MYCTH_90035 MGDEFEMLSCVNELSRLILEPIVLNPLPAEAKFKLNNQRLLTNR GNLQKFRVNSRHHSRELAAAVLSLERIYIQMSVSENLHTACDCSVPLANTSPDSAWPG TPSRLSGRRPSRRPGLAVVNPARCRPLELAKQRSARACSAFSLSLALLWCNLGQPKGP LFCDWHGPAPVETRPHRGGSCLVDTVPLPELIHPFPPFKKERRPAFNLPQVRTIWQDA NVMAEHR MYCTH_2310691 MPCTARRFFFFFFFFFFFFFLAQCGWVKGNFISHALSERRSTEL QKNLQVRRT MYCTH_98002 MAARTKELHKFFNEIKSHGTTELFLDNARRVSAPLAARLLKQMG LGEHTSTPFKLFENACGVGVVAPLLQQLIKPDVLKSSSILCGDFSEQVVEVARQRNES EGWVNTEVRKIDGQRTGLDDGAFTHVATSIGFHVIPDSEAALNEAIRILQPGGILGLT TWHREAGWVTEIKEAVKEFPFEAPIDVPMQTTAWGNWSDVNWLRKTLEEKGLQDVKVD VYAFLSRCDGPDHFIQQFGSLLDALLMGSWPEEVRQAHPKEEVLQLIKEHVTKKYGGQ GWDLSWVAAVATGRVPYP MYCTH_2316317 MRIAGAKVTGKRKVRWVCFRGGEARHHRRPPVDEAVLRQAKAEG RRKPTTDRASKKCGCLFKFEVVETARDSDVWVLHYPNEEHKVHNHGPSDTTSDPRARK LPGFMAAEVDRWLREGWLVSKIQEELRSRGFTNVLNTDLYNRKRLLKKDGGREGGNRG GG MYCTH_37469 MYCAIDGYVYDLGRYLHSHPGGAQMLYQYAGRDATDELRSEDPD FYSDLAPYAGADSTDALKAAKAPHALVRLFSRDDLVCAKIVRGTKKPIAPPELRRHCR IPSADERRREPRDCDTDWRVWVAVPEVDGSEKHMVYDVTSREGQVQSEKHGRKQGHGR RDVAGSKRRVGAVDNSNSEIISKPKRFRTG MYCTH_98000 MTSQIFSTASQTSQPPRSPWLRKYGGEMRNVLNITGDTVAHSGF APLFPSLHPTYFAEESTVFEQLPPYPIPPGHELDMLFSTEASQIMLNGGLTTWEMGVL REHLAGGRPGGHRQMDPVTPYYLDAGPGVRVDEGGWHPVFAKSKWYDFRLPIVDGKLV REALPGINVSDVWSVDMAHG MYCTH_2112936 MSESGSPPPDFESKRLNENAAADTTIETPRASVSTCRYKSSSPV QSAPGSDRKPHPNAVLRDVDDHHQQNLGNPSSMMAPSGEPDDVHDKSESVPADDFETT SARPISVTSSVYAHTIENGRRYQHFRNGCYPIPNNEEELNREDMKHAMMLELCDGALF YTPIGDNVHKILDVGTGTDK MYCTH_2310696 MAPHAADPPTPAGQETGPSDSNPIGPPSGLSAIPSRYNSEQGLR QSMKQIGYDEAREDGYRLKGVQLIDSVRQSLQLPVKTFDTAATYYHKFRVRFPSNEYN YEDVALASLFVACKAEDTIKKSKEILCAAHNLRQPHDHKTPDDKIFEAPSRFTVGLER HILETVGFDFRVQYPQKLLIKMVRKMFPREEQGTMEEGKRFLRVAYNMSIDLYKTFAP IKQATFTLVLAILELTALLLDTNPANTREFRDASAWHSHRPCVLETILDLLDLYTQFP KSTKIGTQFALQKLMDVKIDINNLIAREKYQRYHEWCDRCAPDVLDTRSVTPGSATSP ATNPSLSGNSSKRKRAPNEGTQRFVFDADEARKEKEVVSRYFNDEYEEHEVEVEEPIR ESEPRHPGRTNHSYRSHGHSQGDYGWPGHHHRSNRHGHPSDRHRSRRGHGY MYCTH_2130079 METVPTIGHKRSRSAADSESNLQEATSAARETTRNAPRVLLVHL SALLSCNDAMIRVIPAILADILPANDIPSFTDEDALRAFSKSPILQHILQELGVRPLS YDESVRLQQVYWRIFYGLGAPLITLAPGAGELLDATKRHGQIALAVVAHNTAEAAKVL ADAGVGHLVDTILPALPAETYASENPDPRAFWSHWGQFVMPWFTAYCDKASGETDQKP GADAAGAAANGSTTTPMTDTPIPSDNTNNDASDEAGSRAEARNLVPERALLVSSVLAD LSAAKASSGIQTCWFRRIDAADPLVTGSASGSFDLVIGGLDELRAHLFGEVDGRGGDH SSSGPATRCKEEEEREKNQKKEEEDVEDVREQEKDEMMGDC MYCTH_2140615 MYNPQKERLLKVGLLVAIGIINVSVFCIWVPTRLQISQTFIRIN NTWDRMEKAIFAVIDMSLNVYFIKSKLVASGLKQYGIVWKYNVFMVFISISLDILVIG LMSLPDDAVPTPPTFLAKLNIEMNMVEMLGKVVKQSTKRNTPLPTAKDSIMSPGGGAG GSGEGGSAGGGQRHELNMDILNNPNLGANDSGASTSGTQVTRPRSSTKDPLDDDGPLA SPLSPDRVQRTLSIRSHPRSSGPGSPMMPRSPAQSPAPTGKRIISGAPSPRQGPKDFS YLLRPEIYHPLTPLAVPPPFRNPRNPPEADTPIPDLLAQGYFRAAAIAAAQTLTSGAL DPTDHARIFDLFYIRLACLTLVDATPLAAQEVKALGDLHSAFYYCSSPATQSKDGGGG GNSSITGGETTPGTTAASAPPPPPSSSSPPEHVVPWPLRLLAVRLQALGFGDPRRAVM SYYELAREARARLGAARARHDHSASEVWRERLADLGVRVAGALVEMDDLAGALVHLGT LAPRRGGGGGGGNLKKGMVAVRMALLWLQLGDVDAARACVGANADGGGDGDGDGVEER VVSALCDVADGEYEAAVEKWRALREQVDDEMVGVNLAVCLLYVGKMQEGRELLEQLVD SGRSSHTLLFNLSTMYELCTDRSRALKARLAEKVAAVGDRTGGWEKTNADFKLQ MYCTH_2069363 KMAFRTPYSYYEYLIMLFRLTNALATLFNEFYIVCLDNILIFLP NYKTYIKYI MYCTH_2112941 MVRRNRDTADLLDAPGSELPYEGLYTVHYTELRALLPSSSKGPT AVHHGPIISVTRSRSAFFQRAAVLPMVDMHCFGIRTSSDSRDRGDRQEGSNRTPGASQ DSDAAQPLLVRSSMHFFVCQYPKLYLILFRFRCGGMFVESDRTVLREAPRWCIRAAYR CREQAKNLSGPDVGKVPN MYCTH_2310703 MTRALVTLLAAAAAIQQAVAAPIAQNGAEGAAKEKRQGSDLPPF PTGGFPPFPTGGFPPIPTGGFPPIPTGGFPPVPTGDFPPIPTGLIPGLPPANPEEAPD KEKRQGSDLPPFPTGGFPPFPTGGFPPFPTGGFPPVPTGGFPPFPTGGFPPFPTGGFP PVPTGGFPPVPTGPAPGWPPKPPAEPPVKA MYCTH_60397 MDRQQPSRLLSTSARVHPPYLPYLHNASRTRAKSLKWLPPLAAA VVVTAGYAVSTYRGEIAGQATSSSSSSSTSAEHHTSALSPEQAEAEQLRRREAAMADA YGDRSSLEELERAVAVYEAQRGAR MYCTH_2310708 MHDKALPHRNFCGLSSVSNVNWSPSFIQNKPNTIKPSSSGITIT MAKRRSKKRTHVGANNPATVNGHANPKDPKSMVIRIGASEVGTSVSQLATDVRRVMEP GTASRLKERKANRLRDYVTMCGPLGVTHLLLFSRSQSGNTNLRLAIAPRGPTFHFRVE KYSLTKDVRRAQRHPKGGGKEYITPPLLVMNNFTSPSSDAHTKVPRHLESLTTTAFQS LFPPINPQTTPLKSIRRVLLLNREQSEDGTFVINFRHYAITTKAVGLSKPLRRLNAAE KMLKSKKGKKGGVPNLGNLRDISEFMIGGENGEGYMTDGTSGSEPDTDAEVEVMETTT KRVHSGKARAAEQNSDDEEGGANDNVERRAVKLVELGPRMRLRMTKVEEGMCAGKVMW HEYVHKTKEEIQELEKRWEQRKKEKEARKKQQKENIEKKRKAKEGKKNAAGDKDGRDD DDKMDVDEYDSDLYEDENGFDSEGLEGDAEEQVNAAMEEKGEWEDEEAEIAEGKSSKT KSLKR MYCTH_2096529 MSTKRRKISHENGAVKETSVEAPKAKSKTEPIPKSNEPSHDAGS DAESATVDNASREGNGKSVVKTFRDLGIVDSLCDACERLGYKNPTPIQQEAIPLALQN RDIIGIAETGSGKTAAFALPILQALLDKPQPLFALVLAPTRELAAQIAQAFEALGSLI SLRCALILGGLDMVQQAIALGKKPHVVVATPGRLLDHLEKTKGFSLRNLKFLVMDEAD RLLDMDFGPILEKILKFLPRERRTFLFSATMSSKVESLQRASLRDPLKVSISSSKYQT VSTLVQNYIFIPHMHKDTYLIYLCNEFAGQTIIIFTRTVLETQRIAILLRTLGMGAIP LHGGLSQSARLGALNKFRAKSRDILVATDVAARGLDIPNVDCVLNFDLPQDSKTYVHR VGRTARAGKSGHAISFVTQYDLEIWLRIEAALGTKLTEYATEKDEVMVFKPRVEEAQR HAKNEMKQLLEDRGKKGSVLKGGKGRKRGAPASSRDDMDAEEG MYCTH_2310716 MLRKKDVFTVITPIPGFIPRQLAIDILHSHPEVITLNPLVIGHR PIPAPQNAETDEYYSTWYEITERIQFVPGIGRIGASVIKFNGCFHDMPWGLQTHIYAP MQVDLRNTYRIAGNQPGVEPPEIPEIGLRALGAPPDGLYLREDIEIRCNVTVMSFVKS QLKKAGGEMVRRMIKKAELLDTGLLQAMIEDGKLRTINPADRSSQLRSPLPSPTSLRY SSSVSGSPPPGSPPVPYRVPRIQSAHGGYQRPESAAGSRGDAYSIQSAPQELPADVES PPAQAPVEMPGDFYHRPPKPQQDRQSPPQTFGLSPPHSDRESFVNSAQHRSTSPSRPV GGQWTTPGSRPALPSPGLPSPGLDNSSYPAPLTTHRETEEEHEDDTQAPRRASQPPQS VPYNPADYAKAPQGQPRYGHGQLGQRYSYQQ MYCTH_113239 MLKMALASTPTTRPDGQPEQAVAVVCIGMAGAGKTTFMQRINAH LHAKKEPPYVVNLDPAVTYSPYQSNIDIRDSVNYKKVMEEYNLGPNGGIMTSLNLFTT KVDQVIGLLEKRAAPDPENPNRKPVKHILVDTPGQIEAFVWSASGSILLDSIASSFPT VLAYIVDTPRASSTSTFMSNMLYACSILYKTKLPMIVVFNKTDVKDASFAKEWMTDYE AFQQALDNDQRGNAFGGEEGSGSGSGYMGSLLNSMSLMLEEFYCHLNVVSVSSLNGTG IDEFFEAVKEKADEFRRDYQPELDRLRAEKEENKRKQREKELEKMMKGMSMGDAPSSS AVGDIKADDDEMEPLSSDEDSDEIDDEQDAEGLQARYEAAMLHQENSVTADASFAKYL HSQRGQ MYCTH_37799 MAPAPKDHYASSLPPVPSSGFDPGTSRGAQTAVESVADCPFCHI ASVFSPYDPADPPPSSSPLLNPELTGPQPATFIILSTPHLVAFLDIMPLSIGHVLLCP RAHRPKLTDVSPTESAELGRYLRILSAAVVEATGVGDWNVVQNNGAAAAQVVAHMHFH VIPRPELRDRRSERFTATMFGRGQRDELDEDEAVELAEKVRSAVAEIVRKDEEGFAKL MYCTH_113236 MAQTKITSKTFKLNTGAEIPAIGLGTWQSKPGEVGRAVEAALRA GYRHIDTAFAYGNEKEVGEGIRASGVPREEIFLTTKLDNPWHKRAPEALKRSLENLGV DYLDLYLMHWPSSTDPDDPKKHYPDWDFVDTWREMQKLMETGKIRNLGVSNFGIKNLE RLLNDPSCKIVPAVNQIELHPGNPSPKLVAYCAEKGIHCTGYSPLGSSDSPLYKNETI AAIAQAKNRTVQQVLLLWGLKKGWSVLPKSVNPDRIKANFDLDGWDLTDEEMAKIDAI PDRFKVCGDAWLPIKVFFGDDE MYCTH_74373 MVAPAVPELTEEVLHEAIDARTESLVSLRELGPPDLVHLVKQPL RSGGKPTGVYHHVTGVDASSSASLAAYINTLAYKEFGPSATSKTLEGTYCCYNAFSRV DMRVHAPFPGSVESYCIDERGEKRKATDELWLETYVCSVLRAYSYADDGTGDTIRKIV GVRRFNPVTNTETEHRFLSAAEHLFFRGWQLGSDSIVQVPNVVSNHLTSGLLKYFHTT GRYASGINLFEKLRTQNVEVASLLAKVLFMGNEEVQGIRVLHEALKQAPMDYVMLDTQ AEFLLKKAQTAATPEQKEERLRMALGCADRSTIAAPSEFRTWARLAEVYVAMEDWENA LTALNSCPMFTYQDKDAPVLPEPRDIHLPTLPETRLDEIDSEPESRYSEQVDPSLLNL RAAAYKGTFKHAYNILTEMTAKIGWDQMLKIRSNVFVMEDEYREKQESTSYPKNRNAS TDALRGTPDPTANGDASDAADEGEKEANGSGEEKEGDGGSATQTLSPNGRAEDIERPS SAMDPDEVKKGDECSPKDDHFSRLNNKRLCERWLDSLFMVLYEDLRVYTIWRTQMAQY RAQSIQYKKSAEEWEILGSLAERLQHVDEAVEAYRACLAQRFSPKALAGILKVFEKTK GSTRDTVAATIRLVTWQYRWYSEFSPELLHTVRMLIEDEGAVKIRSIIQATNLPQNVL DLTHHYAALCATFRSSGTDG MYCTH_74371 MILRRLRQDGDYLPSPCCCLSFANPFFSQAAFTAINVEPEENVS EEIDTTKDLQVDDALKLFQNALKLHAQGPQYFDKAADAYDALFDSEIFKYPESKTDYE RFEAGQDVALATESAFAQGLPPLGADIDGIGSSLPQALYLSYKNHGQFVIDRIKYRTR KSGSRKETLLDDPVVEAEAHRALDDFCAALDRDPSDAELWRKAARVAAFLRSARISRY SLEAAIELDDDPAVEEVEPPSLAEGFAGEQLKNQLQVLGDDMALSHPIMKPFLDRELP PYLARFTDPMPFLPDPTITLAVQQPRGKESEAPRVTISLSSLSWSELGTAIVRFVAEE GHSGQAIAIQLPDTGNEEDVQMEVDMPEQPRESSPHSISPQEAVKQEEAAAEQPGGEQ IAKEPTSAALESSAPVDEQPAKDRSAPSSSRKRSQSAAGIPDANEEETADVKRSKRTR RRDTAAEEAMDSATFLANQLQPLQAADQNLFQTTKNLLENLGVTDQVTLGRIAEVLDS CAADDRIGKIQKQATVDLRDSITHFDEDTGAVLLSKRELPQSSLSVFLEHARSGSQRA QEAPAFDDSRGLKFFVERANSGWNTVHDISYEYVNALAKLYTGYKWSDQLKTAVADVI SQLEGSIYDRFIHDLNLCQKDGDGEELSDLSTLAQMLFELYLDIYDHTMDPNSTAESA SPDAQGRVARWMDISTEMVRRRNPEPDDAVALRLLWAAVSSTTLAKDTPRDHVLNCWH SLRDFLARSGATEIGLPNSSVMPEISVAAAEREISKLTTMDFFLGLFQDGEGSPVSVI ESLEPVLNPESVYISVPSISDRKGANNEASSAKQPRQPITQCASQELMDLWKFLKSSN TELRLLLWSRLGEAYGKIRYTTKQFSCFLRSIETIISDLEGDDYIHSPDEPRKTQFMA LLKALDDLIIQSLHLALNDNSSFDIIDDDHLRSSMSALAKISCMLHVAAMYEDEIRLG MRPAPSSSSTLRSFLNKLQEMQVRTWSLQYTMLKVGLNQHAELFPRPENDLADYLAAV HQVLGLRKCCKSSNKIFLKMMRVELLKQKNIDNWEDYLGQVLYDLHGLKLGVGIWEVQ DHGCEPENLEKRQALQLVERVTMLANRMSMKDLLKSDLKTTIERMQQAIGSAKSSPQM AHNLRNYSEYLKSPIHPLHLYQALTGSVDLDAVTINTPESAPAKQGWFFLLGMIALTK FKGVDLNRRQTPGATDDLRIGATFLRLQLQYTPDRWDAWFRLAECFDYELDESVLWTA DKMNKERAELVKFQRNAIHSYIMALSHSYAWASDPAILTSLEDDEEALYDMYHEFGMR MYSSSREPFAMEPFQHADQKRFFIEAEGAGTYKKILHNEMTDYQVWKFAAHLFRKAMA GKPKDWKNPYMIAKCLWKMYQKPVEELDEKNRLHRPTVKTIVNALEKTVEVTSSLPKP RHGQDPILEPHYKIVSVIHKLVTRGDLEIQEAARILQRQPYAPDRGKEVPIENAEDWE AYVIRCLRYLRDKDKSNWQHRIVMRHARLLFDENSDPGGSGSYLAAKAAFYVLRENMF TKTMVMNVWKCDAERPGRHHVYTERYIRYMVRLLDVMNDRVSLEAVLRRIRKKGADFY HFNELWQYCVLTYIKLLRQTFEITPAEEDAFKTLSQEEFDVVGEKITEWSTTPAAENH RALTAMKEAVELKKLNANLMKASPIDDLITDCYSIIYQHFKSDLPDPNQQESQPAEGT EPKAETDLKPSNLLHALVTRDKDNKDKSPSVLGSLRAGSEQPDRERSERPSLAGDQPP RAAASGRVGGGIRRPNILRKAEQAVQAVLRAAEAPPKSAGGTAAAGGASGAGTGAEES GEEGEGEGEDGDQDAEMKGAADGGEGAKTAGRGTVAGEQESDVSSPPGSVHDSADDES ELSDVPADDEDDTPPLLFPNLRRSADNTGTGKEADEESTEDGADEEGGEEDEPEDEHG AESGEEDQEEATHEGDNETEEELEPEGEGDEEGAEPTPAVPEVKDVDAPAEEEEEEEE RGA MYCTH_2316331 MASSNKRIAKELAECTGSPPPGISITVPDESDLHTWHVILEGPE NTVYAGGRFGLVVSLPPDYPFRAPTITFATRIYHPNVTNDSLGNICLGPLKPENWKPS TRLAGVLEAVRHLLVEPQPDDPLEARIADEYRADRAEFDKNARTYVQRYAKGPVKFDS ATPTTSAKPAEEKKAGSGGSS MYCTH_2310733 MLDDYAPADGAADGGALSPFVEQHHGSPNARRGGPGPHAHPPPR FQSRHQQHWPGFRPWRRHGDADAADALRHHAQYQPFRMQKTPPPGNSGPRRPVLELRS DAHVPPDLISPRSDSFFYSSRPARPCPSPTPTISHFPPNNRTRAEPTPTPTQRPPPRS VFPGLSSNPFIPESPESEETSPVETRRFPLLTRQHEHVARSDRDSSMGSPNESRQGTP TEPNSVVVVENFSRPRKTSVRSQQSDGALSWHMAAHRLYTDPPQLDQPPPQTSGSHPP AGIHRVPNPSTPSRQPSESSSRTASTFSSSIPPRLSHEPLDISPKSDPSRLPTPAVVA PTPTSPRSPQAQHNHNGPNPHLREVPPWLMTGDEARSSFRSQLTSSTAQGTLFTASGT DRNSVTEQSIINGYARRSWRATSVDDGLSLEDVMGMYERGFNDSDIESVRRSMRFPDD DDIPETDPDMDMPEMGGSRPPSSHSGASELREKMLEAMNDSLPIPRAVPIPESGHPIA RQSRTRHSLLPNSLPDSVGLGLASEHASRREKAGSDTMEKHDSAKLLDNDGDAGLSKE IGIQSPTPSSPTSPAQAQPPTADLPSPNSVTPVVTAPLPQEPPEDPDSRDRYGFRKAS ANVSRQEYDAWDKTYTEYLARRRKKWIAFLKDNSLMTDRPNRFPPRSAKTKRFIRKGI PPDWRGAAWFYYAGGPAILSKHRGVYDQLVQRAGLDQDNAGPPSRPSELKPVIVEDIE KDLYRTFPDNVRFKPPPPPPGTCQPGQSQPQPEPEIITSLRRVLHAFAIYNPRIGYCQ SLNFLAGLLLLFVETEEQAFWLLNVITRVYLPGTHEMSLEGSKVDLGVLMVALKESMP GVWKQIGGDEFEAGKKNKGRRGQKSGHGANSGSVSDPNRLPAITLCMTAWFMSCFIGT LPIETTLRVWDVFFYEGSRTLFRIALTIFKLGEREIKAVKDPMEMFGVVQTFPRRIVD CNMLLETCYKRRNGIAHLSQELVEEKRKERREGIRRWKAEQEAAASEHSSPQPTTKQR LGTDALGLDLATDPEDVRRKTPLFGRRKDREQVRAAEVV MYCTH_109795 MSGRKRKQDEEEELVSLPSDEEEEEEYVEDEEDEEEADDDEEDE DFDEEAEGEDGDEEEDEENAAPEQAPPKKKLKTAAADEASLENGTAANGDGEDEEAEG EEEEEVDEEEEEEEEVGEENGEEEEAEGEEEEEGGEDETDKVPAKAVGKVAAAAGEEA ESKAAAAGGDEED MYCTH_2310736 MTGDDASANGSRAAQSNPGAETRDSQHAEAQSQPQHGRQQETKT QPSSPPSSRPLPPSHQESLKPWLPLPPPHAVYPASLPVDQLHDHVETERLESPIWWHK TKLGLLLLSLMVCAVIFGIGIALGFRIASYDSSDLGYGAVDYEFGLSGGGAGLAIVVT TLEFLKTLFSRRNEGLHPGTLVAAHLIIWLVVLAAVVYTSFLNAYYRLNDQTTTFQHV LLAFDCILLLIHFVLFVDACVMTNRLNRASRGTVVVRVPIQAGAGYQGVPYPVYGYPS LFVPPQASTQPGQQPVPLMTQVPPQTAEGIGPAPPQPAALYTGYYAPAPQEQSARQLP GDQVLVQGYYAQFPAPATATATAAGPSRNSRGSPPSALAKSSGSRQSQRQAEAETQSG QQTESAAPSEGLQAQSRPGGPGSEKTL MYCTH_2310737 MLSSLGNPRQAAAQLMNFGLILSSAFMMWKGLSVITDSPSPIVV VLSGSMEPAFQRGDLLLLWNRNLMSETNVGEVVVYNVKGKDIPIVHRVVRKFGTGPDA KLLTKGDNNAADDTELYARGQDYLNRKDIVGSVVGYVPFVGYVTIMLSEHPWLKTVML GIMGLVVVLQRE MYCTH_2316337 MFKRSFRSRDASVSHREAASAAMDSQHRVDKSTRLTRKGTSRDP QKVSRLQRSLKDEESSRLRPEQARLADKSLAPSPIVTLVVGRDARLFAAHENVLCQAP FFEKVLRSTYVDAQNKRIMLPDEEPEVFSAVLEYLYKGDYYPRLLHNKQRNTWELEDS LPRRDTPQTSPTVHESPKSGGGRAGQTPQTPSAVEATVYLSSIGQHLLRDTVIYCTAE RYGLEELKKLALRKQGLQSGIDVGTILRSAQYCYANTPDSDSRLRAHYLALIIRCRKT FKRSGTMQAEMEKCGSDVYGEGSGKLFFDLFVAMCNHLDDVIEASNARTPKTI MYCTH_2112958 MAPTNTFTITADPGTDIWRKPPTTDVFNAPIALPPAGATPLRHS GPLTSFLSARLSLNFTPQEQYDQGGILLSFRQRRKDDDDDDNNNNNNRASSSTAAAPP PKWIKSGIEFYNGRPRLSTVSCDNWADWSVADLLPAEASGQSRSETGMGTGAETGWTT IAIEKDKDGNGTGVWVYRVLEDGNGGETKVPLREICWVFGQERLEDREVEVHAMAARP EKKAKGGLTVEFGDLKVRWAK MYCTH_2310743 MSSAPTIRAGGPPGKAKPKRKPNSVRRIMEQEQRVREKSVALAS SAGAPAAKPATSGAVCNNPECDKSDVRDGACQNCGRVVWESNIVAEVTFGESANGAAV VHGSYLAADQGSVRPTAPGLAFRRVAGAGASEARERSLREARQLMNQFAHQLQIAPHV AEKAFQVYKFASNSNFIQGRRKNTVAAVCIYAVCRKEDNNKVMLIDLADIIKTDVFLL GRSYKDLLNALPDMKDGTKPIIIEDLIFRFASKLEFLHDTNKVALSAIRIAQRMRHDN ITHGRRPAGICGAALIMAARAHNYRRTVREVVYIAKVTMATLQERMEEFANVPSAQMT VRDFHEAKSLPEASHDPPFVYKQSKEWQEKHGKRSKKRKAGSISRDSSHPVTAPEQDN PEKRQRTEAAQPEVDSVPIDPALRDQSASSAATETPAPGVDGDGFAVPYRRPTQEDLS IANAATAEVDGQLENLANEFGDPEDEEGSEEVDPSSEIAMAAAQGIQIPGMENMKIKQ RGAAGNGARTAAAGAGRSRKKPTLPIDEEWELDENNLEKEVQGHLEDPAMIGASALVR QDIEQRREQEERAQARRSLPPQPEAQRDSSAPPERAETNQQTDSAPINPWVTPRSKVS DDPIVHEDEFKDDPEVMFCKLPEKDVLLKEMIWANHNKDYMRKVQQKIFEAKVSQNNP PKPKRSRARKPRIGEGQATPAGSATEAAQNMLRTRAISTKLDYSRMGNLFEFSKSGPG STYGGASSVGSRSALPSSAGSDAGSDATGDDGARATTPATTAPTDPATARERVAKALA PPEEDEQEQEEEEEEEEEEEAGGFDEEEAFQDDNYGEEDFDPFGDHNGEEDYEE MYCTH_2130105 MNGTTVKANDKKKRAYVSTSYGTHPGAMDVDAAQKQDQKKTTKD KSNVTYYNCGKKGHYKRECRSPKKGWKPTPGKEIAAIDETTKDVIEVAATSYEDKGSD TDSLGHDGNGEDE MYCTH_105130 MPIEKRKSHSTRYRASLAQNIAKNGFVVMPCSWCASQGLVCKMI ARISVAKPAFVEVVFTMALAYRFLPRELSEKLARLRRLRQQKEFLVEKGADMVARGLS TLDELEEVERQETPAIPSS MYCTH_60350 MPCSWCVSQGLVCKMIARTKRYEAYIRRGCSCDSSSIPLSSYKF SRSSVVSRMLNVIPNLS MYCTH_109790 MMATISTRTTTATTTTTTTEAKTTFTMTSYMPAVTADATPQPAK STLLPPTSIPPPSEFLAHLPQEAVSSPTALRFPAAWRCGTCANLHSVLALLAGPTTTT AAAGAGHSGRRRGEEEDEDDDDDDEGKEGSHHRHRNDHHHHHHNRQLCPCGRPALQAV YDQFGELYLFWRDDPAVSDLSVPRMAEEARWRVRTAGADGWFGGWSASGRLL MYCTH_105128 MFGLTLNTLIMALASPLAVQGVPIDTTGIAARASAPLGNGVPLR IMPLGASITFGLKSSDGNGYRAALRDMIVNPPADSYGGGGGGAGQGAARRDEMFKSVR GKSTARVSSGPNKVNMVGSRKAGTMVDNDVEGWSGYRIEQVHDKAMAAESAPKYKPNV VLVNAGTNDAAQNFQVATAGERMEAMLRDLWAVSPRAVVVLSTLLVNQDEATERNVVA INKQLRALVARLRDEEKKKIVLAEMHGRRGPRLADLADGTHPTDEGYRKMANIWYASL RVASDRGWLQAPEPVPGVPDDGAA MYCTH_2083946 MKQFEHRNLRVGAGPPAATTTTKGNFAGNARQWYHRLVVGLILR RKTLPPSRDGRRIPLRPDREEPLIDERRGYPYISNSIRTSRYTVYDFFPKQLFFQFTR LANFYFLCVGIPQTIPGISTTGNFTTILPLLFFVLLTIAKEGYDDWRRHRLDKIENAK PTTVLRRAGSSIGTSQGWRPWFCGRPTNKLGVFEQQSETGQISPLGLQWQTIKWQDLR VGDVVKLSRDEDVPADIVLLHAEGEGGLAYVETMALDGETNLKSKRVCAALEGCDSVE GICNCDAVFVVEDPNPDLYRFDGRVTVGEETLPLTLTEVVYRGCTIRNTASVIGMVIN TGEETKIRRNANRHPSAKKPALESTANMIVLALVVYLVALVAGLSGGYAIWQHTYERV AWYLRDVPVPYSHIIMGYAIQFNNVIPLALYVSLEIVKICQMLLLNSDIEMYDQASDT AARCNTNTILENLGQVGYLFSDKTGTLTENVMRFRKMSVAGTTWLHQMDLVQGDGSKK LGEPQQVGCEMLGVTLEHGDDTPSGAEVSRFLSLPTPNEDASICRSSSQLRSTLRPDH IQPNLTTSDLLEYIRIRPNSPFSQRAIQYILVMALCHTCLPESKDGKVDFQAASPDEL ALVRAAQDLGFLVTQRTTQSVTLRISTGDGHTERTYRILDVIEFSSKRKRMSIIVRCP DGRIWLLTKGADSVILPRLRLANLATQKAKEVRQSLEFEHQLLRKSEAQEPRNSFGGR PSLTIRRSLALSRHGSLVASSSVRPDKSRSKSFDLRRLDPQTNVLSRPLLDARTVSFD GRRTTRRDASEPQATPTKFAFLEDPSLSDDATIFTRCFRQIDEFATEGLRTLLFAHRF LTEQEYNGWKKLYHDAETSLVDRQDRIEAVGEMIEQSLDLIGASGIEDQLQPGVPETI ERLRRANIKIWMLTGDKRETAINIAHSARICRPGSDVFVLDATKGDLDGQILTIMEDL QIQAETNPSNLPGHTVVVIDGHTLAALEEPAAAAAKSSFYRLIRTIDSVICCRASPSQ KALLVRTVRNFSSSASIAPPAAAAGKGRGGTPLLHDLVARLRRRLLPFPSASAGPLTL AIGDGANDLAMLSEAHVGVGISGREGLQAARVADYAVAQFRFLGRLLLVHGRWNYART ARFVLATFWKEMLFYLPTALYQRLAGYTGTSLYESWSLTALNTLFTSLCVIVPGVWEQ DLAAETLLAVPELILDTHYKTGIVIGSFFITVGGWWIWQVFLAGVYAPGVWPYAVKDG FFSSFGPDPAWWAALFGALGVLACIELAYKSVKRNLIVAGLWKFGWKWLRWSTWKAAF SRGAAAWTEEGTRASLEEWDVELWQVMEQDPAIRETLRRMSRLGFAEENEGPESAWRG DGSEPV MYCTH_2310754 MSTPAPPEDQARLLEDALIAVRQQTTLMRRCLDTPGKLMDALKC CSTLVSELRTSSLGPKQYYELYMAVFDALRYLSVHLRENHPVNHLADLYELVQYAGNI IPRLYLMITVGTAYMSIEGAPVKELMKDMMDMSRGVQHPVRGLFLRYYLSGQARDYLP TGDSDGPEGNLQDSINFILTNFVEMNKLWVRLQHQGHSREREQRTQERRELQLLVGSN IVRLSQLVDLQTYKDGILGPLLEQVVQCRDVLAQEYLLEVITQVFPDEYHLHTLDQFL GAVSRLNPHVNVKAIVIGLMDRLSDYAERESQNESEEDREKMEQEALANLLEKFRLSS STAAQSSSAPPAEDSGAGAPKNGVNAENESVSPTETLNADEQTSSVAETDATAVNGEE KLPAARRRGIPENVPLYEIFFGQVKNLVQAQHLPIQDTIALCVSLANLALNIYPERLE YVDQILEYAHSKVREHAKSADLHSQPAQQSLLALLQSPLRRYVSIFTALSLPTYVPLF HAQTYPTRRAVAGEVARTLLKNQTLISTPAHLENVLEVLKVLIKEGSQPPAGYPGVVQ TRARPIETDETMEEQGWLARLVHLVHSDNNDTQFRLLQMLRKAYNEGNERIRTTTPPV VTAGLKLARRFKAREHYDDNWSSQSSALFKFLHSAVSTLYTRVNGSGAAELSLRLFCS CGQVADMTGFEEVAYEFFAQAFTVYEEAISDSRAQFQAVCVIASALHRTRNFGKENYD TLITKCAQHASKLLRKPDQCRAVYLASHLWWATPIAANGETEETELYRDGKRVLECLQ RALRVADSCMETATSIELFVEILDRYVYYFDQKNESVTTKYLNGLIELIHSNLAGNQQ DSISIEASRKHFMQTLDMIRSKEYEGVVLTPK MYCTH_97974 MAPNHDQRYDPVPPIPTYEEAIASGSAWHRDVAESPVDHARHTT EAEAEGQSLLTNSRHAFESTTPNQPPAHGRRPRGYRPPTVETDDESSLFSSSSDSDSD DEREADQVRREMQELEIDDSDVHGRNQSSWGKRIGLSLPPWRWKWRWRLPTLRRPAAA GGSGNGNGSGSGSDDTGRAPEAEQTSGSSWFARARPLSFPKFGSTALFLLVGRTLAIL IVMGFLYLLFASDLWSDMARRMGSQMFDPESIRIYVQSNVDPRKIREHLQHFTSYAHL AGTAGDFALMEDTEMLFTKYGLEDVKRDVYQVYLNYPTAQGRAVEIWEEGGKMVWAAK LEEEEVGGRSAGRQTFAFHGHSRSGDVQGRLIYANYGSKEDFQALSDRGINTTGTIVL VRHYGPQEDLAFKVKAAEEAGAAGCITFTDPSDNGFVKGTEAPKGRFMPADGVQRGSV SLTNWVVGDPLTPSWESKENMPRMKVDQTQGLAKIPSIPLAWRDAQGLLQRLQGCGHL VPKPWVGGVPDVGEWWTGNGSSPIVRLRNEQDEVERQPIWNVYGRIVGIEQGEKKVIV GNHRDSWAFGAADPHSGTAIMMEIIRVLGDVVARGWRPLRTIEFASWDGSEYNLIGST EYVEHNEEALRRDALAYINLGKAVSGRTFRAAGSPVFRKLLLQVLNRVGDPHYNATLR ERWDERKGKIEGLGVDSDYVAFQDIVGTSSLDLHFDGDRFPHHSSYENFEWMDNVGDP GFIYHTLLGQVLGLLILELADRPVMPFDMPAYADNLLRWVNGLKSWAEKQPGAADKQI SFWALELAAKDVAKSVGEFVKWESSWETRVLSASGWEPSNLGRERCDYNARMAQFESD LLDLAGMPHRTQFKHVVFGPQVWPGDPEAYFPSIRDAIKSGNATLAQLTVDRVAGILK QAGDNLLK MYCTH_2310757 MASSGETWSLTEHLLATEEHLYKSATQHPFLLAGAEGRLPKDIL SRWLANDRLYIHSYIRAAGQLLASIDLPKQVPGSQEAFETRLADWLIEALVAVRKEER FFLDVADRYGLGIEPAVPLPPASADAQDAAPHGREVPGLVQYESIFSSVGRLPGSSST TTTTTTAAAAATAAAAATSQPAILPWLEGALTFWGTERCYLDAWSWARNKAEERRSSG EQAGKEDADGGALRKEFIPNWSSPEFAHFVDKLARLIDEAVAKVLEEKEGDAREQTKQ EILGRVEGKWQTLLEAEKQFWPDL MYCTH_2130123 MNYYDEPIPNLSVLPTSSRGAAGLEMQHSGRATTVSGTPEPNVT REPNGPVRRPPLHVVPVHLRPGRSGFLVGRALGTANAHKWPEERAMVRTVNSAYSVIE VWRRLVAAADFNVLRVERAALRRNDQAQEASRLVLKWEQEGEKREGPAYSIIGSSYEK VEATVADIIVILTALYQRADDIPATPLTRVSVHHAILQLGTGGFRLGYLEDTLCKQFT ISIVRDPDDPTRVKPIVTYRIDRNKIKEAEKTVKYRKNTSVAHSTTLVPFPLLDSASL VIARAI MYCTH_2310758 MGYSGPVFENDYQTAVVRANLAAIAFGPKAVRRDETLFNDLRNM TLTRDEGAPIKVSEAQIAKFRERKDIAKLRDEIQHTTDKLEKSRLRGQISSILETCKR LQLEQDRQAYFKEADRLRLQGLEPTPTPGMGGPGIAAPVAACLSRWRP MYCTH_2310759 MPAGEMKGTYDFEDLLRHGVEKHLLGQSAADVAGRKRKRDGDMD GEYIVPAAKRTKGGGNGKCPAPAARRTESDGDRECPAPAKRTRAARHNVAYKKEPSPV PIYDADNDLCVDVIICASTVDEQQPCHLTKNHVGSSAALDLDFLGHVDPALLPPWRPA NAAHHTAAVFSDHQT MYCTH_89999 MSLGAVRVPLDSLEFPDRRQISFKVRERLGRVFRNLSKAGATEV RIPCTVEEGKFRQILARLGLSADQLRGTRGRGQRDLPLLTGVTLSCLYGDYLVAAAKG NKETSLSVHLFSADLLDLLLELISAFSYEPQRSDGELYQKIVESYRRDEVIYALYMGS LTGPKERNIKMLLRPKNLPIVEALNSLFDIPAMIEQLRLGNIYKWLALHIDEQIINYL NHISIVWKEKICQGKKTIMQSLDINSIRIVQFRMPTVCSGDADTIKRLFDNGTLFPRV TDSSDRDMLRRNVLSLDIVIPSFETFQENMHYVGLVAKILIRHVVDELPLYKSSRKRG LTIFEVLSGS MYCTH_2130127 MGLDAENEQEDGARVAPRTTGDKIRPRSYHFRSATKAGHKMAAS VTTKAPRQDATGIPRREAARSIIGQQAIKKAGGRSGAASVSNPFAKFLVHATPDREAA QGAEATAIRPTGSSASEPSHEVASQHVQTLRSEPLGSPRDKSLSAATSFGREAARSVF VVKPPIVKPPIVKPPMPDTAHYDDTRSRIQPRH MYCTH_2310760 MTHPIIVIANFLTTIKSIWELSRTVREKRAAKTLKTQTESTLVL LQRVYRKGLLLEREFDCLFERLMRAEAYNDVAALWKIRAHVQAILTMESGRRAQRRVE R MYCTH_2310762 MYIDLRDFHETFFSNVLNLETALQTFFKSCLEGSNPLFDNGWNC YSASARKRRPLAQPNKPITGSVGKRKLDIGFVDDPKAGKDSRCHWSQILVPGELKSNP AADRPSEARLDLGTYAREVFAAQGTRRFVLGFTLCGSLMRIKRDGRTERLILDELMRP VRCIAGRATTCGKAYREEDLYSPLVVKDSWQYPERDEEGELLLEATSKGAVHVARYYH YETVQVQNADDDVRNNVRKGLDVTEATNYRLHRSRLSLSRSAPGISRAGRSSSIAGAK RSSTQTDALLPPTKRPCLTSPIKAGSDTPPN MYCTH_2310763 MVSKDNRGFLIDLDLAIKEQRISASGAKGKTGTRAFMAIGALLG EQHSFMHDLESFFWVLFWICIHCNGPGKGRVVAEFDKWNYADTKELATLKKRAGI MYCTH_2130131 MDMDKPTETIAFDFDPMDIDKPTVAAPIDFDPMDIDEPTAAAAV DFDPMEIDGPAELNTDRTRSGKNAFGCHSESINHQDTKGCPTSPDLDKVEVYSLEQIA RDYPPLSSDESSSDGIDEDSGGSSGNGSGDGMDEDSGSGDGSGDCMDEDSSGGSGDSS GDGPSPGLVSDSTSITTRLT MYCTH_2130132 MATDFKKVLIPLAAAHPNRLLPNLPILKLPSSSISKTPLQSPSL PSLYNVGGAQRSDTKMPQNGLIEISSDNKSDESNKLSLGSWHKFKSESGASTGDARLP GGRAEQGVGSPFVASQGQGSGASVAAAALHAILDRLNARLDHDKFVEGSSEAMSNNDR MLADVGLSLQPSIQPSAS MYCTH_2130133 MWPIVYWQSAPTFDIFRHVHVSVAVATIIVVSIIVICVIARVGK SDAHPRQASWNRSLWALSVSKPHHQSYTPPAGTPAPAPSPADPEPAELIKEPETRPIS QEQLVSEVKGIYAGLVVIESKCIEVVKNQTSQNDASGKLINNQSSQTGPLTKLNNDQW QALIALYRTLLHEHHDFLLASQHPSASPALRRLAAKYAMPARMWRHGIHDFLELLRHR LPASLDHMRTFIDTAYSMMALLYEMVPAFEDTWMECLGDLARYHMAIEDDDVRNREVW RAVSRHWYSRASDKAPTTGHLYHHLAILAQPNAQQQLYYYTKSLCVKTPFLSARESIM SLFEPIMSPISNPQQTWLPRVELHYVRTHGILFTGKQEERLQESMDQFLKSIGDHIAL SLYRWLEPGYHIGISNICALLGYGDESNPIAQALKTPAAPSRSVSASEQFRNTRKLFN ATYDAEEEEKKKNEGAEAGESRPGPPKRRPLPEDFALRGFPFVEKYFPDEWFEENIDY DDKYVKVALTDVESKTEERKERVLWLGCRIAEMEGKWLRFDKEKWQFGVNSEYEVDLD L MYCTH_2130134 MNALLNTGILLFLAFNISTGAYAIITSRTEEELVIQAVKGSDGN PLPVTKRRQKKNARERDDDCGNRGFLWSCLTGVVALTFVADGAAVTLHAMMSSRSSGL DDAWRCGEERIVYIVGCGFFYLYIFITVLERERNPGIVHLIVWVLGLAGESVISVASI LVMTSKQHTTLAYPPEKGIEIWDLVDLSIPGIRVFLLVCIICHYAAVVMRRVEAHRLE AYRLEANETSPLLNGSQDHYGSASSPDDQRRTQQDNETAFYRPEKLPHKSWLEYCRGY SVFFPYLWPSKSARLQIVVLVCFVLAILERIVNILVPTQLGVVTDVFKTKKGDAVWHT YRALITAAFEHVHSLSLDFHLGKRTGEVLSALNKGASINQFLEQVTFQVVPMLADLLV AIIYLHSRFGALYALFVSIITFYYLHLTMRIAATRADQRRDMVNADREEEAVKNDSIM SYETVKYYNAERREFGRYGDAVKKFQGAESKVTYGINYMNMCQSAVFMCGLLVALLTC GYQVSRGDRSVGDFVLLVTYLTQLQGPLNLFSTFYRTVQSAMISGERLLELFKIQPSV VDKPGVKSLLKCSGHIKWNRVSFAYNNRGLVLNNVSFECKPGTTTAFVGESGGGKSTI LRLMFRYYDYQGSIEIDGNDVKGLTIDSVRRFIGVVPQDPTLFNETLMYNLKYARPNA TDKEVYDACRAAAIHDRIMGFPDGYNTMVGERGVRLSGGEKQRVALARTILKGPKIIM LDEATSALDGETEQRIQDELISGKLGQGRTRLIIAHRLSTITHADQIIVLHDGFVVER GTHPELLALKGRYASMWQKHCRAERAAEQVSGGQEMQWR MYCTH_2070048 MCNYTQREYSCGHFRWIASEWCRDYTITHKRCQPNVTHFKYRAE ERCGDCKPKEYPPWENLIKRPNQPVS MYCTH_89991 MSVDRPPPHITRIFEAFRSLFRRCCRVEQHALDDALFRNCRHVD QDALDDFLNEESRRQYFMHSSEKEILLFLCGAAKCCKQPRKRLDYVNLLLTHQLDKWL IQSMRVEMDPASAMLRLSALISLEQLACTVDEDAQKPAMGWLHRFVELMEHGTDLYGP QATHCNYYKTYRHMGCALFRAINSEEFGFIHPLFREDPLCCARGVGCGSFLDEEYWVR RVVEKENY MYCTH_2310765 MDGPVAEDDPVAEDGPNLADAILDQSTGMTLRPRVLPSYRGAAS SNTVSRRRARVALVKPTEIPRDCCPGVPPWLRLTLSNSHLFDGNAANQLLPFLPQLCR KHLQFYAKQARDTAFARNANMVGLPDTSNKAASYTDTGPPSRRRRASLSDVFPPKRLR FDTSGVFLRTPRVAGDRPVHDRIANNAYQIRALAELEQSRPLPGSHGDETNQLLRKLL NKAQHPNSSEALFCTSIEAARIVETQCSGDALIITEDQQPFVWGKDAGRPIEQFFRRF RISSLSVSVQVPSRPSIEESFEVHELREVKERFLRRESISDPWNVLDL MYCTH_2310767 MEWREWKAVDKWALVSEGGNHTAPHMDSHGYATWITVQEGSIGF GWMACPTKEEREAWMAEPDRYTEGP MYCTH_2120908 MQWQVSYDGKLLLCPKEQFRRVLDIGTGTGFWAMDFANEHPEAD VIGLDISRGILPIYMPPNYEFQIDDVKEQWTWSQKFDFIHGRMLGGYFRDFPGGWLEV KDINLMPRCDDGTLPRDSNLFAWAHELAEAAGRMGPGFTNVEVVKHKWPMNQWAKDVK QNELGRLSQSFFADELETISGALLVHGLNLSHEEVAVRCTLVRREFNDPQIHAYFPVF TAFGMKPQR MYCTH_2130137 MTGNTPESRRYADAAFLALVRELSFLNTLDTADALQILSQSPYG SLGAVRHLTIYDGAWPRAASQGEWKRHPLILSRKWDPGAAQLAYRRYEQFLRQEASRT FGTDVQLFRTVLTHFPSLRELTLSHVHAWEMSYLNYAHFRNLMDSIWVIPSLETFVAK AMSRLLPVLGTCSRLQRLNVEGLLDARDLEPGRYDNIRHLCLNSVLAGEGLEGSIAKF LNSFPGLTALTIRTFLHGPIYYQRLPLNQICWFDLQFYEILGAWVPENELFDFTERHP LKRLTLKKVKFTRQILESFFSRVRELKPRPNIVCQGVQPSRNSQGGLMGSVESQSLLD SFLAHAEFPWPFSDPNEDIPMFSLLP MYCTH_2310768 MDVRSKTDTEILDIVKEEIGKDLTDEERSKLQFRMDTDSTKSYI AAVIEFGVSGAVIMNGKIEIGHGSPKELYFTSRVIKMSRNPQMHYIHKE MYCTH_2130140 MVVGTCRRSPSLLQLTRTAALYLGRDHTLLVPSALFGQRSRLPP AELYLVQTHGILFSRKQEEGLGVIDNPVSSSRQSGAPRTSSNPQKVPVPVLGTPAKQD PGQILLDPILRAFAKPNLGWWQPPALLVSREPSPALAVLRPDNYQMPLSTEEAWSTPP TISLQHSRRQTWQG MYCTH_2310772 MYLIRATQDFRGLTQRVCLRNEELTEERKGLWAMSLGDIQQRED IKRVAIAFYKDKDRDKQGSIEQEAKSYGKTIRLWRAVGLLCLEGVLLTDGRVCVVIVV SPPQPSGDTGAVAGGSPSAGLRTTYKVVVSKVDREEKVTLELEYGDMLRLDEDKKMEA TSGGIAFIVMMYDVVSESQDH MYCTH_89984 MPGLKIEIWDPTSEYKPSQAKPSQAKPSQAKPSQLALPVSEEEW CQRRQDTKLSTADDVLHTVDCLVEGSLDPLNNDKHSSVEKSTSLDAAGDQNPGDALGD ALSSSAEQAAALVAAGDQNPGDALGDALSSSAEQAAALVAKAECSRCIAQFFSLVFMA ECQVALVTGCPKTSVYYVIRKFVEATGGTFDGERVPAMLLWTTLWILQEQQRHVRRGL MHRGIEIFFSGGKSLWFYHACPRTPKENARFTNKFPTCKVPDEIHASLPLWVPFIVGI RNISRWSYTTICDALKVNLWSREDYFQKWRERYRSRTLVSCHLEVDCSESQLLGPKRR RHHARKRKRSAEPESRTLRPDSIEETQFRATSACQHREPAVSRHDKCLTIRASSALER EAETAPQTSQGHPTATVHSESGSGSGQAVSASLPESDVGVDSWHILLAVAAAQAGGNA FTGEETAGAIAEHESD MYCTH_2135707 MQDFLNLISFSSGVCFFVFRIAVILLSRRHSNHRRTKTGISVRP PVYTARPKTFDFVWIFHHIAVFVPDAVLFFIGRSLGYPFGNIAAALRVYGSLSATHWV RLWPQSRVWALWLYIAAAVIFLAGLIGAVFLPTDSHRTAATSRPHDPASPLPSRNPKT ITGNVFGVTLTRSDTRIFAVTAGS MYCTH_2310773 MVPLSIAEALPVDPALATVGGCASPVLRPVPVRGVMPQPAMNSP AAVSAVRVLRKNVSCPACGKTGLRATSLKRHLRTHSGDRPYKCDLCHNTFTRSDTRNW HFQRCSVRHGNPTGASHAPHP MYCTH_2120909 MCHGHPRHHSCSHQSTGYQTPYPNVSFAVSQPLIASCPLANCDF RSAGGGEWTCCKCGGRNTSGWCSNMSRKPEWEKNPVTNEWEWIERCDHGCCRSCGKAG PANTSELDESGHPTGRLPSHRPGAPNSRSGFTPPAAEAVIQTSLPGSGREAENEAHRD SSTPSRK MYCTH_89981 MDLTEKWKRHLRQSSRVPKDTNTSPTPSSRREGSRSRSVSPPKR SKARLAANPSSSTSARGAAPPSAADDDTIELIKQPETRPILQEQLVAEVKGIYAGLVI VESKCIEVDNNQSSQNDPANRLNNDQWQALIALHRTLLHEHHDFFLASQHPSASPALR RLAAKYAMPARFRQGPNHGASLSPLGHPRSPERIVAALLLFEVAARLPPTELNFVKTH GILFSGKQQDKLDASMEAVFQSLDNHIGRSARRWIESGYHMAISNICAITGYSDNNNP ITAALKAFSSLRPSDAANDTRAQPMEEAPAAQSVSSENDTKDTTVSTSTQLSNALRLF TGTYDIICRRFGDNNILPFLHVTLVFVYYLTFCPDAMAHVAPHFPWKLTASMLNTLLN GSSPSSSSPSTAAAAPVSNVSQAAGLAQLVESKQFPGSKKGVSATAEGGEKGDSTALA GPSVGAGRRKRPLPDDYAIRGFPWVEKYFPDGWFVTEDRIDDDDKYFEVPSMLEERKE RVVWLGCRIAESEDGKWLRFDNEAKRFGVSPEYDVELELEMGGQVTAAPGESVDYGEL PDAGVTA MYCTH_2112984 MQRPLDLAWRLRIGALASTLFLSVFSVAAVILLRRRSNHEGARM GISAFSVVYTACPKAFDFLWVLYVSVVVVISITLFTAGLIYTNNSALAEGADVFWIQR RGDLLGNVTSAFLVYRNLSEARDRKWQLRSEWLGIDVKLYPRSRDDFDFRCKGSGSTA CLVPESSSDSSLPRECGGGRVNGCGDYGPGRTMRRSGAENTADVRGRALRRD MYCTH_2310778 MINIASLLERGNPNGSSMCPAQQPPSASFPAAAAVTVAPNGPPP APFAVAAGPSPLGVIGGAGASRQSVSVAPGSAEPQAAGSDLQPRSTTGKRSVPPRTAE PPAKKQSKWSGEEDARLIQLRGRNIKQKGVSTHLLGRSSVSCRPERHNEWSEEHKNTL ARLYEKLKHEMWTKLAQELGVPWRAAEAMHW MYCTH_2120910 MEDEIARLRQALEYERRLREEAESRASEEQRRRKKAEEVAEKSR PLRLEPYLEACHTLNLAIEVHQLDYVLSLITPINSEHGLRYYERDTVKNVVQKLVDAV YENPVLRASIGLRRTITFESHTNLGTMDSSPSEHPERTPLSAGSAGEPALATSAAVRK RRRIARGKGNRADQFCIYKTSDGAKVPAIAIEYKAPYKLSREELVIGLVSEIQPERDV INKDGDGFAFAAKRLATAIYGYVCTGEAFVFLHIPDDLAMVYYHLYIPNQDVLNDDKN RLHRTAVTNVMLPHTNPSVGAASNARLFELVRIAPRPTLKAILEMMMTKKVPLLLRVQ IG MYCTH_2069148 GAIIPKFYGLLRYNGTRAILLEYLGGISLSAPEGVTITLEELSS LLQLYYQAFYAFDVHQDNANLSNF MYCTH_89976 MYDNNMSFLEHQGCFPKGSDCYDLESASESENKSGIRRVPRQLC TLRFYESDDDRPGPHSGADSACSSPPEAPDDRDQTKLGPLDGGENRFSKAHGINPALF DVYISAQKGASSPPPGITLPALLKLVDADRIGHATARRQYQGSDATADVEAATADVET ATADVETATADVEAATADVEAATADVEAATADVEPATADIAAALLRITKAHTLFETRL EILGTKIVLLEERIPGSYQVAPNLADENRTLASLLTSDPNEFDASRDMASSDLSTPPL LVDCDIADVEAQTASSRMEEPETASAADADQGTTPIDLPSRRPPSPGPRTPLLSVPMP SQERAPQAPQTQDEPDQSATTQDQDETPPVQDEPFPTPGQTAVHAQDEHIETPTAQEF RSFASLYTRLSREHEPRGFVLIKVPSDVLGSCSRPTEPRITTSWRQALRWRSGLVASV EYEEVRHNPPLKWHIAGPGQDETVGLEQSGFSVCTEHRVSWSRTLAYWLHQPGVSLSL KREVKNQSHKAFPNIGNPLIFF MYCTH_2310780 MVNQDMVSEEEKEMARYVIEVHNLANKGIKGRGKGCKVKRVTLL HPPQQHSLPASSPISITTASNTSTIHSAAPYPMSPLPHGLPNMHTPPQHLPMAMEHDH QAIYGLNNLATYSIAGPPPHTVFGGPVAISTHGVLYAPYSILDSEQLRYASSVDGSTL VMDLPFGDRMH MYCTH_27592 QKVFYCDVCSKPFAQKNNLATHQRSHTGESPYACPYCPRRFTQS ANLRSHINRHTGEIPYKCPQCPKRF MYCTH_2112989 MTDAPEPLWGDSTNVATDNESTVTNQVSGDSDTDSVLGDLDGQS IYTLPSDIPVVEEEGRKYYNPDKYPLPNDEQEQERLDMLHEQHLEPGGYFEVKDILLT PKCDDRSLKGDSHLLTWARLLAEAADKMGRPINLASWYREMLVEAGFTNVEVVKQK MYCTH_37027 QREYPCGHFRWTALNWCESYKGGQKRCEPNVIDFEERPGVCGEC KEKKWEDWE MYCTH_2316343 PGQTLTSQLGSTESRGRHPGAVGPSAGQCSNVPPDQPVSAPRPS QLSGTSVQPLDNADTTHPIEGFSELIRYTVLSTYSSERLVEKIQHINGNIRFEHRSDW YRTSWIGGLFRIAMTKKTAEENAAISETFFRDCESS MYCTH_2310782 MSAPIGKQAGVGSAELCKRCRGHPSRDRVMTAGRRPAGEQAGIG SEPCKAR MYCTH_2112991 MDLGNLTQGALPASAANTRHEANNSTTSWLPHLPDDVLETLTLL LGPQFNLLLKLLMVLYNIVGGHFGFDPTYPLSAFGFHSLARQYFMASIELIKWFASQP SLVNSRSLTAETVSNSVWDDKDGTGLITKRVSSDGSNAYLNFLTQKTKAAPRFTPALG THGFWFRGRYFRLHRKQESLYDANRPFGIPQYKDKEIIFLSCFGRSPEPLKELLKHCK EQFYITHQAKTTIRRPAPEAIRKGRRNNWQQVLSDINEYLHPATLRWYANRSIPLRRG YLFYGPPGTGKTLFSFALAGVFGLDIYVISLLDPSLTEEDLLALFTSLPRRCVVLLED IDTAGLKRTDDPNADEKSSENWKVSDLARELKRHQRGDASENKGISLSGLLNAIDGVA SHEGRVLIMTTNKPETLDEALIRPSRIDIQIGFTNATQEQARELFVRMYKPDSTDDPN PFPPVPTPTAPNLNTLAEKFARQIPPGVFSPAELQGFMIKRKGKPKKAADDVGR MYCTH_2310784 MIRHDPKWATFNNAYINEKITFHLQNAFLEEPTEDSLLKMLSHI GHMRDPRARKDMVPNEVWEMMPPNPEIEALKAERNHLKGGRYRIRGIENEERIRKLGT LIATKEAQRRKRIRQGYRADYFYNRPTWDIERQASEDEDEEEEEYLEPIVDLQIPERA QLAEILCSQPDDLSGIELRELRI MYCTH_97963 MPDEAATLVISLDANDAAYSADKSNLSEGAFRVVYTGTKILGEI PVVIKFLKDEYRTYQVITDRGKTQVDSILRVYSFGPIFFHNVLVIDHLRPSLESIFAE REGRFTVKTVVILAKRILGVVERVYAKGIVYRDLKPDNFVLGRPGTLTANIVHLIDFG IANRYKDLKTELHIPYREGTPLLGTAKYISIYIYLRREQSRRDDLELLWQGIKAPKKE KNDRIKQKKQEITIEDICTGFPVEFSECLWYVRHLDFDDEPDYVYL MYCTH_97962 MASMILRLDFLRSTEFWAFFHTLLWLHRYLRLLAHCVSHWRYKS IIPNLEKPRYTSEDVTVVIPTIHNHPENLRPSLESILACNPAKLVLVTTWNKHQALSR VATSLQMPNHTSPVAIEVLYVDKANKRLQVCEALKGGHVKTAITVIADDDVEMGGVGT CQRVKRVVCGGAATRIFNWLGAAYIERRNFEISATHNIDGGTSCMSGRTGAYRTEILK SYDFLRSFKNEKWGRCRLNADDDNFITRWLCTYALHIATFTSLAFVVDLLLLFTCWWC TEDWQPRNRRILLGAEVAFMFGFTKVVKLAGLLRRNPRDIIFLPVSILFGYFHGLIKL YALCTLKEVNHHRAPRARPTHKP MYCTH_2130157 MWRQPPTLWAVDERVRSKLRGRKGPSAVVITMCGKPAPARPCQS PSGERRICASPSLSALLAVSSDSDGHHILLPTPANSHLKKRPQPLHGPSPLETLPQSY NSGSASGPVEPSPTSGLAWSVSHRSLSHGLPPLQHRGKEIVVPVDVRRGSKAADDKRR GNAAALARFRKRKKERERSQQEELQKLENANRELESRNKELAKRCQELEA MYCTH_2130158 MGRARANKPYLEERRSAVCAEQEYVARSAAASAASPPPFTIPTP STSVSTSACQRALLLAPAPELLCRYVYAEPPARLRRTDSELQLPTSSYSLITPTPLPP TTSAVPPPPLPAASFVIPPSPYVAPPTAKWATTTAAI MYCTH_89967 MPEQRSTVTTLAAPGSLADIIRREPRLFVLPPYWTYNHSRVLGA RFWEQPAITRPFLEQKLSVPPKPSEMAKALILNLDTLLSWDTLPERALEAMEHVMATF FPATLHKPQTDARLKLYFGERVFNKAVYVSSLWTSSSTDGSASTILSASVLAYVPRER LRSKLVAPADADRDPHIVATLLAMAQAHFYKETSLTPPVFTPAPLFQRPVAHTNADTP FYDVTGQIITHQGEGESANFVVYTAVVIATFLRRFMFPYEGPDSKDSKSGMDISVRKV KVFPILGLRERLVRALLPELVEPYSIYFWGPLVERSRKRKRAGSGSFEEERSVA MYCTH_97961 MILVQPQAGERKSAATIAKPVVKQSAKVPVKAQINETRTSVSTG LIPKPLSKHSADTVDIEEESASYQDAADADAVMQDGGEASDGEPTLLTFGDLVRGNST VDIQQRPSAPISATSLGTILNQALRTDDADLLESCLQTTNVKVIENTINRIDSSLAGI LLSKLSARMHRRPGRAFGLMRALVSQLDLVARLGELSRVLEERSRGLSSLLALKGKLD ILYTQMKYRKSIKAAGSSQSRLGEEEESSKEEEEDADEPRIVYVEGQESLGKGALTNR TAAGGVVDDDNDFPAGTAVISDSEEESEEEFKGDIEEELADAESLDKDKVDYDDVEED EEEEESEDKEAQPPAKVRRTSAKISKRK MYCTH_26863 VLDPIQAYGIGGPAIISGCDAPVGWQVALGIPVGEVVLRGQDDE WGDSPAKGIDSLDYRCPFPVARLGQLCLTTAIRGRNYHAREDDAHHKPSLVEVIDIII HNAVLG MYCTH_2130162 MRQRQPSYRTYTLDHSHRWEHLAADMDDRPRAGATKRPMPALCL ATAHKIDEDDLVARSAEHGNAEVPTQVLAAHVEPPAGGGPGMGDDGLGDGVASGRYDI GSAAETSSVADINDADNTTVLEREGGDHTTTEASPAAKTSDAGNNIMSERESEDLATA GTSLAASISNAVDATMSEKAGEDNAMTEMSPTAKASGMDNTIIFEREGTGYRQSDGCP ECFRDEPFATRRAVPMQNGEPAPVREPRPGW MYCTH_2130164 MIDNDVLALNKYDSHPELRKLKPWSPAMFTASSFSILNYPTDIF DLVRDGTIRVHIADLTGLSARTVHLSDGTQLDADALCCVTGWKHMPPVEFLPEGGLVL VDRRGVPRGRPARGDDAERTASSSGDSSSSSEAGEDRGRAEPITTSVVAAADDNDPAY VAIAAGVEAMGLNQNDDDDSGRVGSEMEVDGSAHRFKRVEMWARGCVGEVVL MYCTH_38597 YPDNILIFSKTIDKYRKYIKAMLGTLYIYKLSINKGKSEFYIRK TVFLGYKISLR MYCTH_2047322 MDSFVREAFSLLGIGLFVIGLRLYVRISSAGLKHLHADDYLMIL AAVAYSVETYLAYSVGAFWKGLANNGMTDKQRYLLDPDSEEYQWRVSGSKTQLAGWST YTFLLWVIKAAMCSFYLRLTEGLGFEKRIYAGFVLIFATWLAVLLSILLGCQPFQKNW QIYPDPGNACQPAISKIDIFVTVVLNVVTDIYLMSIPLPLLWKSSLRSLKKAGLMLLF SGGIFVTAAGVLRCVLIIADPVNGAQKAGSWAVRETFVAVVTSNLPMISSLIARCFR MYCTH_2310786 MAPTRDPRPLVISGPSGVGKGTLYNLLFQRHPDTFTLSVSHTTR RPRPGEQDGVHYHFVTKEQFLELKAQNGFLENAQFGDNFYGTSKATIEEQTAKGRTVV LDIEVEGVKQIRASGFPARYVFIAPPSEEELERRLRGRGTETEESILKRLKQAKVELE YSKVPGIHDKIIVNDDLERAYKELEEFVFAEPNASA MYCTH_2310790 MPIIGAKEEHVDPRLTRIAQADKKPWYKKPNLRFLYLILVPTGL GVEWTSGFDSSMMNSLQAVQSWVDYFNNPTSGRLGLLNAMYSLGALMAIPFIPTVSHF LGRRRTILLASVIMCMGAGLQAGARNSDMFLASRWVLGFGIPFAIVNASSLIGELSYA KERPIMTSLFNASWFVGAIVAAGTTYGTFQMESTWSWRLPSLLQLVPSFFQITFMYWC PESPRWLISKDRGDEAFAILQKYHSEGADGDEFVRLEYAQIQSTIALEKEAASSFVWA DVVRDPPMRRRFTIAAIVGFFTQWSGNGLLSFYMKQILALVNITDNRTVQKIILSNTC WGFINAVPLALIAPRFRRRVMFLTCTIGTAVVYTVWTIASARAEIDPSAATAIPVLVF IFVYSPYVSSFPSSFSSFEDLHKMHKCLLTQLPCRFYNLGWNALAYTYMVEIFPFRQR SKGIAVEQLTVRFAVFFNTYVNPIALKNIGWKYYIIYCVWILVEIATVYLLFPETHNR TLEELSFIFEGKAVQEKMQQNVDKVLEVELDAVKRRSSKDGVATAEERA MYCTH_2310794 MFPNAKPSPPSSPPFACPQGQGQDHNQPGSSGSTEEPRWQGISR ARPEWPVPTIQNVVATASLECRLDLQAIAQHARNVEYNRRKFHALVMRIREPRTTTLV FASGRMVVTGAKSAGLARLAARRHARAIQKCGFPTRLADFRVQNFVGSAACGFLVRLE RISHRYWQSARHEPELFPGLVYTMVRPSLKCLVFTTGKVVLTGAKKREDVFEAFANLY PLLLEAKVEQRGAPPMPQGDDGSS MYCTH_89959 MPSVLSLFRHSRDMPRPVQAGDDGQRTVAKKRRSRFSMVIPGSS SRTARKSAPFDSALEPLEWKGAAMAADVPELPSFPLYQHFASTRHLSDSHQRRQRYYE KSEPRGDRRLSRAATVSDFHSLRPQSELIPRKSDVTTLSTNAPPACDRAVELARLYRS ILPDFRTICEEEEEKEEDEEKKKGETRENEGGRREREAGGYGGQRPKNGSLGAASTQG VTEKERDRRKDGGLCPETHAGEPPHRPAGGCSSLTAGASKTSAVKHHKFPDGAAQRHQ HHRPSDPNTPGQSKHAWPLPIRPLPPSSSPQTTATPETTEAGLVRETENEHDDRSILS QHSTVGLQICTELLVDRLIRALGLQRRHERGGHAGPSSHGRVDEGFKVIGEKEMEREE GDGTEHRESSSKQLEMLLLIEAYEGLLGCCRRETAATAATMTTKTESVEAGAGGNNAA QPGADRSSSSSSSSRPVAEAVPILEHWLETLHALYEATFEERGEA MYCTH_89958 MMLRTSAVFLALAAMGLAQAPEGYSTVYITSKVNTKFVIVPKER TAGTTTIVKTANNTPEQQWYLKTGSTKIQLADSTLCVDGGPQDNWRDMGKIYVNECAD TESQNWFVMDDGRIALEASNQQQCVDLVFMRAVENNDVGLFSCAGLGNTGAADAGINW PLVNVTVTA MYCTH_2130172 MLDGLRSPWVSTGGRPWPVLLLDHRLDFPPVLVGFVVRVVLGPQ PVAEPEVELLGGIERPEAGPRPGDTLRASRREPPTQLLDPAFRGWRKRSELLEHGNRS CRKMPSRSSSDNVFYALERDTPRIRSMTMIALFLWTKAQCTRGDGNGCLAGRESHGCG LYEVCKRPDLDDRIPGDRGRKSRSNYP MYCTH_2316346 MATSEAAISRTEILEKYTSLDQRGIVIAEYVWIDSEGNTRSKTR TLEPREDGKPWDVDTLPIWNFDGSSTGQAPGDNSDVYLRPCAVYPDPFRKGNNILVLT ECWNHDGTPNKYNYRHECAKLMQAHADAEPWFGLEQEYTLLDLNDRPYGWPQNGFPAP QGPYYCGVGAGKVVQRDIVESHLRACIYAGIKISGTNAEVSKSQWEFQVGPCVGISMG DDLWMARYILARVAEDFGVKVSLHPKLIPGDWNGAGLHSNFSTKEMRQEGGMKAIEAA IKKLEGRHAEHIAVYGEDNEKRLTGRHETGAIDSFTYGVANRGASIRIPREVAAKGYG YFEDRRPASNADPYRVTGILMETIYGPV MYCTH_2310800 MSTTAAPARDLPVITIPPSPPHPHTHTIIFLHGRGDNIKSFSRA LRKWHSSRGTTLFDTFPTLRWVFPQAPMRQVASTANLPRPHIFPQWFDLWTAQDFSER EEVQVEGLRESVPLIRDMIAREAAQLGGRWDRVILAGISMGGATSVHTLFNLDIPAEG GGRLAALLAFCARCPFAGRSLQGMREVLALPGSPPAGENGVLRRTPVLLEHSADDPLV LIDNGRRLRDTLKGFGAQVEWREYITGGHWFQEPEGIDDAIEFLQKFVFGPNAGEQTR AAADSVAMDLS MYCTH_2310803 MDPDLKRYSVIMLDEAHERTIATDVLFALLKKTVKKRPDLKVIV TSATLDAEKFSEYFNSCPIFTIPGRTYPVEILYSREPESDYLDAALTTVMQIHLSEPM GDILVFLTGQEEIDTACEILYERMKALGPGVPELIILPVYSALPSEMQSRIFEPAPPG SRKVVVATNIAETSITIDYIYYVVDPGFVKQNAYDPKLGMDSLVVTPISQAQANQRAG RAGRTGPGKCFRLYTEAAFQSEMLPTTIPEIQRQNLSHTILMLKAMGINDLLRFDFMD PPPVNTMLTALEELYALGALDDEGLLTRQGRKMADFPMEPSLSKVLIASVEKGCSEEM VTIVSMLNLLQIFYRPKEKQAQADQKKAKFHDPSGDHLTLLNVYTAWKNSGYANAWCF ENFIQARSMRRAKDVREQIVKIMERHRHPIISCGRDTDKIRQSLCAGFFRNAARKDPQ EGYKTLTEGTPVYLHPSSALFGKQAEWVIYHTLVLTTREYMHFTTAIEPKWLVDAAPT FFKIAPTDRLSKRKRAERIQPLYNKYANEGDWRLSSQRRAGRTGGGGGTWG MYCTH_60316 MCSTDIFLGLLAVIFPPVAVWVKRGLCSADSIINILLCVLGYIP GLLHAWYIIAKYPEPPYDYEYQSIPHDPEHGRIYVFVHNGGHGQPQTQGYQPQEPAHA QAPKPQGHMNYGTTGAAAAPQFQPPHQHQPQEQGTASAAGTAAGPSDAGAPPPSYAQV VAGDYKVQTHD MYCTH_2070352 MGRSQRNAPTDEQSRLEGTQDVTVEADASQDGKKKKKNKKRSAG AKKRGTGFEEFYCDPPMTPAEYNEERDVIYPPHRPFVDRIEECIQRYRARRRLNSDRE YLFSRYLLLGGIDASVRQFQSTRTIGDDILEDATKNSIREMTADDVIQRGGDGNRNPR FYNPNYPEHWDVDFTGVAAGFVSEHLPALTGPETDQFFMGVDVVLNFLKYVDLHDVCP EYADDIKNAQRVCLRALEEIPATTKLLQLLPGQFNAALRVLYVSQDDGSSGVDNYFEK ALPDPKQAKVSHAATISILMGADRFPTNSEWFVTDTAEFTFEVLAIKLPTDAIRAKYK AINQHLTGYSDIQPCGTIIARPVIVRDGWDNTMAATIPPEADKESEFILEEDILRLLV VGMKLTIGVCTLNVGLKFIKYVTQVRPSFYVFLPQELMFHFKEPVPNDRPAKSIYDCE DEADGDAPAGGYNDGGDVES MYCTH_2310810 MAASRELPNHADLQPYNHAVAGHDGTLSDIDGELFIKPCVQQEI DFYEKTFRDHPEFASVMPLFFGTLSLNDATDINSLNEQLPAVSDHISQGLKEEAVKMA KEAAAEAAAEIADEPVDIAWKPNKNRKIATNKSVVLQNTAHGFKKPNILDAKLGRRLW ADDAPLEKRRRFDEISRLTTNGSHGFRIAGMRVYKGSDNPEELDANGFKVYNKDYGRF QVNKDNIVQEMAKFIFNDRAGIDRELGRAVAQAFLEDLKRVEEVLANSETRMYSASLL FTFEGDGEALRAAIEENNALVDKANALEEDDGIIVRGRKELDAGKLNGIMVSQQDMGT VDINAVSGAIEGDVKVVELMGNVASDGEDDDDDDGFSTLPRIYSLKLIDFAHAEWVPG QGPDENSLLGVRSLIKIFEELAQ MYCTH_2310812 MSIPGLGQIPPQQPATPTTRTITLRPFWEWRFEVPRSSASQAGA TVRLTSGTAERDGTELALNHTYTFPRNTKSKLLTYTGATLEVSGECVDRVAQYNTPED SPQLPVLNLHFALQELRGAAAANNNNNNHNHIINHSTGGNNDKHGDGGGSKPPGPRVM ICGEKDSGKTTMARTLAALATRVGGQPLVASVDPREGMLALPGTVSAAVFGTIMDVED PAAGFGVSGTPSSGPSAVPVKLPMVYYFGRERVDEDVRLWKQLVGKLGSSVKAKLEAD EVVREAGLVLDTPAASVAKGDLEVLEHLVKEFDVNIVVVLGSPGIHGELQRRLESQKT VYGESITLISLEKSDGVAGRDKDSMKFTFDAAIKEYFFGDAKRTLSPFTQSVSFDDVT IFKSPDDPYNDQAILERADISAEMSHWTLAVMNASPSDPPEAIQQAAVIGFVAIADVD EDRRRLKILSPVSGRLGNRPMVWGRWPEPYINLLG MYCTH_2130178 MLLAVCGLFQIDRIAARLDVVHFANGSRPTTERKQGGLGNQVIT RAGQRFAFVGSILWISYISSWVSYQLSSSQTLKLNLIFGVPIFSAPACGYWPGLNLCA AAETVLKHKKMRDAPQANASPLLA MYCTH_2310816 MTVPRWISLGMGLRYLLDETTSFETLSVVTRHHASTDGESRMIR TLLILSLTFASISVMSTLFALYWLILLLIKGDFVKSTVLLVYAIVSFARGNVRPSSAF CQVSGFALAVGIEASDVAVLLIALHSAMYILRPRSGLYPYRHFAYLAFYLFPIVAACL TFIAGNGYGDMGPYCYLRTDRRWARLALSWVPRYAICAAIVLIYLFIYFYIRRRMGDF GRRHSEATQPRFPSESAGAISMPRLRYNGLLPSSSCSRPTSTTDTISAVKDQLRPPSS IGPARSVSARTSAEAPRRGPVKWNWTVFAHDQLPESSRPSADDTYDPTSPNSPGHLLI PPSPAYSHRRNTIPSDHVLSGSYPGGSSSSSNNNNNNSNSNSRRVSLPPSLPQHTDDA RAEVDVDTSDSSPMLPVPAASLPPPSSSRFTASITPADPDPGPAPDPDPIPSKQLNNK RTLRQLRALFVYPLAYIVVWLFPFVSHVMGYDDDSTRYRGQGTPHWLFVVSTISLGVQ GAVDCTLFLLRETPWRHVSAGAKGGFWAALGRRWSWNLRRATWWCGRGWGWKGSSADG VGRTREEMLVDGRLARERREEEVAVERERRRGAAMGLEGPGGGVGRGRRRRRREWWDV YAERDGILDGNDGLDDTDDGEGQVEVPQEIG MYCTH_2310819 MPLRLAILEADTPVPAANERYKGYLGVFRHLFARAVAPAALESV LTLTGHDVVHNPSSAYPDLDEVDAILITGSKYNAFDNDDWIVTLVEYVRKALLRQDRP VKVVGVCFGHQIVARALGALVARNDKGWEVSVVETTLTEKGKELFGGRETLKIQQMHR DQVFGVPPGAELLASTEKCGNQGFIIPGRVITVQGHPEFTEDIMDELLELRHQSGLFT DELYQSGKTRNGDHHDGVFVAQVFVKFLQGQ MYCTH_2310821 MAPTRASLKGFLATAKKALVSPPTQRPNPLTLVVGNESADLDSI CSALLLAYFRTHTPPHALHIPVSNLPRADLALRPELNAVLGPAGLKPDDLITLTDLPR DGLRPEDTRWLLVDHNVLTGDLAKSFSSRVVGCIDHHEDEGAVPHDFPSDQPRIIEKC GSCMSLVLEHCKFTWDALSNLPCEGEAEGGSSTPAAECDAHLARVALAPILIDTANLT SKDKTTDRDVRAAELAESKLLPGLRTLSSSPSPPSSSSEPYDRQTYHDTLAALKEQIV GLSYRDVLRKDYKRWTEGPLALGVSTVVHGFEYVFARVGDQSREAFLAALKDWAREEE QKLDVVAVMTVSRPGGMFTRELLVWGLNEKGVEAVGRFQKKFGGTLGLETWRGGELDG GGEGEAEWRLCWRQKGVSHSRKQVAPMLREAMRESARL MYCTH_2310822 MANPSLLRVGRCSSCIFSALRPIIARSAGAGPQARVSLAISETR RLAPLTAAPFSTLRPTPRLLGSPSIEERVEKQPGHDKQGNGPSSDSSNSDVPWYLQVE PPRHPTLVSEPAPLPEIPDNSPKLMEPLLKYVADELGMDNLSLLDLRAIDPPPALGPG LLMVLGTARSERHLHVSADRLVRWLRGRGVTAKADGLLGRNELKIKLRRLARKAKLLG NSGVMRGGDDGISTGWVCVNLGLVGGSHKEVQILDEKGRPTGFGVPQTGNTIVVQLLT ESRRRDLDLEGLWTGMLQQSLEKLAGSSTKPAPKTAQPASETPPASSS MYCTH_2310823 MLVRPGAARQTLPVRHLLASTPLRHSTRGAAVNSLPNVSESRRI LTTGSDARPWKKKSYRALVGFERNLATALEDHRFGDDVPFEGLSTGLASQYQPQKSQP PLHMLRPFNVNSTLVLAEPKPYPPKQRLNPMGIPGDLEEMLSVFEACVQVGRLDRAAL VLKRFERMKTLEPHQAVDLHNRYLQGRVAQLMNEPSKEKAEEVHKWFELHIRGARLPF TPITIAYMLKTTLLTSEGSTRAARIDRYMSMMPHQNANEPLDLGNVLSDEDLETIAEV CPAYYIPEDASGAAEAEALEEDESTHLEDTETQDAQRKASSGTPEVRETPQKGMGLKS LKTALSLLSELPEGLDIASLPLSQRREVQARLERDCVDAAIARWREENEQLMSMGLNT QLSTPSLNSKLYDWHQALEARLIQEQAKVEASLSAPRKSREDMERCVYGPHLLQSPAN RLAAVAILSALSSLAMHGADKGIPVSNMVQQLAKIAEEDIRAQAIAKNLPKSKPRKQK SARQLMRLHDAAPTATTKSSPTATASPASQPATNSEPSEFASRWPIAIKAKFGAVILG ALMDTAKIVVEREHPETKEKVTQVQPAFTHTVQLKKGRRVGVIQPNRSLIELMKREPR GEALARHLPMVVEPEPWSKFDKGGFITSPSPLVRLKNHEKDQRIYAEAAIERGDMEQM MRGLDVLGKTAWKINRPVFDVMLEAWNTGEEFANLPALDPKIPIPPEPDSTDDPMKRR LWLRAVKAAENEKSGLHSVRCFMNFQLEIARAFRDQTFYFPHNIDFRGRAYPIPTYLN HMGADNMRGLLLFAKGRPLGKSGLAWLKVHLSNVYGFDKASLKEREEFADRNLENIFD SADNPLTGKRWWLQAEDPWQCLATCFELKAALSSPDPTAYVSHLPVHQDGTCNGLQHY AALGGDTWGAQQVNLLPGDRPADVYSAVAELVQKQVTEDLANGNEFAQAMDGKITRKV VKQTVMTNVYGVTFVGAKKQVQKQLDSLYPDIEKETGLSSGVLASYIAAKVFMALSTM FRGAHDIQNWLGEIGGRVCRAVTPEQLDRIAEASGEKVGSGEESKSTKKKAKASSKAG DEDLASHFRNTLVWTTPLRMPVVQPYRKSGTRLISTCLQDLVLTNVDRDDPVNRRKQL QAFPPNFIHSLDASHMMLSALECDAIGLTFAAVHDSFWTHAADVDAMNRVIRDAFIRI HQEDVIGRLKSEFEARYRGSIYRAKIDSSTEVAKKIIDWRKKSRMGAKDELLLERRRQ ILLRSLDPEEVRQGKEMVTPASIFESMATPDMVANDVDPEEMLSVGAEDEDGDGHDGE PIEREDVRNKVTEGLKNFAAHLKELHGTNYFVMEMNKPKRKKERSGSKPSQVELWLPL TFPAIPKKGDFDVTQLKDSKYFFS MYCTH_2310824 MAALDAQKYTPTEESEIQAWLDKAASIKAGASDALLDSLNADLA TRTTAIGTKPSKADVALYESLAPLVKSWTPEQRTGQNGRPNIVRLVDFVQNSPLFGLN VADADKVQVDAEEILYVKPPVDAKAEKERLKKEKAAAAAAAAGGVGADGDNKTLVDRT KEAAGVVADEAAEVKDKAAAAVAGEAGAAAKKQKKEKKERAPKQPAAPAAPAVLSPCL IDLRVGHILKAIKHPEADSLYVSSIAMGDAPGTDDTSEYEGQVVRTVCSGLNGLVPLE EMQGRKVVVVCNLKPVKMRGIKSAAMVLAASPRVKEGEADDHKGPVELVNPPADAKAG ERVFFEGWEGEPEKVLNPKKKIWETFQPGFTTTDDLEVAFDAGVVEALEGRTGLGRLV TASGGVCKVVSLKGAQVR MYCTH_2310825 MPYISSPDGGSVSPISQLPTSAPSSPPPQSPTRPAKDASKMSYT KMREEQVLAAEEEKARKENLKAEERRRKKWKKRVLSKEEREAKAKDLDRLLAQSAAFS SILTNKTKVLGRVGTSLDGKALGEHDLTMAKQPKCLVGGTMRDYQLEGLTWMYEICIQ GMSGILADEMGLGKTVQTISLIALLREQENYLGPHLIVAPLSTLSNWLDEFHQWVPSI PVVMYHGTPQQRNEIFKTKIMRHIHGGRPTEKFPVVCTSYEMVLKDRAALSKINWEFI IIDEGHRMKNFDSKLFRELKSFTSATRLLITGTPLQNNLKELWSLLNFLLPKIFRDWE AFESWFDFSDLEDEEGTEEFIADKTKQELVKKMHIVLQPLLLRRVKADVAKYLPKKRE YVLYAPMTKEQTDLYNVINDKNIDTRAYLEHKVVERLTAATNSKASSPTLSPRSTRSS SVKREPEAESESVTTRSKPAAAKPKDVIPPAKNAFSLMMGKARPQGRPPKASPPNQQA PAEPSPKQTRRAAKRKSPPEAESPAPKSAKSSRQSTPQSTRGRSRKTRQSYKEDDSDD DLLDDDEFEAKLAKKLADEDAEAAEVAEEVLDEEEFERAHTLEIAKREIANKKLGNPL LQLRLVCNSPHNFYNPWASDSGVPIDESIVTASGKMLLLDRLLPALFERGHKVLIFSQ FKTQLDILEDYCAELRKWRVCRIDGGVAQEDRRAQIREFNTDPDIKIFLLSTRAGGQG INLASADTVILFDSDWNPQQDLQAQDRAHRIGQTRPVIVYRLATKGTVEEELLMSADA KRRLEKLVIKKGGFRTMGQKIDMREDLDRETLKALLLKDGQVYNLSGGEQVLSDEDLK VLCDRSDEAYERAAVGQGNAAGYTVIETGAHGIRTAGAA MYCTH_2310827 MHSDYLDHYHRHHHHRYHQYYASAHRHHHNGGNNNSNPPQNQGA TTTAAAAAAAGAARTNLQLALLGSVAAAVLRRPDLALLLLHGLARPLVRAAAAAAGGA RDPHPHLRLAGLLPSWLVPEALRPLRHGLPPSSPFRAALHALRWEVRRNREALCWAGW AFAGLLLASSAGLVPAAAARAVVGWAVPLLRAAAELYGHLLLTCLGVTWAVTAVRVAG DVLLFAVWLVLQTARELVVQWAGFVRRRWAVIFAGLACWGLWEWLSNLDYDVLWVEAA HWFLLTCAELVYLSGDVVRDMAKSIEFDFV MYCTH_2310829 MASAVNARVLVLFLAVCRLLPGTRAACYYPSGLLAPNDTPCRDD TPHATCCGQGYACLSNGICQATGEELKKPGASEFVRGSCTDKTWRSSNCPLFCINEEY DYLDGGTGIAKCENTSNDLYVCIDGQESSCEEGINVLYFPGMCVCVSVCVCTVVLCCL VLSCAESE MYCTH_2310830 MLSVRRRGFFALFPAAAILLLFLVTYLREGVVTVPKLSGRLGGL PSGDEEPTFWARLPVHYPPSHIRPLPTGKPVRYPRVQAATFPVEKDPDARARRRERRA IVRDVFHKAWLSYRELAWGADELAPLSGGTKNPFGGWGATLVDALDTLWIMNLRPEFD EAVARAATINFTSTDLPELNVFETNIRYLGGFLSAYDLSGDGRLLRKAVEVGDMLYKA FDTPNRMPIARWDLHAAARGAEQVAGKALLAEVATLSMEFTRLSMLTGDPKWFDAVQR VADAMAAQQDSTALPGLWPLEVDGKTAVFNSGSVFALGAMADSAYEYLPKMAALTGGR LPVYRRMYEKAVDAAVKHNIFRPLTPADEDILIAGNAHAKEHGIELEPQGQHLACFWG GMMALAARLFGRDEDMEPARKLVEGCIWTYRAFPHGVMAEAFTMAPCPAGAVAGDDEC RWDEAAWKREVLRRAGKDPDAAGSDAEADAIIKKDRLPEGFTSISDRAYVLRPEAIES IFVLYRVTGREDLPEAAWDMFTAIDRVTSTELANAAVRDVTTPETPEQKDSMESFWLA ETLKYFYLIFSDPELISLDEYVFNTEAHPFLRPLK MYCTH_2310831 MALPLPPGLTHAEVAFLAEMELVTVVPRQRLDSIDLLSGKTPPL RPPHRADLPLWLALLLKKQRRANILPPAWLHPDSLRSILLHETKVDPDAFSPPPPPPA RADPARPGMVVPRRPQQQQQHQQRQAGRGGGGDLTLSAPFVASCTADAPAGYLPYHWL EVAEALLAHAGDDVAAALGGSGGGGGGSGGGTTTTATTTSSSSSASTSSAAGEVRGLL RDLVEVRAAKMRSSAARLEGFGGGLMSLRGVGAMELAESRGFVLGVVDGVRKLGATTE AARREEEEEERMGEGLGEGESDEEMGI MYCTH_2310832 MAPPSTSSLSSLPPPPPVPNVQLSLPSPHVLLVTLDRPRALNAI PAAQNQAMAALWAWYDAQPQLRCAVLTGGDGRAFCAGADLREWDQRHTADDENNNNNN NNENNTASDRQAVDKAWEAARARELWTREGFGGLSNRAGKKPVIAAVNGLCLGGGMEM VVNCDLVVADGARARFGLPEVGKGVVAVAGALPRLVRTMGRQRAAEMALLGRIGYTAE QMRDWGIVNFVVGEGKAVQEAVKIAEEIANNSPDAVIASREGLRLGWEPLGPQLGTEV LEKGVYGRIDGGENMKEGLRSFVEKRKPVWKDSKL MYCTH_2310833 MSSAPGMAPPPGVQPPNSATQANRPSGLPASFQPPPNLPNINFN APVIRLGASMPPAKTGSSISSDRKDSHTPTSGRPGLGADRADQSRGQTRESMQSLIPP TAEERLRTIFVHKIPEGVGGEAGIQQLLSTVGRLRRWDSGQSHLSEHKGALFGFAQYE DPESLAAAVELLKDIEVPVKKQSPTENPPADDDDKFEGIDKEKLKVEVDPSTVKYLES WKETRPSDDSALEARINEAGTALKQFVRDLFYPRVTSGRDAEGDTAMANGSNGLGENV EVINIPLAPEDELADIPAEMRATVAAEIAAFRERSNKRDLERLRKEEEFEEMERQRNG APRKSRLDSPPPSNSNMVPLAPRGAPNAPAGPKGQSRGVEFVNGGTTNGQRFPDDDDT DADDEELYNRELAKQRAEDEKLYLEAERKWVNRERQRAAALDRERERERSETEGFARR REEQLERDKNWDDDREASRKSHLYYRDHGQWIRERTAFRAAEAARDEMDRRAEEEERR RAEAEMEHARDMADSFLERQAAEMERQPAAPAAPQRVTISFGAAAQKAAQRTAAPRRT VAEVEGLLDDEEQDQTTKRQLVPIKFEPITDTKAMSEEDIQNAVRALAHEIPVDREGL WAWDVKWDYLEESVIREKLRPFVEKKVVEYLGVQEQFLVDVVEEHLRKHQKPAELVET LSEALDEDAEDMVKKLWRMVIFFTESEKRGLPA MYCTH_2310836 MEFRQVVSVCRSAAAAATRPSLASTRPFPSSVLIQRHQFSTNNK QQSEAAAAAAAVQQPPSETPVNPRSPAYRVAQLQASLSQNPSSSSSLPPRPPIRKNNM LEGSQKSWAAPQARSGGGNSSSGGASLFRNTTLTGRAPTSSSSSSSSSAGTGDLFNLP TQITSDMERATGAGGSKSDGSTGTTGTTETMSTWSEDEFLPKHYDVSEPELRLRPSTG RTIQIKGNVDLARGFRLLHRAVSQNKIKAHVRLTRFHERPALKRKRLKRERWQERFRQ GFRATINRVMELKAQGW MYCTH_2310837 MAQPNGASSLSRAKSTRASANIGKPSQSASRGNAPGAVPSTTTS HQTTSPTARSHVSLFLANLRLLNLDQHPDWPDINALTFSTKDLAQGQKKRIQSVEWAL YHLFRLWDPDEARNKLQPFFPPLDQVQSLNLRAALLRGLEQAKKNGVLGRDAVVRKTM LDECKGERLEEVLAVFSSAVLKKVVAEQQLNGGGHPALAQTLALENRGYSGDRTELVA LVIAHKASLRRMLDEKNTDRARFREFSDWLALKEETVARKREEAEAAKRSGRKKVVTD EEKREVWGIVRNNWAGNERWMEALLYGDSKSHKDGVLATPYDRVWRRVQEGRLAELEG TSAGLLERLEHRVQGQQARLEKWQGFRQRLFGKTGSEAATKGQKQEPKHKGIDLGFRE HESLRLDRLSPRKLPRPSPKQLDSHYEALIEGLRSDLSKIGSTAPAIPAFFRRPPPLE RPEPPTEDAEPESEVISDISELEEARPPPRPSPSRREPIKVSEEPAFEPVLRKAKTFD DEHGFFEDEPLIPSRLQRSATVQPQSPSARRRQSQDSPTKSPERRFSSAPKATRRPSS PPPPAPSPPRVVTPSPEPPPSPEQAVSPTQQLADQILAAVSAASPSPVKKPRHRLSLA ERTRLSMARVTSHANLRVPEADEDGFDEAGGEAVPEADNGNRGALDRAPRPVVSSVAE PSTAEREAGGYEDLVARTRRSLAGSEAARQKAQLERRRSLKRGMQSGGGAPSTPAAAG RRSNYFRAVSEEEGNSTLQLAEELLNGGRENDYEAVFMSRPKLKTSPIGTPVREFWD MYCTH_60294 MRTAALLSAVGAATAVHGNPTATVRSKPRATELEPITVTGNAFF KGNERFYIRGIDYQPGGAAANVDPLADPDICLKDIAKFKKLGVNVIRVYSTDNSLDHD ECMNALADAGIYVVLDANNPLYSINREDPHGSYNTPYLQSVFATIDAFAKYSNTMAFF SGNEVIHDLLNTTRTAPYVKATDRDMRRYIKARGYRKIPVGYSAADVTTNRMQTAHYF NCGSDEERSDFFAFNDYSWCSTDFVTSGWNKKVEDFKGYGIPLFLSEYGCIDNPRDFG EIESLMHRNMTGVYSGGLLYEYSMEPNNYGIVKIKGGQDNGGADQTGEREELPEFSAF AAALKKWPAPTDDGGYTKTSKASECPTKDAHWDVESSVLPDTPEGALKFFEEGAGKGP GLKGPGSQWAVDQASTSSGGKSSSGTSEDGESSSSSAAIRGSVPALDKAPFVISGVVL LFTLVGAAAF MYCTH_2310857 MADDYRRGRLDPPRNNAEDLRYPPPEGEDRRRLPPVGPDGAALP PISSYPTSGPSYQADPRYPDRSYTADPRYQSDPRSWQPEPPASNGYPPPPDARYPPQT DARYPPQPDARYPPPIPPQHPRQFDDRRPYEDRRQYDDRRQYDDRRQYDDQRMYDDQR QYGDRRPYPDPYYDAQQQPPARAGGYGPDYYRYPPPAPYSYGMPPPPPPPPPPPSQQQ SAPRQRTSIACRYCRKRKIRCSGYAHTTNGKCANCDKLRIDCIFQPVSSNSSAAFVPV SAVPGGVPPGTPLYGAYGQPLPPSAASAPQARAYPYPPSDHNTPPMHSPTGSYPPYDD RDPGRRRTRPPEEEHSPRPPPPNFPADEDPRRRSPVSINSNGTPPTGYHTSYHQSSYD PDQAPTASQNSPGRSMPPQPPLRAPQAGPSQTPPQPQSQLAPQPKGQPNPMSLDNLIG PGPRGAVNDIDRNMLGRLNRRS MYCTH_2113028 MIHVNMTGASGPVTRKHSESTKTTAKEGQDVWFRRIAALIIDLS GSQHARDRSSWPHAFPVASRSAEKPGRSQELASGIQRASPLAHESEDHQIASCSAAEY GRGTSGDDAQHTYHASQNCATHNTCSMFRGREEWPDLKAIVDTVWFQLKRQGQLIAAT ASLPKLLKPAVAGIRFGATRNLISPPPLPQPTLLYSTEYVACKCSREQWVAAAAATVV LRAVCSGDEGTTLEPTANTPRKRPRSNPAFTTFMSHWIKTIGNESTPPAAGIDTREHT EVDIQSTFPPRPGL MYCTH_75151 MSAYAHHHHHHHGHKGTGASASSHNHEYFNKLAAEYDERHGKTL DRLIEEIRARLDFIGVAWVDDDDDNNNGDGSAVDDATTRAKKSEGTLEKTVRLLDYAC GTGVVSRALAPYTTQCVGIDLSENMVAAYNTRAENQGLTPSEMHAYHGNLCVPEDPNP PAFASPEFTNFDVAAVGLGFHHFDDPALAARRLAERLKTGGVLMILDFLPHEKLDASH PATQTITHHGFTEEHIRRIYEEAGVGKDFALQELAAVFHLSKENGEEVKRKIFIARGT KA MYCTH_98410 MSTPRVFIARHGETEWSLNGRHTGSTDIPLTSNGEKRVLATGKA LVGNDRLIVPSKLARRAQRTLELLNVVYKDQLPWEAHGQTFCAGARCDAKVEVTEDIR EWDYGEYEGITTPEIRQRRKEQGLNPDWDIWRDGCPGGESPAEITERLDRLIKEIREK WHSPVMGEHKKGDAHGDVLIVAHGHILRAFAHRWTGQSLHGGPTFLLEAGGVGTLSYE HQNIKEPAILLGGAFIVDIAGDEPDGGERTNG MYCTH_2310866 MPQLPPTARAAARSAAAAAAAAATRATVARGPSRAAFSSSSPRL PADIVEQKLKVKRIIYTIGFAAVIITGTIYGAGLKTQQEWKASKQKLQEATVDEKVAM LEAQKVDLLRQKEEIEGKLAELRARMRSGAGDGEGRQARG MYCTH_2310867 MATVNVVIKHQGKKYDVEVDTSSTGEVLKYQLYSLTGVEPDRQK ILVKGGQLKDDADMSKLGLKPGQVIMMMGTPGEGGPQVVRPTEKIKFLEDMTEAEAAQ QAGATPAGLVNLGNTCYLNATLQALRSIPELQEALAKHQHTSMSEVPQLDLTYQLKEL FKEMSETQGGMTPFTFLNALRMAFPQFAERSKKGPGFAQQDAEEAWSQIVSQLRQKLK WPSPTEGEIAGATSFVDKYMSGEFSSTLECDDPAAREGGEQPTSAKETFLKLNCHIDG QTAHLRDGLLGGLTEKIEKRSEVLGRDVMYTKRSKISRLPKYLTVHFVRFFWKRDVQK KAKIMRKVTFPHELDAVEFCTDELKKALIPVRDKVREVRKEEEDVERARKRRKRNPTL DNGQGEEKKESAKKDGKKSAPSADGDVEMTETFKTDAEWEAEKDAALLEAKKELNALI DPELRKDEGANKSGIYELRAVVTHQGASADSGHYTAYVKKTGPKDPVTGKVGKEDGNW WWFNDDRVTEVTPDKIDALAGGGESHSALILLYKAIPLPTAEGILE MYCTH_2310869 MSQSLRPYLQAVRSSLTAALCLSNFASQTAERHNVPEVEARTSP EVLLTPLTIARNENERVLIEPSINSVRISIKIKQADEIEHILVHKFTRFLTQRAESFF ILRRKPIKGYDISFLITNFHTEEMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARFV AESFLTPFD MYCTH_2310870 MERVSSKTQDCYVEFVSAQDAVRAVDRHRDNMQKGRPSRLGDRP VEVQLSSQAALMKDLFPLAAGVWWDNAKPVILAPIDGQPWKTFKGFVTEEEMTMLVKH VEIPQRVSSNLRLCVLAWHPQGKLNHNHADPPRFGCLQSPYSKECPQRPYECMISTIK KLPWYMADHITLRQRHAIYDATVRLIVLLKQALQREHRSHETVINPQLMKRLVTAAML CPGFSVVQKDNIAVLADMEDDRARTFNQPRFAEQWVHLHGICPRPGTPLDVLEWYIAI IREETTRRVHRKHIVERSEIQRTACYTSLYFGYMWHEIGLPTGRELDNLTLRQVARCE LAVIERILRRVFPAQEEYALN MYCTH_2310873 MVGGPEKSMSHMSHADAPLTQSREIGDINPESRQGDPIVNRAQS ISFAKSWNHMLAGGIGGMTAATLTAPLDVLKTRLQSDFYQAQIKASRASIAAPMNPLR TVAFHFNETMSILGAVYRQEGPRALFKGLGPNLVGVIPARSINFYTYGNGKRIIGDYF DNKDSAWIHLSAGGLAGIVTSTATNPIWMVKTRLQLDKNMALESGGVTKRRYKNSLDC IRQVLRDEGIRGLYKGMSASYLGVAESTMHWMLYEQIKRSLARREERITLSGRPKNWW DHTVDWTGKFGAAGFSKFVAAVITYPHEVARTRLRQAPMADGRPKYTGLIQCFKLVFK EEGMMGLYGGMTPHLLRTVPSAAIMFGMYEGILRLLNTPA MYCTH_2084007 MASQTDDANTQTAPADSKQQQTPASSSTSSATRSAAEAAAARLH RPILQSLPESRPQSFDEIYGPPENFLEIEVRNPRTHGIGRHMYTDYEIVCRTNIPAFK VRHSSVRRRYSDFEYFRDILERESARVTIPPLPGKVFTNRFSDDVIEGRRAGLEKFLK IVVGHPLLQTGSKVLAAFVQDPNWDRNAW MYCTH_2310878 MAVAATNGDAAADAAAALDNLKPPPGVIIPPPGEIREAIEKTAG YVMRGGAGLEQRIRDNHGKNPKFSFLMSSSDPYNAYYEWRKEEIRNGRGTALSAGRVG EAAAAPAQEKPAGPPKPPDFQFSARMPRMSQKDLEIVRLTALFVAKNGRPFMTQLAQR EASNPQFQFLIPNHTFHNFFQSMIDQYTILLRESGVNGEGGKAQQERVDQLRQNVADK YKVLARAKQRAAYAKWQEAEKAKQEEEEEKKKMEFARIDWNDFVVVETIVFTEADDQA NLPPPTTLNDLQYASLEEKNKVSVSSTLRIEEAFPFEDTSYNAYPPQTYGAQAPATQP PPAAPATAQANNAPPPPAQGAPVPLASRTKSAEEEKEEEAQKAQEKEQERTRVQQAQA EARGGAAPMKIKENYVPRAAQRAASKFGTQTAMCPNCKQQIPLNEMDEHMRIELLDPR WKEQKAKAEARYATTNLSTAEVANNLKRLASQRSDVFDTTTGQAVSEEELARRKKVAL HAFDGNPDGKSQAHINQLQTFNLDEQIRAIHQKFADKK MYCTH_2310881 MARSASSKPPAARASPPPAPSSIDEKLNSNATKRKDDDYSSEGV EDHDVFLLPGSDFKLVLAITLLAAAVRLFRIYQPSSVVFDEVHFGGFATKYIKGKFFM DVHPPLAKLMITLFGWLAGFKGNFDFKDIGKDYVEPGVPYVAMRMFPAICGILLAPTM FLTLKAAGCRTFTAVMGAGLIIFENGLLTQARLILLDSPLMIATAFTVLSFTSFTNQQ ELGPSRAFGLSWWFWLVMTGLGLGMTVSIKWVGLFTIAWVGSLTLVQLWVLLGDYKHV TIRIFAKHFMARVFCLIIIPLTFYLAMFGIHFLCLRNPGDGDGFMSSEFQSTLNSKAM KNVPADVLLGSRITIRHVNTQGGYLHSHPLMYPTGSKQQQITLYPHKDDNNLWLVENQ TQPLDINGQPINGTDAWYKLPEPHYVENGAIIRLNHLATHRRLHSHDVRPPITEADWQ NEVSAYGYEGFDGDANDFFRVEIVKKKSKPGVAQERLRTIDTKFRLIHIMTGCVLFSH KVKLPEWASEQQEVTCARGGTLPNSLWYIEYNDHPMLGPDAETVNYRNPGFFGKFWEL QKVMWKTNAGLVESHAWDSRPPSWPILRRGINFWGKDHRQIYLIGNPVIWWSATAAVV VYVVFKGIAVLRWQRSCNDYSKPVFKRFDYEVGSSVLGWALHYFPFFLMQRQLFLHHY FPALYFGIIAFCQIYDFVTARIPGIGLRENPVIGKAGAVGFLILSMIAFTLLSPLAYG NPWTRAECRRVKLFSTWDWDCNTFLDSYDAYKTLSSTSAAASQTPQQPIDAKKVPPAQ PPVAGGQQAQVPIVDAQQQQEQQQQAQEEKAKVSGAPVAGNKRLVHTEERVEYRDQDG NLLNEEQVKALKGKVEFKTRYETRTRIIDAQGNEVLLPPGQQVPLDQEQKPDAPAGVA PPHPDVEGANSETAKGGIPDEPIPEPKESVEGEREREEKAAKPASEKQEASAKVDDEV MYCTH_2310887 MGYIIPSQSDRPHGHQQSTQAHGPRRPPRQREAGVPTPWDPRTI NLSHGNLPPVRSAQ MYCTH_2310888 MSPADGDSTATPHHPDLSDEVATLSTKLINAINHQTALDDNLSA ARLELERSRARIRELEAQVEEQREMLAGDVWVRRASVEAESAKLKARAAEEKRARLDM EQQKKKIEQELENLTAALFEEANKMVISAKEDARAEQEALQRKNDQLRAQLADTEALL RSQQEQLAELKHVMEQMAVEREEQSPPTLPSSPGGESFEARDGAGPSTAVRHQSLSMP SLPSCPTSFTHLLHPVLRTDLAACNEFKELLRTTKRLSAPRAPSASSGSGLASLAIGL GSVTSHAAPGNGSTSSLPTTGTPPSASPQTPHTPASSVSSVSLSTTTPTPIPHLKETK FYKRVLVEDIEPTLRLDAAPGLSWLARRSVLAAMTDGSLVVEPTPPTATGRFGKVIKP ELNPCSLCGEARKDEEFLRTHRFRVSESDTTQVGYPLCKYCLGRVRSTCEFLGFLRIV KDGHWRADDEDAEKAAWEESVRLREQMFWSRIGGGVVPAGHTRHPSGSSVAPSLRGEK SPRPSHESTRRPGEPSKQFLELPKTPEQEDPHEAAEATEAARQTAAADASQPPGAREV AEEAQAPTAEEATQTPQPETADDAAVTAQQRSIDHVGNKVPPADQENAEEVPTETSTA AHVADSAVEESTTTTFDTETGQRKPVPLAAPVTLTF MYCTH_90482 MAGYSQNPNYKPLPPPGNYPHGYHSQGQQHLQQQQQQQQQQLGA NALHRPPSFVGLPPIRRASTFGSSLGLNAEEFSSSDNSADGAARQQQPQQHPQQQQQQ QQPQQASAPPQGTSPPPTMTGTQQTTGQFMHPSQPSDQSVQNIYRPTQGAQGPQGTQG PPRQGQTWQLQGQSPGQNQGFPNPAFQHAAFHGQGPAQTPPRAFGGPPGGPQPMPNGS AFPPGQGQQFGQGGGRPIMVPPHMLPGNFAQRFHLQGGGWNLQESHLSEPLHPTGRHR RSPSNTSSQQQQQPFYGYDKETGIYTPTSPARRHKPPQEQQNQQSQQSQPTQPTQPTQ QDQQNQQEKATQPSGLPTQGGQPATPQQGQAVPTIQQSPVNQQLGGNGLSHLQSHQSQ QSEFGRHGGSPHENGGADRRNSGVFSSLRNRLAGGHSEEPRGGGGDAPKPQTANGDSA SVASITTEPAGQQREQNPVFGPKAGSPTPDNFSFSQSKDSIIARGSGTPVGERDQLEP HPSQFAPPSRKPTGFLNFGSHTTPVQPGGSIASAAPRAGSPAHSSGHKHTGSTGGPKK RFSALKDVFRSSPRDGSSKTGTFTVRIPAQTGSQMQTRTPPPQGQYQGMTNGQTSLQL QGKPPQTGPGPQPGSINPPPASFGPPPPPGSSNGSPAGPAPATQPGSLEQQQQQQQQQ QKQQQFAGGQERFPPVTGPTQPGHGFLPVRHPPQGPAPVQNQQEKKLGAGILGFLRGR ADSKSQEQPAQQGNNLPVKGQFPPGQFPPGQFPQGQFPQGQFPPGQAPFAGQQFGIRP PVGPNGPPPTGTGQQQFQPGQFPPQPQFMRPGPPGTAGSFQSQGPAGAQRPGMQMSQQ PGSAHGQQPGVQGTDPRPLVQTQPTQSSAQGQPGNVGSGSSYQQSHFSAAQQARPQQT PQQQTAVPVQRTPSASIVDSPVSHHSQPAAQPAMESSRFQTASPGTTGQLFAPPSAGS NQPSHQAVSAQNLGAAPEQPPAGSGPEGSSRLDVDSGEDDMQRPVSPASQAPAPPPSG IPGEEIERGVSRQPTTSGQFQNVDTRSPSRESRSAASPGQETPASAQVPGSISGDSSQ NSGPAQQPPNQQFDTQPGLRQPVGQQGGQMPPGPPVQGYPGGQAPWMANQPGAVPPPQ FRQQFGPGGPGPNGVPGKGQQKEKEQSTISKLLFGGKSSSAAAPAPKPEKDKSSKPSI IGAFKRGTKQQPNAQAPAQMPAGRPVQGPPTQGTLPFAPGMQSQPHPQQQQQAGLRPG MMPPMPQPRPGGPAAAQAPLPQGEPQPKAGVQVYQQPLPQQIPPRQAQPLAPEPQYDQ VPIPAGYGYVHGEGRVAPAPAHIYVGPNPPPGQVLPPGFQPQWVQRGISPAQVLPVGV LPQPAVLRQTTTTIGAPVTTVSTTASTPQSQTPAPAQAQNGAPSAPPAPPAAESALQQ PAGVAPVQQPTGEVPPQVQVQQAQVQQSQVPHVPQTQFAQPQLQTEQQPLAPPAPTPS PARGQDISPQSSIRTPSSQVPEASTSPSEEQAQLRPEPLRTKMSPPPQLDQYKTPQSF APPNAPATQGVSQPHHTRNVSEDGIASLPSQRPGRVQPLSSDTAPGPAHLAYVPRASN DTAPGSQPTPGWNNASQPSVQVNANAAVEPKPSSPDPSAAQGSSSPVNQLTTPTQRVA EDNLYDATPRQTQFPAGRRDSQQRSPPSQSRSPPPPQSPLRQQQPQQQEQQEQQQQQQ QPLPSNVPSNTIVITAPVEPKSAGLSHEVARPGGPFVGTSPSPPTATPTPPVITLDPP KSDGRAHDGHESNEDVEFDSDMDESPIIQDASIATLKQASPSTSPTATSKGGDAPAPN GVAAAKDSVAIFERAKKKAEEQREMERRMLLEEKIPVFPAEPDDGPGKKGDDAPIMSA TSYPGQEWNPYGEGGFEDWD MYCTH_2310892 MDGTYGNIFPASRAMSPAAPAQTSTSNLYKVNVNRQKTKKWANF KPQNYDGDDWGDDYDDPVQEADFPMPPKPLGPRSPATTAPVQPSVSSALPRGSAEPFG SSPQQHGSGLGRPRFPSDKSTIGANDVAGGYGAALESGAGSKPWMGSRSVSPSSPGQL SSRDKPLPLIHPQQMQEEKEGERQSLETRDNTKNDEGEPPGVSSPTAEKQSGYRSDGM LASSGKDRLNPESSRAEHEKSQESVLPDSPQNIRRFSTSPQLPDLSRMSGFGEDLFSS AFFPSSGLRSSLSDSMPLPTSGNCIPESDEATATAAGASNQSITAPARERVVSSTETT TAAGAEAAPSPPSDRDQDQGRPAGLPSPRGAAESVHDVPEQQAPAADAARQPAASAEE QPTTLTARPHLPGGWVSETPSTPAGVVSPSTTGYSTNTLEKATEDSSRPDAVPLGVPR SQPRELEQDEKGDGSVPSRQTSPQTSSQPPAPAPVLNTEKLSMGSAQASPVATGHCDA SPASETEDPKLFGNSTSAEAKTKHTEIAPTAPLNARRGTPDINANPAPVLSPPSPAEP VLDSATQSPVKDSDILSEEILRSLSPAQPASSPQTSTAAYQAAAAEPVRESSYLGDVY GDYWSATEEKAEPGLLQVGKTIDAGKAAQEAAPLPTKTPEDGGAAEPADAVGLGGLSS QAHAAPAKASDVVEPKSAFGVVGLQRRFSWEAPVQESPTASASSAAAELPVEQKSLGF GVDTTRALTTENISLETGESQPSQAQEEAGKSAEDLRAEFAPEFNPAATLGANLGLDR PSQSPSPASDSTSKHGEQKRLSLAEEKILLEEASSPSSRSPPLEQHPAFANSQQAPRA ELPEAASPKSILGFRNIMELPSAAERIKHYNESRWHFSAVDTGLDEWLQAMILKHPEH ANDVSSNAGAAAVVQQSSQGGRGPTLHMSNLQHSLSGLGHSGNQVGTKSKELLMAAGK ASKGLFSKGRNKLRGTGDKVFSS MYCTH_2310893 MAVAINDRTAEFRHIVSAAQRKQAAKPGSKRLLSDAQKSAAAGG APPRRSEFARHAAEIGRGISATMGKLEKLAQLAKKRSLFDDNPVEVNELTFIIKQDLS RLNEEIRNLQALSKRLHPKPDQEGENNKNILLLLQGKLGDVSANFKDVLEIRTKNIQA SRSRTEAFVSTVGQHAHASIPPSASPLYSTPARGTPSPGADLISLNPMGGDQQLQLQM MEEGQNSYIQQRGQAIEAIEATINELGSIFGQLASMVSEQSEMIQRIDANTEEVVDNV EGAQRELLKYWSRVSGNRWLIAKMFGVLMVFFLLWVLIAG MYCTH_110022 MANKTFKLNTGQDIPAIGLGTWQSEPGKVREAVVHALKAGYRLI DCAYCYGNEQEVGQGLAEAFAAGIVKREEVFVVTKVWATYTTRCALGLQKSLENLGLE YVDLFLVHWPLLMNPEGNDDRFPKLPNGERDIIRSHNHVDTWKQMEALLATGKTKAIG VSNYSKRYLEQLLPHATVVPAVNQIENHPALPQQEIVDLCKEKGIHIMAYSPLGSTGS PLFTAEPVVKIAEKRGVKPSTVLLSYHIPRGSTVLAKSVTRERIEENLKLIDLDADDM KLLNDWSDKLTREGQLMRFVYPPFGVDFGFPDKS MYCTH_2310900 MASRVKASVLHGARDLRLEERELPPPGAEEVQVAVKTTGLCGSD LHYFGHFRNGDILVREPLTLGHESAGIVIAVGSGVSSLKVGDHVALEVGQPCEQCELC REGRYNICREMKFRSSAKAYPHAQGTLQEQITHPARWCHKLPSSVSLELGALVEPLAV ALHATDRARLAPRSTVLVFGAGTVGLLCAALSKAVGEATVVIADVQEGRVKFAVDNGF ADAAVVVPMKRPDTIEAKLDFAKQVAESVKSTTHNGGALGEVSATFECTGVEACLQAS IYATAPGGRIMLIGMGNPIQTLPISAAALREVDLVGVFRYANTYPRVIELLASKNPRL PDFTKLITQRFSGMESIPKAFEMAARVTDDEGNLVLKVMVDM MYCTH_2310901 MATSNVSSMFSLEGHTALVTGGTRGIGKAVAIALAEAGADILLV QRDETSTDTLNAVRALGRSATIYTADLSSAEDVSKLVPRILADGHQIRILVNCAGIQR RHPCEKFPDSDFNEVSPYGSALPLSRPFVLFFFFHAHVASSLTTQLSFFSSFLPPPAE NRSSK MYCTH_2310905 MAPHTTEGSTATAVEGTTPEHWIMGRKEFERRMPHHDGIKALWE TRWRLPCSKSVYPFHDGAYEDFAPIFEELIKRNINDACVPSYTEAFLPTAERLASLAD KKATASTTTAAKEASALYLRACAVYRIARFPYITAFPEVNDAVKWRAWQAQKETYLKA GQLWECPVEEVLVDHKHAKGNDKAQIPVYVRVPKLEKEQKKRTKCPVVVLMTGLDGYR PDNTVRCDEFLQRGWGSVVVEIPGTADCPADSADPQSPDRLWTSLLEWMGSDGRFDMK RVMVWGLSCGGYYAIRIAHTHRDRLVGCVAQGAGCHYFFDKEWLEKVDGHEYPFELTP AMAMKHGFKSVDEYKAKAQKKFSLLETGIIQRPSTRLLLVNGTQDGLMPVEDSMMLFE YGTVKEARFFSGALHMGYPLANGSVYPWMEEVMASVRD MYCTH_2310909 MAAPGLFSDKYLSPEVQAALPEGYKLRALRRDDFDAGFLDCLRV LTTVGDISREAWEERYDWIARQDGSYFILVIEDTTSNPPRIVGTGALLAERKFIHNLG SVGHIEDIAVAKDQQGKKLGLRLIQALDYIAKQTGCYKTILDCSEANEGFYVKCGFRR AGLEMAHYHEGPKSSNA MYCTH_2069205 MISTNCRAAVDVQDALVPSGALVIDQDTNKTTLDPGSTLLPGPV ASLVSFATRSTCLAVRITSAIGGSGLDAARFTTLSTLKVGRTILDGVLSRAGKDTALV RAGAVQADTETGIEGVIEKIQNKADLLIFWTATGFQFANTALSTLSEGSQLFLSTLDR FFGSTDSSRAMASIIAMIRREITNPPLAGRGETVGVSDLVAALCTLAFLQRSCRSLLE EEDRRSPVDEVVWDIVVLNDGVRVDISDKKLRHRLSRSLGSSEHRDPSLDSAPARQLE RDIVQSLPNNAKVSITRELAVSETITVDFTGDVRQIEVRPPPGIELIEEKRNNTLAQA GKENATVQFVFRNARRHERRVSYQKTDGEISHAVDSGRQLEPPASHYPVSKVASHQKS HHEGESITTAGPSASPTSSHHMTTPRLCIDFDADSSVQQEPSHPTYAAPPSQSRGSQP SLLTDKKLPELPLVPTRREEHSSGPSSGETRKGPRNVLRRSTPRLNKKDNSSEAAPGK AKSTFVASLAAKLSGKSDRRPASIHQQSLFLDGEGRHEEFDHSLSRISYHSIQESRRQ STVSLGASIVTTDNYQSTSMYAETAGSREVCELDPDTEDEGGQVALERNHRRSKSDTP SIRTLATSRSEMSLFSCYQSTALTFTPVDKLSALRQSGVLPGVFPERHLLSNAARYMR FASASYGSHFLRALGIGTEMPRSGILDDTHHELRSFAHHTKSDPNDILLASFVDPEGG SDATGATNTGVPLVHYISLDHESKAVVLACRGTLGFEDVLADMACEYDELTWQGRSYK VHKGVHASAKRLLHGGDGRVLRTLQAALEEFPNYGLILTGHSLGAAVTSLLGIMISEP VDGGNSSSSSNNNNNNNNNRTFVTATTTTTTSSSSSSSSFSFSSSSSSSSSSSSSSSA SPRYHLGIPPNRPIQVYAYGPPATVCSALRDATRGLITTIVNGNDIVPFLSLGLLHDV QGAALALRADGAAREARRRILKAIARACLARDDHDVRNEGDDEWGYELLGTLRRAMRS EKLVPPGEVFVVEGTVALLRAPETTEGGKEGLGRPARRMVLRYVKDVERRFGEIRFGM GLLTDHSPARYEAALDRLVRGLGVKE MYCTH_39555 MAPLITNIFTADPSAHVFEGKLFIYPSHDRETDIKFNDDGDQYD MVDYHVFSTESLDPAAPVTDHGVVLRAEDVPWVSKQLWAPDAAYKDGRYYLYFPARDK QGVFRIGVAVGDRPEGPFTPDPEPIRDSYSIDPAVFVDDDGRAYMYFGGLWGGQLQCY QKGNGIFDPEWLGPREPSGEGVRALGPRVARLADDMRQFASEVKEISILAPETGEPIA ADDHDRRFFEAAWMHKYDGKYYFSYSTGDTHYLVYAVGDSPYGPFTYAGRILEPVLGW TTHHSIVEFHGRWWLFHHDCELSGGVDHLRSVKVKEIFYDKDGKIVTEKPE MYCTH_2310915 MRGIPGPQPLQGDEFKVVDKPKRFFQLGRIFATDWFEPATADML DRKTPPGALASGCQGPHGGKHITKSRWFVVVRRRLHHSLCFRITTYGGTNAAKTNRGR AEDFVVLYRANVRPARPYDDEEISRKPIAVIVEAPDQYISPIARLDCGRIYTVEDNLK VAKIGRVHPSHLDLLDEYFKASVK MYCTH_38152 MAPRFDSPPSTPDSFSQPRPRPPRSPGHSAQIRIQNRRRAYLER HESYFQSMEHELSDPLLYDFLIRRFQTPAEREAEGRAKGYARVLEGSLLRGEERLAKL REQTLVPPPRTREEGRAQWEEYLRDRFIRGEDDDFDYSLVDDNEEYDVFERVDREEAW FEDEEPQWATSDEEGGGGKEKILQGETGIQDF MYCTH_2310920 MDSLTGVIANVGREVKAALLSAPSCSSEKSLCVEESRSGESSQD ETNAESDLSSDEETVLNTHLDLLQRRPELDELNEIKTTPLFTEAVRKHAISVSSKTTE KPFSQYGLLGGNLTGDISGGASSDPRIFWNIAAPSSFFICGSQGSGKSHTLSCLLENA LAPCKANVLPRPLTGIVFHYDTFTSDSGGSPCEVAWLSSNPDIKVRILCPPTNIRTLQ DMYKKFPSIRVEELRLNESDLNTKRMLDLMAVTTGGNLPLYLHVAQRILRDLRVNQQK TGAGFSYTAFKKQLALENLTEMQLAPLKQRLETLESFMVEHQAKAFDMFHATKSAKSR RSAAVKGTSWEPQNGHLTIVDLSCPCVTPEMACSLFNICLSLFLEQNPSGVGRLVALD EAHKYMTESQECMTLTEALLGTIRLQRHLGARVIISTQEPTISPKLLDLCSVTVVHRF TSPDWLNALRRHLAGVASSGRLLEKARKLNLGDDGDEEGVDGAGSLTLGDTDPVLELF SRIVDLRVGEALLFSPSAIVGVKKKRDDEGGALGDVQRLGHGILKVRIRNRTTADGGK SIMAT MYCTH_2310921 MGDLRSKLRAKISRRHSGTPPLPSSKSGSHSIETSPSVEPSSPA SANRIDLAETAVGDQASSHSPQVTQPPDGQLKQDTKKKQPATVSSKFRGASSRGNAYV EGAASAAASQSPSTAANATNNSTPVPASAGPGKHGKDRRPPTAGESSAAHQEDPAGTD QQLTSPSSTARPAPVDAPKPRVSTVADRRVQLSDPPAGPDLLSSPGSRPAVPSAPSST QPDLVDPSSTNTQLRPSSSTSQCPPAPPRRQSLLPNLQTPLIRTLLNAEHSDDLDQSA PEQLLPISAMVTRKVWVKRPGGSPTLVTISEDDLVDDVRELILRKYANTLGRQFDAPD LTLRIVPREGQTQERVLGPEEPMARTLDAYFPGGQQVDEALLIDVPHRRTPRASPRNG PPHAQHLTSVNYDDIRPLEAGTDYFGPGAVAHIPVTLAGGPNGTTHAISVLNTGQVPQ IPSPGGTTRTRQYRDRPDRPRLGRQHTSSPTILNVVGAGGHTATLAVATNHGMQQASS DPRSRTHSTASSEQPNAGQAAPPAPSLPTPPSQESAAVSIPPTATPPPPRASSPRPTP ATRPKKKKPVETPPLPAGMLNGGVPPINVLIVEDNIINLRLLEAFVKRLKVRWQTAMN GREAVTKWRAGGFHLVLMDIQLPVMSGLEATREIRRLERMNSIGAFSVANNSNNTNGA EPDESKKEMPEEDKLQNRELFKSPVIIVALTASSLLSDRHEALAAGCNDFLTKPVTYV WLERKVMEWGCMQALIDYDGWRKWKSYTSNSELEDASTKKAKAKKNRGAPNSSSSDGQ MYCTH_75197 MPPRIHGGSCQPRQLLNYLEPAPSSSLTPHPAFGPRHGPAAPAS PSSPSSCSRSFSTTPAPQVTKLRRKFNEWIEGIGKQFKEPRWGETNYISRTIMFSEYR QVSPDQPFPNNPNFRSEPVLSEKARELIWNAVMVKGMPLKAVSAQYHVDMRRVAAVVR MKEIEKRWQRENKPLALPYARAVLGMLPKADLAEGEQPFEPINDIHVHSYTMQQLFVP TSESREFTRADAAKAFGEHILPPDAKMRIPELVQMERNIAAGMDPREAAATFVREAAE SEKAFADKQHARAKAAEERMVRVQTDRFEFRFEKINSEDVGPTGRARKAVGWRYGVPH MDRRRGEVKIPTRVE MYCTH_2120970 MVLIRLPSPPFVHVPGLVNLRDAGGYAIQGKPGKAVRRGVIFRS ADLTQLEDEGIAALRKLGITHVFDLRSVTELAKAGNQPPETWEGVARVFVPVFLDKDY SPEALALRFRNYSEGTEGFVNAYAAILASAAEPDHPYSPFRTVLEHVASSATPPAPFL VHCTAGKDTLLLALCGVDDATIAHEYSLTELGLAPRREEIVRHLIQGPALFGDRERAE RMVGARKEYMLGTLALIRERYGSVEKYVVDHLGVSQTSVERIRQNLVVDLADGEEPLD CTRIDAGLKEREPRL MYCTH_2310934 MIQGKMMRSRDCVRNDPYFSLLHLAQFALAITVCALYGIDIDRA RKARVYPSDEWIYAVIVGGFSAATVVLYYFASLRRSTFVWVSHLVLLVMWAVLLGIFA KMFINVDPHGNDDLQRMKNALWVVFASTALWFIGFLAYFINWRRHRYY MYCTH_2310937 MARKSSQKRAAESSKSGTEPRALPATHAPRPEQAPLSNLTSPDT PISSYIPSGHHDDVVLPMGKYYPSNWEKRHGKTPQKSRPPATTQPAAPAIRSEPQVPK HPLGDQAHHHRLDSDVKRQLQQYQRDMVAQASMAASALLTSSGSASSSGASSPSGLPP SLPRGQFAATFLKTHRPLSPRLQPVGSPGPVTPMSLESDCYLGLGSPAGGAAMDASEF SGKGKRRERDSGSSPTVELSVASI MYCTH_2310941 MWRDSWAALASAQLQVVTEYEGLYDPIAGASDGQSRHAVPTPQL QLERTFRLKKAYTELKADLLEEMFMIEEHILKPAADARDCIAPIRKTIKKRENKRLDY EKAQDKALKLQRKPGRSSKEETALAKADAEMARAADEFGIADEHLKETLPPIIHAAFS LVTPLLSNLVMIQNRLLGLYYTTLHTYCEEVGFPSPPPPMDEVVAIWNGALGPVRSEI ETISFIARGKSTRQQHHHQYHHQQPQNQEPIGVGNGPRRSATGLISSQRQRMLPALSP SPSTSSSALDQQRADYTNATDFTTATILGGAAVSRTAINGEQTPSQNQPRQDSSSSSY FPSLHHHHQQQQQRRQQQQPQKEKQQRQRQQQFLPTPAAATTTTATAVLGKKKPPPPP PPPKRAATNKPDEWVVATYNFAGQGDGDLSFREGDRIRVLKRTETDQDWWVGELDGVK GSFPANYCKPL MYCTH_2310943 MPSMTSSRPAPAGSLDSGNGGRSAAVLEFICLFTHDLRKKQKRW EDGRLRYHTFNKRVMVYDDRGNFVGDLHWTREEEFGEGEEVQLEHGTAIIQVMDCVGR KEQDLSELLDKRAKEKEQRQARLLGCPPLAGPSPTTPVAGPRAQAHFQTRHRPLNHLL GTPTGHYGRAVVPAESPFELRQKADGNANDSPDSRPSKRSRRDITPPSKKGYAQNLFG ATLSLSAVPLSSAPLRRSANSTYREQDTSTPQQQPGEDAKQGVNDETRHNGQLASGVR GPSSTTSVNSSSPKKAVAAPSVRTGEEAGGREDRISLQSSSKSLLWSHGGKAVPRPQS GGCESTEGIGRPTTSIGKSGLLTVAARRSEDQSRVQSEEMAQRILEFSKGTCHGESST NAQASVPGSSRSQAIVLDEATSIESNPRQRFGEPRREVNEIAVPRHDSLGEAPKSSKS DGLPRTAVETQTAEPRPTGEDFEDAQRPPMEERTELRLKRRQKRGLLLLSEQRNRTKQ PRSQGAPADESNPAGRDIERPVHSVAVEPSLGAACQTADATLSAQQGGSGPSSPAVSE NSSEELDPLPPQPSPHQDSRRGSKVGNNADPSMLQVGTTTSTEPTERPVHPAGASKPD NDTGAEESDTEELPRSYRDRRMRLRAKASARAPDEPDDSGGLDEEALSEAQTEIPTLR PSRRTRKTECMDDQGSGRPRKKARKVDSEDSAKELPGAQVKPRLAKLSRKSVKSREIF GLVPSSSPPAILANAARAVVTEDRGGPSPVSGRPQPSIPKLAINSHEAAPPAVQQSDS DPHNRANGRLDETTRKDQATATAVLQSGHMTRRSVARPTPNDSAVGSGNDKEMVARAS TSSGPQGITAPEKAVAQATSRPQGDTGERTPAAPATDSEVLQPRSNNEQPRLPEQPQA PMNNPADVGPNSRETKMNAMPRPDGPAAGSARPRIANPATRGRKAALESDAAGQVPRS ILPPERAPALLEGTRGLHVRPEPAAAARESERPKRQMRYPGFTSAKGGGPWSREAHDL LESARPE MYCTH_2310945 MPPGSRRPSTSHQKPSGSMVRQHSSRSAVDQDPIIPTPETQELY NRWRVMEDRLTAESLSQIPNDHAAFLYPPSPSQATTQSQASTAYSTAQSMDDMDARDP SRPDKPKRGRQGPLTGPKKLKTNLMRKLKACKECRERRVECTHRNLTLFEQEYQRRKQ ARAAADQEVNTGPHNLQATLPSQDAPSQQRLGHEADFDGIGTGQIQLSDPSLNPSRRI LVGSEDAHTETDLLQDNRIRVRPYVAALLSSFEGTGLPTSTSLPASTHTAAYTATPYM TLTPPQPVDKDKLIGKQRAPRSREWVCHGSYGESRTCIQTFTDLSSLIRHFRDSHAQF SDQWFSWSCAMCKLDVAETFPEVPPSEPCPQCRDPEPYTWLRCCWGKVANPSAGPQPS FPSRVPSRDGSSSNPSSWTNLSFLGPSGGGYGYYSPTMSSSGSLWGYFYSGGGGGGGG GGGGGGQAYYASSPKVPPPTMMGARSLASSSSCATRPTLLPLLAYFWPPSPRSSSSSG TGRPDGKPSSLARRVCPAAVVLAVVALAPLLGRWPSPPPASPTLPGGVAIGVDAAERA LSGIARSAARGGGDDHHHHHLLRRPSILELSMACIAAGLVASWVFWHVRDRWCGRRRP SRWWGPPPPGEAGQLEPDPPPPARVQVQVQVQVQVR MYCTH_2310947 MARHGSVGAFNGFSVCQPALGAALQWLPAIGTPELDEMINALLP GPASIQDKRAHISMDFFEYSRQTGETFKFYPVPLGTFTPVTASPATSTLHDSGYASSF NHSPALSEQGGSWTQSPAPFAPAVFDAKTKPRSSASKKSSASSSRQQKIDFANHPGMR ILTKDGRDVTNSASRGCKTKEQRDHAHLMRIIKACDSCKRKKVRCDPSHRKRNASQAS TVQPEQKPAKRVKKAEEPNQAAALDPPIDLTAAEPLTAENLPFPTVDLGFPPESEDFW NEFITLDQEPVAAAPVPALDDFIFDSFTDFQSFLSPSSGSSATSPSQVLTPVTPERAV ASPIVAPDHSIKVSGEASPQDPAVPYLNPGVALGTNYLDFNLYSPGPDAYDEDPVLQM RDLGSQQHSPQSTASAADNFLDHNAPSVSATHVDVSAQSQTSASQPYGAAGHATVSPL DLARYYDPGDTRHHDPQPSTPRVSTNRKTMTNHSRLLQEPVVDHGCYRRVDANESGVI AATSAQSPVAHASSPAVLSSYASAVAANAPQPSVSPSRDPRSRVICVSAPCGGSHSSV RSRAPTKATQSDVLVSGAYAKSSAPIATRHGGSPLCSTEAAFSAAAGVPSQHTSAGVA VRAVMPSGARCSASSQRLAGRQPGLSAVLDGQHVTQQQDAVAVINAVRATMLLSTLPT RCNVAGKDVTVTTSCFQLAVFGLVSFLCACALQAHLARQVSLVNILAITTLSLARLAP RCSGLPGAARAASNTLPPPAQPGVVDNFLSMIQPVVTGSSKDQRSALSRWARGILPRP PLVRTLRV MYCTH_2310948 MAATLFESVLAIGLKTTAFLQSLFLFPFNTVLRVVQLSPSFRQT DQPILPCSTSGPTGPTGPTGPTSSSSAGEARNISNNKDDDVGDGGCHNETGMAPAASA SASASAASAASARVSSIVPALPRAPPSGRENHAPAADTPVKADAQLDAALSRFDANGA GLAGETQWPGALQSVIDGTGSTTGDGTGKSTAHDGTALPQQQPDRVNSGDGTPEGVTR APPVPLTHTVPQTSRLKETAPTMQPDGPTVQVSAKRETPEQAAEKAMHSRFMREALNM ARLALRTNETPVGCVLVYKGRVIARGMNATNVTRNGTRHAELMAISALLSYAPAADLE PAKGAAAMDKATGGRMPLGDRTNETQVAEETSTWGDVDPRDGHLYPYGQKLHPSPRVD PSVISECILYVTVEPCVMCASLLRQLGIKKVYFGAVNDKFGGTGGVFSIHKNSPYSMA SAPPSPAPQNGKGIPRPVLEPRPASTDVVTEKSTPADGTRDEEDLCPNPIDTSTLPGD GGNIERGYEAEGGWGRDEAVTLLRQFYVQENNRAPVPRKKEGRAARLAAMMERDGRPI DMSLISQEENSGTSTPAKQESAAGEPAESIAA MYCTH_90505 MVSPTNSTSITISCHCGAARQTLSARGSRGVFSDAFFCHCSTCR RTTGVLCASYAPVSPPPATATTGLEAYALSPTTTAYFCSTCGCHVFRARRPSASAGAG EREWEWEVATGTITHVPDEEEEEEKREGEKKERYKLPEQWRHRHVRDTKDGGLAVWLP AGLSRLSDGKEEEEEEEEEENQEEAGTVDNDDDEDDGSSSGGGGGGEGDTLLAACHCG SIGLRITRPAPKDSPLNPDSGYPDLLLAYASTSPAVVANPAHERWYLRSRGPRDEDED EDEDKDDNERGGSDGSSYAYYLAGTCACPSCRLTSGFEIQTWAFIPRRNILIHHQTPS SITRNCRQPVPLDFAALPPGTPLRQYESSPGRMREFCARCGATVFWHDKFRPDLIDVS VGLLRAEGGDRGGARAENWLEWWTGRVSFSEQAGEGRTGAAKAWGERVIRALEEGMGR AHRGHERHRDKEE MYCTH_2310954 MGWIETTNRAVAKSPVGRWFKLDGCGHPKERKGSYFLTEMRAGI ATFFAMAYIIAVNASIVSETGGTCVCREPPTAGAIPCANNTEYLLCKADIKKDLVTAT AAISALGTFCMGLFANLPVGVAPGMGLNAYFAYTVVGKHGTGPVPFEVALTAIFIEGF IFFGLAALGLRQWLARVIPRCIKLATSVGIGLFLTLIGLTYAEGIGLIVGGTSVPIAL AGCLDELKGADGQCPDSVKMRSPMMWVGIFCGGVFTVMLMLYRVKGAIIAGIILVSII SWPRTTAVTYFPYTDLGNSNFDFFKKVVDFHPIQKVLNVQHWDIGGYGGQFGLALITF LYVDILDTTGTLYSMARYAGLVDPVTQDFEGSTWAYMVDSLTIAVGAVMGTPPVTAFV ESGAGIGEGGKTGLTAMSTGLCFFISIFFAPIFASIPPWATGCVLVLVGSMMVQAATD INWRYLGDSVPAFVTIALMPFTYSIADGLIGGICLYILLNTLVWLIEKASFGRIVPPN KDLKEPWTWRSEGGIMPPWVGRLAKDPKHFWRRSPQPSVSDTEGVAERIVGKTVEFDR DAPVATGRDEKTA MYCTH_2310956 MCARTGHFRFPGFGLALPVPGLLRVSPTIGAFPRSYASSLGVVY LVVTNVIARNAVVQDGSGALWLLWTLCFGGAPGWPWRVFFLHHGYS MYCTH_39279 MISVPALALALLAAVQVVESASAGCGKAPPSSGTKSMTVNGKQR QYILQLPNNYDANKAHRVVIGYHWRDGSMNDVANGGFYDLRSRAGDSTIFVAPNGLNA GWANVGGEDITFTDQIVDMLKNDLCVDETQFFATGWSYGGAMSHSVACSRPDVFKAVA VIAGAQLSGCAGGTTPVAYLGIHGAADNVLPIDLGRQLRDKWLQTNGCNYQGAQDPAP GQQAHIKTTYSCSRAPVTWIGHGGGHVPDPTGNNGVKFAPQETWDFFDAAVGAAGAQS PMT MYCTH_2310960 MATELPPRFTADLEQSLEQSLEVPGPAMPTDQQSTTSSARQRRW DDPPEEEPAGPPENITTMVFVKAGALRPYLETEIMQLAFSPAGSHLIAMAPKAVNSRT FSRQAVFGLLVWNATTGQRLQDPVGSGGSSHGIRLNGGFALKPASASASASASASAPE PLVVACPFLLPSTSPYDSNGTLMGRLEFYDLARRERLAKQDAPVCAPVAWSPDGVLLA GVSMLEPSRVMVVQVPRARETYLRVVGVLMHHQAEVTQLAFLPVSEQGGRALVSAGRD GFVRVTSVTTGRTLRKIEIGARAPANLLQVSGDGKLVVTV MYCTH_2096678 MVSFWPWGKDDSSPASFEKALSALAAKITTTQTQLDQTRAKSRR VRVLSTLYLGFAYLVYGIVLILVVGWKNMGPWEWTGMAGGPIVITAVRTTTTALFEYR IEQLNARLKEYQAERAKTIQKLKDATKYDSTLELLEKYGGVENKQKKEGKATEESGDA GQKEGRGKAKHQGLPQRTNMPPPPTANIQRPAVPGPSTPQPRPHSRLGAPSQPELAQL DMDTSAEFAPNAFDGRPPPALMQYPPATVAPPAESHWYDRILDTLLGEDETAAKNRIV LICSNCRLVNGQAPPGTKSLSELGMWKCMACGAPNGEMDEGKRIVREVLGARAAEVKA ESADDDASRSSSDLVEVEKDTLEAKPEKSSGAEGPRKRKGQGAQ MYCTH_2130243 MAYDRYNPYGSSPYDATDGYGATDDYGATDGYGAPFRTEDREYG QEHRGRHWDSYHQSSRPRDSHRNRDRDHRNRDHRDRDHRDRDHRDRDHRDRDHRRRER SRPPETGRTRPAPRQERDPLYGDPFTRDGGRDRAGGAARAGVPMDDESYRSLRTRVRS RMQNGSGFSPGRFEKLFAEVMNDMGYASLNDGPTTPRRAGGGGEARTDYDSYIPPGSG YAPGARSAGVYPDGRRQRPTAPRQQFQYEYVGTTGPDGEIIDGTVSVHVVDEMRSGAP PEASKPVLRWPSGMARD MYCTH_2310964 MDPRDDPSTTTTTTDYDSGDSVSVDYVYEGERCPGERRTKGASP KRKRSPRPRPRGAARCTCGAGQSRVHDDDEITDFEETSGTEEERKHQKLAAKPKSSGS ATAPGCHSKERHRVDKPKATGGRNRREPSPYIEDYPDEPARPAILLREHKIMRRSSTS ETKRVRPSKDGSSSSGSRGRSPSEEPPPPRPSRRFSKESPPRPRHHKRHTDVHRTHQS KSSGSEALDDFQSDSGGPDPATRHPRRECPEPATMVSRSSAGSGSRQPKYSSSWPLPA GAHLRQRRLGRHDHAYDSGEESEEERYHDESNCEEHHRPRGTRAPSFRKRNSDRERVQ DRQSSPPARHERARTRPSPPRHHPRTVVSHASMTTTDNGCRSVATSLCEVWRGDTDDW ESPYTSASEGDHEAGIEEPIRLLRMEDQPPRSSSPRLLPPQGDRGEFEFQALNRPFPS NPYQSYNPSTTHTEERCAGAILAPCRSPAWVYRSANRILLHDDEEQPLALTMEPDVMT DDEGNTHDLRPQSRASRWPRPGPLRGWTQPLPPRSRTALPVFSAREAREFLSPKPTRA ERFDFGAWGCDRASRSSLAPGLL MYCTH_90513 MANFLSPWERRNGVRVLLTSDALQRVVGTESGVSTSSTPASRPD YSSSRPHSSSPTAAALGSPSTYRGSAYDVASSRPGSPSPCEHRTTSDYPSTSSPVPAP SGFQDNPTQALSADRWTSTTPTHEGHLDLPTSAHRTPTQPTPPPRSPSPWWSSLPVYL HPYVSSDTDQPDTSTISAVDSATNSTAAARDRSPVLGPSHTPPDQTAFDSAYDSFVYW DDEGAGTNYGGSTPLDQTAFASTYDSFVYYDNEGGGINYSGSTPLDQTASASAYDSFV YYDNEGAGTNCGGSTPPDQTVLASAYDSFVYWDDEGAGTNYGGSTLTTEYRSGPRGGD NSVGGGGGGGGSHGGGGGGQRREKDQRWTQQQQQ MYCTH_61336 MPCSWCASQGLVCKMIARIKRYEACVRRGRSCDGSGIPLSSYKL RELSKKLTRLRRLRQQKEFLVKKGADMVARGLSTLDELEEVERQETPAMPSS MYCTH_61337 MPCSFCFSRGLCCRIIESSSCYGEYVYHRRLYDGSRVLVSSYYR RIKAPRPIRTRC MYCTH_2130249 MVQNGGDFDLDTNMATDELENREKYSQKLVNEAYAKIEALETAK ASRIKIELPGKYRGTKEDLVGFLTNLRSYFRLNNDKFPDDKAKVLYAIRIDDRLYIRE QQKRGRMNGTTVKANDKKKRAPDSYTEYRDGQQLSNDVRNPEYQFMRANRGKDERSRD LA MYCTH_90514 MSFTNSSVVLAPLRLGHVHRFIEGSYLQYQPQTMPHCTSTRPTQ TRARTRSGRRAEDYQSSPPESRRYSPPLDRDSYSSRNADLYNYRGISDGLLRSSPEPY DIDDEILSPTAHYNPGRRLGDHQQEQYTLPTSPALSDHTRPSSPVHEAYHADSRSSET PGSPRAGWDLIPYYDPNAANRASSPGASSDSTLVIQLPRLTVESDSPPPAHRQRRERR FRTRSPSYTDYYPRSQSRAFDTRRRDSNDTLSSSPRRYYSAASRSPDPPPRSSVSYRP ARYSQAAPAAGRSSRRRTRSRDRHARRNDNNTSAVRTTYIYYPSGSTVQVDGPVESDY YEPPSPQYPSGRHIINLAPRRLRRVFGLELLTVLNLVTSMSKQPDNKNANKGHTGGST PAPPALRREAVSVQDPVEYGKLKPHPDDKGNLMQYKEEEKAKK MYCTH_110028 MSVKPTDSSTTIDPYAVSFDSSYLQTFPEFSPVSVFSTEDFGAD FTSDSATSPLSPLSPALSASSFGFPSTDSWPAWDGVELSPEPENLFGCQTVSLCPQPS SASLSPAINPLDLSLSAVDASTPIPEMPPIMQGSIPDPQQQQHRQQQQQQEQQQQQLP SSLPSIPETDRLATKRYPSRESRRKPSTGALSDSKVPTTRSTTAAATATTTATTARRA STTASTAKTKHGSSNSTGTTPALGPKKSTHNMIEKRYRTNLNDKIARLRDAVPSLRQL AQRAAAASEESGPASDEDGMGTTTTTTQHGGSGGGGGGPGAAKLNKATILARATEYIL QLERRNRGLEAENGALRGRMEGLEVLLMGRAAAAAGRGRVVTGWE MYCTH_90516 MTHPASTAALTRRAGWRGKPLITFLRQANELYRTDIGLVLGSHS GTSSHRQPGFGVQECRSSAGIFGVAKATQSGVLICVFRANGLDRFPSVAAQNEIQFPG TRLPSRRPRRAGLTANPDVWSRCDAKPENNPGYVFPNRGLHSMMRTMTTHSALHLENW PVAHLRLRRQNPSLVPVRAKPGLRKLASDSYVGGQICSRQTKERYERATEVWSQAILP AVGGTFEREVAITQGTVM MYCTH_90517 MLRTLFFGLFLALLRTGNQKLTICCLLFSVRAVFAANEVVQDPD TGLVFTSNYQLYKINQGITFRVAIPGDAQINTAFDAVIQLVVPSDVGWVGLSWGGSMP NNPLVVAWQNAGSVVLSPRWATGHVMPTESTNAGTYRLFQTGTKSNGTHWQFTALCQG CTSWTTSSGGSRYLTPTGGNRLAFAYSPGRPSSPGTSSSSIPIHDVHGYWNHDFAAAR NPNFHELVQRLV MYCTH_2310975 MAPNLSTLPTETLSLILSHFCLHCSKQHDYDSPEGYFRSTAHDE QQPDQPSWYSRECRQPLHAVCLVSRRLFLIAQPILHHEFIPGYGDAWKSSHFSWTGRL ASLLRTVATRPDLAALLKRIFIHPYLLRAVPEEEAQAVLEEVLQPAAAAPGVIVRLSE YLDPFDEFLKRRTRHHRKGASLAGWKLVGALLALVPNLERLSLQVADVGGVPAAAFAA LRSPPGSSGSVLSKLKTLDVCHRNDGSWLFSLEDCASGVLDAVAAGDGHLSTLNLHGC RRVGRSNLHGLQTLRLSDSRFADGNLAAALRSCSIPGLKSFFYEARIPLPYFWLSVDT RETHPVPLSLPPPLAVWSTHR MYCTH_2310976 MSNEARASVDDEVRRALSRIPAAFSGYRPFPPLLNLYGNSSGIM DALTTFKLCGAAKNDLLYVVEVHHGFTPRGPLHFRPGLYLRNGTGTDAPILAAAGDEA REPLLISTFSVKSFIMLPPLDIEANPRDLITEIMYATKTSNGAVSFRFAIEVGPKMKC REQFEWKKVGTGNAHSGFILVRLGPQSASPSPTPPSPTPSAQEESEILAELLFGNVMS LNHLFSLELKGAGCSGEMGDRWTLMVVVTALRLYWLRVYGKTNKVVVGIGQKLRGR MYCTH_2113078 MTDTFPVERQAQCAVLGVASTFTSLAIISCVLRAIARRLAHRSL DSSDWCIFAACLVTTVYQAINMTSVFVCGVGLHFSEILEKHGTEPITLFLKASKSPLL PLPPSFIHLSKISILILYTKIFSVPAFIWAARITTVIIIMWALTTSIMGLTICQPFAF NWDPTIPDGLCGNQVLSYKITGALNLATDLVVLLLPMPYLYGLNLALYKKLVLMVTFA VGLFTCVVSAFRIAALSSIDYSDITFNVPTSLIFSGLEPSLVVTLACVPVLRLLLGKI KGSISGSDLRSGQANSSGFVGSKNRSFAPLNDDSSQYQLRPVGPKHVAEAKAKAKTPS TWSGDNSDRETGPANKTGSIVVQQEWDVAEEGR MYCTH_2120988 MRPKPVVGLGGSWLLVFLLIAALSILAWRRYLSPLADIPGPFLA SFSRWWHIRRILVGDQNVQLVRLHEKHGHFVRIAQNEISVSHPDGIKQILLQPLWKAK WYELLQLPDYRYKPPMATLDPKKKVEKSRNLAPGYALSNVIKSEDAISRTIEMLLDWM DKCAEKREPMHIDKFFTYTAFDIVGEVVFSKQFGFLREGIDIGNSIANPLALNAYAAV GGFFQPLNLIVANPFVTWLQVMPMGHLFNTTMKAINERERNQDARFDLIAHWFKMLEQ HPERMTLRDIHAQATTSVGAGADTVSCALQSFIYHMIRHPTAWARARDEIDTARRERG LCTDRVVSFDHAQQLPYLQSCIKEAMRVFGPVPMGLPRVVPKGGLTIGNRTFPAGTVL SINPWVMHHSKEIWGPDAHEFNPDRWLSDSIASKEKYWIPFGAGCNSCPGRNIAKMEI SKILATIVRDYDIRQVDKNQEWKYKAYFTVVPHSWPCYIDKRRD MYCTH_2310977 MTSTITPASDPAPLGSAAEQTHEPALPEGYTLRPGYPPIQDYLR LRRAAGLTPKTAAQAAPIPRHSWYGCYITFRDPGKQQQQQQRPREQQQQETGEGGGGR RREEEDETVVAMGRIIGDGGWYFHIADMAVLPAHQRRGLGGAVLSHLLAHIKAHAPRG GGEGGREKQEEDRNGGDNGDNGDNGDGEETTGAGGGAYVTLFADAPGRRLYAKHGFVD AMPCGQMGMMMPMGWEERQ MYCTH_2113081 MANLKTNYDCIPRNPSIHAETKSSLGFSGAGSSVSPANSAIKEG EVDRINRIYKKGLEKYDERFKSDEAQDADDLSPILGPFSSPWEGAEHWKMHHLRTSIM PFQQHDDADRATAFLERRRLPKGHDSLPATALPLTGAFSSAGSSNLSIDSGDDDTSDS EGYGRPLLPFLRRLELIERHLHGVLALEPAGTEGVKSPKEGAAPAMAGEELDRPADLT RSLRALGARAHPIEHAVAIRFVEAPKPLEVEIHAVPASPEMGPVFDEENWGSSYPGLK MYCTH_2113082 MPLSDKDAVKAIRARFEPLGRTAFSLVYAEKGNAESVLKAEGFW IDGEVYDKPAFAKDTSNHLKREAMVYDTLGPHPHITACLGLELLPGTNEAWALRLERS PWGDLRTYIMNHSAPDICDRLKVAVDFASAVQYLHDRGVIWGDLSTRNALVFDHLHVK LCDFAGSSLPNVYPHTYFSYEPRYWPPMPVAEAPADGTVELELFALGTAICEITQWAV PYGRPGEVDDEAVQRKLARGEYPRVSDDNPARDIIWKLWHFNYRSAQDAANDLKAIFH NLTSCRPTSLKEGHEDSQVSLTRLTKAIILYNAFAN MYCTH_2135745 MSSLPATLRSIPSKYGGLFRIGLSDLGSPIPRATSPVPSDSIGA ETALPRDPTYLDSRQRNRLRSVSFIMSQYPPQGGYPPQGYPPPQGYPPQGYPPPQGYP PQGYPPPQQMQYQEAPPPKEEKSHGCLYTCIATLCCCWLCATRLPRSMFPKSEPRDIG NGDL MYCTH_39662 FLLKARNDLIEFIEAKVLPNKLARAVKNFINRNILLLIVINRGS KFKGEAKAILEELGVKHIIISPYNSRANGVSKARYIPIIAALAKITIGTRKN MYCTH_2310981 MPPPSVIRRNPPSAVVYDLSVPHQVTITLPAGSTWTSGLHWHET HTEYLRLVNGRIRLRLGDAVQTVSASPGGSFPSPPPPPPEEIRVDRGVWHEWSRAAPS EKKPRSAGEEVAAEDEEDEEEEEEEVVVVERTEPADGDKSVFFWSLNGVILEAEAEAA ARALRTTATGRLAGVWAGLRTTLSLWAIFDALDNFPVLLGVRDAGRRRRLVERGSRGD AWLTWWETRWSHAVLTIAVVLVCAAGEHAVRPRFTPAEEYAAWVLRKQRANNTAAPGR T MYCTH_2070001 MASSLVTAFIYDRYETYRSQGLGYEELIPFDVDVTIDGLKRALE ASGHKVVAVEGIRQLVALVAEGKHKSWDLAFPIAEGIFGGAGREAQVPGILEAYQIPH VFADAATLSLAQDKGLTKMVLDHHGIPTAPFAVVPAAAGPSAGAGEEKEDVGATFPLF IKPTWECSSKGIDQKSKVGDAAELVEGVRRLRARYPGQSVLVERFLGGAEYSVSILGT GPSARAIGTALLDWDAAESQQVLDSHYHVPYWARGAPPEGHDYPVKVVSARDNPDVRP AEEVALKAWRAVGCRDTGRVDIRLGLDGLPYVLEINAIPGLRPSWSTLTKTAEFYGID YDQLIGAVVESALERYPHLRSKSESAELRN MYCTH_2069662 MKVTAILCTLVAAAVVGASTIPEIPEIQARDTCGAGYGGDQRRT NSPCQASNGDRHFCGCDRTGVVECRNGKWTEIRDCRSATCHGTNDGGAVC MYCTH_2310983 MLICGSTSILEHVSPGVVLKQPIRLADKYEAARIANCFSVERRI LERLGPHVRIVRYLGSEGDGILLGEASHGDLQTYLDEHPSVDMKQRLLWCRQIAEAIE YIHSRGVVHSDLRPANVLVHETTPGSRDLQLSDFGGSVCQDLKADGYSLPDGPFYSPL FGTDASTLLDLFGMGSLFYTILTGRWPYKATPGRFAKLDDRLEWEERVVYPNFKMGKF PPVEHLPVGEVILKCWRREFGTAKDVLLALDTSFSARQYFHET MYCTH_2310984 MPRPAVGADGVEASPPKPALRTASYMPPWVHMAAGATAGIATSI VTAPLDVLRTRLQSDFYRLPSRPTLAAEYAGATLRHLLTAPFHHTAETFGILGSIKAK EGWRGLFRGLGPSLAAVVPATAVKFYVYGNCKRLGASILGRGEGDSFVHAQAAILAGV ATATATNPIWLVKTRLQLDKSQVAGGATRQYRGSLDCVRKVLRQEGIPGLYRGLTASY LGTVETAFHLILYERFKVLFHKSLRPENWDNPMLNELATWASTTGAAGTAKLAAVLMT YPHEVVRTRLRQAPTVGGRPKYTGLIQCFTSVWALEGWRGLYGGLTPHLVRSIPSAAI TLGVYEFVLRLVRG MYCTH_2310985 MKLLTTAVTLLMASMANAEVFYWCTGNGKCDNAPGVGPTYDCGK KLGYDYYDSNRKRWRTSGDTVKKFWETGGFYDCCHAKNKGACYDIQNQ MYCTH_2070186 FIRVYIDNIIIFSKIEEEHLKHLYTIYKIFNKAYIYISATKSFI DYLAIRLLRYVINRKGITKTDDYITTFKKLKFLDTLDSLEHYLGMAG MYCTH_2310986 MVARGLSTLDELEEVERQETPAMPSSQINDAVDAVDWGAVFGSV PGFPLVDPDSAGGTVLVS MYCTH_2310989 MASQDKLILYTNHICPWAHRAHIALEELKIPYEEVIIDLTKPRT PEYLQINPRGLVPALSYNGEIITESAIVAQFLADRYPSHLVPPSDAPGGALRRARIAF FVDAYFSKVFNVLLGLNSAKTEEAQKAVAEKVVEGLLKEVEPLLADANPFFGGSDKLT LAEVLTGSFVIRLKAVTQANIYPKSIWAAIEEKAPNFRKWAEAVIAHPSVTSIWNEEK VLEITKTRLEKARASA MYCTH_2096690 MYDDFITKNAHQVSQIESTLRSLTYIIPGRFRDAEIASESIHSG VQLLSLYHDMLLRRAHKLARLPLPPSLAHPPSPHGRYTRFWTFKSALYRRVAYVLQVV QYVELLCEMAAKRRGERVRWRVVVLLEAVKAVCRLLLMRITRSRPLVTPVLPEREPIP EEPPEEEEEVYQGDEPGLGEDDEDRRGGRTVEGAQGESSSSSSPPPPHANVSADTPSS SSQPQRQQHQRQLGPHGEWTMSRTGMSLPALPAPGDTSGYLLSRVLTADDIKPAPKLL NRLQGRAQAAEVLHILAPLIFAVALALTRTRSGESASGGPSRDRRRAWVPWLLGVGAE LAARQLRDRSLRTTPLERDEWSRRAWAMGWWAMRGAFYEHVTKGLVEGVRRRMPGLLA GILEDYEYLWENYHFSTSS MYCTH_98458 MSPLPEEFDIIVCGGGSCGCVVAGRLANLDHNLTVLLIEAGESN LNNPWVYRPAIYPRNMKLDSKTATFYESRPSKSLSGRSAIVPAAHVLGGGSSINFMMY TRASASDYDDFQAKGWTTKELLPLMKKHETYQRASHNRDIHGFDGPIKVSFGNYVYPI KDDFLRAVESQGIPIVDDLQDLRTGHGAEQWYTGRRSDSAHAYVHSTRAVHSNLYLAC NTKVDKVIIENGRAVAVQTDPGIRVFRARKQIVVSAGTLSSPLILQRSGIGDPNKLRR VGVKPIVDLPGVGLNFQDHYLTFAVYRAKPGTETFDDFARGDPEVQKRVFDEWNVKGT GPLATNGIEAGVKIRPTEAELKQFRSWPTPDFTEGWESYFKNKPDKPVMHYSIISGWF GDHMLMPPGNFFTMFHFLEYPFSRGETHIRSADPYAAPDFDAGFLRDGRDMAPLVWGY IKSRETARRMDAYAGEVQAMHPHFPFDSPARAHDLDLDDTTRYALPGNITAGIQHGSW SAPLPPADVPVGTAAAGGAGAVVSSNRKERRKELEYSREDIAAIEEWVKRHVETTWHC LGTCSMAPREGNSIVKHGVVDERLNVHGVKGLKVADLSICPDNVGCNTYSTALLIGEK AAVLVAEDLGYAGEALEMRVPDYHAPGENRLASRL MYCTH_2310995 MSEMHSWASAASPSIKFEGSPAESILSTPDEMYPSLFGTSPAPT TTVNPVDMRSSQPAPQLPKPDLTLLAGLTALTHTTLAAPPAPTTVTPEPEKKPVKKRK SWGQVLPEPKTNLPPRKRAKTEDEKEQRRVERVLRNRRAAQSSRERKRLEVEGLERRN KELEAALLQAQQINLTLLEELQRMRGGSGARTSPAFEALRPTPVTFSQQLFGSQGDHN PAMGSTSTLQQILQSIPSATNKTVDPSSLSPALPPIPEAAEEENKQPAAPAAPVADAP TTAQASTSPDATQHPAEMLCPDLQCRSAEAPASPWQRASQQQLHPAQTLLLQLQFLLA STSAMVSICQRPLTQIAISLKADFSLPPTQAILNTIIWLVTTPRRFHSRPTSTSTNSS PTASSMARPAASSSSSSNSLAALSPTRHSSTLRLRTLRKILTCSPTLARPLMDATMAV LRLVSTEGRSGDRVSGADESAAAAVSGVSPQQLPRRGLSGWLNGAALPSKEVLLTLLW VLRVEERRLQIREQAKALSKPGTSGVTQTTTPANKTTFVLKVLRKREEEEQDRPASLK RRRFQ MYCTH_2310997 MSTMRKHNVTTFPRPPIVERTDRHIQIKWHGQLVADCPPGEAYW VLEAHHAPTYYIPPNRVRLPLSTTPRSTFSEFHGAATYYAIMSPISASEILSNRIWSY NEPPKEYEAIKGYLAFFVGPWECYVDNERAQPPPGDYYGGWVTSDIEGLVKPSPHQPQ WGTGWDGVF MYCTH_2310998 MARREAQKAAKQDNELKKQIQNLLKKGETAQAAQKARMLLAKQA IAAQMDQAADMAELSLAQIQANNAMNRMTAMMAQSSKTMTRAQRSANPEKTLLTLEQF RAQNEEYAMSNGIYQDAMTQNTSVQVSDDAVHELLGKLADDAGLELGQELASASTSKV EPVKEPTEPTAEVEDALQQRLRALRA MYCTH_2310999 MASELSEAMQALHLLDGDLPFDAIDDIFDKTVSPSRQQQAQDPD QLRAELEAKYLSPSATFSSDWLDKLQQRWAAPADYSLLFRIAPTQSRTVTRFIRHGLE GRVTGYRNVTVPASHATAKSSTSMLRKPASRADFVRGAAGFFPFAPGGLEGIESTAAL EDQLRAPSAPGTGEGDSRKKLEKVIKLGEGGLLEIPPGLDRGIDFSKRRKLADADSER QAKEVEEVLGQEPEDAPGGEGEQEGGDNGDKNDGGGGEDDDDDDEAMEEDIDSILPVE FPALEPRGTLAASSARKAGREWAHMVDVNREMPNFRELVPDMAREWPFELDTFQKEAI YHLENGDSVFVAAHTSAGKTVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFRQTF DEVGILTGDVQINPEASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDFERGV VWEEVIIMLPEHVSLILLSATVPNTYEFASWVGRTKQKDIYVISTPKRPVPLEHYLWG NKNIYKIVDSDKKFLEKGWKEANAAIQGKDKQKALPQAAAAPARGGGSGANGRGGRGG SQRGGNQQRGGSRGGGQQRGRGGGPPRASHAPGHLGRTGRPGGFTTAAQDRNLWVHLV QFLKKGNLLPACIFVFSKKRCEENADALSNQDFCTAQEKSAIHMTIEKSIARLKPEDR VLPQIIRIREMLSRGIAVHHGGLLPIVKELVEILFAQTLVKVLFATETFAMGLNLPTR TVVFSGYRKHDGHSFRNLLPGEYTQMAGRAGRRGLDTVGSVIIVPPGGDEAPPVSDLR QMILGEPSKLRSQFRLTYNMILNLLRVEALKIEEMIKRSFSEHATQQLLPEHEKAVKL SEADLAKVKRDPCPICDAHMDECHQAGEDFKRLTEELYRMLLVNPIGRKMFATGRLIV WMKEGVRTPGILLSEGASVKSSATNPTLHVLEIRTNREMRNDTDLLPFVPAFRKHLTP LPQAKKHISTKTLHVPISDLVCLTKHVTKGVVPDIFGGGEGYAKAKEKLHMICRSWNS DIWDEMDLGRVKNLAVHEIAAKRREAEIKVAKSPAAECKSFLKHYAMCHDQWLIQTHI QQLRQTLSEQNLQLLPDYEQRIQVLKDLSFIDDASRIQLKGKVACEVHSGDELVLTEL ILENVLADYDPAEIAALLSAFVFQEKTESVPRLTANLERGMKTIVDISERVNAVQTLH QVIQTSDESNDFVSKPRFGLMEVVYEWARGMSFKNITDLTDVLEGTIVRTITRLDETC REVKNAARIIGDPDLYQKMAAAQEMIRRDITAVASLYM MYCTH_2311000 MASSGRGRLAGKNAVITGAAGGIGLETSILFAKEGANVLMTDIS QEALDRALAKVKQLVPDAGRVETRICDVSKEADVKAAVESLDSWGGLDVIFNNAGIMH ARDDDALNTPEDIWDLTHNINVKGVWYGCKHAVLALRRNGKKRGSIINTASVVALVGS ATPQLAYTASKGAVLALTRELAIVHAREGFRFNSLCPAPLNTPLLQDWLGDDAAKRHR REVHFPTGRFGEAVEQAQAVVFLASDESSFVNGTDFVVDGGMTKAYVTPEGPAAPAPA NNALKDRLD MYCTH_2311001 MASLPPIDPSIPSTTPSSSYQLLSETQKAGAAEDALHQQQVKDV EAWWASPRFAGIKRDWTAADVVSKRGSLQQSYPSSVMARKLWNLIREREAAGQPIHTL GAIDPVQMTQQAPHQEVLYISGWACSSLLTTTNEVSPDFGDYPYNTVPNQVQRMFKAQ SMHDRKQWFLRSKMTAEERAKTPYVDYFRPIIADGDTGHGGLTAVMKLAKLFAENGAA AVHFEDQMHGGKKCGHLAGKVLVPTGEHINRLKAARFQWDIMGTENLVIARTDSESGK LLSSSIDVRDHEFILGVTDPSVEPLAEKLADMEAKGAPGAEIDAFEAQWVKNTKLVTF DEAAVAHFRARGLSADKIATYTDAVAADRDMGITARRKLAESLTPNDPVYFDWDVPRT REGFYHFRAGMAAATKRALAFAPYADMLWVETGDPNVRVCADLGRAVRGRFPGKPLVY NLSPSFNWMAHGFTAETLRSFVWDIAKEGFVLQLVSLAGLHSTATISSELARRFKDEG MAAYVELVQKREKELGVDVLTHQKWSGANYVDAVLGHIQSGSSGSKSMGEGNTEGQFV MYCTH_2311002 MPSKTGTKPGGASSRGEDNSNFVDDYLADWDDDPFRSPSPEPAK NDKATEKKTDVLGIEKELDLKRRPRAPRVKLDEARLLSDKGIPKLRKMAPRLKFKGKG HEFSDAARLLSFYQEWLDDLFPKATFLDALAMVEKTGHKTVMRNARLKWIDETKPRAA TAEDDEDYRIHRGAADLRQPGKVAPIFDNAAKAAGERAKTPGGDNLFGDDDIYNATPV RSAASTEPSRQVVADDVPDGDDLDALMAEAEAEATASSQHTGSAPPFRSIFGNGTKNT GPAPGPLGEPDEDDLDALMAEAEAQSVPPQSTSTAIRKSIFGDGKPEQSTTPGIGRHD DNPHAHKPEAGTGTTVQESSQATGGEGATNGNAHSTKKIDNFDDEDDLDALMAEAEAE AGARTAAGTNAAPDPPGKTNNALPAPADAGPQTASFDEEEEAMAEMDGLW MYCTH_2096708 MSNSNSTNQTYQWPSKTIKTEYPLIDNDPHFTRVIRYARPSDYV HGVVAAAAGPGLLYAMEKFAPSQVGRGGFAQAMRLCSFIGAAGGFLYFYQRSILRFYG MSENAREVEMDMREMVDKVKAGQPLYGESRLTPAMQGVAARQSRYSALFFGVLPWFNF VNHNQHGVDTAKYYQQAERELEAERLSKGSSS MYCTH_2130288 MKPSSSTTGTSDKIFRPAQLQMIVFNPSGKLIGKIYFGSVSANF QFAGGGQIVILAKTELYYAMLAAEGAFPGKLYPE MYCTH_2311011 MTGKKRAHSDVDVHVDLDLDADDRNNTTYNDLDVRTPTSTAASA VPPPEPLPKRRRLAHAASAAAAITTTPTPPLSEQPGRDLLSPLSDELLLRILSFLPRR HLLAVAPVSRRFYRLSSDSQLWKALYYARFVLPRAMRIPGFRGGAGDGGSGSGGGHKL HYAGWGKGVSSRPGLLGAGVGAGAETEQEEQQQQQQQQLRSPRVDWKRQYKIRHNWAR GKCVVEELQLGRETGVAGAEEGRRRRRRMLVKIAEGIAVTADGTEGLRAWDLKNRKLI AQAAIGGDGGPSDDAVPSCIAVDEGEAGRGLLDVALGFSDGSFGVWRLSAESNRLERR YRHEKSSNGELVAMAFAYPYLLTATGTVLVSLYTFEGPGATRGDKERSKAAASRDGAG KLPPPYLLTSLDSHSSRPPLALSIRKAGGMTIASVAYTFSTRRGWSIGLQDLHIHQPA AGLDSVPEIGATRIAYTPPVETDGVSYSPLSPPATPQRGRSDLAASAPPFTGSSGSES ETPEPGPTTLCYTHPYLLAALPDNTLILHMCTSNASSLSISDGIRLWGHTSGISDAEI TARGKAVSVSTRGEEMRVWELEGRSTGVSSRSVEIRPWQSPGSGSAAGGLALCDDLRT GDPARDWDEKRNWVGFDDEMVIVLKETKGARESLMVYDFT MYCTH_2311014 MPSPPSTVAPQLQTWRPPTDPDKPACPYQTGFTIDIKSHTPPAP FGGRDYGPGTRVVVPDQALRSLKQTELVMGNPPLRTADPAAPRTHTLSVTHELAVADG RGAQLVLCTITPKVPAGQAAFQAVAKIYDPLYYSFPNKDVPSVPCDVTWLADEDYSRE AAAYEHLQSIGKAGSFAPRYFGSWTFTARILIDKTTPRSRPVRLILLEYVRGPSIREL CPAPPAAATFDEAYRLEVLARVLDGDAKLRFKGINQRDLAARNVLLNYPPGLPPAERH TMIPRLVLIDYNISIVYARTKRKIGPYHGTTLPPNPMQIHWTNPLQEFRGWIPAEWEA APRLRQEWLSKRFGGKNMASYAPITAKLEFAK MYCTH_2311015 MLAAGDTGSPKAIKLPALQNKTARSELHNHELHSETISKTRREL FNFSMPKWKPNRRSIESFEVNDH MYCTH_2316383 MQDAGALPQDRHSPMASKQKKPYDISNLMSPPEPAPLDMYRSTS STTGKPSSPTDEARVSHQPLSPPVSPFSQAANTVNAAPPLSLGGKDPILYPAGGEPSS SSPTGPLFTPTAGSVENLKATTERLVNAHIASRPAGYFRDSTPPRPEDYELALYFKSN CFRMFQDNPKEWLRKERELLRADRKHTAHSYQPSKLPHILPASKPAVLGPQTTKAPAV RVQKPRSPKTKPQQPRPIRATPAPVRETIRVGTPEPRVRTVAPNREDKDFAALEDLSP PLSSLPSKPNSLKVDWKGNALDLSNDPHRHLLHPDELILASNLRLDCATYLTSKRRIF LRRLECAKIGKEFRKTDAQQACKIDVNKASKLWQAYERVGWLDIEWMRKYLDRKP MYCTH_110038 MAAREECLCILVNNAAVSSETVQPESGSADEMRRNLFDTTKTTA ADWLAGRVRDRRRSRPSSPPPPPSCRCCGAPPSGTRTGPA MYCTH_2121009 MSVESFTIEAWTYLVIDIVVVAVRTLARWRQMGIRGLAPDDFLM IIAILLYTAETATAHYVGAYWHGLANSGYVSLGPLSEEYHLRVKGSQTQLFGWLVYTC LLWTLKTAWLFFFKRLGDGVGNMSLKINIGFVLVFVTFFGTFFAILFGCYPVEKHWQI YPDPGNFCYPAVSRLQAIVLITTNLTTDFYIISIPMPMVWSARISTPKKMGLCIMFCG GLITAVFGGLRCGYVLADTVDGPQQAGEWSCRESFVAVFVTNFPVIFPIVHRALRAHG GTLFGASSSGKNTGGTPGTGTKNSSGFKLTTISRRNQKRNFKHPLSLPADTVYTRFGA AGYDGKSIVPSIPEEDIKVTTEWQVHSQRMDAETAAREKRNVEMGFHAS MYCTH_113577 MAPKIDITTYPGNIINGEFVPTPTTRHSIDPATGEPLYEVPVAR REDLDAAVRHARAAFKTWSATTHEERAGLVRAFADAIEANRDELERLQTMEQGKPLGL ARTEVDMSLRWLRTFATMRLDDEVLDDNEERTIYSTRVPLGVVGAIVPWNWPILLGLG KIGPALMTGNTVIMKPSPYTPYCDLKLGELAMSVFPPGVVQVLSGDDSLGPWLTEHPG VDMISFTGSIPTGKKVAASCARTLKRYVLELGGNDAAIVCDDVDIDKCLPKIATLAFL NSGQICMLAKRIYVHDKIYDRFRDAMVEFTRNHIKTGGGFEPDVVVGPVQNSMQFELV KDMYAQIEKCGWKTALEGRVREGEKGYFIEPAIIDNPPEDSRIVVEEPFGPIVPLLRW SDEDDVVERANALETGLGASVWSRDLARAERLARRLAAGSVWVNSHFDVAPDVPFGGH KESGIGMEWGLEGFRHFTNSRSLWVWKKVFE MYCTH_40437 YLDNILIFSKTINKHQKYIRIVLDVLYVYKLLVNEEKSKFHVRK IVFLGYEISLE MYCTH_2069219 YLDNILIFSKTIDKYQKYIKVVLDALYAYKLLVNKEKSKFYIRE TVFSRYEISLGQIRIKPLKVKVIKN MYCTH_37424 YLDNILIFSKIIDKHRKYVNAVLDTLYAYKLLVNKEKSEFHVRK TVFLEYKISLGQIRIKPLKVKAIKN MYCTH_2130296 MGSVQGSREDDAQGKACPTDPPQETDNEGDEWKTAFRTPYGHYE YLVMPFGLTNTPATF MYCTH_2311026 MDNQSLRSKASEATLLSLMELDPAPMDPPDTNKDLPPLPQQPDG PAAGSTPSLPSSSPSTTALGLSGSGHGAIYYLTRIQRYSSYTFGLFGALHLATTSLIP LAARSVPASESYLLLAREIYQTPLSEPLLVFLPVLAHVGSGVALRLVRRAQNRRRYYG DKPPPSLPLPLPLPLPSPSSATAAAARYSGWPPLSNISLSGYVFAGALAAHAWMNRGL PLAVEGDSANIGLAYVAHGFARHGAVSWLAYALLLGAGCGHMVWGWARWVGLAQGAGW VLDRPTGNRAVDRAAKKARRRRLVAINAVALAATALWAAGGLGIVARGGPTLGWVGTL YDGLYSKVPGF MYCTH_2311028 MQSFYCQLCQKGYSRMNEYEAHLSSYDHSHKQRLKDMKAMVRDP TAAARARRQEQKADGVISIKLGAAAGAGAGAGAGAGAGAGGGFKKGGFKKAGFKAAFV PVGGSAAPAAKEEQKQDEQEAKPSLPAAAAAAVKSDLVESDTEDEGYEVYDPRKPTD MYCTH_2311030 MPPFPSSSRNDSERKIDHFESRLTNIENMLRELTVSIHSRSPSM SVSAADSAPAGPVSNASPAADSTDIVYGIDNDDDAVSAFEGDSSMTAQTAFASEFLEN TVTRTLPPDLEPDMQSALSSLQQIVSMQNRPSPHESRFVNAKPLPKGGLRDLPMPPAH VVVAALREAKDAGLASFATICTFVAAENFAERCSKVYFATEDFSLMSWGVVNAGLYFL LQEKASLAEGPQRTQLLEYQSLCRDNLETALTNLPLLMPARKESIELLLLGAIYAIEI SKFSLARDLNTKAATLCQNLGYHRMSGGGADTAAKAVLFWSAYLLDKALSIRSGRAPV IQDYDITVRRGLGDGIDLPDTSWRVVLNQWISYADFLGRAYEQLYSPAALARPQESRA ESARQLVQTMLDLAKEQEPLMRHTREKMRGVKFDANSPFPMDMAILGDELMHWSALTL VYRAIPSPPGSPSTFNQECIHAARQAFACHQEYMEMAGDSLAVRAGCIRWNIIYVPFT PVIILFCHIVETSDEADLRRLADFVESLQPVCDASEAVAKFHRICQVLHNVASLCIRA KAQRQQEPHMRDQDMAMVGDNIDMYLSQLGFMPQFGGAGGAAGNTPPAGAASGQFGGG MAAAGLGVAAQASELGNWFSGNTHILGLLEEDLSEFEPRMWTAMGGQ MYCTH_2311035 MSLRHHPFDPLTSSEIRQAADILRRYVPGTDIHFRVITLWEPPK SEMVPFLEMEHGGSETAKTPARMARVQAYVDSTFTEYKVNLDQQAVVAEETLHGRHAH IDGEYMRKVELACMADPRVKEQVAALNLPEGSTVVVEPWTYGPDGMNDMSKRMTMCWF YMRLSDDKDANYYAYPLELCVEVSDDLKVVKILHLPSGEHDRVRTEGNPKEFDRRKIH EGSEYYPTLNTKQRTTTKPYHVSQPEGPSFSIEGNKITWEKWTMRVGFNYREGMTLHD VRFDDRSLYYRLSLAEMFVPYGDPRTPYPRKAAFDLGNDGAGVCANNLQLGCDCLGHI KYFDGWLVSRDGTPLKMPHVICCHEIDDGILWKHTNPRTGNAAVARSRVLVLQTIITA SNYEYVFCFYFGQDASLHYEVRATGILSTAPADFSSPAPLPYGTTVAPGVLAPFHQHL FCLRIDPAVDGYRANSLLVEESHPLPPSAADNPHGVGYVTRQTYVEHETGLDLDHTTN RVFKIVNERRHHPVARTPVGVRLLPCYSQLLLAHPDSLHARRSEFGAHAVWVTRHADD EAFPAGRFTMQSAGGEGIASWIRKRRERRRGEEVGGSSSNREGRGNEKKGGKEGNVVE EEDDTCVRNADIVVWHTFGSTHNPRVEDWPIMPAEKMVVGLKPVNFFERNPALDVPPS TQERNLSVLVETGGGVGEERKEEKEAECCVERFRGR MYCTH_2311038 MARKRLVLVTGINGYIAAHTAAALFKDGYAVRGTVRARTANVEN LVRKLNEYHDGDRLELVEIPDISADGAFDRAVDGVQAIAHIASPVSTTETDPAPMMRA AVQGTTSLLSSALVEARKRSGKDALKSVVFMSSMSAVFSPNRPAGHVFTESDWNDVAE EELRRLGTSAPGYTFHQASKTAAERAFWKYGKETGVEFAMTSLCPAPVFGPPLYLPEP ISSLSIRIKEVYDIFEGGPIPEFSPIRSTFIDVRDVAELVLRVFEQHGEQPGARNRYV LTAQPPISPQQIADVLRESFPERREKIGEGNPGERYPRMTWKFDSSKAASLLGREWIG FRQSVVDSAKAFLGAKSV MYCTH_2311040 MPPKLGHIVETVLYTSDLGKLAAWYKDVLGLVPFIELPAVVGFS LPNDTILLLFDRATTTNDKVSEAGVIPKHGADTGLGQHIAFACADANELGEWERHFQE KGVEIAGRMQWEKGGKSVYVRDCEGHLVEIMTRGVWVVY MYCTH_98480 MRPWSTLLAAGLAASGAHATPVEAARRATPTVYLAGDSTMAKTG NGLMGWGEYLPNYITLPVVNKAISGRSARSYTNEGRFAEIESLVRTDDIVVIEFGHND GGSPNSANDNGRSDCPGTADEICYSGKTGEIVYTFNHYVEAAARSYIAKGARVIISSQ TPNNMWEGGTYNNAPSRFVGYAATAAKNVGAGASFVDHFQAVGAMFLRLGNAATNGLY PQDHTHTSPEGADLVAQAFVQAVSLDFNGTTPLKEYLKTPVPQVF MYCTH_2311044 MNGPLYKQANGNVVLVRRVKRKNESPMKQLTRWFVDNQIGFSFN LLALLFLAHGMPRARDYTAKYFNLSYYNPSTGNYGLGWADGHVVLFCVVLLTGLRAAT MEYILAPFAKAQGISKRKDITRFSEQAWMSIYYAVFWPLGLYIYCQSPAYLNLHELWT GWPDRELTGLMKGYMLAQLGFWLQQMVVINIEERRKDHWQMFTHHIVTSVLIYTSYRY GHTRVGNLILVLMDVSDLALGIAKCLKYLGYHTLCDIMFGIFMVSWLIARHFLYLTVC YSVWAHTPNIMPTGCFKGARGNLTGPFEPPAEKGIAYLLEPLWDSEGMFCYNETVKWS FLSMLLFLQCLTIMWFSMILRVAVKVIKGAPAEDVRSDDEAGDGEDDGEDEDEYVYEE ARPLEEEVGVEEIDLKNWERRTGVKRQAPITTGVSLPGHSDRKELLGRIGCEKQVE MYCTH_2311045 MNQIRAIQALNKREIENGVSPEASWHADYRDTAFIYFGGLPYEL TEGDVITIFSQFGEPVFLKLVRDKETGKSKGFGWLKYEDQRSTDLAVDNLGGAEIGGR LIRVDHARYKARDDEDLEQYKVGWEDMLRRERAEKGLPSEEEDETDEEDERRARPMIK EERELQLLIQNHDDDDPMKEFLIEEKKKEVEEALRREKRRLEKEDRKHRDKERHRHRA HHSRRHDSDEEGRVRDRYRDRDDLDADRKSRSRRDDTPVDDERRKRRRDTPDPDEGEG RRGERDRERRDDRRRREDRGDDNHRKHRDDHRRRHRDESRDPGERRHRDLEDRRRRDR ERSRSRSPRSRRD MYCTH_2311046 MNTRTPLENPSATVVLSITFNDDCSCFAVGLNTGFCIFHAETCT LRTTRDFNAGVGLVQMMGKANYVGLVGGGRQPKFAANKLIIWDDLKSKAALEISALTP VRGVQLSKEHIVVVLQNSVRVYKFAKPPNLLSAYETANNPWGLCCLSPKRIAFPGRTT GHVQLVETATGNVSIIPAHTSAVKAIQLSPDGELLATASETVSRRSRCCSPRPCMAAD GCFQGTLIRVFATSNCARLVELRRGIDPATIFSLAFNPSGTMLACTSDKSTLHIFDIP HPKRPSRQYLQQQQQQQQSHQQNAGAGAGPASDGGGNGGDGRGKWGILAKIPMMPRMF SDVYSFASAPFEVDDEGDGTAGSGLLGGLPVSESTTLGTSKPPKGVIGWIGENTLLVV GAGRDARWEKFVVQEGPDGRRRCVREGWKRYLSGL MYCTH_2311048 MFTAQKMIRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGKTV LPHKDCDDPSVAHVEDGVKIKPVTVELELDEEGTRISLTIVDTPGFGDQIDNEASFSE IVGYLERQYDDILAEESRIKRNPRFRDNRVHALLYFIAPTGHGLRELDIELMKRLAPR VNVIPVIGRADSLTPSELAESKKLVMEDIEHYRIPVYNFPYDIEEDDEDTVEENAELR GLMPFAIVGSEEVVEIGGRQVRARQYPWGVVEVDNPRHSDFLAIRSALLHSHLADLKE ITHDFLYENYRTEKLSKSVEGGAGVDSSMNPEDLASQSVRLKEEQLRREEEKLREIEL KVQREINEKRQELLARESQLREFEARMQREAAAAAAAAAASQQQAAANHEANGAE MYCTH_110042 MSANVKYTPAPQEDPEHLYTQPPPSYQAESSSAQDQERLFGGPR SSEDNIPDDFKFGGSVAEATIEIRNQFIRKVYTILTVQLIATGVVSALSFMSDGYKSW IQSHPAIVWGSLLGSMIFMFLTYWKRHSYPTNLLFLSAFTLLEAYTISVVVSFYSAPV VLNAVFLTAGIFIFLTAFACQTKYDFTSWMPYLFGALWGLLIFGFMSFFLPYSSTGEL VYGLLIALVFSGYILVDTQLVLRKHHIEEEIAAAVSLYLDIINLFLAILRILNSQNNN MYCTH_2311053 MAGNTNRYSTYTTASVGSGTDSKSGDKGEKKELWNSMLSSVASG RRLPEKNLIVLGGSVDSQREFFETLSSNDRRAHDRNASRVPPVANSFALGYTYYDVLD ADQEDTLARISLYTLTSPSPAFASLLQPILTPQSIANTLIVILLDWSQPWKWMRQLRE WILLLRTVLVSLSNECKETMEEVMLAWRDRGRGGGINLDGTAAVPTGDSEIGLPLGPG EWEDALGLPLCVVCQNAEKMEYLEKTQGWKEEEFDTVLQFMRTVLLRHGASLIYTTPS VPSQLPTLIHSSLGIHSLLKKQPLRHNVIDRDKVVIPPNWDSWGKIRVLRDGFDVDRV SNGWSIDLDQPFPRSRQNGTEGGDGESNGADEREVAEPDPEGSTVALYEESVHDPSMD ALQLAGRNTHSTELEVETVDTQTFLAQQLKVLEAFKQKNEEAAREASHHRLHKKLADD DHLGDHSDAKTDARVLEHIGPVQFNMGGIQVDADDMVQRLKERQALGLSSEPDSPTAE EAVDSTPAMNTENLQAFFHGLMNRRGASAK MYCTH_2311055 MMRAHLEQRQQRQHFERGAAGISGSAFPPLQNRAGESDSPYVRR HADTPVAWQVLDAETLARATAENKPIFMHIGFQADHFCHLTTQDSFSNPSVAALLNNS FIPILVDREERPDLDTIYQNYSEAVNATGGWPLNLFLTPDLYPIFGGTYWPGPGTEHS SAAASAAGGGGGGGGGGSGTGAISRGSAGEESYSDFLGIAKKIHKFWVEQEERCRREA FEMLHKLQDFAQEGTFGAGATLPVSATPVASAGAGPAPVSVDPGDLDLDQLDEALARI TKMFDPVDYGFGTPKFPNPARLSFLLRLAQFPGEVRDVIGDEEVENAVRMALGTLRRI RDGALRDHVGAGFMRFSVTSNWSMPHFEKMVGENALLLGVFLDAWLGLPRDAGKGPAL DDEFADVVLELADYLTSPIVRVAEGGFVSSEAADSFYRKGDRHMREGAFYTWTRREFD QVVGGGSSDDHASTVAAAYWDVQEDGNVAQEQDPFDEFINQNILSVKASAAELSKQLG IPPSEIKHLVSVAREKLRAHREKERPRPPRDEKIVVSTNGMVISALSRTAAALRSLEG ERADRYLQAARDAAAFIKENLWDGANSKGNPLHRFFWERPSQVLAFADDYAFLIDGLL DLYNATLEQEWVDWARQLQDAQTNLFYDAPLTGPVSTDTAPSPRHAHSGGFYSTESET LSPTILRLKSGMDKSQPSTNAVSASNLFRLGTLLGVDAYLIQARETVNAFEAEILQYP WLFVSLLTGVVTARLGVKRVELRKGDEEGLQRWYTTPRAEAAVLILVGDEESKETTAK GQGQSCSSEAGLEERVKGLAIGDRSGREE MYCTH_2084107 MCKFIQREYLCGHQRFIASEWCDVYTMTHKRCPPEITHYEYVPQ LLCGDCKAKNQPPVPWESMIKRHSNNRAISSL MYCTH_39233 MRSIAFLLPALVAAGPIGPVVGNDGWADAPDPKEIQIVSASFSG NGCPQGTVSTSISPDKTVITFGFDAFQTYIGPGFNPTERSKNCQLHLNLQYPSGFQFA VLESTYHGYAQLETGVTGTFYSTYYFSQDASATTTTQTSITGGGIWEQGQVYTKTDQI PTASYIFSPCGANGILNINNRIALTSTNSSAIGLITDDDATVALTQQINIAWRSCGN MYCTH_2311062 MALNLRTGRRALTGALRPFVTPLCHARRSYATAEPDLKTTLKEV IPEKRELLKKVKAHGSKVIGEVKVENTIGGMRGLKAMVWEGSVLDANEGIRFHGRTIK DCQKELPKGKTGTEMLPEAMFWLLLTGKVPSVNQVRQFSRELAEQGQLPDFVNKMLDN FPRDLHPMTQFAIAVSALNYTSKFAKAYEKGLSKADYWEPTFDDCISLLAKLPTIAAK IYQNSYRGGGPLPAEIDFEQDWSYNFAAMLGKGGPENENFQDLLRLYLALHGDHEGGN VSAHTTHLVGSALSDPFLSYSAGLQGLAGPLHGLAAQEVLRWILQMKEAIPESYTDQD VQDYLWATLNSGRVVPGYGHAVLRKPDPRFEALMDYAAARPEIARDPVFKLVQTNSRI APEVLKKHGKTKNPYPNVDSSSGVLFHHYGFHETLYYTATFGVSRGLGPLAQLIWDRA LGLPIERPKSINLAGILKEVEKQ MYCTH_2311065 MEITVDDLPGLLENDTSVKLAGIDADGMLRGKLVSKKKFLSIAQ SGFGFCSVIFGWDMHDMTYLQELKVSNKENGYRDIIAVPDLSSFRRIPWENNVPFFLV SFFDPLTKEPVPACPRGLLKTQLDKLATKGFGAMAGAEYEFYQFKTPLSNGKQSTAAY LQENPPHSLPSLTEGMFGYSLTRPVHNQDYYYDIFNTCRAFKCDIEGWHTESGPGVYE AALEFGELGQMADRASLFKYVVKSLGIKHGITPCFMAKPKQGLPGNSGHMHISLVDAN GDNLLYRGEADPNPPYPDVAYLSDLGRHFLAGILEGLPDVMPMVAPTINSYKRLVENF WAPVTVSWGLEHRAASIRLIAPPTSKPGATRFEVRVPGADANPYYVLAAVLALGWRGV EKKLDFPCPPLGKGEDVGGSSDMGVRLAKTLREANDRFMRKGSIAREVFGDEFVDHFG GTRAHEIRLWDEAVTDW MYCTH_2311067 MVSPTDPLPAILSQAQVSLSSILQARALHASRDAAVTGRLAAVA ARADRLLAVLALLQDCPPLHTPAVRARAHHLMRLAADHASALMVMAADSMRGARERER EEEQEQEPREEELRHLDAVQAWLEGSARELEGLVRSVYVGLGGDVEEGWWVETGELVE VAGKVREVLGCEMVLVENLRDRLREGTDEIIRLDDDDAAALGLPQTTIARIEQWRAGV S MYCTH_2311070 MAPAVGKSYVGSPAGNMPVTDAFSFAFSQPFQRASDFVPREHAL PPIEEQRPIFVDNKSDRALTFGQISQDALAVAAGLRRLGLDPNDIVKLPPTPSCPAGP EIAPVVLIQLPNCLPFAPILFGTLASGMTATLVSPALTAAEIAWILQNARPRAIITAT ACLAAMKEALSKQAEASFFSTVPIFTVDTAADTYPEARPAPPPPSDWRALPSPKPPSV YFYSPTFPASSAPARTAVILWSSGTSGRSKGVLLSHHALNFSLASLWHDADYYGARPQ RQVWLGYVPFYHVFGLCNVFLLAVAAGATVYTMPSFHLETVLRAIRDRGVTYLHMAPP VAVMLAKAAIVEPYARGGGFRTVVAGVTGGAPLGHEVVEEVYRRCGFRVRLGYGLSET CSTALQRGVGEREMREQAGDTGRPHWGVEVLIAGSGEGAYARRPGEKTAAAPVDVEGE VLVRAPGLLSAYLPVGVFSSSGGTAKPDMSATEDALTADGWFRTGDVGALGPHGRLRI TDRLKELIKVRAYQVAPAELEAVLCSSGAVADAGVIGVYDESEATEWPRAFVVPRAGR ENMSRADLEKLAGELKVLVEKRTAKYKWLVGGIVFVDQIPKSPSGKILRRVLKNGGPE TKGVEVKLYEKKKRDSKL MYCTH_2019397 ARQAKPAAFFLAGDSTTAVNGGWGDGFVALLRNGAIGQNKGHSG ATTASFVAGGDWASVLDLVESNKAGGYDCYVTIQFGHNDQKSTSGISISQFQTNLENM ARDVERAGGTPVILTSLTRRTFTNNGVLDDSLADVSEAARKAAAAAAGVALLDLNAAS RAYVQAIGQQAADRYNLADGDRTHLNPHGEAVFARIVADLVVGWKRELAEYVTPDPEM SDKIARGEY MYCTH_61415 MPRESEVPAMPEMPAVTEVPPGKGKCTTVVQRTQALTLHSMGVK TSEIEAKTGVKKEALKSLLRRAKARGYIPGGPIKEEHVANAPKSGRPKVITESVTQVI EQLVTKNSTRRQYSCQQIADTVADQLGGTRPSRETIRRSIRRWRYMHVHKSI MYCTH_2311072 MGTEGELVRDLAQLTRRDVALVGGKNSSLGEMIGALTAEGIAVP PGFATTSRAYWLFVDANGIRDQMGRLLAAWERGEASLAETGRAVRALFLGGRWPVEAE AAVRAAYRDLSARSGSGSGSEQGQGVSVAVRSSATAEDLPDASFAGQLESYLNVTGEE AVLRACRRCYASLFTDRAISYRRARGFDQSGIALSVGVQRMVRSDGAGAGVMFSLDTE SGFDKLVLINAAWGLGENVVQGTVNPDEYQVFKPLLDDPGLVPIIDKKRGDKAVKMIC HSGGGSSEEEEEGKGRGRGRGRKEAKEEVEEEDARRTRNVPTSKAERDALVLSDDEIL QLARWACTIERHYGCPMDMEWAKDGPGGPLFVVQARPETVHSRARARGEAAVLRTYSV GNKGAVLCRGLSIGGAAVAGRVCLIEHARDMDRFEDGSVLVTGATDPDWVPVMKRAAA IVTDHGGRTSHAAIVSRELGLPAVVGTGNATYLLHTGQDVTVSCAEGDEGFVYAGLAD ISARTVDLAALPPARTRVMLNLANPAAAYRWWRLPADGIGLARMEFVIANAIRVHPMA LVRFDRLRDAAAREEIARLTAGYDGQKGGDDDRPGTGTGTGTGTGTGTGTGTGGKTKP DYFVDRLAHGLAALCAVVWPRPAVIRMSDFKTNEYAGLVGGAEFEPAEENPMLGFRGA SRYYSPRYREGFALECRAVKRLRERIGFANAAVMIPFCRTPDEADRVLAVMAENGLVR GENGLRVYVMCEIPSNVILAADFAARFDAFSVGSNDLTQLTLGVDRDSADLAGLFDER DPAVRWMIARAVDAAHRAGRPVGICGQAPSDHPAFARFLVEAGIDSISVSPDSFLAVK QHVAASEAALARDVNR MYCTH_38939 MSGNEKPTSRIAIVGVGQVGAAAAYALILESVAGELLLVDIKAD WRDGQVRDLADVAYGIGSGTRVRAATHREAGQCDIVVITAGSKWSIGETNVQHMHRNV SVMRSVMRDMTPFRSDAIVIVVSNPVDLLTSIAQELSGLPRFQVFGSGTFLDSVRLRG LVADKAGVSADAIHLSVVGLHGDSQVVTWSTATVNGVPLDRFVAPVVSGVELMHADLE DECKFRSEHIIRAKGGTPFGIGSVVASLCSTVLRDKGDVRPVSYYQPDYGCCFSLPVV LGRKGIMRTIAMPLDEREKATVSRSAQRLKETIERLHKSQ MYCTH_2311075 MIAIAVEDDDLMFGGRPLCAWHEEHRRSLISRIVDDSDNNDDDD EDEEEEEEAEKGEEREKEEEDRGEEEEEEEERGRQRERRGRSEGGLHHDRHHHDHRRG QGAPNTRHGDQQSDTW MYCTH_2311076 MRASVLSPVLAGLAALASLVAAAPIAPGNDNPAPSLRPAPPRTR IFAQLLRRWGKPKGKGKGIAGSSGSHGGSKLNIWEPGYVVFERPGPWTPTTTTTTTPT SSSSTSSFSHADWDAAVVMVTVTVTVVTATETGHELGATTEPSATAAALSTDPGTTSF SAELAATDVVVALVAVAGPVARPRPVLCFQLCDVILSRLSSTAIRCESRRSFSFWFCR SMGSFINRIGSIEVETWSRTASSGFWRGICLLFIFPGVLARA MYCTH_2311077 MSNTSSGESTMPTASQSKISESPLFRELRESVAKETVAFTFACG GTIPIVSSPLDTAEDVDGDKLRATECLPIDLRWDPNDESVLSSETKLTFPLEPNTEKN LDRLIKDTVPATFGLGGEHVYDESYRKATKLDPTRFSSTFNPYELGIIDAIAQTLLPS LRHSKQTRSVKAELYKLNLYSGPSGKFKTHVDTPRSPAQFGSLVVCLPVEHRGGALEV RHKGKTVTFDWSNSEGDDKGPSIGWAAFYSDCEHEVLEVTHGHRLTLTYNLFCVRGNG QLGGNYPWLDPTHLPLYKTIRSLVQEHDGWRDGGYLGYNCSHVYPHTSKTNLNFLAPD NLKGADMLMYEIFRSLGLKVSFRPVVTDLRYYDPETGEDAAPVVGLKLEWTTWRFPRD NVEEEYDKWTGKVYSNRRRYYWRSDSESESEPEPTREPGYIRFEDVHWLNNFGHKEPQ ISWVAHGNEPAGVTTYSCCAIIAEIPSRGSQETGNKTVQSP MYCTH_98500 MFNFLFGPPTAPQRVPTDHVVPVGFFDDTIIFRTFVLYTLFVFD DVLDVEKLHSSLERVVARPGWKKFGARLRRNDRGELEHHIPAAFSQNRPAVGFDHQDL SELAVEDHPAGLRIPRPPRNGRPAIVGDPDDLSELVYGPEVPRRLDDYLYSDRPQLGL RVVSFKNSTVVVLHWIHLACDATAKRALLEAWMLMLRGREDEIPEPLAPDNYVLDNIG NDPAKPHVLADRRMSMSALVLWALRNANSLLFRPKEHRMVCVPAAYLAKLRESALAEL NAQATAAGQEEEAFLSEGDVLLAWMTRLAVANISKDSETTIAVQQVYQWRPVLEDLIP ANTPFLSNCLGFLVTLMPAKDVLQKPLGYLASHIRRSIVEQGSREQIEAYASIIRQDP KNRAPPMFGESSMQLLMFSNWRKANMYGLDLSAAAVKPRDAPLMPSYVQSMQGPYNFT DGIIIVGRDAEGNYWLSGYRVKGLWNMMEAEMARENI MYCTH_2068912 MESLRSRSEEGERISEIAHSLPPIPVEGFTRAIQAVSYLLYALA TIIVGLRVYVRVQRSGSQRAWGWDDIFAVVGWFPLWPSIVFLTFATQWGLGAHDSQVP DGMLPYYQIRVKEYMFYFEMIYFASSVMTKLAMAIMILRLRATKRYAYIIWGNMAVLG ANALVCLVIMFVSCSPVPALWNEKLGYCRIKQGWIIISYAGSVVLAMVDWTCAITPFF MLRKLQMPKRRKITIQIILSLGMFGSAAGLVRLGYYHAYDTEKYPNESLYNWGYTILW TVFEGGLGVIACSLPPLGVFFKKFFYNSRGSSGTKSGPATSNVSGFPIFPAEPQFGLG TQ MYCTH_2311080 MSSSNPHQDHPEDDEEVEMLAEDDIQEVVEDVDADGDMAMGSDD EGEEFVLQNDSIAYFDGHKDSVFAIAQHPLFPNLIATGGSEGDADDAPGKGYVIDTSA AASRPVLPASYNSDPSASAVPKTTELQPLFPIEGHTDSINALAFTLPRGEFLVSGGMD GRLRVYAVAASSSSAPQFKFLAESQETEEINWLAPCPSANYPNTIAFGASDGSVWVLT LDASDPSNPIQIVQTYFLHTGPCTAGVWTPDGLLLATVSEDSSLHVYDVWGAAAAKSL VTDNGQTVVSLTDADQRFAVEGGLYSVAVSPSGGLLAAGGAHGAIRVVNLPRLSQPTT SSSSRQQSTAAAQTQAGTILAALAVQEDSVESLAFSPSPAAPLLAAGSVDGSIAVFDA SRSFAVRRHLKGVHDGESVVKVEFVRAATTPAAAGWLLTSCGLDGVVRRWDLRGATAS QAAVAGGAASGLVKEWKGHRGGGEGGGVLGFVQGETGERIVTAGDDGLVLVFEA MYCTH_2311083 MAASRQIGRLGRTLGASVLASRAATPARRPWTCASCSRNTSIRW TSSATDTTVARPFVRLPELFPTHENFANRHIGPDDASAQEMLKALSPPVESLDQFIQQ VIPADILSSRELFPRRRAVFDSAASQAVKEGHQEWEILALGRAAAESNESSRKNFIGA GYYGTITPEVIKRNVLENPAWYTSYTPYQPEISQGRLESLLNFQTMVSDLTGLPISNA SLLDEGTAAAEAMTVSMNVLPASKAKRPGKTYVVDKHVHPQTLNVLRSRAEGFGINVR VMDLSVSDFSELESVGDDLIGALVQYPNTYGQVRDFKALADAVHKQGALLSAATDLLA LTVLTPPGEWGADIAFGNSQRFGVPLGFGGPHAAFFAVTDKHKRKMPGRLIGVSKDRL GNRALRLSLQTREQHIRREKATSNVCTAQALLANISALYAVYHGPGGLKKIAERCSLG ARVVQSAARHFGLDAGSEPVPFDTAVISCPGKAQLIKDLAKDEGINIRQEGADRIIIS IDETVEERDLLALIKVFARAGGNESADALSEYAPLLKQFLEESQSATGLEHIPAPLRR QSPYLTHPIFNTHHSETELLRYIHHLQSKDLSLVHSMIPLGSCTMKLNASAEMALITL PGFSNLHPAAPPEEMKGYQGIISALEDQLKEITAMDGVSLQPNSGAQGEFAGLRTIRK YHESRGETKRDLCLIPVSAHGTNPASAAMAGMRVLPVKCDPKTGNLDLADLEAKCAKH SSEIGAMMITYPSTFGVFEPQIKKVCEIVHAHGGQVYMDGANMNAQIGLCSPGEIGAD VCHLNLHKTFCIPHGGGGPGVGPICVKKHLIEHLPTKQVTNVEESNHPVSSAPFGSAS ILPISWAYNALMGGEGLKKATTITLLNANYLLSKLKPHYPILYTNNHGRCAHEFILDV RPFQESAGIEAIDIAKRLQDYGFHAPTMSWPVANTLMIEPTESESKEELDRFVEALIS IRQEIREIEEGKAPRKGNVLKMAPHPMADIIAGDGEGGAKWDRPYTREKAAYPLPWLR EKKFWPSVARVDDAYGDLNLFCTCPPVEDTTGENPSSVKA MYCTH_2130327 MFGGFQPPQASPEEIRAAEAEATFTLQRVLATAAALYLSPFVID AVSKMF MYCTH_2311089 MFSSLNRPAGQSTFGGGSTSLFGPALGTSTQQQQQQQQQPQSQP QQQQQQPVLGQLNPNPQPGGSLWQPNSHTSYQKPIPEQMKLIMEKWDPTNPNCAFKTY LYNKVDEHTVPLYGPGPNEDPKEWEEALQRKPAPNYIPVLCAGFPSIIARVTLQRRVI VEFNNKLHAINASLDAILSRHDLEHTVRAFNARRRHAELSKRCLVLASRVQVLRNRGY ALSGDEDELKQKLARIDKSIQDPALSARMEELWSRLIILRGYAENLKDEINKPGFADG DGLDEEVEAKAKKILEDYDKQLQHLKTQVEEAKKDFEAWEAQHNPTPAPATSA MYCTH_2311092 MAARKPVFNQQVLYDTTPLPDSIPKVKEIGASSAPLLSASFFIG ARCKDYNDDFMQCKTENPGRGEFECLKEGRRVTRCARSVLEDINKSCLEQFRAHWQCL ENNNQQLWQCRPAEWKLNKCVYDNLHLEKVIPDQPKNSTPVHLRQKQIFAHKWIQRWE KPFVPGQSEASESS MYCTH_2135772 MKSSIFLAGCGAILAAASPILQDRRLYFETDIVTKWVTVTVTEG DQPTILRVLNRPHRTKTITRAEPSTTSSPPPPPPSTSSLVVQDDDVPTATSSPKPPPT TSSVVESPKPVEPSPEPAPVSSEPASAPAPSSTKEVTVPQPSDYASTAVYHHNVHRSN HSASALTWSDEHAGYAKTLAERCVFAHDTSIGGGGYGQNLAMWGSSGDPEAVGATTSV ARAISDGWYNDELELFPASDYGKDNPDMSNFEKWGHFSQLVWKGTEKVGCYTNFCAPG TLSSYGSWYTVCNYYPAGNVAGAYAKNVLPPEGQAVVKAA MYCTH_2039926 ISNHRFIVIACSYCAEYNQVYKMIKKSRRYKAYVRRGRAYNGSS VLVSSLDYIIREQRRLKAKEKEAEALL MYCTH_90577 MTLQSSLCLLWYQTEHSRCLYSVKEGVLAQAGLPDQSCVYRRGR LEFIDKNYLTTVFQWHYQNAMKDAIAQDAGLGCGTEPPCLDVLNHTGLKYNENAPNGV SDEVMLAIGLESEIRRVRKELSVLETEIAAKCGEDSKANQTG MYCTH_61442 MIHPSSQQNRLSAEHVEVLCSAISSETGRKFDVTDIEVPFADLC LDPFAVMNVLETVRERTGIELPASLFRDCSSVAEIANKLGATTISAPSGSSISPHGGL VQAAFADIGKLLGKVEDEAGTSNFWSDVHPAQMRLVLAYVNESFARLGSPLSGMAPNT PLSIPPGVLPKHHRVLRGAIFDILKIGGLVERRGDRYVRTSTPVDTTPAEVIYEKLIR EHPLHANTHRLLHVTGSRFAECLSGEADPLKLLFGKSKDLLQDFYTNAPMSVVASELL AALLRTVFSKISREQNRAVEILEVGAGLGGTTQFVLDMLVEAGIPFRYVFTDISASFL SAAKARYKDLHPGSIEYLVLDIEKEPPGHLQDRFDAVISTNCIHATKDLIVSCTNARR LVRPGGFFALVEFTTRLYWLDLVFGLLDGWWLFTDDRTHCTTNEAVWKAKLAASGFSD VLWVEGEGGRKPNPQLIIGCTE MYCTH_75296 MARTSLWLLLCACLSQVSWAATVRKSLRLTWGEGAPNGRSREMI FINGQFPGPNLIFDEDDDVEITVHNDMPRNATVHWHGIAQTGTPWSDGVIGLSQRPIL PGESFVYRFKASPPGTHWYHSHERMSLEDGLYGAIFVRPKQNLKGLWSQISNDTKDIK AMEKAARNPKLMVLSEWTSFTSEAWWKAVQESGLLIFCVDSILLNGQGEAYCPPHEFL INQTNPGPKMTSFPDQNVTDKGCFPLTAPGIQGPWVHNSSDITKVPNHLQWGCIPSSG SNYTLEVDPADGWVSLNFIATQSNKQVDFSIDEHTMWLYEVDGAYVEPREFVAAAISS GERFSVMVKLDKTPARYTIRLPDSGATQVLSGFAEMVYKGAEHSTRQSIPYVTYGGMS ALPITDTMSYTPYDLSSDTMTPWPPVAPAPVADEEFLLVMGRAGSTIKYTMNAKYLYP PDFKADRPMLFYPNDTLGTEDENLVIRTKNGSWVDLILQVASLPGDEMAFQHVMHKHG SKTWRIGSGVGPWKYSSVAEAIDAEPESFNLVNPGYRDTWMTVFATEPTGGYWTVYRY QVTDPGPWLFHCHFELHMMGGMSMAILDGVDAWPEVPPEYRLTKPSSNNPWKNILEWL RDLLDRLKGGRHSKA MYCTH_113600 MSETKTMSEEVKEVTVTKADLKSKDFVPRGNKSSSPFNTSLYLG LRAVDCCWQYLVLSQGYGSYVIRLLRGAVIPTAAAAETGVGLIDDLGLSGFRLVLLLM NVLAAAKHFWFVLRVAEEAWTLPGAIIVGLDNIFFDTLNNFLFLCAATSATSHPSGET LSNPYLLAGFSLFVLGIGLECACEIDRKRFKTDKRNKGKPYTGGLFAVVRHPNYAAFT IWRAGLAVATSGITAGLLIATFFTWDFSNRAVPALDEYCSKRYGQMWADYKKKTRFTL IPYVL MYCTH_2311112 MPSPTHNSAHTETNISIEFGGVAPQSQGQTLAMPRESTEQRIGS SSAAPLTTANGEKDKEEAAGEVKEAEDESKYPGPAAMAVVMVALSFAMFLVSLDRTIV STAVPVITDEFHSSDDIGWYASAYQLTACALQLPLGRFYRFYPPRWVYMALVLIFVVG SAIGAGAMNSTTIIVGRAVQGLGLGGVLTGSIILVTENAPLRKRPIFFGVLMACMNIG AIIGPLIGGALTSNASWRWCFLVNIPIGLAVIVILFFFVKSTPSKDHDRPWADKLKHL DPLGAALILPCVVCLVLALQWAGSAYSWDSWRIILLWVLGGLLAVAFAVAQITRPEVA TIPPRVAAQRTVAASFWYQTLTGGVMMVVTYWLPVWFQAIQGVSPVESGTRTIAMVLS QALGSIMGGGLAQLIGFPSPVMMAGSTLAAVGSGMLTTLSVREPSAHWIGYQILVGLG LGFGTQQASLAVQTVLADEDIPSGISLIFFGMQLGGSVFVCIAQNLFNQRFVRLLTRA AIPAVDDPSALLSASGATRITDVVENEADRARLLDVYNEALTSTFYVSVAAAGAAFIG ASLVQWKSVRGYKPRMH MYCTH_2069815 MASSDQKLLCLNILGYKKPGISSEEYRNYMVNVHAPLVAGLMKK YGFLQWSMFANVAPYDCCVQIVFPSIECFVRMKADPYFKQTIGPDHEKFADTRRSQMM IGWFTPLMKDGQLVGPTAGADIVVANGGEEAKG MYCTH_105482 MAGLSQLIVFGDQAVPYAAELRRFIAKREDFTLAKLLSEAYHAL RAEIARLPYSQRTQFPSSSTLTELLNAHCSSSTTPSCALDSALACLHQIAAFVSYFND TGKKYPKSPSSCLVGTCIGLLSAFAISCSESILDLVDLAPDLILLAFRLGLVVQNRTA SVVASGLAAGSSSTTASDSTSVSYAVSGIDGATAASLVDQFCRSRSLAPAARVYVSAV GNGNVTVSGPPAQLRAFLSQHPDLKSARMAMSGLFHSAVLYEPSHIAAVLETLSPRLR RSVGRLDIVSNSEGDPLIIASGMAAEQTLHAILSDILLRPMRWDLVTQRAAELFEKSS SEPSPELDVLPFAAGSVQGLATAIRESRRGGEATVTVADTAGRPRIPSAVAAAAAPGT GSQRDRAKIAIIGYSGRFPEADSNEEFWNLLLAGLDVVKEIPKDRFDPHLYCDPTGKK RNTSGVTMGCFVKHPDLFDARFFGMSPREAEQADPAQRLALMTAYEAMEMAGFVPDSS PSTQRNRIGVFYGTASDDYREVNAGQNVDTYFVPGGSRAFLPARINYHFRFSGPSFDV DTACSSGLAAVHIACNSLWRGDCDVAIAGGTNILTNPDNWAGLDRAHFLTRTGNCKTF DDGADGYCRAESVATVLLKRLDDALLDGDPIQAVILGALTNHSAEAVSITRPHSGAQR AIFSRILESADVDSSDVSYVEMHGTGTQHGDACEMDSVLSVFAPDYSSRRHQPLYLGS AKANVGHAESASGVTSLIKVLLMMEKGQIPRHVGIKTRINRNFPTDLDRRNVRIAMQT TPWPRPEEEAGDAAASSTGRPRRAFVNNFGAAGGNSSVLLEDAPPRRPVSGREDPRPL HVVAVSAKSQSALRRNIRALADHLGANPGTPIGSLAYTTTARRVHYNFRAAVTGRTID EIRRGLLLAETKEHYATQNGEVPVAFCFTGQGAQYLGMGRRLLEIPQFRSLVANLDEI ARLQGFDPVLPVIDGSCSNSSSSSTTTTPLETLLLPPTTVQLAMTCLQMALGKFWISL GLTPKLVVGHSLGEYAAMNIAGVMSDADTIHLVGTRAALLEKHCRVGTHTMLAVRASA SEVASLIAARANNRNRHLEICCVNGPEETVVGGPNDEIESFASYLRGMSIKATQLKVQ FAFHSAQVEPMLEPFRRSCDGVTFRDPSVPLLSPLLGRVVTAASDLGMPSSYLSRHCR ETVNFYDSLRAAKSTGAISDKTVWLEIGPHPTCSNSLKASLASSSSSTTTTTTTRIFP TLRRGEDDWSVLVPTLASLYESGVALSWDDYHRGFKDNLTVLRLPSYRWDLKSYWIPY VHDWCLTKGLPPPVQCNHHELTPKALPAKPKEPFTISVQDLVEEKYGSDESRIVARSD AQHPEFAAILRAHRVNGQPVCSSAVFADMALTLFARLLEKSPVAFDKTDLGVEVRNMV ADKSLILNNEPSQLVEMKAQVRWSTRQATFSLSSIDPRSGKQTAHHAKCTGTYSPISG WKTEWGRRQYLVQGRVDHLRQAVDEDDSGVSRIKTGMFYKLFSSLVDYEPPFRGCREL IMRSADFESTAKVKFDNTPAGTADRFKYPPYWLDSLGQITGFTMNANDTLDSNEQVFI NHGWENMRLSEPLSDALTYQTYVKMQENGDHRSYVGDVYVFNPLSNRIIAVYEGVTFS AVPRKILDKVLPRPAAGAPATTTTTTSTTTTTTTTAAAAAATGSKPVLMPSPPIAKQQ PAATSVAAADKLRAIISEEVGAPIAEVVDSVDLADLGVDSLLALTLSDRILEELGTKV DSASFISGLRFQDLVKLVTGGDQVSVSDAPSSPAAPFIEQGSAVPSPQAVAAKESSRG GRAEAEAAADKLRAIIAEEVGAPIADVVDDVELADLGVDSLLALTMADRILEELDTKV DSSLFISGLKFGDLVRIVTGGATAGGGAGAGISESSSSTSSVSSSTPSMSSSPPSGPQ AVLRDEEAVAVPDRSGTDTPLSSPSLSPTPRSDSDCYFVDSRDVDTFTRKPPARPLPP PVFRHSPSSLSASRGGRSGRLPRVASSFATATTDRPRPPSSFTAKLTLAWGDDDDLAA AAEPEPPAFKCPPATSVLLQGDPSAATKTLWLFPDGSGLAISYLDIPDLADDVAVYGL NSPFVKNTDGMDRCRFDDLVSAYLTELRRRQPRGPYLVGGWSAGGLCAYRAAQRLRDQ YGEEVAGLVLIDSPRPGGRKRLPARLYDEFVRRGIFGTAPGRKPPPAWLLSHFTGFSS MLDTVDLLPWGGGGGGGGPRRRSLPTWIVWGANGVDEEETIEIRPDDPANMAWLLRRR RPEQLGANGWDALVGGDRIRIEVVQGANHFSLMRKPAVMQLGSFLKKVVS MYCTH_2311121 MGPSSNSHSGVEDHDSGAQQSTGCKAQGKSVMKTKLGGSEIIKC KDDLFFSLVDGSDNY MYCTH_2042719 DSTNKFTGSYIRPHTNLTEICEGLSLLWRAGVSPSKVVLGPGWY GCTRSSGTLSNAEIKRVLASGAGKESYDATAGVRWLTWNTDQRVSYDDGVNVQQKIAL ANNLCLGCIMIWALDQDDAKGSSKKDLLGTGPANGISEEAAESYMKQLANATLQKAVA SSCYWTLCGGGCNHGL MYCTH_2135780 MNLRAERIQQLTPSHQFCDECILKLYRQRLLDPWLPNCSTTLPY TTSASALFIGAAEPTATTISGGVTATTDGPTTTPTCDGQVVEPIENPGGTFPKPNATV TAPGATGSPTYYKPATPAHPTESGTISECGNYYLVVSGDDCFTLQAYNPHLTDDCLNL WLSYDVCVAPVTPGFGDCCSPYGICGSTSDYCSGDNCYSGVCTPRCCWRCCCCCAVQN PRANTN MYCTH_2130345 MRRCWPPATEYTAGRGLQVAPGEVCNITNAVEVLLATLVCHRDV LPPPTGRGHRRQAQQYRRAAGILGVGWAFTSYRDGVITGKEEVPSYRPDERGVHAIKR AAGQLRATLLERRIYMRRCPVGSASDKYFVWRPGLERNSNLFIVRPAREPEDITYS MYCTH_34819 IDVGQDKCKSAPYGEQISTQGLATCIGIVAYGKYGADTDINKVM AHCSPGNVTYVIGHEFVTQVRASGMDISGISMSCATRTVNDDGPYRMSDQDLRQTIAN RHQIYPDQVTSQQIQELRAGMRRQLDSAEATAKLICMDQLGVSPTVYRRRNADVASLY PFGTVVATPSPQGIVCIDGNRVARIPDSAAPAPAASS MYCTH_2311122 MGNNPNQTPLLFNDVYFSRWEQQIFPSPSVWAPFPRLPAELRLR IWLLPLQQHRMIEVDLHPNTTGDNDTPQYADRNHLGRIVSGRSRSYTSRLRGRGSYAP SLTPLLRVSREARAAALSFYHIHLPLGTGQVLYLSSEYDVVYVRPRRPKPIRRPPEYD PQPEFGAILVDFLHDARAYDYKDQGVRHLALDGEIRHYLLGWFEERVNLTPEMLHPAA ATSFADILRHKLRSLLCLVNFRGFTRGMGAPPLGGGWRSHFAQTFPLRRRGHVTGAFH WLQADPRPGVELDLRQVPIMDDPHLMLRNWRRLEHLFGVTRNQQASRENDDDCGFCLY VCATQEWSTPDMRRMDWAGTWNVREMKAEAEAVWSRDELAQYLQYEAEDWDEKRWYPA HVFGSTVQYPKYSSDSREEAEIFEAMEKLPCTAIGMWLFPAEAFPPPTIPQLLSFNLS AVRPSLLLFQV MYCTH_2140707 MTAMTGSKPEQPDSPPKQLHIGAINPFALVEALLGRKLDWDKTS TGYLLASILQTDYEELFDMRFHSILYAGIRLNDRGDKAEPIPAKEMHTLTERDLVTPD FSKVEKLGDLGKMGIKDLESIRVKHAAMSNGNLRLTLEPRAVGKTLRNSEMTTTLREL CTPFRIHKEAEWTPPNGTWTDLGACYSPTAAAFSNQVQGATSNSWLIAALTSVAWSDP ATIKHYAGRPASSNHASCCSRGGGGGGGDDDDDVVAGSASECRLSIKFHSKGGDKDAP TRTVTVNCELPTNKSSSMLMYCRPSSVNAMPVSRVRACGGGDLWPALYEKAFAQWITT ATTDDDDDDEGIDEDSHDGHGLHHGHHGHHGHHGHHHDRSEEEEVRQQRKHQRPDLTQ TAHGDPVKAMAQLTGREAQYFFTDSRTGADLLGLVRAHCVNQQAVYPAVAWTRPSHPA FRGCTLAGNVAYSVLGWAAPQERKRYVILRHPWGVTEPEGLASGYYPGVVGGSGSGLD VRFWPPAAMLDSRGVFAVEAAAFKEYFAGLGVAK MYCTH_2311126 MGIGDYFKAEKLGSKPTPTPASPPREHGRHQQQPSASEDHPAPS VQPASELQPPTPRFSSRPQSISGRSVRSTGSSVLDEIKHEVMVNYLYQQQCSHLWISD GSGEIEGVLLRKARGQYMACPPQLVNSPLAAACTALNVQCAMTVNSRVIKTFLQWSPD AVDVPLMNGMRVQILATIDDLPRARKHQFAAFVASEGLLIVWDDDALHLVQRAKAIES ELMELVWKVGAEDNEDEKGVAAVEEPEVDEESGELKPEKRPVHLLNAYLVSLSLILVT VSLGAAFRQLAIEVSVDGNYVRLALVALFPVQMFFTLFFAQVIVGCLAQIFGPIRQLT VNSKFYSARPPPRLRSSVLPHVTVQCPVYKEGLNAVIAPTVKSIKQAMSTYELQGGSA NMFINDDGLQLISEEDRRARIEFYADNSIGWVARPKHGENGFQRRGKFKKASNMNFAL MISCKVEDKLAAIQRTPDWTQHDEALAYERALKEVLEEDGRAWADGNIRIGDYILLVD SDTRVPADCLLDAVSEMELSPDVGIMQFSSGVMQVVHTYFENGITFFTNLIYSAIRYT VSNGDVAPFVGHNAILRWSAIQQVSYEDEDGYEKFWSESHVSEDFDMSLRLQCAGYII RLAAWAGDGFKEGVSLTVYDELARWEKYAYGCNELLFHPIRKWIYKGPFTPLFRRFLF SNIRFTSKVTVISYIGTYYAIAAAWIMTSINYFIMGWFNGYLDKYYVDSWQVWFSIIL VFNGLGNIALAVMRYRVGERSILYALYENFKWTFLLAVFLGGLSLHLSQALLAHMFEI DMTWGATAKEAEFSNFFIEVPKVLKKFKISMLFATIFIAGMIILAVAPFIPYSWHIKD FVAILPMATVAASHLLLPLVLNPALMTFSW MYCTH_110061 MDNNNDSFGRPATNNMPSMASTAAPTPQRPGTPNESVGRNPFGD GVESQASHRSFGQNGNPFASPSFSRPPSSFDSSSALGRFEGGGARYFHSRRVRKGEIE KPWTKQSDPKEKWVTILPIIGIIIGLGISGFLVWDGIRSVVKHKYCPVLIEDWSQGFN EKVWMKEVQVNGFGNGEFEQTTGGDENVFIENGNLIIRATPRDDALMQQNYKIDLLKD GTCTSTNPANCIAATNITTGNSSIVPPVLSGRINTRRGASIKYGRVEVTAKLPAGDWL WPAIWMMPVDSKYGPWPASGEIDIMESRGNNYTYPQGGNNIMSSALHWGPNPANDAWW RTNVKRQALHTTYSAGFNTFGVEWSQKYLFTYVNSRLLQVLYVNFDVPMWSRGNFPVQ DSNGTRIDDIWGHTGRPQTPFDQPFYLILNLAVGGTNGWFEDNVNGKPWLDRSPNARK DFWQAKDRWLPTWTQPQMEVSKVVMLQQCDGDEDL MYCTH_2311136 MAAPAPDLEREMPAKRRRVRKGTRSCWECKRRKIRCMLPAPGDG ACIGCHHRGVACVPQDVPEDPFPVKKDKRRLGERLALIEQTLMKDFQVLAGNGIAGLR QTDDQVALKVINILGHHV MYCTH_2130352 MTSVGEWPYIGGFTDTSLAFYIAAIFNAGSTLGRILPNALSDRI GVFNAMAPLTLPLASSYSACSPGVVIALPPLCFRVLTENKAMIGTRTGQGFAIGGLGL LLAGPSAGAILGTVEPRNWTGLWVYGGVTLCAVGLILFGLRFMKSGLALMVKC MYCTH_2311140 MFPSRLRVAVYDLLRKVGALLYAQPDHATVHRLPFGLYLKRQTD PAGSRNKFAALQLLRRHTTVPVPRPLDVAHKPASRADPFSVAETYLMTTRVPGVPLYR CQHVLSDADLADLARQLAAHLAQLRAVPRPIRRIKPFPDAVICNTLGEAVRDPRIRGG EPVGPFADEAAFSRELMFPEDPARRGHRVVFTHADLNPRNILVERRSAGGHGQGGWVV SGIVDWENSGFYPDYWDCTKAFFEGFRWSRRYNDMVRAVFAALGDYEKELEVERRSWE LGDGI MYCTH_40891 MRLSLCRLASAVLSLTFVGDALPGASAQAASRTTPPSGCLSVGS GRQYSTITEAITALGSGTSAACIFIYPGTYNVADGVSIKYKGPLTLYGSTSDTSKQSA NQVTFTRNKGSADAGSLDASATFNIVSSNFRAYNINFRNTYGTQGQAVAVAANGDKQA YYACGFYGYQDTLYAKSGRQYYSNCYIEGAVDFIFGAAAAWFGECTVASNGGGYITAN SRSTTADTTWYAFDHSTIRAAAGISLAGKVFLGRPWRVLARVIFQNSELTDVVHPEGW TTMAAGATPEFREFQNTGAGSNTSQRKWLTFPTTAGVTKTQLWGSDWKTWIDTAW MYCTH_90594 MKFSISLVLPLLRLAGLAWAGCQDNADGFAALNGGTTGGNGGTV VTVNNQADLEKYAKASGKYVIKVSGKINISPKGTEVDVANDKTIVGIGATAQISGGGF RIINRRNVIIRNLRIGNTDGGEELDWDGIQVDTSTNIWIDHCIFETIGDGGIDLRKDS DYWTVSNSWIKGVNKAFGIGWTDNVVTKGTIHHVYFDGTTQRNPSADNMLYAHMYNNY LRGCKSYGHYARGATNARIENVYFEDCKNPLTADSEATLTAIGNIYDGTSGTIAKDIG VSFDPANFYSYKLNATADVPSIVLANAGPKADVCT MYCTH_2113155 MAPHLLAGDGPPELVILIVEACESLRDVLALTSTCRAMHHIGRA HAVDHLWSELDGETPCLEEALIAVRMTELVADAERRGVLPPLRFSPGELSRSRRRPTI PELQAALALSRLVSVLELAIRRTNPFTPGVTFLRWLPDYPLVVKGREWQARVHKAIYR VLISAAALAGAYIEPLFAAKSRPGLELIVTSTTRGQLSERQLEFLEQFAVCNMNPAPA AEEDVFGTLGSWLLETILSDRKGREAMAKRFDEGYGRALYCKNRVSCPVTAVEGGNHS DAHFVAWEIMQMLWVREHLGRSVSRQRPAHDAGNGPFSADKNREDRSGTASTAARAVF FGVFQTEEVDSGDLDPEGAPNPFARLAYIPAQDATRYELEPIVDHNRENMLRPVNVWG SSTAIFFPWIRGCSGRPNWNLHDNNQAAGSPVAPLANKFFEYFLRRHLGLRLLSSSFD NSTDPSYLAFLGSLAIFAHDDVLVREPYKGPNASVISDASYLDGTELLTKSDPPAVRV FRHQSDWFSPE MYCTH_2311147 MTVPIKPPDGAAPAPTQAEVTSLLDTVFTAKTSNASIEACYGLC ELLLNSVGFHGLHQYGILSEVKKAAADKKSGLRRESAQNLLGALFERFPPRQPISEVV LLLQDGGMLDCALDALADKGAVVRDAAQYGIDALFANLSVEAMVAGLLPALIQYIKKS GGKWQGVVGALKLMQKMADKAKPIIGSTKEQAQEQDLLRDAMGSKLATLIPVVENGML DMKSEVEKQAVKTMNSLTALLSNDDVAPRIPLLIDTMHHPSAETLQKAIHALSQTTFV AVVTSPVLALLTPFLERSLNNPTTPQEVLRQTVVITENLTKLVHDPIEARTFLPKLQP GIKSVVDRASLPEVRELATRALGVMDKAMGNEKTAIVERTTADDVAKVLDDEIKKNGG LSGGSTAYDLARPYICEMVSEDVNHRQLDRIPANITPYLRSLTPAAEAIAASVHKFYV EEDHRKYGVPEKEDDGEVEIVNADFSLAYGGMLLLSHTNLRLLKGHRYGLCGRNGAGK STLMKSIASGKLEGFPPQDVLRTCYVEHNQGEDADISILDFMCKDPTIAKEGRERISA VLEEFGFTSGPEGRQSQKVGSLSGGWKMKLALARAMLQRADVLLLDEPTNHLDVANIK WLENYLKTHTEITSLIVSHDSGFLDEVTTDIYHYEPNKKLAHYKGNLAAFVKVRPEAK SYYTLSASNVQFKFPPPGILSGVKSQTRAIIRMTNVSYTYPNAPKPSLSDVSCQLSLS SRVAIIGPNGAGKSTLIKLLTGELIPTSGKVEKHPNLRIGYIKQHALEHVEMHLEKTP NQYLQWRYAHGDDREVHLKQTRILSEKDREQMDKFIDVGDGKGKRQIEALVGRQKYKK TFQYEVKWRGFLPKHNTQISRETLLELGFDKLVQEFDDHEASREGLGYRELQPSVISK HFEDLGLDPEIANHNEIGSLSGGQKVKVVIAGAMWNNPHLLVLDEPTNFLDRDSLGGL AVAIRDFKGGVVMISHNEEFVGALATEQWHVLDGRVTHRGTSQVALDRFEDSGASKPA SAVTSGLNSPVPSSSVVSSAVNSGAEDNGAPPAVTGESMKFKAKKKKKLTKRELKERE VRRRLRHIEWLNSPKGTPRPPDTDDEAE MYCTH_90598 MSDEAVMDERFRAGFDAKILSGRATTRGAWNSCWFGGGFTDSLS VKAAKPRNPDGNDSYLIGEYQSRASHQSVAVGVSVGRSKSDVLYLSCSSPQSLPHLPI TRPERAESGLGTVSLSVPLSPCRAGNPHLVKVSRTSMQAFGPKRLAAHPAAMSIPSVG MTKWRREIIPRTDRKKNSIEVSGTSSSVIKRTPSAKRCLRLNTGIIQFQNVRSSIVSD DSLPAS MYCTH_2084160 MDSPARANEPMALDNMPRSRSRTPRSQLSRSPSPPMDRRRSYDG DGNFRSPARNGRYRSWSRGRSLSRTPSRSRTPSRSRTRSRSYSRGRSYSRDLSRSRSR TRSDSPPPKSTKIVVERLTKNVNEDHLCEIFGEYGEIDDLDLPVNRQSGLNRGTAYIL YFNEADAQAAITHMHEAQLDGSTIHVSIVLPRRKVSPPPPAARRGPRGPPANVRSVLG SSGGGGAPGGRGWRSPAAGGSGRYGHRSDVYRPRSVS MYCTH_2311150 MAAETAEMEADRALMASRESVREARENVRMLELEAKEEVRRAKI KEHHAKEFSKRGKLLGSKWPDFVTAVD MYCTH_98521 MQFCVALLLGVVSGVWAAYWMEDLHRQGLAPFAEASRYSVFRNV KQWGAKGDGAINAAISDGDRCGGPDCVGSTTTPAIVYFPPGTYMISSPIFSYYYTQII GDPTNMPVIKASQNFPTNVLAMLDADRYMDNGRLNFLATNVFFRQLRNLVFDTTAVRG TITGIHWPSSQATLVQNCVFKLSSREDDTHVGIFMEEGSGGMMADLIFHGGKYGARFG NQQYTMRNLTFYDCDTAIEQIWNWGWTYKSLKVVGSRVGINMSSSDVGSVTLLDSSFV NVSTALISGRIPGNKIGLGSLLIQNVEYKNVPTVLAEADGRPLLLGDANGTVYDRGYA RGNTYAPNGPLWLEGHEFNFSQPSTLKIGDRYYERSKPQYEDYSSSDFISARDHNAFG DGRTDDTDMINKVIQAAANSSYIAFLDAGYYRVTDTIFIPPNTRVMGEGLATVIMGTG EKFSDPNNPRPVVQVGKLGDTGFVEINDLIASTQGPAAGAIMIEYNLNTPAAESMCSL GSPPSGMWDVHVRVGGFRGSQLQVAECPTTPERLDYVNPSCIAGYMGMHISPSARNLY LENSWIWVADHDVDDWNQTQISVFVARGMLVQGSRIWLVGSSVEHHALYQYQLLNASD VWMGQIQTETPYYQPNPPASYPFTQLNDSIRDPDFTVDCRERETENSLSSQGNPSCAM AWGLRIIGSQNVVVFGAGLYSFFNNYNTSCSTVESGENCQARIFWVGQDTSDGAERQG SAEGEEMLAVEVYNLNTIGSARPAMLAPERATDSASG MYCTH_2316405 MHLSTFVASLLLPLAAVAEGLSTITSTSTMTLTKTLTLQRAVVT VASNSSTGHTSHSTTLTLASSTTSTGPAATSTSATTTTEEDKNAAPAMGASQLAALGV AGVVAIALL MYCTH_2311157 MAPGVPPHDERAQQQPIPNRPETKLPPIPHGSRVRKRPLPGPSP SARPRTTGPLSPDELRASADSNGYIPPPRAPHTAVIKISSGASFMSLVRRARKALDKA PSAQATKGQSLAARVAALNKAAARDGNKGPTTGEVADDVLLVATGKAIGLAVDLAGFF SREKNLAVLMRTRTLSAVDDVVAIDEDADVEDQVRVRYVNCLEVGLRWSS MYCTH_2311158 MSVDIWPRIAPDALKTAVETTEKRELDWLVEELREILTNLKHGL EDCYALLAPIDPGSTLVLSTPRNEIVKGHITRVGTRIVKGTIHLRMRTLPYQTLTINP EHPIHLTPLTNLHSILTHSIDLLKLTLSYSYPTATATTPLPSDLPPPPNFLSAQLRLL SQSLSEALALLKGPPLTTADTTWTTRSVAPSHFSPPLPPIVGGTASGFSSGSSPSLSF YLTVQDSLLVLWLRAIEPADAPVNFGTKLALAIGTARRLEHDEIDKVFGYCCGGEDHA PPAAGGPGPGGGGGTGPAGSPGAQPIPLSGTREKKPVDVFVREKVRVESADPSLLSLS AKLSALSHTLALARRNFAAVLGEELEGED MYCTH_2311160 MLSQRVVRAATAAPTIRTFTTSLAARSGRTPALGDIQPGQHENF NSRQKTFRAQLVEAQKQREASGSASSSPFSSSSISSSSSAQGLGSLSTAATGTGNAAR AAEHEPPRKPGRLTNLIYGTKEGRELDAQIEASFSQILARGKYVHSIEFHEVKPDCVD EYVELIGNWYPRVASSPENKVHLVGSWRTEVGDCDTFVHIWEYQRYQGFHASLNTISR NPEFPDFDKKLRRLIHSKRISLMQEFSFWPTSPPRQLGGLFELRSYKLHPGNLLEWET HWRRGLKARREVMEGVGAWFVQIGELNTVHHLWQFADLEERKIRREKSWSIEGWAETV HKTVPLIQEMKSRILVPMPWSPVA MYCTH_2316407 MTWFGIAPFKKFPTPVLRPMAPFFAAAAVIAYGVNSAQNAMMQS NEFKNDPRNPNLKAAH MYCTH_2311164 MSGLSSGTASGTGSPSWTSPSQTAVNTPATGSSTANSGSNTPRS LKKIRILMLHGYTQSGPIFKGKTNGLQKKLAKSFATLGFEPVLIYPTAPNKLTVDDVV GWERRQPAIKDFEPDTWAWYRSDELQDKYLYLEEGMNRIAETLRQAREEARQEGDEDG GVDGVIGFSQGGCMAGMLASALEPVHKPRAAAERAHERWLETVREANGGRPLKFVVVY GGFRAAPLELEWLYNPKISTPTMHWIGSLDTVVGEERSMGLANKCVDPVVLNHPGGHF VPIDPKYVSALVSFIHQHIQEKKDQKAQAADEDAGKDGEGLD MYCTH_90607 MFPGSSVKVGENLVTNRSYDRPIPTNKGGDVDNKGRFVRGFAYE GAGGPEDKTAHVYQHNPGEIDEATVRGWGKDLRELERAALLRDDNVLPADQAVGARGR EPAGQGEVSEQGRLAAKANVGISAESRREVPAQESRGSQFKGEYYQTPEFVPDQRADQ GAVPPASVTETSKNI MYCTH_39167 MVSWPTARPAFGSHHQLPQPFDNGKVRPRKWLADTATNEPQIRV QDSPLDHEPLPGRPMVPVSASDWESKKHIIRELYIDQNMFLNEVIEIMICKYNFKATA RMYKGQFAKWKWTKYNKPGKPGPIRPTRSAVGKKKSLPSRRAQRGKGWKSTSRERQQS TPLSQPAPLQYFGDEERLVEAALSAYAAMISHWSEQETPWRTAAHDGGGGGGGGGDLL RAGALGIEDRSILEQVRCAQDYFLSGRTQQGGDMLRRAFLSVEAALSGDLTVEALWDC CLAVPQLALTTGWTDVLSIFVRYLHQYTSIKLPHHP MYCTH_2311167 MAPKKDDETWKAHWKCFVACGIIVLCPFQYGVDFGLIGGLQAMR GFLEIYGHEAPETPLGWNLGTVRQQLISSLMTLGAFVSSGTAGLVATYVSRRQCLWIA CLFCCVANVMMMATENIGALYAGRFLIGLANGYFMTFSQLYLQESSPARYRGLFLTAF QFFTSFGTLIGTIIDWATAPRPDKSAYLIPLGIIYIVPALLTVALFIIPESPRWLILT GRYDQGVKSLRWLRPPASDVDAEAAEIKAAIDRERELGSSVSVLDMFANPVDRRRTTL AVCSVTLQAASGSMFIIAYKAYFLGMSNISNPFGMSNVLSTMGILAILFNSLIVVRYG RRRVILMIGLALCGIFQLIIAVVYDKRPGTTSTGQVLVAFTCVYMMSYNGMISTYAWL AGGEIPSQRLRSYTFGLAAAVGFFFAWLTTFTAPYFINPESLNWGPRYGYIWFPSCVV AALWVFFFLPETKGRTLEEIDEMFEARLPARKFRKHVCVGHLPARDKVDSPDAVEGPP SPAENEKKSASDIKVEHAETKA MYCTH_90610 MAANTSEPPSFTFGVEIELLVKPKENAELSDEFEKYGVDFKLQP IPVDEKDTSPAARKLRADKEHNQRAIRLALSAGLEKAGLPAALDGSIYDTWYVKKEGS LDEVVDKQNGGGYWAIELVSKILNTESLEWVTELQRVFDVVTKNFNIYLTKGCSMHVH VAPRPEWTLPALKRLMKATGVFDEAITKIMPPDRKTNPWARSNFYDSTKHTATQALKD TFALVPSEGWLPLFRYIDGISSMAFVPGKWGGDRNVSWNISAVVSGGGGTVEFRRPPG VKTAAAAQKWAAFTLAFVCAATQSSSWVDRWQGEKKHAGVGELQSFVTEGLRRLRWEN RGVLTPAVLKEDNSTAIPPSAFGEELIKRKLEKGNRTSAFEEKMISSRQNSPASSRPN SSRSGSPGPAAAASAGAAQSGTGVSGGSRPSSPAGGNRNRPATPPPAPPAGGARTASP AGGGARTASPAGGARTAPPVGAARTASPTGGGARTASPPANNNAAGARTAATRAAQAG AAARQTTARRP MYCTH_2311169 MSALHRKIVKSIAGRTGSSDDEEDEVIRQRWQAAMRDIAAGTFK QPVIRVPVILNPNGPVSSAEELQQLAELESVPETVESVVVRENGVKTERPVKISHVGL EEHRRLEERANVIRDTECCIRVMFEGKERYATVIQSLKEGVSLRRDASRGPGSHDRGD GKVGESEDGR MYCTH_75329 MKLSAAVLSLFAAASWAAPTSSPRRFSVMALRSASPIHFATVSA TKNQLVLNLPGDKVDSQCADGETHTAATLYIKDGELFLYGPDDQVQQFFVDRSGMGQG VVQYFNRTENNVPGGRLELKGWAVDENDNLNFDGNSLLACPSQTDSSWTVWLALGIDR PGGNEGCLGFTARTLTSQDPVPCTYSSH MYCTH_2311172 MTSHQVHVANNSGEDIYVLASPNLHWAIVDIAVDTALIAVGVGE LGVALQGIKAGGRLKSIAQLALCLKNWGALATATGVLGSRKATEIAEILRAARKANEE INRASIRIPHGNFHRVINRDWIDMYAQISGYAGMAGARTVQLLVKNEDGSQSALFCTG PDHSWIATDRGSIVRANYSRIWVEEPGGRSVDWPKPAASSPVYMDDGEISSGDQEEDE EYGFEHAGIDDDDDDDNDGQEPFAYHSW MYCTH_90614 MHTAGLQPEKCGFSVCPNLDHSREAFPNNNFSGGTKTRSQRSWG RCAVYLPEINLYEELQDLMGINTMNGLGFVARGPAAGRTLGFSDVPQGPSTMPSRQTR GKHCVAEVLQSYEKRSCDIPFNLPESAFTADNAASGFATLNDFKEANVW MYCTH_2130377 MTKQDLDDRKKSDGLASLIVRSAQHLTVTTIELTTVSFVVILFG TAWCWKDKPSDVQTTIPIKSTIHIDEIVASVSPFTRRVCTKPWDRVPGDMFLLMDFDL QIALAWRAASLYMIIFGISGCLWMGMWVWVNFPRIRHAEGPELSLFARSLEVKFLDLH RAPYRDPSLPRFGQASAKRPTWTRNLLDVLDGRVYPDRVSCWVESLPSDAYLTVEWLS FLPHG MYCTH_140261 MLFSSVLLSFVSTVGLAAVVTPRGPAPSSLEARAAAGDRLVFCH FMIGIVGDRTSAADYDDDMRRAKEAGIDAFALNIGVDGYTDQQLNYAYESAARNDMKV FISFDFNWYSPGNAAAVGSKIAQYASKPAQLLVNDRVFASSFAGDGLDVDAVRAAAGT DVFFVPNFHPGQGSLAAVDGAFNWMAWPNDGNNKAPKPGKSLSVADGDNAYLDWLGDK TYMAPISPWFFTHFGPEVSFSKNWVFPGGSLIFDRWNEVLQKGFPMVELITWNDYGES HYIGPLSSPHYDDGNSKWTNDMPHNGWLDLSKPFIAAYKNKDTSVDKYITDDQIIYWY RRTLGSLDCDATDTTAGRPANNDSGNYFQGRPDGWQTLEDAVYVVTLLTEPGTLTIAS GDQVVEQEVPAGANLVKVPAAVGKQRFSLSRGGTVVLQDTSLMDISNVCPCGLYNFNA YVGTVPAGFNDPLGEHGLASLTIGLHVTTCSATPSLGTNPPTTATTTATASTTTAGPI TTSTTSTTSTSSGTTSAPSPSKTTSEPTQPTSTSEPDLPCNGGTNADGETGNYAGLCS FACSYGYCPPGPCKCTSRGTPGTPPPPSGRDGCPLPGEGDGYKGLCSFACSHGYCPET ACQYC MYCTH_75334 MAVANLVELSRDRSAGGWLLALGAVAATYYVISSIVAWWRLREF KGPFLASFSYMWLGWISYSGRMSEYMAKAEAKYGGLPPSTIRIGPNELLTSDPDVIRR SSGARSRYTRSNWYKAATLDPYDPAMLSTLDTAAHDRLKAQTAPGYAGKENATLEPDL DAMLGQMTDKIRTKYAAATPGDVKPMLDLAMMVQYFTLDSISKLAFGEEFGFLRAEGD IHGYLETVESLAPTTVTILALPFLRDILTSKFMLSLLGPTPKDKRGMGVFMRIGRSIV DKRFAREDPGAIQDMLVSPPTLLGSFIRHGLTRRQCETESLVQIVAGSDTTATAIRTG LLYLMTNPRAYRALQAEIDEGIRDGRISSPITSAEAAKLPYLQAVIYETLRIHPPLTA TPFKVVPPEGDTIDGKRVPGGTLVAPDFWTTSRNRALFGPDVDVFRPERWLLAPERGG GGGGGGADRAEMRRVAEMAFGYGRWMCAGKMIAFLELNKVFVELLRRFDFQLVYPSRP WKCVNYNLFLQREMWVSVSLREEPKPLEKN MYCTH_61486 MMWPSSVRASGAAGAALLVWSALVGNAAAQVHTDCYPMNQTCPP DPAFGLDVNFNFNTTPNVDVWETQVGPVTWDPENGAGFTITKQGDSPTIRTKFYYFWG RTEVHMKAAKGTGVISSIMMLSDNLDEIDWEFFGGNKTTAQSNYFGKGVIPDVPNAVY HQIPGSVSDDFHNYTTVWTKDYLDFYIDGDKVRTLLPQDANNSYYYPQTPMRLSIGIW AGGDPRLPQGTRDWAGGTTDYSQGPFEMLVKSAHITDFSSGKEYVYTDRSGSWESIKI VEGNSTVKEILEKPPEKSLSEKWADLPQGSKIAIYACASGFVGLLFFAGLFYCIRQRR RGAREAKAAEARAEAERLELERYRKAGIDPDSFASDSQAHEYNAKEMRRDGFVDDDSY SVHDSPAANPMTPASPLDHRYDSAAATALGASAAGGAAAAAAAASSSPTRSPVVPRLS DRAQPPRVGTPGPPSPRHAPSSPGQGSVRSPSSPQHYPEPPSRSQTSPRIGSPGPQQM AHPQPQRSFTTDAYRDPGHDGQGGGGYNGGNGQGYGQQGGRGNDGYWGYAR MYCTH_2121074 MCTEYYTSVCPACGKDYLVYVEFCKAFRPSLVSCPNGMGMSRIA MEQGGCPSRICPNSPGGGCVLM MYCTH_90619 MTLKTLSAKAAAALDRELMSTGAFSIDQLMELAGLSVSQVVHRV HPLSKGKRILVAVGPGNNGGDGLVAARHLRHYGYQPTVYYPKRPKNDLYQRLAKQLED LEVPFVDDFPTALQSADHVIDAVFGFSFSGEVREPFPAVIQAMAESKVPVTSVDAPSS WDIEEGPPKSGVGSNFHPDVLISLTAPKPLVKHFKGRHFIGGRFVSPAIAKKYDFDVP AYEGVDQIVEVGPDGLKL MYCTH_2311189 MVVKIRLARFGRTNAPFYNIVVAHARTARNSRPLEVIGTYDPIP KKDTYDESGKLHKDIKLDITRAKYWIGVGAQPTDTVWRLLSMVGILPPKYRPAAPALN QPTPESNKA MYCTH_2311192 MPAAMSHFGVMLGVLLIVWCGLTSAFGLYLQARCARYLDRGTSS FFALSQITYPNAAVVFDAAIAIKCFGVGVSYMIIIGDLMPGVAESFGSADWGLPFLDD RKFWITAFFLLFIIPLSFPRRLDSLKYTSMVALLAIGYLIILVAYHFAADEIPNERDI RIITWEGPVAALSSLPVVIFAYTCHQNMFSILNEIKDNSPGSIVAVIGSSIGSAAFIY VLVAITGYFTFGNDVKGNIVSMYPPSVASTIAKAAIVALVTFSIPLQIHPCRASIDAV LRWRPGSSQRSPSVASVTSASGGSQPLLPAAGLASAPSPSALDSHGAPVAVMSELRFA LITSAILVLSYITALRVSTLDRVLAYVGSTGSTAISFILPGLFYYKISDPDSIYHQRL SKEDDDAVYSDEADGRGLDLDDCDNDEEDALATSVTSLRSAASLAGSAAARSRGGRGG RWRRKWRWDLEHLETGLLRKLAVCLSAYGVCVMVVCLGMNAFFSH MYCTH_2145354 MDNTPDQTTLATISLLEGRLRRIEHILYGPTTPPTQPPQQSAVA SLVDLEHRFNQLIRHFRVYADILKIYKSHPSLFQPPSTARGLTTTTSTGQPDPPLPPT QLSPEAVRATVLSYASAFPTTASALTAATTDTPIPDAKQSAALAALTPRLRAVEALQR AQEAEMAELRARSEKAVRAWYEGGVLRCGARLADAEGRLEKVERGVRRAERARAERDK V MYCTH_2316413 MEYSRPQYGRKRMSMGNVIGDPFALATLSIATLAWVISFVGTVV AHVQLPGDFPTYSYWTLVFFLLAIIGIFVVVASDSTQTYHVAIVGYLGCGLVLATSSV SAMIHNSNGALEAASAGYILLTMVTIVWIFYFGSAPSAVPRAYIDSFALAKESTSTNR HTMTGYGNRRPETSTSVQPPQMYTSQLNGLENPSPVGGMASTMRNSTTPGAFTAPGAQ KSAAQQSVNPDAEIVPPTEYPYRAKAIYSYEANPEDANEISFSKHEILEVSDVSGRWW QARKENGETGIAPSNYLILL MYCTH_2311201 MSTANPALGYSDCVSSLRTSLSFLESSVDTLGAGVQDFPRLSSV LKTVRHYELIPQTTLAAAEASLRDEIGPFIALLLDRAEKHLERQARRIETLKARAELN AGRLSHYPAPGRGGGEQEGGKGRAKGKPLDGGAALRAKVVRQRKEALKYGVERLELEV LQKERELRMRLDQQE MYCTH_2135800 MEWVHDEQPAFVSLPSSSTSPSYAPSCDPLQSSLGNDFELLNPF TWDQAAANTLGDHVDPASITAATAPPGADGHSHFSSPELDLDLAHCLDAFSTPVFDFF IPPSTSPLPAPPLSSATTSLGSTPATTNLQSPSPTPPSPEDGGTALIPGLKLPRAKTR TLSSKPGRRPAAASLLQTTGGRITKHGSHGAPAASSSVAAAVASAAAPEDVDPEILDR RYRNNLAAKRYRQKKIDRIEQLEKEVTDLKQERDDLRIRLARQEAEVAALREMLKMKN SERSKD MYCTH_2113181 MWGHSTEEGLRRSAADVLPETLHNRAEEAQAPAPGEGESGEATR IPPSPEVVEGTWGERDVGGPVSQREAMHDFEELAIRLSRTRSHAAASQRSAKSRSSFF RRITSRASGTSKAAAASTAREKPVDDEETATSAGAEEEEEGSDGQDVFPLEQFMREGH LEKRTESGESAKKLGVVFKHLTVKGVAGGTTFVRTLPEAILGTFGPDLYHFLCRFIPA LRISRGGELRTLIHDFTGVVRHGEMMMVLGRPGSGCSTFLKVIANNRESYAAVEGEVS YSGIPADEARKRYRGEVVYNAEDDQHLPTLTVGQTLKFSLLNKTRKNLRGDVGTIIDA LLRMFAIKHTENIIVGNAYIRGVSGGERKRVSIAETLSTKSTIVCWDNSTRGLDASTA LDYAKSLRIMTDVSDRTTLTTLYQAGEGIYELMDKVLVIDQGRMLYQGPAKEARKYFE DLGFYAPPRQTTPDFLTSICDPNSRQFRDGWEARCPKTAEELEKAFRESAAYQRLLAD VRDFEEHLEKTGHADMQTFKDTVREQKSKRVRPGSNFTIPFWKQVLACARREVWLIWG NKTELYSKYFTIISNGLIVGSLFYNAPSSTAGNFLRAGSIFFSVVFLGWLQLSELMKA VSGRAIVARHKEYAFYRPSAVTLARALVDLPVLVCQVVIFGILLYFMTGLDLNPGKFF IQLLFMYITTFCITAMYRMLAAMSPTMDDAVRFSGMALNLLVIFTGYVIAKPVLLSQK IWFGWIAHVNPLSYSFEAVISNEFHARTLECAPENLVPQGPGVLPVNQGCAVPGAQPG SASVLGDDYIHASFDYTRAHLWRNFGVVIAFSVLYLIVTTAVAELFSFATSGGGALVF KRSKRAATAQRVKAASSDEETGNSSGNSSSTEVSAASHGSAGGFKGIAGSERVFTWED ITYTVPTPAGPKRLLNGVNGYAKPGVLVALMGASGAGKTTLLNTLSQRQTVGVVSGNM LVDGAPLGSDFQRSTGFVEQMDLHEESATVREAIEFSALLRQSRDVPRQEKLDYVDKV LDLLELTEIQDAIISSLGVEHKKRLTIGVELAAKPALLLFLDEPTSGLDAQSAFSIVR FLRRLCAAGQAIICTIHQPSSDLIQQFDKILALNPGGNTIYFGPVGKDGSAVIQYFAE RGIHCPPGRNVAEFLLETAIKGGRRPDGSRINWSDEWRSSPENKALIAEIQQLKAERA SARGQQERQVGDASAGPVQHEFAAPVTTQILLLTKRMFIRQWRDPSYMYGRLFTAVVI GIFNGFTFWKLGDTAADLQNAMFSSFLIIMIPATVLNAVLPKFFTNFALWQAREHPSR IYGWVAFCTAEVLSEIPGSLLAAALYWLLWYLPAGLPIRGPSAGYVFLMTLLFFLFQS SWGQWICAWAPSFTVISNILPCFLVIFCLFNGVVVPYTQLNVFWKYWLYYLNPSSYWI SGVLSATLAGRAVHCTPAEAAHFNPPSGQTCLEFAGDFVRQAGRGYLMNPNATSDCAY CPYVDGTEFLETIGISPDTKWRDFGIFLVFCFTNWMLVYFFIYTVRIKGWTFGFGPLF RVVDKVVGAVKGLFKSRKSDKETVA MYCTH_75351 MDATRVSDWEAYLKGAAPCRFPNFGRPARDAAAGAARQLSSVRV EVEQAAKLFALSSSDPDRFAAVLRTAWALFLRCYTGQDDVNFGFQLGGHDTHDPIVAR FLLDGGEPIARTVDRTRAQLSGQLPPVPSRFLRSSDPDRSIFDTAVVLWSFTAGSTPC PVLEPQHKIRLLAKRGAAGLGLFLEWNSSLLGMSPALGALVASTLDHILSGILTSAPE APLSSLEYLSRANLDRVREWNETYEIDPVERCIHDVIADRVRERPDEEAVCAWDGSFT FRDLDAVVGIMAAHLGTIIEHRAYCSGARRHAPALRFDDTCRVLQFAAHTFDASIVEI VTTLMVGGCVCIPDEHERLNDLAGAMNRMRVNFAVLTPSFVNFLTPAAVPGLRRLVLA GEAMSRSHVATWSRLELVNGYGPAECSVAALANSSVGPETEPTDIGFPCGVRVWLVDP ADHDRLVPVGCVGEMLLEGPSLARGYLNDPAKTEESFVLNPRWASSEANSPRRFYKTG DLARYNSESGSFTYIGRKDTQIKLHGQRIELGEIEHNLAVDESVQHALVLLPKKGPLA NRLVTVLSLSTPWIPASEDRKPGEGFLNLAGNPSLTEPILESIRSRISERLPAYMVPS VWLCVEAIPMLPSRKMDRKTVATWVETVLTAEQCQGIIREQHAAKNNAASVNGNVGNA SNAHTLSEAENRLRDIWSLVLNFPADQIDPDDRSFLSLGGDSISAMACASHAKKAHLN VSVQDVLRAKSLRQLAAAAKPMSQGPGGRAENGDGGNAGVLNVPFELTPIQQLHFEVR GGAHGDEHFNQSFLLRLARRVETSAVRHAIQVVVNRHAMLRARFARRDDGRWKQFITS DVEGSYRLRTRRISTRDEADAGIASAQGCLDARRGPLFAAELFTFQYSGEQMLFMTVH HLVVDLVSWRVLLEEIEELVENPSARAVHESLSFRQWAALQIEDCADKPLSQVLPSAD QVPDAQFTYWGMHNQPNLYGDVECQGFDLDAATSATLLNECHFPFGTETVDLLLAALI WSFQATFADRAPPAIFNEGHGREPPREDIDIARTVGWFTTLFPIALSSPASFADALIQ VKDIRRRVPANGRAYFAARFHTPEGREKWAPRHKNMEVSFNFHGRYQQLERKDALFQP AEGALMAGEAHPGSPTADFGTRAPRFALFEISAVIVHGALRFGFAWNGGMRHQDRIRK WVANCRGVLTEAARTLPKLGRTITASDLVLLPKVTLADLRTFEQTKLPGLAGERGWDA IENIYPASPIQQGLLLSRTKDGNFYAVRRTFQLRLSGSDDGSVNAQRVVGAWKQVVRH HALLRTVFVDAISQAEAGSYDQVVLKEIEPPMIVRECISEAEVRILVDSLEPMQYTDQ SPQHRFSIFYSSSAVACVMELSHAIMDGASMDILLRDLGRAYEGSLERLPKPLFSPFV AKLQRRSLEVDVAFWKDYLSGVEPCHFPVLNDGIDVPEEKRELCTLRVEVPGLAALHG FCTRTGFTLPNALHAAWALTLACYTGSDDVCFGYLVSGRDAALECSQDAVGPFINMAT QRVKLGGVGDDQPSLMRLLEAVQRDQLDCNPYAQTSLAEVQHALNMPGGMALFNTCIS YRRLEPKPNASKGSLVCEDIAAIHDPTEYPISLNIEIDNDGKAAIDLDYWTDAVSSAQ ARHVTATFIQALQNIAENAEVPISQLDNVHDSTKKDIWSWNAKMPATTFDCMHRMVEK QVALRPKAQAIRGWDGDFTYEEMNNLANRLARHLVKLGVCPEALVPVCFDKSAWTTIS MLAVLKAGGGVVPLDATHPASALEGKVIDAGARVVVASEARAMLFEAMVPHVVATGPG LLAQLPSVSGTEEIPSGVTPENPAFVMFTSGSTGKPKGVVLCHQALVSSCLAHGSALG IGPHTRFLQFATHTFDNSIEEMFTTLIHGGCVCVPSEEDRLGNLPGAIDKLDANFMDL TPTVAAMLRPEQVPKIRGLCVGGEALTREVLDAWGGVVPVHNQYGPSECSINATHKLH LDQNGDVSNIGTSVGSVSWVVDPKDHDRLVPVGCVGELLIEGPILARGYLNRPVETAK AFIQMPKWAKEDPYHTEKGLRRMYKTGDLVRYNSDGSLVYLGRKDTQVKLHGQRIELG EIEHHVKTILPATAQSSVELVTPGRTKKALAVFVCLSPDRTEEARILPMDPEFCSFAQ TMAAALMARVASYMVPSLFLPVSRMPLTSSGKLDRRCLRTMAQELSGSIADYRLGAAT GGGRAPETPMEKQLQELWASVLNLPAESITADDNFFTQGGDSVGAMRLAAAARQRGIV LAAASIFQSPKLSDMAKTATGRGMDAVTTNGGGSADSPVADEPLPGPTPPFSLLKDMA SMSLQELQHSVASICCIDVDSIEDILPCTPLQAGLVAASQRQPGAYVAVNFYRLPVGV DLARFKKAWQDVVDSEAILRTRVVFVENIGFLQVVVRGDISWDTAASANSLPETHRHL PPHDGGILSRYTIIDENSVQPTFVWTSHHAVYDGWSLPTLLGRVEARYQHPKAPVIPT PSYSRFVEHVSSLDASASDAFWTEKLSAPGAAHFPQLPHPGHRVQATSQVTRSVRFAK RKGSNLSMSSLLRAAWALVVSIYASSDDVVFGEILNGRDIPVAGIADMIGPTLASVPR RVHIDRAMSVQQLLVDVQKQLNDAVPHQFAGLQRIRALGPAVAAACDFKNLLVIDMAD EVPESGLWSEMTGGGTAQGSDFFSLPLNVTCTFGRGGNGVEEIQMRAIFDADVVPQWQ VIRMLGQFDWILPRLSAPQAQSVKVGDMELLSPEDKATLRGWNKIPGPLVERLIHDLI GDQMARRGASEPAVVGWDATLSTGELDALSTALAGELMSKGVGANGSRFVPFCFEKST FAVVAILAVLKAGAAFVPLDPAHPVARLREIVGDCDAKVVLCSPKYQSLCAEVVRTVV PVDLANLKALERASRPVPKTGVSHTAFCSGAAAHGPAMLMTPPFRFLQFASYTFDASL VEILTTLMLGGTVCVPRDEDRTNGNIAAVMEQMGVTMTLLTPSFARVLEPASVPHLKT LILGGEAMSKSHLETWADKVNLVNAYGPSECAVVATVNPRMRPWSNPANLGRGLGRCW IVDPQNHDRLAPVGSVGELLVEGPTLSDGYLKNEAKTREVFIENPKWALDDATRYSDM QPAAPRRMYKTGDLVRICDDVLGEMAYMGRKDNSQAKLNGQRLELDEIIHHLVSDNAV RHALVLLPKTGPCANRLVAVLSLREFAGSSSGNLDLVTSKEAASVVDKVQDRLREKLP AYMVPSTWMVVESIPLLPSGKLDRNSVARFVEGISEETLDKINAAHSAGQAQMTKATP SYTAVPIDERLKSIWSQVLNVAPERVGQNVSFLHLGGDSITAMQVMAKCRAQGIRVAV PDIISSKSVHELALKAGLADTQQSNARKTTATGEDHYEFDPSPIQQLYFEAMYPAHHG KSHDQPDMRFNQSVLLRLAKNTSPHELGRGLHALVETHSMLRSRFRRDGAGNWRQRIT SDVAGSYRFKTHVIGNASRIDRRIQNSQAALDFKKGPLLAADWCVIGKDSKEVYVFIT IHHLVVDVVSWGILLQDLEDFLATGTMKPSTSLSFQTWTRKQSELAQMEKNGSSLLPH HEASTIDLEYWGMAGFPNVHDDAIPTGDIELDYDTTASLLGPECHAPLQTDVLDVLLA ALLQSFRNATGGRRGVPTIYNEGHGREAWDDMIDLSRTVGWFTTLYPVHLPDEASSDD DILAAIRWVKDYRQRLAGKGRPYFAYRLLTSEGREEYDLGWPVEVVFNYLGQMQQLSR TDTFLQPLDDGIGQGVNTSSDIGKDVRRLALVEVSAVVVGGRMKLSFVHNKHMKHQES LRKWVEECRSLLQDAPARLMQHTPEKTLSAFPLLPLAYYGIENLDQRLRDAGVCLSDV EDVYPCSPMQRGLLISQMRDPEKYGYKAIFQVEPSNGASIDLEQLLDAWQSVIRRHST LRTIFVDTVGDESLMDQVVLRSAPGRTQLLESSTDEEALHKLRTVKSMDYREKKPPHY LTVCRSQTGHIFCRLEISHAISDGSSMPILLNDLMDAYGKSAVSKPVPPYREYIAHIQ SQPRSQSVRYWKNYLGGAEPCIFPSLADGASSERPSLGEHVITFDGMSQLNEYCGSSG ITVSMLLQFVWALVLRAYTGADEVIFGYLASGRDIPVANIENAVGAFINMLVCRLHVS PETEVAEALDTMRTDLTDALAHQSCSLAEMQHELGLPGAVLFNTGFTYQKRIEAGHVG ERHSPQPTLRYRVLNAEDPSEFAVAVNVEATEKAVEVHFSYWRNVVSDAQIKNVAATF EQALKDLVGNGADDRTVGELDLVGRAGVDKIRSWNDYELPRVERCVHEIIEQHAFNRP ASTLAVCGWDASFTYRELDRAATALARYLVSEGGVGPEVFVPLCFEKSAWTVIAQLAV LKAGGAFVNLDHSHPESRLRQLIQDVNAEIVLCSPEHNAKMNNVAGKVFVVDARSISL LERAANSGVTPFRSTVKPSNPAYVIFTSGTTGKPKGTVIEHAAFCTGALAHAKAMFMH SDSRVLQFASYTFDASIMETLSCLLVGGCVCVPSDEARLNDLAAVIRNMDVTWTLLTP SVASTVKPESVPCLKTLVTGGEAMAAGHIERWGTRCALVNAYGPTECSVVATTSTKVD ESRRVHNADRSNIGTAVGGRVWVVDPENYNRLVPIGAVGELVVEGRLVARGYLNNKEQ TEKSFIQSPEWKNLPGFPRSMWRDGNRMYRTGDLVRYNSDGSISYISRKDTQIKLNGR RIELGEIEFHCRSGLPDDAQSAVEVLSPSTGRSTTKALALFFSLPSTEASAPSFSLLP MNESTRKLALAVETHVSAHLPSYMVPQLFIPVSAMPWTSAGKLDRRQLRRAVEEASRD VVSGYRLCAAPADTKHREPGNETEKKLQSLWESVLGLPTGSVGPEDSFFRLGGDSLTA MRLVGAARAHKMNLTILDIFEKPVLADMARACDGLGAVAAPELELKPFDLIARSKLND LLEEVSALCGIPRSNIQDMYPCSPLQEGLVTLASKQAGAYVAVNTLALPDNIDLHRFK ASWQVVVDETDILRTRIAHTASSGFLQVVIAPEPIVWHNESSLEVAVAKGQSLGLGSG SALTRYAIVNEPAGRHFVWGIHHALYDGWSLRLLARRVQDVYNNAVSGAQKAVTLSSA PYVGFIRYLRGMNIAASENFWRDRLRDSSSITHFPQTPFSVASQSDTPRFRAETRRID IRRTGALGDITTATLIRAAWAILQAAYTGFDDVVFGETLAGRNVNVPGVEEMAGPTFT TVPTRVRLDREMRLDEFLQGLHTMASQLVLHQHLGLQHIKRLDSDCFAACEFTNLLVI QTTSSATPQSDKSQQHLDDPDWDFQGGSSTESFFTHPLVLECTATDNSIEATFYYDER VLTPWHTKRLVYQFQAVLKRLVEKSAVRDAKLRDIQAISPEDVSLIARWNRASQLEAV EACIHDLFLEQASAQPDQIGISAWDAELTYSQIRDYASRLALHLRQLGVRPETLVPVC LERSAWSVVVLLGILMAGGAFVPLDPAHPSSWHREVLESISPALIVCSPDFASRFSGH VKMSLPVDGTMLCNLPSSHRHELPAAANPGNTAYVLFTSGGTGKPKGVVITHRNFCSS SDAFTRVLNMDASSRVFHFCSLAFDVALLEVLAPLTIGGCVCVPTEYDRLHNLETSMA QLRATWAFLTPSVANMLNPDIVCSTLKTLVCGGEAMTDETVARWADRVELMNGYGPTE TSVLAVVNPHVSTERDHTTIGRGTPAARLWIVDPKEGRNDQLAPVGAVGELAISGPLV SPGYLGDPEKTSQVFIDHPAWATREQLAGVTPPTRIYRTGDLVRYRSDGKIEFVGRRD GQFKTNGQRIELGDIESHLSTDRHVLIAAVVQPQKGPCKKQLVGLVTLNSMARVPVPS DDDPAGMALAADGCHPLDGPPEVMARARDEISQIQARLADMLPHYMVPAVWIVLERMP CSLSGQLDRKRVTEWVEDLDSAEYERIARSLAVHPGDDEEEVSEIAEPVQLLRQIWAE ELDLPIEHIKLNQSFLGLGGDSIMAMGVVSRARNAKIKLTIRDVLRCKSVVHLAELAK GLPSSATPRVQKEEPEEQPFALSPIQSLYLKSAVQHVGNARFNQSFTLGVSRRVSVET IKQAIASIVQRHSMLRARFEKTHDGRWMQRVMKMSGSAYHCLEHHLKSRSEMTAITAA AQSGLSIKHGPVFRADLCVVKNQDHTVVSMVAHHLVIDMVSWRILVQDLRQLLETGSL SPELPLSFQSWCAQQFAHCKTVNSENLLPFEEVPTDLNYWNIQGPLTYGNTEIETFIL DEETTRLSLGPSNKAFRSEPLDLFLASIVHAFAETFIDRSVPTLHIENHGREAPEGSS IDLSQTVGWFTTICPLVVPIRASNNDILDTLRRTKDVRRSISGNDRLYFTHKQLGGAA SATWSPMEILFNYLGGGVGAQKHEQADALVRQVDIDDLDASKVADVGPETRRLALFEI SAIVVNNRLRFDFMYDRSLGRASDVRKWISACKDNLQEMATTLAGHPEEPTLSDYPLL PMTYDGLRMLTEVALPRAGIDPVSFSQIEDIYPCTPVQEGMLISQLRNPDAYIFHAVY KIANRNPGHIVDARRLARSWEKVVHRHAALRTIFIESVRRGGSFDQVVLRRVASTPVL LSSSDSEAMDVLSRATFPAAQRPPLPHRLILCTTHSGRVLMKLQVNHAAMDGGSLAVV LRELASAYIGTLEVSPAPPYSRYVQFICNMPAGEDSNYWMRYLKGLKPCYFPSLNSSS TTLVTDKRSLRATAVRFNRYAELRRLSEQMQITLANIMHATWALVLRKYTGCDDVCFG YLTAGRDAPVEDVERIVGTLINMLCCRVQISKGKTLVDVFRTTQDEHIQSIQYQHCSL ARVQHELGMAGKPLYNTSVSIQNHTESGEGGIGEAISFDMEYGYDPSEYAITVNVETT RDSEGIVLRYWSDHVSDNQAEEVARTMTQILEAFINRPALSVRDLDAELRGNSMSDPG LAEDFMLGRTSGVTPRSTYTSERTSSDKYGTPLNEPAIQSGCAKILRSLWSALLDLPE GSISGHDSFFDLGGDSITAMKLAGAARDQGLSLTVADVFRNPCFDAMVASVRPTTDVF SIGSELALATTGTLTPGSRKSEIYERFSLLAASNVDAFLQTSIVPQVCVFRGGIMDVL PATDFQSLAVTGALLESRWMLNYFYLDGDGPLNLVELKRACFRIVQALDILRTVFFTV VKQRSSNRHRILLRLSHAQYDGVCFPKILAALQAAYGDEPVPRPPTFANYLRASAGAL TSEHYEHWKELLEGSTMTEIVRRNGPSYNRNIGSAPASLKQSVRLPTVGSGHITTATI VKAAWAYVLAQLSASSDIVFGHTISGRNAAVDGVGNMVGPCLNMVPVRVQFGSGPNWT ARQLLRQVQDQQVANMSHEVLGFREIIRHCTSWPRWTYFSSTVQHQNIDQGGQVRLGN VNYNVGCASAAQEDFADLSIFSRPLAGDDKYEIILSFAEGGPIPRDFAGRVLEMLCST AQLFATDPDLALPSAEDLRGRPQQLPFDEVAPASNDTNPDLDDTALHSQLQHLGDKQL ADLTARVSEAWRQVLRLDADQTTGTAERAQPKPINMDDSFFALGGDIIGLAQLSWLLG QEQQGTTAPRLEDLVAHPTLRGHVALVAKHIPVPAEPSPAKKEMQKAATFPVMHLKSV KSESSTKFTKVINGLVKRKFTTKKKGEQPVTVS MYCTH_61507 MAQPSPVSCVRVDDSFGPHAGDCRGGFDFTLLFEETILRLAPAG LLLIALPLRVWYLSKLSRKLVSAKRALPIKIVAWILLGSLQLATLVLWALPSAARTTT TLPSAAVVLASTVGLCVLSSLEHTRLVRPSTSIGVFLFATLLCDIAYTRTLWLRAGDQ LHHNIAILATAAVATKASILVLEALDKRGTFRPEFQDYPPEATSSIFNRAFFWWLNPL FKQGFRRDLDVDDLFLLDKHLRASYGFARFQSIWNSITEKSPYSLLWASYRVLKWPVL QTILPRACYTALSFCQPFLINHAIVLSQEPVTNVSTQKGYGLIGAYILVYGGIALAMG QYQHRTYRSIAMLRAGLVSIIYRKTSTVSLRGIDPATSMTLMSADIERIVQGFQTMHE IWGNSIEVALAIYLLERQLGVACVVPVAVSIFSLLGSIVAMNFIMSRQAMWLEAIEKR ISATSAMLSSMKGVKMCGLKDTLLSSLQQLRVDELRISKKFRRLIIWNMLFAYMTQVF APVLTFLVFSIRARDTGDKTLDTARVFTSLSLFALLSEPLASLVMALAAYLGAVGSFV RIQQFLESEERPDMRKPEAEPTEKPRIDESAADAVVVQGGSFGWDPEKEPILEDITMS VPWRKLTMVVGPVGCGKSTLLLSILGEVPALAGSVRLGSASVAYCSQDPWHMNGTIRQ AIVGCEEYDEMWYARVVHACSLRRDFRELPLGDSTKIGSGGIALSGGQSQRIALARAV YARRKIVILDDVLGGLDNTTENHVFHSLLGEKGLLRGMEATVLLVSSSAKRLPYSDHI VCLGSDGKIDSQGTFAQLDAAGGYVSSFCLPRADWAYTPEDEDQRPEVALEKLPPSLT TVEDRSGVEGDSSASSTSSKTAYNNNSNNNNNNADGGDGMSRRTGDIQIYLYYIKSVG WWATLIFVFAISGFAFCLSFPTIWVEWWAAYNEDDPNGNLGYWLGIYAMLGGVAIICL VLSCWQMIMTMVPRSGEKFHFALLSAVLNNPMSFFVNTDSGVTLNRFSQDLQLIDMEL PISALNTFATLVMCLAQMALIGYGSVYAAISFPVVLVSLYIIQKVYLRTSRQLRLMDL ETKAPLYSLFEESLNGIATIRAFRWQDKLNDKNHELLDRSQRPFYLLFSVQRWLTLVL DLVVAAIAVLLVVLVVALRGTLAAGGVGLALLNVIQFSQNIKLLVSFWTTLETHIGSV ARIKSFTETAVPEDLPEENQQPPPGWPSAGAIEFSNLSASYNRDELVLKEVCLSIKAG EKIGICGRTGSGKTSLIMTLFRLVDMQGGSISVDGVDISTLPRQEVRSKIVAVPQHPF LLKGSVRLNADPMGQASDQDITAALQCVQLMEAVEKNGGLDADIDDLNLSVGQKQLFC LARAMLRPSTILILDEATSSIDAKTEETMQRLIRRKFANHTVIAVAHRIETIMDFDKV AVLDAGRLVEFDNPYKLLESPGSAFTKLYCAALAEEEEDLDKKRPT MYCTH_2311234 MKFQLITAAAAIFAATAAALPAPRPDSQAIDVRTDDLIDETSVE AVEAADARDLDTLDTRAPLDLGGDHDVTAEYAAIEARDTESVEGPEPFDLGGDDDEEE YAAIEARGFEDAEAADDESQDAPVEFDLGGDDEGAEDEDAEDVVDNEGDHFEAIEARD EGSAQESHDFDAEAETDDATEDVSTEDVEAPEGGVTDAADSEATDADEDQDLDE MYCTH_2046354 RAGWDVEVFERSQFKNEVGAAITMTPNAALVLSRWGFDMEKAMA MPNRSLVIRSATDPSVTLQRQDFADGDGVLKNGVWLFHRVDLHSELRNLATAPPTDVI PAAPAQIKLGYKVEGLDCESGVIRLANGQTIHKDLIVVADGAHASV MYCTH_2311235 MDIVMADPELGSVYSSEHSGFVAWLDPSTRAFWVSYVCRGGKVL NIALVHDTQPDRDEDGVWHSQVPREEVLSVAQNFHQSIKRMIFMPTEDGIHVHHAKTR PPLDSFARARTVVVGDAAHVMMPSHAAGAGIAIESAASLEVLFREVDGKDGPTVRYRL RLFDKLRIPRCNLTMLVSNSPQGQPRKSGVVDEIRKFYQGPLPPSDALPYSKAWRDVL FGHDEFRAAEKLLGDEGVEVKT MYCTH_37844 MRSIYLGLAAAALCWAGALSDPAAAKDEAELAAALVGRHRLSRS NNNGHRFASSNLAELGVRQSTGQCGPEYGRCPGDLCCSEYGFCGDSIDHCHPLFRCQP DYGTCGWPRDPPATSTSSSTPPASSSSSTSTSQQPPSTTSSSTITSIPSSTSTSSGPT PTGPLEVTKDGRCGNNTICVGNPNFGPCCSQFFWCGSSEEYCGAGCQSQFGACMGVPG IPGEPPNNITTSSTTSSSTTATATSTTVTSSSSTTSTSSSPPAFTLPPGQVSSTDGRC GNNVNCLGSQFGRCCSQFGWCGEGDTYCGYIAGCQPNFGYCDPRPT MYCTH_90632 MNSSRRLRLFSFASDTANQNESSGRLPMLTRPLTARNNIVAALG EFVGTFLFLFFSFAGTQIANTPPPTPPAGSDTPLPNTSNLMFIALAFGLSLMANVWAF YRVTGGLFNPVVTEEEEEENKTFTLWLKQGVVKVALALFLVGGLSGIRVLVVVIAQFI GGIAAAAVVSALFPGPMMVATTLGGGASISQGLFIEMFLTAQLVFVIIMLAAEKHKST FLAPIGIGIAFFLAELIGVYFTGGSLNPARSLGPAVVNHSFPGYFWIYWVGPLLGSLL ACAFYVLLKYLRWKECNPSQDWNEIEKQESERQLRNKASKNITERPSTSPTDATHAAD VQPQVQPQSNLD MYCTH_2311238 MGRFFITGSSDGLGSLTARRLVAKGHQVVLHARNAERARDASAA CPGAEAVLVADLTSIDETKELAAAADKLGPYDAIIHNAGVYVGMESVPGKSGLPTLFA VNTLAPYLLTCLMAKPKRLVYVSSGMHAAGRPPKLHGKGKQILSTGYSDSKLHNVMFA KAFARRWAQVGCYSVDPGWVPTNMGGPSAPDRVDDGVDTYVMLALGEGEAGWSRGGYF FKSRERQPSAVAGDVKLQDELLDELASISGVPVPTA MYCTH_2316418 MSGADSPEILAAYDAVRSDKDETNWLLISYVGETGNKLVLSKTG TGGLAELAGELDDGQVQYAYVRVEYANDAESKRIKFVLIVWIGRNTKIMRKARVSIEA GAVKKVLSHYSVQVDADDKRDLDTDEIVAKLRKAGGADYNGGRG MYCTH_38200 MARDGSHQGLLRDSKRSPQRPKDDAGHDSDSDIEAIEYLDRNAP RSPKSDYGPSFPAANKARQSWILRCLGRRSRCCIGFLAGFIGLWILLSAGGAFVYKKY QEEPPYGQSPPWYPAPKGGIAKTWAESYEKAAKMVSKMTLAEKVNVTTGTGWQMGLAV GTNGPAVHVGFPQLQLQDGPLGIRFADNITAFPASITVGATWNRQLMYARGRAHGIEA RQKGINVLLGPCVGPLGRMPAGGRNWEGFGADPYLQGVAGAETVKGIQSEGVMATIKH FVGNEQEHFRQPWEWGLPHALSANIDDRTLHELYVWPFADAVKAGVASVMCSYNMVNN SYACGNSKLLNGILKDEMGFQGFVMSDWLAQRSGVASALAGLDMTMPGDGAKWASGES FWGPELSRAVLNGSVPVDRLNDMVTRIVAAWYQLGQDDETKFPRQPPNFSSWTDDRTG VLAPGSPSPQEKVVVNQFVDVKANHSVIAREVAVQGTVLLKNEGLLPISRTGLDEAEL EARRGAAARATGRRRTGKFSVGVFGDDAGPGKGPNYCKDRACNQGTLASGWGSGAVEF PYLVSPIDALRKEFDSSKVELHEHLTDKPSFAGKDAAVLEDLELCIVFVNADAGEGFV RWEDVKGDRPNLNLQKGGDDLIVNVASKCGSGSGDVIVVVHAVGPVLMEKWIELPNIK AVLFANLPGQESGNALADVLFGKANPSGHLPFTIGKSPEDYGPGGKVMYLPNGVVPQQ DFSEGLYVDYRYFDKKDIEPRFEFGFGLSYTTFNLSNLRVTSHKNKSALPAARPSPAA QPPSYPTAIPPKDEAMFPPGFRALEKYIYPYLESVDDIEVGDYPYPDGYDTEQPLSGA GGDEGGNPDLWATYVTVSADVKNDGPVAGAVVPQLYLEYPAKEGVDFPVRVLRGFDKF YLEPGETHAARFNLTRRDLSYWDVVEQNWVMVTEGEYKFRVGFSSRDLPLTGTW MYCTH_75366 MDHAPSNPDHMANAALGQGVGYGIVLGLGGAFALGMILVTYILK RYNREVQTSEMFNTAGRTVKSGLVASAVVSSWTWAATLLQSTGVCYRYGVSGPFWYAS GATVQILLFATLAIELKRRAPNAHTYLEIIKARYGTFAHIVFLVFGLVTNILVSLMLI VGGSATVNALTGMPTIAAIYLLPVGVVAYTLVGGLKATILTDWAHTFILLLIIIVFAL TAYASHDVLGSPSAVYDLLVQAATRHPVEGNKDGSYLTMRSREGAIFFVINIVGNFGT VFLDNGYYNKAIAASPVHALPGYLIGGLSWFAIPWLTATTMGLTALAMENTPWFPTYP NRMADADVSAGLVLPYAAVALLGKGGAVATLLIVFMAVTSATSSQLIAVSTICTYDLY RTYFNPAASGRRLIYMSHAVVVGYAVFIATFSVGLWYAGISMGYLYLMMGVIISSAVL PATLSLIWAGQNKWAASLSPIIGLACALIAWLVTAKKECGNLGVECTGSNNPMLAGNV AALLSPIVLVAVFTLIFGIDNYDWKSMLEIRRADDHELANGAGVDPEEVPGGHVETPA EQEEERKKLERAGKISKTATVIMTLAFLVLWPMPMYGSGYIFSKRFFTGWVTVGIIWI FCSFIGVGLFPVFESHKTLARTVRSIYFDITGMSHPKTIHAQVMEGQNTPGDATPTEK SMAKEATQPS MYCTH_2113191 MHRAGTQAEEGKIVEAISMPVAPRSSSTRLSRTPEFPSSPVTGE HTQGTSSVDSQSTASQDGSFFARTPPVYNQHNAVTYLTYRLNLHQPPPGAQDRTHEML LEGREDLDQVLLSKYRTELMPQHPYVVIPEHIPAAMLRSRHPFLMMAVRVIADSEGLH RMHASIRIIMAHLADRMFRQDEQRSLDLLMGIVHSQLNSLLCLAESLISDFGLNRRHQ AEGGEGGWRRTAEEKQLLLVSRAAMNFQKLTSMPFMSAMRESLVELQEIIA MYCTH_2311242 MPHPLSSSASAAKGERSGTTTTGPTPPPPPPPPPPPPPPPLPPP PRVRPSRAELSETHEKKPCPYLDNLADMAADDGFWSRGSEPRPYTYEPVWYFFYGTLM KSDVLKSVLGFDTEPVLRSAKVYGYELTNWGQYKALIDGEPGMEVTGCAYKVRSVEEE FKLAYYETNAYTLAPCRIYFTDGPAESREEDRAFGKTFKYAGNAEALKQGRFDRDLWE MQMGRRLPPAWRGGASQDVQQRSVEQKEVNGATAQDKGGTGANCMSGRKGSH MYCTH_2121095 MTPHLALFPAKAPMAEGLHNRRPIVISGPSGVGKGTLCQRLLNA HPQIFAFSTEGSSYYFVSQDESESLIAEDAFIEHVEFNGNLSGTSKQTVIDQSANGSI VLLDINMEGVKQLKQEQWKADGQINPRFVFVKPPGPDVLEARLRGRGTEDEESIQKRG EVIWVENTK MYCTH_2311243 MANEHILTLSCPDKPGIVHAVTGIFAREGHNILDLQQFSDPTSE KFFMRVHFGPTPTESTEHLRPAFDSLGQEYSISYEIRPVTQKRRVLIMVSKIGHCLND LLFRIKTGQLPIEVPLIVSNHPDFAPLAASYGIEFRHLPVTRETKAAQEAQVLELIKE HNIELVVLARYMQVLSPTLCEAMSGRIINIHHSFLPSFKGAKPYHQAYDRGVKIIGAT AHFVTADLDEGPIIEQRVARVDHSMDPKALVEEGSNIESQVLAAAVKWYAEGRVFLNG NKTVVF MYCTH_90641 MVHPAKVTASAPTANNLRPSLSRSHRSRASGSFHAGEPFPFPSP YDETRPSGSRTRRRPAADPRSLLRRQSTPKYHTFPTSPPESPSDGQWSPRRPRAWYKR LFRLRGKKSDSRDEEGGLGGASDDAPDLEGAGRGRQTKRGHTADYGYDSPSADTTPLP WAQLSLLALLSLAEQTALNSIGPYLPTMVASFDEIPDGDEGLYVGLLASSFALAQLAT NLLWGYLSDRVGRKPTMLLGTSLLMVCFVCFGLCSTFAQLIMVHVAMGLFNGNAAIVP TCLGEITDRTNQSGAFTWLPVIYSLGSITGPALGGLLVGVLGGSRYPFLAPNIVVAAL LLASVVVLAIWFDETLNSLDQSAAGLGLEWLYKARDWCAARLRRTSQAPSRPPATERL PPASNDQDRLDGSSDSEEEEEEEEETDSADEQSGLFQSRGTEAENGDNKEPEQTGSAF HELSKRNTLAILGTYLVFQLTNISYNSLYPMFASSPPPTGRELGPGIIGVSLSLAGVA TILFQVLVFQRVKSRIGNLGTYRSSLLGMAISMALMPWIDYVDSNPPLGLGTGKLWLY SELGAILVLKNICAVGGLSSVMLLITNSAPSNETLGTLNGIAQTLSAAGRSVGPFLSG GLFTLSTRVRPKGEALAWGLFAGVALLGWLGSFAIHNKGLESADWEDDEDDSDEIDEC GDEEQHGY MYCTH_2311247 MGRLPVAGAGGADFCQADLSSTGDDGYYYDLGNFHMPVTTSSKE AQRWFNRGLVWCYAFNHEEAVSCFERAAAADESCAMAYWGIAYALGPNYNKPWGIFDE LELRRNVQRARQAVVKALERAPAATAAEAALVDALQERYPHDQLTPSQGYALNPTYAA AMERVYMRHPEDPNVIAIYVDALMNLTPWQLWDVRTGEPANGARTMDAKAALERGLSE HPEHPGMLHLYIHLMEMSSEPEKALGPADRLRGLIPDAGHLNHMPTHIDILCGDYRRA VACNTSAIWADAKFVRRQGPINFYSLYRSHNFHFRIYAAMFAGQSAVALETADMLEEA LPNALLRVESPPMADWLEGFLSMRAHILVRFGRWQDIIDNLPFPGDPSLYCTTTAALH YAKAVAYANTGRIDEADRHRRLFQDAHRKVPPSRTLFNNTCQDILGIAAAMLDGEVEY RRGNVEQGLRHLRRAVELDDSLPYDEPWGWMQPTRHAYGALLLEQGRTEEALAVYAAD LGLDDTLPRPQQHRNNVWALHGLHECLLRLGRESEARMVRPQLQLALAAADVPIKASC FCRRLARLPV MYCTH_38458 MKFLSTALCLASSVLALPSIGKADAALVPRQSAIQITDQYLFDL TLPAFTAKRNARDPPSLIWDSDGCSSSPDNPFGFPFVPACHRHDFGYRNYKAQNRFTD AGKLSIDDNFKSDAKTACRALADVYYAAVRAFGGSTQDKRDDDLVKIYEEKVAIYNKA VEEAQAKGELWTLD MYCTH_2130409 MITRSLASVYDMVNRFINYKPPFPGDTGSPSGADAFLDFIEGAL PPWLHDSIFPNVAFTCDAALRNSFGGLLVAFSLISRPAMFDTYLAASPALEYTSSGCN QTSNMPALMITRGRANYFRPLGMTEHCHDLFDLVKASRKVSDVVLNEYAGQDHAGVAA SAITEGIDYFVDW MYCTH_2071684 MEVFLRNLPVHLADRGLEKQLQPFMEQLSIKEYLVEKQRKTTTG RITFRHEAEGAEFLRHYGEEPIHPTRSQAFQLRKPKHPPTQARLELMGRKVYCKPSDR KPDEIVLKAIEHEASQRAYDEADAEKPTKTLQATELSCGHHAFTHGRLTFNAEWTVIE PCHVKFARRYLIITLTRCKVQLRILYQSIVELLWRQNGSATVILYWAPTILELEHTGY ELISSFLHNRKSAPSRQRLDAIDRDHARISPYCLVYHFLVPVPITGHVMNDFEVEMLR LTREELFPVTRYEFGFQQAAELPFADAAARLQNQLDQHHRANSLPFDLLFLLQALMTN GYLHPTTISALAQRLIQRFEAARKTGGPPPVSVDAFKKLFDWIDYPSPYGNPDMFEVD GIMAYLEESESLVREGASPRARIFEETQDRTRIFRVVVTPTSVLLHGPELEPMNRVLR KFPNHSYFIRAQFCDEAGNDLFFNSKVSLDRIYNRFKSILSDGIQIAGRVYKLLGFSH SSLRAHSAWLSAPFFHQNQRHGPDFIIETLGDFNDIRSPARRAARIGQAFSETPWALD LDEYGIQVSRIPDVERNGRVFSDGIGTISHDALSAVYKVIPKSKGFPTCLQIRWAGAK GMLALDPLLEGRRICIRDSMIKFASNDKQLEICDMASRPMPMVLNRQLIKILEDMKAP DEWFLNLQSTELQRLRGISATVYNTASFIRMQKVAESIKLHDFLRQTEAMGIDYRQDS FLRGAVEIILLRELRLLKHKSRIPVREGITLFGVMDETGFLKEGQVYVTFDTEEGRYA QPPEPGPLLVTRSPALHPGDWGSRDLPSQLSGGDLDGDVFHVIWDPEVVGALTTYQPA DYGRTTPPELNRPVTLADIASFFVDFMKTDQLGVIAIRHMILADQKEDGTRDQNCIAL AELHSSAWRPDFLAPGPSITVHDKSNISLDDHVVQEDSDSEDEEGQPRYGYYKSERII GQLYRAVDERKIWSKDIKMIIKPRGPSFWDEMLAALKQRVSEIGPVEWRHRSNQALGI LHAYEDAIHGVMVDLADQPHQPLTELEVFVGYIINKRGGVQTPRQRDRSVKLKDEFER IATWITHEMRNPPSVRGYTSELDALELCLACLCIGCEKRSRDTRPRLRSSARNLESFK VVAAATLIQELSALQKRRGIKEYLHDGGEILAARFQ MYCTH_2311252 MPPFRAEHMGSLLRPQKLLEVRAAIREKGLNPDEAGLPAIEEEA VANIVKLQQELGFKAVTSGEYPRTRFWGLMWHEFEGTVRLEDANEELFRLYHPDVCSL VSVSRKVMPGESVITGSKLRHNPEKSWSNLHELRLVQKFVPQSEWANIKLTMITPAWF HMRYKQGRAYTKEAYANDAEYFKDVAKVYQAELDQLYKAGLRNVQFDDPGLAYFCSDK FRQGWAEDPDNIGTVDDLLDAYIELYNDCLSKLPADFHTGVHLCRGNFIRGKFFAEGG YDVIAQKLFQKLKVNTFYLEYDTERAGGFEPLKFLPKDKNVVIGAISTKVRELEDKEE VKRRIYQAADFVAEGSNQTREEALKRISVSPQCGFSTHESGYPLTEEDEKKKLALIRQ IADEIWGEP MYCTH_2311253 MAGNGPGMLYVTMQPREGLSLDQFHEWYNNEHGPTRLRLPHIFT NGLRYRATDGQQPHFLAVYDVTSMAHLETPIYTDLRANRSQREAATIGQVDVDRKFLD LVATQQSPLFTPIEQLTDDEAEGLVLVSVEVSLRPGVEGAEAAVARWYQEEHIPMLSR IPGWLRSRVFRTPSVIEGPPGEVRIATLHEYAKENGLGGPEHKAAMSTAWREQVFTHY IAHKTRRTYELFYVFGPAPRELHALSRLPPTAAFATADGKISTTPGEKDAAIDAFVTT TDGLTIPYRLEGNPSPEAPTVAFSNSLLTSMHMWDPLVAILKAARPDLRILRYDTRGR HDVPSPPVPANLDMLSDDLAALLRALRIPKLHALIGVSMGGATTLKFALKYPALLDRF IACDFNVASSDKNTTAWKERIAVAEGPDGGIRKLAGQTVERWFHPHTMTAKKDVANWM TDMVAANSVQGFRYSCQALWDYDLREEMRGAKVPGLLVVGEGDGKGALVKAMEGFKDL LGPSGAELKIVPQAGHLPMSESPSEFWNEIAGFL MYCTH_2311254 MHSGFVSLFAFAGLAAQASAHGIVTKPATRSPGEATEAACGKTM ADFYRADNTSYPEALLRANPDGLKPPYDPEKCNLWLCKGYQFADNIANVQNYTVGQVV DMEVWIRIPHEGYANVSIVDTSRNSVIGEPLIAWPEGYAGSANPPKDQTKFSVKLPDL GDKCTKPSACVIQWYWFGQGQTYESCVDFTLATPAKRRRYRKY MYCTH_97137 MKQYLQYLAATLPLVGLATAQQAGNLQTETHPRLTWSKCTAPGS CQQVNGEVVIDSNWRWVHDENAQNCYDGNQWTNACSSATDCAENCALEGADYQGTYGA STSGNALTLTFVTKHEYGTNIGSRLYLMNGANKYQMFTLKGNELAFDVDLSAVECGLN SALYFVAMEEDGGVSSYPTNTAGAKFGTGYCDAQCARDLKFVGGKGNIEGWKPSTNDA NAGVGPYGGCCAEIDVWESNKYAFAFTPHGCENPKYHVCETTNCGGTYSEDRFAGDCD ANGCDYNPYRMGNQDFYGPGLTVDTSKKFTVVSQFEENKLTQFFVQDGKKIEIPGPKV EGIDADSAAITPELCSALFKAFDDRDRFSEVGGFDAINTALSTPMVLVMSIWDDHYAN MLWLDSSYPPEKAGQPGGDRGPCPQDSGVPADVEAQYPNAKVIWSNIRFGPIGSTVNV MYCTH_2311258 MMFRWLLIGLGVLAHKGCAGSESDDKYYDYIVVGSGPGGGPLAS NLARAGYSVLLLEAGSDQSNNTNSEIVSLFGVAYTDPTLRWDFFAFNFANETRNLQHN HLTWRRPDGSFYVGRDPPPNSTLLGIYYPRGGTLGGSSAVNAMSTIYPSESDWQNIVD LTGDTTWSPEHMREIFERIEKNHYLTPGAPGHGFNGYLDTIMSTETSWAGQNDLLAVL GAISGHLGQSPSDIATNVLADPNGPIPERDQTEGIFGSPLHADAAWRRFSSRDYILET ARAVGPDGQKQYQLTVQLETLATKVLFADVDDPQKKPRANGVEFLQGQSVYSADPRHT ASNQGTTGRAYARKEVILSGGTFNSPQLLKLSGIGPASELAQFDIDVVVDLPGVGANL QDNYEVPVVGHAARDFQQPAPDPDAPTCTYGAPGDPCVELWKQGRGPYTVGPPLDSIF RKSAHAAYDERDFFLVGGTFALRGFWPPTDSVPADPPNTFGLSTVKINPQSRSGTVLL RSADPRDTPEINFHLFEEDNAGTELDLNAELDTVKWARRAFADVPAPLGPIIPVEPPC PGTPAADGTCDDEADREWIKNQIFGHHPTSTCAIGADSDPLAVLDSKFRVRGVRGLRV VDASAFPRVPGPFPVLPIFMLSEKATESVLEDAEEW MYCTH_2311259 MGTELGRPTGARTTATGGPLVAENEHSNSSTPAETLDLIAPPST SDEKENGNFASDELSPPDAAAAAEDEKQAAKAETTEYPTGFRLVSIILALIMGIFLAS LDMTIVATAIPKITDEFHGLDKVSWYSAAFLMTDGGFQSCWGKAYRYFPLKSTFLAAI AVFELGSLICAVSPNSTAFIVGRAVNGLGAAGIGTGAYTIVAFVAEPAKRATYTGFVG MSFGVACVVGPLIGGVFADKVTWRWCFWINLPIGGLSALIIVCFFRTPSAAQPVAAPW REKLLQMDPVGVVLVMGAIVAFMLSLQYGGQTAPWNSSVVIGLLVGFVLITVAFILWE HFQGERAVIVPRLLRQRAICISCLFACIFVGSYYLVIYYIPIYFQSIGNARPTMSGVY NLPLIIATTICMITSGMLISATGLAVPIEVGGAVLAVIAAGLLYTLDIDTGVGKWVGY QLLAGIGWGLAFQIPIIAGQASAQPEDISSVTAMVLFFQCIGGSAFVTAAQAAFVNRL VHTLPITAPDVDPAKVVATGATMIRMVFSDNQVPGIVIAYMAGIKAALAISIGGAGLG LVICLFSKWTRLNFAAVAV MYCTH_2311261 MTEKFPDVDEDTDITRKSISIEEENGYFGPNDAETSGASPAGLP PEDAVPRTPATEQPPSRAVVEDAPFLPLSRHQSAIRLRRLRGPALPSQADPAGSVGQE SLRGRRRSSSEPQRPAIPAATALGALPTVAEAPPPRARNRRSASVNLPARPPLPQGQA LDSDCYDSKIVDFLDVVDPEVATLSSITNIQNSLFVPSLGRWVNRRPTYELTQFPQTP GAFLGPEEDMRAAESTAAGQSTSEPRSPNLASVLSQPQYAILPNDASLEGWPEEDIKA LNNYVRHMLHSRRSKIKQRLKAFGKYIRRPLGFLVTLYATLITLFGLAWVLFLIGWIY VGHRQYFAIQVIDYTLVALFGIVGDGLAPFRAINTYHMIFVAHYHRKTWKLRKKLLLP ELQDSNDLPTASSNEQSDRDLEDQPQQGYKKKDEFFPVLSEKEQSRLVHHQTKLAKSH TFYKPHETETHHAFPLRFLIAVVLLLDLHSCLQISLGICTWAVSAHRLSAAVTTTILC CSIATNIVAGTLITIGDRRTRKRDVLERLLKQDLTGEVMKKMRKKKEKEAVKEGAKRE SMDGAAKPGRSSLTAHLRIATRTDKNRKSNENGACSHGPEASQSITGADSEDQLRHDR SRAQGAPPGSW MYCTH_2130416 MSSSRVLSTANPALLTGSVGAAVLYLAMARSRPSRRRMIVKTAS TALLSAMAAVQGGPLLLVAALALGSLGDAFLAWDDDASFLLGLASFLVAHLLYIVVFF QNNTNITEGPWALLRSWRAPAAGSLILLVSVMITILVPRIDQGLRPPVVLYSLTILVM ALTALTVDSSRVVAGSLMFSGSDAILAADRFLVSPASSHRAWMQPAVWVLYYFGQLQI ALGLLPLP MYCTH_2311263 MSAQPEARLPSAVEVPGIGAGHESSSDDGDVLLESMGYKAELVR TRSTWHIAFMSFVLASIPYGLATTLYYPLQGGGPAVVVWGWLLVSLIVLCVAASLGEI TSVYPTAGGVYYQTFMLAPAKFRRVAAYICGWAYVVGNITITLAVQFGTTLFFVACVN VFEKPGVDGEPVGVWAGETYQVFLTFLAITLLCNVVSIFGNRWLHLLDTFAIFWTFAG LLAILITVLAVAKEGRHSADFALGGFEVTSGWPAGWSFCVGLLHAAYATSSTGMVISM CEEVQRPATQVPKAMVITIVINTIGGLLFLVPLMFVLPDLAMLIALPSGQPVPTIIKS AVGSSGGAIALLLPLMVLAILCGIACTTAASRCTWAFARDGAIPGSKWWKQVHPTLDL PLNAMMLSMVIQILLGVIYFGSYAAFNAFSGVGVISLTVSYAAPIAVSMLEGRAHVKG AKFSLGRMGWLCNGIALAWSILAVPLFCMPAYIPVTAASVNYAPVVFVGFVVIACAWY AAWGRRNYRGPPTESLGEDPMPAGQGAGSSKKD MYCTH_2311264 MFRILFSLHLIIVSLLLSSFTRALPSSGGYIGGENRWYPRRILG GISVVDTPIVRAADAFAKRHAQDFVYKHVMRSWLFGALVVQHNETLRATVDPEVHAVA ALLHDLGWDQTEGSPFVSPDRRFEVDGAIAARDFLRAHEDGKRWEERRVQLVWDSIAL HTEGSIALFKELGVQVVYNGIMLDFQGPKFGVTEDEYAAVVKEFPRDDLMQGTNETFI WLCRTKPATTYGECITGFGSYPL MYCTH_2311266 MKSLALAVAFFFSEDEPGITVTTPTPSLPTAPACASVTATRELC TTCAVPACLALSTITQSCGCPTPVPTIYLDFPCGSGCDNIWCSTSYAIVTAAGCVTDG PSPTSGSSLTTSAPNATKTTHSPTISSSVSTGAANRPRVPFLLW MYCTH_2311268 MFVLNMSFRLANAVGENRVLLVGFDITTRYRSGISIWRRPFHYL VSAFIMWTYPRVIEQKYPSDILWLPWPGSRRSEALSSPLVTGKAVAA MYCTH_2072527 NTNIKLEVTLLDKLYNFANLFNKEKASRLPLYYNIAEHYIKLKE GLDRKIPKLL MYCTH_2113210 MAPTHGMVERPLPANPARLSVTQSTRTGLDTAGDTTVPSPASNT IGGITPHAGIDVSVKLAGDDSRSQPPASPKPCPRHLLTLPPEILLMILQALDFADIVR LRETCMQLRALASPQQVRVLFGPDRLHSQPL MYCTH_2070870 MSDSCDEGFWRRASGPDDSMIYQVCDLVLQQAFAIPLHEIVLAG SAPMVYESVGHCLDELSRIVLQSGLGDTHLGASRSTLGTADSGGDPVWPGRGADDGFD TSNGNGDCHANGNSGRKRSNGYEHDGDGLGGGSGGGSPDGGGKRQKVLPTHQRPATAE FSCPFRKRNPVRFNVRDFQSCAVMSFPNMSQLKRAMKSKMALEEHISVSRDQICDPQE APSRADPEDGITSGIEEVLNERKTDGKIDDWKSLWRLLFPGDAKIPEPDFVPPTELDE VYAQFYTDDSTSLLWQRIQEVLGHTGNIQPMFDAFKNYIDTVFEACRLKTCGMSYSRR RARIQAARQVASGRSPVRHAPRPHGSGHSDHSILALAASSDRTNVPESLGQPSMTETQ PHILGNVPPNNSSHDRGIDGAGTTVPELRFGGGGTAAVQGSEPVMVATPAPRLHIQLE SGCQGQRMAGTSRGHLDQSTRLAQTNLWADLSAIFAGRGAIDASAVLPLGSQGAHSHP EAYGQQMGDAYWPAITLDIAADNHGGQGRRFSTTDAGAVSEGDDAFQGMSEANDDGYE VVHHTRGD MYCTH_2072459 KSNVTYYNYGKKGHYKRECRSPKKEWKIVPRKEITVINEITKNV IEVAAIGYEDRGSNIDSLGYNSNNKDEQAPYSKLVTIDLEIGLAK MYCTH_112700 MAGGKGKSSGGKSSGGKTSGVEGPKKQQSHSARAGLQFPCGRVK RFLKQNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIR GDEELDTLIRATIAFGGVLPHINRALLLKVEQKKKAKAPEA MYCTH_15682 PPPLIPYPWTWACHKCGSKYPLAATRRCLGCSHYLCTPTTLEKS QPETRRQKQKGRDSSYCSTEFDYTGWAVWGSYRRFRGSDDGTIDHDTFATWGLVNTES RSGNDCDSRSAAWQPLSRATVKQVRRRKENMYVSGQYSCSLHCDFPSECLHSLHRAFV KR MYCTH_97124 MQDLILLVFNLGILTRVLTLMFTNVSAPGCAELGCTSFADIIGI LLAIFGGIFMMLGYGRTRECGTDDCDALRSYPAGPARDTASFLLYAASGHETTTPPVS VPTRPYGTPFDTTNNLVAVKAITVWVDSGHGNYGKGTGLSPFRSSRLL MYCTH_2311272 MASPPPEVAERIRHKKVQYTRFADTKQWSKFDTIFVPDATFKFV DEDGKVVTTPDGAVPYEWTSRESWVAFFEAAFASLQVIHLVGPGEFELVGPGEVKAVF TVIYHDAPKGKGTGPRETGGGHYYETWVRQGGDWFCKDLFMQRIYHHVV MYCTH_112699 MPRTDVYQLRPFGWENDPEEERFKVTTLDYLTACTYNNYALFFR LDDADKEKAAATLKEGLERTLSQARHYVGTIEKDPGGGHSFVKKKDSTVRFIVQWLDA PEDAAKYPSIDDIERTNYSAVTLGDLDTWSVPPMTYGEKAEAHPDNRPVVSAFKANFV RGGLVFNMHHHHYANDVMGWAGFTHQLAENCRAALTKTAFPAWDPACLDLSRLTKKEV PEEVKVDGPAPAERHPDHKVGQSLLFHLPKSKAAELKKLAAPDDGSWISTYDAFSAFI WRTLTRLRVPVFKPDPASHIFWAEAVDMRRRMHSPKVHPRIQHNVMYAALSNTAPVPQ LTVDDILHAPLSKLASYIRQLTNSVTQENLDKTLDAVAMVRDKTSLNIRIDSHPPMSI LQTDHRDANIVSADFGFATPLTYRHLLDRVTEGVIIIYPPRNNNPDSNEGPEFSIAYE KRLAQDLINDAEFKKYFEYRGIDAEDAGKVGA MYCTH_2311275 MVILAYGAFCGAVNSTFAFVDTPGYFVHQWDIRLRDLIPTSYHV LVFGVCYSFVLPLLKVAILIDWCRMFVPHGSSTRNAFWWGCVVISFVQIGAAVATIIA LNLQCIPHQAIWDFTIPNAKCFKLYHLQVSSATIQLVSDVAIFLLPQRVIWKLKMSWR KRMGVSVIFGLGLLACVSAAFRLATTIAYGEALDAIYALGPLVFWATAEMACGFFIVC IPCLPKILQQTGVLRKMKKALGMSTGPTANSAQAGYHTGGKSGNRSRGPKVTSSSAQD SYYKLEEDGMAMKDLKSESTEHLREDQQGGKLGAPRGAAAITRTTHIMVTEDQRSTSD GGSNPDMNMYNQQKAPWGGYR MYCTH_97120 MAGGSRKSMTKRVLPQKPTLGIYVRVRVRSLWPKWMAGDGLWKE QNPGSLGTYCAAFPRDAPVVTNVRNTDQQGQDNGTRNPRSIDGPHRPTEKERICRYQE ADYDRIDMLGARLGPGQWKRVSDRPRHPITARKGSDTADRVKSTGERMLEGDNARAST PPPGF MYCTH_85595 MDEAKYSGRVGDQPDDIAIVGYAFRLPQDVDDDFTFWDVLQKRR NLRTDWPASRINADAFKFHHGKGAHFINEDLAAFDAPFFSLTAKEAAAMDPMQRWTLE TSYRAFENAGMPAEALRGSRTAVFSASMLEDYARMTAMDPDNTERTAVTGSTVACVIP NRVSWYFDLRGPSIHVNTACSSALSAVDMACKNLQAGDSSCALVTGANLLLDPGVFQV LSNGGFLSPDGVCHSFDHHANGYARGEGFVALVLKPVTAAVRDGDVVRAVIRAVGSNQ DGNTPVLTQPSAQAQEDLIRHVYARAALPFDQTRYVEAHGTGTRVGDPIEVRAIGRVF RDFRSAEEPMFVGSVKANVGHLEGASALASIVKAILILEKGIIPGQPLLEKLNPDIDA DMHHIMVPLEDTVWPSPGLRRISVNSFGFGGSNSHAILDDALHYMQERGLRGNHCTVS DPLVSNNTDVQARAVNGVNGEATRFPKLLVWSAADEGALNRMIQAYESYYEDRVAGDP SQLDRLAFTLAARRSRMLWRAFSIVNDGAETTSLTRPSKATRSSAEVGLAWVFTGQGA QYAHMGYDLCRYSVFRRTLEQVDGIYRGLGAEWSLFDELRSSENIDKPEYSQPLTTAV QVALVELLRSFGVSPKAVVGHSSGEVAAAYAIGALSLPSACKVAFFRGLLAGKLRAAS ACTPQAMISVNLSEAQVPDYLSKTELSTENALSSVCVACVNSPLNCTLSGPEAAIDAI KAQADRDGIFAQKLRTAVAYHSPSMLAIAGEYLTLMGHLEGAAASKVSGGITMMSSVT GKEVRPTELAKPQYWVSNMVSTVRFSDAVRALLDKFDSTITDLVEVGPHPALRRPARD TVGKEQQIRYAGVLDRSRPATETMLELVGQLFSLGHAVSIPSVNHEKAKPAPRPLVDC PAYPFDHSHRYWAESRLSRDFRLRGTVQGETLGMRASDWNPLAPRWRNFLSVESSPWL GHHKVSAGMLIMAIEAAQQQTAHGDRTVMGYQLEQANFLNPIIVPEAWEDRIETQVQL QPARKKENSFDVSIFSYSSRGGQWSECFHARITVEYQGEGAEGEHKRVADEAARTRQA DAERRCRLPLDPEVFYRDASEHGLQYGDWFQLLREIRWDGRKTAVARVDLSRQRYRSE SLVHPALLDTAFHVLRASAGQQPAANVPVRLEKAWFSATGWQHPGNGELRWLATSSRA IRDTDRPNRLGEDGTLQALAADGTVLCTIKTAVTAPVSKTAEGEKGNKEKKLLYSMEW KPQLSLLEPEQLARVCHVHFSARDETAIVSNHAKLCAALDLAAVRTLKHMDRSKIPKN HLLRHVDWMEHHVATLPAARRQEGETISDADLETRLREVEEVLPAWKLYTACARQLGS ILAGEADPLQVVFESELANVFYANLFLNLCADGRLAAFLELASHENPAMRILEVGAGT GGMTGHVLNALQAREARTGAPSFAEYRYTDISPVFFERARNRWPDLHQQGRMTFQALD LDRPVDTQGLEAGSYDMIIAASVLHATPYLEATLRNVRTALKPGGRLVLVEVINPADV ATNFMAGLVPGWWVAQEEWRPHSAAITEPMWDKLLRANGFSGNDMLLRDYESEECHIM SVIVSTAREPAKMQNGVSESESDKPKRRVVLVVDETPSDQQMQLAGLVGGLLGPGSGS GWQTPTVCPFARDELSRALTGLTEDDVVICLVEVDNRPLLANLSEQSYACLQQLVKGA PRLLWATAPSAADDPLAAHYGAVQGFLRSIRAEQSSSRLVSVAIEDCSDDATCADLIA RAFRAAFEPSPPLGVASKEVEYVVRDGLLMTGRAVENVAGNEALRSLLQPHTQKVAWA DAPALQLPMHTSGNDELLSFVQDITHDPEAEIGPDEVEMEAKAWSLSQDSLDNVVATR ISDDDSLGTDERMPRSGTGCAGIITRVGRNVDKSLLRPGQRVCMLLPPGEGMRKYTRA HHTAVTKLPPAGNLSFEIGAASLVPAMTAYHALIDVARLRPGMTVLIHHAAGATAQMA VRMARAHGASRVLATASSPKEKQFVLDTLGLPAEDIFLARPAAAFASGVRAATQGAGV DVVFNNAFLGDDALLASCECLARGGCFVEAGRANLDSGTALPMSVFAKNISLSAAHLS DLSHDAVAGLAARVMELLDQGSVQPPQPLRVFDVSQANEAVKHVKTTEALGECVVIRP RDQDMVSYHEKEERNWMFDQRGSYLIAGGSGGLGRAIVRWMADRGAKHIIIASRSGAT SEAARDLLADLGARGVNVVAMQCDVSSESSLAGMLEECTRRSMPPIRGCINAAMVLQD AIFQDSMTFAQWDLTMRSKVQSSYNLHRLLPQELDFFVLLSSLAGVVGQMGSANYAAG CAFQDALARHRLAHGQRGLSLDIGWMKNIGIIAETSAYQRQRQRLEDMQPIDAGELLA VLTLALDPALPLPMPLHAQPGQLLFGLRTPADLLAQGRAVPALLERPLFAAFSHHSTS VTAESSPSSVNASAPDDSVLFRQAAVGSRERSMIVRRALASKLARAMLIAPEDVEPTK PLSAYGVDSLMAVELRNWFGKEFGANVAVFDIMGGVPIAKIAETVAAKSTLK MYCTH_2311279 MNQQPDLYFPAYAMSASNRSPRSSRPGYNTTTGHPGINRLEQRQ MDPASQAPTGLFSTDDRFGSYDSNAFRHNRLQPSPGFAADNFVGNSQAWAYNSGASTV TGALGEGRLRAGARRALPQEWTMPEQPGLGSQSLPPVPSSQYPTVGSFNPSMGLMNGD GGFHPERHGYTSNMYDPRPEPKTLGSDLIPTAIVIKNIPFNVRKEMLTSIMSELGLPQ PYAFNYHFDNGIFRGLAFANFQSPIDTQTVIEQLNGYEVQGRKLRVEYKKMLPEHERE RIEREKREKRGQLEEQHQPLPLHSQSSMHSLNAAQTSRSRNSPLRDVDLNNPDTLSFY TELMLFKNDPNREIIVFPSTITPQQRRTVHILAHNMGLEHRSVGEGQHRQIHVLKDTG AAPAIHMPPGVSADAHRRGLSRAATIDFAETRSNAPGQYNTLGRQGRHGPTLEIPDSP DGGLNALRGVKSFADLRSYTPSPSLSTASGYPQGSSVHAPNVAQYGEYSASLGQPSAL TTPTTPGTASNNDPSMLINELGSLNLNEGPFNGNRLRPRETPGAIGSQRPGLNGTSTR SVPERQPHGPGSEWGEKIGFGGRGRTNGHMQRSSDSSDNGVRTTPSSASRFQ MYCTH_2311282 MMDNCYRRELETATAAIQTAAQISREVLAAAAARGDQAASGTFD VVKDDLSPVTVADFAIQALLRRALGNAFPADGFVGEESADELRQNRRLLSRVLAVLAQ CGASALFRDADDLCDAIDSCTTLTPGAAGPTRIWVFDPIDGTKTYIRHEQYAINVALL EAGRQVVSVVACPLLSVDATAPVTDRSVDPTGKGCILYAVRGHGAHIRPLFGDAGAVQ PRQLPRHADEATSPDHLRSVTCWALLDSGVDSVHERVAEQLKVPFPGCDLLGWVPRWA VMAMGAANMTVWVYRKRDRYAKIWDHAGAMLLFEEVGGMITDVHGKEIDLTAGRKLKA NFGFVAAPRSLHPLVLRAVHDVLKTSGKHELLQ MYCTH_2071628 MHQWASLAAAAALLANGAVAQFNMLRFACSQLVVDRVDPLVNPG MRYTPHLHQIVGGNSFNLTMTPVEYDLAKRSTCTSCSFKQDKSNYWTAVMFFKHKNGS YHRVPQVGNGGPQGQLINNGGLDVYYIPSGRVTAFAPGFRMLAGEAANTDDSKVPRGN ICHRCWTSTNENQFVGGAPCTGSDTVGIPQDPSCKMIRQTIIFPACWDGQNLDTPDHK SHVAYGKGSGATGGGSCPSTHPVKLPQVMYEIMWDVSAFADRSMWPESGPAFIYSMNL GGSAAHGDYLFGWEGDTLQRAMDNGCNLNADCPSAGLTAQKPEEYNACTIQQQAPEPV DGWLASMPMGEMAVRA MYCTH_2311285 MPRSSQGTPRPRPRKPPMTRRASSNAQGPHRGGVFSVDDGIAEV EADAAVRQQSYATSLRRRAGAPPALARIHSRQDEDDDDDDDDELEDEDDDAANKRPDD RVPEASSGIEDDTGGGALEANLPDDTMPVDDDGNISDAESFTLKDRQQAINQTHPFGI RLWKPALYKKSRSVQKNAEGDIHSSPGGHVSTWLLLFNILWTLAFGWWMALIAFTGAA ICFLFAAAPGGLEYGRVLWGLAGYLFYPFGKFVRLEQQEAYLDEDQGEGRSISEYEQW QSGDLEYGRLFFGPDRNRSIVGRSRRSLDSEPDETESLLGRSRGQVFDDHLPRMKRRL FGRGEWNLGRVVFFAFFYFLITPSLFAVSAICWFLVFTIPMGKVTMLLFSHLRRHPLA LSFESDIASARAPAAPHSSILVCTYRAVGRKYWKYTVDGTNIFLINLMAIVSFVIFDW LVLDGLLHMQNFLTSPAFLFISGLLSIIPLAYFIGQAVASISAQSSMGLGAAINAFFS TVVEVFLYCVALRQGKAQLVEGSIVGSIFAGILFLPGLSMCFGAIKRKTQRFNSKSAG VTSTMLLFGVIGAFSPTLFYQIYGTHELTCQDCLNFGTPGAGGGVLRDCRRCYFSQTP ALSDRFYIEVVRPYCYLAAGTLFMSYVIGLWFTLRTHAAVIWNAEIEEKKHEEHHMPH QTPRPLGNPSTGEASATDIRDSHLYKRILGQSLRQVGQSPLPDDETRQDTPLLGGGAN STPHVVPPKPAGYGTTEATGPTISIPGLTEAQNSNLVHQVAEIAATAATVAARNVQGH RRGPPGVISTAAHHPTSGGHSGTARGGAFIPGQDDGAGPTGAQADSHGGHDAPNWSRL KSSIILMGATVLYAVIAEILVDTVDVVLESFDIDEKFLGITLFALVPNTTEFLNAISF AMNGNIALSMEIGSAYALQVCLLQVPALVLFSAVNPQRIPAEDVARYTFSLLFPQWDM VTVILCVFLLSYMYGEGKSNYFKGSILLLSYMVVIVGFYSSGFGTSLDDPEKGVSRFD TLGTDGQWTSYNFKTIGRGLSGPAY MYCTH_2084256 MALDNYYHNKIEAMQLEILKGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMSTKKVLVKVHPEGKYVVDVADSVDVSKLTVGKRVTLLSD SYKLEKILPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCKFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKVIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRKMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFELATAKILNKHDDKEVSLAKLWR MYCTH_2311288 MTTPGPVPAVSPRGLAQALSGSQSYMNAKPATSPLSPPRLPTSA GQPYPPKLSPGTARGVTQDGRAPSPNYFGLAVDSNGGPRDSCLVPREHWSSPSSLVKS FAAAIPKQLPLDANPEFEAFRRQIDANHARATFSLSASHFGASAGSSQTPLASTPPTL QRPRPSKWHTQGGDGSDISILRPSRLATASFASADGSGDKRSKEPENVQRDSAYVSAD SKRSSAVSLNRPSLPTMDSRDFSPPTAQSPVASPNRVAISSNISSAMGRLSPQPVASS RANSERPSPLTKTESTITPQTPGNGGPSMITPAQLRDLLEEEGDEQILLLDLRVSAQF AQSRVRGALNLCIPTTLLKRATFNLQKLQQTFQGDHNQEKFSRWRDARHLVVYDAASF DQRDASSAVHMIKKFTNEGYSGPASILRGGFNAFAAAYPGLIDRSSGGPSPGLSLGGG LASGSSRANLPSVIGGVLLPNTNEIANPFFNNIRQNQDLVDGVGQMDVNVPSGLAPAS LPRWLREAAESEDHGKRVSDKFLHIERTEQLRMREAYSVAKPADAREGSETKNTKVQL SGIEKGGKNRYKDILPFEHARVKLLGRPEGACDYVNASHLQAKRSYKRYIASQGPLPA TFEVSIRNLPTPGIGAFPSERPLISSRRTFGL MYCTH_2311289 MFCLRSWLPLLFIPTNASPAFIFLFFLCTYFLNRPCFYCSFLLL ILFLTSCNWSDRCFLDVGSNWFQPNLAASHIYLPQSGMGGEVTSNIEASENLHATVSA VVGEMLKTTAGALATTVTEQATRAKVEWTGLGLEWLRSLLGRREWRIDCLDLYIRL MYCTH_109377 MTILCCTCVMFLCKRLPRLSLPEAEPHITTKCRSDLTRVTQGAF RSCSPGSSSDVQVYECAAWCYFDISKLEGERRIKSRIATDIPPLHPNNRHIATRFGLG PSANGPGKSTPAAISLLSDEQQRHEADFSFLLLIRISGLWAVIGGLQTLVFHYPYPSA GSPIAVTYPSSSVGDLRDFFSGNQPATVTAAIEAVLICIDPQPRSSQRPLVMSSYGMP GGGGSGESEANPKFESLLAQLRLQSSPSPGPGTGSGPDYSGQFNYHHGHQSFYAHHSN SDSPNLPGHAQEPMDSPAFLPEAPTPPVAFSHSQFPPGILNQMAAARGGAAAGGDGRT AHLLNLLKFSGQGSSANPHQSASHEPPKSFASAPIASPVIHAPAPAAADPTGLLAALM KGNLQPEPPKHEPSSLLWNRASPPSDTQQYLLNLLNRPKPSQRDTEEPAESNLLTPPP ATDDPKDNESSHGGRSAEPTLVGTLASRSEFDFETKNIESPPPKFDSTPHSQLSQQSG LRQPGVFGHSDHFGNLPAASPAHHTPKSSTTPGASGSTTGSGHAPPVPTQNLKKPDSA QPSHDENRPLSDHGAIGSTEQDRPKPEHPTSPPQQSNISWPSNNSSAVSNAVGIPPST AAGAEQGHSEETKESVADAVSGLAQQVDREATEALTRAEQEQAKVEPNHSSEHTAITE KHEEYPKPSQSVYSAAEDKSPKEELSLKEDTAFFNSSLAQDFAQGHRDSGDDSAQAAQ GPVADSWESAEAEEVVVGEETAAPVKVFNFPMKPWITISLQEIDDSRPVFREEAILDI ARLKKDFDQIDRNLVSASETYMAYGMSKAGGLRVIRQEDGKDAKLFTDTKDRIFNVAI STSPSHQHPQEAIIGTGVSGTVYWVQLKTGDRDHLEDAHPEQYGFALPPISTQEGGDA PGGVLKTRARPSAMRPEFFAVGRGKSINIIWPAFIFENDLFKNGHDRVVDTEKLLKQC SLKINTGKAGKDFIFSQDDTLVVSLDKSGRVKFWDVRDLTAIKEGSDPLHPMPARTSL EIKEPLMTLTTTPEGEKAWPTSVLLLDKFRPYQKRAALRYMIVGMKQNHTLQLWDLAL GKPVQEFNFPHNKESDAVCSVMYHAPTSMIVVGHPTRNSIYFLHLSAPKYTLKNLSQV DYIQRLVAQDSSIPQPESTAVISGIREYSFANKGVLRSLNILENPAASGDGEEPTLFE LYAMHSKGVTCLFIKQSELGWTKDNKVLAPVDAVEVGLAKISKLVAPPQPQPPDAHHV SGSGETAATPQIRIATRGGKDTMTKTASPQGDDKKGSDSTSPPKFERKEDVEIPGPAP EKTEKKGRKKKAAAAAAAAAAAAAAAGDQSVSNGAVEVNRAISQGKAKKDARNADSPA DAASSKPANDLAFTSTAFQEQLDAVVGKMESRIVSNLSGRFDAVFNDIFKQMQKFQDN RDSAFASNQSALLQMVADVLNNNTEAVLKNLIIAQINNNVIPSVHSAIDKSVSEQLNA KSITQVNVMQKEIQKLLPSAVNQAMQKPEVIRSISDKISHSINGQVEAQIAKSLHALA PTIAKTTAQNVHQRIMEDVRIRISEAFEQLDQRRRAEDAKLDRLIAQTQDLSNAISSL TASQTQLQQELAALKQHIHSQSRERTSVAEEHGRGHPHSHSASGSHGLSSVESRELVN YPSQQQHQSPASHQQPVQAPFSHPHQHQHQFGNQEGQMAFAPLGREDRQKMELDNLIE TIDGLMRSGNYDEAMLRWLHSGDKAEEIFQQVLSNYNPIFVRDLQPLILLSVGATVSV DLNRNSQRLMKKVNLLEVVIYAFNQNLGALDDQVREVTPKIMNILKTRIEQLFLEISR IAPHDPALKTLSNMSQVAGRVAETVQMRHGPMHAPGHVGVPY MYCTH_2072228 VPPLDLYLNKRLADFEAKLDQPLLQTRAGPGASRVPTRALIQQA CNRLSQRFRKRRARAKGREPKPTALEAYIGAISLYNFLFRVQVPGVSTPYYTYS MYCTH_2071661 MPRQHLTPNACLVCRRKRTKCDGQLPCRRCRSRGEECVYEDKKW RTKDHLRSEIERLRTEQRQGQALLRALTNNDPCRWRTVLDRMTAGDPPEAIAEWILAQ PSARLAGSSGRPPPLGFADGQDGKPSRGGPDALITTLGSGLAENSRTSRHPGPLVADT LRPQSAASTRPERTRRFSFDPGPSIFPPGIYTPPAEAPRRLHFPPPDSSPDPIPHTWT KVTSDRCLVQRLLARFFGSSLPYLSIVSQRHFMNDFRQGNPRFCSEALVNAVLGLACV AATPISQPVSRVGFGDAFLAEAKRLLAREEELPSLPYIQALGVLAVAEMTRGNEEVAG DLAREFARAGIRFLLQTRQQEHGHDDDFKTVRALAYCGGLSLVRLLRLLTGDLEPKTG PLFMRIYPDFRDVEDDTPEARVERGISLQVQFFAELQHCPPLARFIFEVTEAAHTFSS YNHSKAMTASDLEGAFGKCTGYYRQVAELSASDADGVGPDVLLARIWYNFCLLSLLQP FVANSASLVDGLPPSLTGHSTPYIVCRQSSEAIISLTSIYQSRYSLDYLPPLLPYMVF AAVLHQRSLAAPQLTAGEVTQEGVVLSPEPRSPDAVSDPSYSLSDRRQSMSQPGACLG PAARPSTYGREPPSPAVVAATETPRSAGHKASAFPTPPTCLSSENQACRSGAYRAGSN APPSDTGQHLSLAHPNAQSGALPTFTSQPADLVALGSLQLFSMGAQHRGAAEASHLLR TSGSTLDIVESGS MYCTH_2311295 MQPTRCLLRRSVWKGPHIVPLPIVRPEPGKKVPPIRTQARSATI LPNFVGLKFQVHNGKVYHDVTITEEMVGHKLGEFSPTRKPFIWNKK MYCTH_2311297 MASNQPTENTEQAAAPVAHANGMQTSETSGVAANLPTPTIPEPR LPTRKDASLKEFLNKMDDYAPIIPDAVTNYYMTRAGLPPPPQTDQRLARLLALATQKF IADIAADAYQYSRIRASNTSANNPMGNLGAAAGFPIPGQPAGQPGSKEQGRGGPLGIQ RPGYGGGGQGGSQNRTVLTMEDLGMAVGEFGVNVKRSEFYR MYCTH_2311298 MPQNYDPDTIRILVSTDNHVGFEERDPIRKDDSWRTFDEIMQLA RTRDVDMVLLGGDLFHDNKPSRKSMYQVMRSLRKNCLGMKPCELEFLSDAAEVFEGAF PHVNYQDPDINISIPVFSIHGNHDDPSGDGHFCSLDLLQVAGLVNYFGRVPEADNIHV KPILLQKGKTKLALYGLSNVRDERMHRTFRDNKVRFYRPAQQKSDFFNLLALHQNHYA HTQTSYLPENMLPDFLDLVIWGHEHECLIDPQRNAETGFYVMQPGSSVATSLVPGEAV TKHVAILSISGKSFEVEKIPLKTVRPFITREITLATDKRFKGLEKKQDNRQDITKRLM LIVEEMIAEANAKWRSIHSEDEIPEDEEEQPLPLIRLKVEYTAPEGSKFEVENPQRFS NRFAGKVANQNDVVYFYRKKTGTSRKAKDVSTFPAGVAEALESVDTIKVDTLVQEFFA QQSLKILPQAPFGDAVNQFVSKDDKHAVEMFVIESLSSQVKGLLQLDDDKITEGLDAH IDDFRKIMEKSFISGQQRQAQRRKRFKEKPLDWDSDLDGHWTAQPGAIEEVPASPEPA MEGSGAMPAPNAAFSDNNDELPDDDEPPLPKAPAKRAAARTTRATKKAPTKRAAAAKK APVRSRKKANSFQDSEEEENDDEDVIMEDDAAPDPPARAAPTRSTRSRGAAPKTRQTT INFTQSQKPKASQKAIEISDDEISEDDAFETMPATRSRGR MYCTH_2311299 MPRSAATDRRVHFAGGRYGSGEVSEEELMQDLGEGDDELLGDAM TEDLGDEMDDDGNSEAEDDEGMGDDPFNPVELGLKEINNLAHFGVSSHRPGSGVAELL SDDLDKYWQSDGQQPHQLTIHFLRRVEIRAIRFYVDYNQDESYTPTHIVFYAGTGHHD LIQFAELTLTNPVGWQDVPIANSGGGADGHSLCCWIVQMHIKENHQNGKDTHIRGIKI YALDDQSLGSAAREPARGPRMDLSANHVPAPAAHGLNEQSLRELLDAFERHPISNTES VREPVIR MYCTH_2311300 MSPPLSPSARLAASFFSRALSAFQSSGSKLQVICTAPPSLSHEG EPEALPPRVRPQILVVLDSSFNPPTRAHLHMATSAIRELLQKQGQCPDAIRLLLLLSV NNADKGVKPAAFDKRLAMMWAFAQDVQHDLRMSFGNRQAAPEAQGLSVDLGLATVPYF HEKSAALAEAGFYKGQRDPDDDLAETEQVFLVGYDTLVRIFNPKYYGPLEMASQVATA EPTPLQKALDPFFGRAKLRVTMRADDKWGGANEQVAYLENLLHAEGLNKVGGIRAWES RIEMADGHKAGTEVISSTYARAAAKKRDLGRLDLMVTPRVRWWIEQENLYLE MYCTH_72833 MAEKAPSSGADSITPQSPNMADLEKQRSTPPGDKHAPYLDPSVM EEDYEGKPTEEELATLRRVPGKIPIIAYLICVVEFCERASYYGVQPLISNYVNRPLPE GGNGWGAPPRGSQATGGALGMGTVVANAVTQSFSMLAYALPLVFGWLADAKTGRFPLI CWGVAVFGVAHVLMVAAGAKDLLANGNAKAPYFLSVYILSVGAAMFKPNVSPLLLDQV TTTVPKVITLKSGERVIEDPESTTERVMLWFYLMINIGGFMGVATAYSEKYVGWWLAF LIPLILYLPLPLLLWFLHKRLVLHPPGGSDLPNVFRVLGICFRRGGIKRIGRHGFWDL AKPSNIAAAGLSGKFKTQWNDEFVDDVRRTFQATGIFCFFPIQYLNDNGIGAAASFLS TMLETNGVPNDVISNFNSLSIIACAPILNYGLYPLLRRFNIHYGPISRMTTGLAMSTL GGVGYTLLNHFAYQKSPCGEYGSSDCRIGTGVAPISIWWMAIPFAIGGISELFVNVPA YGIAYSRAPVNMRGLVSALNLFNTAVAYAIGLACSSVITDPYLTWDFGGTAIAGGILT VLFYFTFRHIDDEEYVLSKNQPDTDYHLEMEGTRNVVGENSLNKSINRPAPIAENEEM MISQKQ MYCTH_2121130 MVTVTQLVVTSVLAGLSVGSNLPPRIGTTSVGNDNSATPRSGRV TLHQVRRRNYRFNGARAVYRTYLKYGVPVPEYIVEAVAYTDALNAAATKRDTGSAAAE PIDPRYDVAYVTPVSLGTPPQTLHLDFGTGSSDLWVFSTDTPPEQVSGQDIYAPDKST TAELLEGHTWSIRYGDGSASHGNVYTDNVTAGVQCAQQVSSSFTVESEIDGLAGLGFS SLNTVSPKSQLTFFDNAKPQLDSPVFTADLKANKGTTGNISYVPVNPYPGYWTFTASG YGVGSTGTSLLYLPTAIVTAYYRQVQGATNSRVYGGYVFPCSATLPSFTFGIGGAKLT IPPLSSSGIGVNIWGDVALKAAFVVFDGEDPPSIGWATKPLDE MYCTH_2311306 MGTNGAGGLGETGGMMGNNANQPPTTEYTLQGVMRFLQTEWHRH ERDRNAWEIEKQEMKSRIASLEGQARRADATQKALKKYVAILEKKVKDQAALLKSGKT AEPEPKLDRASLLQEKLRPSSEKPSRPSMEGGSLEASLADEEASRNELKSFLDQCQAE FTYLMITPANPLPPRESPPLPMIEDLREGDGLGQPLLDQSYQQGLRQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQPQQNHVREFLASQARPVPTPNNQPPAPPAPGNI PVKTLENHAAQMARASNNEHAAAGYGYFAEWPSQGSTSGKTATEQGSDLASQPTQASR NEEKRDGAEKRGVSEIDSWDFNDANFPDASAPTQPQQVSSNRPDTDVFPTADNIPKSP NRAPLAHRRKSSNTMARRRSAEHELSLHSLGPKTEGSNFKLKFGLRGHLDTVRTVIFS GGGSPGEPEICTAGDDGTIKRFHIPDRHPGHVNAGSSDLDVVADFTHRGHVGAVLSLT SWSPAPNFSTGGRAQGDGWIFSGGQDATIRVWERGRIDPKATIDAHTDAVWALCVLPT NLGAIFGQSNPYGTPDRILLVSGAADGNVKVWAVSSPPQLTSPQPAAGRRAQGGRVRG NSMSSGSAFPNSPQPTIASNSPFHHTLIHTISRPNSKASPTCITPLSLNGETFVVSYS DAAIIVYDTRTGEEMGTMASLETYDGTMSTSVNAVVATTAGLDHQPHQGISEEDTAGG GPTGGGRAMAGSGVEGVIISGHEDCYVRFFDANSGQCTYNMVAHPASISSLSLSPDGR ELVSAGHDASLRFWSLEKRSCTQEITSHRVMRGEGVCTVVWSQDGRWVVSGGGDGVVK VFAR MYCTH_109373 MDRPGTPPQPQSQSASRRSTSPPTPEVTRRIEVSRLRAKAIRHQ REAEQRSVQPPAEPRTASGFVDAGDIQLTGPAGRKRPYASISRSEVPATNRDARANPS KDGANTDNSDLRPVSRKFSKYVDYNFSAMTDTKGGFLSTEDDPWSKSMSTGASSAPSG SEQKPAHMTVAEWERMQLIKKLQQNKSGPFGPALSVLADEKTKKRCRECGTVEIDFVW EEVFHCAVCNTCKEKYPEKYSLLTKTECKEDYLLTDPELKDTELLPHLSKPNPHKSHW HDMMLFLRYQVEDYAFNHKWGSPEALDAEFERREADKKRRKEAKFKEKLLDLKRKTRT EAFRRNNTKSGSSTGVLGGIGKATKFGDTVGGSGKHVHEWGRAVENAEGITVKTCVTC NMEVEELEL MYCTH_72822 MAGLSPQVTNLIIILGMMQVAKRIPFDDPNVLNICRAVYVASNL IVLSIYLYIKSVIDKKKDMTTLKYVEPAPMGSSEEGKLVTTTVHAYDLSQLKNLIRSQ GMGVLMMGVMHLYFKYTNPLLIQSIIPLKGALESNLAKIHVWGQPAVGDLKRPFKQPA GFMSGLQNGPAQADKKAVEAAERAGRGGVKEE MYCTH_58125 MQVASKLVAVTGGALALWLHPVAAQEGCTNISSTETYDYIVVGS GAGGIPVADRLSEAGHKVLLIEKGPPSTGRWGGIMKPEWLIGTNLTRFDVPGLCNQIW ADPTGAICTDVDQMAGCMLGGGTAVNAGLWWKPHPADWDVNFPEGWHSEDMAEATERV FERIPGTITPSMDGKRYLSQGFDMLGGSLEAAGWEYLVPNEHPDRKNRTYGHSTFMYS GGERGGPLATYLVSAVQREGFTLWMNTTVTRIIREGGHATGVEVQCSNSEAGQAGIVP LTPKTGRVIVSAGAFGSAKLLFRSGIGPKDQLNIVKNSTDGPSMISEDQWIELPVGYN LNDHVGTDIEIAHPDVVFYDYYGAWDEPIVEDTERYVANRTGPLAQAAPNIGPIFWET IKGSDGVSRHLQWQARVEGKLNSSRSRGRMTITRRLNTVVSTPPYLRDEYDREAVIQG IANLRESLKGVANLTWITPPSNVTVEDFVDSIPATPARRCSNHWIGNPSAAIVIAAEY AAAKILALPAPEDAAS MYCTH_85556 MLTTTFALLTAALGVSAHYTLPRVGTGSDWQHVRRADNWQNNGF VGDVNSEQIRCFQATPAGAQDVYTVQAGSTVTYHANPSIYHPGPMQFYLARVPDGQDV KSWTGEGAVWFKVYEEQPQFGAQLTWPSNGKSSFEVPIPSCIRAGNYLLRAEHIALHV AQSQGGAQFYISCAQLQVTGGGSTEPSQKVSFPGAYKSTDPGILININYPVPTSYQNP GPAVFRC MYCTH_2311323 MRLLASLLLAATAVQAHYTFPRLVVNGQPEESDWSATRMTKNAQ SKQGVENPTSGDIRCYTSQTAANVVTVPAGSTIHYISTQQINHPGPTQYYLAKVPPGS SAKTFDGSGAVWFKISTTMPTVDSNKQMFWPGQNTYETSNTTIPANTPDGEYLLRVKQ IALHMASQPNKVQFYLACTQIKITGGRNGTPSPLVALPGAYKSTDPGILVDIYSMKPE SYQPPGPPVWRG MYCTH_2130467 MKSNDALALIIVAYTALGFLVSFFIARLALAMHRRAHGSSTGSS GSSGSSGSKSTASSGSVPSRYQSGDASTSTPRPAFESSAVPLSQAPPPPNVPPVTPVP PPVGAFGNNGYYSPARPHSFPPPPPPPPLN MYCTH_2311326 MIVPENRAVAPVWPGPTSTAFSTDSAPPAPNPTLTSAPDAHARA MPDSAGLEGKSRTLQGPSAKEWASHRETIISLYRQYPLKRVSEIMRKQYGFSASKRMY DKRFREWHVFKNANSDERARAARRAQGSGPPSPARQTDQGNNFSPEDLRRTIRCARTV QQSVQQTPPTTSSSSPAQTGSASPPQPSRQPRRSISISDLIKQEDEPHLPASQQSPGQ EVTPRAPFSPPSTPSSTSGSSLGASDESSQEQDDRGALIVSGASSPSMAAYTEQLRRL FNSPPPSMSPDSQMRTLSTITLKLREYYDWQLNNIPPGVSPDHYLGERSPDESTRYWN TIKNALYLVKISAGSVEDPEHRPDRRAWSAFSEAGQLAAGAMTTQPFDFLRNVFATLS PVNTSARPELRAIILQFLAKEAQRSLSSNHPITHICKELLQDENCQEISQRSLQCMLD IFNTRLGRSRAITFKLLDSLATLLRRSGEYHAGLEIITELLNSARPEFGPDSDQVRSV ENELAHFYMLTGEPDLALSHCMSVVTRPPPSTARAQGEPTFYQDGIAAHAMEDIAEIH QRRGDLEQCIVWLERAASIALTVWGPKAVATGHLIDKMTSLQRQLGKDLLESATLWEA ALSNPSA MYCTH_2084292 MYRTKHFRWKLMHLWGISAHLGLVAAVAIGYLGQLGNPARPERW GRATTAAGTSRCTAVMAAGLDGGDTLDVGTHTLLRACLILGSLGFVINVALLAALLAV EPIKITLTEGEQHWRKQVVTFFGCLLNLLLAGAGFCLAVHLGVKAADSKLLIAPLVWT YFQAPLAFAVAVCDAFKNYREGKDLLD MYCTH_2071908 MLTQPMPESHVLSAMRALGNLLRASIPGSGLLWVLSDEGFPLQC KGCGEICELLRWGEVQRRRDSTFRGSSPPRIVHNSYVELDRCALETGCDTCRTLRRAF LLEQITSHGAERLGHAGNQWPIYAVLNLGSSGDSELALAVKSPKAVLYSATVHLTNDV RAVARNSRRAAPGPRPDLSALRRVVDDCHRNHECSSRYRWSHRNPTWLLRILPDNLVQ LVAGPSEPVDYVVLSYSWGDPATMPAAEWARIKGAGTKTINGRPVPERLNPFSVRELP ETMQDAIAISASLGVFYVWIDNVCIPKGTNWDTEASLMHEVYGNSAFTLVASSSTKAT DRLLYDRLAWTQRGGACRLRDLWWLHNTPMPLDRVRLESPVSLRAWTLQEERLSPRIV YWAGQRWYWSCLERQVAELGESDWAASPADPCSRSCPQRFLELCRSGDEELLHEEWLD IVQAYTRRDLVAPRDRFLAIAGLAVRFYNAKAANGGAVVTEDYLAGLWKDNFARHLAW SVASAVEPRHNLRHIAPSWSWASLPLRVQTKTKAPFRPSAHFKFVAVRRVEAAEASYA LARTETGDPSTDFINRGRAVEERGRGVKAVEVRGRFRRFISDDALNVSWDGIERKRDG RSCFDFGAFPGRSIYARNKGNGTILSKDAHCGEVVGQLDYTIPADDKPDQHPAPYVRE GDEREIVCLELGELAMLLLVPDHDWGRPESYRRVGVAIGYTNRKGFFYGCETRSVNLV MYCTH_2311330 MAQPAKAFVGPMIHSLSASEIEVLPYALLAVNSEGKITSLLRDV NPQRAQDALKALNFPPELVTIHYLGPGQFLIPGFVDTHNHAPQWAQRGLGQGMHILDW LDAITFPNEARFHDPEYARRIYSSCVDGFLRQGITTASYYGSIHGEATKTLARLCLEE GQRALVGKCNMTRNAPEYYRDASVEDSLRLTEECIDYVRSLDPAGNLVRHVLTPRFAI SCDAEVLRGLGDIARNNPDLPIQTHFNEAEQEMKATRELFPQFASEADLYEHFGLLGE RSILAHCCHMTDYEMERVKALGCGVAHCPISNMTVGGGFMAAPVREFLRRGIKVGLGT DSGGGFSSSILDAMRQAMIASYAREVMSKGQDKGLSVDEVFYLATLGGAQVCGLGDRI GNFEVGKDFDAIVVGTQGSEQGIMTVVEENDSLRTVFEKFIMTGDDRNLIKVYVQGRP VKG MYCTH_2311331 MAVSIRIEPSDFVVPTGPAVVLVKIDREAFQHLAPSMNPLSESD SASAVLRKGKPAVAYAIRQLSDMLFGDDIAPSVIRRVLPQPGVRSPRDSEPLFQSKYV CFYWLRHIPAGEHLSSALVELTSASEPIDNYLDFHAVEVADEDYKVRTIASIFVDEAH LEEAQA MYCTH_2311333 MTHESFLAATVLKEDGTTARAILRGVTESHERHYFTRIQHYKPT DSTSRGLSTVKQLQKERRPTTAQWQELHQILVKQPSVVQVRTDITEEVLNALSRSQGG EAPPVIIPAGKPCSLRWADMPDPPSPQRPPFIAQRRLVDIDDPRAPAILAENSFGPTS DLIEESYCWWSEGVEYALTRMFVVALKTDPIVSTQVPNPAGLTSVGNIWILYVRAKVD SSPTTTMPERVRQAQAQLVRVREQLKGVFDFPAFDRRCHDTRVPYSKAG MYCTH_2311337 MRRLRTAAGPRQHKPTGPRPLVFIHEEPQSGRRQQQQQQQQRQQ QRQQQRPGPSPQSALTIPLAHRASCHFASNFILVPAGRVAHGFMEYLGPLMDAEPPES ALRYAFNACAFALLGNRARADGVDLAQLSLREHTLALAQTHKALGHPALASTDSTLAA ILLLSLYESITAYKESRALAWRSHIDGAVHIVKTRGRAEMCRTRIGNLLFTAVRQHLA SRVVSSGLPLPLGTDWWMSGGDTKSLLARSQRFALGFCDLRARANQLLANASRSPESL AQMYELAGDIQNLDREIADWLASIPAEFQFWPACWVPEDGDLTRGTSFGEIEVFPGRV DVYPDFVTAMAWNIGRSSRLLLASLHIRVAARIHAPADYRTTPEYEIAKRICKDIIPD IIASVPYHLGWNMNGKRFRSPGLSAFACGEEGPCKALPALFLIWSLTSIKNHDFTTEE QRMWAKGRLKYIAEVVGLKYAHIVNDASPFLVPL MYCTH_2311342 MLPHVDAAILEALGLEATSSKLVSHGGSGFSSTHKLVATKDGQE FTFFVKTGSGPEAEIMFRGEHASLNALNAADPSLRLAPRSYAHGALRSSPGKFFLVTD FLDFNSSASNGTGLSLAAKLAKLHTTPAPIPKGFSKPMYGFPVPTCCGATKQDNTWTE SWAEFYAEHRLRAILREGVRQNGQDKELSEAVEKVAAVVVPRLLGDGHLKGVMPVVVH GDLWSGNHGRAKIGQTGGVEEVVFDPSCVYGHSEYELGIMRMFGGFGSRFWKEYESLV PKAEPKEEWDDRISLYELYHHLNHYALFGGGYRSGAMFIMRKLISKYGGGSG MYCTH_2311348 MNGHFAAVGDGPTAKQYEHGIQVIDEDKAFNTNLNDYLNETRVA EAGFNYHLISVFGSQSTGKSTLLNHLFKTDFSVMSESARRQTTKGIWMAKNKRVGANG DGAAVADNILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWEHQVGLYQGA NMGLLKTVFEVNLQLFLKDRQSQTRSLLFFVIRDHIGNTPLANLRDTLIQDLTRIWSS ISKPQGLENSKIEDYFDFAFAALPHKILQPDKFNEEVDKLSTRFTAGHRSAKDQEFVG GVFLPEYHRRIPADGLSVYAQGVWDQIVNNKDLDLPTQQELLAQFRCDEISREVLVGF DTVIIPLEEQQAEAARLGKNTVLPDLGATGAGAREKCLKAFETQASRYHKGVYGTKRG ELEGKIDTRLKTLYQTQLSAAHKSGVAAFSNAVTNVVKAGQKAGGAYEFAEIVETQKK KALEVFRKEAQSLLIQGVAWTNFKPQYRLFEKELDQVSARLRKEEMRRLAIRVERWVK SRLGDSIGLEFNKLGSGRGGSGAPEDGEKPATEKDLWDRVWGAFRGIVAEAETRFTDR AKSFEASPEEVEVGLWRLRRKSWVALREKIEEEMMESNILMKLRENFEEKFRYDEEGV PRIWRPTDDIEGVYTRARESTLGLIPLLARFRLAATYAPPDLPGFIGPQPAGVDPEDE EDLVPIGGVDEEEGKSLEEEMTVLSESKRQDLVVRFKKMADGVYVEAKRSAIGGITQV PLYFYVILLVLGWNEIVMILRNPVLFMLILIMGGGTYVAYTLNLLGPMMQMANAASNQ AVEIGKEKLRQLLESNETVRQAIAMPASQQSSGINLDRLDSRGRRAQSTEDEDEI MYCTH_2311349 MGDSQHAEDMAIETPPSSIFVPPSINESALLAGDSYSYFSPVPT SLLPPPGSPPSATGPPCALGVDEAGRGPVLGPMVYGVFYLPIPLSDPLLRATHHFDDS KVLTPAVRASLLRTLCTPSTDLHASCGWAVTALSARDISAGMLRPGLAAYNLNAQAMD ATVELIRQVYARGVNVREIYVDTIGQPAAYQAKLERVFPTAKITVAKKADSLYPCVSA ASVCAKVTRDVALETLWKAPGWKAGQVVGGGEEGEEKDGVEEEKEEERKEDEQQDQEE EQEQAGEASMAWGSGYPSDRRCVAWLKASMHPLFGWGPECRFSWGTAKDILEAAPAKG GGVKVDWPANEDDDTHRLTDFFVSGRHEKAADELGAWFGTPTGLEAF MYCTH_85534 MAPRPSLARRTLAPQGEHIYELGVVGRKTGVTVPDSGVRDEHGM EPIENLFSSPGKSDNEDQQEEGSDGHGSGEEAMDITTTSGIGPAAMINGHGNRLPVPL SRVRSPAKTLLNSPAQRNRFLARNSSSPARGAAVRTDDFRFSSQPTGDQASTKRRLDF QSVANGGPHSLSRPQAHGHRPQPLQHDEEEGEGEQSDHGREDDEVNNFVEESMAMLND GDDYDNPPEPDPAQNEAEEEEEDGSAAEDETEDPPAPAPKKRQGRPPKQKQTAAARAA KPRKKTAQPVAEEEDGEDQQDDEEHPEGEEEEEEEEEEEQEPTPKPQKPTPGRRGRPP KAGKPSAPPAQAPPRGAKKRRSLDQEGAAEEPSSEPKPKRPRASAAPNAEKPAPKKAA APARKTPEPPAAAAPKPRGRRRRSSVEPGDVSQVAVARRPPLPKSRGLLISRREVPGD PNSSMHRTRSGRTSFKPLAWWRNERVEFDKDEAEDAFVGRKHKGKFVLPSVKEVYRVD EPEPEMRARSRRGGKKAPGRGGRRRSSGGGGGDFDDEDYGPAEPWELDPGTVTGEVVV WQPEYEYQPPAPNDLVSVMDKQLAISSAAIKTTEVVGGEFRYAKVFSEGFIGAGVVDL PPGAVKRLKNSRKVFMIFFMHVGRVRVTVQETSFRISKGGMFIVPRYNEYTIENDYDQ PARIFFAQGQEVAVSPPPEQEAAEEDQDADEEVEVSEGEEVGSGEE MYCTH_2311354 MTPGRVPLRPLARNGSAPPFLATAASAFRYQSLVAAGLSPWRCP ARRWESTSGAAGSGKTGHIDTHPKESILFFDNIFPLKLTSVLLMRAWETSDILERFNN ASLSFLNPIGLVKRAIPRTAPIKVTEIIPRLKEGGAYVKFTYPDNMSAAEIEDELVRS LENKPIKPWFNPFRSIKARLVLGRPWLEDLHRLPKSRLKVEFVPAKDSELPAELSQES LYSLFRRYGKISDIISQPSDSKVLPRFAYVDFVLVRDAILARSCVHGFVLQEEGSRTA TRLRLSYEQRVKPHHIWNWITSHPRIVIPIVAAFLAAFTVAVFDPIREFFVKIHVQKS FEITNSRFYKWLKRQTWDIFTFRKHKDDDAGLSALFAHRKDLIDSLQNALLETVETFI VVHGPRGSGSRELIMDQVLAGRRDVLLVDCRQVVEARGEAGTIRKLANQVGYRPVFSW ANNLSSMIDLAIQGTTGVKTGFSETLESQIAKILQTTAGALKDVALAGRKKDDKDAAL TDDAYLEAHPERRPVVVIDNFLHKSDEKGIIYDKISEWAAALVQSSIAHVIFLTTDTS YSKSLSKSLPDRMFHQITLGDLTPDVAKHFVVSQLELDEPGKKEENGNPPAVTGSQLR KDLQELDQCIDALGGRLTDLQVLARRLKLGQSPKKAVSDIIEQSASEILRMFLLTNKG ANERERKWSTEQAWYLIKEIATKESLRYNEVLLSSTFSSSTTPGASNAEAAIESLSNA ELITVKSHHGRPSTIRAGKPVYQAAFKRLLEDAVVRARMDLAVLSELAKIEAKNIDKA EGELSLLGSLPSQSYQAEDRVNYLLAKLQSSQQKIAAYEKEMAVLKEVLAEEA MYCTH_2311356 MGWFWRSSPSVKTEGSSSVPPATSTTPSSSVAETPPQAKAAPSG PESSPGDREIAMFMDMLMKDAQSSSSAQHKTPPPPPPPSSLPSSLPSQASSDSKSTRS SWLPWASTKPAAEPAAEQAQPPRRKTRSPQSLAMSEHVLPTTMSCRDAFDYAWHCHTP GSQWNAVYRYGSVRTCSELWDDFWFCMRTKSYPPELRAEAIREHYRAKEEAKYGGGKP SSEDVWESREERVPPGTAFKKSFDPPIVDDAEFQRVDAERRRRIREAIGIEGRDGGGS EKKGE MYCTH_112686 MAPAVGIDLGTTYSCVGVFREDRCEIIANDQGNRTTPSFVAFTD TERLIGDAAKNQVAMNPHNTVFDAKRLIGRKFTDPEVQADMKHFPFKVIERNGKPVIE VEFKGETKVFTPEEISSMVLTKMRETAESYLGGTVTNAVITVPAYFNDSQRQATKDAG LIAGLNVLRIINEPTAAAIAYGLDKKADGERNVLIFDLGGGTFDVSLLTIEEGIFEVK STAGDTHLGGEDFDNRLVNHFIAEFKRKHRKDLSTNARALRRLRTACERAKRTLSSSA QTSIEIDSLYEGIDFYTSITRARFEELCQDLFRSTLQPVDRVLTDAKIDKSQVHEIVL VGGSTRIPRIQKLISDYFNGKEPNKSINPDEAVAYGAAVQAAILSGDTTSKSTNEILL LDVAPLSLGIETAGGMMTKLIPRNTTIPTKKSEVFSTFSDNQPGVLIQVYEGERQRTK DNNLLGKFELTGIPPAPRGVPQIEVTFDLDANGIMNVSALEKGTGKTNKIVITNDKGR LSKEEIERMLAEAEKFKAEDEAEAARVSAKNALESYAYSLRNTLNDSKVDEKLDASEK EKLKSEIDKIVSWLDDNQQATREEYEEHQKELESIANPIMMKFYGAGAGGMPGGMPGA APGGFPGAGGASNDNDGPTVEEVD MYCTH_2135843 MLIKESFADVQTTANGKESSMRIFVFHPTIPGYPNARFPGVVLF SEIYQVTGPVARFARQIAGQGYIVAAPSSYHDFTGPEPLAYDVPGTDQGNKWKITKTL ESYDEDSNRTVDYLLSLPTCTGRIGATGMCLGGHLALRASLDPRITASVCYFPTDIHT RTLGPYTAPNSSATAPSPDSPHTIDQLSRIRGEVALIFGVKDTHVPDAGRDLIRLKMR EAGVTFSFHEFAWAQHAFIRDELSKGRYDPAVSKACFEVLLELFGRVLRTELGEKDGG PQEIEHVC MYCTH_2311364 MSLRSPISPQRRGFLPISGDSSTPDVQDIPLRPIKSNASSTGTR KANTEGMEEKHAIFDKAVGGPAGRRRLRNDLHRGDTGESSEEASLNAMGRLYHKIVGF SVVTRYLVYVAPVALLLAVPIIVLPLTGDKDRVSLGDQKRHSLFLLFVWIEVSWLALW TGKLAAHVLPYVFMFFCGVVSSGTRKYATVLRALEIPLSLFFWGLASWLSFKFMFEGA NKEWSEVIVRILLSLFLSSAVLLGEKFLVQLISISYHQRSFANRIQDSKREIYLLGLM YEASRTLFPMYCPEFAEEDYIIADSIEAMLTRGKGGKQGVAAAPMKLVGDVTRLGDKI TSVFGNIASEITGKQVFNPNSAHSVVVEALEKVRSSEAMARRIWMSFVVEGQDALSRD DIIEVMGPAHREEAEECFEAIDADQNGDISLDEMIRKVVAIGKERKAIAHSMKDISQA LAVFDKVLLFVVLIIVIIIFLAVFQSTFIATLTTAGTTLLSLSFVFATTTQEFLGSCI FLFVKHPYDVGDRVDITGPEKEQLIVEKISLLYTVFTRIDKMQVVQVPNIVLNNAWVE NVTRSKAMKETIEVNVSFDTSFEDIELLRLELERFVRAPENNRDFQPDISIGVGSVGD CDKLTLTVAIKHKSNWHNDAVRATRRSKFMCALTLALKRVPIYGPGGGGDALGGPTNP SYSVAVTDEFAAQARAKSEQEKAAKRLANQSAEEAANSESAAAAEQRAADQINTSRPV VEVLDDWGYEKTLTSHEPSADRPRPSDSGAATTTSRSDVLGGISTRESQRGRRKAGET LPPHALDDDSNMPAVQLTRTSTSTRTDKRSFDVERQAGIPPPAGSPYNTWAAYNTQAS GTMPSGAGGQPPQDPFGGPSPPPGMPTHLTVQQPGAAPTQSASPGRTLVGARPRGGSV SRPQEGGPAQGQPGPSGTAGPSGRS MYCTH_2311368 MKPPVAGAGRPFTCLRCLVQSSPGRPAIAARCRSRNPQILPSAP YHSTRALQQNAPAYPTSPEVQQPPPLRQPTTAPKAVLDIKHIRQNPDLYAENCRQRNY HAAAAYPARINELFAQWQAKQREGRSLRERGNLLRRQLANPGTTRDEADEEAAGLRSL SREQLLEEARKVKTELSAIEEAESALSAEMQRLALAIPNLTSDATPRGSEPTVLSYIN DHPKTASPDSSDRVWRSHVHIGAELGILDFAGAASTSGWGWYHLLDEAAQLEQALVNY ALTAATRAGWRQVSPPSMVYSHIAGACGFQPRDVNGETQIYTIAQDADDAARGRPELC LAGTAEIPLAGMKADTVLDEADLPLKRVAASRCFRAEAGARGALTKGLYRVHEFTKVE LFAWTGPDAHAVGDVFDEMVDLQTELHGSLGLHCRVLEMPAADLGASATRKCDIEAFF PSRRDRNDGWGEVTSASICTDYQTRRLATRLKLAGGKLVYPWTVNGTALAVPRVLAAI LENGWDESEKTVTIPEVLRPWMDGKEKIGPRHR MYCTH_2311371 MSEIDMKVDPARASALISQLQSVNVRIAAVAKGRAVRLVAVSKL KPANDILALHDSPLRQVHFGENYAQELSQKAELLPRSIQWHFIGGLQSGRCKELAKIP NLWCVSSVDNVKKAQLLDKYRGEKIKAEPETPKLNVHVQVNTSGEESKSGCAPGEDVV SLCRAIVNDCPNLHLLGLMTIGAIARSVATTAENENEDFRLLVEQRDLVAKELGLERE LELSMGMSEDFEGAIVMGSSEVRIGSTIFGQRPAKSEAKIVSS MYCTH_2071198 MGPEPLPHLPSEIWMMILESLPPSFFQQDIRRLALSKRWYSLAF PIFYPRIEYTPRVISRLVHRKSKSLDRSRAMLRKSLRCVNIVLDGVPGDDAELVRFNT PANLARFCLMLLEFRELDEVRFAARWPNRAWPADPLQPDYLCIRTLEPYLSLLTHVTA LDLDLCGTDIVACPGPGPGHGYGYGHGHGHGHGHGDGAAATPVHFCEHVRPLLSRLRA LRLRMRSICHTALVPLDGRPVTLRELRLSLYLGRVSENNPKLNSSRTCRRAGGSRERT VPMNEMRAAMRALIVDMAPSARAEMVHLAPTGEIHVWDAGTDRCSRDPSEKPMRFPLC FEATHSRVCFSAHADDLASGDHAGVGGVLTSSSDDMSN MYCTH_2113259 MSTVGPTRPQEEATPRQVESRGVKKGSTSPQEYLLERFPSVRRA APTYQGSAPVGRRANTLRPALRKLCEGEQHPNTRPYQRPYQRPNQAGRRQVQESGGIS HHAGSSAGPDTAHNHNSAVTGLGLEVIEVLLSQVANHNSAVTGLGSEVIEVLSRFAQN RDARRWETGANGRPNAASRGFLHQLRTQRQRRGPLRPCMGSSHTNRRGGNARRTTRTL NESKAPGIHHDPEGGSSNSPATQAPLPLPTPALIFDETTNPNLLRTLRPATDPGLRTG NNNNDNNNDNDDDDADDADGLQAPFALRADNLSSVLADRAAPAGLRYASMRLALVTET LEIETPGTGVARVTHRGDGLQGAPLRLLPESRRELNG MYCTH_2311373 MSFFFGRTRTRTNTTDLVRQAREDVTKLEGPQGAAKAEELAKVL AQMKQVLQGTHETEPNTEQIYQLVTAMIEEDLLYLLAVHLHRFPFESRKDTQVIFSYV FRFRPPTGPQKPEPLALAYVVERRPQVLVELCRGYDHKESATPAGTVLREVLKNEAAA AIILYDDGDEEGSSARGIGGINPDRTQSGRGVFWKFFDWIDKSSFEVSADAFTTFREL ITKHKELVPRYLAANFDLFFDRYNNVLVQSNSYVTKRQSIKLLGEILLDRSNYNIMTA YVDRGEHLKICMNLLRDDRKMVQYEGFHVFKVFVANPHKSVPVQKILIMNRDKLLHFL SHFLEDRTEDEQFIDEREFLIKQIRNLPPAPVPPQQR MYCTH_2316445 MAKVRANVSSSRRKSRKAHFTAPSSVRRNIMSAPLSKELREKYN VRSIPIRKDDEVQIVRGINKDKEGKVTSVYRLKYVIHVERVTREKATGQSVPVGIHPS NVVITKLKLDKDRENILARIKAGREQAAKSKGKSKA MYCTH_2130496 MQHEPPSRPHHGAANHHASSDHDADSPPPQPDSTISSVFHYISG RHESYSDEDIALVHEIVTLGESLYPTLPERERLPTNALFLAAEDVLPRHGLDPENTPP HIARLIFKIGGQRSGNTLSDKFRAVLGGLGIQLEFVPSSPPARPDSRASFALSYTNTS DLHTNSNQDFEPSRETYADQRDGGRDPGRPENGHDEARILSAVEPFTASRLRSGPGSR PFQLPIQPGAGSLPVVDVDAGVLERKLQQLKMQEERELVQDVLSIWRATTFQTKRVND ELMSIAVEYDNNDLLGEVLDIWNEEAAAAEQLRLEAERAAEHEAYVERMERRATRVYE IFTIHTVLAEWQERAQEELDRTAVARRHLVRKRTFEGWHAQHIKDQTKVKNFILNNVL QKWSQVALHHEVRREVAVQWHQQELCKRSFHTLWQETKERAADEFYAVGLASHCLSIW VNKACEIQDEYQVAVALDERLVLDEAVNIWLEEVDIQQYNAYECTRQWLVQGCRRDLD YWQEQARLSALLKQHNAKEEQALKRRVLEALSIALRKVKRDTAVADAFLLTEPVDHWE REMRLKLFLERDELEMKAAVLEHWALEEKLAWYKGHLETRNKRQTLNTLFAAARQARG ERERHEEEADYVDAYYTQTEVLDTWIEGTDQMWKHRQNANLVNLYRTTRPCIDHWRER CQQSQARDGFYWKRAEKHRARSIVSAVLEKWPAVAETARRERMMTSLRQFRRKYKVEL AQECLGKWLDATVDALDAQHDARQTNLHYKREDLNECLDLWNQAAKRAEGIQQIAADA EVEVYCVKWQAQLQEAKENMQDAIEYDAEQTRKRCWEKWEFQNLQNESKRHMAATLQE KNERRVCRRILAEWQQKAVPEAAARNDLRLSTLSARRSVRQQLARPSTATTGFYTASQ LATLRPRDSVSSLGPMPEFDDEPLMPDPDDPGVMSTPTKWTGSTRVLGYRPTSTPSAI LPSPYERELRRQYGASALKARVGFADINEDSAEDLYR MYCTH_2311378 MSIDFPKEEEAIIARWREIKAFERQVELSKGNPNYTFFDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGYHVERRFGWDTHGLPIEHEIDKKLGISGKAAV MELGLEKYNAECRAIVMRYREEWRHTIERLGRWIDFDNDYKTMDRTFMESEWWVFKQI FDKGQVYQGYRVMPYSTALTTALSNFEANQNYQDVTDPAIVVSFPLNDDPETSFLAWT TTPWTLPSHLALAAHPDFEYIKIHDEKSGKNYILLEKLLGTLYKDPKKAKFKVLQKFK GKEMLGWKYQPPFNYFYEEYKDVAFKLLNATYVTDDSGTGIVHQAPAFGEDDYNVAVE NGIVTEKRPPPDPVDDAGRFTSKVSDFAGMHVKEADKHIIKHLKNSGRLVVESQFKHS YPMCYRSDTPLIYKAVPSWFVRIPEIIPQMLKNIEGSHWVPSFVKDKRFASWISNARD WNVSRNRYWGTPIPLWVSDDLEERVCVGSIAELKELSGYEGEITDLHRDKIDHITIPS KQGKGTLRRVEEVFDCWFESGSMPYASKHYPFENQEQFAKSFPGDFIAEGLDQTRGWF YTLLVLGTHLFGVSPFKNCVVNGIVLAEDGKKMSKRLKNYPDPNLIMAKYGSDALRLY LINSPVVRGEPLRFKESGVKEVVAKVLLPLWNSYKFFEGQIALLKKMENVDYVFDPSM ESSNTNVMDKWILASCQSLLKFVNEEMAAYRLYTVVPKLLELIDNTTNWYIRFNRKRL KGENGLNDTLHALNTLFEVLFTLCRGLAPFTPFLTDNIYLRLLPHIPEHLRGPDPRSV HFLPFPEVRQELFNAEIERRVQRMQKVIELARYSREKRNIGLKQPLKTLIVIHHDPQY LEDVGSLQGYIAEELNVRDLVLTSDEAKYGVQYSVTADWPVLGKKLKKDMARVKKALP NVTSEEAHGYATTGKLVVDGITLTEGDLVVKRGLKEDDSSKNLETNTDNDVLTILDVE IYPGLAEEGLAREIINRVQRLRKKAGLQPTDDVKMEYQVLSDPEQTGIEKVFETHKPT ILKALRRALDKHEATHVDGQVSDKKEEGLIAEEEQEVGKATFLLRLLKL MYCTH_2311380 MVSHHGDGVTSLMVRGPSSVIGTSSSGRGTGLHSSSRSRRYNRS HAGGTSYVPQNEFPIFSQTGDVEIIVRVPSGHENRYLLHRHILTRCSGFFEASTSNEW SRAQAVPELPAPGTVGAIEAGNADGGELARIETDRGESSDGGSRPGSLALSQPKKRWR YELDYGSDDNDIPMLVQKEEIDNPPPVANSLFGGSSSSHSSNRPSHRKSASHSFFRSV ANLSLSATHASSLPPPTPEEEDLLRDYDNLFRIMYNYPPVLDAVNIADGYVQCKSLLH LADQYDALAVVGPRVDHHMLQFQSRLWKQIAKYPISYLRLGYLARSKVIFQEALIHVV GQWPAGERSIRAALPESVIDIIEDKVDELEETVSRVEARLFRLTLTTRSGERVTPANS YLDWLAVSLFRQWLAENTSPPMPPAPERPRGGGSSARNTSGQRARLGSSGSGSGGSAI NGPSGDHGGGGGSGANASNNMQAALPPATPSLASLGRTYRLLGGGVGPGGSGKGYLGH DECKRFLKLTPELYTRDNLRKFEKRIDELKAMAREVVRPLMGSGLELEMVHGAGAGGI GYLTCITVRDRDLPWAY MYCTH_2135849 MERGERLTTGGEQADLTTYGPLPSSAALKPLQDRPSAAYTTRLR AKSSPRPPNAPTSLSLSHLAGVVKMPSFDRVYASSPVLAIPAQTAHPVSLDKSFLQHQ ILPLSQPASWRPQLVRTCTAAGRKRSRDEASVNLDPPEKLVELPVKEREDEWVYGPGM TLIKKSAGYVADASNQSGTWLEERVAAEEARKTEAALLAQQQLAQSRPSLRSHKSQRL DMTTTSISSDGMLSGCRSSPTREAINPVTASSDSLAQPIVDDFTLHLGIGWSRISDNE HIQAAARGWARFIENHYPVTNAKILLESRGLQSYLVEATEGYFLFAENLRQGRLVSTT SEGALDNLKVSPPVFDGLEIMNASASARSLDSIASTTSADIDMS MYCTH_2071385 MLRPRPTVIFLTHADITEVVHRRRFRRFLEYDNDDACVTLAPTD PETTHLVKESKSSSSRTFKYDRTPEAYDSAGYISPSPPYSRIRPLTADLPLPLPAGMG AEGNTSSSPNACSSQTSSSKTRRNQQRGSVWTRQLCLRPKRSVPPAATASTNDGSRTE RSRAAEQACLLSDGTDARRDAAGQSTQQHGMRSESFTTPLTPSPSSACVCSQDFDRSL RADVTDSGAQESRSDVSSPVLVMRTPGRLRVYNDSLPASSQPQTPQHLLEARHQSQLQ AHYTAPVRRTSPHMLWARTTTWSRRYFGRRREPSPLGLQSPGFRGLYGSVENRDDAEL GQEMAEDMTQRWGGALRPRPSSTG MYCTH_2311384 MNDDGHTIQPTSNGETEEGNASETLQNARLESAARDAPPGQPHT YESAAAILKSAQNNLNAARGIWGSIDSEGHQQGTQYGPYQPSSEGQPPGSAALPTQGR VVEHDPQGGPYAGPRNEQSRSSIYGARSDPRFDQSSPAQIPSREGSRSHHAGRPGPPK TGNGPNGMMPVRKSSKVMGGGYAPIPGIPQAPPGSYGPEVPMLASSEEWKDRGAAVSV KREVDSEGRTVIRSVKKGVRDFSFGRILGEGSYSTVFLATDRQTLREYAVKVLEKKHI IKEKKIKYVNIEKNTLNRLTEHPGIVRLYYTFQDEASLYYVLDLCNGGELLGVLKKTG TFDVDCTRFYGAQILDAIEYMHSRGVIHRDLKPENVLLDDQMHIKITDFGTAKLLPDP RDPRPPEDSSGQNNAQDDKRNASFVGTAEYVSPELLTDKAVGKPSDLWAFGCIIYQLL AGRPPFKAATEYLTFQKIVSLDYEFPAGFPPAARDLVERCLVLDPARRLTVEHIKNHE FFDGQQFGKELWRTKAPRLRPYVPPAQEPHVIQLNGGGSSPQKPPNSRGAHGPPNGSR PARIITELPPPTQLDIEWSPVLTRNNERILKLGDLMVISSPIPNSQHGRGDGDGHKKL ARFFGGSTTKKRQRLVMVTSSGRILLAPAGGEEKRAKQEISLLGSDCVWKTQIDSKGQ PVWCVDTNGIHYTFEEPKSSQQNSDAKFSVDDWIESLERAKDLAISQNLIGTYNSDNG FGDMSSSMSSPASTMGGGLASYSEGGYSVSDRSGRNQPSKSQASLEEVNVKRNRFSKR QSKNGLGAAF MYCTH_56853 MMSAGPHHSVPMTQAQINQQHHQQQQANHLAKLRSRKPTDKNLP DGVEEALAAGSDVATSYKQLRDLERRLDATMTRKRLDIVDSLSRNTKRYKTLRIWISN TVEDQFWQNNGLNVDTFDFSSNLESSYRVKIEGRLLDDEWEAEVEEEERKGSDDNRNN SSGNSNETDGDKMETDSPSKTKAKPAPTKRPRLSHFFKAITVEFDHPKSGRQGAETTV EWKKPDRPPAGAGNLPAMADFDEFTFKRNGDENVNITINLYRHEDPERFELAPALAEI VDMREATRQEAVMALWEYIKLMNLQEDEEKRNFRCDDLLKKIIPRETGYIPHLNDYIT PHLRPLPPVKLAYTIRVDEEFHKNPQPTIYDVRVAVDDPLRARLLPFIQNPQYAAMLK EVAGLDDQLATLIQAVAHSKAKLDFLTSLSKDPVNFVKGWLSSQKRDLEVIMGEATRG GGEDAAGDEWRRGGPNSVWATQNARESVNVLLAKQPARV MYCTH_2311387 MGIKGIYKEIGPGDRISLCKLAIDTLEQTGRPLRLAIDFSIWQF QVQAARGGANPAIRTLFYRLTRLLGLAIRPIFVFDGPNKPAFKRNKRSSGRGDSVSIA MAKRLIRLFGFTIHEAPGEAEAECAMLQQKGIVDAVLSEDVDTIMFGCRKTLRNWSAE GSKGSKTPTHVSMFDADAIAAGPTKLDREGMVLVALMSGGDYLPEGVPGCGVKVACEA ARAGFGRDLCRIKRADRDGLAAWKARLLHELRTNESGFFRTRHKALHIPEDFPNMEIL RYYTHPVVSREAAVDRVKREFLAAGNVDIVGLREFTRQTFDWEFKIGAIKLIRVLAPS LLVQKLLERYELAEQHPDDLELKQKEESALVKAISNKRAHFSTDAIPELRISFVPADI VNLDLANEPEEVVEAFGRSGIALNSDDEFDEDAAQEFTGEQSKLGGRKPFDPLQPELA WIPVALAKLGIPLTVEDWEGKQRTKEQRVAAKLTKKQKSNKTDMPVGALDKYVKVIKN LGNDTTNDAPKPELALSPPRINSQRNPPAPKGRSKQYKKTSSSSQAEPAADLNPWTLA SLHASPRVAKSLPSATSQPQPKPSSTRETILISSSPAAAASPAVPRSSVSDPPTTPTR AKRVSPLVETNPSPPSVFHASPGPREHRLSDSGEPVEEEQSTGSPQLPRRARPFKRVK SGAGDTTRPALAQKSIKGFGRVLKNQRPSQEKSKRDINDAQQIEIVSEDDNVSLSPHK RSLTSESSDCNPTPPGGGGFNISSDDDPFAALPPPCRLASPCSRAVSIATPDASSKSK QGQEENDAIDGTDTGEVSTGGAATVVPPAHKSTKTKILIPRTSLDGEGYFTTLEVDRE DADRIMAAHNERVLQGKAPRRRAWRQSEVSVLDLTGED MYCTH_2311388 MSAPMDSAPLPHRSVANIRSPAGVGHHHQTGPSTPLRSIPSNFG SPSSLRADEEIIIIEFGTRKLQVGFSGDPAPRGCVWFGPDQQRRAGDFRAWQTDYRYD WRTATAGGLWGKDHELWQYDVRGADLGLVGDKIERALREAFTKHLLIDSRPRRMVWVI PSSLPIPLLSAALDSVFTRFQPPNISLLSSPLALAVGAGVRSALVVDLGWAETVVTSV YEYREVDTRRSIRGGRMLVEQTHKLLAKHLPQTQSGLDDSQDHVVSFEECSDITTRMV WCKPRRASNANRASSDVLAPVQEQDEGEPQVHTASGRTSSVKIPLRSWLSPTTLELPF DALAEPCEDAFFDSQYAPTSFDDHELPLHLLVYRSLLQLPVDVRALCLSRIIFTGGCS NVLGLRGRIFDEVTQLVQERGWDPVRGRAVEQLRTNPNLKRRVSRKASSTSAGTASPG DEDGSEEQDGIWHDAANTIPEVDTIEEQLKRRYDEVPPIHGDLRAIESLGAWSGASLV THLKGAAVATIDRELWLQHGAAGASRASDVDHKTQRQSLGAGSLIRSSAAHHTNWTLG IWGAV MYCTH_2121166 MARRTYTLTELLGLRTNRVPNEVLAMANDPEIGTVTRTHCFSFL EICLTCESSSASCRPPTSRHKDDSSVTSDELVFKGTVSRRMGRDFAREASQNSIRGLS REIPRGAVQAVGPESAQNPPHPMEWKYRGRSDTEATTAEPIPAPTGVSAQRDEGFQRF YKAVVSPTHVRVTAGGRIVPNTRGPPSPTTKRANDNSIMDSHGLSDKAAISQPPTAPV SLSQAVPIVSPLVTGYPSGFQPLQTPVSFVPMTLGAHLHPGFPFPQGAVSPASMAPFI PASALKDLHSTKATEDDKQSKTKTSLSEPFYYNGQLICPVGTFPGPLGSPVVPVHMVG IPQGVAPQLPGNYMQPQSTQASSAATGSSYVTSNQSLSGLPSVVNHIVPANANFSGNT APPISSIRPSDITKKQIGSFKQNLKYHEDQLQYNRHQIDEKDMEQRIQIIKGHIQRFE QTLKTQLEYEEAHFKATQGKNNGVPAQAGMAVEENKAQPQPPTLTVPLGYGAPGIKKE PDEAINQGVALATHGTTINVDQSGKPLHKSHVELASTGISSKPNAAGLPNEAATAPIF QPSGYASTWIGSKYAREMKACEEADKRIHALGVENTESLCEGEQRSVSQPFSASMAAA AHSESSLHGSDERGRSGSNSTKPQSGYGVPYLLGTLPKGVNPRTARDQDYVYKRPLTE EERRARFLYWGKAPKSAVRGLPKYDGKHFYPPSPIKEPSAEQAQDSSCRTTDSDGDPF RPMTPVQRVNIKGVSVSEDNCVSARLTRTISFETQVNGGSEDFTGGAPLKCENSLDAA SVGQSDRRWDNTSAKLWQAVLRKGATSSALSSTTAQGFLPHYTGNAAASLTPSLSTNQ ISSTRDISSGKLSDVQDLSENSGPAPSNVPEKRRENCPPSGVSSLEDQFKHLAVDDAT RREMAPAFSM MYCTH_2311391 MPKQYVVCGRPVPRLGTRHISLLLACLALFALFSLLITAPGSVP GYSPRNPGVRAAGNTFSVPKTFKSPWMDKLNPFKPPSHPPRVQKGDTDGESVWHSSWN WLLMPFSGSVTLDENRALLPVLKERTPVYCYYDGDAFRGGDRASAEAESALLLAWRRA WWAQGFRPIILTSAEATNNPLYQEVQKLQDISPALKVDLMRWLAWQAMGDGVLAHHLL FPMAPHDDPFLTYLRRGEFPKLTRFKDLDDGLLVGSADEVLTLIKTAMDGAETSKAEG VVATAESGKDGGVSLILVEDTPTSLAYYSPSRVEALYPKVGDLLRASHAAGVRSLTQL VNSHLHLIWQNTFADGISVVKPLPHHTTLLITPAYELAQRLAHCPESPLPESCPPNRL NCRPCGDSKPLKIATPSSYQDADTLYTIGTVPHPYTSSTLNYLKTQLSIPWIRRRSPR DAWITSLTSSFFTDKVSTTPRLIRFKEAVASDEPSPVETKDEGKGEKSTRGGGGAHRS LWLPAEQPIPDDLDWHFGFALPDRASYADQSSAPVDTVGTQTRIPLHSSEDGPQPTES DLALEPALLSRAQLIVLSSSSSSSSSSSRISGLLKSSAKPKAPQASREDLALRDAVEA WNLADTEAWRFARAYLARKSLERREWEEEESKYAGGLGTEKSLAKGTSTSTSTSTSTS TSTSNPRKGSGGRGAGGNVWDRWLDRD MYCTH_2130506 MAFCLRARPGRLPMGERVRRELMRSVDHAPAVAVAVRVVATLPV GGDPGSSRYRVTNPKLGRGGLAEVVHRVDLERATPGAGASSGSRKNRHSHLVVGRISG PKGGGGAMAVGHGAAPFIVASQERTWFEETRAASPSCFEWREEGAVSREFYVAGLEEH VYGCRDNYFSDITIASTTTTTTTASRMSRPTGSPDEEGSGPTTTSGAAPEPTGRPDGA AHRAAPVLLAAGGLVAVLLV MYCTH_2311393 MAATHQPPEPTVSRASSPTAAQPPNQRSPPRRALPDRDVDATSI EDAYVAFILYCNPAVPADTDATALREAFRTPPKSGGKSFSTYTLFELIKQLETKKLKT WAELALKLGVDPPDQEKGQSSQKIQQYAVRLKRWMHSMHVDAFFEYLIGRPSPYWTEI PPEHIPVAELERDGVAAEDDMALRALLPQIKPRRGRKKPEDEEPGKSPPQRPSPQRDE AGGGRPANTSEPWTAQPDGRGSVFLFPPVPDPSRLHPSAPSWGNDVAQTPMSAYPVPQ SAITPSSRNAFWADEPKSAITPSKPKSASRRHGAKVVSSAWRSGGLGAGGKTRGRPPI NRGGNAEGPFSAFPTSDGPVFRFPSPTPDKRPAPDSSATTPTSHPSATTSAAVAPGGT SPAGPQAPPPQPKQNTSILSPIQESAASRPAKRRMLSLQVPERKGGEVRLATPPLPEP ATPPAVMVNGQAPEPHQSQTTSRPPEANQTSTTVSGGPISGASGVDSAATSAAASWRQ PIFSPASTDRTNVAEVEALFVSKLLEAEWYDANQSRIPPCGLDEALAISQTVVENLLL AAPSKEAFLINLSGLVGGGLLMPKNCLRVTRLEELPDRTQYSTSWELRFGSIAGTWTM EETVPHAKWKKREQDEGAAPGSATRNGAAGSEAEDWERKYKEVAAMLRQRDEELMRLK SKIVESVRKS MYCTH_85489 MSHSKPVLPQNDNVAHALAGAGGGILSMALTYPLITLSTRAQVE SKRAETAFLAAVQNIIAREGVAGLYSGMSSALFGISVTNFVYYYWYEWTRAFFEAAAA KAGRASKKLTTVESMIAGAIAGSATVILTNPIWVVNTRMTARKNTAAADKDSLPGPAT GNKVDKKPSTIGTLLNLLRTEGPQALFAGVVPALVLVINPILQYTLFEQMKNAVERKR RVTPTVAFFLGALGKLFATTVTYPYITVKSQMHVAKAGEKKEGVMEAINRVIKEEGYA GLYKGIGPKVTQSVLTAAFLFAFKDVLYEYSVRLRGSVGRRTVAA MYCTH_2311397 MVPLDKELMDLSINDPTPVPHFDASQEPPPPPLSSEGIVAQDIT QKFLSAAATLEPGELIKDGFFSLFESVGALEIMDPKMDSGCLAPGESLDDDYDVTRPL LPSEVVGIIDQLLCLEMAWHLGYPLSQTLFTSFYVEKMLNPNPGALRDADFVRDGGDR CSRDPMHRVLRAYCLGLLKSCYYVNERIKHEHSYEEEDFVTNTYNRSLLESIDRYEIR DEIIEARNVIYDVRHKLTDEMAHALAFRLELRTAFLRAIELCELRSDPESLSLPWAQM QGVWEAINKTRHLGKPVPEAFSTKIQRRLASTMPPRPIVQPSPEETYEHFKKLIADGL NVLNVLNYADSQSLLNFVLTFQAQKPQPLVFIRSILQNFLFHDMVILGRLSIRQVLDD DLSIVVLPSSLLLDPANDSVEAPHHPRYAIAHQMELFRQRAAQSYLDIFRAFCQNRCR VRRTLFHSLQDWEMVQADAEEIDQLLQLQTEEKPLVYPPSGDEASHSLPLSSWAYHYK LRLMEWTVQLGFELEIYQADELAGMYWYLSHLSKTRARHLERIKFFTTHRLETSSAAA ATTASNAKAASTASSPQYARSKSYLRVTTLEAALTSELAAAFCDLYIALQRLSLIPRP PRPYGTDALRYEVRMKSFANIGLPELPSFEAFSHAVNQPDTPTIKLLDNAAAAAAHAH AGLEVMAKMSAIEAFAVGSHERWLESVKGWSKAATALGMAVSKVKKAVTAAAAAEGSG NDGVGGRLQVQVPRPEEGYHEWWIVPKVTER MYCTH_2145409 MICRPALSRGSQLALRRQGAAKLAQRGFAAAASPKPSYEPTTIA GVKVASRDDNGPTTRLAVVAKAGTRYEPLPGLTVGLEEFAFKNTQKRSALRITREVEL LGGQLNAYHTREALVLQANFLRDDLPYFTELLAEVLSQTRYTTHEYHEEVVNVIHQKQ AKLDAAAIALDAAHSVAFHTGLGAPLYPTPSTPISSYLNENSVAAFAEAAFTKGNIAV VADGASDAGLSKWIEPFFKAVPAQSSSSLSNAASKYYGGEQRIARPGRNAMVLAFPGA ALSSSQPETAVLVGLLGGESNIKWSPGFSLLSKAATAAPGSTVKATNFAYSDAGLLTI QINGPAAAVRKAAEESVKALKSVAEGGVSQENLVKAIAKAKFNLLSGGEVVGTGLLHA GTDLIHGFSQPLKVAETVKALEAVTTDKLKAAAKTLLEGKASVAAVGDLHVLPFAEDL GLKKRIPIQQDSATSQPAKLAKMTFAWKAAGITYNRYLAVAARALRRSLKEDKRLAAE RRGQQELRFAKWTNGKQGELRNLADANAQAAVESAATGGTA MYCTH_2311408 MLVTKPAAELCALLVDELHGELPSRIFAMLLSKGRSTVPQLSQY TSMTPRQVRHGLAVLQQHNLLFYHVDPGAEFAYYEANPDHAYNLIRSGKILEMIDTTF GAPAKDVMQSLLLSGQTRISDLVAAYQEKIEQANKSAAGVGNEDEVGAEPNGLHGDSQ SSKKTGPLVKSTAHLNSIICRLVEAELIDVVHAKSFESPEDVLKSVEKEVMDKYFPNG VKGNKAKAELQERIAEGLRKVRGESKSLKRKLEQNGTAAKRRKLMSGIGAVNGVQDED MDPALDPRQVIRINYEKCLVDLRNRRLVQYASDMIGETTAYVYGILLKLLTKDVPRCR LDPVLDAENDNDEGEKGPGSVTTEQILDNLKTSVDLSLGIGKAERRHISSTAAEKIER YPPQKKVLIEEAEVDGEASADEDEGDDESSEESDYESDYKAPTTNGTNGVNGTNGTRV KFEDATAPKERRMDRPTQLRQHLLILAESTQHFVRHCGPDEWTVDFGPVMNSLREAEL DSVIERTCGRQGLRLVRILRAKGKLDEKALPNVALMRKPELQQKMLEMQTAGFVSVQE VPRDVKADVKKSFFLWYCDIDRSLKRLLDTSYRTMVHCLQVLEALRQKERDVLETTKR TDVRGRERDIMRKSYYERYSRFLDCERKLFAQVMRIDDLVSVLRDF MYCTH_2311411 MSPPMAGAKEKESNLARLLGSGSAGIAELAIFHPVDTIAKRLMS NEGKVSSLSQLNTVIFKDKASAPLGRKFVSLFPGLGYAAGYKVLQRVYKYGGQPVARD FLSKHYGKDFENAFGKKTGKAIMHSTAGSLIGIGEIILLPLDVLKIKRQTNPEAFRGR GIFKIIKDEGFGLYRGWGWTAARNAPGSFALFGGSAFAKEFLFKLQDYNKATWFQNLV ASIAGASASLVVSAPLDVIKTRIQNRNFENPESGFRILSNMARKEGPTAFFKGLVPKL LMTGPKLVFSFWLAQTLIPAFDTAFRK MYCTH_2311416 MEHTRRTADAVVDLPSYRAATTRPDWLALVAPYVRINDYARLCL VSRHFYRQFAPRLWNDPLAVMRSLNPHCDPGCLIRFMRHVKTRVRATTPGNLVRSFDT RWVSQSYDYAVFAHAGVGGAVPLSSLLLSIASGFPRLRCILLDGYPNLAHEPPGSPLA PDRLPPFEPPFEPPFEPPLLLSTARCQVALPPSFFASPYLKRLVYLDVSDMPGSLRHP LAQRTLSPANLPGLRILKLRGREMDDATATLLFKTFGEQLWSLDLSRNKLTGDALDAL NQFSFPSQTSRTDHYSVEGRIAWLLTGSPSFGTFCTVTESEWSADFSHPHRHIADPPC YIAPGEAGPHPTIAPRLDGRVKILPDSADAVKAMLSGGVGSHSPPLESVQIADVCRAP HGITHLYLSSNKVSAEGLSRTIRSSPGQLQRLECDSVSFKLPDAAPPSWLSKARLSGA LGCAHLFRPVFSANLQVLRIHHSVVTQLLTLSLDRLSPMANMWVAETQLLPRAELAYP EAFVPDMNPRLQSLVLTRIPRYSTGPLIDKLIAFLNLASIQERAIQDTKSASRHGPVT LLGLRHIRLEFDPDPLEDLSRDSFGLELGFDAAAVMEDATKEFSFFGDSGWSSVLSTD KAASRATPPNDPESEPNPRGSESEPTRISQSARSHPPHPPSSVHAPAPVAPATACPDE TSVFPRHPDHHHHDSPERPKRQLNHVRIPHSWTWHKGATPLSALVWAGYSSDRQEEEG AGGEGGEEGSGDRDDGSAPPAVREYTRLLRAHPQLRCDPVPATPCHVRAGVPPGELLF GPAWASILCPPPSPSACATTAAAAAAAAAGASRPAVPTRQQLRGMRDVVKAIKEYRAR TRQAYEAAKKEAEARGEQVRCGPPHFHWGGRLEVEVDGAGAYHQSRYWR MYCTH_2130517 MGRYVRPRAREPIFSEGHTNEFCGTRDSTPEAAGACAPLRIGRW VYEKGAGKPEARGNCYFSHPLAARIRPSWWLRAREPIFSEGHTNEFCGARDSTPEPAG ACAPLRIGRWPSQAAVGPISYVAAFAWCPTVCRGTDDCGEHRLSTAAATGATSPGGAR GIWEDSAGVREYPMCAEGWAMWVLGGSPDLSKATGGTYRLGTPTGLNLPVGPPLGALR RRLRGAIAPRSRPPTALWGIQEGKADVTRGSTGALPDELRGHAGPRARRPSNLVNSSA LKHGRLALTGSR MYCTH_2071285 MHHHPRNQERALNLSILIVSGPGEFPRVELHPWWCPSVNFFKFQ PCDHTPPGAQTF MYCTH_2071504 YIRQVSCYTVLSGFRLPWPPSCCQDVLTPFVVSDERPLRHLNPA FGSSRIASSAYQKWPTSVDTFEGPRSTK MYCTH_2071514 MWVLRGNATERGDDGGGPGQSSLFFLTVYHPGNKPDTSVGSGAL STSLENPREGIILTPGRTHNRSRSPRIGSKGWARWALGGRPGSRSPLAGQPAGGFQHP GADALSRLRPSGARLTTNLELVRTGGI MYCTH_2071953 MNAWPPQASYPCGNFSGTSSLKFRGTKGSIGHTFMVCIHTENQN QGDFYPFVLLEISVLHEPPLGHLRYGLTDVPPQPNSPPDNVFNPGVVVFHRRRSSHLF YTSYVFSQCQTRVKLNRVFFPR MYCTH_57823 MELTRPSICKNPFDRGSDANIEFTGFPTEWPLGSVDDSSHTFSS FSPHTPSSGRSTPPCSSSLDFNYSSFASSIDTVPFDLSPISCVTSIYLPMTPKAGPIS DFTRPSIPITPIWPKYDSQNHPLSERTAQVYFSQTMDCGLAITQLSTHPHLGGSSVLV QYERLSGTTTSNWMVPDSPVNFEPHSPTQFAVSGPSMEQQDGAEPTAPRTPSMKARRR ALTDEVRHKTTVLQQQMQQCPSPKTRTRAKKETRCRTVMMADGSFALDSVELASTFKC PIEGCGKMYRRSEHMKRHIQRLHTTNRWDNLKAHIRLHGIQREHPGSKPRVQFAAGAV LQYEEIMKNESRRGDGTKHKKRTSSDS MYCTH_2311417 MPEAIRGGSAPHRCRDTEALADNDSRNLHSSSNKQSNQIADRGN IHCPIHGSIGATQTTSNSRYYPPDLKSL MYCTH_2311419 MPHAVSIATPGLQALILCGPGSSFTTFTANPDENPKALLPIANR PMVWYPLEFCYRAGITNITLVCPPSAAEAITTALKTNPFLTSLPFPRPDLLAPKDLDQ NTGTAEILRLPELQATVTSDFLVLPCDLVCELGADKLLQAWMVKSASLDDLVGDSQSR GPRSGGLSVYYQTKTETPIKDEETDFVATVPLPPASVLPPKGSLFPNMAKVVYSMPTD SLKDLTEEKKGFPVRHGLLRQHPRVKMLTTHRDAHIYIFPHWVMQFIKENERLETIGE DVIGWWVKASWQKGLSTRLGLDSILQRPSKGGSDGQASPGGHNPSSGTHNLGLDSRAT DAPNPVVSVRNGSGDDGHSIPGDEAVSAEAPVPPMLAYFHPTDPSAPVIRRVDTAQLL LQVSLQLAKIPSLEETVPDAPASPFAHARKVAYPEGVKPRTTITKHDSLVADNVTVQE KTSIKECVVGANCQIGEGAKLSQCLLMDGVVVGKNCKLTKCILGKRSELGEGCVLTEC EVQENLLVEAKTEAKGEKFMSSSGLEASEQELDAFEADHGIDDDDDVSDE MYCTH_2311420 MSPPYESSRRRGVWSHWVPLAVTITVATMGVAAWVWSQRKDDDR GDAEQIAAEYQDLDYDNADYGDNPPYGASKDGPDPKSTAVGGVQPRSGDAGYGPGQPP AESSSSYWAALRRTPSPQQFFDSARRTVAAGVTAAGAAVGSALAAIREEDKTAFADHE TWSEEADAKQERAAGPPQTKDTGKRRKKVAIVVSADSGLGEVDADVFHEHASILSHIP TQLDHSVIKLYVLIYAPSLKDTALDTTSNLPPPSLSSSFSNIDHAQAQTSEEARSPLA GASSADPAYHAIYSQAQALVEKDSMILTFTSPNGHAHILRHIQPEIIYLQESLSGDNG SVVTNLQSWLRHDLVLVVGAERGHGGLADSESEAEQPDKGKEVWWHREDRVGRGRGVV VVDGMRVQDDWARRVLGKE MYCTH_2311422 MSARRAPPSATRPPSNPPTANGAAPTSARAPSRPRRDGSGQALG RGQRSAGLRSASTAPDLSSSSPVTEPRPYVVTDEYILKKFHGCPPSLIVHLHQTHFRF DQQQGMFPFHSPMRIFLEHLKTRTVPYEMLEYFSDVPFYDGCLIVQVHDHKSVAQAQD EARPTSSSSKSTPFSIHNYNQYITPSPYVPYPKENLPSNGTSDSAAEKTAGEKDKENM PAPNASADGQKDKAAPKAKVYTIVLHPTPLSLHADLSVKLHTPQGASDARADGTVPPP TPHTAVPPTPAATNMPPPAKKQKRERMELDGLSKYEAEAQILLATTPPLDLEPTKNAE QTIALLEKQAHPDHSHKPPEPKIRKRTVAEVAADEAQAAGQERFMLSMDERLSAKLAG SQAAGNGPDGDGQAGPSTWEPRFERFKLIADIKREHAERKEAERIKQLEKERKFQQQK LQQQQQQQQQQEAAMLAARQAEAERQRKEQALREQQQRQENQRRMQAAAQAAQAQAQA QAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAHAQAQAQAQAQAQAQQSA GASGMGTPRAHPVHQSPLPAGMTGGMPVNMAQGQARFPQVSQGQASSPIIRQNTPQNA SSPMGMAGVAMQHSNSNLGQAGSPARPPSVVQNHPMGAPMAPNMSARGSQQSHAGGTP RMPNATPSMAHATPISRPQMVATPRMTQASPPPGMMSAAQLGHMMMTPQGIVQMPNVG ATAATIAQQQRLMQQQQQLLQMQQQNGMMNGNPALQHQLAQQQRLMAQQQLLLQQQQQ RGQMMNQPTQAQLAAQYSQQLSNMQQQAAQMGQMNPMQQAAQRQFLAAQQAARNQNMM AMSGMTPAQIAAMQQFQLAQQQQQQQQQQQQQQQQQHQQQQQHHPQSGQQQPGHQQQQ QQIAMALNTPQIQNQIRNITTALITKNLPTFTARYNGMPIPEKELTEFKRGCALQARN IVLNQLNGMAGQQQQMGQNRINLQAQMQAAAMQQQQQQAQAQAQAQQQAQQQAQQQMG GQGM MYCTH_2311423 MRASTAWRSTAVEAAALASLVLALAPAVTATAATQQTPTAPDLL PAAAALACDNIVTDQGRKYDLRPLEGPHVVVTHEYTRPTYHNTSYAIDVCGVLKSKGK GKAEERCPDGTRVCAIKHRWDPEADKLIGVDQVIPIVAGDEQTISWEAKRLPPEEDGE AKKDGEKKEGLRLTLQGALKYQERIQRTVIDFRCNPDLEGTEGEWESPDEYVPGEKDA RAEEDKKNKEEGGEEGDGRKDGDKEEKVSTPEKQLKKDGAALIWGGYKRGKDADGGEM DTLYLTWYTKHACDAAVDEPAEESKGSSFFTWFVVLFFLAIASYLIFGSWLNYNRYGA RGWDLLPHGDTLRDAPYIIRDLVRRILNTLQSTGSRGGYSAV MYCTH_2311424 MTGTLFTVPIPPLGAHPGGTIVATEPAPAVYLLTITSPPDNRLT TASCNALLDALDLIEFGGYKPGVVVTTSGIEKFYSNGLDLEHALSTENFLPGVLYKLF HRFLTYPMPTIALLSGHAFAGGLMLAMHHDYRVMNPTRGFACVNELEFGVPLKAAMSS IFRLKLPAATYRDLVLEARRFAGPAAVEAGLADRVGGLDAVLDLVRERKLAGKAQTGI YGLLKAEMYRESVALLSRQGWEQGEERDRLLAESEDKRRREGEKRVAELKEMARL MYCTH_2311425 MSNPPFAPENTAAADAAAVSPIRTAETGDAAPSPSSPSGTQTQT PPPSWLPGTAAQEEGSVSSVPPPPPPPPPPSPLPPSQSPPAGQRDTAGAVPLAAQATG ASATAHADPVKHARGPVALQRPHGPSLLTQALATARGIPRQTQCDPSQPQKAHPSQHP QNHSEPQELHNKDTGSRNTRHGSRPQGDGDSLTPRLSPRKSAMSSSSTATSTAVAAPV FGRLDLAEVNSMLSGHRDFLNKTKAKVGPLESSERERPARWDGYSNDKTATSAYHHTS VHPTDPPAAVHHVADAENGVVDGRPQLRPWKTEHRVSMGPEKVWSIGAGDAGGVQDGQ VEKSISEVLAGVEHNNRSRKASHSLRFFKEGLPEEKGKRKDQRSSHSTRDRSPSRGEK LADIQEQPVGGESADAQALGDAASISEPHKRMPESKATQGSPRYHAVPQHGEFAQGPT APAAAAAVVVAEPVPSEHELGGPEQQGPDSADSSHQSKPRPESDLGNGVGESVEEGED SGEEKISSAVFLPHQGPGESPESSPVSGMPQKMVPSRRHSRHGDFHPWLVKADEPEID DEQKSLNNFEGEVGLEAAEYPSVAPEVAYRQAEDSAPVAQREPAVHSSRLSRPVSHYH EDAVHEHQWTPKQPLEAIELIPYKHQVGGHTTLWRFSRRAVCKQLNNRENEFYEKIEK YHRDLLAFLP MYCTH_2311426 MKRRFGFGIADLEWLHPDNFGNEPICCEALTNPDEVLCYGSDDE AYDSPAERRIRYEAQAERFLEGKPVFLLSASLRGPFDKASGWTNPWRSKSGTREKYNQ RKPSSAPRRAAEEGECKAVLDSSNSRREASETKPTLPQHVYTPPRYMDEDTFHRVQSW RDKVIVESDLPPSSIQHSPQPEPTSAESRRATQHTNRRQSGLTLDTLDDGASELMSHT PRVYNNLEQDRSSETSRRKGTRAVACKPGQDLGLNRPRSGLPPSSTHLLERTDPLPHA AQHDEQLGRAARSNRTSAGGRDAPDTTAPTPQTRATSPTTDTPAASAPLGVGNATPSG ALPERAASFVLPQVQATPRTDGSFRYRTKDARGKESSLDRSKLANASSAPNSPAQRQG SDGQTFPKRATGAGGDHSLHSAESGGDVPGNVETPKGAGVVQNREAATEVAEHGISGE GHEVEASVNVAHPPHEEGNEDGQGQAEDKDSAKTPSQIDGPTLVPSESLSGSEYDGGP SFGHFSAEKQSQDVISEVFGSSRRLLWPKTQRSTGGDSPRMLGIGSPRPQESMHSRHS SDCRRSMSVSSAALPQETVYLPHEDKTVHAAAEDCGSFIKTEPHSNGEVAADEVDPAV GTAKAQAENEVDDDAMSVTEQLQAGAQTGFSCESSSANHLQPTSSCPAPEIQSPWASD GPAPCLKSQGFQPQHEGGNKAADIRVTPPRTVQSPWCKTEDVVPGALDIPAPPPHLDL ANTRLSSIASQALEQAVSQSPWVRGDSQMQLPEVRLFNPLSSPASSHVSPPADPLQDS VIPYNINNDDADMCSPPPYPPQPSTPETKQSGLPSPDFTLSVKSFRDFMTPSPAKRRR ISAVTNEEHLPSTQALVEAAISNPWTRESTAKAKQPNFKPRRSQKQQQQARPPKRVSW APLPGESTPDDANMTSSSPHGAGQPLHTQEPSTPRSPSLGKGRTKDGLARPFRATSPP PSILSTSALPTASQKFGKHFAAVAAASSRRGDVGMGMGVGVSVPTPRTSQRTQASRTV EKSLLPSASQQVCGSPAVEAMAEAFLRAEVGIQPHAGATAPDAADVTAPSAVGHVMGR EGEGEGEGTEPIEMDGVVHGECGEGGGEEGEMGASGDEGNLEEEEAPGFADEESPVDE VSAVMDNLDEFLGGNWDLEADLAKVRAEQARNGREYEDGMSRGAAGLSGLMAVNVWD MYCTH_2311427 MGHAWLDSLSEDWVSQPGSADASFAEHEAAPVPAQKLPKPQTPS RIPRLSPHVRKFQSALGNAGNSNASNGNSATKSNSNSNNSNNNNNSSSSNILSERSLN ERLAAQSRRTPSKLSQEVSPNTGGRDCPACRSASASTTNSVVRRPFLRKSTGTSQSKG RAGDTPEWKRRLVYGELSYGEQKDLFTSAGAGLESIFKPPHPTPGPSRDGKMDDSCHE HDQEQDFAAPPSPPSHRRNPLTVDTHVDESPQQLPQPQPSRRAGPPVRYRRTEESPDT SKDSELSMQQHESCSCQTSKTDQTALSAEEGPRKVSGRSDIRNEDFSPILLERRQASN GATTFSPADLPPDELRKRLEMLRRNQMLLAGGANDGTIHNHTPSRNETTEDYVRLEGF VNFQRGGRSEEGSFRNRMLSSALNDSSELNPEESLQASTPKQFLSVRVEQCDSSEHRP VDSPDVPRVPDPSPEKRLAPVQPSPGSPLKLFQPYDTFTSQTLLRRLSQVHGEAGDIS FSIAVDESRMQGDNPSGLLPPASQNGPDDHSFAHASRFGAGELDGYEFNDEFSHLSHN ATCMDGDKENREPAEDSLLPQRQPIFDLPHNSSPSGTPDLVVNRRRKSTNSRPSRRSA RASFPAYSDMLSPPGGRRDLSGTEIKRPRTSPSKNPTPKRRRTLHRSDISYGSGDPNS GVDSAQLSHLQMQSVLGRLRQDVRESDEHEMAGHDLHHSLRSRTPTPSQRRSLQAERQ PLAQIERSPARSSRHSQPPAALPLGTTVETNRKSSIKTEDFINEANKIMAMIRSKAGL PSGLASVEESDEEKGQPSPELEGSFEESTQEPFSRPPSREGRPPLTRKSTKQEDPVLA EQLKKYEEASDMGDILPSSVRSSHPVRGSDSVTREQATEEWAKEQSQRSGSQVNTVSD PPNIRISRNSDWQEQQSANGPADGIPSQGSAGLNTQSTGLSLPTGSSRVSSKGSEARK TIMPESVSHLIPDQVGNMVLDRQRNMWVKRKSTGEPKESFYQSEASDDDPFAGIPDLS VDVTKEMQNLLLTGKRETALQNRVASSAEISPTRPPRVGSFTRAALDAAGGMPTNQAP NSGSAEIPAEKGSSVNEGRVKELRRLTISFSSPVASVIQDAGVTNEMLSEDSMLNAQA EAPAPPARGRRLVSVQTTMGSRTARSRSTSRGPARHLSIRGKSLMARPVSRIDERDED SASHHDQPQEAASGMELSVLGDYSVANHDDGGPRGSLNFLVTTPARAPSCPVSGADAA PIISQYVGTFSLSPLSEFTVHRPEETLPLEASYVVDNHRLVTGDKPKHVMSMSIRELV EKLAEVEPFEPYWEDMRELELREKGLSALHALDEYCGQLESLDVSKNKIRNLGGIPSS VLHLRITHNQLSSLTAWNHLINLQYIDVSNNSLTSLAVFKNLIHLRSLRADNNQITSL DGIKFHKGLQTLRVRGNLIKEVDFDGSTLHQLTDLDLKNNQIRHVANIDQLPLLSSLD LDGNRLTSFSVDSAEPMTSLRYLRLDDNNLTTLNVRALPHLRLLHADRNALVQIAGFS RARRIDSLSLREQRGAEPLDLPHLLSRAYEVRKLYLSGNLLQSFSPKADLLNLQLLEL ANCGLSSLPQDVGLMTPNLRVLNLNMNALTDLTPLRAVPRLKRLFAAGNRLADPAGVV GTLGGLACLAVVDLRDNPLTQGFYAPVQVVVRKSGSDDSVADGAEGEQQFVLGDQDAE RDARYCARLDMDTRMRRRLYETMVKKKCARVKKLDGLVLGDAAATGESDGKEGVDVVW AAMEEKGLVKTRGSGSSSAKGEKNEGVPAAAADADAAAGEKEAAGTVRERSGRWPAED SFA MYCTH_2311429 MSLFGSLRDKLSNRNRSRSPSLNPDVLSHSGDAHLAGPSGNKTV SGADNAPPPPYTPIDPAPAFPTLAVSNPPSARQPSPAPSFSSARSNPSITSREDPYAF LSSFDTIFLIDDSGSMAGRSWREVKEVLVSIAPVCTSHDANGIDVYFLNARNPSPSDR DDRTQGFRNITTPKQVEDVFRRVRPQGATPTGTHINAILRPYLRELEHAIARTGNPDE CGVKPINMIVITDGAPTDDPEAVIVSLAKKLDALDAPPHQVGIQFFQVGNDPEATRAL KELDDGLTEQGGGIRDMVDTVTWESSPGKPLSADAILKVVLGAVVKRLDRRTLDGQGR RGDHLAP MYCTH_2311433 MADVPGFVHRKFSINRNTGVPRPARRFSIGEPGLSEASSKAHRQ FRAAHEGHLPHAGLDATRASTGVVWCTERAAEFGFFDEPEKWANLGQGAPEVDDDIEG CFPRPTQIDISAASREYGPTAGIKPLREAVARLYNEMHRKGKPSQYTWENVAIVPGGR AGLIRIAAVLNNAYVGFFIPDYTAYNEMLSLFKNFAAIPVPLSEEDGYHIHPEKIAEE IARGTSVIITSNPRNPTGRVVQNPELAEIQDICRERATLVSDEFYSGYNYTSDCDGST ISAAENVIDVDEDDVLIIDGLTKRFRLPGWRVAWILGPKEFIKAIGSCGSYLDGGTNV PFQEAAIPMLEPSLVRQEMAALQRHFREKRDYVVARLRAMGFVIRHVPDSTFYLWLNL EGLPAPISDGLNFFQACLEEKVIVVPGIFFDLNPARRRDLFDSPCHHFVRFSYGPRME VLKMGCDGIERVINKFKNLASH MYCTH_97047 MHPRTSIDSDFALASSDIRPTTNQFIVWHVATPALPSRKGARRK PTDMNQASFSEAVAHGDLEPTVSDHATDTLPPGPLSPGDTGNMRANTDDPRAIAGGRL GSKARFLNTGVSVAVGEAGSGSDNGGGSIEPGQRPSFHINIPWPLVCLPFPVALAHRE CSELS MYCTH_2311439 MSSNGFSSAAAAASPAPAASTPPVPGSSPSQPASAGPSGPGGAA PAPPQARAETQPTVEDDTASTSPNGNAKENGQQQEEEEEQGTTTDAPKPLPALPSPES VSGEGGDGVRTVHVNGQPIALDALGPMVVNRDGTISRIANWPEMTEIERENTLRILCK RNQLRLGNLRAGRPADAPQEGSS MYCTH_57777 MSSYGTGSRVNVSQYLRNLNVQGPTVEETFTDEDLEKDLALFTN TQFFDFETGQHTDYQAPPPKPTVVQSPTEDVTPTESIMGDYPASYDFPISADHGTMSP GDFTFGDYSSTTFNSPTVPAFPETLGNHLQPIQPNPQTAYPGQVPHHQHHQQPTGYVA PSPAGAAIVGGGGQKRKAESISGHTHAPNGRVLSFEEASRLAAEEDKRRRNTAASARF RIKKKQREQALERSAKEMAEKVTMLEGRISALETENKWLKGLVTEKHGGREEILERLL KELESSKDTAKDSISVASDQPKKD MYCTH_2311445 MARISVPTTAHLPSTLRVDSTNPAAARILGRLSRSSLISVALDW LDETNLPQALPYLRHDDGDEEDEEGDDEEDDFYPPARSAEELRDMYNSLLERKGSKRE VLDRITEGDWRHGLTLYQLAMADLQYLYDHPTSQQWSAYRIVPLKPPRDPDGEEPPQV DRQSLTIPRFHPSTFLRTLQTQVLPDVKAHYNFDTHKSLPLLILRIFILDSPYNTNQA VQQTTTTTTTKTTAFDSSRTVYIAFPDASPHLFISRPQTTSSSPSPGAAGAGAGGESR SLRNLLVEGIPKALSRPLQRQRFTLQSTSLVTRNLAELVERRGSGRTNFAGGGWSIYA EGGEGRGARGNNRETPLDLVLPSPPLSERDEDGLGGEDDPRGGKRSGGEKRAAPLPVV LTAEERREERAAKRARLVARARFGRTAVMGDGKGVERVDVVIEDPFPEVAARRRDGGP ADGEGAAAVGRRRGKGGGGSRADAASKGGGRVDDADRNVQPEEEEGEEQEEGGAQGWR PHVRLTFHGSHVFAGIRQLVECGIIDGERMPGWMTGEEGVTIGAVRNGRIRGHKGSGV MYCTH_2311447 MARINTQQASGTPATAYTPRYIDIGINLADPIFRGRYHGKQRHP DDLKAVVGRAVEVGCTKLIVTGSSFKSSRDALKLAKEFPGTVFSTAGIHPCSSSIFSP SHHKHHDESQSEGEEDEHTPACDPDPSKPIPDGEGVDHERSTKIIADLSDFITQARNN TSSSSPGLVAFGEFGLDYDRLHYCSKEVQLHSFAAQLALAASLTPQLPLFLHSRAAHA DFVRLLKEAFGPRLERLEKGGVVHSFTGTVDEMRELMDLGLYIGINGCSFKTAENCEV VREVDLSRLMIETDGPWCEVRPSHEGWKYLVEWEAKAKAASEAIAAEEAEVAAPAAAA AAAAANNSTNSSEGQENVEQPERKEKPKKQQQQQQQQQQQGKKNQKKEPEVPERYKVV KKEKWEEGAMVKGRNEPCTIERVAKIVAGIKGVSLEEVCEAAWANTVKVFGLDS MYCTH_2311451 MYVRKRDGRQERVQFDKITARVSRLCYGLDMDHVDPVAITQKVI SGVYGGVTTAQLDDLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQWSSVVSDLY HYVNPKNGKPSPMIAKETYECVMRHKDEFDSAIVYDRDFNYQYFGFKTLERSYLLKLD GKIVERPQHMIMRVAVGIWGDNVERVIETYNLMSNKFFTHASPTLFNAGTPQAQLSSC FLVDMKDDSIEGIYDTLKTCAMISKMAGGIGLNIHRIRATGSYIAGTNGTSNGIIPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHADVFEFLDLRKNHGKEEVRARDLFLALWI PDLFMKRVEKNGEWTLMCPNECPGLADCYGEEFEALYERYEREGRGRRTVRAQKLWYA ILEAQTETGNPFMLYKDHCNRKSNQKNLGTIRSSNLCTEIIEYSAPDEVAVCNLASLA LPQFVDYNEGVYDFKKLHEVTQVVVRNLNRIIDINHYPVKEAYNSNMRHRPIGVGVQG LADAFLALRMPFESPEARELNKQIFETIYHAALTASCDLAKEQGPYSTYEGSPVSQGI LQYDMWNVKPSDLWDWDALKAKIKEHGVRNSLLIAPMPTASTSQILGNNECFEPYTSN IYQRRVLAGEFQVVNPWLLKDLVDMGLWSDNMKNRIIAEGGSIQNIPNIPADIKALYK TVWEISQRTIVQMAADRGAFIDQSQSLNIHMREPTMGKITSMHFTGWKLGLKTGMYYL RTQAAAQPIQFTVDQEALRVQDSAVSKTPGLKKRGPLVPSYMSSPTAVPRPMALTSKE STPNGTANGSVATPTTPPPPLTPVKDTKPRVLASPSKPPAFKADIDEGDSPKTLPTEP ADKPKTEDIGSPALDSKKDAEDNEDESKEREMDIYSEAVLACSIENPEACVMCSG MYCTH_2311457 MKRTITAIFAVAGAATVAAVDFPSNMPDCGENDIACLCRNKDFG FGIHDCTIQVCDSVDQANVAIDWGNSLCAGVNVPANIPSATSVAGPTGGSGSASSAPV TSESSESGEASAITTSTWTSTLSSNSATTTVIGETTITGTGGAGGATSAVESTVTSPI VSTRTAVTSVSEPSTTTSSAQAPQMTAAPALGALAAAGIAFAFF MYCTH_2311467 MEPQQPSQPPFRRPSHPRLHSILPPTPSHLGQHRTASSTPVSPP GLFSPSMPRPNMVFQSQPGSETATPGGLNSPYLHPLQGHKVRETHKANVEHDYTTGRK HINNYEIIEELGRGVHGKVKLARNVENGEFVAIKIIPRFSKKRRLGKVTAMSTQDKSK KEIAILKKIRHPNVVALLEVIDDPELKKIYMVLEHVELGEVVWRKKGLPHICEYERRR IEREKRGAKSTPEEDRFERALLRRQAAKAAAQRASLRARAQKPSADYWSLEYGPADDE ELDAHVGSLGRDDSDLSAIRPALSNSSSLAGSRATSRAPSRSQSVKSLSRFAEPDVAP AAHDGDLETPGALPIKGKPGSSTALDGTMYGPYADDPSLQGRSPSMADSLISHISSFD FNRVHDPFVDDFSYVPCFTIEQARNTFRDTVLGLEYLHYEGVVHRDIKPANLLWTKDK RTKIADFGVSYFGRPVRDGEPDDTISESEARDFDDDFELAKTVGTPAFFAPELCATDL YDAPPGKQPKVTEQIDVWSLGVTLYCLIYARLPFLAEDEWQMFKKIANEEVYIPDRRL RPVDPATKPSEKSLYTRLNKPPYRNDDELAYEDIEPELQDLLKKMLTKDPEKRIRLRD VKRHPWVMHGVDVMSWIDDTDPSRRASGKRIQVDEKDMARAVVPLSLMERARSVVKKA VGKVIHPRGDRTDSVSSRRRATSSAASSAGDITGLITPHLRDSRRKSLRPDDYFSSPS QQPGGHPLTHSVLASPETGSPPVPSPPNQASPAGGHASRRPLELLSNLAGRDDASRRR QSGSPHRGLLPRHGQSRSITNAFLALTPNPIETLLAQSATPFLDSPSDDPIRALRKAR DTKPHADEPGRARSVDRGLFASDDTRAEARVSLSTAVAPGNVHFAVQPRPPRSTDPGG QDASTAAPPAPGSLSENSPGQSKPDPDLLQSEKRPVAAQVPEAVQKPSTPEPTEPALV PCPPSPDDETLPPLPPSRGDTATGPSSSSTSVGALTTPMTSPSESASPIYELNNQMTK EVADRMLAFQSDPSLPALLSSTSSVSADPEGEFLGHPGFVGRSAAVVDTTDSLTPPAF AKEPMSGFPLEAQDLEGAVPVKLDNSSVCAGAPAGGMFSTGRRGSRCRQHDDDEGDND SDSDEGLTMARRKKKPAESSISTAAGASSLRDVQVQTHGVERLVRNARRRDTTASVDS TETAKKMSVDND MYCTH_2311469 MAGDKRRSKTIVFLHPDLGIGGAERLVIDAAVGLQKRGHKVVIF TSHCDPAHCFDEARDGTLDVRVRGNTLVPPTILGRFAILCAILRQLHLILQVAVLTRE LAALAPDAFFVDQLSAGLPLLKLASPGPGRAPVFFYCHFPDLLLARGRARLWKRLYRL PFDALERWSMGFADAIAVNSDFTRRVVARTWPGLARTRQLHIVYPCIDTTAVGGARGR GKEEEEAEEKEGKKGKKGREKEQEQVVEVEPLPWKQDGVVLSINRFERKKDVALAIRA FALLPAERRRGAKLVVAGGYDSRVAENVSYHAELAALADRLGLRHATAKTLVSALHVA PAVDVLFLLSVPGLLKEMLLRSARLLVYTPSNEHFGIVPLEAMLRGVPVLAADSGGPR ETVVDGVTGWLRDPERPEEWSAVMDRVLNDMPEQELQRMGRAGVERVKSKFAEAQMAE RLEDIFDGMEKTSQGPSGASLFMMTAMAGLVAAVGLGSSAFLAGRIGGISFA MYCTH_58223 MAGARSDIGSPSSSDDESVAGSGGGAPLYAWSPERCALLSQKNP ALGFHVNSAGTRSDEATDESLSAAAGSAFGSEKEEPETPSDHAVQISEPVKPRRSFSR SALRPTTDNLAGSSATSAAAIPPSLSLSAYPGPGDASAQRLPTLSLYAPASSSASGTL DRSSIPGSTTHSFISAMQAMTLHAAEDNLHPSQKAHREQTCKLQARVWGLRQGMYRQN SIPHDFLLSFDYDVQELMNNTVKMNQAVDQLAAELKKDPDECERIPGLEATIRGLESD LKDWKDRALNAEKTLAGIAGRADEDMKTIQFLKEQLRQNEMARTILQEQVNGKRNLWL NVHSNPEERAAILDTLARSSTPLSGQTFAMTTDHPYAPSLKGPASLRSSSTHVTHVTH SGSIDRSGTASPGAANPAAFHHSATGQLAGPLVRGRPPFHQALPHSHSVPAVYHAQPN QNHQRCLSNTTAASGRSAAGYALRPPSGPRRNTRVGPVVTETGSPKERKRSTPHSLVR AVGLEDSNCLEWADEFQSLFALVYGFCASYFHELPTLDDDWKRQLQSEANGQLWDYIC KICRTHQEQEPGDHAMRLLKDRDSRPYLMQRLILQHILIFICSYEGWKDYSEDADDEM EKLEEELKKMDSSKTYDRQVIIDRRAQLVADMAEGPNAAAFKNYKLTQHHQYLKTMVA PFLARRKVPNVTNEAFYDLFTITTAAWDLSAKLLRSRLTFQYSWGDAGARFSAETHEP LDCTVDRLTLQYEHCRLRFCATPAVTMRNDQGMTIDTKNILKAGVLVMGF MYCTH_2311474 MSGLDVEALLDSTASSKEQNGKANANGDSPQNGSRSEYDRDRER DKDRRSRDRSRDRSRDRSRDRSRDRSRDRSRDRGHRRRDRSSGRYRNSSSGRDTPRSE AGSHRSRRRSRSRDSGRHSRRHRNDGDYYRGSNRGRGGRSRSRSPSRYYRPPGDDRRD RDDRGYRRRDDDRRGRRHSTPRDPSPTGDERDRRTVFVQQLAARLRTRELKEFFEKVG PVAEAQIVKDRVSNRSKGVGYVEFKNEESVAAALQLTGQKLLGIPVIVQPTEAEKNRQ ARNSESSGHPNSIPFHRLYVGNIHFSITETDLQNVFEPFGELEFVQLQKDDSGRSRGY GFVQFRDASQAREALEKMNGFDLAGRPIRVGLGNDKFTPESTANLLRGFQGQNQGSAF SGAGGRGLQASNFDRAGGRDSDKGTAASALDDTDVAGVNFNNYSRDALMRKLARTDEP STGQPERQILKPKTETQPLPVNVSMASRCVVLHNMFDPTEQEGEGWIKELEEEVRQEA EEKYGHVVHIAVDPNSQGDIYLKFDKVSGGENAIKGLNGRYFDGRMISAAPVVDAVYS SLFSRTKAI MYCTH_2145425 MPGRTLPTFTLAEVESHNSTKSCFVTLGNNVYDVTDFIDSHPGG GDLILEYAGKDIKAILEDETSHAHSEAAYEVLEESHVGFLASADAKAEPTGTEKTNGA ANGSNGKFVHPRTGMSCEEDLTKDTDIVSDYKTHKFLDLSRPLFPQVWYGGFSKEFYL DQVHRPRHYKGGASAPLFGNFLEPLTKTAWWVVPLIWLPLVSYGLYLASEGFKNPLEE VPYFAFGLFMWTLIEYLMHRFLFHLDYYLPDNRVGITLHFTLHGIHHYLPMDKYRLVM PPALFMILAAPFWRLAHTIFFWNWHIATTVYCGGVFGYVCYDLTHYFLHHQNLPWWWK QLKKYHLEHHFLDYENGFGVTSPFWDKVFGTELKSKPKMI MYCTH_2311479 MASLRFGWGSALLVLGLLLVVLPGKAAAFGAGNIPSIAQVEGHN WRHGDIEDMLKTVAFLYGKKWSTLMVGRVYFGNWLRDYSQAVDVGSLKGINAATIRII VWVLSFMANGYATEEFEVTEERLGVYRPEEHIDNPLGYADGKDAREFDPRLRGPVDPR ELEIDPRTGMKNYIANESGGWATSAGYLRWSFARSIHFGRLYTSGANGTSGKEADLCE ALRCLGQALHCMEDFGAHTNYCELALIEMGYHNVFPHCGSATKIPLNGKMVYPLVTGT FGAVDFLHSVLGEATDHFTQSEVDEIDIALKNAEQATMSGDSRRGFFGSGSGSGSGGS DFISLLGQLPGVGDGFAAQARELKAASAAQEQENMRAAGNTNIVPGMSPNFDPVKVSG QIYPILQFRDKIVRSINSMISKIPGLEKLLEHISETLTAFILGLLAPFVRPIINQVNQ TLKEGSSGLLATSAKQQFEPWDNPHCTDPTHSMLSKDHFTNVLNSCSGRVAVTILQYV VPRIMFAWENPGVPVDEVVNDVLRAFHHPAARDERIEIQRDMFETVRKWAQETPYRNQ LDHLLSSESVRNHKNHILNGQAGSRSAPGGGCGHGDGGHGKPAGSLWSHIQNVNRDAR EAGASPGVGAGVGAGGAGGAHHAPPPMHGEAASYYAASSPQPSQPYAGRPGQSGYAAT YERPTPPASQPPYGGGYPGQGGYGQHPHPHQHQPPSAAHGGYGQPQYGGHHGGYGAPQ PGGYPPHGQQPSPSWGQYPRY MYCTH_2311481 MWPRALRAAGLRRRPLRVPAQGAALLTLQDAAQHRGNQRQQFRD QATRRSFHNYFVTHLPSSSLHPDSRSSAGPGHKLPRAASTPHTPSPGSAPAAAPPNMP SRDLTVVRIPLRSAKHHFGVCNSRGQRARNEDTHQAGTISIPAFARRVPVSLVRHQAA KTAGEGTSADSALGDPQVFYFGVFDGHGGSECSEFLRDELHGYIEDAAARLGLKSSLE RDPGAPDSLKDPERQQQQQQQQQQRPQQQPAVEPSTAARLESELLDEYKRTIGGYFRR FRPNYFTTAASTTRNSASSSSSSSSSSPSPSSSNERTSPGQQPAGPQPGGVSLESVLT YAFLRADLDFVTAQARKPDPDDPYASDVPLNKDEVLGSPHLPPSGHGIGGPVRFKGGS TASVALISTPTPSPFWHPAAHSTLVVAHVGDTRVLLCETATGLPRPLTSDHYPSSPAE SRRLRRYAADSVVTDSFGEERIQGLANSRSFGDMQGKRVGISAEPDLARVDLGPAQYA FLVLVSDGVSGTLADQEIVDLVKEAATPEEGARAVVEYATEVSADGDNATCLVVRLGG WERRSEGGVGSLGTREMREMRRAEASDPRRRGRL MYCTH_2130550 MLIRVLGTQSGIDHSGELFRVLLELRCHTVYSVCDTADGPDGDP ADSFPTKAGFPIVDIVLDVTFETSKETLKAARRAAKPRPRQNVAPPPALKPETRVGYR VQLSALKQNSKYFDNLLSDTRFAEARSIEQGFKELSLRNVKPSEADAGDLPVVRIHED DEATRSAGHVSVFEDLLRILHREQSTSKAVIMHYLAVLAVLADRFDCRPGMTALPYPG QVKRSSGKRSSSAWLLDQPLKLHAATRELIMSGSRR MYCTH_2311482 MYQDVKRRLPYDWSDIVDAFTYRTVASTIRIYFVKCMFATYASI SMLTNGIPA MYCTH_2311483 MTLVVVGWCFMVTGQSVVLYSRLHIVMRNTSRLRLVLAMIVANA VIGHIPTAVFAYGSNSSNPAPFIVPYSIYERVQVTLFFLQEIIISGLYIHETVLLMRV RSRSGISGRNQGRDSTRRRLMTHLVVVNAVIVVLDITILALEFAGLYAIQTAYKGFVY SIKLKIEFSILNRLVEMTQGGSSGHDSSYARTAANNNTSLPLETMDGLREKNRRSRAA ADHHYPHQHRNDMGNKVYVGAGGPGADAEDGKHNGVSVVMTTEVTVQRDRLYVDSDRD RDAESISGRSGATVESAVEGSIGQARQPSYSSQRNIVNTQY MYCTH_2311484 MTCESTSSLSSRAIASWEANAAYWDSTITKQGNKYWRRLQEPSL TRLLKLTLSSSSPCRALDLATGNGLCARWLVRNGATSVLATDASENMLALARAHCEDG EESELERKEIRWRRVDVTSKSDLTALARDESPFEIVLMNMAIMDVADLEPLAGVLRDL LVENGVFVATLLHPVFFTSNATRNIEVRFDPVTGESEIVRGKIVREYMSVPPSLGIAV PGQPEKQV MYCTH_2311486 MTRNANSSTLFHLVPENAAASDALLHPDNARFVSTSKRGLDGLE IGFHVPSIPKGRVITRLGRDADLILRGRSVSAVHVAFEIHPETLVVLLSVRSKYSSSV TVSPDVGQTMEPVEGDCVIVYGTDYRISIASYDFRLMWRDLGSVEALRQLAIEGYQIS LQRLQTVRSRDLPTDGDSSELHSWHNTRLHTARRILFREAVGAPRELIGEGTFGAVYR AIDLESGNPFAVKVVKLYVYGARYVEQARAAVHREMKTLEYLKHKHIVECLGTAKFDS DQPEIFMPLRPGNLRVLAGDKDKRCTDDSLCMQVLEQMLSALDYLADRGYCHRDIKPE NILYYEHAEQGTYTFQLADFGLANHQANAGTMCGTKFYQAPELYRDYGTAGIQSPKMD IWSLFCTILDVHPKFNFPPWNATSYHDILVAVRAAVPLIPKLADMAREDPAQRASAAQ LLVAHFGGRGLTTRKSAIPPLPSQPPAYREQAPPPANLGSPPPANARSPSPRPLPQRA PKAPPLIVYDSRHRRQRGKEPAVGPSNLFEVARAQNRAQNPRPPQPMMMAAPRQDGGG VRKSRAVPAGSPTQSQRIVDALDTELLSAAYAGRA MYCTH_2311488 MPPLFDEAQIHNALRNEALPELARLAGDQRLRERALDRFDRDEP PPYLSSTDDEEYLENELPLGSDGSGVLQEFVDLMKQPLTDAERDTVAMDLHFSGKVYQ PGHRYHQEAVIEAQRIRRVCRELRPANAEAQKFLDFQGRAGDERLNILVRRNIKRRWR KLGVWNPQWGIPGRRNGTNPDDDASKWKWRWQHGDAAAEWTPSATATAANPKHPITRA LALRQGLLHGEHAPVPPRSRLPDDASASQAESFIISRPWFVFMAEYFEERERFYRIPP MKRRHYREPIAKPVIERWKSRGDWRDEWDQPVEGAYVPGWKWRHESPSPEPEDLTPLE TMDLEFTPSEVDALEAIRPPTPPPPPPPRSHSPRHFPSGDQTVHSGTGLFSSHPLPRA PPTPPAADDSAPEVPEPAQAAPQQQQQQPRRRPRQRKDEQPVQPVRRSARIAAMNKTK ANPPPPPAPAPPPQARQSRQRAARAPVGEQPAGRPKTDRSTRAQRRAAASDKPSARSM QAEKPTTARSRGRPKKKTGRR MYCTH_2311492 MPATSVEGVAPGAGLEWPPVSASKEQQTRPPPRKRRRIVISCTE CHRRKQKCDRKFPCTNCVSRNKDSACRYETGAPTAKQHGRRAVTGMTAAPSDMAGKQE LSQERTTTTAAAATFGYSHTSANTLGFLHHIESTTSATSANPDNDDDDDDDDDDKNNS NSNSNNSNSNDNNVADVTAIIAELHNPLSRTSTTTTANSSDLTTTTTATTTTTNTTNN TPTLTPAERATRDRYKTLIRQLPGRCAIEKLSALYFAEFNTHYAMLDRDVFDAQLAAW YRLPFSLLSSGPGPAALSREMRAFPAVVFQVCAVALLVLEDEDALDSGGGGGDAAWFS GLKYAGGMTFEDLAREYSDCGVELLTVLGKREMSLNTVLAGWMRASWLKYVGLVTESW HAVGSAVRDAQEIGLHRDSLDPKPASNDAEAVLENQWEVQRRRKAWMTLVQWDIHMAS VLGRPTTTDLRMAPPSLAVDAPVPKDRSKTPVLPRGEIDPPTPVTRAIWAYHVTRPLK EILELEKEGPCPKDFSRVDRLHNELLDLEARTPAFFRLENPDIRFDALPKCAWLPLAR VVFPQLISFELMALHRPYIFTRPKSRTEALKASLDMLDAQRLHFQSLRPQMYKTFSMF FGTFDAIVLMASIYILFPKEHPDMVPRAVQHFHWAVERFEAMSTRNSLAKSALGVLHA IYMRMTKALGLSKLAAKRMLLPGSASNSNFQANGSANSSIASSSGFSPCATTSYNPSP STGSGTAMSSTAMSTPGHDFSPPQHSGLNGSTQQTSAAELTTTTTPPEDPATGFSFNW DPDPDLFTVNPSSNDNPFEWNPPSDFDWSSLQPIYATSDLVYHNLTRTVPGAPGAPGG GDGGQNGVGWDYQAQQGTYDGRGGGGTGLQCNGPDMTAGGGGGGMGMGTATAPDGGGA TTLPYCQFRGDFGDDSVWNLLNQYSPF MYCTH_2311494 MADRHPTLSQSFAERAKTASHPLTRYLFRLMDLKASNLCLSADV STARELLTLADRVGPSIVVLKTHYDLISGWDYNPQTGTGAKLAALARKHGFLIFEDRK FVDIGKTVQMQYTAGTARIIEWAHITNANIDAGKDMVRAMAEAAAKWKERINYEVKTS VTVGTPVSDQFDDAEEQAQWPQHQQHQQQQYQQQRDEKGGPRRLGTREEQHQQDNGDG DGRKGSIVSITTVTQSFEPAHSPRLSKSNELGDDAVFPGIEEAPVDRGLLLLAQMSSK GCLMTKEYTQACVEAAREHKDFVMGFVSQESLNSAPDDTFIHMTPGCKLPPPGEDEET SQIEGDGLGQQYNSPSKLINICGTDIVIVGRGITAAGDPPSEAERYRRKAWKAYLARL A MYCTH_2311495 MAGSKNRRQGTAASPEPKAQQDDNNNGTAPIAHGNGSANIAANA KSTVEGPRENIFLLWPNIIGYSRIILAVASLYYMPLHPRTCSLLYSVSCLLDALDGYA ARYFEQSTRFGAVLDMVTDRCTTSCLLVFLSSAFPRWAIVFQGLIALDFASHYMHMYA TLAMGGSETSHKNVDKSRSRILSLYYTNKTVLFVACTLNEAFFIALYLLSFSSPLLSP SLLQTVPDQAAEAINRGAEVDSSLLRQLFANPHSAGALELARANKMDSFWPWVLAGVS FPVMAFKQVVNVIQLVKASRWLAEGDVALRRAQGLPRKRKTN MYCTH_2311498 MSHPRVEEVSDSDVDMSDPSEGDIDELIESDIMRRVDSKPQSSR PQPPRPQQQQQQQQQQQQQKQKQQQQQQHARPGPPPPYPRMQTTTDEKAYKSFQCLYP VYFDATRSRAEGRRVPRSMAVANPLAFDIVQACARLGLHTVLEAGKLHPKDWANPGRV KVDLRHHHQQQQQGESGGGGGGGGGPPKINNKHHLYLLVAQHLRAHPTTDSSRSLRVE VRGAPMPPQALEPGKPWPRPAVPRGWKMGELLPYYSPAMTGGGVSENFLKDMMKEMQQ HGGGAGGMPDMASLLAAAGGAGAGGLGGAGSSGPGSKKKGKGK MYCTH_2070581 FILYRQHHQARVVQQNPGLANPEISKIIGEQWRAESEEAKSQWK LLAEEEKQRHQRQHPDYRYQPRRGNKVGSLHSSRPTSTPGEDPHHCPKCGGRYIATPR TPSTPFMMPTGSKIGSTPYGSPPGDHNLGSKGDMSRQNRSHPAAQWGAATSNPYPSNA YDVHERYEAAMSPNDVKRRRYNTTGSYHNFHALPSPPTPFTQPGHHAQYHHATPHSYS RRPSLSNPTTPAATAHPSPSLSGLSAIMPPPPRPSHASSSSSSSPNQHRHHHYSHHRR HHPDFDESLRLPPLQTHLPASPDENSEPATAAAAAAAATRTHARSVEAKVMSISFVSK LRVLERISPPLGAVPGAPGSGMSRGPVIAVEGPDARMVRAVSRVVERALRAASPAADD KGGGWEVRCWEDENASLQRRGSVSGGQEKDEALNNAGQQSASRHGSQASAISSPSANL NPFTAYLRTIADWHAKSAEIVQFVTSSAPAPAPASTATRQTRLLSTTTTSAINKNVSR LPIALLPSGFSLTLSDRFASAVLISDAYAPVDHWQWMATLWRGIVGPDLVIYVSGTST LSGSRGSGLGFAEAERMMIDQKMERRLGFEVVEWVRSGGWTTAGRGVDGGFNVEY MYCTH_2145431 MVQISEVRGNSRDHRTAAHTHIKGLGLNSQGIAEKHAAGFVGQT AAREACGVVVDLIKAHKMAGRGVLLAGGPGTGKTALALAISQELGTKIPFCPITGSEI YSTEVKKTEVLMENFRRAIGLKVRETKDVYEGEVTELTPEEAENPLGGYGKTISTLLI GLKSARGQKKLRLDPSIYEAIQKERVQVGDVIYIETNTGACKRVGRSDAYATEFDLEA EEYVPIPKGEVHKKKEIVQDVSLHDLDVANARPQGGQDIMSMMGQLMKPKMTEITDKL RSEINKVVSKYINQGVAELVPGVLFIDEAHMLDVECFTYLNRALESPISPIVVLASNR GMCPIRGANDLVAAHGVPPDFLSRLLIIPTHPYEPDEIKRIVRVRASTEGVQITDAAI DKVAEHGVRISLRYALQLLTPASILARVNGRTQIDVQDVAECEDLFLDARRSADVLAS ESGQGFIS MYCTH_2311505 MASSVQQASKATTQPGEDDDDRNTIDSILLAQVSSKPSSPFLSP VVPRSHSASPMSEFGLPAPSHPRGLSPAPYPLYPRRKMTVAEMAKRFWNRNRGVILVA VSQLFGALMNLAARLLELESDMHPLHILFVRMSMTTVFSCLYMWWNQVPDFPLGARGI RGVLVVRGISGFFGIYGMWFSMMYLPLAEATVITFLAPMLAGYMCHILMKDPFTRKEQ LAFLVALAGVVLIARPASLFGSSGIAADSETLHEAQPDSTTTTSQPGAGEEPTSAQRL LAILVALIGVLGAAGAYTTIRYVGKRAHALITVTYFSAWSTLVSTAALLLCPLLGIGQ AEQPIINFRALLRPLSAHEWFLLLALGVCGFVMQFMMTAGISGEKSNRATAMVYTHML FAAGFDKWVFGHEMGLVSLLGCGMIVGSALWAALGKKAPTEGRRKEEDVEGAGRVSEE AAPMLDGAEEEEEGRLNGREGLARER MYCTH_2311508 MSVVERHQGSPTATEDRGSRAVPEGQQRGSDQTEQSITAKKPSM SPSEPTESGSSRTPIPKSAKSQVPPPPAAAAAAAAGTGATNITLAPDPSPPTARIRIA DRIGDLFAAPPNSVLIHACNTVGSWGGGIALAFRSRYPDAFKVYRAHCARSIPDQLVG TALLIRPPAAATAGGATGGSRGMGHYIGCLFTSRGYGRSRDPPESILGATGPAMRHLM RLIAEEEERTGAQIGEVRMCRINSGLFAVPWDRTKRVVEELELAEGEVPGNAEGGVVE IVAWERE MYCTH_2311509 MEPRARAGKNVGKMNFGHNELAQLLYGHGDVRAPLNETVRVLDE ILTEFIQGVSFEAARAAQHAGRQKVKFEDFEFAMRRNPDYMGKIQEMFEKKKEIEAAR KGFNIEEQLVRDADKEEKAAEKDREKGGSKRGRKATSQMQIGEEDLEDGDDDLDLVGD GS MYCTH_2311511 MTALFNFTSLLLVILLLICTCAYVHQLFPAILDRNKTGITGTFW KCARIGERLSPYISLCCGFMAISIFLGM MYCTH_2311515 MSDQGAGGGIESHMSTHRRQDSGEEPLPTEPAPEKRAPASESDT EELTPPPQDLPRGSRKLSQSGSNRGARAVSPAQGLSRNPSTCSTSSNARPHAEYLPAR TPGLEQRHSPPTSHPNIAPSPQLEPPVTKATLSELDVQKIVHNPKLRHDINFDPELHF RPNLDGDKGKRKQEKANQFWNTLYEQLVLFVTDRETFHARYGHGDWCLPVLLRAVRDI IETLVPQRDRQLLNEGLNVDLLMQQFNRGVADLEKLASWLASVLKLHCAPMRDEWVDE MYQELSNGNRNNDMGELVKGMRSLLSVLEAMKLDVANHQIRCLRPVLIEDTVHFEQRF FLRKMESRKLSIAPSRMWYRAAQEYTERLYAGSPMPHLQAFGEMSVFFEALSRLVLPS TCLKAIPPTFVFDEDRILKLRSDMHDSICLEICMRKFEELERLSRVTQLYARIPSYVS ENVAGNRSSGDFNFMAAAAATSRPTSLAFSDNSSAFSSPRSSGLFAQPPAADSADPRS RASELYSSLLALLHTACPASSPAERWKGLAPSMALQILRYANAPASLPGFESQLAACL DDVNSDLFREVEAHFQRRLLAELARRVAEFKNLSGVALFSHATGGRVPRRCGGCSDQG RPAGALFGAEVSREPRDDAGVDDMAVRLAHLGVLHWRVWAPLAYEGDIESELSAMQNS MI MYCTH_2311517 MHAPFIGSNLLPASHFLSFFFTPYLGAYSGVLGLERVAERDRVA RGGCNKENEYDCAM MYCTH_57924 RGQKYRPLHPLKRVSRHPRVSYLFFNILQFNNIDLNLQDFIRKL KANHRAKQELSPYLRVAICSLMAIGYTKQSLATLFGISRHAIRSIIERWNSYHTFDSK PRSGRPEVLTPAEKRYILLILFYFSSEKYNRRFINLKNYIRVNITIIV MYCTH_2311518 MAPARDTPFRSADMSMVQLYISNEIGREVVNALGELGLVQFRDL NGDLSAFQRAFTQDIRRLDNIERQLRYFHAQMEKAGIPLRKLDLDVDTLAPPTTAEID ELAERSQGLEQRVASLNESYEALKKREVELTEWRWVLREAGGFFDRAHGNVEEIRAST DNDDAPLLQDVEHHISAPEVERSFSGMNIGFVAGVIARDRVAAFERILWRTLRGNLYM NQAEIPEPLIDPANNEPVQKNVFVIFAHGKEILAKIRKISESMGAEVYNVDENSELRR DQVHEVNARLSDVQSVLRNTQQTLEAELTQISRSLSAWMVLVAKEKAVYNTLNNFSYD RARRTLIAEGWCPTHDLPLIRSTLQDVTNRAGLSVPSIINEIRTNKKPPTYLRTNKFT EAFQTIVNAYGTATYQEVNPAIPVIVTFPFLFAVMFGDLGHAIIMLCAALAMIYWEKP LKKVTFELFAMVYYGRYIALVMAAFSIFTGLIYNDIFSKSMTLFDSSWKWDVPKNYHP GQTVRAVIRDDNYRYPFGLDWRWHGTENDLLFTNSYKMKMSIILGWAHMTYSLCFSYI NARHFKRPVDIWGNFVPGMIFFQSIFGYLVVCIIYKWSVDWFAIGKQPPGLLNMLIYM FLQPGFIDVPLYPGQKYVQVGLLLLAVIQVPILLFLKPFYLRWEHNRARAKGYREIGE RSRVSALDEDDEGLVGGAANGNRHSVDSAGEGVAMIAQDLDDDDEAGDGHGHGHGGEF DFGEVMIHQVIHTIEFCLNCVSHTASYLRLWALSLAHQQLSAVLWSMTMGPALKATGI GGAIFLVVVFAAFFCLSCIILIIMEGVSAMLHSLRLAWVESFSKFAEFAGWPFAPFSF KQLLEESEELKEYLG MYCTH_2311519 MAWRAAAARQSGLNADELRFTVERQLLEGHAVLSHAHAIRQLGL HIQVLDPGSEEQIALEATSAAAED MYCTH_2311520 MVLWSISYSGDGFTLEISIHSPSDREHAFSSFDFDAVLDSGTLP NSEPSGSGPPRPAYDRDHNPRWARKVGAIRRLFGRGAMPVEFSCMLPPVEIINRLVVR RQTRRRMTPTGYARTPEPNLFLMGF MYCTH_2311521 MAADEPSRPSTPDRVSRSSFSSIREHDNTLPQTFSQSKISSYFG VDSDEAIDDTPPPPSSANPSSSSTSARGINMIQARFQPPITQPNSKLLGYWTPAETFQ GWKAIQVKGKLASKSFGDLQVLNQVFSSHNPTRPTKRGQNRPGEAPIERLPTEILTAI INLLALDVPPNGVTRRNIDLMSLLLTSRTLHVATLSTLYSKITIPHSRIFQKFLAHIS AHPNLGTIVRRLDFCHFNPNQLFLTAAERSKARNLTSETLLQCLELTPYLQEFLAQEY IDGDLDPAVLQKLFLGLPQLVALDFCGCTSNSFKESFVSILSPNWPKELTVRRLSLHK CLTLPSSVFETILPRLARLTHLDVAGTRVTDAALASIPPTATLTHLNLTKCTLLSARN VIDFLANHPAAKGLQFLSLATDARSHQTFDADHITELLPLLPRTLRSLNLKGSKMTAS HIDLLRPLTKHLEELALGRSLTLRDVNRLLVPDEDGDDVAMQVDWVPHTLRYIDLSDL WGQELDMVYLFGTSCAILKSYSEPLEVVELAEDVCRRVSKSTTALQRIGWHLSECGSR GWLVRQPSKSHGSGPATRDDGRRSWKMGAESWGMRKIPVAHAEVGGMYGSFMFSRKL MYCTH_97015 MKTAKPPAPEGVTGSSRRQPVRQTRVNPPRTSSLNRNNPLAGAP ASEQPINILPGVTHFADAITALPRELVRHFTLLKEVDAKIFAPEAALLQLLHDALNTP PPDLSRPVNDALSSTASVSAPMSTTGPANPAAPPSDATTSSVFDPANIPRRTLFRDTA LKIQEILVSLEEKNHVLATANDALQKQLARIEDIWPHLEAEFSEEAKWGSATHWAYVE NRQAKANDKQAERSRREGAATLSAAAQALAEEAAARSSDRKQAIAAKKNAKNQAADAD SDKVQDSGKRAQGTKSRKPLPDHGPVGLGITSAPSGGASASKRRKVAESKSNGATAER AMGSVFGANSTRRGTTSPTETPAPEGGNKKRKALPTSSSQAKKSRTNAAMSPSVTSSP VVGALPDPVKPGRASPAPSATASRPASSRARQNSTHSNVDNSRQRLPSVASNKPSGNS QGTPDPAQPSNGAKTSTDSKTQKEATVPIMNKPSPLKTETEEPPSAPEPAQDDNDDNS ARQTDEQPAQSNKEAAALGTQSTPASGVKTKSGRASKPSTPAISTFAEAASSNSSATT SRSRPSRGAENSANNATSGTAASNQSNTTTAKRSHKKGASVSTSATAVAQAAAAATSS SSTSAGTSSSGALATTAAGKDKERDRDRGSGPGVSASGTTPAASGGRKDKANSGSSSG GTGNTSNNNNAGARKAGAGAENEDDADEDDDQDVADEDVYCYCNQVSYGEMVACDGEG CPREWFHLECVGLKVAPKGNAKWYCEDCKKRLKITERR MYCTH_2311525 MSDLYLSRLRSWFLSSPPAEWALNRLRSTLIGALSQGPIPRHVA FEMDGNRRYARSHKIETIEGHHLGFEALARVLEVCYKCGVKVVTVYAFSIENFHRPKY EVDGLMQLAKVKLEQLLQHGELLDRYGASVRVLGRLDLIPPDVLEVVGRAMAATQNNT ECVLNICFPYTSREEMTTAIRTTVEEYSTTPRPHSTPFSQSRITQKILSKQAGKPDVL EPIRESVSPTPTSIHSDDQDDAVSTATTLHSDSAAVKGIDGEEAVAIYPNAETITSET IDKHLYTADCPPLDIFVRTSGVERLSDFMLWQCHQDTQIFFLKCFWPEFDLWNFLPVL VEWQWRQKQKARDERPRRRVKQA MYCTH_2311528 MSFASTPVTRTLVLGLVGSSIAASLLDIKHYFYISVGTHILQYG QTWRALIWQLCYTNSSEVLFAAMTLYNLRVVEQQWGSRKYASFILVSGLLTSIIPPVI LTTILRPLSWGIFDFLPAGPTPIIFAVLAQYHAMVPHIYQYKVALSTRAPSNNDEDSG VTFSDKSTKYLLALQLALFQWPGSLLGAGIGWLVGQAWRSELLPGALTRWRVPGWVVG LRTPKSSERFEGMRRRLESEGASSGAASGAQQQAGVDGNRRRTMAQQLLDEARGAF MYCTH_2311529 MDSATMTIGAPAGGPPGRPGSPFSSSGRLSFPDVQSVVMPTGKA LVEGYRKDILNGFEQEKPTYNPLSENRPQRSAHVDLKDPIQVHLLTETALSDSKAYEI LSQEEVDDLKKQIQSLSMRIEQARANLAIQTKYRDAAISMARLYMPSKAEGKRKSLLG NRMSDSAKEAEMERLASERRCEELAAELFSLEKRLMEPQRRLLQHTAGILQMTHRASA KKSGQVPVGPMMNGIPGSPESLYTYTNTRNSMEIPQDDLSFDRGLYLPLEQADGPPAL PRKNPIEIPLKSPIREQNAQLRELREEVEKLREENERILEENMQLKTAEQQLREDQAF ARDEISRLQSREQQLVEEHARAVEEASRMKEETNRFQDADSRLKALENQMTVEIDALR AQTAGQLQAASDAEAKLEALNHKLRDVIVAFNPTKNEGFDSPDRATGSGASLTGQLEY MEKALAAAAEEQKLLAAEVSASSAETAAITSSLSHLEASLGHASTRSQALIRQVLDML QQSNLDLPPPPEGSLDDQLGYLEQALESLGSELSRALEASSSASARKQDIDQVDAVLR GLWEIIQSGFAEIEQQKTARRQNRALGQNGGDDDEELSSTEFESDINEPYSLQAFSAK VQWLYAQVTGLREQKYILQRQIKQQRELNNRSETEKDLELKAKSEELERTQHLLDQAE RAAADAQSQLEQVLADMDTLQKTTAANEAALAASTKTAQDQLQARTARIAALEADVRE AESRLATAEASVAATQSQLAESNTARAAAEAELSSLRAQLTNAAAAADSAAAEVSKLQ QELQSKDAELDRMNMMVVELKTEVTVAKAELDGAYGSRKQRAAEAAALSNSSQSEELN NTITRLRAELENALRDLEEVTRDSIAAEKERLEIEARLDQVLAHKEDLEAEVSRLGQR LMRVQEELDQERLKAVPSPGRAGMGASELSKQFRGVMKEERRKFQEEIRVSSAVFFFL LCCLRW MYCTH_2311535 MASKRKASAMASAAPEEPVDPADELMFLCLGGGNEVGRSCHIIQ YKGKTVMLDAGQHPAYDGLAALPFFDDFDLSTVDVLLISHFHIDHAASLPYVLAKTNF RGRVFMTHPTKAIYKWLIQDSVRVGNTSSNPTTQLVYTEQDHLNTFPMIEAIDYHTTH TISSIRITPYPAGHVLGAAMFLIEIAGLNILFTGDYSREQDRHLVSAEVPKGVKIDVL ITESTYGIASHVPRLEREQALMKSITSVLNRGGRVLMPVFALGRAQELLLILDEYWAK HKEYQKYPIYYASNLARKCMLVYQTYVGAMNDNIKRLFRERMAEAEASGDGAGKGGPW DFKFIRSLKSIDRFEDVGGCVMLASPGMLQNGVSRELLERWAPSEKNGVIITGYSVEG TMAKHIMQEPEQIQAVMTRSSAGGRRGPGGDAEKVMIPRRCTVQEFSFAAHVDGTENR EFIEEVGAPVVILVHGEVHNMMRLKSKLLSLNANKTNKVKVYSPRNCEELRIPFKTDK TAKVVGKLASIPQPMKMPKAESEEPRLITGVLVQNDFKMSLMAPEDLREYAGLTTTTI ACKQRLRLSAAGIDLIKWGLEGTFGSIEELPEAKQQPKSSANGDGPSNGDTKMEDADE EFPIDEVVAAYLVMGCVTVRYRTSGEVELEWEGNMLNDGIADAVMAVLLGIESSPAAV KRSASKHSHSHSHAPASGDSDAFALPNRNLHANLSPEERLERLMMFLEAQFGADNVSP IAEPKLPPVSPSLPKSKSVKPSPTAAPKEEEEGADGATPMDVSSPADGEDQETKPAEA KEGGEEEGDGEQREHERRVRAELARLHKLGIPVPGVRIKVDKMEASVWLEGLEIECAN RVFADRVRAVVERAVEVTAPLWG MYCTH_2311537 MAQPQSQVPQAPPHQVPHGMPQMQQMQQMQMPQMQQQRPSYSPP QHSPSPAGTPQPGYAVPPNKRPRTSPTSPPSHPQSPYVATPYTASPQMTTASPAPVTS PGYSNLAAPAGAPQYNTAHTNGNSAQSPAPTPMLSLPDTRPSYPSSPAPSGPATAPTP PASQQYTTATMAPVVPPPPAATPGTMGPPSKPPAKEIEYDVADSLAGTGIDLRAEEQF LAEFYAGSFTQDARTGLPANAPGGKGSFYGAGMANQPGEAVGAVSQEAYEAEAAQKAW DEAAHRLAVVRSNEINNPFLMIANLHHRVEKIAKEHGLSVNLDLKNANPAGKLRPPQE FPPPKVTVSTKPGPDGVMVTTTGSFIPHDAYLVDQLALLSIATKHRLRELIEDANAVA IHRQTTSHGEIPAEWADVAVPLRTGLDSLPDDPESAALLKTRKRSFDAYASQGSSLKG GKHGPRNLMEAVRDGARIDRDLEEARLRKRQKRLNPEPAAAASRAGSVAPGIPGTGAG PETETAAKAPSKKELKKGAAAARLAEASSTASTNQTLSTLMGGFGRKKKEYSWMKKSG SGTSTPRAIAGDAGSAAGGDSGKVPEKTSLTSDARYPRLGTWREDKEKGRNIQLRDWV TALELDGIETRAIQQAYLNLDSSGPKDRS MYCTH_2311539 MRRSHSLVITQTLSPGHCLLCMKQLCRALARDIPLAREAVQRCL AHAWLLSSIPRHPAREDLAS MYCTH_2113329 MRLVLPGPTGQPGVGWGGRGQNATFAGVSNTVKASGEYHCFPRV VEMHLRGPLALGLSKLIQLTGVYSAPFRVPHVALRHEHASSTQRYPTSVFTEARTRTE YFTLMYSTEYGMRYLMDNTRALHVRGEYMRPRNSQGTLIVHKLVDPTHDWLPRRR MYCTH_2311543 MSIIREHTQATLTDAWRTINIDALQEDSSVNFDTSTLRPPLPEV SEAEVRQLTSQVRQLLRGGDAEGALRGCLESPVYAGSDAAREAALQTVVEVLQSIKAS EMTPMLQRIYASSGGSECLDVLMKYLYKGMESASSSSPSASSAPRTPTRVTPQPTGFS QIVPRPGASSESTGAAMSVLLSWHEKVVEVAGLGCIGRVMTDWRRV MYCTH_2311545 MRLNTPRACLPQRLRSLTAPPCARRAYAFQAPGGAVFKVFNSRA KRLQKERAAANPELSRQADYLKDEVAMRVCERLLDIKRHFPRVLDFGANSCNIARALT RENPDPDAPTSPPLATKLTELVAAESSRSLLYRDAELPFNEELKLTRRVLPDDESLPF EPSSFDMVLSSLSLHWINDLPGVLAQINKVLKPDCPFIGAMLGGDTLFELRTSLQLAE QERRGGISPHVSPLADVRDVGGLLGRAGFQMLTVDVEDIVVDYPDTFALMQDLQAMGE GNVVLGREMGAIGRDVLLANEAIYRALHGNEDGTVPATFRIIHMIGWKEGANQPKPLK RGSGEVSLKDVLERK MYCTH_2311547 MSSSGPTGFLGRSSSSNANMRGLVQFIADLRNARARELEEKRIN KELANIRYVCRTLEHPAQSSVLTLPAHPGKSSRVCHVHATFVRSRAAC MYCTH_2311548 MIRDSLQKTLDLALETNKNVQQNNAQNAVLFEAINLIIHLDTEQ ALMQQISSRLGKFIQSRETNVRYLGLEAMTHLAARADTLDPIKQHQEVIIGSLKDRDI SVRRKGLDLLYSMCDASNARAIVGELLHYLQNADFAIREEMVLKIAILTEKYATDVQW YVDISLRLIAMAGDHVSDEVWQRVIQIISNNEELQVYAARNILQYCKQDHCHETLVKI GAYILGEFGHLIAEERGCSPIEQFLALQNKLPACGSSTRGMILSCFIKFVNLFPEIKP QLVNVFSVYSHTLDPELQQRACEYLTLANMPTDDLLRTVCDEMPPFPERESALLSRLH QKHSKTSDKRTWVVGGKDANSDAAELSMAKQGGLRRAFTTNYQTNGGTPATNGHSAAN DLAGLDMNNLGPAEAKALKPPNLASAAHLSPGWERGYNRLLLRADGVLYEDGQLQVGV RSEYRGQMACLILYFTNKTPALISSFTTTLDLDESEKGKLTWDIKGLPETALAQGAQA QQVIMFEAKRVFEKSPTIRISYLAGALQALTLKLPVTIHKFMDPAELSSDDFFKRWKQ IGGSPREAQQIFGLVKANEREITESFIRTVVEGFRWGVLPGVDPNAKNFVGASVVHTS EGGKYGCLMRLEPNYQSQMVRLTIRATDESLPPVLLKLMQERISKGVSTVVQEKHVPP TMSEISDAFRNVMVTTSSR MYCTH_2311549 MSGNSLNFVTFNQDHSCFAVATTKGFRIYHTDPFNKIFNSDEGN VTIIEMLFSTSLVALVRSPRHLVIQNTKAS MYCTH_2311550 MALLHTIATSPNPNAIFALSPSSERCYIAYPLPKPREDQGERRP AHAPPLSTYVPTTSGEVIIYDTNTGKALNVIEAHRSPLSFVALNHEGTKVATASETGT IIRVFSVPDGQKLYQFRRGTYPSTIYSMSFNLSSTLLCVSSSTDTVHIFRLVNAQNTS AGGSAVPEPEEPGSPRSNRWSRSRSIDSDDPEYSPGSSGSSPRGGETPNAGSRTKDSA RKRQSGTFSSMLRRSSQIMGRTVAGAVGPYLPQSVTEMWEPQRDFASIKLPKPANTTA RGSLIGGPAPLRSVVAMCNNSPQIMVATSDGGFFVYNIDLQNGGEGCLVRQYTYVL MYCTH_2311554 MATIALSRPVTTHRSTSLSATIALDSHPSQCPPPVPNKHIPVCP PGPIPQQEPTTPPPSPGSQEDQVNRSLLYPPDKYPRVEMGRLSIYELDTDDVAAALDH VARQPLPDASQVFPWLHGLHPSNTVQQTFFMARKRALRRTPPCLRGITVVKANGELTV SRLKGAIAPHEFLRTGGASAEFLDVDPTEGFSVRNFHIQAAKAAMTSDIIVYGDDDAA VRKLAWDVAAAQQQWRERHEAMRHPLPHYNTFVCVSPFDEFEEKHPEIVSVSSDGLLT GNVLDFFHQERLDMYDMTQASEISPNVWLGPTPDSSSEDEQAYDILIDCNDLGRLNPA ALQAIADAPDDETKQYHLDFPSSGSIIAPSWSNAEADGILETCKWLYHLAHGTRPSPP ATTTPSSPQPQSAADADGDIPMVSTTAASPSPLSSSSPAPAPPQPTARPRRILLHCND GYTETTLLAVAYHSFATGQPVPLAWLDLHASKHRNLFAYPSDVSLLSAIAPRLLAESP SFSGTAAAGEGGRGGGRGRGRGRKGAGTTRSQAPSAEQLADILREQEPRWFAGFDGSF PSRVLPYMYLGNLGHANNPDLLRALGIGQILSVGETAMWRDGELEAWGEDNICLVKGV QDNGIDPLTDEFARCLEFVDRGRRNGTATLVHCRVGVSRSATICIAEVMRSLGMSFPR AYCFVRARRLNVIIQPHLRFAYELLKWEEHLFSTGHGSGGSGHKRELEWAEIAREVAL MNKPYAR MYCTH_2311557 MSNGVAANGASDETHTASQRYLSTRGNDSGLSFEEVVLKGLASD GGLYIPEEVPIASQWESWKDLSFVDLAFNVLSLYISPSELPAEDLKDIIRRSYSTFRS DDVTPLIHLQGNIHLLELFHGPTFAFKDVALQFLGNLFEYFLVRRNEGKTGRDRYHLT VVGATSGDTGSAAIYGLRGKKDVSVFMLHPKGRVSPIQELQMTTVLDANVHNLAVTGN FDNCQDIVKALFADPDINSTHKLGAVNSINWARILAQTVYYFYSYFSLARQQPDSFKV GDKVRFSVPSGNFGDILAGYFAYRMGLPVDKLVIATNENDILDRFWKTGRYEKKPAYG SAAKGGIESDGVQAHEDGVKETLSPAMDILVSSNFERLLWYLAYEFAASAGMDDEWNK KQAGQEVSAWLSSLKTKGGFGPVYKDVLESARRTFESERVSDPETLDTIRSVYREVGY VLDPHTAVGVEAARRSAARLNGANTPIISLSTAHPAKFAGAVTLALKDEPGFEFDSKV LPPEFVGLDKKEKRVREVANDWKAVRDLVKEEVEEELKGQR MYCTH_2311558 MTSVPKPGPAKLRPGAGLDEWLEEAKQCHYLPEHVMKQLCEMVK EVLMEESNIQPVVTPVTICGDIHGQFYDLLELFRVAGGMPGESNVQAPMTSTTVITSD DIEPPTEITNPKLKGKVKSAGTPADGNGDDTAAAEAQEETTHSAPPADSGVQSVQTRF VFLGDFVDRGYFSLETFTLLMCLKAKYPDRIVLVRGNHESRQITQVYGFYEECQQKYG NASVWKACCQVFDFLVLAAIVDGTVLCVHGGLSPEIRTIDQIRVVARAQEIPHEGAFC DLVWSDPEDIETWAISPRGAGWLFGHKVANEFNHVNGLKTIARAHQLVNEGYKYHFPE KSVVTVWSAPNYCYRCGNVASIMTVTSSDCLESSTKFSIFSAVPDDQRHIPGGRRGAG DYFL MYCTH_2311559 MVMSTPQLLARKTNGGATDGQSSNDAPKAPKAKAPPQGEKVVVR RLPPGMTEDEFVTILGDEWKVGGPKVDWFSYWPGKVSRHPSKPSRPSRAYLHVIRRDD LTALLQRVQTATWEDAKETYNDPALLSLPTVEFAAYKKIPGDKKRVDGRQGTIDQDPE FMAFLEALANPDAPKEVQEVEPPAEDPAKAEKTMTTPLVEYLKEKKAAKAKEAAAAKS AKHARQDSQGAKGKNAAPAPEESKKRSRESRSEREKASEKASDKASERPRESVKILTK KAAAAVEAAAEAAKTVAAQTRSAASSTSNPQPGGQDAPPKNRKAGVAAAALILQRDLG LSPKNAHRKARQEAAKEAAREGAKEAAKAESEAKATTKENPKPAAPATSETAPPAAPT EPPASSTPAKTQQPASGKSRNRKRGAGEDNSKTKGEANGGKPTDTPATASAKPPVTVL KKKDSQRSQSPQAQTTPASTGQPSKAGQSAQATSQTAPSSTKSASQKQSGGSKKANAP ATPSPGATRAFVKHANHSQGVTEAVLRDVMQTFGTVTNIEIDRKKGFAYVDFGDHSGL AKAMAASPVTVAQATVQVLERKEMAKKGSGSGSGSGSGSGSGSAQGKNAAAAATASPA ATPAPGAAKTPGPASAEQNSGARAPEKAGGSAPEKAGEQQPSKRSGRRRGGRGRGDRE AKEAKDSSKDGGGGVKKGGDGGGGGGGGGGAGSAASAAAT MYCTH_97001 MSRSVKFRRPSPSGHYDHQRSDSGFSDCESRASNPDADYLVPGF EDHGLYSIRQALDTAREESEKWRARAEELEERNKEMRNDLEQTKARLRALTNELEIAN QAKETLAKTNKELAEQNAQLQETIKELKKTNRKSSSGSSPSGSSATASESSDEKKLRR SPSKRRKDPSEKSEKERGREREREREKERDKERDKERERERRKEKEKERERERERALQ EETERLRKRFDTRAEDSDAKSSTTAKSQRSRHENYIEPLGHGAPRPQVPVAAPAPRQY TAYTASTAPTVYSAPAPAYGSIREPFTAATPRSLHPKVYVADEYAYAAADEEDAAFVH PLSRPTRHPR MYCTH_2311562 MTTARSRRVYFEDEIRAAEARRTRGDSQRSRSGRSRSADRVHGL FDDAEMAQGRDRVVGREAYDQLLRENQYLRIELRELESAQAWIDQLRRENAELRQENR ELRELESAQAWIDQLRRENAELRQENRELRRAGGGGGGYASSDVNDGAATGHHKDTKL RKKVAKLEVEVDELKTKLSDAKAKTAKWRALYDELSQAYEDVRRRERDAQRRVDIVRQ NITLVEEAKTRLEQENASLKLGIDLDLDLEDRLRRRQNY MYCTH_2113341 MCVYAGARPNANCVEGACSVKQRPRREGETARGMYVHPGPCTWA AASRQGMPESAGEFLPCAPAGSKVYHTFYGTHNERWGYRPRQTALKNPFRLLKIGTRR GRPSFASSASSSTGTVSDAQFFPHLGSPGQIQGLQDSGLAAAAPKAPWLPLLSTHTLK IEREQTCCAVAARTVFIVVVLSGKDKRGRPPR MYCTH_2140820 MSNLFVELKTPITGPYKQPTGLFINNEFVEGVEKKTFEVINPST EEVICSVHEATEKDVDIAVAAARKAFEGEWRRVTPQQRGVLLLKLADLAEKNLDLLAA VESLDNGKSITMARGDVAAVVGCIRYYGGWADKIEGRTIDINPDMFSYTRREPIGVCG QIIPWNFPLLMLAWKIGPALATGNTVVLKTAEQTPLSALVFAQFVKEAGFPPGVLNII SGFGRTAGAALSSHMDVDKIAFTGSTIVGRTIMKAAASSNLKKVTLELGGKSPNIVFN DADIEEAISWVNFGIYYNHGQCCCAGSRIYVQEGIYDKFVEAFKKRAEQNKVGDPFHP ETFQGPQVSQLQYDRIMGYIQSGKEQGARVVTGGNRHGDKGYFIQPTIFADVRQDMTI MQEEIFGPVCAIAKFSTVEEAIKLGNDTSYGLAASVHTKDLNTAIRVSNGLRAGTVWV NCHNILNHALPFGGFKTSGIGRELGELALANYTENKSVAVNMAGKLF MYCTH_2311569 MADTSEIEAELLAALGVSPPARDGPVPTETDTSQLQSHERQQAQ DPASEALPAPAPAPSLPAPSTSPETPAPLPDPASNPIPTATSAPAAAPVTTASAPFPT ITSSAHDDHPVPDLGANGSNPPAVQQPSTVPPLPAAHDAPEPRSPKRPRSSDASGESS AKRQRTEQPPPKQDDATGGSNIDFAAMLNDALANFDQHAGSGDNDMVMQDAAALSQPA TAAPASTASDHEKAESRIVKVSSNPFYVMRSMSLPVLGNIAVQILLRLSQQPRSETES LLANPTSEFRKSYDMLRDIFVATRKAFCDSPLLSPDELDVTDSEDRETIRMSNLAATA VSAFGAHDVAVQDVHDAFFSIFIPEDGEYKAPLTDLLVSLKTRLLLDALNKPEQSQPV SQLLGALFPANFDDSLRQRSGDMILNADEEALVLRIRERREQLVKSAADESIKALLEE QSSATMFTESLSAFLQSHLGVVVDYAEKYGVNIPLSEDEPATARGPNNSQHEEDKSLA ALLQSQLEQTDRDLAAAGKDALSNGTASNQFGSAGNDGLELKKLIEESLPNCIPELKE QPTNMNSSDGASDFDSKNLASFISEKLKSEFDNPTHGLSNMPAPAHSPNTVHPQYLAQ LNQSHHSSPRQSHTQGSTPTPPIGTNGDTLPPNQSMPTAALYEKARQAAVAKSSHTTR REGLHSTRRPWTAEEEKALMAGLDMVKGPHWSQILTLFGPNGTISDILKDRTQVQLKD KARNLKLFFLKTNSEMPYYLQSVTGELKTRAPGQAARKEAEEKARMNLADEQARIEGI MTLAGGLQNNNHHPSSSTPLAASPAKRKSPSTAGYGGSGAGAATTANGSATPAMSAPP RVKTEPADQHSLHKVPAFPPIQPAPAPASSMQQGRSNLPPLQPQPGPHQQPRPQAQQQ YHQQSHHPQKLQQPQQPQQPSRLEPQKPMSAQQQQQYRQQVQPQHQPQPQQQSQPQAQ PQAHSQPQAQQHQQPQAPPPPQSQAQSQTQPQAHSQPQAQQRQQPQAPSPPQSQAQSQ AQPQAAPRPPSASQPAPPQPAPPQVHQSRPSPSATHTQPLPTPPIPPNHHSTPDHAQE TKMIETLQAATAASTANEAQPPVPAAAVSEGSAAP MYCTH_2130591 MSDQRLPVRRRFKRLKIAIASCNQCQRKRAACVYRSDAGATNGN NIIGSRVRLELPDRHASLPVRGQTAPADADPPERDGTVPAVLRVPVPASSSRGKNNQG THTPSGNLPVKVSLTPSSFRMPLVQ MYCTH_2311571 MAEFGSGPEVAALGVSLFVLGFAIGPALWAPLSELYVRKILFVI THGVVVAFVAATAGCNSTASLLVFRSLAGTFGASTMTNSGGVVADLFPLLRVPPTIEG AFATKVWLGL MYCTH_85367 MASVSDHSGPKGKAPTPPTTSGNGGFQAQQPMRVEPPKPEDLQR SYASVVDEAHFPGWYGAMIDCLGEVIGTLGAIPCCICFPNPFKRVNQGHVGLVTKFGR FYKAVDPGLVKINPLSEHLTSVDVKIQIAEVGQQTCMTKDNVTLNLTSVIYYHVVSPH KAAFGISNIRQALIERTQTTLRQVVGSRILQDIIERREEVAQSIGEIIEDVATGWGVQ VESMLIKDIIFSQELQESLSMAAQSKRIGESKIIAAKAEVEAAKLMRQAADILSSAPA MQIRYLEAMQAMAKSANSKVIFLPAANQTMPSTAAFNAALSGNNNNNNINDPFAEGSS TADPSGAGAGDSGFQQALHARIIENI MYCTH_2084467 MAPVQARPKKAPRSWDALTPSLAQWILDYLSSMGYEQPTPVQKS CLEIFRGNKDVVVEAVTGSGKTLAFLIPVVERLLRSEEPAKRHHVQGIIISPTRELAS QIYNVLVSLIRFHAPSADLLSYSKSDEKRPATTEPVIVPQLLVGGTIKAAEDLSTFLR LSPNLLVGTPGRLAELLSSPYVKAPASSFEVLVMDEADRLLDLGFSPEITRILGYLPK QRRTGLFSASLSEAVERLITVGLLYPHKITVRVKSLKDGGEIQERKTPMSLQMSYIIT PASQKIPALCQLLDKLEPRPARSIVFFSTCFAVKYFARVLHGVLPPGFSIISLHGKLE PQVREKNYERFVNATSPTVLLTTDIAARGLDIPQVDLVVQHDPPTDTKVFIHRCGRAG RAGRRGLAVVMLQPGHEEGYVQLLEVRQTPITPLTRPSISVTDAEAELVSSKIRDQAK ADREIFQLAQRAFVSWARSYMEHQATSIFRVSELDWVDLAKGYGLLELPKMPEVKGLD RSLGLGIDTESIPFKDKAREKKRLAELEQWKKEKAEREAQRANGEDPGSLKRKKNEAW SGKHEHEDAKAARREKKRRKREAQRLAQMTEKEKEEQKKLEELIAEVRRRNAGQTTAG AAGGQKADAADDDDSFEGFDD MYCTH_2311577 MDEDDSPDLSRARSRSRSPVDSRRLRRLATRKSATVPNGTKATA AATTAASNGHPPQPADANGHLSPASATATATSSPSYYGWSWRDFSRSPSPLGLIPIHR RWRAFVHKHEVPRKALHVSIGFFVVWLYLSGTQTLDVCPYLMGALVPIAAVDVLRHHH APFNRLYVRVLGALMRESEYAGYNGVIFYLLGAWAVLYWFPKDVGVMGTLLLSWCDTA ASTFGRLYGRYTPRIRRGKSLAGSLAAFVVGVCTSAFFWGWLAPTKGPLPGDENFMFT GALSLPRALADAVGLEPAKATITGGLALGVMSVWSGLVAATSEALDVFGWDDNLTIPV LSGLGIWGFLKIFG MYCTH_2311579 MGAFCTLGTPWRTKRRGPKSRQFLVSHGEGLVDGPNASFHLGGP PSFRFYPSVAQ MYCTH_2311581 MSSTYAPQYYATGALPIPPSKPQYQYPGGSHQPYYPYGDDGAYA VSPPEDLDDLDASASSTSGYGNSYSVGTVTTTSSSSTAAGSNISGGGGRVEYDRASSS AGSASGIDLNEFMQERFAATFDPLALDKCTAKQAQTSGHLNAKHRELLELQAKAQARL AKTRARFAKGLEDAREVRNDLEWTQKKVTSLKATASRKHSKEYARARERYPSPDMY MYCTH_2145454 MARSNPDEIDLAEDDFDDTSPNDYEVAEEDATMAVTMGFTSFGG GDGARPSKKRRFNPRLDEAVIATGEPAPAAHTGQAKAAPVGPATRDEITYTDDDNDIF SKKDDGDGDGADDLDPDGPPTPPGTASPALTAGSQPAGAWHKPGNPSRGWGGAGRGGA HGGGGRGGRGGRLNPLWYVDYYDPSFNENPWEGMEKFKGLEPVGTWLSRSRGREKEVG TGKDEQQQQQQQQQPGEGVGAGQGLAEGAATPADS MYCTH_2311585 MDTGTTPQAHRPITIRLPSKYATGETSASSSSSSSSSAPPSSRA TPFTPPPLSFMQRTWTVTHSTLSMWRGSRNVRITYGALPPRDGRERLSDTVEHETVPW WGGGGSASNNKGAVLRRISGTDTASSRGGGETGAWDWRGRGWLALVGGSHWEVLGWGQ RECPGEPGRPVRWMVTWFAPTLFTAEGLDLYSDRADGGNEELARDVLAELARVCRGKE RLRKLVEQDMREVAISLPWKEA MYCTH_2311586 MPLHLLGKKSWNVYSPANIARVRRDEAEAQAREEAQERRMQEID AARRLAILRGEIPPPLEDAEPGPPSQSRDGERDRRGDDYSALASGRKRKRRGEDDTDF EMRIARERAAAGDRAARAARELAAPASLIDSKGHLTLFAPPEPSPRGGGKDDEASRRE REDKDQYQMRFVNAAGRDGQGLTDGGPWYASRAGVSSAALAPSKNVFGQEDPRRKERA AARLDASDPLAIMKQGAKMVRELEKERRREAEERERELRELEKEERRRERKRRKREEE GEEEGKEERYDEKTRRRRRSRERRRDSPDGDSDPARRERSHRHRDDGRGERDRYRSRE RERTSRNERDGSDRRKDPHQDYQDRGRDGSRERRRRPEEDDGREWPRRGDGDRARRSH RKEDL MYCTH_2311587 MDLRNNLFSKPAPRGGAPGLPGRQIQRPPGDTAGYAPVPNGYDP YGGRSPAPARPQNPPGGGGGYGGGYAQSRGRQVRLRLAKVEDKTLQAQYIFGNICAVS PNDFPPNRDGTDLYVRLTGNQLRGDYVVTARPTPGFPDGCISLSDPQRTWCGVGMMDE VVGELYDPFSQGGQVYIGSLDVEIGFASARKIVDAPYDQDELAAMFVRNFQNQIFAPG QRLLLDVQNVPLAIVVKTVTLIDLTMQQRPTDEPPTRSDPQARGILTSHTSIGFYKDA RSPIKLKGSNKRPAANAIISPDFKFEDMGIGGLDTEFSTIFRRAFASRIFPPGLIDKL GIMHVKGMLLYGPPGTGKTLIARQIGKMLNAREPKIINGPEVLNKYVGQSEENIRKLF ADAEKEYKEKGDESGLHIIIFDELDAVCKQRGSGAGGGTGVGDSVVNQLLSKLDGVDQ LNNILLIGMTNRKDMIDDALLRPGRLEVQIEISLPDEFGRSQILKIHTAKMKENNVMG DDVDLAELAALTKNFSGAELSGLVKSATSFAFARNIKVGTMATVSEDVANMKVVRQDF LNALEEVKPAFGADGAELEDALPYGIIHFSQSIPAILNDGMLYVENVRQQERLRHTSV LLHGPPASGKTALAAHIAMKSDYPFIKIITPASMVGFRDEVAKKDHLHKIFTDAYKSP LSMLIVDNIERLIEWNPVGPRLSNSILQALVTLLQTPPPKGPPPPHPRHHLTAVRHGA ARHHYRVRPPDPRARRPGHARAVGRAGRVGRLRRAGRRQPGAEPPPRVLQLGPRRRRH QDHPDHGRNGPPERRARRVVCRADRHADCEVQSLYGEGVELIFFFFLEIEAGLVKKGF IYGRLGRRIGMTLYCWLFLPPGFFFALQ MYCTH_2113353 MELHQGAASQAPQNPLMPDRSTAGQRIRSGEYVHYGVGFVTRNY TKNTWGTTSGIVATAKRTNDAHKHLISRPGPRVGLLLRGGALLLLTGPEEIEERSGNR RKECQAGRQCHFTCKVLEYDVWINCVVSSLLWRWHSWTASLERYEVTFAMSRLLNVDA DIWSRRAVETTNISGLETRPPGAPPTPPVPLVLISDQRVGAWREGYHKIHRMAIDESA WQIAA MYCTH_2311589 MGPELFVSSTGATRPAPQPLEPMPSSPTEGVSFWGLAPPPPPPQ PPQPPERVLVQRPPRPGNGLKRAKTAGGHSTAGPGRAKTTNPWASTGSQAKPLLPLTE RASHEETLGARRARKGSQDEDKWDITPDGGSAGREGRQFAVANVGNNGRIYLRPTVRP AHQRYPQPPFVFPMTPPSTAGLEAIAPEQRLDLDAPSPLPLRDWTPSHPPSSSPSITS GNPGEKQVRIRQRHRRAMSDSTIPDTSVARESESGGFKVVITQPGDEERPRTVDETMD LSRPPVLEVAIPSWRIGTPRFSIHGTSYIRGSSYAPTEEARSSSTSFLRLTPLDGTSS NSAKPSFFRTSGMPTPFPGPSYPPSHGSSARTPPTQLRASYMSPRLVIEPSMFDTLTF KPTCDDRTIVRYSASGSVTAATPPRLVAEITSPRFVDYELLSDFFLTYRSFLEPSDLL RMLFARLRWALSRVDEVGQVVRVRTFVALRHWILNYFIDDFLVDYNLRASFCTLLNEL VGDVLRDPQTPKVPLKILAELKKCWRRVCAQFWDGPEFDISLGPDVRVSPGGTAGVRD SQQLNSTVWLENNTQPPQMDDLMFPVSPRSHASAYGGVAIAGNIDAVVAGDRPATPEN AADSEDMERNGAISPASVTSVDAVSCSFPTKSARAVRPGAACPTAHPVRPGPLHNADP VATTPRALVGKRAREQQSHKRNASLSDSLREDPTTMERGLYKNAEFLLALPYAGSLVR GELLPPSKSLVEVHPQGPDGRQTTIFQLNAAEMPKDGAGPAAMSGQGMKKLLGSVRRA LSTKGQHLSPAQGTFINISPIGPRGATTNRLPGTPIVPQASGRQNRGRPPVRIDLLGA EVAEDFRKAVREDSQARSEQSEQPAPPPAEAQPQNGTEDSSGPGPHSSPMPLSSDFVL TRPTSDMGITAGSKSIVIVDDTSLPSNYPAMTGALPAATPSAEAFSEPFHPNLADPTP PNTPPGRPPGTPGNSSCVLGQDMHHQSLSASELPPFVPDMDTLGGHPRPSQDQSRPSF DGWDEALSPRSVDCGKEDVRVPSHLSFTPYRSERQGHRASFTSASFHRPTVRSFDATT VSGQSIDDSTPAMAPEPLRVLRRRPGGDLRAVAHVGELEQSAALRRSRSVGSLTTYSE SIRSSYLRSAVADSSGYVDVVASDCSPGHAGTFSLGALAERNPKQQKFSLFSTHSSKP IMRPSFEAEAQKLAMIPDDLDDDGGVESALLKLEGKFPAKKPGLKLSMDLTPPPAEID VAPESNVADAEEDNATHEERPEHGEIRVAGKEHPPSSSASSPTMTHQSGLLSAANAEG RHGMQSFLSDDSRASYSSIPLLDRDLTDDGRSGRTATREWTDRSVLQGPEDDPATPED RSPHDSQHPSYEFIKKTDSLDLLVQEGDTAPTAEPKRCSVEHSFLDVDSDSESVISSE LSGESLILQDAGDGGVSPNAMGVAMSTLPAHPLAEGPPSARPPPSPPPTRTQASRSSP EASHTPTLHNHQVWEKPLPPTPDATPTATAPPDLQSPFSPRDPTGTREALRDAPTLDM PDPVAEPRRGPAHNKYTVHLPFILAFDSDVLAQQFTLVEKDALNEIDWKELIDMRWKN AEQNGVHVRSWVSFLRDTDARGVEVVIARFNLMVKWAISEIVLTQDVEERARCITKLI HIAAHCRRYRNFATMSQITIALTSNEVARLAKTWAMVPASDRRTLHELETLMSPTRNF YNMRAEMEGGGASVAEMGCIPFVGIYTHDLLFNAQKPSEIASSPTTPPLVNFERCRTA AAVVKTLLRLLEASMLYNFQPVEGVTERCLWMGALGDEEIRRLGEALE MYCTH_2311591 MAPANLPSIFNATSTDIEQLLAAQCHIGSKNLGVHMQPYLWKTR ADGVNIINIGKTWEKIVFAARIIAAIDNPSDVCVISARPYGQRAVLKFAAHTGAQAIA GRFTPGSFTNYITRSFKEPRLIVVTDPRTDAQAIKEASYVNIPVIALCDTDSPTEFVD VAIPTNNKGRHAIGLIWWMLAREVLRLRGTIYNRETPWDVMPDLYFYRDPEAEAEEKV EEEKLPGVDEEGPVAIESGFAAGAGDWEAAPAGFPAAATGEWSEAAPATWESGAAAGA APATTEWADAPKESGW MYCTH_2121249 MPPRIPAPQGLRSLALCLRPRPAPTPAPSLLPLVQTANLSMKEK KKRMKQDPYGWAQAQQRKNAHLKRREEIEAERKAAYGDPVHGITTPFVESFDSAGQEP LSPENPEAGGEQHPLPTSPHIRNYLLSQEELDKAIEYSRRVTMPLTNAESATTDPTAE AAELEEHARKHAKAVEALRRITDLKNAGAKDRKHANIRRCIETFGRHVTDHTLENAAP PPGPGQEPKPKPVRAGPDTGSSEVQIAILTAKIRALALALEGPKGHKDKNNKRSLRRL CHKRQRLLRYLERKERGSGRWQYLVQTLGLTPATYKDQISI MYCTH_2316483 MEGLLFNVNNGYIEGIVRGYRNGLLTSTNYTNMTQCETIDDLKL QLGPAYGDFLASLPPNPSTSSLAAKTTDKLVSEFRYVRANAVGSLAKFMDYVTYGYMI DNVALLITGTLHERDTRELLERCHPLGWFETMPVLCVATNIEELYNSVLIETPLAPYF KGSLSHQDLDELNIEIVRNTLYKNYLEDFYEFVNTHPDMAGTPTAEVMSELLEFEADR RAINITLNSFGTELSKADRKKLYPNFGRLYPEGTLMLSRADDFEGVRLAVEGVADYKA FFEAAGLGGGPGGPGNMAGGTGSDGRSLEDMFYQKEMEIAKSAFTRQFTYAIVYAWVK LREQEIRNITWIAECIAQNQKERINNYISVF MYCTH_2311601 MPLFILTETSAGYGLFKAADKKLLESDNLSERLSTVDKIVKEIK YKEFAKFDSAATALEEVAGVIEGKVTPKLASLLNEFKDEKKVTLAVAESKLGSSILKL PGLNIKPISDSSTTDLFRAIRKHLPDLIPGMLPENFQEMSLGLSHSLSRHKLKFSPDK VDVMIVHAVSLLDELDKELNTYAMRVKEWYGWHFPELAKILPDNLSYAKVIVALGMRA NASKADLSEILPHEIETAVKAAADISMGTEITEEDLENIKLLAEQVISYSEYRRQLAE YLENRMKAISPNMTELVGPLVGARLIAHAGSLINLAKNPGSTIQILGAEKALFRALKT KHATPKYGLIYHASLVGQASGANKGKMARQLAAKVALGVRTDALAEFEEDADDETRAS LGIRARAKLENNLRLLEGKPLSKGVAVGPNGVPLGAPAKWDIKEARKYNIDADGLGAE AQAPKKPLIQEVDEEMAELDVADKSDVEMKDAPSKKDKKDKKDKKDKKEKKDKSQKSK ESEAPAPAKITEKDYERLAKEAGMSVSKFTRKLEKGQIKVNADGTVEVLSKKDSKTQE VEEEQAETPVKSKSSKRKHEPEEETPAKEEKPKKKKKKSSKE MYCTH_2311602 MPDSPRRRSRSRSRSPPRRPKVSGGFKWKDKRSADTDDRRDDRG LQRGYRDRSPRRDRERDRGFGRDRDRDRDRDRDTRDRSPRRDRSRDRDRERERDRDRD RDRYRRDRSPRSSNNSRPSDRDRDAKPKPKKERPAAAPTNPGEEMIVVTVNDRLGTKA QIPAFPSDTIGQFKIMVAMKIGREPHEILLKRQGERPFKDAITLGDYGISNGVQLDLE VDTGD MYCTH_2311603 MSGTVLSTLCSICHTEPPKYKCPRCGVRTCSVPCVQKHKARADC DGVRNPRAFMPLKQLKTPAGVDHDYNFLTSIERARERAEKEVLEARRLLSEKDLRPKN EDKVFQKVWYGDELRHVPVQSQPYGKPEGPAFIDGFDKHVRRRLRYLNIDAITMPKGM ARQRENKTAWNRRTQSINWQVEWLVYSASDLGFTSALHDQQQPLRILCKTLEGTALHS GLASALDWHRGQLDRRSREQPDPTETDNEAESDDGPPAAKKRKTHHHNKNQFPTVCPI QDPSTSTWSSAPYSLQYQPTTAWSQTITLPHAETTLEEKLMSWDFYLVNVVPPPLAAF ATATNDGKNQTKKGGSKTIIPLSSTESLTAALSGRRVLEFPTVVAVPGGWGPPAGYTV ELDERPDRIRGAAGRAARAHRRGANADMSADTGNTGDAGETLPRPGPAKRPRRQGADG AGGRGGKRFRSSPSPSRTAALPREELVGQHQQQSDDEGDAEEGEVNSDGDEVMGEAAD ADGYVEEADDSDSEDGSSSTTMGREDEAGQPQQQEENRPEAGRPRGGLVDYGSSDESD MYCTH_89127 MAETKTSPVTNGDSPNSDTLRHLLTLPAVQDGVRAFSSNPLGRI SIRLTNSAYHLVGAPVLSLFNKPLSYVTPYAQRVDEFGVQTLAKVEEKYPIVKKPSPE LLKGAKEAAYAPVRHVAEVYNGAYQKTSGNHTIASGKAAAKTAVVVSVEGAIYALREA LKFGQSFHVTESIKAAIDQLEEALRRQNGENINNHTANSGHASNRRDEPETTGAKISS D MYCTH_2311608 MTAANTTTTRLLPRLIRQSFLAVEQSEGAGARVRRSIGTPKLRN LSPFLMLDHFSVSPGAGFPDHPHRGQETITYLLQGGMEHEDFAGNRGRLGPGDLQFMT AGRGIVHAEMPVQNEDGSPNVGLQLWVDLPKRLKACEPRYRDLRADEVPVAEADGGRV RVKVISGRSHGVDSVKDLAYTPVWLLDVEIQPGGRIVQPLPEGWNAFAYTLEGEVLFG DGDGDGEVAAGPAPPPPAQQQQQQQQQQQQQQRAVGPFHNVVFEADGQAVYAAVDERA ESSARFVIVAGTPLDQKVVQYGPFVLTSQEEVYQAFMDYQTHSNGFERANGWASEIGK TMVH MYCTH_2113362 MSPDTTTPPETQSHAFAPPIAEDQGSRLSHSTFPTSLSQGEHLA SLAGPMRTLSTMDEESSTRSSITAAPTDSSTTLPVNEQDYRLYGSELRHNCLVPVNGQ EDYDDDGHSTNSNNNRRRCYRPSFLECLSWRLGGCPSPLPRGCTPSPAQLAYQAQPRR RRRRRWRRRRMLRKQRQMRRTPRRLYEEEKKKKKKKKKKKKKKKKKKRNGGDRLNDHD GGDGDMEEDRWWEVSGEASDAGGEPQGEYGREGSDVLRVLFEKTKTR MYCTH_2311611 MKRFFVNLLAWLWVGVALSAPVRRGKAFDRFITIWLENQDFAKV VVDNRIVDLKRHGILLTRYFAHTHPSQPNYLAAIAGDYFGLNHDDWVRLPEDVATIVD LLEEKGVTWAGYFEDIPSPGYMGNASDGSTGTDGWDYVRKHNPFVSFDSITNDGERLL KIDSFDAFQRAFAAKKVPEYVFMSPNMMNDGHNTTLDYATKWSHKFLQPLLAAKAFDE RTLIALTYDESETYEEPNHIVTLLLGNAIPPALKGTEDDTFYTHYSMLSTLELNWRLH TLGRYDVGANVFKFVADQLRYTANKEPENAATVDNSVPYPGLLNNDTSARLPLPFPNT KLVGASGLGILPSIEKALSRTKITKTPYDGSGKVFDGDKNPPVYKPPAGNPS MYCTH_2311613 MSEPSDRSESPAVTESHPDTTTTTTHEEAHHNDRDDRPPPRKRQ RVRLSCLECRRRKLSCDREFPCSRCIQSGTPERCEYETRPGLAPPNKLGLPPTALAGL DARLSLPSTGGESPYFRKDGRESDRIRRLELEVAQLKSLLVKQVSLDGSTVQERSPSD LPKSDAEQEAEAEVPPFLQTQVTTADKEELRFFRGKEFKTRYFGPHSAYLAFQELTGL CPFMKETSEEWLRPLHIHRNKDRRKDAEERERKFRAPDPALEALLPSKEETDNLVNIY LNQFEQVHRIVHIPSFRRDYAKFWLPSETRSAAFTALVLAIMGISSCLSAQMPHKFEK MVSHSHANAIKWVEAVDAWQERQSQKHRRLIHYQIACLVYLAKRVNTMKKKRFWKNAG AMTQDAISVGLHREPSHMCDKISPFNQEMRRRIWATIQSYDLQASFDHGLPSILCSLH YDVNPPRNIDDDEFDEDTKELPPSRPPNEYTFSSYQHISRQSLPLRLELSRVLTGPPE ELDYDRVIRYTNEINQEIDSLPSWDVSEASSQDPVVKKPLLAYTLLHIQLRQYIIPLH QPFLRLRKTNSKYQYSEIIYYNAARDMVLLNDRLAQQGIRTLNFLREDSLTLAINLAS VTMLQPRGSTNMIMINSQHTLQLLERCLAMKEDRILRCGNNEPWGYSMMCATFGLLEA HLGVKTPEAAKAASAERFVHLHWKLLAGQDPPPGSQQSASGGAAGASGGCCGAGGGGG GGGSGANTGAGAGAAAAAGGQTGAAAGEQGRAVAGTPFSSTGMGTYPAGPGPSPADAF VRSKSVTPFAPSSSQQGAGQQQIEVPGTPWWMPNTADQSTIPQVGFPSAPLYRRRRAR ADVPQMLPLNPDFNFETLGFNLNELWGSGNFDWDSMMP MYCTH_2311615 MTTTSSFSRHEQEHEQEQQQDAIPPAQKARTIAHMNADHRADMR YILMRYGADPPVPPSYFYFSSGSTRGAHKKPAAGSDADHDRAEDRDPIMLDIDLDRFT VRLPAGGSAAGAAAGSVHAVAFDPPLRTWAERRERLVEMTRMAREAAAAAAAAAAASS SSSSAEDGVGVGVGVGVRRVVVVDEYMPPRVPYDLAIFLAVLAYYASLALVRAGMVTP GSPAARWLEAARFPGGAAGFRWLVDALLVPVLGIHLAETWWLERSRLRKFGVRRGSRV WWLWVGSVFIEGAMAFKRFDIIVERLKRGEEGEEEKEEKKRR MYCTH_2113368 MGGPEYVFYKTRGDNPRKGARERERQYYYHIHKGKKQQPPSSPV GSGGGSSPEDRGGGSSGGVEKGEIPWVLRWKREAEEQGSENRETCPDAHVFDAAKPNR TERSEIHYTVGQPQARGAGTRKRTKQPEAGNNDGLCRRNWGMNLGLSAQQGRRKCEGP PSQSRRMDKKKMEAVSELEHKDGTDHRRAGSSRYHLERVTNSPHLYSLDNPTPLPGEM RMLHW MYCTH_2311619 MPPLTQPAANQQLTPHQGFNRKSDLQRHYRIHTNERPYKCHHQD CGKSFIQRSALTVHTRTHTGEKPHHCKHQGCGKRFSDSSSLARHRRIHTGKRPYRCDH QGCSKSFCRKTTMVKHWKRTHQRGPHSPELDDMISDGGSDSAPPTPESHTAMSWRSHQ GPIMGQTGQQLQRAASFADFGQHMNNYSLQQQYNAHRHSLSSSGGAEYHGAPHLGQSP LQPHGHPQHQQQHPGVQMLQRTGSIAHHNYFVPDQNNPGVATMNTNQHAAPQHPQYHP QVPRQGVERLPLEIPSYPNAPDLASSIPNSSPGSYSAASGRSPSVQDAGFYTHVPPGQ TAAYALHTTSSVAQQGPQMMAFQGQLPPTQAAAAAAAAQVMSHTATVQPVQQHQPHQQ HQQGQGGPQQQTPEQYHHHHQQAQQQAQQAQQQQQPPQEQEQWYDSAQYQSPVEVATI GSLPPFGSGGMYDPWGPKLEFDDPTMQMPSARIESM MYCTH_2311623 MRLSGIIVAALAGRALGHGDHGSGSQRPVVDENASWMQKHMAEE HHAADFDAASFFALHDFDGDGSWDGEEILRTYGLMDESNKHVTEDRKAEIVGVITKLL DTNRDGVVSRDEFVHVIEVEGKTLPDMGTGPGHHGDDEYEYEIHHWEK MYCTH_2311624 MPPPNKIGRPPKQKQNALQAAISSWLDSLPPVLVESITERVAAD AGANVDTKRLLLAGAPKRWVVYEPMVLLPSGSFTNQPWPALLSSLYPSQREALWTAIL GQLSPTNKPPLTHLAINEGIPLHLPSQPSGTEGDDNPAGEEEHKEENLLRSPTRLQPL HGSFGNSSPPANPTPSDFDSAFWVSTKQNGLVQTWAPLHTMFSRGNIKEKARLLSFHH RTRPGPGSGSGPGTRSEAGQDDAPPTAKTMTPPQAPAADLRGGGGTTRSMGSSSSSSS RRQQRQYAVDLYAGIGYFAFSYARLGLRVLCWELNPWSVEGLRRGAAANGFSVRVVVP RTPHPARLSSSSSSSFPGGGGGGGGGDSRHLLDVEEEEEEEEKEEDVLAGDEQIVVFL EDNRHAAGRIRGWRARSGGGIEVLHVNCGFLPSSQPVWKDAWGMVVSGDGGDDGDDGS SNGDGAEGGEGDGEGQSWLHLHENVGVADIETRRAEIQGLFDGWATEENRKQGAGGRR TARVEHVELVKTYAPGVWHCVFDVSITRSNAGR MYCTH_2130620 MGLREKFGQKFGHSTDKVGATQTSALSRGNKAESGSQALDDTPI RKLWDLAYDKLREEEEGAILEYEKKLQRKMDEVNRDSWKLRFGSNEVLVKDLAEPVSG IISRANDYIIGAVITSNPYAATAWTGVALLLPLLLNPSMQAASLARGLEYISSLISQS RMWEELYEMTAG MYCTH_104386 MDFPPLSASQAGGFDGDILGKSLFESFTFKWLRWCPPTASLYPL PSGIERFFVDTPGGEIEMLYARSQSSPPPPPPPSSSSSPSSSPPSPGDDTSEDTPRPF LYFVHGGMGGAWVWLEYMQFLAARGVPCYAVSMRGHGGSYHPSFLRMVYATTMRMLAD DVLAGLRWVQRKREGGRREAVLVGHSSGGGLCQLLLAEKQVTAKGLVLVASVPGFGSD RVYESWKILDPWFVPRMILHLWHPNSPLSHPALTRRAFFSREQTDAYLEAFQDKMCAY ESLASALGMIRPFIHQQSVLSQVTGGSTGQGVMVLGGELDRIMRQPIMEDPVHTYRTT YSNMVQQNQLRGEDAEVVPLTGEGGRDKTGHGVRYCLVPRAAHHLMNEVTWEVGAEKV LAFYEQL MYCTH_2071905 MSSSTSETSGVRIAVEGCGHGTLNAIYAAVERSCKERQWDGVDL LIIGGDFQATRNAADLNAMSVPVKYRELGDFHEYYSGARRAPYLTIFVGGNHEASSHL WELYYGGWVAPNIYYMGAANVLRLGPLRIAGMSGIWKGFDYRKQHHERLPFNADDIKS FYHVREIDVRKLLQLRTQVDIGISHDWPRPIEKYGNRKRLFEMKPHFESESRDGSLGS PAAEYVLDRLRPRYWFSAHMHCRFAAIKQFQPPEGTQESDERAKSIQAAPGPVADANP DEIDLDPDNDGDPPEGTAATTAAAGAAAEPAVKPQSPSGENTTTQDTEALRAQLPEAF AKRAQVSSNRSIPGQPVPPGITNTTTRFLALDKCLPGRKFLQLMEIPAAAAAAGRGSK LRLQYDPEWLAIVRAFHPLVRIGERGAATPPDEGEERYRALIEEHRAWVDEHVVAAGR LDVPDNFAVTAPAHRPGIDPEMTDEQPREWTNPQTAAFCDMLGLVNYWDASEEERKLR MENGPAPAEYGGGGGGRGRGGGRGGLGRGGRGRGGDGGRGGRGGWGGGRGGGRGWGGG RGRGRG MYCTH_2316489 MADSKYITLVSSNGFEFVVLREAALVSPTIKGMLRGGFREAQTG VCEFPEISAHVLEKVVDYFHYWYRNRDKENVPDMEIPVEMCLELLMAAHFLNLESMPT SKA MYCTH_56516 MTEGPAFSKKIVVVGDGGCGKTCLLISYSQGYFPEKYVPTVFEN YITYPIHPPTGKTVELALWDTAGQEEYDRLRPLSYPETDLIFVCFAIDCPNSLENVMD KWYPEVLHFCPYTPLILVGLKSDLRYKKTCIDMLKTQGLTPVTTEQGLAVAKKMGAQY MECSSKEMKGVEEIFERAILTVVANDRKMLEAEAAAAASSGGGGLGIGRRGSTALKSG ITFGSDGVPIPIVKKKKRKCALV MYCTH_2311631 MASQQRPTSVADTREKTYFEQQREELIGEIAANFEHVLANINKL NRSLEAVIAVGNEFSSVEALWSQFENVMAKDPEESGEKSEEQGDAPEGSRQVKTEGSE MYCTH_2311633 MLSRRMLTKEEEEAMGDEVEVRREDQDKINKFSRLHQRELVIEE ELKVKNKEKEELDDITTELELADEDDTVPYKIGDAFFHIPLPQAQEMLGVSTAKLEEE IGELEEKLGNIREEMTQLKVELYARFGKTINLET MYCTH_104380 MPGQKLYPRATVKKIVKAHSNCNVSKNADVMMFLDYMLFMQNLM KEAAIEAKKGGERGITARSVRKVTADSLARFRG MYCTH_2311637 MAASDIQNPPAPVESKSAKKKAKAERTESPAPAPSTGPASVAAG EGRDETENSYIRDLQKSIRNITKKITNTARIDAIIAEHNGKSLDELVAAKIINADQKA AHLKKPALQAQLFQLEEQLAQHKKVDQDYRARLAEQEKNLTEKFEKEKADLVAELTQK AEADVKKSLHDNLLILSQFLRLAAARRAEDVDSTADENMALEGVLLHIYSGDENAVAT MLKLVQGADEQTRSTTGETLQTTFAQVKQVAVAYAASFAQPEPVPQSTESEPAAESKQ EPQTDRTVANAALTEVDDCTATQLTNGHVEASSSSAAPSNAEVADEAANAAGESQWNA SDNDPSSSPEWVDVKVPREASETETAPAPTAAAPAQSWADDHPEKEAAPAAAADDGFQ SVPGRNRGHREGGGYRGRGGYRGRGGYRGEGRGRGRGGQRGGLPSRPRRGGDEH MYCTH_2316490 MPPPNNSSSKIPLPPPLLASPRGTAFERAVWSLTYQIPRGSFST YALLAARLGSSPRAVGNALRRNPFAPAIPCHRVVATGGTLGGFKGKIGRRDGEGITLA EKRALLRKEGVKLEGDGPNVRVLGTPFAGFV MYCTH_2311641 MPPSLNTHASFSRPRTSDRDARPVTRDQADSNLIIPSRTSSLHS RITQPIPSTLNMKPQQRTPKTLTHAYMVCGVGREPSQWVKAPPPPQGKIGHMKGAVPQ FWLPEILGSSPRLEQDNEIARALHAAMRACFPHDVEICTGRTQPHCVHHAFVLQQDSS HTLYGICLRVWSRADEKRAETIRELRRRTEPDFYDNPEEQYWIPYCLSFLSRYPLYNL LGDYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTG FQNFAMWPLFCCLSIPNIVGVIEAAISPTRRIIFVSHYPAMLTMAAETVRFCVRVYEW SGLYVPVVHARHAKDLVEEPGPYILGITAECRSLFTAPSDALVVDLDRNFVLTSNPPT ALTPSQRNKFVTRLTQALNGDVTPSGVPQHLRSAYGGGKLVPAGQIIVMRGEVESIQD PEWWNQDAVMAVMDHVCEKISRNTGLKAVFGGSVKKPLMTKVSMRHLNEIVRERNQYS RDALEAWQDFINLKGRLDTELSKVTKRNNYLNEELENWKQQFLKFQAFADTLTKETQE LKVKIEGHKRENRRLAALIDQQKDDASRLSARLAGTEKQRDDALEALVLQQEIAEELE RERKRNRKELSALQHTNMTIARQRDEARRVVLHLRSLISGQHHHMEHLVKTLTSPEEL AAEIEAGFAAQEEAEAAAIAEREGMEESDARFIKNLTLARRRISTQTFIDVADRHLKD KTDAIAHIIRNIAEQCQAAVEGLQLAQDAELGRPQSSASRARDLSKSRRGSSLSVAPS DDGNASSTSTATSDVGDDSSSVTLLRPHSGRTSSIPPTPDLIPNRSSTSMSFASTTAT TPERTSQQYLLQLHGGGGNGHGQQQHDIPTKIVEDDEDVDDLTPDERVARDADEGNPI PAPHPTESGVVGKQPESLIHRLSGARISALGSSR MYCTH_2316492 MSEFIGARISLISRSDIRYSGTLHSINSDDSTVSLENVRSFGTE HRKTNPDEFVPPSDQLYEYIVFRGTDVKDLRIEEGPAPVKEEKPPAVPNDPAILGARP RPGNAAPGLSGPVGPQGPQGPQGPIGHAGPQNQQGPPPGAPGFGYFPPHMSGWGRAGA PGPGPGPFGGMPYPPPGWFPPGQEFPPMGHGPWGPYPFQPGPGGHPGAPGAPGAPGQG RQSANQTPSNQGPTQKPAPIGPAADRTPTTPSQPASASSEPKTADLAHMQPAAAAPPP PPVESKPTVEEVNATAASISNKSPTAAGQAASVPTGPKGNRPTQIMPAVPLPAALTAK VAQNQSGPKPLADQTNTAAAAAALRDATQAAKDAVARAMAQVDSSATTLQQAETNGVD NLTKRVNEMRINAARSGQSNRGGRGRGPRPAKVEVPDTDFDFASANAKFNKQDIVKEA VASSSLGEAGLANGADPEASDAIPPAEPAYNKNKSFFDNISSDLKDRENAAQRPGGAH WRGEETRKNIETFGLGSVDGGPRGGFRRGRGRGRRDYRGGRGGSGGYRSRGPQTAPSQ MYCTH_2311646 MASRQLRKIRKQQELLSLQNGAADKSEEESEDEPIVARPRANMF SGFAALGDEGDDGNDDEDQKSDEEDARQAAHQEQIVEEAEPAKKTKKKQKKKKKKGKQ AGQPAPAQDERDSVDEIDRVLEELKLESQQPGDVVETPAGGESANELNELLRINFQHL KAVNEMRRVFGKAMDVAEVEERTQENRQRGLPQNVDLETFLSARAAHPGQGSQNNKSM FDTILRTNPFIDGKKTWPRGTAQGLKMIRVTEGSQDEVEFAFAHDKTYDALEGSFFGL VQMYDPMQIVHFLYRYPYHVSSLIQVSKVARQDQNSALAADLIERALFTFGRVSLSEF RKKLEKGQARMSFSRPENRQFYLAGYNLIQKLVLKGTYRTALEWAKLFLSINHDDPYG MINWIHVLAIRALEAKWFIKFCSSDALTNASSTYAKQTLPLAHFQLGDTAAAKSTLIK GMQALPWLYCALFSALNLDTPRPIWGIQPRNEDEALHTELYIHTAKDLWNTPQHISLL QDAAASVSIPPNYASALGPPPAVSLATARFIYLDNTPALMSAVPRGMLHTAVPNFDFD PLPPPEEANTFSSETQRLPWRVTQAERARSAVGQLPGMRAAIARLREEIEREVEERVR RRPHLAGGGEAGGDGVDDDGQEDDGAADEAAAEAEQRRRFLPRESPAPGIMNYLRYVM NGNLEVVDQLEEWQAPTGMPGGWGQGEGWEEEDDFEGVDEFEGPDDFEGGGYGDEADR G MYCTH_2311647 MADVVAASGPAPGEHKYQFNVSMSCGGCSGAVERVLKKLEGVKS FEVSLESQTATVIAEESLPYEKVLRTIAKTGKKVNSGEADGVAQSVEVPAE MYCTH_2070572 LADAQRTFQRVHQKALEPFVVHVESVVPIQKRMAGILPPRPVCD RLVDFYFSVLETMFPIVHKPSFGREYKKYWGGNLENDAFLPRLLCILCFASRFCTGTQ SHCSVHIPTACVLVRDWLDGLRKREVFDPYILQTELLLLLAQWTIGAQKGAAWAQLSY VVRMAMELGLHQDPSALAGISEFNMECRRRLWFAIMEMDFYVSLAHNWTPVLGHKDYS CNPPRNLNNADIPADARTLPESKPLDQATDNHLQAYAAQTFPLRMQAADLLARLDPAD DHREILDVGAKLEKMLDDINETFPRHVLGKGHKHREWRRRVLLDLHLRLPLMALYRPM VVGRPNCSPEISCGYLKCCMTVLKYLDDEPIGDHVGSPDVAALSLLFLQRALTEAACD VCWYIKQAREINFAGGLMWIPPTGSRRRNAESTQQYVWYVKSSF MYCTH_2311648 MVETVERTIDRLSSLIHQGLSSQVGDIVALLIVLVVVQPGTARE KISIIQAKLERLRTVHSQMYPVYPSLPLPSSAASLKTTDKRRNLQSIYGEPLASDRFM RAGPLEPASLTWADLLMHWESRVAET MYCTH_2311651 MTEKLKPAARVSGRRQDVWSIINEAAAASPKQPIVNMGQGFFGY NPPDFILNAAKEALNRVECNQYSPTKGRPRLKKAISEAYAPFWGRKLDPDTEITITTG ANEGMLSAFMAFIEPGDEVIVFEPFFDQYISNIEMPGGKIVYVPMHPPKEGNVKTLSA AEWTIDFDELERAITPRTKMLVLNTPHNPVGKVFSRDELQKIADLCLKHQIIILSDEV YDRLYYVPFTRIATLSPEVEQITLTVGSAGKNFYATGWRVGWLMGPPHLIQYVSAAHT RICYSSVSPLQEACAIGFEQAPAHNFWEESIASMRHKMNLFNAVWDELGIPYSEPEGG YFVMVNLSAVQIPDSYPFPPHVAERPRDFKLAWFLIQELGVAAIPPSEFYTDTNAHIV EDYLRFAVCKPDEVLEDAKERLRGLKPFLKKD MYCTH_2311655 MSQPARPPIPLQMEGGRAQPGSLMHRRETPSTREASTAAPSFTG ITGASGHRNFEFTFDSAVRPRIVAAPTSPSTGGLWGRAYLAGQGQLHFEASPRMEDWL GKLQALSLGRREEEEAMPAIRQRGSTTDEDTVHDAHENARAGGKRSAPLPADEDETGA PNQPARKRSRISAVLQRTFPRLGRPRAATTGAIITTTTGNGSSSGSRSSSGSSIPALL AAPSGTSPSAPLLSHLVDAGGTAAELSSSSPASATTAQQRVQVSGSRTVKVCLVGDSG AGKTALFNRLAGRPFVPTSTSLVPDFSFVTVRAHDGSTVNVELWDFPGIVAGARPGPL LSTFFHAAIICFSLESKDNLRNVADVWKPKLNACLHDQFIFVLGLKRDLRPAFPALNL SFLPTAEPATAEMVSPA MYCTH_2311659 MSGAKSGSSARASSSSSSPPTKPPQDGRPRVSRTPPALLFPPAP PPLHITVRFSIALPDIQLEIPSPQQTTVVALKHLIRRRLAADADAEAEAEASDKEDDG DAPGAQTPSPAAQASRARLRFIHNGRILPDPAVLSAVLKAPPPPPLSHHPDPKGKSPA GAHHQQQRVFINCSIGDPLPEVDIAAEAEAATAPGITTTTTTTTTTTTPKLSVTTTGL DRHPQHEQQNPSEAGESGSGSGLRSRPRPQQQQQQPAGFDRLLQAGLTPAEIATLRSH FRAIHTARFTPDTMPSPDTLRSMEDAWLDGGGFQRLPPTADSSNGYYYGGGGGGGGGG GGEAGEGGDADGVEDAFAVSAVAGPLIQGMLIGFMFPLGVIGWLGKEDGLWSESMQAF VILGVLLSVSVGLVRALTGEG MYCTH_2311660 MDDLFAVFEEQPRASKRKADADADADVEMTDGVGNGTKNGDQTA VKDEDTANAADEQPYGDGQGPSESKRRKKEDEAEPIMTDTFETAESREVNAAQGFAPS TDQGSLVLSHNIQHQVALPPDLDYEYIPLSEHKPPAEPARTYPFKLDPFQALSVASIE REESVLVSAHTSAGKTVVAEYAIAQCLKKNQRVIYTSPIKALSNQKYRDFQAEFGDVG LMTGDVTINPTASCLVMTTEILRSMLYRGSEIMREVAWVIFDEIHYMRDKTRGVVWEE TIILLPDKVRYVFLSATIPNAFQFAEWIAKIHRQACHVVYTDFRPTPLQNYFFPAGTK GIYLIVDEKGNFKEHNFNEAMAAIESKKGSDPADWSAKQKGRGKNKKTNKGGEAPDEK SDIERVIKMIIKRSFQPVIVFNFAKRECEQLALKTSNMKFNAPDEEQMVEKVFENALQ QLSDEDRSLPQISNILPLLRKGIGVHHSGLLPILKETIEILFQEGLIKVLFATETFSI GLNMPARTVVFTQVTKWDGVQRRPLTSSEYIQMAGRAGRRGLDDRGIVIMMVDDKLEP DVARSVVVGQQDRLNSAFHLGYNMILNLLRIEAISPEFMLERCFFQFQTASSVPQLER ELANLQQERDSMIIPDEAAIKDYRSIRQQLEGYQKDMIAVMQHPTYCLKYMKSGRPVE IETPKGTYYGWGVVLDFKARKAPKMGEPDYPPQEAYFIDVLLKISSDDSAESPDLNGD TALRGDAAVPEGIYPAKAGERGRWEVVPCLLSCVKALGSLRLHVGKQLSSRDERDRAG MMLEEAKRRFPDGLPVVDPLENMGITDESFKKLLRKIEVLESRLIASPLHNSPLLDEL WQKLETKLALGEKIKEKKRAIMKAHSIAQMDELKSRKRVLRRLGFINEAEVVQMKARV ACEISSTEGHELLLAELLFNRFFNELSPELCASVLSVFIFDEKVETAALKEELAKPFR EIQAQARIIAKVSAESKLEVNEDEYVQSLKWQLMETVLAWANGKPFAEICKMTNAYEG SLIRLFRRLEELLRQMAEAAKVMGSEELKEKFELSLSKIRRDIVSFNSLYL MYCTH_2145474 MSTKLTLKVGNRAPKKPIRKLPSSIELPHDATVEDAKKAIARES SVKDFNRLGLYDPVSKQFLKNRRALLRDEAGVVKAGELLVKDLGPQVAWRTVYVIEYF GPIIFHVLIPFLRPYIYGILPFVDKAAAEAPMAQVQWLLCALFHLHFLKREYETIFVH KFSANTMPARNIVRNSAFYWLMAGLLCSLDIYAPNSLAARDELTLLDYVGLAVFTIGE ACNWIVHQHLASLRKPGGTEKGIPNCIGSNLVTSPNYMFEVLAWVGVILISRSWAVVL FICTGIIYMRSWSRDKEKALREAFGDRYKKKRYTMLPGLI MYCTH_2113390 MTSPAAPFRLTPASIGPARPVAPSVTNTTAIASSLSSTDEDVQS SGPPRRRRPLSLFSSLLSGSTAAKPRTAVSASSTPSIAASSVSVFVTRQHRHHHNHHQ HDHYYYYHHHYHCRIRHLGQDFPELVLPHRHIRSPNGSSSPNHNPAAASTNLSTHRAL RITTTAPAPQAEQVAQARTAVLASIGNLLDRELATRAAQLHANGAAIERQEREVERAT AALRRENDRLGRLAETHARRVKEVGDVQNWAEMLERDFLILEETLRLVNDNNNEEATE GSETGSESGDGSEGGSRSNGPETVPLPASPPFG MYCTH_2311665 MDFPRGLPDNPAQARRARAAAELANPTPPPPVPSLRDVPSSSNL SAGQPISPSQVLALAREAMRAAHENEAKAAEASGVSNTLKPGLTIDLSRKKIQTLPEE IVDVIKDELERLALSHNYLQTLPARFSECTSLRYLNVRQNRIKEFPLALCDLKSLEIL DLGRNLLQVLPPEIVKLSSLKVLSIPKNKITHLPHCMADMPSLSVVKLEGNPLQYPPP EVLQVQGGGGQGEGSGKEGELTEVAAVIKKFLKQEASGRAESPGDGASEGAETPRPTI KRVFSGRFPIKVNGSDVPDLRSPALPRPPPIPTRSHYRGLSQHNTAHRRPGVMPLIIG NPNERLRSNSETIIQTSSRERSESRSRRMGMVTKRSELSTLEETEVNNRFSHYRGLSH GSAMQGNGTSTQVSSPNMGSPAEPALQRPVYIRRLSVLPERRRESKVFDPVLEAAKGI LYSIFQIHPMIQTLVGLTNDDASRRSNLEIVVYNTAAHVEQLEYEIQRHDQADEGLGQ KENENVQRACLTLINAYTHVCSLLMSNVDLFLDNGDPRYIRTLLTQLYNSIMELRVTC TRAAPEGNHRPTPSFSRLDPGETIKPHSREPSVTPTADRMLNRSRNGAFVYNPSNLRV ATDVSMPYVNGSGRSAMAGAATPRSGESFASTSTTGIRNLSADFTEEDRMFERIFLSL QKTTDLVMQVLPTLNTQFASSMRSCAAQRAPDHRIQSWKKVISKCSTSIQQTEVLKAR LSSIKLKEPGIRTQPSFWRLCNSFIDSWYVLIKNIKQLQNDVQLPVDTKARLRPIQTS MKDTCDLMMSSPWAYLLRQPGPEPILSPYGGQITTTPVQMHMPMTPQSAALGPAVQAT VPSTPQSASFTMAFSGVFDRGRSDTLLSSTGGEGRGGFGGGFGGGFGGGGGGSGFGSA LPSRSGTMNSSSTASLTASSVNSMSSVGSSHDGVVTPSSALSPGGGPLGPLPFRLNGG GNMKVGGF MYCTH_2072733 MPLARSDSLQDIDFTLRQQFNKTAFRPYQREIILAALDGKDVFV QAATSFGKSLCFQLPAVIDRGITIVISPLLSLMMNQVETLRAANVDARTLNSNISLAE RDRIYQDLATGHPRARLLYVTPELCSLDRFRERLKFVHEQRELARIVVDEAHCISEWG HDFRRDFKRLSWFRETFPDVPIMCLTATANEQVRRDILSTLGLDKTPERLRNFTMTAH RPNLHLEVRFTSDEANDRYDDFVTWLKGVYSRRAAADRKRELDATGERVENVPGIIYT LSRDECESLAAALRCDEIGARPFHAKLPKEVKEETLARWIANEPGYDIIVATTAFGMG IDKENVRFVVHWRLPKSFEGYYQEAGRAGRDGNASYCFLYYAREDRDRVCNMVMRDGP GSGAGGAGSAKNKQARLESLSRLVEYCEDTNTCRHAAICRYFGEEQVPECDYACDWHK DAPGLKRRMMKGLASEEWVSTQRQEGAYDDYYYSD MYCTH_2311667 MTNKPLLGETALVLRTLCSSCGLPKTGPKRVLVQRLRRAARQFQ PIPPEARILSIDLGLKNFAYALLSPAAPSKRKQPAGGSSIDTPLNSPVHLHAWNRLDL TLRSHTQSTPNNTRRQPIARGEGKEVGATAAAAAAAAAEEEEEEEEEEEAYSPAALSA RAAHLVRTRLLPLRPTHVLIERQRFRTGGAAAIFEWTLRVNSLEAMLHAAFAALRGVR VPAQPGEDDDGSGGGGVVWNGVVCSVLPRAVAGFLFPAGSDAVLSVQDGENVGGKEKK KAVGAGAAYQMLKRGKVDMLARHLNDRRLIIPAEGQAEEMVRLFMAGAEKRREGKRRG GRRRRQEGVEETERKDAEAEEEVVAKLDDLSDAMLQGMVWLQWQSNLEALIRERPALF DN MYCTH_2084531 MQPLSLLARRTLPPSIPAAGRIKTLSRHFAQTSLAMAPISKETD FLVIGGGSGGLGAARAASARYGAKAMVIEGKRLGGTCVNVGCVPKKVTFNAAAIAEAL HDAKSYGFNVQETAPFDWTTFKQKRDAYVLRLNGIYERNLANDKVEYVHGWAKLLSRN EVEVTLDDGTKTVVNAKKILIAVGGNPTIPPQIPGAEYGTNSDGFFDIDTLPKKVALV GAGYIAVEFAGMFNALGVETHLFIRYDTFLRHFDPMIQEGVTKEYERLGVKVHKRSQA KKVEKDEKTGKLTIHYKEGDDEGVVSDVDHLIWAIGRTPATAGLGLESAGVKVDEKGH VVVDEYQNTTVDGIYALGDVTGRWELTPVAIAAGRRLAARLFGPEQFRTAKLDYTNIP SVVFAHPEVGAIGLTEPEAIEKYGRENVKVYKTNFTALYYAMMDPEEKAPTAYKLVCV GPEEKVVGLHIMGLGSGEILQGFGVAVKMGATKADFDNCVAIHPTSAEELVTLK MYCTH_2316497 MLARATLRTSGAASAAANQLVARRAFTTTRARLSSPYHYPEGPL SNLPFNPRKKGFAFWYWTFMATGFGLPFGIAVWQTYHPAK MYCTH_2311673 MDVPPVKLSELLRHPDDLDKIAGLKAEFGRKKAAVDSQLRAGLR EQLETAQAGMNGLTDGQKTVQQIKDEMIKIDKICSESQNMITDFATINLVSQAHRNFG AVEAMRRNLETFDDRLDAIQEMLRQNDEDAENMPNLLPVHYELTQLRNIRDDAMDQIK RADDPGLQSTLEDYFERLDQVIEWFDEHISLIGRSLINLVVADNTSLVVRFALVIEAE EKSDQRVLALQEALKDHREMAARFQSITDGAKTVRGYKDKFLECIRKIGEGQFEQARE RFMNDPSELEKSLKWYFNDLNTVRLGMVQLMPKKWKIFKTWTDIYHRMMHDFLVGIID DPDTSSAHTLEIVGWPEKYYRKMNKLGFKQEELTPHVIDNRETELVRDFRALIIKFLD EWIGRIWAQEKKDFADRNVEGGNLDQDEYGYFRTKNLVDLWRMLRGQLDAAANSKRAD VAEGVVDAMFQRLRQRQQSWQKMLEDEAARYETDKAGDLEGFQPLQDWLVATANDQIA CIDDNEDEGRLAYLSDFKRKFGALVTPQYMDRAEAEVAALRDGYLDLSTWCITKFAQL VFAVDFKTVMPDFFTPRWYTSTAMARMVATFDEYVGDYRQVLHHSLVDIFIEIFADEL LIRYLSSVRNKGAKFRRVDQFQDKIFDDLKTAFDFFNSLPNPAVANSIKETWRVTEPF LQLLSVEKDAVPDTFAAFKTAYWDLQISWVEAVLRSRDDFERSMLNAVKARAAQMDVV RGPETIMSKIK MYCTH_2031268 MPRLYRNRYLTCFYCGRKTSTRYDGKTRRFDCPFCDATNYLDEN GDITDPPVATETESTPRQFAAARPFSPPSSPPASDVIFCDTCLKNQHLLNASLAQYLP DPDDPDYAEREKGLYRFRRNQERLYPQICEQCEPKVRQRLEKAQYTAKTDLLRRMLDR SASARSRVTTRGWPERFDAAGRWLWIAGFVLQLGWHAVVVHSLLSQYLIWSEADDAQF TFRLLRICGPLVGSLPSDERLLGWSSLATILGVWWNPRFSQVYRGFNRHINGVSKWYC FQAMAVGLRVCLRRIDGLTTPDPAQLSTQTAAHALALTFALLILTLAPRCIRINMAPL FGTPHTTQNPLRDDPPEPSSTTSRHDETKTIADLLDEISRSPVSPTPPSPTPTDASSP SLPRRRANALPMGHNHTQAAQNLTASLREIDNLHLNLSPRRQPAASAWGAGRPYSSFS RSSPPRSAPDQGEEEMDWSPTPSSKYRAFNTQGGQRANQPFGSAPTSDEKAASKPFWY RVPPAPTTPAQRVFNPPNQPRLRPSPVEATTPTPSFRFGQQRDSFAGGGSGNKKGLGF SSFSSSLSSAAGAADVTFAEPRFFAEMLGRAPGVVSEERKNDPRNELSGLFGESFKLT EEEKKRRG MYCTH_2311677 MEEFIRELVADIGPDRFALFVYIGLIGITILSTAGFFLLSWSWI VAIVNVFVGFVIWRHVSLRRAHPRPETGRRWISRRDPSTPNTAGLPAVYFLYILGSGG HTSEMLETIKRKFVPQANAHRRYLVTTGDQDSLDRLIKLECLIRRCLPPGDPRAGTVD AFRVPRARRVHQPLWTAPLSCLSTAIHAVNALTREPDCRPASRHGAQFKYPHVVVTNG PATGFVVCLVAHLLKLFYLVPEGRLKMVYVESWARSRSLSLTGRLFRRTGIADMFCVQ HEDLARRTPGAVYVGRVAAPLAPPG MYCTH_2311679 MVRGRQFRAARVLETAEAQLSSSIVSSKPNVAAPWVRALRYIPP AEVLTRPYPIQHTEPKDRGRALHRPRNLFRPTRIVHPEDELRADFYRDHPWELARPKL VLELDGKDARYRDWSKGLRQPGMVLSGESVVQRQLWLMENRGLSKARAYDIARKEFYK LRQMEQIERRIAVEEARMYGAYFGKTDLQVGMELEDAAYENWKKWATEEIAKLEAERT AAYNNVVDAPEEKSLLDDDADL MYCTH_2311680 MRVSSRIDGSICGAILFLHRPSLSSNRSAAAASLPTSAHSLGGG PVQGFRGGCCTAWRRPITFLASSSRSLAPQHRSGLNPSTPRVSTTTPLGSLPRPSIIP EATATTTTSTTTRANHTAAAAETSPDLELDDDNDNNNNNNNNNKADPPQPQSPPAKLK RRPSYTQKELLALVDPYDDEEIGAVEDYLQYLRDPYMRGYAQPDVPKFSFARTAEDFE YPTLEQVIEAGEEEQKILWELRFAVLNRLRSPHKVDLDHIYEIYRRLPEPRMLYIHAR FRHQLLRALGQPDERDTRSMLRYFEVIADVKNTGIPLTTAEWNCAIAFASKYVGTVTE TEVETALKLWREMEVEAGIKATNVTFNILFDVACKAGNFTLAEMIYREMESRGHYYNR YHYTSLIHFFGLKHETGGMRAAYREMVKAGEMIDTVVLNAMIGGLLRSGEEAPAERLY ERMKAATLSSGSSSGSSSSSSSDEGGGGGGGGDNTPLPVRTPPSDRAITQALLMFAKI ARRHPASRAALQRMAPLSPDLQTFRILVNHYGVKRGDLAKTAAFLDEMKLFRIPLHHA IFLALFKAFAHHGGYPRSPWSERRLTGIWNALLDAVDAGAAGIEIKTWLAVWALRAFA RCSTRQRVLDVYDALKARWTLNEADEQFMIDFLSSLLNNNNK MYCTH_2071219 MAGPAADLSRGPVLLSFSLATASFAMATTFVRFYVRRSLYGGFG TDDYVSGAATLVALIATILGILEATAASGERAVQFDVAGRPWYLISATLSKISICLFF LRVLGRARQWRTLLAALIAIMAIITLAFTLFTYLQCRPLEKVWKPFVTGSCLDASIRM NFAYAQSGRSFSLGLSRQTLD MYCTH_2311684 MAPKQKQPCYVLGVGMTKFIKPRGKVDYTELGYEAGIKAMLDAQ ISYDDVEQGIACYCYGDSTCGQRVFYQFGMTQIPIYNVNNNCSTGSTGLAMARNLISA GAADCVLVVGFEKMMPGSLQTFFNDRESPLGTTYAMMAKTRGLSKAPGAAQMFGNAGR EYMEKYGAKAEDFAEIARINHAHSVNNPYSQFRDVYTLEQILASPKIHEPLTKLQCCP TSDGGAAAVLVSQAFLDARPHLRDQAVLVAGQCLATDAPSLFSGSAIDLVGREMTTRA AAAAMAEAGVTPSDVAVCELHDCFSANEMCLLDALGFAEPGRAHELVRSGGITYGPGR KVLVNPSGGLISKGHPLGATGIAQCAELVWHLRGWANNRAVPGTKYALQHNLGLGGAA VVTVYRRADGREAPVLDSAAVGRINKQGYNPAVEARGFTAEEANRVRSKHKTNDFAFT ATLPMMKAAQAKV MYCTH_2311687 MRFAALLTGFLAAAQAEKNYFFRYSGGNDIIDHQRLRSNISIQH TSPGVTLPPYNPKDHFMRLYPNATAHPPAVALVIVPTNPHPPPVPGYYGLSDREGVPD AYRLVYSYRLDEEGPGFKYAGWRLRPAGPGSEPGTLRLRYPIGEGRAAAGEEEEEEEE EEGKGGHGKWRWIAVKEKDGDGDYDKWVPWYVKRCAANGAVLATWDYVNVDLELVEAK GAVNSSAPGGVNE MYCTH_2097168 MQPQFFNVVNDELRSSSNIHRVTDPRTEEELWPCPIATTQDFED AVAAAQEAFKTWSLTTVEERQALLVKLADVIRDNAEELASILMRETGKSKILADIDVQ AGIAQALYYSQNALTDEVQHEDEHQKVVATHIPLGTVAAICPWNFPLILSNIKVVSAL VTGNCVIVKPSPFTPYAVMKWAELSRGVLPPGVFQVLNAGADLGAAMTAHPGIAKISF TGTIAVGKKVLAACAPTLKKVTLELAGNNACVVCPDADLDRAVPAVASGGFFNAGQVC VASKRIYVHESIYDEFLERLVREVETNFAIQEDGQAPSVFGPLDNKAQYEVVKGIIED CKSRGYNVVTGGQTDKVRPKGFWLPPTIVSRPSEDSRLVQEEQFGPVLPILSWSDEDD VIRRANLANAGLGASVYSSDLARAERIARRLETGSVWINQFERPNFAAYFGGIKDSGF GGEMGKQGLLSYCYTKCLHYPK MYCTH_2311689 MTPSAVLPQQPAKVPPGALTTDFDDTIRFYLNGTRVVLDDIDPE VTLLEYLRGIGLTGTKLGCSEGGCGACTVVVSQYNPTTKRIYHASVNACLAPLASIDG KHVITVEGIGNVQRPHPAQERIAKGNGSQCGFCTPGIVMSLYALLRNNDAPTEHDIEE AFDGNLCRCTGYRPILDAAQTFSVRKGAGAVNGCGNAKANGGSGCCMENGNGGGCCKD GKVDGVDDQPIKRFTPPGFIEYKPDTELIFPPALKKHAFKPLAFGNKRKKWFRPVTLD QLLEIKSVYPDSKIIGGSTETQIEIKFKAMQYPVSVYVGDIPELRQYSLNEDHLEIGG NITLTDLEGVCQEALKHYGEARGQIFKAIHKQLKYFAGRQIRNVGTPAGNLVTASPIS DLNPVFMAADAVLVAKTLEKDIEIPMADFFKDYRRTALPADAVLASIRIPLTQEKNEF FRAYKQAKRKDDDIAIVTSALRVRLSLDGVVEQANLVYGGMAPTTVAAKQANSYLIGR KFAELETLEGAMNALGRDFDLQFSVPGGMASYRKSLALGFFYRFYHEVMQSLGADADA EAVPELERDISTGKEDHTVAAAYMQETVGKSNPHVAALKQVTGEAQYTDDIPPLKNEL YGCLVLSTKAHAKLKSVDFTPALEIPGVVDYVDKNDMPSARANRWGAPHFQETFFAED EVYTAGQPIGLILATSAARAAEGARAVKIEYEELPAIFTIEEAIEKESFFEYFREIKK GDPEEAFKKCDYVFTGTARMGGQEHFYLETNASVVIPKPEDGEMEIWSSTQNPNEAQA YAAQVCNVQSNKIVVRVKRMGGGFGGKETRSIQLSSILALAAKKTRRPVRCMLTREED IVTSGQRHPFLGKWKVGVNKDGKIQALDLDVFNNAGWSWDLSAAVCERAMTHADGCYM IPNIHVRGRICKTNTVSNTAFRGFGGPQGMFIAETYMSEVADRLGMPVEKFREINMYK PEELTHFNQPLTDWHVPLMYKQVQEEADYANRREAITKFNAEHKWRKRGLALIPTKFG ISFTALWFNQAGALVHIYHDGSVLVAHGGTEMGQGLHTKMTMIAAQALNVPMEDVYIS ETATNTVANASATAASASSDLNGYAIYNACAQLNERLAPYRAKLGPKATMKELAHAAY FDRVNLSAQGFYKTPEIGYTWGENKGKMFFYFTQGVTAAEVEIDTLTGSWTCLRADVK MDVGQSINPAIDYGQIQGAFVQGMGLFTMEESLWLRNGPLKGNLFTRGPGAYKIPSFR DIPQVWNVSILKDVEWKDLRTIQRSRGVGEPPLFMGSAVFFAIRDALKAARAQYGVEA KVGVDSKGEDGDGLLRLESPATPERIRLACVDPIVEKARVKAKEGEMSFFIAI MYCTH_117495 MTATESVKRTSGKEDKAPSKPESESKANQQHAPAADNAHRTPKK RRKVNHACLYCRRSERPCTRCIKRNIGHLCHDEPRDHDSKKSKNLAPSTAQESGSQQS DLGQSALNQNAGAMRPPSFDSAMGNGPGQANKAGSFDAAALASRSGNPLQLVQPTPVS GMAANSLGGMGQFPSLSDAWLASQNPYHDMHNFHSNYVISPEVTNEFNLLNDFLQTSL LDDTAILADDQNQADTASGFPSSSNTMLAPSAAQGSSASAPNAEQGKAISRPASVLPA DKTRAYYLQAADPSGNAPEERMRQVLQAKYEAGLLKPFNYIKGYTRLQNYLASHVSAS SKQKILRQLDRFRPKFREKIKDLTDMDLVLVEMWFERTLMDYDRVFASMAVPACCWRR TGEIFRGNKEMAELIKVPVEDLRGGKIALHEILTEESNVRYWEEFGTIAFDPAHDTLL TACSLKSPQGGKPVNCCFSFRIRRDEHKIHSSQSATKSQKIEAAVEFAVRLRKILLSA TTTPDEHDAILLADAPSLIQDAGEHVSQLHVCQQTYVPGVSGDPEIDELATGLWNVCT RLGRALKDDLGDKEDESAEEGGGGGVVEGRDGQGNAEGIRRLGRLYLYGRVLAFHLLG IARPREKGRAGVIVRLMKLGLKVVRDCLKIAGQVVQTTADYKEWLQDMSKNLPDDEAR ECQCLEVEYFIMRTAMCWVENRLDVAEHMYSKAERFRPFLTPAYAERLADVLYEIGKS LSDRSDFTIAVKWFERASDVINSQELEHLSREGLELRLAILQALVTALLGSNTTDGFE KAENYVNLIEAEAGNNFVVSLLKLELLRKSPSEVFDSEAYGDVLRHIIRNFAFSESAF KLIMHHIRKLHDKSPGSGCALLDDFIMTLGNAEKDEWMEKAVVTRMWMITNQRDSVET INAVQNVLGCLGKPLGPEAALLWKKLESNYSQGNYDMAENWCHLSLHDSFRNCGAANR SKLESLDAAASIIHGMSPQSRKEPMTAYLSFKVAVRIEDRALAEDCLETVTQAPDHVD YLGACIAESQKAGDIVCAIAALKKLQEKYEYTEPNPIHLPALFRCTIRLLNLLAERPG VDIDSIVSDLCESFESVLYSTMRKIVNEIWALERFDAVKLAKYTRCLFQATLPLDDGL AMNLLDEACSKAKELRESKASWPEEELEWMATTSFNHAIDCYSAHQAERAKEWATKAI NLAHYCNDGRLEEILQNKYLRLNLDGDSR MYCTH_2311696 MAPPPNTPDEGLTVTFRVSVDDELFRVSSPHPSSAMADTSQNGS CVIKLDYQALEYIDPVDETLLCPVCKTPFYSPITTPCGHTFCAGCINRALETQPTCPI DRQPINKTRDYRRLPLIVKDQLDRLKVKCPNKGCDHQCPRELLEAHYERRCEFSLVRC PDSNCTQLIARRDASPAKGCLHIDVVCEFCEKKTTFAELDGHHNFECDGAIVECPDCG RGIVRHTLVHHRTQECLEGHAQCKWHTAGCKVAGKRGVVQEHEQSGECSFEVLGRLIE KQAEDRKIINELSERLARFEAAQARRREPRVTQLSARGGLSPVAGSSRSAAASNAPDI TLNNNVPVSLDPADDGATGGSPEDYMLAQFERLETQLELLRQQSIDMDARQSHLILQH ATHFSEQLAEIGNKVGIVNMHMSWLMSLQRQQRAGSTAGSSSNAGLSQGTGNGSVRPA SSDDSSVQYPGDNRRHSEGRGESLHRL MYCTH_2311698 MDPNEQQPQPPPPATSTPDAPDRETMERIRRRRLEKLGGSPGSS TPAASSPSTETPPAAARQSTSKPADGKLVSKEAEKPAPGDSRPKINVSPAPAPGTPHS TGSASSSRPGSANNAGSRAKRQASDVDEPSASAPPKKQTTPAAQETIEDYADRVLSNI FRCTVDPNRTTDSQGHKLAFLPNLSAELAEEGSPLKLTTGRLEEAIMEAATAVPHDRP LLDYLLPCWKRVVRTLKVLRGPAPEKEALLKEARRLCFSNCIFALTVPELFSREPNAL HDTLVPYLLREVESEDGLCLDFFAEAVARIEDDDSIAPLFTKAMADISAKLATMSMND DYKPCVNALLTYSRFPPLLNALAQHPCFQMAQSAPGIEKNTILGPFFRISPLQPEVTT VYFAGPRTMDKGRIQTSQNALQMTLGAHQADLKTIINAFIRASPEARNKTLDWFAYIM NTNHKRRAMQVDPNEVSSDGFMINVTVILDTLCEPFMDSTFSKVGRIDVDYFRRNPRV DIRDETKLNADQAQSDAFYANKLEGESNFITEIFFLTLAAHHYGSEAANSKLKTLDRN IKHFEKNLAMMEAERQKLVNRPDQLRILDAAIQRHTSVLERSMAMKYSIEGILLEQKM QSRSLQFMRYVAIWLLRVASQTEYTPDKPLKLPLPANQPEAFKCLPEYALQDVVDNFK FVFRYIPQIILSAVGDEMIALCITFLESSEYIRNPYLKSSLVTLLSHGTWPTYHLKKG VLGDLMTNTKFANDYLLHAIMKFYIECESTGAHTAFYDKFNIRYEIFMVIKCIWTNDV YRQQLVQSSKSNRAFFVRFVNLLMNDATYVLDEGLGKFPKIHDLQARLRDPTLSQEDR EKAEEELRTAEGQATSYMQLANETVSMMKLFTTTITEAFTMPEIVQRLAGMLDYNLET LTGPKSKMLKVDNPEKYFFNPKTLLPELVDIYLNLGSSTSFIEAVAADGRSYKPSTMI TTAQILRNKHLKDEKDIRAWEALSAKIESAKEALDRADMDYDDAPPEFEDPIMGILMT DPVRLPSRHVVDRSTITQHLLSDPKDPYTRQPMTIEDVVPDVELKERIEAWKAERRRA KAMGDDAMDTTE MYCTH_2311703 MTKVLLTGGSGFIAAHILEQLLAKGHSVVTTVRSEEKAAKIREA YPEKVASGDLVTAIVPDIAQPNAFDEVVKTPDLEVVLHTASPFHFKFSDPKELLDPAV IGTTSILRAVAASAPTVRRVVVTSSFAAIVDEAHVRDPSHTFTERSWNPVTLDEVHKH PSTAYRASKTFAERAAWDFVKDPANGARFDLVTVNPPMVFGPVVHYLASLDAINTSNE RMVDCLRGKWREEVPSAGPVVIWVDVRDVAEAHIKAGLEIPEAGGKRLFVTAGLFSNA ELARIARKNFPEDADRLPTEQTKGGELPAENERFRFDNSETNKLLGIKYRSLEESVVD TIKSLKKYGA MYCTH_2071028 MNRSIEQTLLSLLPTHNSALPQPLTDLASSLLAQSRNRASTLKA EEEVARLYACAHLACDRLKVALDLPPIEPRPPIPPRIYKRLYNHLDKILPASASTPGR HTPSGTPLGSGRIRTPSAKIREQQQQQQQQQLLLNGSPLASKSRTTPSKERSLSDLRA RAGAAATPSKDRTLSSAGGGGGGPPKQRRDDALPPWIRPALRYLLTKLGPAHIGPVVA SGLESVVAPRGRLTDDGWVRAHLVAVLGALYLFVWRGVVWPAGREVERERGWEGWEEP SVREFDAAAVRGARHGWFDMDWVDGVRDLADREEDKRRRAEGGDDGGEGEGEEVVVTQ IRRPDTMFQERYDYLSERKRKAYAEWKEGLLKRIKELEAR MYCTH_2311706 MANPLMRRSYAALMAPPLAPFPGLRSMSTYQPSRVQQFPWIKFQ QPSRGFQSRSFGTGGIPRDLLANREAAANRNPHSATAQNSFYQLLLKANMPAIVVERY QSGRFATNEAADEAYQRALAMINGTAGPAASGDAAANASSGLSSSKLQAVGQAVAAHR SGSNMAFSTGSNGSKNGPLHVIVDETFGTAALRWIKFLLWFGLCAYLSLVLVTMVVEG MTSLKRPSKVDGIDPKAENQKARFSDVHGCDEAKEELQELVEFLRNPEKFSNLGGKLP KGVLLVGPPGTGKTLLARAVAGEAGVPFFYMSGSEFDEIYVGVGAKRVRELFNAAKAK APSIVFIDELDAIGGRRNSRDATYVRQTLNQLLTEMDGFAQNSGVIILGATNFPESLD KALTRPGRFDRHVHVSLPDVRGRIAILKHHAKKIKIGSDVNIAAIAARTSGLSGAELE NIVNQAAVHASKEKAKAVMQAHFEWAKDKVIMGAEKRSMVITPKEKEMTAYHEAGHAL VAYYSKDTAGELYKVTVLPRGQSLGHTAFLPTMDKYSYSVRDYLGLIDRAMGGKVAEE IVYGNEFVTSGVSQDLDSATRTAWQMVAQLGMSERLGPVEYLRDYERLSSETRAMVEA EVKKVLDESYARARALLVSKRAELDLLAKALVEYETLDRAEVEKVLRGEKLEGRISVP PGPMAVPKPQKSIEPGLPMPPLSGGDDGESAGPGSPPPPAPPPAPARQITVLGTGEVG NGERDE MYCTH_2311708 MATSTTGLIPNWFPPNRENYDFILSIWKWMPVVASLQWVIPWYG MGKTSVDSRLNLPGRVGWLTMEAPGFLTLLYTMGTLSAQVEGWEGEVEGAGAAVPYEN KVLAFLFVLHYAYRALLFPFLQPSMSPIHPVVWLSAVAFQLTNGLAIGAWLGGYGPTT RAAWAAQLRLGTPQFAAGLAVFYLGLAANYYHDDELREIRRRAARRAREAARKDGPPR KNKTAGTVVVDKHYEIPQAGLFKVMLYPHYFCEWVEWFGFWMAAGWGCLPARCFLFNE IAAMLPRAVRGRKWYAEKFGEDKVRGRWAVIPGVC MYCTH_2311710 MVTRKPLPQSAALDPTVPQQQQAQDASAPSSTENDAIWGNGDEQ YQGQQSTTKPEAGIPGSSKHGATVASSDLGNEGTIWAEGASTLNTVDVSQVPAVLRPG DSHGVQASTAQGGTSETSQDTPPGPAVLLPGGGSRPETNPFKRKMSLSASGTSQGQPA TSSSIPPVPSVPVADFSQLSINDSSTSPWQPALDGGKDVTWPVPRMEEQDSIAGNVWD SAVPSSQPTPRLGSNSLAFTSPPSEDASAGWSEEAKGQPPPRPLITTADDGVLDDTNV WDDLKLSDKGKDVSQPPAIPSNGPAGTDDWNLIDMEPEPEPLSRQSTWENFKDEDTAG KEAPAIEARSEEAPKLPPRNTAERPPAAPPRPVDKSETYQIKNINWHDESAAKNPRTS PILVQNANGPCPLVALVNALVLTTPADKTNTALVETLKSREQVSLGLLLDAVFDELMS ERRAQPDVPLPDVTELYEFLKGLHTGMNVNPRFIPTPEVVSAFKRTSLTYLHPTERAD MIPGTFEHTREMALYSTFSIPLIHGWLPSKDDAAYDAFSRQAGSYEDAQNLLFREEEL EEKLCNSEQGLTPEEQQIYQDVLSIKSFLSISATQLTKFGLEVIKQAMKPGSVAILFR NDHFSTLYRHPQTLELLTLVTDAGYAGHAEVVWESLVDVNGERTEFFSGDFRPVGGAP QGQPGPSRDAVPDHWADAADTDNDGWDTVQTRHGPTVRQGASTEGPLSAKHEQEDRDL ALALQLQEEEDERARAERRRRESLLSEQYIEQQGGGGGGVGQRGGASRGSAGRGGVGG GRHASYSSPSLSSPANAPLAGGRRPTPAQPRLASASTTTHSVSGNISGTGSSDRPRPT TQTVRSLLPPRSSSTAATSTTTVPQTTRMTHRPADQELEEAPPSYEQAARQQPYIPPA GHPAHPGSTNAGAGSTATAAGGRPQPQQEGIGPGGRSGPGRYQYPGADGRGGSQPPAG YRRGVPPPAGSPMPVSGGSGRDRDCVVM MYCTH_2311711 MPDASASGASSSQNDASARNRREHNQGNQERKYTAEQKAAVLRI RRCHPTAFYEILELQKTCTDSEIKKAYRKLSLLTHPDKNGHEHADEAFKMVSRAFSVL GDKEKREKYDRYGTDPDSRFASAQAQAAENPFAGFSGRRAAGGGGGGGTGGGWGAEEI SPEEMFARFFGGGGFGGPFAGFDGGPQFVFNFGGPGVRVHQFGGPRPRGRPRNPGQEE PPSVWSNLVGYLPIILLLFWPVISSIFSGVFSSTPSTPSMVFDEPHPPLYTMERTMPH FKVKYYLNPADVHSYSQSKLSSLDKKAEVVLVQQLRLKCDNEMAHKQQLRNAAQGWFF QDPEKMELANTYDMPACRRLNSLGV MYCTH_2311713 MAPTDKDAEPRVTVGSQLRAWGTSAIPPMTLTTLIIALHARPLQ PLPLLFPPLLVFSSYLTLAGFQTDGAGMTAAWSGVYALLAARRRPASLRSRFSLRGVV RGAAVGLGVANTVAGLYTYATGDRKKEEEERRELNRWGVYKD MYCTH_2311715 MTSGEKVVSKRSARHYVEYDMEEQIEDCHEKRLVRTEETRSNGR DRQSPTSTSTAAQSSQSSLEPSPVIHPENLQLEADTLDSALPGRDNVGFRDAFHPPTG QQQFPYSIHELEKSLPTESRPANFGIVVPGVYRSSFPQSEDYAFIEGLKLKTIVTLVQ KESPQGYDDFLHRNGIKHAVFDMKGTKKEAIPVATMKSILRIVLDRRNHPLLIHCNHG KVSAGVP MYCTH_2311719 MSDRAKRRVEAIGNQLSGSSIPAIHKVAPSGPRVAGKVVIVTGA NSVLGIGRASAHQFAEHGARAVYLCDYDDSNLEAHKREINSLWPKVDVHTRKFDAADE KAVKEVVDDALARYGRLDVFFANAGIVGPHAVFTDIDADDFMNVLRVNSLSVFLAAKH AAPAMQKTSADKPKPSGSIIATASVAGLRSNAGSTPYSASKAAVVSMAQTVAYQLAGT GVRVNALCPGLIETGMTAVVFDAARARGTDGKIGQLNPLKRAGHADEIARVALFLGSD ESSYVNGQAWAVDGGLSAGHPYVRGRLG MYCTH_2130669 MRDILNFKVGGALGHGGANKSALQHHEGAPSNTPPDGGPTRGGV KRSPPNTAPRIKYNGDTWDYISFSLRARRDTRVTRPLHLPVQPHDFFSLKRQREEWGA LRRPPATFHLPGTRQETERTKKTPSCKCPHQAPLMPFFVVVIFRERRLQVTSIPVTSS RPGTAR MYCTH_2113417 MGQTKENAKTDGGVGCPCYVNAAEIQQAKQSDAHECLANCRAQF LRGVLNGWEGSNGWAEGCGSLNRGVAAQEFWSLYWCDSTFCGVAIDLDGGLGQDPSVD LIINTCQNIGFYSIFNPGPPPPGFKCSTEADDMSSICSATVMSASQATPGRQPDPAVP ATSASMTKLTTTTTTAQPSSTLVIQASHSESASSVATASPAPSAASTTSGTNITGQGR AAIAVCSALALMLLVCFAFVWLRRRKQRKESFDRALRSRHDHLPGAGLAGSPTPLISP VGLAVGTRGALTPPLRLRDRKFLPSILRPGSRSPSPPLTPLTPAYTPQLSGGGGGSGG NGGGGSAGVGAVSPSSPNCSLATNKVISRSEHRTGASTPRTDPADESASLLFTKAIPV PGSTSGGNNSNRGSGRSASSSSSSSSYTADGDGYGRGCGWSDGGAQAMRPPRSRLGTS TAMPSQPGTGQAPTETETGRGRHQRDATASPLPPPPPPPSRRLPLSPPYPPTPRSPSS PCRPPRPHEGMLEIPGLVTPAGSASGHGDGCGGGSSGGGSGAGGNGKGDAPGFVKVVA RRFVGDGSASTPPPPLSPPPSRTLPQTPKGAGMSLPPPSPSSDGWTSPPPRLLGTPSS VPLAGNGTDMNAERSYHDHGRPPPSYPPDPGQFPPGDGAAGQSQRQPDSRESWGSWSG TGTVVGSGSCHGDSVCRNADADADADVTARAGTEVVVSRRTSKSSNATATEDTMVSAV SRTSNVGHGANTGCAESQAR MYCTH_18938 SSAAAPLERSISYQSATSIRSHRSTARARKRLTSQPPSSASSIA ASDKSLTSFPSFSPDSPREERSYFFREDDDTTPVTSSRKTSGQSLAVPEQGDTKEPEQ SKGGDGQGQQGNGHQERARPSAIVDHLTATAVVSPARGALFDDAPPPSRNIPGALHLA DDEHIERLIARHGAVNLVRQIAEDLARRDAQISTLRRRADERERALRKIILECGLSSL DLETRLRAVEQQAKANGASRRASGEGISDLVTDAMAEDMRHHPFSSHVFDDATIRSSS VPLPVNTDAELPKGSARGWKDYLWGSSTVKKSGRADSLLREASKQPPAVVKATPAERR PALQEDLFTPPQEDLPVRSPSRASSIGSSNASRKPSLASMALRLVAGGGSTARDGEAR GRTSSAQSGAATRTPSSSSLNAGGSVRAASSQSSGPKALMAMRRPAGPVRPIDVPPPR AFTQDRWDTMAPTPGGDQLASHHQNYGPVEMDTILPAEAQPPTLTQFYNNHVGADLLT DRFGFIYDQRRKKRQREAAQMARHAKKNSRAEMVHGRNGLSPVVLEDNASGNVSVSSD GRPDTPGSSEEPREEAKPKRWQDYLKIATFPTELLSHTPSISALGVEVLEASETPKSP GQSPSIVSEDRGFLPSATTTTAAITPSDSEIQPVSESDQQQHRQQQPQQQSSPATLAK EDAEPVRLLLENLNNLHDTLQREKTVRWNDFLRKVRAERKRDGEAAAAAAEARFQRAT AVMPETRIGDGELIGVASLGIQGKIGRAKASEFRSLVLGGIPVAYRSKIWSECCGANA LRIPGHYASLVARPETSDDPQVVAQIKADITRTLTDNIFFRKGPGVQKLHEVLLAYSR RNPDVGYCQGMNLVVANLLLITPSAEDAFWILCSMVETILPPHYLDHSLLASRADQTV LRQYVREVLPRLSAHFDALAIDLETMTFQWFLSLFTDCLSAEALFRVWDVVLCHPADA GAFLFQVALALLKLNEAALLRCESPAAVYTYINHQMTNHAISIDGLVQASEALRRLVR RDELQARRARAVEGERRAAQGR MYCTH_2071270 MAKNHKRDLVTAEAVIGVAELGIIIFGFLYDVNDLRNAALVSKR FYNAAQPYLWAAITIPCLCMHPRWRDQHAFWDRFSSFLKRNTLSLCVDMQKMAPNLYK RFTAGNRRWESHARNSTRSVLKRGVDELFSGLRETLLRAERLRSFSARDVPRVLDLLI LLQRHRAEIQCVNITASKHDTFGLLLLPAHDAPHWRQKVWLNPGLTVPLANSINLGLD IGVAPYGLQWDPNHAMRSLCLQYKRAGGKPLGLRTLTLGYGFEIADNDLNRAITPAGV PHYLAYLTNLKALEGLHLESLHDKNKSHLRRLSDRLGFSLISSVSAPYARCLTGLRKA T MYCTH_2071899 MPTSTFPAEGDHPNHNPVVSLDPSNPLDPRPLIADILRTRYCVP GSVFLVESVERCIPIPHPDEDGLRGDGDGSGAAAAAAARRRRRQSRRPERTVRLLLGD GELCIQAFVRGEIHGFVDGGSVYEGCYVRLDRFSLGEEELRVVYLVVGDMVTVGWNEE YLGILRREREEREERERREKREEREKREEREKREEREKRQEREKREKEMHSQRLMDVE EGGQASSAVVADEAAKAHAPEISGTVSANPAERERPDESDYLSDSDSAFETLVVSAER VTQRRIAVAAPPDPREELGAAIVKQNQEHGGENESPDHLQPRPHQPQPQSRLQPQQAK PQAVTNPRPWLPTDARQLVKLTPLSQIPHLPYRQNWMVNVLAVVTSLSGVEPSYIGPS FRQRTARLADMSTPKQVHLSVYLDPEEFTPAEGSVVLLLGVKNHLFEGGSLRKYVSDR LASGQSWWVADPGELGWCRAGVERLRAWWREKQRENWGRGRGEG MYCTH_2311723 MASVPPATIYPQSHVGFDSITSQIERKLLKRGFQFNIICVGQTG LGKSTLINTIFASHLIESKGRLKPDETIRSTTEIQSVSHIIEENGVRLRLNIVDTPGY GDLINNDRCWDPIVKYIKDQHSAYLRKELTAQRERYIQDTRIHCCLFFIQPSGHSLKP IDIVVLKKLSDVVNVVPVIAKADTLTLEERQAFKQRIKEEFAFHNLKMYPYDNDEFDE EERAINTQIKNLIPFAVVGSEKSIIVNGKQVRGRQNRWGVINVEDENHCEFVYLRNFL LRTHLQDLIETTSQIHYETFRAKQLLALKESSAQGHGSRPISPSAEREMSRGSQRVAM NGY MYCTH_2311726 MALTAPVMRMASSSSFFPRLLAPTLLSTTRIQLRVRQFSLPLFP SLTLAIPVGFQLGLPSLPSILEGIWESILKAVPKKKTSHMKKRHRQMAGKALKDVTSL CKCPACGEVKRMHYLCPNCARKLRDFMNKEARANAH MYCTH_2311727 MSKQYLTAHTVDEAHPSDIFSLAPTPTCLLSASGSSSLRVHGTT DATFPLQQTIPNAHKLGCHHICTARGGVGAVAASVGFGGEIKVWTYGNPAEDGDNNNN KNNNRNIKDNASSQKEWKLHWELPPSKTDGGDVWAVALSADEGYLACTTSDGRIHVWD IEARERIQTYETGARGGGSFAMAVDLSRDGRLTASGHESGAVYVFNNDAGRMVYSLSG LAKPVRAVAFSPGCKRLAAAGNAGVIAIYDMEHGEHVGNLTTPTSRPAWITSLDWNDT GEYLLTGALDGKVKVWDVARGVCVATHSETESALWSVRWLPKTERALGPGMGKSEMFC AAGASRSITFYREATGS MYCTH_2121306 MPITRRSTRSSTGAGKQSTLSFKHKVTKAIQTGKEAYESPSRAK EYIPEPSPEPAASKREPKKEEKEEETPAPSDDEQLQKAQPAAVAAASGASEAEIEAAK ITDRAIERYWNGIENSRKAKAVHRKHTEGLTTGEKVLRYFDVSSQYGPCVGITRLKRW QRAQRLGLNPPIEVLAVLLKEEAKGNTAIEKAHMDELLNSAAVGSVGV MYCTH_2311732 MSDDEPDHELLALLRQHLQGKLTIEEEPETGVLEGAEFVYDNSI DVSIDMRSCKNAAAAIYEQMQQKQYSPATWSTHELHPDRHVIGAEAAVAFVFVMDLLN FSFWSDRSETERFAVEYRGKRWTGYWSLVAGLQRALEEGIPITDPHFWQDEEECTLDV LRHVFRSCTDEEMPLLAERLACLREAGQVLYEKYQCHPVNLVEAARGSAARLVNLLAR DFSCFRDEHHFPGRREPVRILKRAQILVADLWACFEGEDYGEFRDIDKITMFADYRVP QILKTLGCISYSPPLEAAIYRKEEIPQGGSWEMQIRACSIWCVELLRREIVRQHPGTH INAILLDFFLYDSIKQMEQEGTERSPHHRTRSIWY MYCTH_2311735 MELGPGLPLTQTKQSRVLMVGAGGIGCELLKNLVLTGFGEIHVV DLDTIDLSNLNRQFLFRQEHIKKSKALVAKEVAEKFNPAVKIVAHHANIKDAQFSIDW FGSFRIVFNALDNLEARRHVNKMCLAADVPLIESGTTGFNGQVQVIKKGVTACYDCSP KETPKSFPVCTIRSTPSQPIHCIVWGKSYLLNEVFGVSEDESAFDHSLDADNAKEIEE LKKESEALRKIRESVGSPEFHEMLFDKVFNTDIVRLRSMEDMWKTRKPPEPLNYKELL EKASEATAAKDAVLKNDQKIWSLEENLVVFNDSLDRLSKRVLDIKNGPDGATQDATIT FDKDDEDTLDFVAASANIRSTIFGIERKSKFDIKQMAGNIIPAIATTNAIVAGLCVLE AFKVLKGEYEKAKEVFLTPFAPARLLASDKSREPNPDCPVCGVFQTRAYVDLSRATLN DLVEDFLKLQLGYGEKDISISNDVGILYDPDETDNLGKKLSELGIGPDSFLTITDEDD EDPFVNVVVAIQEAKEPLEDKPIKRASPEEVKIPTKPKKTPAPECNGQNGHSAQSGSR QQSEAVAEVLPAKRPHPEGTEDAASVKKAKTSASNLEDDGDVVVLDDSAGGAIVIDDD MYCTH_2311736 MTVLSLAGKKAVVTGASGGIGFAIASRFAQEGASVVLAGRTRAK LERSLGELQKLGEPPGSDRSSQLHRIHCLDVGEMKEWEGLVKEHKEIDFLVNCAGQSQ HSLFLRTNEEAAQSLLSTNLRSVIFGCKTVAKQMAARRAGGCIVNVSSLLAYKAAIGT SIYAATKAGQLGLTTALSRELAQHGIRVNAVVPGYIDTDMTESLANKTELEKKIPLGR FGTASEVADAVAFLAKNEYANNCILNLDGGLSAV MYCTH_2311737 MSPSDNSATSGGARPSEKATPPAIVEPTKENGAVDAGLKSLHHY RRALPKWRYNLRQRLLPIIRWETPYLAALQSSMRTPALDSYFAITANLGTHTFFMIGL PVLFWCGFPEFGKGLVHILATGVFFTGFLKDLCSLPRPLSPPLQRITMSGSAALEYGF PSTHSANAVSVAVYGILMLHRDTNSFSASTTLALEILAYFYAFSIVIGRLYCGMHGFL DVIVGSLMGAAISLIEFYFAARVEAWLYSSSYIAPLTIALIIIVLVRIHPEPADDCPC FDDSVAFAGVMIGLEVGTWRFARYSPFAAVYNGPDATFNLSALGWKRAIARLIFGVAV IFAWREVMKPTLLRFLPHLYRVIETHGLSLPRRFFVPASEYKDVPLHLRDDNVLPNVS DLPKLVRSFRGPGRGRSVSVGPQSAADAYETLAYRERRRRESLESDGGGRGLRGKLSS RSLREKATADGECSQVSGVQSGGRLAEFEKQMGTGTVAASPTSEGSAEAVSGADPCLS QEDELDEREVFSKLVKPRVRYDVEVVTKLVVYAGIAWLAVDGILIAFEALGLGAEPPS TR MYCTH_2311744 MSIEAIGAAAPAVAMDVEPSTDSTNPIALHSPPDSNNAVDVDGS DSELSDLDEVAGKLDGELQAELKGEFEAEPKVEPKVEPGTEPQAEDDDIGEILPDHWS GTVPVFKPTMKQFQDFKLFVCRLTDRICTGHRPPLTDGMQMEKVDKYGMKSGIIKIIA PEEWKQSLPPIDDLIKRIRVREPIKQDIMGSNGTYRQVNILHQRTYNLRQWRELCEQS EHQPPARRGERRANSDRKPATRSRAAADPSKPSTRTTSTSTRGRKRKGGRATRRSARA AKEESEEPEDRPMTPVSPFPEKEEVIKSVEGELGMDEDDCEDADAPGAVRRMGSARQA KPKTQSVSARRKYIRREGSAMIDEEAFKDWDYRMDTSEFTPERCEELEKIYWKTLTYA PPLYGADLPGTLFHDSVDKWNLNKLPNLLDVLGTKVPGVNTAYLYLGMWKATFAWHLE DVDLYSINYLHFGAPKQWYSISQADARRFEAAMKNIWPTEAKACDQFLRHKGFLISPS HLKQHYNITVNKCVSYPGEFVVTYPYGYHSGFNLGYNCAEAVNFALDSWLPIAKIAKK CQCAQAQDSVWIDVYEIERKLRGEETEYEETEDEEEGEDDEEEETGLPSPPSSHTVRV KAPGRKRKRDTDEKEPRAKTKKVRLRLKPHVEPPCCLCPNDIPGAEIMPTDDGRKAHR MCALYLPETYIETVDGREIVANVAGIDKARLELKCLYCRSKKGACFQCSQKKCPRAYH ATCAAAAGVFVEEQEVPVFGADGTEYKEQAFEFSCRFHRTKRDRKADGDALENDPQLR AAAKALKKNDICQLQYYRGEIFAGVVVENRADEETLLLDIIPNGDRVEVQWKWLLLPD PADFRLPKASPNAIPMPTSRKAQKEINAKRAVDEAPRKDDEFAPGYTWAEFHTGDATK NPDQVKVDLSREQQVWHYLGKTSTEAKAQYTEDPSQRRHNPKSNFLDTIPKPPPPMRA PLVPQAGPSVSGAYPGYPAVAGGVSGAAAGKQDKAYVYKPRTPFGLTTGQQPAPYTSH TFAVSTPSPSPAFQQFQTQQAAPQAQPQSTFQQHLFPLQSQQHMQQLQLQLHQPPPQV KQYQPPALDGQPKSSAPYLSDRFAPVRGSNGFPKLPGLSWPPAHMKSGHIVQPQSRAW PSSTDTAAPMTSSALRSPNVGALQPGGAGRQPYASAYQKDSSRYRTPYGQWGGFTNGY EGNLREFLIKAQKALSGDSSKAPIKQVPASLLQPLRPRLENSAPAHAPYAQILPRPPA TNSQSPSPQPPPSSAPTTQAPPHHNHGYAAPSTPGMPSGQPFEARQPGQGLHPANRPQ YAAPQQQQQQQQQQQQQQQPGQPTQHVWRATSQPPTQIPSQAPKPSAQAKPVFTKQSH SPIPLPPYVRQMATASPSGSKPSPLSQPHEQASQPSPAQEPAPVAAASPRPAPDSGAT QAPAAASPQQGQVTPVGDSHAGSGTTSQRSPQAAIPTPSSTAHQSSDGRRWTPAPEPQ PVQQGTAVSPTEAGQQDNAALVERLMSNLRRASQSFGMMEEDQRMAGQQSQA MYCTH_2113426 MGVMSWFGVVFLSFAFAAHWNANRLVYGLCRLITPDEGSSHARL GPARVVVLDDDDGLQRAARQHLEAQPRGAARELETLLWRALCDDPASLRDYYLAHHDC VMTNPLLAPGGSWAPLSKETRPSVVDVLTQPGRRRGGG MYCTH_2316511 MSSEEKGDAGSHTPEKVTSPPAFDVREGALQPRDQEDFFTRNGL NFRSFQKRDIGGGVVDTLDRSMRTRHMQMISIGGSIGAGFFVGSGKALADGGPGSLFL DFLIIGVMMFNTVYALGELAVMYPVSGGFYTYSTRFIDPSWGFAMGWNYVMQWAVVLP LELTVCAMTVQYWNQEISVAVWITVFFVAILIVNVFGALGYAEEEFWSSVLKLGAIVV FMILAFVLVLGGGPDGSRYDHYSGAHTWYNPGAFAHGFRGFCSVFVTAAFSFSGTELV GLAAAEARNPLRSLPGAIKQVFWRITLFYILGLFFVGLLIPHDDPRLLGSENANPYVD VAASPFVLVGYYANLKGYDSFMNVIILVSVLSIGVSAVYGGSRTLTALSQQGYAPKIF SYIDRSGRPLWSVVAILAFAPLAYVNLHSDGPTVFAWLQALSGLAALFTWGSICLAHI RFRSAWAKQGRSLEEIPFRAVGGVYGSYLGLALNILTLLAQLFVAICPVKGGTNDAEG FFQSYLALPVVIVFWIGGFLWKRTGWLRLDQIDLDTGRRELDWDAINADRAKLAAMSP IKRMFYTLFV MYCTH_2316512 MVSDNSSKPSSSRDMFAVTPDDDEQPPPSYEAAVSNGSNFCPTD TSVTTSATSSTNLTLPRQSSTSTTPIPSPSHPYPRNNGMSPLRIPHIDSHQQGYRPPR PMMSPSASSSSSSPSSSSWRDGRDDDDHDQWKHFGWQMTPEQLAALEGQPGCCFSTRG GCCFSDLGGCFFGDTGGCCFSSNGGCCFSDRGGACFSDRGGCCFADRGGCCFGDRGSC CG MYCTH_2311751 MEDPDLIACLYPFVDGEVVGKKAKKVIEAGEKRHQFIPPRLSEV NEQPQLSRSERESTPLERPREEVPAYFYHPGLELRFSRGPQTPFGFVFGTDENSDIVL PKSISTISFHHFALTFDDHYRPIVKDLGSSNGIEVTYNSRGKGNRSNFIWIIGGHRIP RYTETIVINVNKDLKFQIVVARHDITSPQYIDNVNRFRQGMADAGNLLGRLDLRSRPQ TERASGAHTPGTGPIFLKKKLGEGTFGVVTHLWNVSTAEECAVKEPSARAI MYCTH_2311754 MPSFEPMASNLTVNDIFSFNDEELVQYMKQNRRADGGFDLECEG WENLAKEQRDQLAQRLTAAALKANDEVQSRPVDLDAVTARLREISDNQEALPIATSRS PSYERSPTVICDKFEETKQDETRAYHELVKDGGRPVYPISRLEEVLNNPEGHREILLP WQPNPDLEIPYAEVFVVQLATWKRFRYWQKKNRDIYNVEEEFAAYSKEAWRRETEEIA SLSRSRRKFLEKSEREYLEKLRDKFLRMQEEKGVDDGDAGFSAFLEEEKRRNIEAGVQ WPGMTEHEYRQSLRVYFNRAEYRRNLEHFYWLREDHGRGGFPEYVAEARRRLGKHGFT RDFQLDKDPTRQDKLTTWIEYLNYEYAWYDRYERSINRLRPEYEEAWKKLVESGVLRP GETDENVRTIESAARLQAEEDQARKAVTSAERVAKAALAETEKAKVGRSRLTTEERKR RLVVAHSRLVAAKEARKALVKRGDLITDFVRGTWDYAEEERNIYRQRVRLQWALEQLP LIEAELDESNGLRAGRGAKRSKPHQSDDDTDVGGPTERKKDEPSVPADDTGASARART PKRGGHDDTNHPRPLKRPRNDSNKPTSYATSGMSDISKNCLSRSMETSQSQATGQRSV AEKSINADTRDIAGQTLSRPPGNGHGDTIKLRRSARIAARQGPSGTAVTHPHATDVAC RRSGRKLSKERVPLSPSTRLRGRQPKSTATNVARKRGNKG MYCTH_2311755 MTQEPSHLGALPPELFDKIFFELDTIRDLANFIVTARFVYHRFS ARRQTILFRVLQNELGPALPDARFLFVFPYSDPTDEVLYHEWIYVMAGVYRDMLAGGE GHGVRGYPGPPTVEELTELCRTLHLINFITDTYVTAQLGSFDLAGGGGTPATAPLSRP ERCRVMRAFYRRQIVSNAWASTKRPAHWDDLDSDAFSNTSTEQGRRLGLFAAFDPWDM QQIDHADEFITHLCCALVLRAAEVAAAGGGREISPRQFGNLHARLDHLVRYLRAHRGV AKAAIGDLQSGKSPLYYDERWRKSSYILTSCSLWRTAGRLTGRNPSRTPSSIGRSRMV CRWTTLPGTAPAKYHLAGRMRCGGAMSAGMAPGSLASPGFPPGRAGKRARPISVLSTS GDSPASLCGTGNGSRR MYCTH_2130688 MAPEKHLVFLSPQTRHSQHPNLEDTLASHFPISQASSLSSTRNN PRAAHASHSTRSSSSPRSVTMVRCNKCGKDETEYAVLVDTLVACTSQGCVRGYKKCNS CTYLNGYMVKCCASSDCAGTGKRECVSCSGTGTKIVKIACSGKHRKRTPPPGGYS MYCTH_2316515 MIARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERR AELELDESQRRLEEAQRELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVE RQETPAMPSSQINDAVDAVDWGAVFGSVPGFPLVDPDSAGGTVPVSQGSSNS MYCTH_2311774 MADHAGGDQELMYCHACHHQWQRAGESIECPVCMSASTEIVCQA PRGLLHPASRPLTALQVSPENDPRQFHNRQPQSIASGATSSTPATASSTPQPTLDQNA PVNSAGNGTSSPADAPNPESGSAQPAPDGQRPRVTVRFTTAELPPVTFFTFVTGPAAP PASEHASPVPAFGMHFFPPVTIVPVAPPPTVNTSPSAEPADQNAANQQNTQQDASTET QVEGTQQPQQPQPQSRPQPEEQQQQQQQQQQQQQQQPQSAYSHAFLATLLHSLLYGPV AAAGAMGTTTFFHPGDAVYSQEAFDRILTQLREQAPPGGPPPASQAALDRLQAHIREV DDQMLGNDNDKNTTRTKCAICVDDMVRGEKAAVLPCEHFFHGDCVLPWLKMHGTCPVC RRSVEVDATGDGKPAKLNAETPLAPGAGAAGAGAAESETRGEDAGAMDCS MYCTH_117478 MEPERRRRRPAVTCILCRKRKIRCDRQLPCSNCTKSKNATCVYK DDPRVPRKQGTFGETGQTRGGSEAAVVNPRAASSSSEPRPPAATTTTTTTTTTTTTTD ANTTSTAIYGTVGKSACSWSPASKTYVKPTGKPASEQTASAGAGASSANPPVSTLTRN SLVETRTLNFSGNFYFHSEHRLNKQSQSVTRSLDDMIDFVERYLRDESKSRVLHIERR SKELGRIIKKRRAPPWPCPLTPDLPARVVADTLVESYLNTSESVLRVLHIPSFRREYE AVWDPSHAPDPAFLVQLKLVLAIGTTTYDTDFSLRPYAMRWVYEGQTWLTAPEFKHRL GLTAVQNCILLHLAREAAGVGEDMAWATVGMTLRIAMYMGLHRDPAGLGPRTMTPLIA EMRRRLWNTILELALQSSLNAGGPPLIGLDDFDTEPPGNFDDDQLTGQEGETPNVISP KPPDQFTQTTMAIALRAVFPQRLAVVKYLNDLSGCGTYQETLQLDAQLREACKNLTHT LHACQATTTDRGPPTDLGLRIVDLILRRYFLALHLPCFAPAMQDTAFAFSRRVVVESA VRIWRAVFPHPFARSRPDADTEEWDALQRIAINGSGFFRTVAVQGFISIAVELKTLMR EEDGFGLGPVEPRPDLLAVMEDFKAWSWKCLESGTTNTKGYLVACIVCAQVDALRRRM TEEDMFAHVVRVTEEGEERCLELLERIEASTRPAQEALDVGVDGIGSGMGFSPDFGME TWDSMLQPTNHPVLPSRPAAAAHRQQHDRAAAVVTVTPVFPPGDNVVFAHSSFFTRPA PAAGTRHYYSHYSPGLPAPASVRAEVAARLAAARGGTEGSAGGTGGGGASEAKLVPFP ALGLLVKYGPEGTGGGGGVSAAEAKAMLLVRRALMAPSLASGPSPAPVSVSVSAPVPE VFGWRRDAETGERFVYMDLPQGEMLEDRWAALSEVEREAVCAQLRDVVAEWRKLRLGG VEFVGSVDNGPLQDEVFRRCAMAGAPPAGPFPTVAAFHDYFVSMAVAVSQSRYAAGSA GVGGGGQVRYTPHHLFPERVPVVFTHGGLHPRNIVVSAGPRPRVVAILGWEQAGWYPA YWELCKARLECSRRGRLGGWETKYLPWILDSQGLDAEMQGWRLGALTQYWDYFVGLM MYCTH_2130701 MPSPNTISDSMKQSIWPRTTTPRNEHSEPTAWSDARMNRVNHKT AAQLSGTSKLSFRDPAIDSNHRGGS MYCTH_2072063 MAVASDSNQAGPGLQNHDEKRISNQNDTTTDTESTKANLESAPG ALPGPAGVAGFPPAPDGGARAWLVVAGATCIFFSCLGFMNSFGVFQAYYMSHQLRDHS PDAISWIGSLTAFIQFAGGALTGPLFDRFGPWVIRPAAVLYVFALMMTSICKEYWQFM LAQGVLAGLSMSMLQVPAFASVSQFFDKKRAAALGLVVSGSSVGGVIFPIALSKMLNG TTLGFGWSVRVMAFVITPLLLFASFAITTRFPPRKTNLFIPSAFTELRFLMVIAAAWC AFLGMFMPFFYIPSYAITRGLEATLASYLLAIANAASTFGRIIPGIIADKFGKLNVFA TGSLLTGVVVLCLNSTVSTAGLVVYSLAVGFASGTIISGASSAISVCTSDPRNLGTYM GMGMAVASTASLVGPPVCGVMIDKYGGFLQAAIFAGVACLAGAVVAFAAKLTTPQGLF GRA MYCTH_2121324 MGSQSIDADLPIRVSETHHSNKPPPEDLHRYWRSHQHDGYLERY WPDGNDHNEWISSIRRILSRQFPDFANADIQYFSNGTFNRLYSLTNPSWDKAYLFRVS IPVEPFFKTESEIATMEYVRRHTTMPIPRVVAFSSSDDNELGYEWILMEMMPGEPLRK LWSAMAEDARIAVFAELAVHVKQLVALRFSKFGNLYLADVADKVLPRNVSLPVKGDDL ADAVDRDIGPGGQFVIGRIVAQDFFFDKRVYYPGSRGPFLTTRELVDTRVELLGRRLR HLSPVEGEAWYCENDRELARHKDRVYELFDQLKALVPRLVPPENGPEDRGVLWHDDLS EHNLLLDPATFKLTGVLDWESVSIVPAYETQDARPAWLSDRDWRPTPLVHLARGIPPN DEFIKADKLRRVLEMGPARQAYHRIVGPLYDDSSPETQQRVRNKRGIAKQLEIDAFQH RPWCTAD MYCTH_2311778 MMNTRPGADPVPQAVVSGFVPAPGPIADAVLRAVYVSDQDMYPV ALPYARLRAWPDACGDLSICFRSSSSSSSSSSSSSSTPPLPPPSPGRGAGSAAPGGRD GESDGDGQSGGHGRRTSEEEEKEEGGVAAAEEEEEAVAVAGVVIVLPLRRRFWEDLLQ GRLKEWEIEPRDMFAFPVATTAAAAAAARSASPGDRTSVGEQQGAEEVGLHVYHIERF DSTVSSGQGTKRFSEFALAEVMRRARARPEWKVVGMSALTATPAGKRTFERLGFSSTG YRELFVAKSHEQVTGEAIDKRPLEIVYVYPGEVAEPDGVFEEGVITTVSEMTVRYYNI LIDLGRAE MYCTH_2070700 MLILAGPLCLFIIPLLFQKWRAWRLDEWVHEQLRVADFRYAGNI RLEERLRLRATENRRLRAAFGIDNSLTTTSPSDHRAFLKRASWLLKRGDRSWEQLYRT AEDFLGAEVRAAVKEGRYGLHLAESVRCMVLAVVLFDNFGIEPASIPRAHLVTITREI NEQWLRSKRDPVGVAPSSLLYSTIESLNVMSPFANTESVVLSAPEVLSLLMPQYETLW RVVLLTFVTAYHHQPDAYPDAVQRTADVPACLGHPAKEKEALKLAKEGLRLYPSNKHL YRAAPSCLANPEGSTNRRGPARAADISALHRHPSIWGGGAGGGGGALAFRPARFDDGA LTDLQRRAYAPFSRPPHRCPAAGSSSSSSSTAAEAGKNSFGERMIVVLVVALGRALGR DKGRVVLGEEKGGEGGPLPTGRDEMEGWVWVWY MYCTH_2071531 DLRTCSHRHEILAAAVETDQGGPVPVTLFHWYPPTVCAKMTTFM SPEVLSAIRGFKSLGTFFLANDLDLSKMLSDYLAATATPPNPEPAPELLTDLIGQLAM PSRGDFVRFFSFPVFSNSPTQVFLDGLLPVWKWVKQDSIYRRGGFWEAKLDKAIEDGE WTGGKQLDLLVRGVMEQTLQKITAGGCKYTSFNRIPED MYCTH_2311782 MAESPGAMGDAIGTEAPAVVGGLTPEQEEDMAALPGQHSLEERQ RYGHSHGQQQGDGLLSRQPEQADQPLLDGRQKPNPSSTSDPATTSSVASPGAPSPLPS SFPKKRRGRPPGRPNTTVRDADYEDNPLVQAWNSSKTDRAVPVISIRIRDALTEQSLV HETQRNIFRMPSRETILFVQGWITAKHIASQRPSYVNGLLIHSRGQDAAVSCVQCAEK RAKGALGPFLTCRVLPGSYHNSCSNCKWFDNTSACSLYTGPKPNRKRKAKEQLAPPPA PTAAGVGPPPKTEKLGGEDPGVLTTADEGQEDPPLDPRLRDEPVVSPLQEPPAAVPPP PLPPQQKLQPEADMAPVSLDNGTGEDGQAPTAHSSSSSSSSTSSTTGGGDPSAHPDLH HGSESAEDGDAE MYCTH_2316519 MSNPNALLLLADHIKLSLLEQKRAKALNLRRDSQDGHISRSLDH FREGLESLEKEQWRLEEAGDESKASSLRDTLSSLRKQYDDLSSQFSGNASATSSATLT HPNDPSLAADFAHAQSAHAEHPPALASASAIPESSSSPPPPQAGATTTITTTYNNHPA PAKKAVRFSSPATDLESQTTTRPGIGTANNPLFPYRDEPDDDDDDTAGYAAHIAAQGL SNAQIHAYHQQILSEQDAQLETLSASIARQRQLSMQIGDELEDQVLLLDESERAAERQ AGALGRARRQVGRIARNAAESGEGRQLTAIVVLIIVLVLLIIILK MYCTH_2311785 MTLLARIAAHTQQICGLAWSKNGDVFGTGGNDNICYLFDALGAL GNHPANRDRSKEDQTGHSTGAHAHTLSEISDAETEIQLLRTAPYHPAKHLGPGNARHR WVHGAAVKAIAFCPWQDSLVATGGGSNDKCIHFFHTGSGAALASISVSAQVTSLIWST TRREIAATFGYAHPDHPVRIAVFSWPECRQIAAIPWAGEHRALYAIPYPCGPDDRASA GLEGARSWRTAARRHSRSRTAKEGCIIVASSDRSVKFHEVWAADKRGTASVAGVLGGS PILEFLEGIDREGMVIR MYCTH_2311786 MADQGGSQHGIARTSRVRTEEQRQRDLERIAKYRELEDQVRAHI GRAEYTPEVFQLTSKLLRLNPEYYTIWNARRRCLISGSFSRPSAGSSCSRASSSTSPS ATTAPSSTSSSSSSSAATHPAPGSPTAGRSGTTADPAATTATASNPAQNEEPSAAEAQ DLSIIKSELTFTIPLLLESPKCYWIWSYRLWILQQAIARLRPALARRIWEEELGLASK MLGKDRRNFHAWGYRRHVVQQLESATLEGSSMVEAEFAYTDKMIFNTDLSNFSAWHSR SKLIPRLLDERGADEAARRAFLDKELSQIRNALNVGPEDQSLWYYHQFLILNIVEPEK HPSMTRGFTREDRVAYLRREIDEIKDLLEDYEDVKLSYEGLFEYTLYLCQLEERQPDE TERADLAAWLGKLKQLDPMRNGRWADLERDCRLKDQ MYCTH_2311789 MALAYLSSKIRHTDEWFRVADHPVSSPFGIIVNHDLREGSGAEA ENVAAALRKLGIKSHVLKIMWKEVIPEGVNPNDLPNVETLARYLRYRRLGTFCKNGKM TTLLTAHHEDDQYETVMMRLLSGHGFRGLQGMRPATDIPECYDLHGVYQSGFIDDQRH DNPIYKMVPGDRERKVLKKGLRNEVDPAVIAKEIEAGLKADIATAYLDEYDDMSRGSK RAPPPAPLEFENGGVMVYRPLLGFSKDRLIATCLENNIPWFEDHTNSDRTLTMRNAVR YMHKNHRLPAALQKPSILRLAERCRARVASAEAEAGRFLDRLVIHEFWPNTGTLVATL PRFHFPSVPRLSSASPPARERRIAHYRHIAALLLRRLISMVTPERELSQPSQLSHLVS MLFPSLSSSPPPAKPYVICSVLFTPLPGDGGADNNNNNNDNNAGGREKEKQRRPRRWL LARAPHPSNTPRPSVTFHDLAFGKRRGKPASAWKTTGWSGTRLFDGRYWIRLLHRLPC RLRVAPLEPEHLKPFRDALDCGGEKEKKRELMAMLARYAPGKTRWTLPAIYATVDVRR LLATGEWWPEECRGGGGDNDGNAAAVSDDGGEEEGEAGAGEEQEEDMEERELVERIRS HQLSGVRLSQWEWERELKSREQQHLLALPTLGIGLPGLEDWLRWDVRYRKVDHDLLRL SKLGGRRIGRREMRHRLRCLYRWVRLGRAKEAPRRRRMA MYCTH_2113446 MATSPQPPPFQTALLAGALAGTTVDLSLFPLDTLKTRLQSSEGF FASGGFRGIYRGVGSALVGSAPGAAFFFCTYEATKSHLGPLLRDVSRSHSHSPSLSSS SAAAALEHMVAASLGEIAACAVRVPTEVVKQRAQAGRHGGSSLRSLLHILGQRDRRGL VGVWRELYRGWGITVMREVPFTVLQFPLWEALKAWGRERKVRTGTGLFGDASAHSGGE VGAAESALYGSIAGGFAAAVTTPLDVLKTRVMLSAQRESMASIVRTTLEENGIRPFFA GIGPRVMWISAGGAIFLGSYQWAVNALEKSKLVI MYCTH_2311790 MTPLDFRNPSLTCSFVPVLEAPNSSPGGHRLGVNGLAIDRDNAI LYSGGRDGVICAWDVNRNAATSPNGHSAVVDAAPANGRPKSITRFRAQTHAHTHWIND ITLAQQHSALVSASSDLLVKVWRPLASDIQEPVTIGQHADYVKCVATPSQSTNWVASG GLDRKIYLWDLSGAGKTLEIDVQGEEVPQKGSVYALGVTHDILANGGPESIVRLWDPR SGQRITKFVGHTDMIRAILISESGDMVLSASSDQTVKVWSVTAGRCMHTLTMHDQSVW ALFSDDPGLNVFYSSDRSGLVVKTDVRETHGDLDNGLSLAVAKEHDGVSKIVAWDDSI WTATATASINRWKDVDTGGSIRLPEAYKQHRASMVTVRSKDSAVPAAWESDSAGPTIS AKSILRISNTASFPLVIGRDGDNSSSGFTRKGSELSEQVASIVEPIYHLPEETIEGHF GLVKHKLLNDRRRVLTLDTAGDVLMWDLIQCRPIKSFGKRNLEDVEPEVNTREAVAPW CSIDISSGNLTVVLEPYNCFDAEMYADELVLDEPVEFREDQRINLGKWVLRYLFAELI DEEIRRDEAFRQKLNQGATTKRNVGGRAARPLAISIPSEPGWGPADPSSATPRPSGSQ YPPMTPGFGIGLATPGSPVPPALEGLTTPLSPIVDRRFSQVSRPSQEREDYFSDAIQS PDGAPKPAQTPAAEVPPVEDKSTKTAGETAGKESEAKDKDKDKDKENGKSPGTMFGKK FRMGMSFGSKKLGRSMSTAAAEKPAVLDEKAEESESSSNNEKEEFDDSFLGVIQRIRS EYEKQMAENPDQPVETKITPSLANDTPVLKLPPATKVIIQEETSGGSAEMYRGTVASV GADASVIEERAPQWLGDVLLLNVLPPKDPVKISFVLYPWKDTLPPIASADGNNRLNAN RMLRVKKILAYVAERIDPVREGEDSGPDALKPEEYLELYCNDQLLPIKMTLATLRTHI WRGGNDIVLHYKANGRKEIPMPPPAEAKEAEGPEAVPGAA MYCTH_2113448 MASVPDNRLPWKRVEPSSILDSESPFSSGLESEISNRMDNKAAS TSYQESRILSAQAIQEIENELGDVGRGADFPMSRARGSSTSSRDSGNGVPPPPTRPPP VPHIPNEHRLATRRSDVGGGGGDSLPLPRVRPSRPRCASTPITSSTTPAVRSRQRESQ EQVPCETARPVSGGGLCPARAPSTPAPSTAPKCSLFPPVQSFPVSPAAPSCSSSSTAE PSAVLSYRSRCFSPFWLDEFSRPLRPQDLDPESPYGYPAPPAFLHNWETPNRACAKGA VNAATAHRAGKIGPPVGSRPRPIPAVAVASTAPVYDPVPLHSSLDFPSVPTLVTGGFA YSSMMSPYEAQTSLDWPGPAAGVRCPPDNPRLGRRNGAREGGEKGGRGGQGESAQAQA CRFPRLEHELLSAEEAAAAITAAAGVRNTIFIDRGIDLGGTNGASSCYGNEDEVGRER RVREDERKEKQGSM MYCTH_2311792 MPYSDDLYASLEEEEAHIELIGETLTQDGIQGFDLTSEHVRPDT STTDAVVASVDHDTGVAGEEEDPHVFSPTDGYFGTAPGASSGTLAPASSNVPHVPNVL VEDPSLQRDDAEGKAAEAARERLRNDREAPGSDDGDASASASHTPAPSHNGVSSASAV TPTPTSHSAATYYAPSSSSRFPGVATLTSYTTFSTQRRSAYPSEHFPFIPNEAPPAYS PSPPVPSNTQQRFEGYRTVTQTRDAAIINMGRPEETQGLLARQPESMRDHNAHDVEEM SPTWWTRMRRAREHASWRSCKFVLIALVLFVVTAGFLTSIITGPPGRTGSDNPASDEP QTDPGQPNMSYPDVDGDFAWDSTSFCKDAKIHRRVQTFVANLGADKQLTLLERTVDED GRRGWGEVHVQGAVVFRRAGPDTPQSAVTLEVAVTDERLDVTSSWDAEAGTLEVLVPH RVEWSKDRPRACVNVKVTAWIPENSKLEHLNTDVVHLDIKLLDNLSLTVEKGTALTST VGTITSASSGTAARDDKLVDTTNPPPSYDFHSRIIDVHTTAAPITGVWPLYDYLGLHS TAGNVRVAINPKEADADFPKPAILYVKTLSGDVKVREPIHAAQATFHIAQHTFSAGSA DRRRAEAQAEAYLPPREYRVDVHTSSGDIDAAVAFGLSGGFKSTSGTVSLELLPVLDA TQAEPGARTVELSTASTSGATDVKVLEPLWVDSFPAAAATQGDGGGSSGKPRYVDLSR PSSSPSSSSARRTGGAKDEDDGDSAPPPLRCLHSTHMTTTANIKLRYPASWEGDISLG TLTGSLQVGGDGVKLIKAGSDWPGINKFLLARKGEKGEGGRLTAKSTSGDANVWIGGE SAALSTERM MYCTH_2311794 MPNKHDESPLEAALSFYSSIVTLNSEGDSLVSDETLEGLGTVGF LIQALFGSLLRIANPDLVLSPRRPSLVASTIPDSQSPDFPYSEQISRIPTEMAASAAN ARYGPAVPITASQSLAETAVKRPILQYEGAQQSGAQDYSDIIEEEEDAAGLTTRLTDL LPEPGYFLAGAVSGGVSRTATAPLDRLKVYLLVNTSTRTTVAVAAAKSGRPLAALRNA GGPIIDAIVSLWKAGGLRTFFAGNGLNVIKIMPESAIRFGSYEASKRFLATYEGHNDP TRLSTVSKFVAGGIGGMTAQFCVYPIDTLKFRLQCETVKGGPQGTALLLRTAKNMWAD GGLRAAYRGLGAGLLGMFPYSAIDIGTFELLKKSYTRAVARYYGIHEEDAQIGNVATA VLGATSGALGATIVYPLNVLRTRLQTQGTAMHPPTYTGIVDVAQRTVRNEGVRGLYKG LTPNLLKVAPALSITWVCYENMKSLLSLN MYCTH_2316520 MEESQNQRDRRVEELWKQLDPAGHGELDLKGLQRGLRRIDHRKF PPPFPLFPLFRAQGYRTHNLAQALKNADQMLKTIISIVDTSGDGKIQYEGGFGETGKS RVEPETETMRT MYCTH_2311800 MATSQVYLKRFYTRTAIRQTNPYLVMATALYLACKMEECPQHIR LLTQEARSLWPSDLHGHDAARVGECEFSLISEMHSQLIVHQPYRPLLALQDEFGLTQD EMSLAWTIINDHYMTDLPLLHPPHIIALTAVLLALVLRPSSTSAGGGGGGGGGGSGSS SGGAGGGSNNNASSSAPAAAAAAAAAAGAGGVAMAASALAQAQAQVQAQAQAQAKAGA MAAGGGGGGGGGGGGGGSQSSQQQQQQQQQQQQHQQTCFSQGSSQQGAGEGTVAEPKK ATDPRLAKVQRFAAWLADSNIDIEAMVDCTQELISFYECHEQYNDKHTREQISRFIKA RNLDK MYCTH_2130717 MTDRKSSEDAGHAAGMNRGSGGAGNSSASRRVSLPADFALSPST SGNHPASRRAGARVDNAGNARQPTRLEQQPSAPRPPTGTTSPSADSNGSTLRTTTEHR RLHITPVVFRSRRVRSTRFSRYRGSRPPAPPHDSPVEEWSPDSDDWEDVRTSKQRARS ATPKRAKRATEAEESSDSSDRVGVHPSIYHARSVARRRNTDQSGRRYSGNDSPRTNPR ITDSIAPSPTNQSSASSEEGGQNADDEAVDDVVYDGPPEHPADRRASQASNPRLHLAL QRPTPTSLMNRTAAERATAAAPSIAEAANRAAAALAGASPQQSTPAAVSNSNLPPGVV RAIGPNTRNHGYRISSGHTRKNKRGKDGNLSVHLRWRPDGYVTLSEGSSTNTTPGILT PLPRDDHPQHQQQRQQQQQEVLRLGPGVREPSPPPPPPPPRRPSGQEEKPEDKEEERA EEEEETGKIPYQDGDVYYP MYCTH_2121336 MTDHGQSTQSGRNMGAQSASQDLQSLDSWLTDRIVTITVGPEEK RWVVHEKLLVSQSDFFRNYFSEGHDEMKLPDDEPRLFALFIRWLYGTAFLPSGGTRNF RFLPPDGVSVSVRDYLGVYVLGGKFGIVGVRNAVLDVLYAYYGEGSGADEHRSPDMHD ITYIFEHTTHDAPMRRFLIAHALFYLFSRGRRGAPLPLDWEQVLSRSAEVGYEMIKML GEWNWVMGANAPRMTIKARTEFHERAPLPEVVKQEADDEEEASPI MYCTH_2316522 MSTFYHFTAHQQHPTPAAAAAVSHSHHGGRNRRAPRLSVAQNQQ KQFRGIKSMRELTDTANLSAFRMRFEAGRSFDLEDDMEFCPNLLTESDLVSIHSSASE RSSLASNSPQGSPTQQPTQVASGFMLHSASPAFVPPPYQTQQPALKLHQPSATRPRNA IPIVNPATGISVSSPSPSASPARMQQSVGRRW MYCTH_2311805 MSARALLSTSNKPFRPSTIFSLTSSTARRSLSQTPRAFLKESAS TDLDPDSLERHKQDSLEKQKRGQGHWKPELASVSEEIVKADRLGPRESGAAAMKRLQE QTKDRAEETSKNRTSMSDGL MYCTH_2311810 MRTAQHPQAKFDPIPPDLDLHALVERTPNFHWVLRVSAAQIRNI GPQEFERLVFLHVVHGGKPLVIEKWNDRLPKSLFSAEWLERTYNKKPENVRDLTGQTD IPMTLGHYLRSMKILTNQWTPTNFRDERRQRLYLKDMDCPPEWQQYLQRIIPPNLFYM NESIDERSGKGRGDSDMDIFQEGRSIAPAGDLMSSLPEEMRAQNLMCYVGHEGTYTPA HREMCASLGQNIMVDASGDENGEKPGSSIWFMTETKDREVVREYFLSMLGHDIEIEKH FAQINAWKKATFPVYIVEQKVGDFILVPPLAPHQVWNRGTRTIKVAWNRTTVETLQLA LSEALPKARLVCRDEQYKNKAIIFYTLEKYYKEMVEMEKTADIGLLGFGQDLIKNSTR MKQMAADFKALFQLYTRILVDEMFATREKEVEYIEYDSNITCSYCRANIFNRFLTCKH CIRQLVNGDEDTYDICMECYAMGRSCFCVSNLSWCEQWRWSYLVDRYETWRALIIKND GYINLESSPLPLELARKRSGKKSVAQICQEQLRMRPWKDITQVKEPSDREESDVEVDD NGRPVKKRRKRKAKKGDVYRCHVCQHKDYTYKLAFCSNEGCTDAYCYGVLYRAFDLMP QDVMQNEHWQCPKCLKICNCAACRRAGNGVPYIPKTTLLGHDTRRIADDRSVETLVDF RVHNLMWLKSVGDESRSLSSKRMQRLQQAAEAEKAKQAELANQIPALPDGALAETLDA AMQQPVANGFSHQDGTQASGPTPVGDYIAAPGAPDGHHQQNGDHGVPPAEVADMSMVV AEDQSSYPDPSVLGRERMLGMGYYEQDDSPDKILFDPYQAPSADSMLLVDDQPEMSEY FRKQIRIAKRRARQEGDDDPDFQVPRSHHRKRPKLGPEPQRDEQEIALSNMDPALFDG DRTVNDVPTEDQEARPVQSEAPAEAPQQTSEPVESAEETAEVQKPRAYSPNRPALRHA RPKIGYQDDENGEEEFNELVVPRSPRAPEGIASYRPEDANKDPLDLASAAIFTMTSGA TSAAQDSGLAAPPKKRGRPRKSDVSGAQSNAPPVPEPEKPARRRGRPPRARPSLDAEP EAEDDADLDAQLAQQLDAFDETGEVIIRTETTPPNEPVASTGPRKRGRPRRNPPPEAP AKKSEITIELPSQSLVSMADKMRLKGKKFRIGRRENLESAKPQTSPKHDTRGSSTHEA QPQPAAEERGKPGKEQATRSGRDCENEDQDEDFDPNAEEEEVVSDEAGSPSPPPTSSA SARVQPEMLPESDGAFAPSKPDTPPSASSMAAREAPRSTSPPQGSVAGSEGENEPEPG VKLEPSSAAAAVEPTPPSEPSPPPSQPRVPSGPTIVRLGDLDEEEEDSSYGEGGSPSP SGSTSGSDEEEGGVTGAQEPSDSDSDDDEDIPARPTLASRGRGGLRGRGRGRGRGRGR GRGRGM MYCTH_2311811 MSACRMRLALPLRRAFSFSSQTANAHKPWRPSSLPSSTRGSQCV STPRRLALDLYTGSSRTSPRALVAYHTSTKLLSTATTDPSDPIPGPEYTPPQTGLLSL LPRSWVPYAELIRLDKPTGSYYLFFPCVFSTLLAAPLAAPVTPPPTVLGTSLLFLAGA VVMRGAGCTINDLWDRNLDPHVARTRLRPIARGAVTPFRGLVFTGAQLLAGLAILLQF PPACFFYATPSLLLVATYPLAKRVTYYPQFVLGLTFSWGAIMGFPALGVDLLHNGAAQ AAAALLYSSNVAWTVLYDMIYAHMDIKDDAKAGIKSIALKHDKETKQVLTGLALTQVA LLAGAGIAAGAGPAFFVGSCGGALVTLGVMIKRVNLKSVKDCWWWFVNGCWITGGVIT LGLAADYLVRYAESDGEELA MYCTH_2311813 MSHILKAKSKPRDPLQHPSGSPVSPLGASGPGARPPSSSASPFT SKSAGGRKGGAGGEGTANNAPTTTPQAGSSRPSTGAAPGGLNFNLSVPVPVPSVPSFH SRESTRTTTDRTDALWAEMQATLEAVELSAGGTTTTTTASAAAAAATGSARVFGPDHE RKLDELRAAQIALAQAWARSEADEAIETTAGAGAGCGSVAGGKAGEVGAGVSEGRRSV VTDAGGGGAGGRSTVGTGSAARPGSSGLGMAGAGGGGQGGEMEEETEADILLARKRRE ANDRYFQRVSQGVLDVVAKLEDVAIAMRAVEQESKELWGDEDESIQQSAKT MYCTH_2316525 MGYLDDEVKRLQGIIANIESRVQALENKQFGASQTKTAEEIRMI LIGPPGAGKGTQAPKIKEKFNCCHLATGDMLRSQVAKKTPLGREAKKIMDAGGLVSDD IVIGMIKEELDNNKECKGGFILDGFPRTVPQAEGLDKMLRERNKELQHAIELKIDDEL LVSRITGRLVHPASGRSYHTTFNPPKVPMTDDITGEPLIQRSDDNAEALKKRLATYHK QTAPVVDYYKKTGIWQAVDAAQPPNQVWNSLLAIFDGDKAKAKSAGSGILNKLASSS MYCTH_2311822 MILCRSSRYRFRPSAYDQDVNGLSRQQLEKSEQEKYDLQRRLKL AESGGAFAAHKAATDQIIKNLQRENAMITTAWYDLTSRLQSNHVVLQRRHDAPRSWLN KQRQMVNGRQRITDPPPFSSSSPLLFFLYHALDAEQ MYCTH_2311824 MRRNLLDTTRDLEKARKRMQELEREAADRERELLRLKTDLDAIG QDQTAALAALKSSDELISESLRTELEATRKQLAQRAFELEQMKDQLMGALVSKDKVQK RLDDALASSAADQSHGAQAESSQAKGKKEDAEKIEKLRAALKQKIEVSNGAVPTLDSP YMASFLGTSFPDKAVWRPTPASCVPRCCEEIKPEKERTRCRREGWLGRLLRAKAS MYCTH_2311826 MRLFTFLVATLTLLSGAFAADIQKSVLVTYPPETPDSVVEQAKK AVVEAGGVITHEYTLIKGFAAKVGEKVIDSVSALGKQYQVLVEEDQEVHHMNGGSVGL MYCTH_2084627 MPATTAETLSLVTRNVSVAPLVLLSVVDHYNRTEAYKSKTKRVV GVLLGQNDGKNVRVSNSFAVPFEEDDKDPSVWFLDHNYVESMNDMFKKVNAREKLIGW YHSGPKLRASDLEINELFKRYTPNPLLVIIDVQPKESGVPTDAYFAVEEIKDDGTTTS KTFVHTPTIIEAEEAEEIGVEHLLRDIRDVAVGTLSTRVTNQLQSLQGLHLRLRDIQA YLQKVLDGQLPVNHAILGNLQDVFNLLPNLSTPKPGASANGAKGDTELNYAMSVKTND QLMAIYLSSLIRAITAFHDLIENKIQNRQQQEEKEAAKKESENGAKEGSPAGNGEAKE GGGDKEKEGSKQKKK MYCTH_2130730 MTPPPDPSNLASNPPTITTDKANSTKRLPTPRTLLTTLLNAISA IPLAPPPPPPPPPPPPSSSSLSSSSLPPGAATAVNAATGETGRRGRRDENPLRRVPPS HRHLITTLHALFPGLVLPALDLLERGLVAGVVRPHDARREGHGSGGSSSSGGGGDGGG ATGGIEGLPRRARVQGEGEGEGEDGGSEGTGRCSGPRSGKRYAFFIVQSAAAAEARER GRRRKRGGEDGGGEDSRGGLCASALNSGNASIVRLDAWHCNCAAFAFASVQGDGAGSR GCRGVRGDTGMCHDNEHGAALAADEDHVERGAEGPWSFGGLSLDGTGAGAGEGIPVCK HLLACLLADRWHTALGSYVIEKTMQREEMAGILAEI MYCTH_112612 MTSRRPALRLWAQCKARSQLSSTRAVNVSPISRRALSSSSGAGA SDQTSTSLGRYLGWAVVGLFATASLTYKMTINEPLKMDTATLAERDQRLKTEQGVSDV SPMRLRMEKFVKEQQRAIVRALEEVDGTSFRVDEWQRKEGGGGITCVLQDGKVFEKAG VGVSVVYGTLPKPAILKMRANHKNIADENNIPDSLEFFAAGLSMIVHPKNPMAPTVHL NYRYFETANPDGSPGAWWFGGGCDLTPCYLFDEDAVQFHRSLKEVCDKHNKDYYPRFK KWCDEYFYNKHRGEARGVGGIFFDDLDETVSDKENLFAFVRDALESFVPTYIPIVNRR KDMAFTEQEKEWQQIRRGRYVEFNLVHDRGTAFGLNTPGARVESILMSLPLTASWKYM HEPEPKSREARLVEVLQNPKEWV MYCTH_2311835 MRSKFKDEHPFEKRKAEAERIRQKYSDRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGNFETA MYCTH_2311839 MMASMGPGFSPHPAGMQQHPGAPPGHPMAPSMAHNPSQPGTTAG AMPHQLVGHMAVSGPGPQMNAATLMGGMPPGNPNAHAAMQHLNPAQVQQMFHHPQMNQ MYAANSPAAQQMQQQQRLQALQQQQQARAALIAQHAVYQNLGSAQMGVPMSQMSPAQA AHMAAMSRRMPVAPPFHLQQAQLAQHQQGQPMNTNMLAQQIALQQQQRQQMQMGQQGG NPNQHQMNPQHINLQQAQIAAIQAQQAQHAQQAQQAQQQQQQQQQQQQHQQQQQQQQQ QQQQHQQQQQQQQQQQQQAQQQAAQQAAQAQQAQQQQGQAQPQQQQQQPQQPQQQQQQ PQQPQQQQPPPGQPQQQQSQPGQPGPGASTPAPTPGPPGQTPQPNPQQQPQVPPQTPQ TSQPQHQAQLVAQMQAQVQQQQQHAANMANLAQQQRNLRGMYLLKLMQFSEHLNGFPG SKGRDDLEYWHNFVRMFFSPKGVFKHSILIRDGDDQTQQKHYEIAYPAIPRYFHTHFD SGVKSMQLIMDKGTIDRIMPNDCHMIWNDKTSLVYWFEDGAHLVATGTLRVHFDSEQK FDIFEFETTGHEEYVSRRLVIQAARPSHNWVKEWRNLNAQDPKQSPEMSKKGKPKPAK APPGPPPDIELPHSVVKSNMGITEAVYQFLEMVEIMGQMGPLFGYYHAHPGLAPYAAL DQYLNQINASAQAQSMNGQPMSQGGPRTPGFGQFQGVGASPAMANAMLPGSPHVSGSP APAQMSAPMMQLQASQQGTSSSGPSANTSPAQNSNKRRRPSTVKAEEDTPASAPTPGQ VGTPQLNGVQIKGGKPPTPRMPKRHKTGNNPV MYCTH_2311840 MQPSRPPPRAPGSFSPLPASNSASAADLSRATQTRQGSVSGDEP PPLNVPKTRNPQGPSPIPSSTNLGSGSNNSTTNPQTVSRPTVSTAVSAARSVTGPQSP SDTLPRNGPSPLTLPPAGTSSPVTPTSSSRGFSHSRKHSQNAGLFEPTLPSTSISNLS HVGLGNQSPKRAPAPHRDMSASQIAAQAAVMQHQNQQQQAQQQVQQGVHARQRSQTAA PDEADDAPPNKRASAGSVTLNPPILSLTEASVPRDNAFGGQTYHNGLAGNHTLAAATA ANLVFPRSTQASPGLPTQSITPPPPPPPPASEKPQKPEKSKVKLFSRPVKIGSSRSDN KEKPLPSPGKVGHALANLQRGNFSTTSLDSTSQSFYNFSNSSAATIRPADMAPEKEGK EKEKKHHFLSRQKHKLKDDHHLPLSSAMSNSRPTDPNAPSSLYSFSLPQSPVPNSTSF KSALDLRHGGRAFREKRKEDKSSGFDDVSTSGVASEWPGSSSVTSGSAAQASALYLNE PFDSHKYGLNNMTHDDAWPFLKAKLLVVFEAEDLRLPVEDLNRVVTMHIQYCIARRSP NIIVDDLRDLLVTGFSSLDQTLRKTPEERLIPALVELWIFTFTSILPYMQAVFLPLDL EFSGHGTLMSPEQARDFWGGVPVTTSSADASSGSQKPGRSQSVTVAPASSVLEVRRFV LLAFRDVVILPRYETLKAMFSRLSLEFLPQSLASMALASPPLPIPSPGHHNQSINQQL SGSEPHASTSLPTTLGQYRPGTATSLDPSVASYNSTGSNPFGDSSGSGNRSRAISNVS FGSDSAAHPLRPFTPSSLQHNTISAAASSTLNLALTTTMSNTTTTSHGASSLRDQNVE DSKQVTDMVGRMLQCMSVLASVGVTPLVVAGQSGAGAAAVGRADDSDEANKKIEELNK LLKLNWLGRGRTGRNRRGMVGGRATKRGGSTGVQPPPPTLVPSSWAESGSGAGAG MYCTH_2121350 MAHQQPTLSATYTSTTNEDFDVRLPVTAPADSTTVESKAKYLSE LRQSVTSLQDRINKELTARMEEDKAREASSAVGNGTKVVDDEKEEENYGEEVQEEE MYCTH_2311843 MLSAFTARPIIELKQRDKSKIESILAYGDRVLVGLNTGSLRIYR VNDPSTPPPTDSQPSENPSASQAAPEDSGRATTTTAAQDGQPAPKPAAAKPTDLLREV ERFSTRAIEQLAIIKEANTLVSLSNYAVSLHDLQTLEPIEAPLARTKNASAFAVTSNI IKDPATGIPEIISRLAVSVKRRLLLWSWHESELSPDVTEIVLPESIRSITWANATRLV CGMNSGYAMADVETGNVEDIVGPGAIGGAAGGQGRFGAVSAAGMGYMGLGGYMPKPLS AKLANGELLLAKDINTLFIDDSGKALEKRQIPWQAAPDSIGYSYPYILALQPPAKGSL EIRNPNTLTLLQSIALPGAAALHFPPPTVSLAHAGKGFHVSSDRVVWKMDATDYDTQV EELVRSGKLDEAISVLDMLEDALLKNKRDTLREVKMQKAELLFRQKKYRDSMDLFNED EVDAPPERVLKLFPKIIAGDLSGAEEEKHDESEQESANGKTSSEQEAKPDAAEIASPS RAGGFAKYLMGSRKLNPETASIASSKKGSDDDTASIKGKPQDDQSQAEKDLMASVLAL NSYLAGARTRLQRVIDPTTGKLKPRKSQSGSTEEAFKTLLLSSPDEGDEQLERALQST FRIIDTALFRAYMYSRPTLVSSLFRIPNFCDPDVVNERLLEHNCFNELVDFFYGKKLH SQALSLLRRFGSPDEPDEAAPGLHGPRRTVMYLQGLPPEMIDVILEYSEWTLRKDPEL GMEVFLADSENAETLPRDRVAAFLGGIDPKLEIQYLEHIINDLNDRTPNFHDRLVELF IKQLVGKEERGEERDALMERLVSFLKESEQYGLGKARALIPKDDPPFYEAQAVVLSKM GQHRQALMIYVFKMQDYAKAEEYCNRIHKTQQPPQQTATADRHNVTQDPRTNQPATAE EQPSIYHTLLSLYLKPPPEYSPNLAPALDLLSKHGSRLPATSTLSLVPETLPVAQLES YFRGRMRSANSAVNEARVVAGLRKTALFASQSLLYLGDGIPGGQGGRNRRVVIGEERV CGVCHKRIGGSVVAAMPDNAVVHYGCLGRSAAATASPGVNGVRHGSSRAMSPAGESVR SGYGQWGGRVSG MYCTH_2311845 MKFSHSIQFNAVPDWSNHYIAYSNLKKLIYQLEKAIHLPAGDAE SRPLIRNDDPEAVFSRALDVELEKITSFYVLKEKELLDEVEILLRDVDEFEQEAGVTD DVRPPTRASDRTNNERHRRPSSTHSRHSTEDGRYEDSDDEGDEGAGLTAKRRSISRGR RTSAWHPRLASTDMTASTELTRSMRRMSATYDDYAEQAVLYSSGIMLKKRMINLYVQL CELKSYIQLNRTGFSKVLKKFDKIIDRNLRPRYMETYVETAYPFRPETTKGLEERISQ MVQAYTVIVTNGDAEAAIRDLRSHLREHVVWERNTVWRDLIGLERRAEAASLGHTLLG VDADAQRTRLQGDDEIVLPVMKITTPLGRFALPVWLLSSTTLTLVIIVALFFAVMYVP IMERPEQQNCLAMLVLVSLLWATEALPLFVTSLIIPFLCVVLRVFRGADAPHARLGSK ETTAAVFAAMWTPVIMLLLGGFTLAAALSKCGIDKRIATFVLSKAGTRPKTVLIANMG VAAVASMLISNVAAPVLCFGIIEPMLRNLPAGSPMSKAVIIGIALASNIGGMLSPIAS PQNVVAIGIMEPEPTWGQWFFIAIPVGLLSLVLIWLLLLLAFKPGRSTTIVPVRPVRD PFTGIQWFVSAVTVVTIALWCASHSLEGVFGDMGVIAIIPIVLFFGVGILTKEDFNNF PWTIIILAAGGLSLGKAVNSSGLLHTATKAITHRVEQYSLYGILVVFSSLILVIATFI SHTVAALIILPLVFDVGKGLEEPHPNLLVMAGVLMCSAAMALPTSGFPNMTAIMKEDP AGQRYLKVKHFIRVGVPSSLISLVVTVTVGYVVMRVTGM MYCTH_2311847 MIFSDLVKSPRTTFTKFRNSFPHGVQPPLDFDPDLVSRDKVKNR EAVNRYLAERVRNDWEFTWPPVVNSASASAEPAASAQDEKAQTANGDPQEAGDGAAAA VEDAPRDPGAEADPESDAESVYSTISEDTTRFRPRAEWTSDLSDDDEPCPSASPFRFG SPDAVGAAVRESIEMKRARRRRAVREEMKWNPGLACFEARRNAWTGAKTVRVKPKPPS PVSPSSARRLFWRHHRTQSSISHSAVVASGSPPGPSSPVSPTATRTSTTTASDSDSGA VQRTVSRDSTAPRVLHPVQTVVPVAPPLLPPQNPMRASVQPAMYSSLYDKVVTQSLQP ACPVNLSDMLRACVVGWKRDGEWPPKSVYPAPAPVEASNAQVLAVRQQKAQQQRNKNA AAAEAAASKGRRLSLVGLFGTGGGTGGGNKTASRTTTTPAATQEANGANNKESKEDEL RRSQSNDDVAGSSGKTLFRRSLQRVLSLGQHGHAHGAVSGNGVEGPLSPTSPTTKEVT AAT MYCTH_2311848 MGETEKETKQDVPAEAAAAEPVPEQSTSQDATLEQARKFLQDAQ VRNTTPERKTEFLKSKGLSENVIQRLMNEVAQDAREESPVSKHTEEERIEPPIPKKED RPPIVTYPEFLTKPARPPPLVTVNGFLNTLYAFGGFSTLVYGASKFVVEPMVQTLTEA RISLHDTANQDVAKLVSKLETVVSEIPPTKSKDIRPLSAASARHDGDESDAASSYGDP TELFHRDVGVQTSPDLEAQSRFHSQQQQQQQHETPTDHQSKRLARLIGSLKSLNDSMT DQTETLGDVRTVMDVLQQDVDKLNAAAATDFVGGYSLYGAASKNEPDDEIKRARENIR RVKGVLLSTRSFPVATR MYCTH_2311850 MSSRPRRSAAQRATAAITDMADRDRETSEPQHYHQQQQQRTMSS RSSRRSGGGNGIASVARGAPSSSPPSSTGAGDGGVGGQGIDDDQHIHLTLKLPSNKLR QATGGSSTSAGTGTSNGKRKLPGSATATGGASGDKGRAGVADAGSKRARGGKKNYVID SSEEEDDEQGEEDEEDEAEDDEEEEGSEIEVEVAPRNFAKGRGEEEEEEEDGDERMED PGEDDAEGDDDGDEMDVDAEGDEDDDQNPDADGDVDMDVTPTPKLPAIKVTKPSKNPS TPSKVKGAHVTKPTAKGSVAAKKPSASNDDEDGEAEEDEDEELSELESEPEDVTVQVA NGEEDAEGDEEEDVDAEGEEEIEVADEDAEGDEDDGLESDEEEGTSRADTPDLSKLTA RQRAKLGDVSHEYMKLSDGKRPPLTSSPLYGSRLLTYRFPEVQAKKHFTAEELSMRRA EMARRRRNLSEKRNEEVKMETINKLLKKQAPKTNRKTAALMAAEETPETDTQRVDPML IRWVNNKNGSIVAVPDELLSGPVGKVFVTGGTGPRGKMVEEVS MYCTH_2071057 MPSKPDTPLADQLRADFWAWYHRDMQHLLLYKKTFREWAAFTKS DTSKAKPDHDSIAERLKAAAIDAQVAWAEHHAEFERWKAANPAVGPIIANAHQDTKAI RAAEKQKAERADFVRNDLRGKSEKQQQQELARYDHFTRLMAQSREREMWRTRVEAQIK AQAMIEEQNCIAAAAAAAAAEEERRKAEAEELERQRKEAEAEEQRKLADARAAEEERL LREKPAAAAAQAAEKEKAEEQARREATAQRLADESLLLQMLGFPEVNRSGSLGSNTPH DWNGDLFAGVTTDIAAQQWAQENYMSDKICGDPDNFDHQFQEAFQFADDLLLPSNEPL DFDATFAEQDAVLPTGDLLGIDVPTLMQLDTAPAHQVAHLQFSLGGGSRDVMAQKKDI SAERFLPLVQPQIPSVPAAMSSNEAGQPVTTRTQVSAESSTGKKRPSRKRVNPKTQPN ATANPKSIPTAMSSEEAQHQLSEPSFGLGQVMALDPFVTSANTHELPSSGQGTFSLNK PATELTPRDDPAAVSPAGHMSHVADTTAPDQMEHKSFRAQEYSGPSSPKIQQQMSQMS QMPAMDLTSHGTAGPIVQKPPQDPSDYTIFMKRPSQASSPASLSSVQMPSAHISAHAA ATLSLDNNKRKASVSHGAETPTKRRQTVNRQAAVVPIPQSPPVPVSSQGLQTPARTAQ LPAQGLQTPQQQVPIDPALHYGISPPSGGVLFGTSIKAGVCAAIAHIVMEAKLGTVFS QVLLDGPIADFGCPEISGHIKQATKSHLIAVSAAGPEDDRQAFLSGAFKVLQGILHEI EERGSVFGKALLDGPMSGPALMPARKAMSTVYKAVMAEYRSPPRFEGDSVRPTQVQQT PTRMSLARSRISSRSSMGNGSAVAGSPHLPTRPGGPDTFIHGRTTSPQTNGHAASSSP MQPFPMLSAEDGGYPSSNHAYPSPPSLMTGYTVPDCQQPAVSKPAAAQRSSHTHDDVP EPTNYYPQEQQQVLEQQQAGQAPQKSKRASARRKSRARKSSTPAVMPNSQPPTDDNTA TTTMSTTATAAAAATGDTTPSPGGQCIPKLYYRFADGAFYMQLQTASGEVTHHRIGAK GTTAAEAALGRFLAEAGARLGGVAECPAGFVFRAWEGVEENLRALERALSS MYCTH_2311855 MTIKVGINGFGRIGRIVFRNSIEHSDVEIVAVNDPFIEPKYAEY MLKYDSTHGIFNGTIAVEGNDLIVNGKRVKFYTERDPANIPWRETGAEYIVESTGVFT TTEKASAHLKGGAKRVIISAPSADAPMYVMGVNEKTYDGKAQVISNASCTTNCLAPLA KVIHDKFGLVEGLMTTVHSYTATQKTVDGPSAKDWRGGRGAAQNIIPSSTGAAKAVGK VIPELNGKLTGMSLRVPTPNVSVVDLTCRLEKEATYDDIKAAIKEAAAGPLKGILDYT EDDIVSSDLIGNPASSIFDAKAGISLNKNFVKLVSWYDNEWGYSRRVLDLLAYVAKVD AGN MYCTH_2097277 MDRSRRYEDGEVRRYGAGESYRPFNRDRDRSPPPRVRSPLRDRA RSPPPVTSDSYVPARSPRRRSRSADRFRRERSRERIGGESWRRSRSRARSPPRRSSPR RSPIRRTPPRFVSPRRDDRGLDRRDDRRDDRRDDRRDDRRDDRRDDRRDDRRDDRRDD RRDDRWDDRRDDRRDGRRDDRRDDRRDERWDDRRVDRRDDRKDDRWDDRWDDRRDDRD ERPRSPMRRQFEIRDNRRRSRSPFDRDRLPVHRDRSPPRRSPPPGPRGGGTYRPRSRS PDWRDRDRDDRYVGPSYRRPSPPPLRDSVHSSALPSRSASGRSSPRPASVRRDDRSVP QSPAARSHVSNAPTPTSAAPPARDTPKPAAPPPQPSQPPATSQTVPKAPAADANPTPV KSPPKGPAALRAPPTGPAAARNFTSPVAPQAAPSPRHLPSGPSGPSRHGATSPTVPPA GPRGYVPPRAPSFTGRGGARGSWSAGPPRHSISGGGSQTGSPTISQGGSSVGGIPTGP RAQSASSASASASASPSLGGAKPFNPPTGPSGHMLASHSARPSLAQSLLNTMPPIIPG GKIDPTALPVEMDPHHKRMRDEEERLREELRVKQDKLRKSLRIWDKLERESKGFELKS DLSERSLKNIAGEGLGGAAF MYCTH_96869 MDIIENDVVMDGEDRRMTDGSSAPNGFLDVTTTGESSIPDATNG QGTSGMSRDEDQDSDPTAYPEHLRRRGLLPTGCCYDDRMKLHANADFGPNPHHPEDPS RIEYIMRTFKKNGLVFTGSDAELIRIIQTEPTKYMWRIPAREATKEEICMVHHPGHFL WVEALSRKSTQELRELSTRMDQGRDSLYVGSMTYEASLISAGGAIETCKSVVAGVVKN AFAIIRPPGHHAEFDAPMGFCLFNNVPIAAKICQADYPDLCRKILILDWDVHHGNGIQ NLFYDDPNILYISLHVYRNGEFYPGKPDNPMTPDGGLEHCGAGPGLGKNVNIGWHDQG MGDGEYMAAFQKIVMPIAHEFNPDLVIISAGFDAAAGDELGACFVTPSCYAHMTHMLM SLAGGKVAVCLEGGYDLEAISKSALAVARTLMGEPPPKMEIPKISREAAKVLAKVQAY QAPYWECMRAGIVDVQEMQAQESSRLHDVVRRAQRQVLSEKHGMLPLYIQRDVLFKSF ENQVLVTRGIQSARRILVIVHDPPELHAQPDPLDNTVEAHNAWVTDGVTRYIDWAIEK GFGVIDVNVPHYITHPEDTDAFIPRADERTLQAQVQELMCYIWDNYLQLYDSVEDIFL MGVGNAYLGIKVLLINRLDVKSRVAGVVNFVNGSLRPVKSDVDSDLSSWYKEHSQVYV ANDHACWSDPDLTRKVMKRRFGNVIRAQVNGLTPMMAEYFADVQQFIMERVTSDEGGR DEGEETEEEESGGR MYCTH_2311869 MAAVYKSLSKSGSKAEKDTENNGTVERKNKQRVLILSSRGVTYR HRHLLNDLAAMLPHGRKDAKFDSKSKLYQLNELAELYNCNNVLFFEARKGKDLYMWFS KVPNGPTVKFYAQNLHTMEELHFTGNCLKGSRPILSFDGAFESEPYLRVIKEIFLQIF GVPQGARKSKPFIDHVMGFSVADGKIWVRNYEIREVEKVKGDGGGGDDDNGEGEEKHS GRKGKGGGRDTDISLVEIGPRFVLTPIVIQEGAFGGPIIYENKRFISPNKIRAELRRA KASRHVARMEQQRDTLARKRNLGLIDGQKKDQTGLDTRELFA MYCTH_2140896 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQIDGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKSLSFENVTR WLKELRDHADSNIVIMLVGNKTDQRHLRAVPTEDGKNFASENGLSFIETSALEATNVD LAFQNILTSIYQIVSSKSLAEEGADVGKKFDPREGNNISLSQEGAKKEDKSCC MYCTH_109232 MFQVNPKASFRPAASDKDDSDDSISVTSTVSLHDPDQEYVVEAI LAERQHTNGTMYYLIKWEDYPLHESTWEPESNLGPELKALWEEEKAKHATGELEPFDI RIFEEAQKQARKEKLERHHRRNRKRKRLGLPLTSPFNTDSSDEEAEEESGIETSGADE PVKEALRQSQQRARKRAPSPKPASVSAAGPLIGSSRRLSEGGRPSSVVWEAQTDLSSA VPERRQPVPSRTGYQGSSNKSASTATPDTAPKSNGRPAIALATTTAPPPRPSGTSSRK TFTAKKSAAQPTGNIFTSGKTRKPRASLKDSMSDPTKDPKLFRKHRQRWQAYKRSRDK EDLPPLDVSRLELYDLRRTQSLSAQSPGGFAMSPARVLPSQQENDHGPPLGHSQALTA TIDDPMPAVPGAEAAHQKKKRKSVRFQVSDDSENLYAFVQESEQADHDSPVAQGRSKP SPLPCQLQEPGSDGSAPVPDSQDSDKTLVLGKLLVEATFTGLPRESSSELSWLPDFLA RKTLDFSHTCFSGNAAAKFNSLLKDRLASGTITSKDTDQAIIARVADNLTVRLLALYY GQTEYNVLVYPTKCDEWKSILSGLVPAGPSEAMLGYLIFASPEDCRRMLPSPIPSLQF QSQIIEQPRPNAGVQNGLSARELLLKRLFDFDYNKLLPTVLLERPLAEHYFFLAIPKT RKEIEYTLCHWLRARNPKCQIFTSQQSGGWDAFRSVVETIPGVVIIHEMLAWSLRRFP NLARYLVSRNDEYWCISEPVDGLPLYPSISVAQCPAPPGDVRLTRLFPYRTVFLLTPS FLVSEPRRSLEFFEWFTSQWVGKFAYRLVTAYNIHEYLSELAEDKYRAREEMLKCPGN TQPDIEANLRGLTRDDCSCRYAVANMAADLHLTRLLHAGAEAQDEDNSSLIYADPSID PNDEQSLVNWFGWWATLRADQFRKFHVIGSSQSMKLHGCGRGERFVRIPKYSKVTLND PDAVLEVVQEMNDQADTAKASTHNHDESSLQMPSDRVSRPRFRDGPWSFRSNLIRTED SECFADYLSSLTRLPGGKSLWGLYKFPVSWFDLEMATHFGDFSARYSRIHDWFKFTFP FGRSATDSAAQKWGEKYNTYVGFFYTIIDREWDYPDVVPPQKPLERHPWIAVYRPVNP HRTPYTRCEVIIWDPAARTRYPNGQAPAEKDLIFMQRQLIQHVRYHCDEKNHGTWLDQ ARGWKRVALGPPFDPSPEPSRQQSDSRSFPSDNTSDTDSSSLFVDQHHHQTNDEHFAM DLADVRPSISGNGGKANSEEGEDAEIDLAEYDDEQIRVIFHPPRGNNHSQSRLRNACS SRGAPPFRSRCINRLYEEARLARARNGGGGGGGDGDEGVTHMRYTFVPTLDWYREQQA EGRGFAHVNVDSWEGIFGLLKIGNGGSKGKGAGGGSATATATATASGGGGKSSSRGDA GVDGASTRTRGGADSGWESAGSG MYCTH_2070906 MMPAIANFKLLSKSLAVLLFSLSLITSSAAGIIPPRIESQSREN GNPSKPSPRRFGFVLPRAFDAVDIFGPIEILQALSRQTHLELALLGRTLEPVTTEPAN AAMNKFNSSFWPRIVPTHTFADSPALDVLFVPGGAAARSPDLGPEIAYVRDVFPSLRY LITICTGAGIAAQAGVLDGHRATTNKAAWDEITAMGPNVKWVSPARWVEDGKVWSSSG VASGIDLTFEFVKQKYENGTAIAQMIAGRIEHVIVSDWRDDPFADQFNVPPSN MYCTH_2311879 MSGATHTHTHAHDGPHSHSHSHDHFNPAEHGHSHEILDGPGSYL AREMPITEGRNWADRAFTIGIGGPVGSGKTALMLALSRALRGSYSVAAVTNDIFTRED AEFLTRHRALPAARIRAIETGGCPHAAVREDISANLAALEDLQATFDTDLLLIESGGD NLAANYSRELADYIIYVIDVSGGDKVPRKGGPGITQSDLLVVNKTDLADAVGADLAVM ERDARKMRDGGPTVFAQVKKGEGVEHIVNLILSAWRASGAEEHRKSVGGPRPTAGLDE LEEGSA MYCTH_2311881 MPGAVGGGHHHSHRPTTKVTHKPFKSRHATKGQLRDAAKGRIAG EKGQRKTPHQQVMSKLDRRNQAKQRQLEKAREHQKETSIFSGRDGAPRNVAVIPLCAD TDAAAAIRSLNGSIDIEAEIRDGCFRVPVDRFKQKLQYIPLKRDLAACLDAARVADFI ILVLSAEVEVDALGELILRSVESQGMSTLFTVVQGLNKIEPAKQRLSVVASLKSFITH FHPEQEKVYSLDNRQECSNLMRSLCSTTPKGIRWRDERSWMLVENVEWARAGTAPTVL TGVVRGKGLNVDRLVQVCDWGTFQIEKITAAPLVTRKKRGEDAMEETTEEVLAEPTED QDGLDDLAPEEVVMDDDEDMDAATTMTGPKQGVLLDDHHYFSDDDQVQELPMPKKVPK GTSKYQAAWYLDDDDLSDSGSDLVDLDMQDAQDEEDEARPEDGMEGLAGDTMTEAGPS EYPQSVAFEEPDADEDARALEAYRKSKRTEAEDDLEFPDEIELHPNVLARERLAKYRG LKSLRSSPWLEEEDKAYEPEEWQRLLRIPDYKASRIRAAREALVGGVAPGTRIHVYLK GVPVAIQKSYDPGRPVTLISLLRHEHKRTAVNVLINLGSDYPTSIKAKEELIIQYGPR RFVINPLFSQGGSTPNDVHKYCRFLHPGQSAVATFMGPVVWGSVPALFFKRTVPGSET DAGKEDGEGPALPLTLIATGTTLPPSTSRVIAKRAILTGHPYHIHKKIVTIRYMFFNR EDVEWFKALPLWTKRGRSGYIKEPLGTHGYFKATFDGRINPQDTIGVSLYKRVWPRNA RPLDGPLLDPALLQESREDAMEDDME MYCTH_2311884 METTDAVEAPLAGVEQPNGALTVKNVRTEFRSKAELDRIRRQRQ AQKAYGRGRQIDVKTVRDKKLRRTLSNLENKYKTAVLKAKEAEILLENTAGFLEAETE LERTYKVRQEDIQKEVGIEVAQKKFELKLDALGPYVCEYSRNGRDLILAGRKGHVATM DWREGKLGCELQLGETIRDARFLHNNQFFAVAQKKYVYIYDANGVELHCLKKHVEVSH MEFLPYHFLLATLSISGQLKYQDTSTGQIVAEIPTKHGTPVSLTQNPYNAILHIGQQN GTVTLWSPNSTDPLVKLLAHRGPVRSLAVDREGRYMVSAGQDNRMAIWDIRNFKEAVS SYFTRSPASSVAISDTGLTAVGWGTKTTVWKGLFSKEKPVQEKVQSPYMTWGGDGQSV ERVRWCPFEDVLGIGHSEGFSSVIIPGAGEANYDALEVNPFETKKQRQEGEVKALLNK LQPEMIALDPNFIGNLDLRSEQQRKADRDLDAPPVDIAQEIRNRARGKNGALKKYLRK QRKKNIIDEKRLKVEEIYKELQQKKDEKHKERQAELGPALARFARKD MYCTH_2311885 MSELNSVVVVTTSIVAFITGFGLGVYAIRGYLISPDLAAQRHAY LNDPVESEESDVDEEETLLDHAPNWANGPEADRRQGLRVGGAGPATRGRAAGLQVSGN EECKLVLVVRTDLGMTKGKIAAQCSHATLACYKSLFRAAQQDALSPEAKILRQWERMG QAKIAVQVKSEDELLELMGKARSLGLTAEVIADAGRTQIASGSRTVLGVGPAPKSLVD QVTGHLKLL MYCTH_2311886 MDAASIEELNKVRLAMGMKPLPVPGKAPASKEPTPERELGDDGK PLNTLEAREAAAYENFRKVREAEEAKRKREAKAAAIKKARELAQRNAVVEGKGLADDD DEDVDVKSWLKNQKKRQKKIEAARKAEEEKAAAEAKAAEHTAADLAGVKVAHDLAAFL DAEDQILTLKDTGVLENEEEGDELENLALREQEKLQERLELKKKKPVYDPNDIDETGQ GGILSKYDEEIYGKKKKTFTLDAAATSTELADILAAPAQKRKHQTVDLDELEDAPAPT SDYLDPSEVKVKKPKKKKSKATRRRREDDDDVLFPADAPEGDEPAQDVDMDVDSAAPT FTKKPKVVDDNFVDDEDLQSTLARQRKEALKKRKKLRPEDIAKQVRETSEIPEGEEGP EGNGIVMDEVSRFVDGLRAEPDEDRKPRRPKSEEPPAAAVTAMQDESSEDEEMHDADH QPSASREATPLADVPATGVEEEKTVSQGLGATLALLRDRHLIEEGKGAELNEKFRQRQ RFLNELHRRMALFDQEAKAQRERDRASGRLDRMSAREREEWQRQQNALRDQHQARVLD QLFREGYRPEVELKYVDSTGRRLDVKEAFKELSHQFHGKGSGKGKTDKKLKKLAEEKR RMAQSMLDASQNVGMSSAASHQTKKRKEAGVRLA MYCTH_72393 MHALSSLAVLGAWAVQTVLGRPATLSKRATDSFIETETPIAWEK LRCNIGANGCAASGAAAGVVIASPSKSDPDYFYTWTRDAGLVLTGIVDALSQNYSAAL QTNIQDYIIAQAKLQGVSNPSGSLSDGTGLGEPKFNVDLTQFTGDWGRPQRDGPPLRA IALIRYAKWLASNGYKDTANSVVWPVIKNDLAYAAQYWNETGFDLWEEVPGSSFFTIA STHRALVEGAALAAQLGTECSACITVAPQVLCFQQSFWNPSGGYVVSNINGGNNRSGK DLNSVLASIHTFDPAVGCDSVTFQPCSDKALSNHKAYVDSFRSVYAINSGIAQGKAVA VGRYSEDVYYNGNPWYLANFAAAEQLYDAVFVWKKQQSIEVTQLSLPFFKDLLPGIST GTYTPSSSTYQQILDAVSAYADGFIDVAAKYTPSDGSLAEQYTRDSGQPISAKDLTWS YAAFLSAADRRAGIVPAGWSAEHGKTLPGSCSAVQVAGTYTQATATSFPPGQTPNPTS DTPAPFPTACADSTQVFVTFRAEVTTQWGQSVKVVGSSSELGNWDVSKAPRLSASAYT ASDPLWAITVPMKAGQSVQYKFVKVNGDGSIQWESDPNRQFTVSSSSTASGCAWQTIE ATWR MYCTH_2311889 MDPPRTITIGISGCSSSGKTTLARLLRDMFPETFILHEDDFYKA ESELPIKDGFADWDCPESISIPDLEAALAHVRATGTFPPNVNSLEDLNTVGPCPATPD QIAACAAKVRAWLSPNRPGALIFPPKPPSSSSSPPPPPSSSSSLLPSSSSSSDATRPP KTRVCILDGFLLYSPPPSTPTPTSTSTAAAAAAAVSSPLRGVMAQLDVKLFLKASKAK ALERRGARDGYVHVDGFWKDPPGYVERVVWPNYVEAHRWLFERGDVEGGRLDRAVLER EGILTPGLDPDPDGGEAGGESGGGGGEEGGVGVGVGGNQDIEFGKILEWAVEVVMREL ERLCLGEKDGVGKE MYCTH_2316540 MPRGYFSPYAPRRRRSPRLVLIWVSIFLFLLWITWYITTRHKEE AAPYVEEFIHPGRMPRRAKEAAGNAE MYCTH_2311890 MNTDEKIYPSGQHYSGSNRIPSIKQFVESLDRQKKDRDAQIDAQ LKANHHHGEVRDHLPADQRKPGKNRRTVRDPTTGKDVEIEDIGSHHMKAADEPMITVP NANLGKETTRQTTSSQSGEEYRVAQDVTAPPDPVAEGATSDVPIHGEKTNVLFHPTPS VSYEPMFASIEARANVLCAFVFLAIVFLGKALGGGSLWALVPLGAAVVSGVFLWAKDL IRQGRAAEWSAEQKRGETAVVNLIPESVEWLNTAVGLIWGLVNPDMFAAVADTLEDVM QASVPRVIEHVKVNDLSQGTNPLRILSLRALPDRHVQDLKEEIHKRDAKVKDPQELAA EEESGDFYNLEAAVAYHSLPSSADVSSKAKNMGMQLVFYLGIKGLFGLPFPIWVELNR LVATVRLRVALEPNPPFVKTLSFTLMGLPKVEASCVPLVEKGANILNLPLISNFVNWA IATAASMYVAPKSMTLDIGKMLVGDNIKKDTNALGVLFIRIHKAVGLSKQDRRGSDGG GSDPYICVSFSKFGKPQYCTRVIQDDLNPIFEESCALPITVDVIKADEQLSLELWDSD RSSADDVVGKVEMSIQKLIQHPGRMFPQVSKLKGVKAGSTMPGELHWEVGYFGKTQFR RALRTDGLDPNLPLALRDRKEMQDDKGSLDTAEEDAVAHTPPDPLWPSGVLSVVVHQI VNLELANIKGSKGGKRSGGREYEPARPEAGEIKEEEGKKLPSAYCTVLINDELVYKTR TKVVSSRPIFEAGTERFIRDWRSCIVTVTVRDSRNREHDPIIGVVPLRLSDVLQTSSQ STRWYPLDGGIGFGRIRLSLLFRSVELRLPPTQLGFGDIGTFEFTSDALTATGGHHPS QHTKIRIRTGGSSATVKAHACRRSDDGTGLTWDISGGAHHPRSSRRVRLPVRFRYRSP VFFEFRPSSSGGPRRRKKADAAFASLWLQDVPDGEERDFDLPIWRCDNGMRLSQNYIT PDNVGSVPDLRVEEVGRLRFRGRFKPGTDRDHLRFVSDNDSRETIESWEACFAEGVRA EHVRPEVPPAVQRLHEESLTHGRDVLAMAPEEEKKKWLAKDGTDWTGAFGEDPAALLK RKKQLDGEAGSVDERGEPTTHGRVVDGVEVENGEGEEDSSGDEVEEEEEEEEEDEVDL GIDDATHDRAKQQRNSVGGPSDEGGEGNYTWRKGGEGGGGSSSRKSTDSKATGATDAT GQPGSSSSKNPIKQYKDYRTRSRDLHRKHRGLMQWKPMRNIQFAKDEAVFATRRLMKV GSLSGRKPDVETEV MYCTH_2311893 MSDPRKYTVGWICAITTEAVAARAFLDEEHDGPSTVAKHDNNSY VLGRIGAHNVVIAVLPDAEYGIASAAAVARDMLHSFPNVRIGLMVGIGGGAPSPKRDI RLGDVVVSSGNAGESGVFQYDYGKTIQNQSFQETAFLDQPPMVLRTAVSTLRGTYEMK GHQLVDNVNEALKKIKRRNKYTRPPPESDRLYRPDFVHPAHSTDRCDVTCGNDEANLV IRGERDEEDDDPAIHYGLIASGNQLMKDARLRDKLADDKGVLCFEMEAAGLMNHFPCL VIRGICDYSDSHKNKEWQGFAAMMAAAYAKDLLHQIPVNKIIEERRISEVLGSS MYCTH_2311896 MSSTSSQSPPKRPRLSLQIKALANGPSVRTSRCLAAAVDVTSPT SFNTLSNVYATAIDRSTPIQEVPPTAIPCRRPMLKLQTQDVAASNGAAHNTPYLGPYL DTPVTSQAVSPAVAKQVFFPSAMTATPPLSAQPHEQGGAQVFFTSDGTTPVTALSRGV NPPAQQQSTQNSSNSNKRRTTMPVGSGAKLPYIHPRSLRSILRNSPLPPSSAKSPESP RRQSRRLQEKALRRVAYNSPLEQEITTSRYTKSHIDLLAEEATPISPSASSSDDGDSL DQAMADAASGDNTRDGGQTPGPFEEMRRRMAGMHASSPTALSPTGGGIRKRGSKRREK KRRWVWTIGQDEDGEDAEGADAAPAVADENVPAPPSVPLLSIPAPRPRTRSQQAQLAK AVAAATGGQPQQQQQQQTQVPLLAVPVAPARAATANPPAQPPTPAPEAPPSPAPQESQ PEPQPQPHPLPQQQHQQQTARELTPIPASLVPTRPSTPDTGDRVPSCATDARHATPEG MIEPPTPGMESTTSACSSQHSGREEEEEGEDGDVDMSDASSFTSVEDVATAAPTARDF HHHHHPYTHKKGTADVLRGDADVEMDDGTPTMTARPVGAGGGRGWVPWRDAAP MYCTH_2311897 MPIAQAKKVCPDLVLADGEDLSPFRDVSKRLYALLRSYSWNGKV ERLGLDEMFLDVTDMVSYNLELLNRNALPQSYFCLSRSDPEVGFEYDATSFAGCVHGN RSSSSSSGGGGGGGVDGDDAIQPQESVLYMRLLVASHLAFYLRMKIEEQGYTTACGIS TSKLLAKLVGNRNKPRNQTTLLALCQEDVQSFMDEHSLRKVPGIGGRITRLLEGFVLG REPDPDTHTMECSTTVGQVRTHPGISPSSLSRLLSGRGLEKNVGPKVWALLHGVDDSE VQPARDIPTQISIEDTYRGLSSLSEIRRALLAVTVSLVRRMHADLLEGEDEDVDDGDH DDDDNKPPDRAAATATPSSRRRRWLARPRTLRLTTRPYTAPQDEKPYNWGRASRSCPL PSFVFNTSLPREERAARLVNETLLPLFHKLNPPSPPLPPPPTAVARAGTGPSAPGPPE PGGWNIGLLNVCVTNMSAGAVDGGGGDISQLFRRQQGGLPSRESPPRGPPPPGAGHTV GGNGSLEEELTMEEGGDGESAVAPREWNDFGGDKVVVEEEDEDMWDSDEDTPHEDSAL CPLCDRLLPRFAMSAHERYHSLGDA MYCTH_2311899 MNQAASQQSYQQPYQEADREDSPGVPGAELLDDYEQRYLNSFFG SVASASNGTPLAAQALGGLCTQDWMKPADVVGHVVRFGDPDNALLSEAFGMFDFSPST DLGIAASTTPGFPDNYQSQNGSAFQQFQQSQQSEQTQSYYDSLHTPDAVAAAATLVGR GSMPFGLYPEVSHSLTTASQTMVSSQPDPFTLGNGRYGTQAQMSMARRTSNAPRTLSV DVQYGSDPNFTDTSFVPPSAKETTEAITAEQLAHLRCLEPSHSAAPTRAASPTSWGAQ YSSNEASRNSVVVPVRRTVSHNPPPPDEPPTRRRRTSKMGEAPVDAEQAMPTPVSPTA PPLTQRKRPSAASPPPAPPSGTAPPQNGDKPSAASASESNGTPSDPTQTKRQRKSGTG KSGAGRKKSPRTTLTPEQRRQNHVGSERRRRDFIGRGYDHLMAIVPGLMNGAAPSKSV SLNLVADWIEELHRGNQTLENMMAFTFGQASGSTAPSASAAAA MYCTH_58485 RRVRSMAVSTSQRRRWDSDIPTVFRPLVRAYLFGYASAVGPRLL TLLLQHAARHRRSKQQQTGPTPDPQRDEPFLAGLRRILLGPLSCRSFPTSCAVLIGGA TFLEVCPFQRAFHAPASFQ MYCTH_2311901 MWTWIYLPSRLPRAYNKWIASAAAVDARLITALQRCREGSLVYG KDTGQAPLLGSMCADYGWPAAWGDPAQSVPFPCEMVHMGCGPSCEYHALSRFVRSFRW AMTTYLPLTLLLAARGGRGGAAKALRRALLSASRSSAFLATFITLFYYGVCLARTRLG PRVLGRDAAACQRVDGGLCVAAGCVLCGWSILLENAARRKDIALFVAPRAMATLFPRR YPLDEQWRETLAFAASAAVVMAAFAENRASVRGVAGGVLGWVFRE MYCTH_2311902 MLTWSWFSAVAVLLLLWLYLYGFGYGFGYGPGRQRVSGPPLDVG KDDAEKPAATTATTATATTTTTKTTATDTVTTTTTAAPTAGPMPTTHKPGGTAAARPA VVLRQGTYIGTTLLASHRFPRALDAFRGVPYAQDTSGPNRFRPPQPLPESTEVFDAVR WGKICPNDGVVLRNMSENCLNANIYRPAGLVDRDGYEKTADGTRRRPRLPVVVYIHGG GFNKGNGTERNMASFAAWSKEPIVSVSFNYRVGALGFLPSDVTAREGLLNLGLRDQQM LLDWVQENIEAFGGDPKNVSVMGLSAGAHSIGHHIMYYSSRSTPPPFIKAILESGATT ARAVFYPTHPRHLVQFREFLIAAGVAGIPESELFDHLRKLPLETIVRASKVVWDKYVD SVTWPFQPVIDSPNHLAQSSHAELDAPRPPLIPDLPIKSWLAGNHLRIPVITGFSTNE GTMFIPHNADTAADFRSFFQTLIPTLTPGDLDELEALYPDPVRVPTSPYRRGIPPGAG RQWARLDAAYSHYAYICPVLQTAHYMSLSGVGPVYVYRYAATSSNGTANHGDEAPAVA HDVMELRGRVGMRAIARAMHGRWARFVASDASSPDIISAHQHPHKKERSGSVNDADDY DEVEWPPFVSPFGPDGDREGTGKIMVFGEGNDEMGGGWHRGVPAKVVEMTELEKEACR FWWPRVWLSEGMGWKDGKRSAEVRL MYCTH_2311903 MATTPRPRPCAELDIHDDHSHYTTTALGELSLNKVHNIRRKTSV ESLARRLDNFHIHNSDKENKSRLRSAGAASQDPVFEGAQEPKSPQDFENGNPLALASQ QVRSTKEQLLRHQEGQFEWLLSVPVKTAAKERRPRGDSHGDAELGRGEKTLYFGCNLV NRKPQSDGILEDGESTDIYTLLATRVKAEEDFIIERAPVFDEMEATAADQPRLGDDTG ASKASSVPVAGVNGVVASASDDSGEHSRPLSRIEDSVEALDKLEEEIEAVAEVAQLER VLSPEAASQNSNAASAKSTPVKRATSVRAPPDPAKSKTVGRSSSVRNKTTSSGDDEKA TTSSARKVPRPASLLPPKPLAKSSKPPTTSNFELPGEAVARQLKERRAQRLSQQISAE QAAALAAAYSPSRPHVKSSKPPTRPTFELPGEAISRRKREKREAELRAQEEEERKRRE FKARPIRASLVPTTVPRETLASLARQKARATTEGSADSASTTVTPVSKKRQSATITTP SSTCATRTTTPAGSAAATLPIRGRNPTASAVSDSAGQPAASPAPRAASTSSSAAASTH SRASKVSAEEAAQQKLRGKEVFARDNSLTAEREREKRERERAAKEAREKAAERSRELS RQWAEKQRLKKEAERRKGGEGTGAPASSSSSPSPAAAAAAAAV MYCTH_17562 FPSRPLASHVRQPSLSANAGGQSPALVARVNEKKAELENLKELR DLSAAVATQMEALEQKLATLSDGTEAIALVLSNWHNVLRAINMASAKLPKLAAEPGDE DQPPLPQTLVRIPTEHAPALQAHAEGA MYCTH_2311906 MARLNEAPVPAESHLEILRRKFLRQNRDIAKINSDQSQKIRRLE NDCARLLSENLELRSQILRLEKQLEDNSARRIADHALEVKAKLEAQLSEFAALLGSLG VEPPSKRRSSGDRTLPKSRQSMARSPPQRRRRNTSIDLEALAEMEGRLPPIYENKTYP RATMGSDEIMALCAAAEDNNDNDSSDLGPLPVSRYIEDNSATNSPTKAIETHAPESPM QPVTDSLHSQLDQVGQPPESPEPEKETDDVAIEEASPIEQAAPEESAAPEPSPQPARP GVKRKYGDEDGTIQSVTPVVAGKENDVPTEKSFPARAGPKRRSLADLASVKPEKKSAR APLSAKRTPLAAKSTNEDMSSPRKAIKATPLKDAKKERQRIPGDTAMQDEGNAPKPPV EIVIPADHSLLESATSLPSENPSSPTTPPRSAQREMLHDTPPPADISSTGETTRPTRR ARAPISYAEPNLRDKMRRPTKELFDAVTGEGKYAHRIALQLKSDGHQSAPTSVSKAKM EPGSSTGSSSKTSSFSDRAPTAQQAALLSPLAQKDALPDSLQSTVVMERRKRTSAAAG GNRTSLAAQEKPDSASREPSPAIVVGHPEGGTQTSNSRRRTSVAKANNDNQQSRSPPP AAEVDIYDFTASSPAIPSSKAPVPDTSSQLKSTSSHPAITTQIGNPPGSRLRTARKSS MAAAAALRELLDEEENEAESEPQRQSAPPRSRTAHGGRKRASMLVPKKTSMLLEEGLE DDSEAADADTSGTSAEGDIGSGSGIGGGRISRRRSMML MYCTH_2311907 MAFLILVIGDLHIPDRALDIPPKFKKLLSPGKIAQTLCLGNLTD RATYDYLRSISPDLKLVRGRVDVEATSLPLTQVVTHGSIRIGFLEGFTLVSDEPDVLL AEANRLDVDVLCWSGGTHRFECFEYMDKFFVNPGSATGAMTTNWASGGDGTGQEEAVV PSFCLMDVQGISLTLYVYQLRKGENGAENVAVEKVTYTKPVEPTGAS MYCTH_2311910 MVLLPEVSRSLFRRLRVPASMPRQNPPDTGKHPARLSLISRHLA PVYPINTPYTVERLPDTIETSLLPKAQSRKMSSQPPHPTLLIPGPIEFDDAVLQSMSH FSESHVGAGFVAKFGETLSMLRKLFQTTDPSAQPFVLSGSGTLGWDLVAANLIEPGED VLVLGTGYFSDGFADCLRVYGANVTELKAPVGTKPQLPEIEKALSEKKYKAITVTHVD TSTGVLSELKQLSALVHRVSPETLIIVDGVCSVACEEIDFDGWGLDGVVTASQKAIGC PAGLSISMFSGRAMKALENRKTPPAAYFASMKNWTPIMKNYEAKKPSYFATPSPQLIH ALHTALKQILASPLSDRFAAHKTASDKVKKAITDLGLKQVAANPDEQAHGMTAIYLPE GVKGADLLAILAKKGVVFAGGIHKEIATKYIRFGHMGVSVLDPKRNDIDHALKALEES LVECGYKKA MYCTH_2311912 MPVSIEELDATVRAFYEGRGEQQKAAQAALNQFKEDPDAWLMVD EILSRATYEQTKFLGLQVLDNVIMTRWKVLPREQCQGIRNFVVQYILQCSSSEEALKT HRTLLNKLNLVLVSVLKQEWPHNWPTFINEIISACHSSLSVCENNMIILRLLSEEVFD YSAEQMTSTKTRNLKTTMCAEFSRIFQLCQEILNSATQPSLIKATLETLLRFCNWIPL GYIFETPLIETLRTRFLEVPEFRNVTLQCLTEIGGLQTGGPGQINSYDEQLVKMFTEV LTSISNIIPLDMDLKTTYPQSNSRDQEFIQNLALFLCNFFSMHLPLIENLPNRDFLTH GHFYLIRISQIDDREIFKICLDYWLKLVQELYEEMQSLPISDMTSMSLGMMGGGAPNP ALLNNYPLRKHKYNEVLSNLRVVMIEKMVRPEEVLIVENDEGEIVREFVKETDTVQLY KTIRECLVYLTHLDVVDTEQIMTEKLARQVDGSEWSWHNCNVLCWAIGSISLAMNEET EKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNKLF EFMHESHEGVQDMACDTFIKIAKQCRRHFVALQPSENEPFIEEIIRNLGKITCDLTPQ QVHTFYEACGYMVAAQGNRNQQERLLTDLMAIPNAAWTEIIKQATINPAILQDADTIK IIGNIMKTNVSACSSIGPYFYPQIGRLYNDMLQMYAATSQLISEAVAREGEIATKMPK VRGLRTIKKEILKLVETFVDKAEDLQAVRTQMVPQLLDSVLVDYNRNVPGARDAEVLR AMTAIITKLSGLMEDQVPVIMENVFECTLDMINKDFSEFPEHRVEFFNLLRAINLHCF PALLKLDNRQFKFVIDSCLWASKHDNRDVETAGLNMCLELINNIAEKTDVRTCNAFFN QFFITILQDVFFVLTDQDHKAGFKTQSMLLMRLFYFVHPADGTQPKIQGPIYQPDQAQ PGTGNREFLANFVSTLLQNAFANLTPVQITSFVEGLFTLNTQYDKFRLALRDFLISLR EFAGDNAELYQVEKEQQERDARAADLERRSKVSGLLKPSELEEDEL MYCTH_2311917 MFMALRPQRGGGSHVPNRDQRPHLAAPRHQGQAGPATGRYKSAS RVSEIDAWDNMQNHELQNDSSSSDEDLHPRRHQAPSRPRHTRSVSHPFPSFFSSIKRK RSGTMAAGPDESGSDSMGEGPRGGLGGRPLPLQPPQQQQPSGRGHRTGSSVGSRDVAT GNCMTCGSPVEWPRELLVYRCYTCHTINDLQPLDRDARREDSRDEAVIFEEQSSGSKD KTISLGYTKSLVKQCLRSFLASALRDRAGGAATDKELPVVLPTSHLSPDHLRVPPPPP AVPLALRPKASLDFEPRPVPGRPKELGLHRRAPSWAGTMSGTFSTSFPEKEVTLQRDP RSHGTGSRPRRPSPSPGEDVKSIFRPLEDYVVQCFTSFQCLNSSFLTPRSQPAGYSGP EARHRRPSEHTGVRSRETRTTSYPVPEIDPKLLLLGDFAENGTWWTGHEEVRPGRTPS GRNQHSPSIVSSRSPHIDWTELEEWYTTVIDAARFWPGVYESLVAEDPSLAAAPPVLQ DLERRIVAGQEHVQEVLLKASERVLVRPGRRLTEPRDLRFLLIITANPLLHSSYKPYV GRTAPPTQSSPSPRGTGPVSGRHSGIIKRIIGLMSNASEECRNRLVAWFARYPEHAFV QTKDLVAGFLAYRLIREKEKKYQGQIDYTGGLIPDIGPSHSPAALHAALGSRQTQRSK KQQDKKKKKVVYRVDWRIKAAAQVLGLLFAANNMGHVRRGSSGRSGSPGGSHRGLVRS PGQILATSDFYVTLLDDSDLVGDFEAWERKLERFSFCQHPFLLSIGAKIQILEYEAKR QMENKARDAFFDSILTNRVVQQFLVLNIRRECLVDDSLKAVSEVIGSGGEDIKKGLRI NFKGEEGVDAGGLRKEWFLLLVREVFNPDHGMFLYDEDSNYCYFNPNSLESSEQFFLV GVVFGLAIYNSTILDVALPPFAFRKLLAAAPPPSVPTAQPRQPMTYTLDDLAEYRPRL AHGLRQLLEFEGDVESTFGLDFTIDTTRYGAVERVLLCPGGDRRPVTNANRREYVDAY VRHVLDTSVARQFEPFKRGFYTVCSSSGGGSASVSALSLFRPEEIELLVRGSAGASDQ TPLDVPSLRAVAQYDGWKTATPDSYGDGDGDGDGDNDDTKEPTVRWFWEAFESAAPRD QRRLLAFITGSDRIPALGAASLGIRISCLGDECGRFPTARTCFNSVGLWRSTDRERFV STLWRAVWESEGFGLK MYCTH_2311919 MSNNTTGGEDDLPTPTDTSPSPTDTTDEPQNPQGPTSTPTDSPD DPTPTPPTTSTTTTTTTRPTTSSPPATTTDRPQPTSTSSSDEGEPPAETTSSSSDNPI PTSSSVPNNPGPSTSTQTRYTTVTTGLPPTSQTSSSSTPSPTSTSGSAIEPSDTPSSG LSNSATIAVAVVVPVVAVALLSLLGIFLWRKRKQRRDAEEQRRKEVEDYGYNPNADPT IPAVAGSAYEMREDDSAGYRGWGSTAAAGSTGRKASTTMSGGAGASYSGATSPTRADA RSGEPLMGDAPPSPEGEILGAMGPSAANNRSDVRRGPSNASSSYSAAGQSDGSGEGPI GVAYGGSNPYYDQYGAGNPYVDNGYGGQPVEAGGSPVIRDVPARRNTRIESSSHYPQP TAGIAQNF MYCTH_2311921 MQAPVLVMNTQSGDRQTGRSAQLSNIAAAKTVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAG EILAQALPQLERNIHPVVIISAFKRALKDALQIIEDISLPIDVNDDKAMYQLISSSIG TKFVSRWSELMCSLALKAVRTVTWDVGNGKREVDIKRYARVEKVPGGEIEDSRVLDGV MLNKDITHPKMRRRIENPRIILLDCPLEYKKGESQTNIEITKEDDWNRILQIEEEQVK AMCEHIIALNPDLVITEKGVSDLAQHYLMKANVTALRRVRKTDNNRIARATGATIVNR VEDLQESDVGTKCGLFEIEKIGDEYFTFLTKCKSPKACTILLRGPSKDVLNEIERNLQ DAMGVARNVMFHPRLSPGGGATEMAVSVRLAQMAKSIEGIQQWPYKAVAEALEVIPRT LIQNAGKSPVRVLTDLRAKHAEGKSSWGVNGDTGALVDMKDYGVWEPEAIKVQSMKTA IESACLLLRVDDICSAKKAQPGVGTGGGGMDE MYCTH_104267 MTSDTRPPPAKRRRALSPHAAQATQLAALFANPTQEIRIPPAAG PDAGRKPLPPPPEIVTNVQGSSAGAGSGEFHVYKAARRREYERLRRMDEDLARERAAE EFERRRREREREDEERTRRNREKREKKKRRKEQAAKEKSKSGAGSASEGAGDCSGGGG GGGGGGGGGNGGSSGDCNTEAEGEKKSGQCGGTQQGAKDVVGGSGPDNASESKADGGT DAAAVKKQDVPVATAGGPGLVIHDDD MYCTH_56385 MGRPRLIILIRHAQSEGNKNRDIHQTIPDHRVKLTPEGWQQAYD AGRRLRALLREDDTLHFFTSPYRRTRETTEGILATLTSDDPEPSPFKRDKIKVYEEPR LREQDFGNFQPCSAEMERMWQERADYGHFFYRIPNGESAADAYDRISGFNESLWRQFG EDDFASVCVLVTHGLMSRIFLMKWYHFSVEYFEDLRNVNHCEFLIMRRNDENGKYILE NKLRTWSELRRERARQLAEGKGDEDDKSNDLSTLARAGTAEVRRRWGGCPNGCNHDKN FRIRQALADLVKSDSIISNNASGPAVSEGGTGSGTGSGTSSTSTSTAVPSSNGTAAGS AYGITNPSFNGTANSQTIVSRRPAAKRFQSQAADDAGDGSRDKAAGPQIDVDRARDEV VSSPDGTPSFISVDDRLRSRLRSPNVPPRPLGIDNHHVGRDFGGSYSGHNSAVSDDGD SGDDSDGRSGARTLGVPSSTLPHRPKHAYLQHHHQQRSQSRMGRGMRANRLGDHNSSD GEHEADCEHDPEHDDDHSCGGSDDGPDKGCAVDESGSAVVAQEMADEADDVLAREEIE DRSIRGSVY MYCTH_2130777 MGGVWHLDGILVDRKRTLREGACTQLRPLFSGMVFTQVKNARKG VLDAQPPPPPSPLPAEMMFARHTEVVHATPAMVAKALQAGSCGGPALWEGIQASDLEW AFPLAALALGMVDGQFFIDELPLNVQLSRCRRKTGASRPHKRPSSVSFRCQSAAGVRT AGEQPIAWCSSKLLSLAIVQYHNVSQRRSVDGRPCSQQAKLSKPLCALSTTISHILNR VDQGDLAPRIAQFFTNPSEIMPAGSLVGLPLPVASGVGSCLG MYCTH_2084695 MPSRCQTPPMRSQMTRVVPRQAGDLVMLTPPPSSYSHRSSLGSA SAASDTHSLQQLASTTKTQRRIHHTASKPSYLLPHLWRPHTPHTHTHSSPALQSSTNM SFDWEHQFCLGCDKQTDGATYCSESCRLADYERTSPSSPSSVVSSAAGSPASSSFPSD WTLSSSSTAYNNSTKFYLSPAYDFALAQSSSRRGSTQIQTLSPSASHASLCSMRSNSS AGLDATQLSEKAAKELRAYARSFESVRLQRRRSA MYCTH_2311931 MSIGHFFAKLRHFSLYAPGLFCSVLAFLHASKWMPTEELCGLSI PSNLAHIAGPWS MYCTH_2311932 MAHTTHTWKRGELTPQEYFTFRDGAWHPVEAQGSAVLPGRETPP STFQFSVLSWNVDFMAPEGDARMSAALKHLGSLVAGNPNPSVIMLNEMTPPDLALIKL ADWVREGYDITDATADHWESSIYGTCMLVPRALPIKRVARLHYAATAMQRDALFADVA LARGGTLRLCATHLESLRAVPPLRPAQLAAAAAHLRQPQPSGGSGGGGGGGGVVGVLA GDLNAIEPFDRTLHDQCGLKDAYLESGAREGEERGMTWGHMAPRWLRERFGLGRLDKV LFWDGGDASSGDGGGGDDVGGRLLLRLVGFETFGMDVVVEDEAAAARLMKEWGLEKAW VTDHLGVRADFRVEVSESVKIHI MYCTH_2311933 MAQLVSDFIITPVLRQARRFSTGFAADDPPAIDGPAIRRRRAQS TGYAGSSGTAIREADEHGRRLPTGEAGVGLLDDAAVTPHPSRQDQGHAAAAAAVFQSS GSVGTSDESSGAVQGVSESAAGSSQQPDGTDTAQATTQTTWSSLPEGSRATPLPEDDG MGELRRRIQAIQLLDVPQNVKAQMMHGLFTEKYTKRQLSPKVPHATMRPVSRASTSTL DNRESVEHGGPLQVLKKWNPLGDGSGPLNLPLTEEDLTPTYAPVRQPDDSAEWWSAAE EPGEEEKRLGCEHYRRNVKMQCATCERWYTCRHCHDAVEDHALPRQQTKHMLCMLCGC AQKVSDTCTKCGRPAAYYYCGICKLWNDDASKPIYHCPDCGLCRVGQGLGKDFFHCKK CMACISMSETNHKCIERAVDSNCPICNEYLFDSPKSVTFMQCGHSIHLLCLEELKKTS YRCPLCNKSCVNMEYRFRQLDMHILQQPMPPEYADARAVISCNDCSAKSQTAYHWIGL KCAVCSSYNTTQLQLLNMPGGDHRHQSPEQQQQQQQQQQQQQQQQQQQQQQQPVALDP AVLAEEIRRRNRAARRAQKRQRGQAGNKNNNSGGGGGGGSTTNNGIPGFSLLSTSPTS YSRAFLTRLLNRGGGTSSEAEQASAGDEKTASNLLPAAAAVVPASGSICNSGGEDRKA QEDVDDVDDEDGDDDDDDDDEEDAEMDMLDLFGLHSRDFDRMTGLTSSAASAIGLDDD DDEEEETSEDEDEEGLEEEGEDDDEDDEDDDEDDILLLGHR MYCTH_2311934 MPSTERAEKQAAAQQAVDILHEIATILNCHLDRRTLSICISMIE NGVSPEALANVIKELRKQGQQAQLESAAAAAAAATRRR MYCTH_117436 MSSAFEPSLSTSRPPIGGPSLADTLPNINFGFDELRDRMAKFTA KFDAFIEQGRKRVLEERNQFHMNVAELQEDQRMKKKDIEILQLKTNSYQQTIAKEAAE KREMQSAIAQLTAQRDRQAAARDALKEQIAATQREIDARLAAQRAHQAQLEAQARFNV PELDFWVTNLCMRIEGAGAEDRLKFVFTHLDEKNWEREAWFELCTGSRDYDVRHCRPK LEREKVERVLERVNETRELVTLLKGMRELFVEAMRS MYCTH_2311938 MGDRRNAVPPSRAKARRVPADGIIGRLTYIPAQGDEGIGQIRRN RAKDETPRFKQVTPFSQWCAPSRTTTSQPSDMRPSQVARSQKYWLLEHFPEASVGSED VESLLLQEIATAQTTQQPALVDTVSLFSVGEATDLRDSNSTRGHPVLAVASGVSGNVI RLISLGREEWSWTEADLKVRVHIADPKLEGEWLHGGGPISLVRFAIDLRKYDPIRWLL VSNGASITVYEPELRPIPMPAATDPGRLPGRPLPSQLFGNPLFAIPCDRTGGSLQADV CFARHPDADTPQLAIIDQAGYWSLWDVTGRRHRRPKALTPVMKMCGNIVSGFIPKLPS NSMANTQPHQLLWLSLGQNISKSSRRSVSRTRSPPERSRTSADPEPQPSRRILLLRSQ SALHFFDLSTRKMYPVSSLVSQKQKHRILGVAPSCLDPGQVFILTSTSLFWAVVKEDK NDTLTLDILASCPHQKDVNDQTLRLDVSPATYINNFMACFVCVRSAMDTEMTIFWFIS PEPGTPIRYHRDLITLNSPVNFVGLGILPAARRMGAEPTSAAGRAMRSARLRFFQLLT LGQELEVHCALCAWSDDADVSVPLPDRRETLGDGVNRRLKLLQTLTDAFAVPDEFDER AVSGKKELEGLAVTGLKGGIEQRVDFGLVAQRLVAGEPMAGGGDEEMLEDGVDFGFIG EAVEQKKKDDYMPRHSLLDLVTSQRPRGELLELAREWDAQQEALHRRAGEWLFLPEAR RPFIDFGPDDLVGRLQDLFVDSSPSQDASLRHREEVLRNMAAEMFLTSIGVSAVPPSW TTQENQLSSSLPFPSSPSIMPSRPSLSSPHKSKGKATPEQKQGDAVALRLRKYATLDT SPTLNGEPALALSRWELGADPDDISWKPGQDLEAEDAINRRRRKIEARRRKAERLSQR IFGDDSLLMERSSQSFGAPGTQQPPTILSTGASSGSQEQRQSLSQSQQTPRPKLAWGF SSQQQQHVGAFGSPRVFAGSPLRKEYRRDSGMIKVGGIHSSQSQSQSQSQGTPSQPRS QVMPGLFGGRPSFSPFKKSPLKKGKRKSEVRLSGFR MYCTH_2311939 MSHHCHDEHSHSHGGDGGHDHGEHDHSDDITPALQFSLYQHIDF DGITALNEAEYGSAKAIVKKTWAERLSVEPELASDVDEQLLVNVPFTGQVKLHSILIR TSDSDSAPKTLKVIINRDDVDFGVAEETSGTQEFELSRTGEVQELPVRRARFNAVRRL TLFFPDNFGDGEEDVTRISYLGFKGEWMQLGRAPANILYEAAANPGDHKIKGTSVNQM GSGIGGRGPGV MYCTH_2070971 MVYYFTSNVVDPPAFIYVGKDKFENEDLIKHGWEEDVWPWFHVD KLSSAHIYLRLREGQSWDNIPSELLTDLAQLTKANSIEGNKKDNITVIYTPWSNLKKD GSMAVGQVSFKDHKKVKRILVPQRENPIINRLNKTKVEKFPDLKQEKDDHLRELRKKD QAAQLARVGIAVSVMTLLGFIN MYCTH_2311944 MAPRLPARCWQQLALRPSSTGLLLLSPPTATSQQRQQLVLSQPP TYTQQRTVKTGWSTLPARGAKPYRFNQTTSGLPAPTTGPAAALRRKERTTPVRAGVLA IKKGMTVFMGRRGARIACTVLQMDRVQTVLNKTRSRHGYWAVQVGMGERQPGNVGAPL LGYYEAKGMAPKEVLAEFRVRDERGLLPVGVQLQPDWFRVGQRVDVRGYSKGKGFAGG MKRHGFAGQEASHGNSKNHRTIGSVGPSQGSGSRVLPGKKMPGRMGGERVTVQNLPVL MVDNDLGIIVVKGCVAGPKGGVVRVQDACKKDPPPQEFIDKTRKLLEERFPDAEAHLQ AARRRHLELKAARREKRIAELMSRGVEGSEEHADAIEQILAAEASKESAAAEQAQASF MYCTH_2130787 MLLRSAQQGAGARSALLPLLRRRITPTGGRRFPLNKNNSGARPT QNRMLVSAPKAGDGPLMERRADRELPSLEQTTFRWSRTLPIFAAVIAVASVAIFNYQK LSSPVVGATLYALRTSDKARAVLGDEIYFAQQIPWISGEMNQLHGRIDISFRVKGTKA GGVMRFASFRPTPKGMFETTEWSLETDDGTVIDLLEDGDPFAVTMATPDLPMGDVDVE EEPVPRGFRKMTK MYCTH_57800 MGHGRSLLFPIGFTLFLLAVDAAITMGLVSSMVSFLHHNGRGPF AVAPPGGSLFLLAGEPANLVTDHGHTTNAAGGTALVLVGLGGSIALWLEKRERKKWDR SSPAFHLWTLIVFLSWLLTMVALIYTFVETDKTGGQAINLGVARANRPPTKYPDGRWT PENWYASVLNLPLASENQRRIIEGNLTIMRAWRWNLVALFILGFALLALVALEQLRMR RSAQSVRFNHVKEVSGRRDFR MYCTH_2311946 MAKPTPVARLRRTFHYPSSDDDDDDDASTSPAVLDEQEQESLIA DLARQNDRRNQTTHRLLCALPLLSTLPFLLDLFLARAGRALPALGLSSLLATGWMLAR LGVTETGFPALDGMYARGHGHGHGHGHGQLGRSRSRSRSRQRRLGGVGGVGGRGGRGG GGGILGASVSSGAKSPLETHLPWLNVALAALALLTGLLQRLKTGPVAAGVSPLMLGAL PGVVYAVIIGAKVVMAGVDPERELSALKYAYKGA MYCTH_2311951 MKFGKQIQKRQLEVPEYAASFVNYKALKKLIKKLSATPVLHSQN DAAERAAAGLIDPQAALQANKATFFFQLVSPTTAITC MYCTH_2311953 MSAEAIKTPPGPESPTTVRPLEMDDDDVQEQEALGAENATAKPD ATTATTTTTTTTSPAPANAPAAAANDVPPPKPPRPLTEEQRNQQTLKEAFPSVDNAVI KAVLRASGGRIEAAFNALLEMTDPEAAERDQPPPPPPRPVADPVGPTSTGLSQLEADE LYARQLAEHYDNVGAYEARTANRAHQPRSRQQPGLGPYDDPSDREHSFLDDDLPLIKE SLRKGFLETQSKVNTWFNNFKKKLDETFDDDDDDEHHAHGSSSFLGRPTRGQMRRSAD YDRYDADPEVLSDDFAGMKFRSDGSKSNPSRSSYWEELPLSDTWRTAPVHPPRPASGS NPNLFKPPPPSKSPKPGERKVSFRDTVEDIDAYNTSPRVPPKDATATAGTGGAAKSSK WQPLSPVDPNPIVENDPFSLGDSDDERELRDKTAGSKEIKMEDTERLKQATADAMADS LVEDNKSKAEGSSGAAK MYCTH_2311956 MGNSSSRITAQDQAILDLKTQRDKLHQYQRRITHLTDRETQAAR QLLARGDKPRALLALRRKKYQESLLAKTDAQLEQLEKLTASVEFALIQKDVLFGLQQG TNVLKEIQAEMGGIENVEKLMGETAEAIAYQQEVSEIIGGRISVQEEEEVEDELAALE AEVAKKEAAVQRLPSVPDTQLPVQKVAEEERHPRPAAKKAQAERQAMLA MYCTH_117428 MGREKRHAPGPGAGDHAKERHKRDRRGNLIDWTAPLPPGLVARP EKPRISSKHKVWYELVPNTDKKEKKLEFKLANGLSKRLSSHLNRVGYHFRQTIVEQAL ANLGEDGLESVKTAPDLPEPIPERQEDINKQADAAIRDLFPRIPNPDRQAIIEHAFNK SKQVGKDPPVGLAADITLSRRVQLAVLAHIRHNHTRYDQLLKETSYVNARKAVESLCL DILVKWRGDEETGRDQLDEILREVIVISDSESDESDEDEDEDEDESSDTWSEDESPVN GVARDDRPARETLPPAELSPASLTINKTRSEGFRASHAPQKIKKPTREDKRAAKWAHR GFQRYQAARDQAWNQALERQRREIYGTVPSNGPVEDPAGHVPQLWRNGEPTHSSYRPY GSPLPAVGVSREPENRVSESQRAAPMATYNMPQPVHPWEHQRSNRFGPIVGSRAASYE APVVEPVSYHGHDLKDCLVQSIEPAETQAPNPPPPIPVSYRPPVSSPFHDGHTPINRA VHYPPQDAGGVREAHNVHPDFAEGFITLSHRSEPGRMRAAPEPPAEPFILLNPQNGSA IGASTVSTTPRGPSSPRAQGWRDNGAGRDEPHPGSGTRPVWIGHDGALLRSEFRPIVI PDDPLPSRRPRMEPAYPDTNNRRPSPMMWAHPRHISHGWTNGREGRYVDAKHDERVEA LQNDFGEFVRVSNKFPRQYEPRPAPVEEARYYGQSATQRQGAPPQPDDAARYDARQFR GHGQRLERVVERIEGPPSHDEHISFVARQPQGCPRQERVVGIEYVHSYAR MYCTH_2311958 MADFDLGAAFIPALHKPAELLPIAKHREALLYLVETSPVTIVVG QTGSGKSTQIPQFLERAGWCADGKIIGITQPRRVAATTVALRVAEEFGCEVGKEVGYS IRFEDVTSGSTRIKFLTDGLLIREALVDPLLSRYSVIMVDEAHERSISSDILLGLLKK IRKKRPDLRIIISSATLQAEDFRNFFSEPKEQKEGPDGKQNDDIASIISLEGRTYPID ILYLETPTENYLEKAISTVFEIHTNEPKGDILVFLTGREEIDQAVQAVAERSAQLRAG SQSILPLPLYAGLPADQQMYVFEEAPENFRKVIFSTNVSEASVTIDGIVYVVDSGFVK LRAYNPRTGIESLTVTPVSKASAAQRAGRAGRTKPGKCFRLYTEETYQSLPDSTVPEI QRSNLAPFILQLKALGIDNVLRFDFLTPPPAELMIRALELLYSLGALDDYAKLTRPLG LRMAELAVEPMMAKTLLSAPSFGCLSEILTIAAMTSLGGNIWIQHEGEKKKTESARWK FAAEEGDHLTLLNVYQAFVTKGRKESRFCHENLLNFKLMERAVSIRAQLKRYLERFGI NVDESLAPHSTSAPASNKAEQIRRCLTAGYFAHAARMQPDGTFRNVSGTTVLHAHPSS IMFNRKADWVIFHEVVETGNKTFIRDISRIEKAWLLEYAPEFYKSS MYCTH_2311961 MEGRYVESAYAMGPRIPVRRRAAISTTVSTLGFRVPSRILVARA DSDECKNPNGCTKPIGASTTTIAIVLGIFIPFVVAISVLFYLHRRNVRKQRQEDLHDP HKSLDFGLEGGGPAKESRKNLISREKEGGQRFNRQQMSMDMNLSSPYLLPPDAHNSRE SLNSLAKSLQQNEDPYKPVAQYAGSDVGSIRSFRRGNEAPSVYSRSRQNSIPMSPLQP PEPSHTRPEQPLPESAGSPAGAPTNGPLPPIGTAVSDSPDGQNDKSAIGTAIQEPPAA VPKSLTVPQPSRSQPSPPDSGVAVEYGDAGRNPFEKPVAQEVETPTEPSAVGLGLSSS PPQPQRASIRSSTSSYKSRAASPPPQKEQNAPMSAPVIEEPRPVGDYDFDFAEMPEMP QDRRSPSPSPANHNSSQLDVDEPRGRNMQRTSHLFEQQNTASQGGLGVPQQDNRRLSV GFRPLPPDDIMESEDPEYRANRIRSFYKEYFDDTKAEDRPPVPPMPPMGQNGHQHQHH QSNASYYEDYDANYIQDAPYFDPATNSFVMPYAQPVSRRAMTPPPSGQRFPGPRGPPR GSHGSQASARFPPNMRGPPRPGSSVSNQLGGPSRPGSAASGPYGRVRAGSAMSGSRSG SRAPKKPVPPPADLPTLPTPSKLADDSFALLNAADFAPPETFAERARGRSQSPSGERR PYRMPVPAHSPLASSYDELPALPSPHLLRKSGTFTALDFAPPRKFADPETASDAGSIR SNRSGLSALHVNAIRNGAGRVSRLPGDQVFTQAALSKTLKPQWGMRD MYCTH_2130796 MLSLTSTSNEGEFIAEFPPILGYETVGSRGRPLEAVQALLLHYY CPHGEALLCEKANSISQLGGRHRFL MYCTH_72333 MLIPKADRKAIHEYLFREGVMVAAKNYETTHEIGVRNLYVIKAL QSLNSRGYVKTQFSWQYYYYTLTPEGLDYLREWLHLPAEIVPATHIKQQRSHAPPRGM LGEDRGERRFGGRGRGDRGDRDGGYRRRDAGEGKEGGAPSDFAPQFRGGFGRGRGGRG DAPPS MYCTH_2311964 MAPSKLNVLITSFAGSGLPPTLSLALPPTTPVSSLLDELDSRLP PSATTSNTRLLLTTLSGRSVPLNSALPISHFLPTTAATAATAAAQDEHGYGQIQTDLL PLRLSAPLVGGKGGFGSQLRAAGGRMSKRRKGPNGAEENGSSRNLDGRRLRTVTEAKA LAEYLAIKPEMDRREREKRRKRWEEIVEMTERRQEEIKYGSRKVGLDGKWVEDKEEVG ERTREAVLEAMKRGGYRDNLFGELAGESGSGSGSGSGSGSGSGSSADEDGDEAMDDEM DQDESGGSKVTTPPSEPEPVVDAKGKGKEKEVVNGNGNGNGNGNGNGKSHGTATARRF IGFDEDDEFMSSDDESESEEAKK MYCTH_2311966 MSDDERVTKPFKFVTGGVDARFPNVNQTKHCWQNYVDYHKCILA KGEDFAPCRQFWLAYRSLCPSGWYERWDAQREAGNFPVRLDQ MYCTH_2311968 MYATRSLRMFRPTVRMMRPIPKEEQSAHTVSQRLRRLKRIPPEL LPLGVVVAFAVTAAVYSSFRHLATDKNIRLKRQNRAADSHAQEEHH MYCTH_2140924 MTDTTRWKATVYVGGLAPAVTVTTLQEAFLPFGEIADVSLPKNE NPNTAAAEPHRGFAYVEFEDPDDAKEAIDNMDQAELFGRVIRVSAAKPPKSAGQGLGA KTAVWEQEGWLAEHAVSEEDRLAAEQAQNARLDDPMQGLEGLDVAGPKPE MYCTH_2311970 MVRLREIPRTAAFAWSPGPNPILVTGTRSGAVDADFSDETKLEL WDLNLDDPGQGLELQPVASISTESRFYDIAWGAPSDEHPRGVVAGAMEDGSLQLWDAA KLLAGEEALMSRDTKHTGPIKALQFNPVRPQVLATAGVKGELFIWDVNDTSTAFRLGT AAAHDIDCVAWNRRVSNILATGSAGGFVTVWDLKTKKASLTLNNNRKPVSAIAWDPNN STNLLTATSDDNTPVILLWNLRNSQAPEKTLQGHDQGILSLSWCQQDPGLLLSCGKDN RTLVWNPQTGERYGEFPEATNWTFLTRFDPGNPNLVATASFDGKITIQTLQNTNPSAV PTAQASMDDDDFFSKAPTQLQGASFSLPRAPVWFERPVGVSFGYGGKLVTFRKNDTPA SQPRSSKIQISSFSVDSDIGSATEKFEEAYKNGDIAAICDSHVADAKTEEEKADWQVL KTLSESDGRTKIIEYLGFAKDEEPPSAAAADSEATETKEEPKETGLAPPQTNGEDGKK KHKRVTSMWADVDDGDDFLSDLTAAKGAKTDNPFQLLGEGGSAEDQITKAVILGNFEK AVDLCLKEDRVADAFILANCGGKELVDKVQKSYLAQKKGSPSYLRLINSVIGKNLWDV VYNADLSNWKETMVTLCTFADPSEFPDLCEALGDRILEVGPRKDASFCYLVGSKLEKV VDIWIAELEEAEQAGLQEASNDSTFSIHARSLQHFIEKVTIFRHVTKFTDTEKDLSEG WKLASLYDKYTQYADIVAGHGQLSVAQRYLDLLPTNYPAAEVARNRVKLATQKSASQP AQRQGAAAPGARVSSRGPTPVGYRQPASIPPAAASNPNPYAPPAPIQPASATSSNPYA PPTATSQYAPSGASPYVPAAGFAPSQPVGAGYGPSGASVPPPPRNTGPPPKINKDVGN WNDVPMVTKPPVRRTTPSVAPITSPFPGQTSPAGPYQRSAPTPPPPPPKGSAPPRNVT SPPPPPPPSGPPRSGSVTSSNASNPYAPPPPAATGLASPMAPPPAPRTASPYNPPPAG PPPSNRYAPAPAPSAQPYNQGPGSTPLAPPPANLYAPPPSFQAAPPPPPSSHPSSQQP SSVPPPPQGSRPPVGPPPRAGPPPAAGGPPPAAQAPASQSPTSPKASAPSQTNRHPPG DRSHIPPNAQRMVELLSQDMQRVASKAPAAFAPQVKDTQKRLNLLFDHLNNEELVRPD TIEQLTTIAEAITGKNYELASKLQTEILRDKMEECGQWMVGLKRLISMSKATP MYCTH_2311973 MRPASSSTGRGSFRGTAAPAPCAAVSPCTPPLRDAMNVLAEREP SLFARWDAGLVPPYPQLYRNRVRMRELVGEVLDGGGGRRARGGSTDPAAAGTARKVRF EGAESVVTLLVVDYQNEWRAKLGRAQRTQARTLVVNREAPVLPPRRKPGAAKSPFRGT EWGRLVRM MYCTH_2311974 MTETLTPDEEAAAARAAEQARLRKARREAKIKAGAENRLNKITG LGGGFQRATSPAPATTTPSTASSNGAATAASTAATATDAARPQHADPEEVDISEHFYQ PRTTPRVAPANKIATTTGSGTISDAQLRQTMFGLDPPGPASPTPSMPGMPGVPPAMED DPMMRLMMQMLGGGTTTTTTNNNNPFGGGAPFPAQQQQQQQQQQQQPTASPDRYASLW RLLHALIALALGLYIALYTPFTGTKLSRDRAAAAAAGSAEERARLLRSQLGQPDTADD TTTAAAAAAAGGDLNLTRTFFWAFATAEALLLGTRYLLFGRGQSPLDSTAGGGAGGIL GLVASFLPPGPRSKVELAMRYVQVLGTVRHDLLVCVFVLGVAAWLRG MYCTH_2311976 MDPAAAAWPLDDQVPESPGRIFERLRQIAGYTWDDAQPPVHSTY DNWHVFGTRFVSPSSTPPQSSANALTSSAPYHAAGPPVVSHLSSCGRTFPADTRSHAS LSETESISDRSTGSLPPPTLSTAATDAPVIEQRVVARVSYHVLREERAFYISKSLVSN VDPKAERMVRPLDVMRLPPAPGDRGPIVVAIYEDLGPNSLFGLLDFGPAFYFARREGD RWEPCPGDAPALGPPISLQNFLDFAIGAAQCLEIVHHGQGIVHGEIRADAFHYNAEKN KVKLVSFGSGLRSFEHGLTSTGWSAFSKALGAKHKLQYISPEQTGRMPAEPDTRTDIY SLGVLFWTLLTQQPVFPGETPLDVVQAVLGRRIPNVTAIRMDVPEVVGRIIQKCTAKN VADRYYSAGGLRYDLVAVQKMLSDGDSAALKDWPIASRDVSSSFRLPTTVLGRDRERA ELVRIIEKFAKSHPVAPSGALARSSEGSSLGGTETNDFADASSDGGSSTGGANRHSPS APQPDNRPKSGPYPSPPLGPGSTAASASTSTVSSNTSTLSGGARFPRASDRHSFVDGS HPGDNHYSEPSRHGATVGTDTSSTSLARQLGSAKFRRHGHCEIVLIEGAGGLGKSSLV QSVLWEARRRGYCATAKFDRARKTPFGPPLKLLSSLFRQAQGERNTETAFHQSLRHHV RPVWPMLHKALGLPDFLLPPADSPLIRSQTPNKADPQMRASSPEMSAPSVLRPSSGAP VQKPRDFFRAGASTKTNRLINTFLDVLRLFTQHKFICLCLDDLQYADDESLELISQII SVRLRLMLIITYRPEDMPYEEVRKILTPLKSDDLPRGGGPNTTRITLEPLSEEVIVEY VSATLSLAKERVHPLALVIQSKTAGNPFYMREMLSAGYRKKCIWYDYIAGHWTYDLDK LFDQFQGEQDYDVLDTAFITRRLSELPPASRSILAWAALLGQTFSFDLICKLMSGKQE VDDCCPESLGEPLIAATSQEDVVSGLEAAIQAFIIIPCDRDDHFRFAHDRYIQAAAAL KECNARVMHFVIAQVLLKHYPDNLALKDNAASHICESVDIIKKRVSHREAFRKLLFER AHEAIESGARPTAAKYLSNAVALLQADPWNEDAEDVSYDETLQIYLQAAECYLFMGHQ SAAKVLLQTIFDRAKTAIDKAPAWVLQSRIFSQSGDSHQALASLKQCLAALDVSIDDC PTMETCDAQFERLSRKIQATDREEVVSPPAESDPMLASVGAVLAETTSAGWWTGSAAF YQLTLLMVETHFRRGAYPQSGLAFLYMSVIALSRHDDVKLATELGSICLELLDKSRDP SILSRGYMIYANFVGHVQSPIGQSASQIEGMLDYSMVAGDRTATILSFALAAQLKFYA GENCADLEAFCQYVCEDIPGWHLDTRGGTLLIAVRQVCRALQGKTRTADPLDVMSDER HDAVTYKKWLLANTNNGGRSLLVYETLEIVALFLYGHYGHAIELGKAFLERAELLWSA RNTRLAMLFYGLALAGAVIRQQGDPLSPGDEGLKDVVDEAVRKVEELSRRIKDWQVVD NVNYLAWSKLLDASVSEMLGNHGTAIRLYEEALDHASEHNFMFEEALGNYLMANIFIR IAARRSARSALRDAVSLFRQLGATGIADRIESDHSILLQRAMRNPRTVDQGVQTDFSG DAVTVQYRNVDDNSTEEEAQQASQAAVAALKGERMSAWRGSMQAEAGAGLPALDMIDL HAILVSSQVISSVLRIDELLKTMCDVILQTCSGSATLAAIVVQDEGSSDWCVAASGDP EKGAEAHIPGIPLSVTDLVAENVVLYSTRFRESIFLQDLLSDERFGNVSDQWLRRNPG SKAVIAIPICHGSKPLLGVLYLEGAPGSFTDRNLSVLQLLVNQIGISFSNALAMKAVE KVSAENISMVELQKRALAKAIEAETKAKNAEAEAIRNVKLAEDATKAKSIFLANVSHE LRTPLNGVIGNSELLRDSNLNKEQLEMADSIRVSADLLLTVINDILDFSRMEADKMKL YIIAFNPEEMVREVVRAVSYSNREKTSKKNVKIIQDINLPPMLIYGDPIRLHQVLGNL IGNSLKFTEDGSITIGARIDKETEDNATLTFWVKDTGIGISPQQLENLFQPFSQADVS TARKYGGSGLGLSICKSLIETMMQGKIRLESQENVGTTAWFTVTFDKARPEVVAGDEK LASRSLPEPVRPYPARQQSAASNRELTASSTNNAASATGAPASAISDLSKIPKNELRI CIAEDNAINARIALQYMQRLGYPNVDTYENGLKAVEGLRDKARQGKPYHIILMDVQMP VLDGYEATKLLRHDELESVRKVLVIAMTASAIQGDREKCLAAGMDDYLAKPVRSEVLK KKLDAYLLGGGGGGAGAGAAGGGGGGGATGQVTNGSLQTEEPNGSSQAQAAQQQDERQ KGEEKAPAVAPQTSTDAPALAPASAPASEQQQQQQQ MYCTH_2084736 MADINVDVLVIGAGPTGLGAAKRLNHINGPSWLILDANEKAGGL ASTDVTPEGFLYDVGGHVIFSHYKYFDDCLDEALPKEDDWYHHQRISYVRYKGLWVPY PFQNNISMLPKEDQVKCIDGLIDAAMAAQVSTTKPKDFDEWILRVCGEGVADVFMRPY NYKVWAVPTTKMQCEWLGERVAAPNLKLVTKNVILNKVAGGWGPNATFRFPARDGTGG IWIAVSNTLPEEKKRYGEKGEVTKVDAQKKIVTLKDGTTIGYGKLINTMPVNQLVEKM GDQELINLAKGLYYSSTHVIGVGIRGERPERIGDKCWLYFPEDNCPFYRATIFSNYSP YNQPQADVKLPTLYYADGSKPKSSEPKEGPYWSIMLEVSQSTMKPVDEENLLKDCIQG LINTDMLKPEDEIVSTYHRKFNQGYPTPTLEREGVLKQLLPKLQDLDIWSRGRFGSWR YEVGNQDHSFMLGVEAVDNIVTGAVELTLNYPDFVNTRQNNERRLAQNFYSAGSSSNL PSRPAPKA MYCTH_2311983 MGVEEKTRASGEQSREQNEPVLPTVNPQVEKPQPPKPALHPAFY VTVWIALSSSVILFNKWILSTLGFAYPVLLTTYHLGFASIMTQLLARYTTLLDGRKTV KMTGRVYLRAIVPIGFFFSLSLICGNLTYLYLSVAFIQMLKATTPVAVLLSSWALGVS QPNLKVFLNVSAIVVGVIIASIGEIKFVWIGFIYQIAGIIFEALRLTMVQRLLSSAEF KMDPLVSLYYFAPVCAAMNFVVALFWEIPKVTMSEIYSVGLFTFFLNGLCAFMLNVSV VFLIGKTSSLVLTLCGVLKDVLLVVASMIIWGTEVTVTQFFGYSIALCGMIYYKLGYE AIKGYAGEAGRQWADFGNRRPVLRRISTILLVLLVVFAVLNELAPSVDPSVYLDEAKS KMGLSKL MYCTH_2316558 MKFLKTSRVCLVTRGRYAGKKVVIIQPVDNGSKTHPYGHALVAG IERYPSKITRRMSKSRQEKRSKVKPFIKVINYNHLMPTRYTLELEGLKSVITADTFKE PSQREEAKKTVKKVLEERYTSGKNRWFFTPLRF MYCTH_2130809 MAPPVYIISRVADPIFAVFIGVSAAALRINREEKEKGRTTQETL QAVRRRLGLEKRSQ MYCTH_2311992 MAYVELSRRDINVLGKIKDPESDPSLMVQVDPTLPKDPHVSNPE EYQKVSQEERDIIMSIQKLEMRQAEQQTSGTADVDIAGEYRECVSRLGQLIQNYPQYA SARNNRAQALRRLYGDSMLVADAPRNPQALLQELGDSERLEAAKTALSDLDHAISLLT PKVAYSRLSPQVARTLSNAHTQRAAIYLMTSKLVGSKSVSVDAARPEGGWSKLDFEER ASRDFAMGGRYGNEIAKALAVSTNPTAKLCGQMVREAMRKEYGPGLAP MYCTH_85068 MSPSAISDNHSSSGASDYAVQSPSPNFSGYDHVTWWVGNAKQAA GFYNTFFGFKTIAYRGLETGSRYFASYVVTNNDVRFVFTSPIRSAKYLPEDEPISPED RRLLQEMHEHQEKHGDAVKDVAFEVDNVDGVYEKAVAEGAVSVQPPLTTGDKEHGYVR TAVIRTYGDTTHTLIARQNFRGAFLPGFRAVKGGAGAAPDALPEVPLARIDHCVGNQD WNQMVSACAFYEQCLSFHRFWSVDDSQICTEFSALSSIVMASPNNVVKMPINEPAPGK KKSQIEEYVLFNSGPGVQHIALLTPDIISAVSALRARGVQFIDVPKTYYDTMRVRLKT ERRDWELKEDLDTIERLNILIDYDERGYLLQLFTKPLMDRPTVFIEIIQRNDFDGFGA GNFKSLFEAIEREQAERGNL MYCTH_2311995 MADSDGEYVEDLSDDDLDHRADSAGKGSSYGTRSKGGRANGKSG DGRKGARSKAAWEDIKRSWENVVETEDGSITIEALIEAEKRRRLMRDTTPFQRGIIRH LMLVLDMSFAMAEKDLLPNRYLLTLNYAVDFVREYFEQNPISQLGIVGMRDGIAVRIS DMGGNPAEHIEKLRTWAEQQEPQGNPSLQNALEMCRGALFHTPSHGTREVLIIYGALL SSDPGDIHDTIAKLLNDRIRVSVVGLAAQVAICEQLCTRTNGGDPSSYAVALHEQHFR ELFLAATTPPVTQSTPPPPGAGDGTNNNNNSQQQSQQSQQASLLIMGFPSRALASKDH VSLCACHNRPTREGYACTRCRTKVCRLPAECPVCGLTLVLSTHLARSYHHLFPLRGWV AVSWAEAARAKSGVCFACLAPFPPVPPTATAGGGGGAGAGGAGAGAGGTSLDDKADGK KKKTSKSAAGPGSGEAKTPAAAVVAAAGVSESGRYACPVCGNHFCIDCDVFAHEMIHN CPGCQSDTRGAAAAAAAATGAQRENGESGGGSGSGGGGGGGGGGGDDGETRVNGQSQS QGQGQGQAQAQGGEGGEAMVVDS MYCTH_2311998 MSCGPVSNAEGSHPSSGPGSGPRPTRSETVAFKDQIEPATAGEP TAPADYQQQQQQQQDLLLDGATPAGSRSGAPSPVARNDKARPWGTRETESEPRDAQRQ LNEYCENPARQMENKESVPTPRGEQPAPLGSKRVSPIQEENSPSSQPSSSSPASDPLH TSASTTSTGSGHTVRAGSATPGPMASTPSYPFPRMAPSTFLPPSVHRPFTTLSPTVPP DGHRPPPDALGGQDALASNPSTPASNLTFLPPGSSRAVPDHPDFPSPNLYELALMLTA EPGLDAWWQTVVQIMVDVYKAERVTLAVPADSTDIENVPWGQKATYNAHREDDLSMAY LARGSSLMPSSTDETPDRSSQTTAEPSAKQAPARPVLQSRHSFTSYEQNRQAAEDERA VPPPRRPQVLSRSKSHHPTTTHGGPDSGVHGDRLTGASLTSLNREALEQHDAREEQQE IPSWEAPFSAPRRGHGKVLNVLQALDYEADPLIDHNGILRILDRGRVVALTRSYPYLN QESGGRKSVDARASPGARSPEGPRKRARKPRSDSLSKLSSILGNATFSPTSSRSPRPQ ALERKFTLGPRMEEDETPKPPTPRYEEYEQAPPSPWSQSPAPSPAVRADPAENPFFTD AVVDEESFNPSASPADYSAMVPPEAIGVDNSWTILHVPLTHVLLSRPNPSFKLDPSVM EQKISARKSGDPPPTANLSPERTVKEKHAPIAILSILSPIIPYPSNLRQSLEYLAPHL ATSFSLCRHYTNLETELNGLQKRRPQTAGFGALRPDGRPLADPTALGSFSHMPAEDPA SRDSMAGSMTSPSDYSGPARSAAGSPGGTPNWDPSSLSGVMDGKRGHTSSPAPVHVDS YFSSVTKGPTHAGQRPRTNSRDTPLSEKRSSLRLSGGKAQLHEPATASPTAAESRRSN ESARHEPVEEYPWVGAQQRQLGAAREKTDEGPGEKESSMAPPPLAENQKATAGTPGSA PHRHTQLHSYGADFASIFQSLPPSSTISLGVPHPAPSRSGSMTQTDMTPPSDKLKGLI LDSLPAHVFVALPQTGEIVWVNSRFLTYRGQTSAELAADPWGSIHPEDREGYLREWSH CVRTGGQFAKKVRIRRFDGAYRWFYARAVASKDKRGVIMQFLGSYMDIHDQHMAEVKA ARQEEVLASEAKHRLLANLIPQIIFTATEDEGITFANEQWLSYTGQVSDDVLGLGFMD YVHPDDLAKCRIPPTEQSNHDSKRGGTSSHDRSFSADYTAPRQSEKHSSLPDGDGHGS GQQNNSRNGSNDATTNHLSSANLSELAKKGVIKVSTDSSGRISYTTEIRLRSKSGEYR WHLIRCVEIDNVDFGNGASSYFGSATDINDLKLLEAKLKEAMDSKGRFLSNMSHEIRT PLIGISGMVSFLQDTTLNEEQRDYTNTIQTSANSLLMIINDILDLSKVDAGMMKLNYE WFHTRSLIEDVNELVSTMAVAKRLELNYVVEEDVPAWVKGDKVRIRQVLLNVIGNAIK FTPKGEVFSKCRVFVPEDARLRPDEIMLEFSIIDTGRGFTQEEAELIFKPFSQIDGSS TRQHGGSGLGLVISRQLVELHGGKMVGTAVPGEGSTFTFTARFGLPTEDDHPESWVPQ PTPGSMQATPFPAALPPASIDVRERPSDSPPTAIPHFVSPAAASSGSSELSTTSSHSR ATDRSSVTSVNTGIVHFSQAARASGQDLSQMKLEMPSDRGSPESAPTTTPEDLTTGKQ QHQQQNQQQQHHHHHLSPPMFSILIICPQNHSREATTKHIEMTLPKSVPHQITAVASV EEAHSFFGGEDPVRFTHIVVNLPTPEEVISLMDKISQSASTTLGNTTILILSDTVQRQ AVSKLVAGTKYEAMLSESNVTYIYKPVKPSRFAVIFDPAKERDLSIDHNRSTAQRLVE DQRQSYLEMERRMGGKGYKVLLVEDNPVNQKVLQKYLKRVGVAVELAADGVECTEMVF SKGWGYYALILCDLHMPRKDGYQACREVRAWEAERGHGSLPIIALSANVMSGVQEKCV NAGFNDYVTKPVDFIDLSRALSKFF MYCTH_56561 MRAWLAAKTEEDKRRQEEEKTRQEGYRLEQRRIEHEMLRTSLQG GIPPPLVPVVFAGMGGGALSPAALDWAQQFMASHAPLQHPPALMPPGAMSSEHPRRDS QTHPYGQYPAPGGGGVPSTPGSAQGVPGGYTSGYPGSPTRPRGLSMPGAMAGRPLAGA SANLSNLNTNIPSVSGHQPGLTQSQPQPQPQQQQQQQEAQRSPSIYFHHWQPPTTQAG GGRGGAEQPATPSGESPRKRKAPGPQQPAPPPSTTTHRHRSPPFLQATRLSNPPPGRR RGHSRQRSDLGLYRSGAGRGRGEMSDPGRESSPMHTSGTDSARDGGNPPSSAPQQTQV LQPASAPAQAPSGPRTGAHSVSSLLSEDPQSPRATARNFASGTHWEARQQQQQQQQQQ QQQQQ MYCTH_2312007 MALPKFGSMRHRRPSGAAARLTHFRDDTGLVALRYEETKLAEPP IPLPPPRNPRRLARASSTIASSTTASATPITVNPPLVPPPPEEHPLFRAQRQSHQAHQ TCQACQAQAQAQVEERKRDSGAPTWSTVTLREEHAEGPAHSKILHDAADTPSVYSYDD EEDPAIVHQRQQQQQHHHHHHHHQLAPPPLTVRIPPRPGNPESAGGPPSSCSPTPPKS PSSPSPPSSPSSKSPSLRRTSLGERFGKAIGIGSDGSRRIRKRVLGADRAAAQAKPPE MEPERGSARPPKSPKSPKSPTCNKLSPQVPGPGPASADRAPYAAPPLTSAATPSANAK SFAPIITTPIPDDSLWEDLPAVSFSKRGSIMFCGKNKNNRFKSMMTMSAPDATAPAPA PAPAPAPAPSGADESATAARSSTEHQQLPPTADDHDAAAPRQETCTGKAEETASRHVS ADAPSVPSIRVSSMDVERESQKVRSLYESGDDLNWEDGGRVSYPERLGLEPTTEVPSE EEENAVSLRSSDPLSASAPRSQSARLNPPTSTTTTPAPANSLSPPRDSQVRREYERAG GTEDWADLDGVEVDRYGFITPKRPVSSAGTAVPRSSPFSSRRKPVLTKRPSTSYATSL PGGFIRPPSRKVSARSLNTYASELSTASRRSTRSSIRSATNRLPHNRERRWMDEAGEM LSLQAGLTSISDDEKMGKTVEAQKRKEAERSEKWRKMATVVRPSVTTVTTTTTSSSST PGGGGGASASFDHSTPALSQPAPKYPQGQGMSYTFDTTSPKLIDRVWKGIPDCWRSAA WWAFLATSAASHDHNHSSKTPRETEDYLIAEFRRLQAVASPDDVQIDMDVPRTVNGHI MFRRRYRGGQRLLFRVLHAISLYFPHTGYVQGMASLAATLLCYFDEERAFIMLVRLWR YRGLERLYQPGFAGLVSALAEFEGKWLTGAGKDVAEKLNELDINATAYGTRWYLTLFN LSIPFPAQLRVWDVFMLLGDCPPEPAAVATTTPPPDAAPATATDGAPPPPRSSRTADE TPPKGLDILHATAAALIHALRDVLLDSDFENAMKALTAWIPVRDEDLLMKVARAEWRR HQQGRGGAKLWDWDWDRGVKGKEGKEGKDNKEGKERTEHAKTEKGKDKEKKEKGKGLG MGMGMGMGKLWERGSDKEKAKDKDKQHQQQHHHQQRQQQQQQQQPQSQKSGKEKDGTA MYCTH_2113540 MDLGDSEGGFDAGTPGQPPKPRTLPDDLPRSLDDRRRVPTELMP EAEMYDGWQGQSQFLTSPIVAKPMTFSDLTLEDNYGDDLTKGGPESESRLMEMLAAQA AHAASAAFEDEDAIAADDKRSEDEKKDILQKTFIMAASNGNLESVTKILEGKARKYVD IDAPDDEGTPALIYASCFGHESVVQALIDAGVDVNKQDRNQWSALMWAMTNRHKGIAK LLLDNGASTEAKTSTGRTAFDFVAPDSDMSFYLSGYGIGTAGTTDDFYNPGFDQDKLE EELAENEMRRRMMMESARDLEVDLGNVGIDDQPESLDDFEEEQQEFDWSRCLHDQMFV FQENELERILDIVITNMTPQRSPSQKPVPANMIFLAARYAHYHSSPELLAKLLITALN KINAVVEKHQWDMTILAFWMSNATLLLHYLKKDAGLVEATTEFQAQLAELINEIFILI VRDAERRLDKVLDVAMLDHETIPGFEDITFQNEWKIFKRRKEVKEEPLEKRLRPPSPK RRAKPSPRNVTSLLSSTLFVLDLYDVHSVITAQVISQLIYWLGAELFNRIMSNRKYLA RTKAMQIRMNVSTLEDWARQNNRQPEHYEKGEMKSSGETTVEAARRHLAPVIQLLQWL QCFSSLDADDLEALVATLQQLKALSPQQLIHAATHYRPEVGEKGLPKSAMKYLVSIQK EAALRRERNRAAAAAAASPPGTPGRKEGGKTSNGAAAGGTSRPDTAGGGEGDAGGRAR DDEEDEAPPNLLLDPALMLPFTLPSSTDMLVSYGAGFGGLNRERERKYIPTIPPEFLE KLESSGTGSSSGRGALYGQANWDDEDD MYCTH_2113541 MEDIEYQLEAVPATRVPADEIDNTFRSSCIDLVSQSLGGKVLGF SDEWFADAANLINPAAPIRQVGKYVHTGAWYDGWETRRHNPEPFDWVVIRLGVASGTV EGVEVDTAFFSGNHAPAISVEGCFSQNDDEVLSWKGTRGGWETILGIQECGPSQRFGW KLDNPTKKQYTHVRLNMYPDGGIARFRLFGHAVPVFPEDKEAIFDLAAAQNGGVAVSC NDQHFGTAANLILPGRGKDMGDGWETARSRTKGHFDWAIIRLGAPGYIQSFLVDTAHF RGNYPQQVRLEAIEWNGDSEPPADAEGWVNMAEPIKCGPDAEHPAESLVKDRPFTHAK LIMIPDGGVKRLRVFGKRAV MYCTH_2312016 MASAESTLPTHGDAPAPTESEPLLGRPGDATQRPDAPMIYNLFL GTGKLAQAGALLLLAIIWASVFTNPTLPLVSPHPLLQSLGVYTVIQAILILQPTNTPH TKLLGQRAHFRMHILSLSLFVAGTTIIEVNKATNHLAHFHSPHAFLGVITLSLLLLQY LFGLTIWAVPALYGGEDPAKRLWKYHRWVGYAALLLLLATVAAAVETDYTKSVLRIRL WAVLVAEALIVAGVFPRVHLRKLGLRSPRASSSSSS MYCTH_2070984 MGSTKFGNFDEFCRDTTLPVCNSGPWGGCQLKGISLSNDRHLGN LGSILLAGVAIVVSVWLLYRSERKRAAVGRREMQAFLLGYILISICEIFSVGDFPLNS DVRMAFSAIHIGAIAATTWILFLNGIVGYQLMDDGTPLSVGLFFVSSLVFLIGVGYIA LDTGFSFTGYWDSSYSLPNRNIALYVLYLLIPLLWIVLFFVLESVLVLKVLGEIRPMM FLVSAAVAFAAGQVFNFVVSPYICDGTSGAIDGALFQTLFTLVSVVLVWFFWSSITED DWPMDPVHPYP MYCTH_109194 MFSVPPITTKIPVPNPARLPSNIPLRTLPGHNQRQHPGHDREQT SRLLGSSHGYGHPVDEPGYSESEDDSSWTDTGDIAEQLADDDPLRQRATQTLGDDAFA GSLKKRHHGGRSSKRVRYSEPLSPGSSRSASQHIDAISKEAIQVPTVGNRKAPRAERL LAAIMTGGTSSIHGLTGKPLLYFTSIFVSLGVFLFGYDQGVMSGIIVGEYFRKYFHDP TPVQIATMVAILEIGALISSLMVGRIGDIIGRRKTIFYGSCVFFVGGALQSFAVNMPM MLVGRVLAGLGVGMLSTIVPVYQSEISPPHNRGHLACIEFTGNITGYATSVWVDYFCM FIEGDLSWRLPLLMQCIMGGLLGLGSLIIVESPRWLLDNDHDEEGIVVIANLYGGGDI HNPRARDEFRDIKMNVLLQRQEGERTYGEMFKRYSRRVFIAMSAQALAQLNGINVISY YAPYLFERAGWIGHAAVLMAGINGITYVLSTIPPWYIVDRWGRRPILLSGAIAMCLSL SSIAYVQYLDIPLTPKLVVILVVIYNAAFGYSWGPVPWLYPPEILPLKIRSKGASLST ATNWAFNWLVGEMTPILQELIAWRLYLVHAFFCALSFVVVYFLYPETCGVRLEDMDAL FGDSTRALGTPVSSSTPAMRAESDALLRPESPVPPLDAAGGARSRHAASAVIPGLNIE PPVDVEADGKSRTAPTRASAEGRSGGLGGWFSRIIGRGSNGEGSSGGYAPVQQRED MYCTH_2312024 MAAAAPATTELLHAYRRLLRAGLRAVQFSQPSRSTLTAKLREGF RDPRGTFDAERVRRTVWFLNAAAQQRGLEHRILKNLCRVHWERAREASRTAWRMRVRH DEAEKNRKEAKKKDPDVIKGTEYEHFERTIAMLNDTMGLCLR MYCTH_2312027 MPPSSSSQQHDGQQQQKQQQQQQQEPQKRDRNLREQRHTNRNLD EDISPDPRLATAAAAAAALSRESSAPSSAPASSGVDAISSYQRLVKRYRVEVAASASS VLSTLTTFPLDSVKTRMQTYRYAGFLDCVKHTYSTEKFRGFFRGVTAPMASITLVRTV SFSIYQRSKHAYCDWVKRNLGVDVMAHVSSPGSLPSFWSVATFGAAGATAGSCITVIA CPFELTKLSAQVSVLLADKKNYPKPGSHAIAASYQNKGTLKTLGNIIKHRGFGGLYTG FRLHLMRDTLGTATYFMTYESSKQLLTTFGGDGTHSNPLVVVVAGGLCGIVSWALIYP VDSAKSIYQRNSLMYSKGEKVEPVKIRFFQRNMYRGLGVSMGRSCAVNAVFFSSFEFL KKRIKAWDQ MYCTH_2121454 MTLARRGEGSILPTLNHPHIIRTFGSEGWGTSHVEIVLNLMDGN LASLAEGMARPRRVLAKHVLCQMLQALDYLSVRGYVHRDVKPDNIFFVLAPGYGGRDK DRGGDDWDSDWDRGTGASPFPFHFRLGDFGLCEHESRIDAGTVRGTTAFMAPELFPRR PRGKC MYCTH_2312029 MRLGLDRDVYQIVLKLEEEGEDGRKPRLTVGSVYESIKRSNSSL ARQKKRPLEDSIERVLAIRREQTKADEQEDSDDLLEAQEQERIKAAKAERDQNLLNRQ IAKSWGFASQKAAGTGATTTPAETPATTPGAQPPPQPEATPAVAGMDTPTAAGDRQPN GEPRPKKRKSAPKEVDRSPPTGVSILDIAGVDDTLDRLLDEVWFPLCAGEACEKMGYR YDNGVLLHGPSGCGKTTLAHAVAGSIGVAFIPVSAPSIVGGTSGESEKNIRDVFDEAI RLAPCLVFFDEIDSIAGRRESANKGMESRIVAEIMNGMDRIKQQTPLGKNVVVLAATN RPDFLDPAIRRRFSAEIDMGMPSEKAREHILRSLTRDLSLADDVDFKELAKLTPGYVG SDLQYVVKAAVSGSCRSKLEDLLQKARAQSAPDYLGSASERQRDWILLQEHRAAPWEE SRITMAQFRAAVSRVQPASKREGFSTIPDTTWSHVGALEDVRKKLEMSIIGPIKNPDL FSAVGIKPAAGILLWGPPGCGKTLVAKAVANESKANFISIKGPELLNKYVGESERAVR QLFARAKSSAPCILFFDEMDALVPKRDDSLSDASARVVNTLLTELDGVGDRSGIYVIG ATNRPDIIDEAIRRPGRLGTSIYVGLPSPDDRVDILRTLYRNTVAEARKETTAKAKAA ATAAGDVDMPDASSPAPGGATAPIGTDEAVLEKVARDVRCTGFSGADLGNLMQAAAQA CLERAYLMRKRGPAGAGAGAAGTAAALEPVITMEDWEKALTEVKPSVKDADKYMLEGQ MYCTH_2316564 MGFSAGDAKKGANLFKTRCEQCHTVDANGGNKIGPALHGLFGRK TGSVEGYAYTDANKQKGITWNEETLFAYLENPKKYIPGTKMAFGGLKKEKDRNDLITY LKEATA MYCTH_2312033 MTPAPAAPIIPRFLLPQTGLIWRRAAPAASAPASVSTRSRVFLR LARNSSSSSSSSNAGTPSGQRVLAKPERFNPPSHGSRLPKKSIPRHYGGDLSFEEASA QASRDYPGLPPPPNTFAHWFLHSRWIHVVITVGTLTGLAIYTFALSFQRTSPFADMLP PASEYLYHPIGSVRVLIEVLRLHEAHKAERINEKRRRNVEDVAKRAAYRKAHGLPDEM GLFNQPMAKIRTAEDGGGGSDAAQKEVEAAAPVEEEAPVEASGHGDAGEGGVDGPKGS EVKRLTEEERKAVVEKTKGKWLGIF MYCTH_2312035 MASSQHVLLSPAELAYLHSSLSLTPPIRPDGRSPTQFRPLVAET GILPGTNGSARICFADGTEAIVGVKAEVERTAQRPGDETVFLEEGGASGDGDDDDGGE EGEGGEEEDGRKGTGVDRRKGEASWVEMTVEIPGLRDDDSGTTFLSALLSEALLADGE FVKKLWINRRFHWKLYLDIILISPPLSYPLPLLSLTTHLALLSTRLPRLKSEGDEDPF FDDDWAAAPYLFPRSTSSTTAAAKQTVIATRPPITLLVMAVGNNIIFDPSKEELAVAD VALAVSVTSGGGGGGGGGGGGGRQGRNNDDAAGADMDVDGIAAGGRNLRLLSIRTIDP PSRLTPPGVPNAANAAYGAAAPGTQKMLEARTAETEAVEGVWKPPRGGAKRMVLGALV QKVLERGGVVDEVLDALEGVELG MYCTH_2312036 MGFKKFKADVSTAGQKAASGEIPGVRSITTGDSDGEVVIKYHHE SLLADVRIQALAQDVGEYPDGNMFMLWTDDPDPPTPVAAAVKAAREYLLGMSVYEMVT ELVRRLEKEIGRALDGEVGSPGDVTEEDEVDDYDVAFDTDYPSDGDEFGLPSLPAQRS HHNLNSSVNQKDLLRRIRRDLREVREAGYRVGFLDGFGKDSTTGTIALSIRLDKLALS NEAMEAWDVKPTEYVVLLVRFDRKYYPLEQVFNQAAARTEVTFRIGKCSKHKPSIEQA SRAFAKPNRSVSTEGSEPVKSTERDSDETRFEKLLVSNSLDSFMCESFISLLKIREAH GCSWEKANDVLLSRIGLVAEESWGYNPHSDADSNNTAPEPEPVRVPGADHLLQGGNDS ERSFPLIAVQFAMRYFVRCTEYCLRCHRRLEKGFEALRPYVCSDPLCLFQYMAVGLGP SIEHEILTEPYVVDLLVSLCYAAVQPASIHLANNPSNTRPKFPIRSFPVGLRLRVPDL TNPTAVTFKARLEAGDKHIVFEDEAAKSLGGRLAPGAWLAFPNPTTRLIRNARVKEVY SSPKTAVIEVIGESVVSWSFSQYSPQYLPNQPGPSPATGSMTPSAATDDADMVDIYLY DTDFDSLDDFSKAAAMRHLLDTLPPILEIEEWLASHPGHSFRAMGRISPAAASLLQWI VSSNRSCILQVDRSRAIARRAKGPIERGKPAPGAKKKNMGPPETAGVAGRGRNREHER ILGMDGWVQFRFAQGAPDKELRFNRALQEVAARKHIGHNPTIFAWHGSNLANWHSILR TGLDFQNINSGRAFGHGVYFSRWKETSVGYASKGQSWPNSDLGITNCLSLNEIINAPD EFVSSNPHYVVSQLDWQQCRYLFVQTAAGRTQELAQDQAANGGTTTSPAFYPQARGGE AFGQGYQPLKIPLCAIPLRTIGPAPATPPDSAKRTMHLLEESEDEDAEDASRLMSDDE DDDFYIPPSKKPASPASSVGAASIQNISHVSRGGPPSPASSSVGRGLTEFEPGALDLS TLPRLQPPSFATDGATRALSRELRDLQALQAKTPLHELGWYVDFDNVSNLYQWIIELH SFDVSLPLAQDMKLAQATSVVLELRFGSDFPFSPPFVRVVRPRFLPFMEGGGGHVTAG GAMCMELLTESGWSPANSMESVLLQVRMALCNLEPRPARLDPRLLRKTGARPGYKGDY SIGEAIEAFTRAAKSHGWTVPKGLKVTALGG MYCTH_2312038 MAWDSKAARRRKSSAASGTRSIAIHARQQSRRVAQPRSQHVADP FLQDFLSPSFDAASYLNATLPPLQTSSRTSGSQGSAREAVPLAELSIQTQELLSQLNA HTTRLTNTLTELTNDILRSGSRLAYEVELLRGETLSLAEALSEGLEHDISRFVPGGVR DIMAEPKAGVDSHGTSAHQRRKSILMAAPGATAGATRAAAEGTAAPAPAPAPAEGPAG QAETSSAPSEPPYITQLRTLTLVRSRLESVIKTFGDAMEFVFPPSELSVSSSFLSVSA PDADGGGRHSTEEKGQQVLRKLRDEISGLLSGNDGGDPIKGIEDAARRVEELKELTTV WKGTAEEKGRTKFVESLAKMVEDRHRELLREVEAQQQQQQQQQQQSSGRRDGAGRPQA EASGKAAGGVEVADGPGQTETKGYGAYGLISQLQRLRNGL MYCTH_117406 MAASGNNRSMESRTGRSNQRYNSKGERLVAGVVPLTEDKAYVML IQSTRRKGWVLPKGGWETDEECHEAAAREAWEEAGIFVQIDYDLGDIHETSPRKKASP SSSSSSSKSSGKDSTKDGKDSPKEKPRSLYRFYEVTVTSEEADWPEREKRERKWFTFA EAKDMLKDRPELQTALERSTMKR MYCTH_2312042 MQVPGEVAAQHSFLFPIGYDNSSECGYCRRSRGGQFAKRYSYYA IATSLTPAFYQKIVDRCWRRSGTLLYRPNPRHSCCPHYTLRLDSTQFKPTKDQRQAVN RFNRHIIGDTYVREAARLHPRSRAEVRRRHNVFDLVERIHEPEAQALKTPPTPDHDFT VTLEPDTFTEEKYEVFENYQRVVHREPPEKISRNGFKRFLCNSPLSRGVMVGPDGRER QLGSFHQCYRIDGKLVAVGVLDLLPDCVSAVYFLYHESIHWLNPGKLGALREIALARE AGYRWWYPGYYVHNCAKMRYKIEYKPQYVLDPEDLRWYPLDKEALARFDKAHYVCFSR DMREHEQVSHDDGIHDGTAEPPLPEAAGTCASGAGPPEEADEDDVDEYEEGEGEEGTF LLSSSMPGIPSLAEMEQVNMDGLVLRSDFSDNLIVASHLVSWDTEKISDFGTLKSRIA ELVAAVGPDLIGEICIDFRRRSS MYCTH_2084777 MASLLDIAFRKVIGVKGATTGIKTIKSLASPSLLEIAPAVWDMQ YLQAIYVHSQLIPSIAAGIGRLRNAHSATLRRKLDNFARPITPAKDDQELADPRSDVT DEVRQRLWLLCQTRIRAGLVRRPFATRQAERKTVEQPRVQLGLAGTRWENTPTHETDV GYLPTTEHHDLAESFDLSGGFSEVLSEPAVHEIGVLEETSDSYTLEDGVPSSDNLTLS SEGDYFYTDGQGNVYPIAREAVAEDGQSEWLSTQLTDPYDFSQTQGEELEEHWLGNPG QTFIMYQGNGTTDPVGHINTNAAAENFFPPPYPEDAPLGS MYCTH_57543 MGQFDWFGKIGATPEAVAVLNDQPHLFTILIIVLIALILQCVLH WYIHYATMKPEQKKKKEKKGEKKGPEQKR MYCTH_2312046 MSIARPAARRLVSGCLAAAAASPSPGAACAAPATTTAPCHHGRL VLSNQFHSSPQLSARRRPRFASVRAADMGIMDDKQIDQFTKEKFPKYTPEEIEHLKTK YSPEQIAALEAGEAAIDPRDLTVQGRLRSDPYTLPYIDDFAETQPIVDKRARHKEAPD PHARFMNMDEFTEDLIRWADKFQTGDVTGTLKTLVDFVPDKYKKTPEGQWPGDVREEA HRAFQRYLEAEVSRQAAKDPDDTSGPTDADVLQYILERSAMTDGNRTTDTSLAHGLPG KVPGVAGLYKNAIDPEDKGLDDTGVYQDLKRRTGLSVAEILNLQSKRIVQRFVANQTR LGKVRKASVMFVVGNGNGWLGIGEAKSTEPTVAALKARLMAIRNMQPIRRYENRTIYG SVTSKVSGTVVKMEARPPGFGLRVPHRIFEMCRAAGIHDLAARIPRSRNPMNTVKAAY WALTHQPDPDEIAMGRGKKLVDVRKVYYGGDVY MYCTH_2312047 MDPPPRPQSALLASPPELSPLEQEVLEEYERLAENMKNLAAILD DLAGAPASDILDGLRALERQTSLVFTLLKASVYSIVLQQEIDWGSGDQQGQGQGQGHG HHGQEEQQGGEEDEGEEG MYCTH_2145572 MSCCPLPHVNTHAAASPFPSSSPRPNDTNTNTISSGRFSLPFRQ PPTVLEIIRGDPDEGDGPDPRTASVTPSSSSSSSSDHHYNQHQAVVVVRGLPRDVVEA ALAEGLVPAGWADRFVRKCLARRRPWRPVVIRGRGGGDGAGGNDGGGAASGSGGRNGS ETRGQRLGGYVWEYPEVVELEGGGIAFGGGVRDGDVDGALPPPVMPLLPRGGAGEERE GRETGNKRLGVVFCRAGLWFGKERSVLFLDRDPRDGQGQGRRRARRSAGVAAGAASAA DADADAAGEAKTETGAEGEVKEDLEDMVTEMLRSNPHAVDMLPTVVAEAAYHQWLVLF DFLEPHPRVLDEATMACYLRMLRSLELNDEADDGDTVWRRLLVRLLGRIQLLSSASPV ASLSTPRAPTVSGTTGEMANISKRRITSQPSTTGEFCLVPCRHGPIAQRALDENRKAL DRLGYLAGILSPLPIVSGILSMGNGFGPYGDKFFVFWAVAVPLAGLVLLLIHADTIRK AEVWVEIGSDRVVPISPGKTRDGEDRPSPGLLRHHAVPFVVDHEAEERNLDMPTMTDG SAILAGEPEDGDRPRRRRWSTGWEQVPAVILERPADGSKPRAWKREQLSWYGAIMAIL LFRRLRDGSHVPEGVAACEKAGRCRTKSC MYCTH_2312051 MIITTPTSRMPSITKNTNGDIHFHQKVKGWFTRNSANRDRAVAD TVAPQNAGTGNGAPRTDSGKSTFRQTKFWTVGRLRSATTASEGNPLETETMSPTAHAN PYFAHQGQPGLRHHNEGSVPPSPPDTPTVSVNPPDDDHGVPPEKATSATAAAELARKL RRVASAPNAQGLFAKGAKSGGGVVGGSSSSNGSGSGGDDGGNGQRAVSDEPTKEPFEE GEGSGTAAADGGLAVPSETDGIGSLPPPSQSALAFRRTYSSNSIKVRDVEVGPQSFDK IKLIGKGDVGKVYLVREKKSSRLYAMKVLSKKEMIKRNKIKRALAEQEILATSNHPFI VTLYHSFQSEDYLYLCMEYCSGGEFFRALQTRPGKCISEDDARFYAAEVTAALEYLHL MGFIYRDLKPENILLHQSGHIMLSDFDLSKQSDPGGKPTMILGKNGTSSSSLPTIDTK SCIANFRTNSFVGTEEYIAPEVIKGSGHTSAVDWWTLGILIYEMLYGTTPFKGKNRNA TFANILREDIPFPDHAGAPQISNLCKSLIRKLLIKDENRRLGARAGASDIKSHPFFRT TQWALIRHMKPPIIPHQGRGIDTVNFRNVKESESVDISGSRPMKPGVPPDGSTPGAET PDPFEEFNSVTLHHEGDDWRT MYCTH_2121442 MPYDPDWDLEEGDGYKADTLQAEADHGSLSSGHASNGMGAVGEG DKQGQETSGHEDGPDNVKSEDGMEVELPDRDRHHFGNAQHDRHTTPRSRLPFPGAEAI ARLPHVEVVLHSSPRRSSFTALEDEMMERIDGEMNLVTSDIVPDQRRHQERPPAKAQA VPPKTQTSAVPAPILTPQPKKRGRPVGWRLGYGSYTAMRSGLPPGSSTPRPKPQRPTS EQKARRRPGRKPAPTARETYLKLNPRFISFRCEWENCPAELQNLDTLLKHLLVVHGRP SSSASSSESGQPLPCKWSNCTAMPLPSRDSFAAHVETAHLIPYLWHAGDGPRNSTPTP PTTSTTTAAEPLPSYLFNERGEQVTPSIAGQQVENEEERKKRQARVNRVLLQRDQNAP EEPDYTPRELEMITELMSAKRARQEMFREYARRVYSSAEMAGWKPY MYCTH_2312054 MASTKAFYAVVAGVGAGTGRSVALRFAKAYPVVLIARNPSNYTD IVAEIKQQGGEARGISADTSDPAAVASAFETIKAEFEKGKGLKLAAAIYNVGAGFAIK PFLEVQASDLDASLNSNARGLFNFAQATLPSLLDAVPTSPHPPTLLITGATASVRGSA RFATFAAGKFAVRALGQSLAREFGPQGVHVAHVIVDGVIDIPRTRGYTVNDGKEDGKI SPDAIAESYWHLHTQHRSSFTQELDLRPYVEKF MYCTH_2312055 MPPKKNEKGGKKDAKKIVEDKTFGMKNKKGAVARRQIAQLEASS LAQQKRKEAEKKAREQEKKAAEAAKRETEALLNKPAQVQKVPFGVDPKTVVCIFYKKG NCEKGKKCKFAHDLEVERKVEKKNVYQDTRAEEEEKKRQETSADWDEEKLRSVVLSKK GNQRTTTDKVCKYFIQAIEDGKYGWFWVCPNGGDKCMYKHALPPGFVLKTKEQRAAEK ALLEKSPLKTLTIEEFLESERHKLTGKLTPVTPETFAKWKKERLDKKAAEEQLQKAKE ATGRALFESGKWRMDEDEESEDENDGTWNLEKLRQETEALRMKKEEERLAALHGIPTA NSEPSGVPEDSAEPEAVPNT MYCTH_2316572 MITDTELYRLAIVLGSAAMVLIILYHFFEVNSGEEQSEALKEKA SRKGAPQNTQGSTKTR MYCTH_2316574 MSGKLDQSLDEILSSQRRNQQGRRRSARRSAGAAKPAATAPAGG IQKNSKPARGANKPTPAKGVGLTGESKIVVSNLPKDVSEGQIKEYFQQSVGPVKKVEI SYGPGGVSRGIAHVTFHHADGASKAFSNLNGLLIDGKPVKVEIVVASADLIPQPPSLA QRMTQPKPQPKSAATVKKNTTKDGKGGAAGGKGGKKGGRGRASRPKKTAEELDLEMAD YFENRNNENNANGAAPAAAADGAAAGGDAAMEEDVL MYCTH_2072383 MLKSALPAALALLLTAANGHPSRTPAAAAAGGWAPLANGTFRNP ILYEDFPDNDVSVGPDGAFYLSASNFHFSPGAPILRSYDLVDWEFVGHSIPRLDFGAG YDLPPTGERAYRAGTWASTLRYRESTGLWYWIGCTNFWRTWVFTAPAPEGPWTRAGDF GDGVCFYDNGLLVDDDDTMYVVYTHDGGKRVNVTQLSADGLSAVRTETVLVPEQAGVD ALEGNRMYKIDGRYYILNDHPGTTAYVWKSDSPWGPYEGKALADNVASPLPGGGAPHQ GSLVPTPSGAWYFMSFTWAYPSGRLPVLAPIDNYTLGGNGAAGLILRAATVAPDDDLY SARNTLTHRAHGPFPSATLVLDVADMADGDRAGLAAFRDRSAYIGIHCSSSSDEKKKK TYEVVARFNMTLDEWGSGETLDLGEVVERVELASGVTRVWLRASMDARPDGERTARFG YSVDGGETFAGLGPAYQLYAGWPFFVGYRFAVFNYATKALGGSVTVLSLETDSGEGER DAEQA MYCTH_2312065 MADTKVTPEVLWAQRSSNTDAEKNFIYLTIKVPDVPKENIKLEL EPTKLSFEGHSDTLKKTYHVDLEFYAEIDPAESKTHHTARDVELKLRKKELNESYWPR LLKDTKKAHFLKTDFDKWVDEDEQNEAADDDFANFGGMG MYCTH_2316579 METLRNMFSKPDPQAQYRKCNALLRANMRKLDRDIAALKQTEIK TKNLIIAANKRAQRGDPSRQRQAQREVRDFARELIRTRKASDRLVTGRAQLASVQMQV SEAFALRRIEGSIRAGVGIMKDVNALVRLPQFAQAMQELSVELVKAGIIEEMVGDVLP EDADALAADLEEDEEAEGEIDKVLGEILKDRLVTAGTLPSVPPPQKQVPAQQQPLQQQ QQQQKQQVEEEEDEEDTEAMMDQMRNRLEALRS MYCTH_2312073 MSDKLIAGAGRERKTPTKVSPWDPAENPPSVQPSELLKRNLRGF STLRTPTLESGDPFK MYCTH_2316580 MFGSYSSSSSPGSSLDSFTSSSPYSSSMSAPMDIAPRSSSSSRR GPDPECAYPSWPRRSSLGEGDSEERASSYITDDELYMDVFDDDACSVSSSQGSASPAR SPAVVPAAPVMTEAEFLQLQREQLAYQREMRRILLAEKELRRRQAEADAQRRRPGPKR RTSSSRKATKSKLSAMTTIVEAE MYCTH_2130846 MAGGEMLTQRRKKGDEERALCAHPPPQPPLDHKRRHSCRGADIP PADRCNLTVKGRATPPPLGPETGPAARGEVDEVDKVNK MYCTH_2312075 MAQLHGYAMAPPKPSNVGRYFEPCAATASMFLYAQGTSVVCCHY DTLAIERRFARHSEEVQLLAVDNHSDIGAGRLVVSYDAGQTAIVWDLLTGDEVARFAS YENLTCAAWMRNGNVAFGNTQGNVILFEPTTSEHLSARTIDQIPITAISPAADCRTFA IGYQNGSLLIATLQPRFTILHNLSTSRGPSPIVTLAWHASSSRSKSDMLAVQTNDGDL RVWSVSKTHNAEDGAKVVRILKRTENYIPGPKWMGWSKNGRIIQYSEGETISWDVRTK HVTYDTIPTFETVRGLAVYGPGATLFTLGANNTVQQFDLNAPAMLVQNVQHPANLLPP SPPISLEAEDKSQPSNASESESQVEIAFRPSDISDQEEDGRSPLARYLQNESDTEMYR PATPTSNISRSTVSISSSTSQMAQRRYPASTVSRTMTENTYISTGSSLRSGTQPRERR EGESLSTTSSMSMGSSHYHSARKPSRLRNEVPRSPEDTRAVDLFKFTKSRLADVPYKA PSSDHGRLTNDDLRRQMLSTIFGWHKEVDELVRDEMSRHPLGSTSRILLAKWLGDMST DIMAMGSENMTSSDWMILALSGIGGQASQYKLGRAYVQRLLESGDVHTAATIMVGMGD HHDAIEIYVSHKKYMEALILTCLFFPSAWERQEQIVKKWGEWAVQHGQQQLAIRCFAC TGRQSTEPWTSPSAQQLTFPSITQTVPELASPPLSPPVVNHGPQRSIAKNSSLKLITS FDGQVKNKFTRNVDDGRTPIAGGATPILDSALSPGGADPTTAILRGNRSQFNTPATAR PAHGFGRERLPSIGEAPDSGGGGGRDLLTSSVTRKPEDPHANALRSMEEAQDGAIRRL EQTRPNTASPRLVKDKAKGHPPPSPSPAAVAALMEGGRSKRNGSRNRIPEGVDLSLPS FKDSLLEDLTSPEPSANSSIRYHWPSRRKGPGSVASSVSMASAASSAARSQRGHHREH GRSLDEYIHSLDAAQTRQKTRGGSRDGRHARDASLSRNDGRDKSLDRGRATTRNYTPK GGKRSPKSPVPMSPEDLINLVTPKEDQHQHQDQNQDQHQHQHQARQGGSGNGPMYDSN DELEPSSQPSTVRKVGYANRDGSRVRGSSRRTASRNGRGSSRARSPGKRHLPPSLELR GRSASRGPPSNRSPSSPQPMSASNLQHHYASDDAPDDDDDYDDDDDEEDYRRALEDQE RFRQRNNRSNSSARRGEAIASPVSARSSAWSTRESGRERSDIRRTTSHAGSESSSRRN KAGVPMQTPAPAAVQLVTDSSGDLKVIKDERQLKKEAAARELEARRKSLARRPSAPPI LHPSEITPGPGTNNNGSTNNSTRPTLMELPSTTYVPPKKEDLPSRSASVDANAGRSMF ANRNGPAAIGLPATPRAMRLVMESEPSRKKVPVPPMPTSFSQAPPAAPAPSQSESQSE SQSRRSPGTAEKERKDEDIPLLLPSTVYTPPPPANSRVAAQIGRSMSAPPADLVQPQG HSRRPSAMNPTPTGRRPSHDANAAAPSRRPSHDANIPPPPPPPPPAPPVLKELQHLAQ PPPPPPAPLPHVSGPKPVVYGGSSGLIEIVMDDDQPEQQQQQQQQQQQQQQQQQQQQQ QQQQQQHQQPPPPPPPPIPAAIPVSESTVPIISPPNPRQNHNRGRSSVDNSIGARITR ATERMRSASRSRASSSAAVKSPPPPPEMMTMGTAPPYESVQIQPPHVSMMNYRAQNQV VYQQVQAQVAQQEGRNEYRTGLHQSEMI MYCTH_2113569 MLTTAPRLYIRRPSPTTAEFTVTTCPPLTVPLRLLLLAIHLLRV VLVSASVLALYSRFFFTEGPPTITTTTTTNTANTTVTGHQQLGHATSHHGTNNGNGNG SGDGNEAGPLALLLGGDLVACAAAVLTAARQSRAGALLAEATAPLADWALAAACAGTA CAAACRFRLHKTESVLVLRGLGIQTCASGGGGGGGFGGGFGGFLSRWWWGGWGTQTRF IPTEKIRDVLINEAFRGFEVRYYLCVVVEDEEDVVVLFPGTLPRRKIVEAVWRGIRGC LMEGEGEEEGGGGGGGGGGEEKG MYCTH_58299 MTDNRNYQDWSRDQLLERQPQQQQQQQQQQQQQQQQQQPLPPSL PASTPAASSSTAPPAGPNPYPPKEKKKKAKKASKIDPSKYSTRLVALKLAYLGKRYGG FEYQAGVTVPTVEEELWKALVKSCLIWPDDPARVDFGPWEYSKCGRTDRGGEGEGEGE GEGEGEGDGGKPAWDPIADEINYPRVLNRLLPPDIRVLAWAPTLPAGFSARFSCWERQ YRYFFTQPAFTPLPASLDPEGKRGGKEGWLDIGAMRQAAKLFEGVHDFRNFCKVDGAK QITNFTRRVFEADIVEVKDTGSALPYLDLPDFKADGSADGTAYPKVYYFHVRGSAFLW HQIRHMVAVLFLIGQGLEKPSLVTDLLDVEKYPRKPNYTMADEVPLVLWDCIFPREDE LKSKKAALDVHNPDAQVDTNLQDAIDWVWLGEDRPSNLHGPNGLVDNLWEGWRERKMD ELLANQLLDSVARKANLGRRLAESGLPEKPSSSQRVFEGGNTARATGTYVPVLKKQLL ATPEEVNDKWAQSKGFANAEELAKTKNWRSVIKANKTGGSSSAVDSSMDE MYCTH_72245 MEEHTVTILLLGDEGCGKSTFLSSPFVFDIRTRKGQYRFEFYDT SSPENWRLLRPDLVIICYDISQRLSLINLQRVWIKEVRSTFPTSDTLPVVVLGLKRDL RSEDDPNGIIYPQEGYRVAQEMRADKYIECSAVSGELMIEAFDDICSTAIKTTTAAGG QSEGSCTVM MYCTH_2070655 MKVLESQNALLSNYEVYQHILDQRQRNKAQNRRVPANAHQIMTE VMTYLTTKPSPLEKQQETRAYSAAAINRLFEKLREANLPSELTKSELLSILNQRPSST ALLSTAIEDMEERFSDEDQNRIVDIIAEVLGRDEPEEAADEAADEEGGGDEMDADVAP TVENGC MYCTH_2312083 MVRNIVVLGGNSHPALVESVCNILALPPCNRILTKFSSGESRCE IQDSVRGKDVYIIQTGFGGNGSTLNDHFMDLCIMISACKTGSARRVTAVLPLFPYSRQ PDLPYKKAGAPLFKAGSESGRKDYTFESVPATPAPGVPRTAGLVSATDITNRLTKETL PNGTSSMSPVKQANGHINGEAYSGANGQSFATPTSGVIGSYTTHDYENVSHLGVFQAK PGYKQWVAQAGTLVANLLTCAGADHVITMDLHDPQVQGFFDIPVDNLYGRPLLKRYIQ MNIPNYKDAVIISPDAGGAKRATAIADSMGVEFALIHKVTSPCFPSRPLTNPSLLQER RPTKITDRQNASMMLVGNVTDRVCILIDDLLDTGNTITRAAKLLKKEGATKIYALLTH GVFSGDAINRVKASAIDKLVVTNSVPQDEHKALLGSRLDVLDISPIFSEAIRRVHHGE SISVLFNYD MYCTH_2312085 MAAKGTISRPAPPGRLPTSGSTSSGRALGSGYETPMGVEGMPWP DTPLSAPATAAVPGGSGGLAATTAGGLRRARTDLGGGAVSGGPGPASLSARLQGLATG SGAGNGPSLRGVHSPAESLNGVVTRARGTGRKVGWRDRVACFQWTWFTMTMVRPSLAF FFTFFLAFGWGDRGARRRERKKKLV MYCTH_2312086 MTPVWVFPAYPLLLSAPFGGNLISAAGRAGRPDGINTVPVALAA ITAQGTGFLISFMICAAFLYRLMTQKLPRDHQRPGVFISIGPSAFSSAGLVQLGSLSH SIFPSDFMGTEHAVHILRLLAYMAGLWLWGLSIWFFLVSVGSLWKYLRPESKGKLHFQ MTWFSFVFPNTALVAATEALGSAFDSPGLQIFGCVLAGCLVLVWILVFTKMLRCLWRR EL MYCTH_2312088 MEPINIAVIGAVGVGKSTFIQHLRRAPRPSSSNITTLRHELDGV NHLVTIVELDLEGIELDPNQPVHWPKQIGGHSVPRMDGAMILYDAVNEASMREVPPIM AALANSSLPTVLVATKCDAPDDLRHPDLAGLASAFATCAGHFRTASNAPASARECLQA MLRAALANRRDKSEGSGPRRRAASTANLDALTDFHNGRPISQHSKHSRASSDFSLLRG FPQPPHESQHRGPASRSPRPDYQSAASGSNLSSGSAAAITEDGKQQTLSSMLRTPGIR LDGGGESFLDVDESDGDQFSEGASTRRRSDDGSDRQAKVAGVTFDELVDRLLAPKMSK ADHSFADIFLCLYRKFAAPSALLTAIRARLDQLRNDRTMEYLIKVEAQMRTIEVVAKW LSLYPGDFARSATRNSLEELIQQLAREPVFLAAAQQMRMHLDQKVTVDDDTGWANSDP PDENESKEPASAAGQRVRGMTESMSSLQLDEPGAAAAAVAPSQRRASQSSDLSGIEGR GPPRGPARLQFHSVEDYEAEAATLVPAPVLPLNKFRYHQFMELDVEEVADEMTRIDWV MFSSIRIRDMVRHVSLSHEQKEKCRQLRNMNRMVAHFNHVAQWVANMILIRDKAKHRA PCLEKFMQVAQKLRQMNNYNGLAAVLAGINGTSIHRLAQTRALVSPEVQKRFAGLVLL MGTQKSHFAYRLAWENSPLPRIPFMPLHRRDLVSAEEGSKTFVGPGGDRINWKKFEVL GEVLLPIMKSQGQPYPNLQRHEACRELILDCRISTDEEDLYQRSVQVEPTTGGGAAES AKKKFAWLANR MYCTH_2312089 MNYTRGAAPGGHGLGAKSYKAPTSVPEGHFDPDELTRRLYLVLA EQKAQAERRRKARSDAATRRDGREHASGARHREARQKHAEPSADTVAEPRRTELAEDQ PLAGAVRASDVQPGAYHHVPKEAARQFTRTTTVGSMRDGNLVNRLSKHALKFRLEGGP PLATRPGAAGNSAHEPAPTPPPQQTDTQREGLLLERDSQSPRGPAPGGAPARPDRVWG QPTRRQPPAAAAAAARRRNSTGNAADPSASSSAADERAGARRSLLAAAAAPDTLVMDT LLEDVPPPFPPAEYGRVDWTQSDEPPAPPPAPLSPTHVIPADGGGDDNANRNIHHAIA TARSGPRLLLLSPLLRKADSLWTLKGRRRESKDSSGSGGTGGGGGGGGDGRLEDTREA GQSPTTPTTPTAGKGGKGFFGRFKR MYCTH_2312091 MSLGQEGNSNPSLSDMPVAEGSNPALQSTMLGASSPERTAPPAS DPAATDTTPGPEADPFPPQKRPGPKVCGVCGTQPGKYKCPRCSMPYCSVACNKQHKEN HPPDPPKPERPSAPNNAQPPDSDSADPYSILLEHRDTFQHLFKKYSSLAAELTRIQEM TLPPSDAPDPPGGNAANMGRNRQQPWIKDGGNMARNRQQPWTKDVGLRRGVEALRKAR TDPSDTGDGVREFCELVKLLLNKRTESIDKVREEVVAEETKQIERLLREEGGR MYCTH_2097429 MPSSKLLRGCTPLASRPTRALKPTTCLRPQRSTAASVRQAHTGQ GRLLSPSTSSSLRPRLTPSQPQPWRALLPKTARRTIFIQTENTPNPDALKFLPNHRIL PESVSSPFIEYLNPRSTIAPPYPSPLAAQLMNIDGVTSVFYGADFITVTKAADANWAH IRPEVFALITEAITSGQPIVNVAERREGSAASSGGAGAAGGAREGAEAAEQDSLAYDE NDSEVVGMIKELLETRVRPAIQEDGGDIEFRGFENGYVLLKLRGACRTCDSSTVTLKN GIEGMLMHYIEEVKGVHQVLDQEEEIAMQEFAKFEEKLKAQKGEVPPSTSGNGSLDSA SG MYCTH_2312095 MSSGTIKPGGSSKPAQGTIPAGPPSSQTILLDKLNRRSTPDSEA LASSDDEPENHRQDSHPPPVQPQQPVRRSSWLNDTSQQSLPRQSQRKGSFASTSMSPT SSHPATPSGETGVAGWGSHTGSAGVLGRTHSGTGSFPWGTGIWNNDRKDPPSRLTEVL PSPTSTVAPGGSSSSFFGDNSLTQTSPAQRDAPSSQIPFAIPLHPTPKTYRSQSYSVG QLEPEAIGTSMAPPSSILGNRRTMGHPGLQHRPSRPSMLSEMSNDGSLLGKVNEDDDD ASSGSMHGSQHQDAAKTIEMLTRENMLLRQQQQYQNARLRPRASTASAFGLSSSYLQE TVPEESDYAVDEHDELNDGSDAATRRGPARRMSEYGVGPYKTPYLVENRKLENVKKAF WQSSLGFGGLGDISQSRRHSFADVPTRQASISSIGDTVQAHEPAGQDLAHAHDYPAAF SDNHSYSVNNQVSPYFAGAAGLGGAQQGHPQAAYSNHLPSPYTGMPGSYSHRPASPHR GMYGMAQPRHNQPLHIVLFKCARADVFYIQEGTGLTVKPGDLVIVEADRGTDLGTVAR DNVDWQTAKELKEHYAEEQYRWLMMYSQNAAAAHEGAGAGLMAASNGLQGSAIGGMGP PSQHHHIQEPGSGELKPKLIKRLAQSHEIHALREKEGNEAKAKRVCQQKVKEHGLNME ILDAEFQMDWKKLTFYYFADTYINFNSLVTDLFKIYKTRIWMSAINPASFASPTLGLQ APSGVGPGAVVPRSSAPPRQQEHQQESQLAYSGSGQSGRGAPPSFATQFNADRAVVAG SNYPASNYPYHPYGAFANTGRAGAGPYATGVMQGLDTFGAYPQAGDFAARGRYPSPQS ATGSQHDQAVSPLGSQADLLGSFQGLSLNSR MYCTH_2121463 MPPKRTAKDCAPRGRQALSRTRSPSTIEEHLMDQNDKSKEFIQG FKEQVAGVRQRTHNALAKLKQDFTQLETISAAAQSTTPSTKDNPLFEQTQHLLRLSRA VLACHRTADRDSRTHQELLALPREMWKQDEEGMRKLLSYGKTFGEKAVEGWITPHCTV GVGDGVGEEQSDGAVDENGEEGLSEAENLARGLFEWRRRGRGLSREGEESWGVAARKQ MVALAGVVRTLPSSKG MYCTH_2312102 MGALKYLEELSKKKQSDVVRFLLRVRCWELRQLNVIHRASRPSR PDKARRLGYKAKQGYVIYRVRVRRGGRKRPAPKGATYGKPTNQGINQLKYQRSLKATA EERVGRRCANLRVLNSYWINQDSTYKYYEVILVDPQHKAIRRDPRINWIVNPVHKHRE ARGLTSTGKKSRGLNKGHRYNKTRAGRRKTWKRHNTLSLWRYR MYCTH_2312108 MPVTRSRTYLSDIGLEDSNNTTGFLFGDEDSNSAENRTTPTAQV GNTDPFPSLFRQQAYPSMTSASSAALDLALSQQTSGPEQLSNGWNSINRHHHKQNMST MGSNQHNGGAPLGSPSEASTIGSTRPANVRHSIDGIKLFPETTTSALDTPATSVVAPP NSHILASPPTLHQSYSANDVPTVKPNGTTIIGSNANNHAQQHFHNHNASIGRIPVGAM PSRHNRELSSDGSSGNGRDAATYPSITSTLQASAPPFGPSSTQPQQASSAAAPAMTAP APTMPYPFYPANPGYNAMGAPPPAGYNALPMLMQNMSVSNGNPPAMYPQQNYTGYNPL YNQPSAPRPHQDSQARVIQSRRQMDSEAMARFNNLPLEQVGGTIYSLCKDQHGCRYLQ KQLENRIPEQIHMIWLETNQHVVELMTDPFGNYLCQKLLEYCSDDERTVLIQNAAPSM VSIALNQHGTRALQKMIEHVTTQVQINLIVEALRNQVVELIQDLNGNHVIQKCLNKLS AADASFIFDAVGKHCVEVGTHRHGCCVLQRCIDHADGNQKVWLVECITEHAVTLVQDP FGNYVVQYIIDLNEATFTEPLVKQFEGRIAQLSKHKFSSNVVEKCLRCASDESRDMIV SELLAPGEIERLLRDSFGNYVIQTALDYSTPISKHRLIEAIRPLLPSVRATPYGRRIQ AKIQAYDNRTGPNNAGQVLPTDPAGGQISIRSAHNRAMPNGASVLSTGTYANGANTNH GAGMNGGHAPGPVYPSSAAIAVPPSQNQTAAQQQQQQQQQQQQQQKQQQQQQQQQQQH QQQQQQRPQQFQPQYNPVGNAENGEPQWV MYCTH_2312111 MGAIDDGFEALLEPFYNGKKLTDPISTKEDKYQLLPAFLKVKGL VKQHIDSYNFFVEHEIKDIVRANRIIRSDQEKDFWLEFTDIRVGTPTRNDYSDFKARD EVTPMECRLRDMTYAAPVVVDIVYSRDRKKIVRKDIPLCRIPVMLKSAKCCLSGATNA QMEIMNECPLDPGGYFIINGTEKVILIQEQLSKNRVIVEADEKTGGVSASVTSSTHER KSKTYVILKKDRIVLNHNVLVEPIPIVIVLKALGGLSDYEIMELVAGGDARYQDDFLI NFDEATKAGVFTQQQALEYVGSRVKMGGPKKGRSMAAPRRSPVEEGLDALANVVVAHV EIQDLDFYPKAIYIAMMVRRVLMASHDPKLVDDRDFVGNKRLELAGQLLSLLFEDMFK MFVGSLKGNMEYFFKKPNRTSAYDPVGPISSQGQYITQGLNRAIQSGNWNVKRFNMNR AGVTHVLSRLSYIAALGMMTRISSQFEKTRKVSGPRALQPSQWGMLCPSDTPEGEACG LVKNLALMTHITTNAEEEPVKNWIFSLIPGVEPIRMFTGTEMHRPGSYIIHLNGTPFA LTRHPKQFAARFKTMRRRGHISPFVGIHINEHFSAIHIATDEGRICRPYIIVKDGKPR LKKEHLRLLQLGKATFDDFLKNSVIEYLDVNEENDALIAIREEDVNQSTTHMEIEPFT ILGAVAGLIPFPHHNQSPRNTYQCAMGKQAIGAIAYNQFNRIDTLLYTLVYPQRPMVI TKTIQLIHYDKLPAGQNATVVVMSYSGYDIEDALVLNKASCDRGFGRCQVFRKYTAEL QQYPNGRKDRLGGIQKDEEGRTIAKHACLDKDGLAVVGYRVHSGETMIMKETPIDQTS TGIGSDRGSDEFRPAPINYRIPDPAYIDKVMVSNTEKNTAIIKVQTRQTRRPELGDKF SSRHGQKGVVGIIVDQEDMPFSDSGLVPDIIMNPHGFPSRMTVGKLFECLTGKASVVA GRRDYGFGDAFRSHPVEEMGRVLIEHGFSWEGKDYFTSGITGEPHEAYLFNGPIYYQR LKHMVQDKMHSRSRGPRAILTRQPTEGRSRDGGLRLGEMERDCLIAYGASQLLLERLM ISSDGTQVDICERCGLLGYKGFCQTCGSTSQVTQMTMPYAAKLLVQELISMNVGVRMQ LEDKFPHPR MYCTH_96765 MTDLLHVLPHFPAGQFARLIPVLEKHGLSTSDLLTLEAADIGKR TRLPLLDVKRLCSAVLDALHADLGVANPAPEAHLGPLQPEPDRFTGASSADFGTPASS LQYHHQHHHYHSLQSGPRPTQESPLATRSRRLPPISGNSNNNSTALADILPPSSVPLP SSSHPQSDEPEQTAPPPPPPPPTDPDPPRPSHPALLLDHQQRWFTGWGDDPSSNLALK TPALGLVWTTQIAGRIALFRRPVPLRRRRPVRDHHHHHHPHSQQHHDDSSSHRQAAEL SGSGIATGWERLMKVVFAPHVAGTGPGLDGAVRFEVWMGGLRGLGGAAAAAAAAELGT EKEGKGEEEDDDDHGDDNKDEKEQKEEEEEENEADYR MYCTH_2312112 MGRQLLYGAALLGASVQAFAFLPLPTNRYQALPLEDGWNPKPTQ PPDAHLVRRQMSRPSSYLVAPDNTCGFIEGNSSE MYCTH_2312114 MSVADHGHAGLCSLTAHSTGLLQRYCNTVAFPGGVTDYYCALTK GSVLTAQTAAKGDKSRSFSEVKPTSTSTKKTTTTTTSSTTSKKTSSSSSSSSTSTSSS TTSKSSTRSTSETSSEVLPSTTEPTITASTTDADRAGAATSSTPPEASTTQSTPVGAI VGGVLGGIALIALCIFGAIFLRQQRKKSQAMAEMPPSQPFMAEPTLPSTQPPVPPYGQ GMGSSGMTGYPPSSAAGTSPQMFATPTAAAAGATAGAVAAAAASARGASGPYHNRPPS TLTTTTTAANNTYYDPSPLTTPGGSPQMQYAHQMHPPPPLPTPAYYNHPSQDAYQGGD GAWAGGGSTNAGAAAEPPDRHSTSTPVSTYNGATPVTPASALGPMPGEPQQHAPAGGG GGGSGSDGNGVPLILQPGMGYRPYRPPGAGGSSSTRSAGGRNATASASGSESPTVRTA TVAMVVPRKVVPASSAPPSTEQSRSQGQSQGAAAPGDQQTAAVELP MYCTH_2312115 MSTQVRTFTPPRSLGETLNEAPLELSSSTPRSVPELPRLSKPAI APSLKAPHFAMSDPYRDEKRKGGVTYAHQDSLPKLPIPDLESTCEKYLAALKPLQSPR EHAETKRAVESFLKNEGPELQEKLKKYAEARTSYIEQFWYDSYLNFDNPVVLNLNPFF LLEDDPTPARNNQVTRAASLIVSALEFVRAVRKEELPPDTVKGTTLCMHQYSRLFGTA RVPTDAGCQIEQDPDSKHIIVMCHGQFYWFDVLDDNSDLIMTERDIAVNLQTIIDDAA QTPIQEAAKGALGVLSTENRKVWSGLRDVLMREPGSNNADCLNFVDTALFVVCLDYSE PTTAAALCQNMLCGTSEIEKGVQIGTCTNRWYDKLQIIVCKNGSAGINFEHTGVDGHT VLRFASDVYTDTILRFARSINGKAPTLWASTSPDPSKRDPESFGDVSTTPHKLEWDMT PELRIAVRFAETRLADLIEQNEFQCLDFNAYGKNFITAMGFSPDAFVQMAFQAAYYGL YGRVECTYEPAMTKMYLHGRTEAIRTVSEESVNFVQTFWADNPAEKKIEALRKACQRH VATTRECAKAQGCDRHLYALFCLWQRTVDGDAGSYLSGTNGYSSPIDNFSDRGTGGGG DGSVSSSPPREPAYLLDGSTATTATTNNNNAIHSTSINGNDESSHPTKPTATTSRARN DSIQSSTGRTSPSLATSNQLPAIFADPGWDKLNTTILSTSNCGNPSLRQFGFGPVSGD GFGIGYIIKDEGISICVSSRHRQTRRFVDTLESYLLEIRRILRIAANSGSAGRAGPGV WARGGPTSRAREVEGLRAGYGTKAEAGKVAGGQGGQGGGATAASSHGSASGSRARLRG RLITSGSKAGSEGGVNGSISSPTDESLALSEDDELGGYGFFDAGMLLQALKARGDNNS GESKPSERAAVQARRRGIGKKLRLVDY MYCTH_2312118 MAPIKPHWVQPSHPDIQEVIVNEAAFTTKSISKISLPPFGLFAK LEFPPCTMAPKPTYATVQMDRDKHFDLNSDLLYINHSCEPSLIFDTSSMTVIAGPKGL KPGDELTFFYPSTEWYMAQPFDCLCGAPTCRGRIAGARDMTSAQLEGMWLNRHIRDLL EERDAGLKAKQEANGTDGTDGTNGTDGTDGTGRVNGVDAAPKADPAPAPAGAQRRGPT SRELSGEMGGDTAVQV MYCTH_2312120 MCAPARVVCRPLRGSAARLSSAAAGGAPSFPQPWGSSPGIERLC CPAAPAVHISTTAQPPRTSSLPAKSLSTFREPVADPTTPPELTLFTSHPPLLSPESGN NKPPDERKVKLGKTLRILQAHLPTLLQSPPPQQILSPSITLHLFPSTHPHLPTVSGRV AYTAALWSSPIAWNRVPLVGNVRLEILSERMVDTPVYYPSGGRGGRRPEGAMPEQLIV RWRTVGGGGGKLRKWWLGFGSGSESGSKGAKEAGKTASGDEMAGGKGKHEAIDGARHG GSTAAEHSETEVKAPVGMAQPVGSSKEFTGLFIFDFDNEGRILSHTIEHVQEGGQWEK GVGAKVVGLTDWLLGGIKGGDTPCPAFARTRFRKGGP MYCTH_84992 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQW LQEIDRYATEGVNKLLVGNKSDMADKKVVEYTVAKEFADSLGIPFLETSAKNASNVEQ AFLTMARQIKERMGSSIATNNTKANVNVAPGHGVSNNQSGGCC MYCTH_2312129 MSIPQRRAGHIVALEGPPELVSTQLRLLPDSSKILVLPGLQYYL EDEVSKERKPFDARELIYRYHAAAQARRAEALEFLRPSTSTDETRLVFTHGGTMSAQV SCLSAIMEHETDGNIEEAHATFIRLASNGHARLSLARLSLYEAEESPLRNREAEAIPE PPASNEGQTQQLLSWRAYAGAGDDTIEDPIIRAMKAADALDRETEFLQPSSTPEADLT VKLVDIPPSKKRPRSTAVQASDIPRVPRPPPPSHCQTGLSEEPVPGISPDSSLGTQPS SAASQRPSLRIHIPSSPIAWTGEVAVGGDQSKVHHSHTPIPDAFDHRRSQTAELNLSP KQIPAPGGKTEEQATLPESGSDPLFLSKESGSVKRTSAQITESNEEPFEALLPLLEDL VVFFTPETPNGLHGFVFRRLSEGYGAPRKSLSLARVSRYDFWQSESPLATKDEREIER GRSEEEDPTGVATWPRKDLVHGLPTPNNSPSSFHDTSAAVPRLDTRLYSISVGQEAAV PIQNFLRSLLGSQFPLRDRRFSTADGVEISMEAGLWRPLECDAQVGSPSGERRLDLIL AVGSESGVSKSRLSEVVGQIEKLGSKTSGLSRGGRLDIRYV MYCTH_2312133 MQSADPEHFFETDAAQEKRQRRAAKAGNKYGDPILLKSKILAAV PDPRSPGSAVLVAESAGAVRLVNLNDPENLKTAYRGPTAPVCCVAVGGPGSGTLFAGS WDKNIWSWDLNSKAPGRKYVGHTDFVKALACATIGGKDLLISGGADKKIMVWDVATGA RLHTLQDSAVNMLSIQDLVVDHASSTADEISLISASSDPHIRRWRIRLDKWEQVVEAS PDAPGTDRRTILEHETTVYKLVLDHDGDDVDLWTSSGDGTAKCLSRLRNFACEDTFHH GDHVRAVAVTEQWVVTAGRDEDIKFWDRSSGNLYCSLVGHYDEVTDLVVLRDPSGSSQ RICSVSIDGTVRTWPLDKAAVDAAVKEQEKPAEEGGKEVAGEGLLSPEEEAELAALME DDDE MYCTH_2312134 MASVIASRPRPRPAHTQGTTRCAYTPDGSRLVTVGSNNTIRLYK TGFDGEPTNIDDCQEQNLAVASSNDFFVAGAEDGTVSYYSLATNMFDKFLLRTSLPVR DVALSPDNKWCAVASDELTVKLVHIEDNTKLLTLKEHGKPTKHLSYDLKGSMLALSCT DGIIYVYSLTAEHPELIRKVDGVIGRTETESDASCRAIWHPDGRAFAVPTPTREIQVI SKNDWEKQRVFSKGHDGDITALAWSPNGALLATAGKDRRLLLWQTKDQTVIARYEYPN VIDIAWHPSKNLASFTTSDGEVFICDDFVPEQFASLLRLPKQPAPFIHDPLEEFGAHG RRSEPNGAGGLPSRPRRGSVDSLDDLLDDDDLADEDDGFVVDDDGAGYTLNAARKRAA DDDGLYGQRPSKRGNFVQPQLHPPFQPGSTPWRGNRKYLCLNLIGFVWTVDQDSHNTV TVEFYDHEFHRDFHFTDTFLYDKACLNEKGTLFSCPPKDDAPAVVFYRPHETWTQRND WRIELPKGEAVVAMSLSDTFITVTTTANYVRIYTLFGIPYRVYRPKSTPIVSCASWRD YVLTMGNGPVGADGMCRLLYTIENIKRDEICQNEDTVALPEGATVKSVFFSDNGDPCI YDSTDTLLTLLHWREPSRAYWVPLLDTKLLPRLASGRKHENYFPVAVADNKFHCIILK GGDQYPYFPRPLLSEFDFSIPLTSAPSSKKKSKKQSRASGSRNKPDDEDEEMLSSPSA EESDADADGEEEGQEDAAESEALALAQGYLLKSLRSAQLADQLAHTRSTASQRALLAR LELDIDKTLIQMLAAECRAGGEERGMRALEVVRLMRDRSGKMIEAAIKVAERYGRELL AGKIREWGERRIAGGGGGGADGDGGEDEDEDNDDGYGF MYCTH_2312137 MATIRHIEQVQEGRKKKERKTYNTEDSLVVTDPTTDSALTSLTK GERTGSRIFW MYCTH_2312138 MAAATESAPATQTAQGSDSSMGVRTIPIIAPTIQMSTPSPGEPM DITTPTGSSAPASATKSPDRDVKPNGASNDRLQPPPSQNEQPPQENNMPAPSSTTTST STPAVHQPKVQTAFIHKLWSMLEDQKIQHLISWTANSDSFVIQPSHEFSKVLAQYFKH TNISSFVRQLNMYGFHKGTRRRT MYCTH_2312142 MWEFKHGNGNFKRGDMMGLREIRRRASRHALVHREYNNQRPQAS QPGTPSEPAASSHDATNPRLTNIEHTLYDLSARLQRHEEHAQLMQVKHQAIMDTVGRL LQFNQELSRAVLALNPNPDNPIHRDVSALQSEVQRHMEYFRSLEEPHDQLFASTRPYF SNVENAPVSPRQLPQDDPRRANVAAPQARQPNYYRPSVPSNLSIGTRRPYGSIGGNSV QSSPSSIRVQPPPPPPPGPHPLANVELPPSSLARRHTSADIRAHGWQPNPPPFTSGGP SSSQWPSSPSRLAPGDDQRHRDSFSHYSLQPPSQPHSRPATPPLPHLSNGGPPPAVDT FGSWSWNSANRSDNRNLTVKDHSAPPTRRGSMAHILNPTDTAEHPDEDGLDPRGDDDR KRKRLQ MYCTH_96755 MAAEGESARRPPSAADFNQIFNRIALARAKHQRVLSLAGHRPIT PPVTPPASSSSTTTTTTTKATAAKAEGGFSSLAASSSSTSSSTRSQTPTTTTTTTTTP DPRLADDLDPALPDNVGIGYIPPPSSSNNPLAAAQEDRERALLRRKVLGRNAARQLAE REQQQQQQQSGDRGRKRGAAAAVDGSESEEDVGKGALVKGKRRPVVAAAAAPVAGAGG GGARTGDESAQGGEEESRRRRKKKKRIRVEGPDGPGTGAGAGSDSHSRAPGGTGAEDD EDEKERGRGAVTSQTDAGAAGEGGDVLMGDVGAGEEGQEQQVADVPEQEGGTEEKKKK KKKKNKNKKKKKRERELSKEANGDAESEASGGED MYCTH_2130877 MLVIALNFGCPSVLERLSRSEPSLIAKVDPEDVPNCLALNRHAN LIVELNHERAQLTHTRMRAWKERCARLAASTSPYSNCPDADIACEEYDALVQVGPSII AHVMLEYSKDQSGPWYKLMYQLVREKAMTSAAREDRWAEANSYSLWRDWFEHRKHHEA CENGFTPSYLTNAVQLR MYCTH_2312147 MYRLGRSRALASAFAAPKVSPSPRLQSIAQQQRRALSIHEYRSA DLLRQYGIDIPKGAVATTAAEAEAVAKSIGTDDMVIKAQVLAGGRGKGSFDNGLKGGV RVIYSPTEAKMFAEQMIGHKLITKQTGAAGRLCNAVYICERKFARREFYLAVLMDRAS QGPVIVSSSQGGMDIETVAKENPDAITTTYIDINVGVTDEIARGIATKLGFSEQCIED AKDTIKNLYRIFLEKDATQIEINPLSETSDHKVMCMDAKFGFDDNAEFRQKEVFSWRD TTQEDPEEVRAAEAGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGQPANFLDVGGG ATPAAIKEAFELITSDPKVTAIFVNIFGGIVRCDAIAHGLINTVKSLDLKIPIIARLQ GTNMEQAHQLINDSGMKIFSIDDLQSAAERAVQLSKVVKMARDIDVGVEFTLGI MYCTH_2136046 MDSVMCPCTACFAATRGYPAVVGPQQHANRHYYLRPNLDFFRGK KGRMFGRLRRVRTIESTTSTLVGLTAHAQPMAVLDREGVDEKKSSDTLCGTEIFEGCD KGEEEEEEDEDGADYWAGGDEEGQGEEDDEEEYEQDGSGLPRAARHVWLSDEERLRVA FRRARASAEKVDLDRSPFFPRTVAEYVGLKADMLAARAARLRAKVRERERMLRARTTA RWKRLFVVGRSGEIEEVMVPVYFGDREEREGAVPARARPRSTAEDGKPASHQSRARTG QANAGCPRIPKNLSPGPTSTPGIFAYSAAPDVVAPRGRDFAIWEAIHLQKVDEENPGR SGDAAYPAIKPKGTDSFSPGSLLPAGPIGAATGHGRTSSATILREVAAHAASRRGNPE GSSVQIQADDGLKTQSSPFEDDVYDSDSSFTPSDYRPGLRHATSVMSRAGMESSDEVG SSSGSSNGSTPTGYVTYLTALVETEVDMRSEIEPRSKTDEHHLGDIGSGQDEIREGPD GSSSPCFALNNTLAHKLQVHKTPSGKVGSRQAEEMEGEPGHLDNGSVQTHNMRDHVKG TKNNNNNNTKTREQHALLGDDDTYDTDDLVDTHRHEAAGLPVPAAHSRRTELFPPLPP PPPMVPQLATLALSARDGLTAVFARRGNPFSPAPPECDWPGVAELTAEGDGRVKRWDP NCYRRRRRRHHDHHDHHDHHDLLSSSTTTTTTNNNTIPRQSDPGLGRFLPVPRLRDVV DPRLGPGAAATAAAALAAAAAAAARDTQTHPEHQAHQAYQAAAVLPWEVRAMAGERRW DLHGERRIWEMWGWPFDDDDDEEEEEEEEEKNGGWDHLRLVGAASDDAADDDDDGGGD REEEVVVNAGFAAGVAMAERLIQDDNDDTNDAAEQEDS MYCTH_2312153 MSASEETVKQEQPPETREMGETGKVDETHKTQDAEETPAADKGA GGEQRPPSQDGSERDTDSFEDAVETGSLRSLTKRQQPVNPLPLPAEEQTGAGHEEGEE ASQPAAANGDVDSQEATAERKIPRPLSQLSTGSLDNVNLDDDDATATTAESRQGTSQQ LKLNARGPYQHANSLPVKSPPPEKASKTMSFSSITNALPPMPWSPPATESRSKSPPAA AAAAAAPAPAPAPAPASTGRKFNSPFSWLSRSSSKEPPAAQAAAPSTRRNTASSVATL TSNPEMMLSKLEEERAGEDGTRNSLKDRFKALRLKEEAVAAPAEDGKTLAVAGDGSET AVAAQPPASPLPPNPDKNLAPGTASGVTAGPSSIADAPVDWDLWQKVVNEGPAAVARS SPEELNKAIATGIPNAIRGVIWQVLAQSKNEELEAVYRELVARGTDKEKKDKNRQSSG TTISATMNGSAKGSASSASSVHSDGSGSNGVPSPTDKDSDSINKKAPALSAAERQKKE KEDLAMLQKLEKTIRRDLGARTSFSKFAAAQGLQEALFGVCKAYALFDEAVGYAQGMN FLIMPLLFNMPEEEAFCLLVRLMNQYHLRDLFVNDMPGLHLHLYQFERLLEDFEPALY CHLRRRGISPHLYATQWFLTLFAYRFPLQLVLRIYDLILSEGLSAILKFGIVLMQKNA AALLAIPDMTQLTNFLKDRLFDVYIDSAPSSNSILENGFFGSSSSSIDKEVYRADQLV RDACEVKITPEMLKAYQAEWEEKTRAEREREAELEALRASNASYAIRMRKLEERLQQV DAEQASLATELVHTKVENDELRDENEGLKIQVQELRIVIEKQPAELEMAWQQERDDLM KRNEKVHEENQRLEKELSELEEELVQTKMRYAEINSAHETLTRKWTDLKRQFQ MYCTH_2145592 MGISRDSRHKRAATGAKRSFYRKKRAFEAGRQPANTRIGPKRIH VVRTRGGNHKYRALRLDSGNFAWASEGCTRKTRIIVVAYHPSNNELVRTNTLTKSAVV QVDAAPFRQWYEAHYGQPLGRRRQQKQGQAPEEVKKSKSVEKKQAARFAASGKVDPAL EKQFEAGRLYAIISSRPGQSGRCDGYILEGEELAFYQRKLHK MYCTH_2312159 MGKITKTMQSKHRENLSPWVKDYVLAAASTPLPLIPKKLDEFPT RWPFPRGDLYHWIPLLNRFDSILEAFCATYKLQDGFQMRDFGCDLLLNQGAPVEYADE QPFSKERLVQLGYKEDGDRQLIVSILTFTRVLLQHCGNRSIYASSPHLNDLLNSTDLS VIYHTLEVGLELAQRYQASIKRVGTPNRQVSSALLANHYNIDLERVQYLAQPFVKTPL SPGPRFSDLPPTTPGSASKSKEKASGPSHRNAASVYANDLSAIIAPGPADDARWAGWG DVKITYYPKTETSGSTASEAQPAEAGSTSIPTTPTPLRRGSTGLSQTPRSSKTHGAED SPLSRSHRVSGDDHPVSGPRFFELRQVKVQSTSIYELLKLCPSDMPKASRYEFLNRLR ICKALLGSSEDRQLALAVRLLAITNLAYIHPEATFVEKVLKQDNDEPRRYQLVYQLAE LIHPSADGTPDTPLKLQAIALAALESITVMPTKYPEVVAALNANVNHGVLLYVIRKAV ASMKDDSAEADDGKVTDADHWRASLFALTLQMAMASRIGQEMSSAGLLEIMVEILNIR SRTATRNYSMVLAFLDTIIYGFNGAFTVFSNAGGLDAISNLIIDTVARSKEEVAAGQG MKPEFHSHTVDYEIPFYHQQALKWLLKFIHHIMTNAFSFGTNIDRLLRNLVDNSALLG SLRTITENMHVFGSLVWTNAAGLLSDFINNDPTSFAAISESGLIQSFLETLTGRPVTL PKPSETPNPEQEGEGRDSPAFTNESVNLESDERPHPPTQEMLEAPRERLAPGVLASTD AISIIPSILNSISLNNLGLKMVDSSHAIEIYLEIFESPNHVQVLSESDLANVVGGSFD ELARHHPALRPAISNAILDMVARVLHLAKTKSISAGWGAKLSVLDANGKAITADESLL ASLEAAPSTAKGKEKAAPEDADVEMVDASSTAAQSHTSHGNSAAEDQKPSGPFHEITP YIGAVSSFLNAIFHNSSLKSSFIRDGGIELLLQLSEVPSLPGDFESTSASRSLAHALA TVIEASPILGLPSLLNRAHAAIDDLEPLVSKKGAQPFFAPFVVPNLSVQNDQAEWDQG LVRKVAGGTRVVKALVRLQTLLKTLYQSFPYSSRQATVSMPAVNVFDYFISLINRLGS LLRDAATEDMAIAALVPSHWLGNRSFTQDGVEVVASPSAPTATEESKPEDAGQATSAD KAAEPSKLPKEPTKEERASPRFQNYFVISRLLNSLTPSTLPFFQTIGKVLLSRRGPDS YLRSHHLSIAQTLAETILEQLSLPQEQSLTVRDLRYWDVMFDALNQMLIDPTRQPDRT SVQLILPVLVAFKDRGGIEFLNTMLRKFADELCNDAPERQDAQKLHLVTAGMRKILDI YHVIVSGKNVYESVGQTSLFPRSSDRSRDRGHQLIVELRLAILPTARKLWESDFAEKA PTPVLSRVIDIIKTIAAADLETNAWRKTDKNSPVPLFGDRPTIRFDWRLYADQIKNLT RMGYDEELAREAVYRANGKVDDSAEYCRAHSKGLAGKRNPVPEQDAYRGPPSPQSQAT RDEAVAAPANPTATDPMSLDSMNNFIRDAIGEAEEHSDDGSSDESHDDESRESESQEQ AGASSAPTRAPIPPSTPSEISPTPPPITKDELDRERAEVQTDLIDRCLDVVRTHPELV FEVCDLIQTTILKSDNEDKRTEVGEVLANALMSFAPNDADEKRANGRGIAAFAHLLSL LLQNRSFFKTTLPTLKQNAGEYLGFLRVSPGSSHEELPSWIPYILLIFEILLSDDEQP VEVEWRMPAKEDDVVEPPVWVTKDLIISAEDRTKLLNAILDILPRIGKEEALAISVLR ILVILTRDHGIAKIVGEKRNLQRLFVMAKQLCSGGSARLNQAHITDNIMIILRHIVED EDMVRQMMETEIKQYLSSTRNPRGYHDLQSYLRHLSHVALRSPKLFVDVTSDLTKLNG WSTYDPAIRTYNLSLKEKPAEQETSPKEGSVGPAVQATEGLTIEDVKPSTEIVDKEMA DAPKTPFELKRPVLENPDGVIHFLLSELLNYREVDDKEPSSHSPKGLTAESESPGASG EATPAPDQHLSEQKEKERKAPRPTFKAEDHPIFVYRCFLLNCLAELLQSYNRAKVEFI NFKRSVPIQTNTPIKPRSSVLNYLLNDLLCLGPAGIPMDSTIIRKKAATSNHAQAVLV ALVARTPEKPVDRTRNSFEYDDDSDLLFVRRFVLETVLRAYKEASTPGEPVEVRYGKM MCAAELMSLMIGEKDKEAQQRDARASDPAVLRSQMQLKRLMYEKGYIAALTASIADID LTFPNVKRTIKYILRVLRALTKTACLLSQSDVIPVTATDQPEDDFASASSLSDLDDDR EETPDLYRNSTLGILEPGREEDFSDEDEDDDHAMFDDEPYDDELEYGDEMSQDDEENP SDEDDDELGEMGQVEGMPGEPGVVEVIMGENEDMDDDMDEDMDEDDEEEPSDDEEDED EDEDEDEMGSEDMEDIEDRIEIVDEEGNPVDDEGASGWESETDEEEDEAEEELGFEAG GAHDIHDALMHGHGIDQLGHIPDILRNVVEGGDEIDAEDMQEIDEHYIDDGVDDDGKY SLPGFPLCAQLTRPDEEEEDEGEEDEVYYDPGHHLLDDDHPPPTMPSGLGWDVSQIEP HHRLRHGGNRSPFPAPFMAGHHRDPLGPDFRSYFRHGQHRQPPNPTDDGVNPLLLSGN RAGQDASRVTGQHMIRLGFPGSLVGHGLDAPLAFINDLMQSIPFPRGAGPSFSLQLRS EGPAGEVRQLSIPVSMTQSGREPRWEPRREVYQEPHQAVTFFPESTAERWQGEAKMIF GFNHNEKTKLLATAILGMLTPAAIQREKEARARQEEKRKREEERKKREEEERKEREAK EAEERAARERKEAEEREREERERAEAEAARAAEEAVAVEEVSAQEPQAMEGVETHQET QEAAPEPARARERVVTTIRGETVDVTELGIDPEYLAALPEEFREEVIAQTVSSRRSQA REQAANEGENTEVFQEFLEALPAELRMEIVQQERQERRRREREEQRRHATATGQDLGP VEMDTASILLTFPPALREQVLLEQGEDIMDQLPPELAAEARALAQRHHLHRVPPPGSR SREAARRSEAAAEAKPQRRTIVQMLDKAGVATLLRLMFITQQSSIRNYLFDVFAHVCE NRQNRLEVISTLLQILQDGSTDMDAVERSFGQLSLKAKQPKDKDPKTPQSLKRTYTNA GANNQMSGNSEVSPLLIVQQCLDLLQELATKNPHVPSLFLTEHETVASTLKRSTSRKG KGKDVSTKAQKYAINSLLALLDRDLIMESSSVMQLLADLLNKVTYPLQALERRRKEAE EEAKKKAEEKEKEKTGEAGTETAQSSGEQPPAAQTAESTAVAATGEQPPAENMEQPEA ESSTAREPKTEEKKVRQLVPPTIPDYNLKLVINVFVARECSSKTFQNTISTIKNLSNI PGAKKVFGEELVSQARALSENIVSDLEDLLPHILKAETGTEIQGIALAKFSPGASEQN KLLRVLTALDHLFDTKGKKSSGVGEGGEATDETKEDLLSSLYWNPTFGTMWDKLSACL SAIRQRESLLNVATILLPLIESLMVICKNTTLSEASASQVATGKEMLLSSPPPDNRIA SLFFTFTEEHRRILNELVRQNPKLMSGTFSLLVKNPKVLEFDNKRNYFNRSVHAKNGQ QRQQYQPLQLSVRRDQVFHDSFKSLYFKSGPEMKFGKLNIRFQGEEGVDAGGVTREWF QVLSRQMFDPNYALFIPVSSDRTTFHPNKLSGVNDEHLMFFKFIGRIIGKALYEGRVL DCYFSRAVYKRILGKPVSVKDMESFDPDYYKSLVWMLENDITDIITETFSVEDDEFGV TKVVDLIENGRNIPVTEENKHEYVRLIVEHKLLTSVKDQMENFLKGFHDIIPEDLISI FTEQELELLISGLPEIDVDDWKANTEYQNYTPASQQIQWFWRAVRSFDKEERAKLLQF VTGTSKVPLNGFKELEGMNGINRFNIHRDYGNKDRLPSSHTCFNQLDLPEYESYEVLR SQLLKAITAGSDYFGFA MYCTH_2312170 MKLNISYPANGSQKLIEVEDERKLRHFYEYMGAEVPADPLGDEW KGYILRITGGNDKQGFPMKQGVIAPNRVRLLLSEGHSCYRPRRTGERKRKSVRGCIVG PDLSVLALAIVKQGEQDIPGLTDTVHPKRLGPKRATKIRRFFGLSKDDDVRKYVIRRE VQPKGEGKKPYTKAPRIQRLVTPQRLQHKRHRIALKRRQAEKVKDEANEYAQILAKRV AEAKAQKADLRKRRASSMRK MYCTH_2312174 MEDDRVLVDVGIAAEIEEKQAHENATKQPKKRFVGRRAAVEAAK AGSGPTSIEDSGAIQVAQPRRASRLVNQVPPEILNDEALKAAIALLPANYSFEIPKTI HRIRSLGAKRVALQMPEGLLMFATTISDILTEFCPGIETLIMGDVTYGACCIDDYTAR AMGCDLLVHYAHSCLIPVDVTKIKTLYVFVDISIDTSHLLATIERNFSPGKTIALVGT IQFNATIHGVRSTLEKAGFKIIVPQIAPLSKGEILGCTSPNLSTCTDSPVDLILYLGD GRFHLESIMIHNPDIPAYRYDPYSRKLTHETYGHEEMQDIRRDAIRTAKKAKKWGLIL GSLGRQGNPHTMALIENKLKQMGIPFVNLLLSEIFPGKLAMMSDVECWVQVACPRLSI DWGYAFPRPLLTPYEALVALEEREDWGKGPYPMDYYGKEGLGRTKPVAIGTS MYCTH_2312176 MSTPTKAIPLHPRPHNPSGPFQNRPSPQSPLPPLLQTPSGLALL ELQGTINLPPLPPETAQDPASATTPAIPIGRLHFPDYDGSADSTAWTNRVWMYVGEHQ RLQGQVKKLPRAVAVLRKRRGGRSGLGTGGPGPDEGEGAGRRAETEAGTEELEVVDIV KWKIVFSSRPEPVGSGAAS MYCTH_57502 MRLLLSLYGAALFLKGALATPPHTPHGESPDEDGKYWIYGEGIA AAFIPYGASITNLIIDDKNGIPRDLVMGFDKASDYPKDKSHPHLGGVPGRYANRIKNS TFEIDGKTYRVTANENPTRKHPDGLDTLHGGVEGWDWRDFDVVSHTDESITFSIVDPD GKEGFPGEVISYITYTMGNLTWDAKMVAIATTKKTPIMLSSHTYWNLDGFANDETDTI FDHTLHLPYSGQRIDVDNILIPTGKILPNPEGSVNDFWSAPKQIGDGFKDPEIHGNCG FDCTGYDNCWLVNRPGPHDWRADGAYVASLYSAWSGIRLDIYSDQDAFQMYSCNGQDG TFPLKSTQGVDGRNADKRHPHKPAQTVPQYGCVVLEVEDYIDGINHPEWGRLGKQVFG PGSDPYVLQISHRFSVDTDDA MYCTH_2312181 MGDPRESSSYRVVPRIRYNTVGGVNGPLVILDNVKNPSYNEIVT LTLPDGTQRSGQVLETRGSRAVVQVFEGTSGVDVKKTRVEFTGESLKLGVSEDMLGRI FDGSGRAIDKGPKVLAEEFLDINGSPINPYSRVYPEEMISTGISAIDTMNSIARGQKI PIFSAAGLPHNEIAAQICRQAGLVQREGVTNKGVHDGHEDNFSIVFAAMGVNLETARF FTRDFEENGSLERTTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILTDLS SYCDALREVSSAREEVPGRRGFPGYMYTDLSTIYERAGRVEGRNGSITQIPILTMPND DITHPIPDLTGYITEGQIFVDRGLHNRGIYPPINVLPSLSRLMKSAIGEGMTRKDHGD VSNQLYAKYAIGRDAAAMKAVVGEEALSAEDKLSLEFLDKFERTFISQGPYESRTIYE SLDLAWSLLRIYRKDMLNRIPAKILNEFYHRSSADRKGKAKAPTKDTRDTAAPEEPNL IDA MYCTH_2312184 MSSIYNLEPQPTASAIIHTTQGELAIELFAKQTPLTCRNFLQHS LDGYYDNTIFHRLVPGFILQGGDPTGTGHGGESIYDGGAFGGDLDPWPMDQRRGQNAG PTGVNFKDEFHSRLKFNRRGLLGMANEGVPDSNGSQFFFTLGKAEELNNKNTVFGRVA GDTIYNLAKMGEAEVAEGTERPLYPVKITHIEILVNPFHDMKKRERKVRQEIPQQFPL EKKQKKRKGGKQLLSFGDEEGDVEDLPVLKKPKFDTRIVMDVDEETNQVAIPVRASVK KEKKAPDKEVQTSDSRSESPQRSSGVPEPVPVKKPSPSKIDDNKLSSPEPEEPPKESL LERTNEEIAAVKASMKRTIHVEPVKEKMKSALELMVPETATRGRKRRPGASNHSSKEE QEALEFLKAFQEKLRQAPPERKTSQPTGTEAEGRDDQDEEGEVCDLHFIANCQSCKAW DKEQREESDDEGWMSHTLSFAADKLGKDLSYRKKAEEELVVIDPLEKARTLKEEKRAT RHPHGGLSSRGSEWHRDRDRERRGR MYCTH_2312185 MAPLPQMPQSYSPVQTDPMFRWNGVDTNGFVDAGTPGMNSFSML PTSTPYGQAISAPSNVLARRQNSRALVPTTPRAPFDRGADGWNGFGDDSSYLQAANSS VDEHDNIERLEELAQRAKREAQAKRKQIPPFVQKLSSFLDESRNTDLIRWSDKGDSFI VLDEDEFAKTLIPELFKHNNYASFVRQLNMYGFHKRVGLSDNSMKASERKNKSPSEYY NPYFRRGHPNLLWLINKPKSGNSKKKGKKDEVDVESEEEGVEETYSSQNLTAPQAARG AVSHEIGPLQKKDLLQVKTQIERIQQQQVAISNMLTKMRQDQNQLYQQALLFQSMHER HENSINAILNFLANVFRKSLEEQGGTQAVQDLLASIIPNTQGHGQSQISPGSGVVDLG GFVNQRPQNVNTVGTPKRQQRLLPPIPLHQANKAGSVSTTGATPPAPQAPHQAPQMGT VTELFDTSPSDTASQSYIKNELRSNPQEGMMKIIQDTNAVNNTSGFDLPDVAAKTPAS MSDDQRAQMLNIMAGNSTVSATTTSGIPAVTPTAPATTPATVTVTATAPAPAPAPAPA PAPAPAPTPAPAAPSSSAPAPSAGLALSPSLSSIQMPSLQEIQSTQAELEALQRLQAE QADQIDALTNLLGPLSPSGRIPGLDDEGNPNANYFDSVDYDQFLHNSAFSDPNFEAGG LDGVGLAGGAADGADFNFSLDGSNSAAAGTGDYAPGAAGGSTTGNGTQVTREKAGGSG GAGGAGVGSNGRPFETNSMKDTPSPAGTEEIARAEFESPERGTKRRRKG MYCTH_2312186 MSVTLHTTHGNLKIEVFCESVPKTAENFLALCASSYYDGSPFHR LIPSFMVQTGAPAHPNPPDNPKGGRSIYGATFEDEIRPGLRHHERGIVSMANKGPNTN GSQFFITFAPAPHLDGLNTVFGKLLGDESLATLAKIEAVEVDKKNRPVEPIRIEKVTI HANPLAK MYCTH_2312189 MNAANVPATVRISGPPNSSFLVGYPGISATLPRIVGKVEIRPGA GFSAPVNISMVRICLQRRETIHPAAENVARRHLGTPRRETVDLVGKEVLLFRCMSGKE AESVIAMDLPFQIFIPFGRSGEETNRRIPPASLQLPSRIAETYYELVVTVQQGSSMQN RYSFPIPLQRYDTLSTFGMYNRPETRAATADHIVTLGISLPKWSYGPLDPITVYVKLS PNPDYLNKARRVTIQKLTLTIEEEITFNPEGDEPTKKVNKIAKHTQPVGTKLPENGYM TNLGLVLPARDLRDPDGIIRRGKPAFPMYEVTSFTTTSTLYKIEFFLSIKAHMTSARD ITLRQPIVVCPMDQQACKEEMDAIEQAAKDASHVDPNNPILPDRTIILATEKEAIRHL GLCEVGGVKKMLIE MYCTH_2312192 MPLDDDDNPALAPAASAPSSSFPSSRPADDPAAAAVAATDDLTA EDLIEDEGAASQDFRAFATSLQQQKHHQYRKTTGVSAQTIRKGEKDFESHGTRAQADA LEQSRAAMHDVLSYTRVHPAGKNMVRGWYFPGWWEGYREEEQVDVSEGAVAARGDGEG KEKKPFGFVRDRVVVLEGSSVATQSLGRAVTGQAKDRPARGKDWLLPEEALYLVERGS LDLWWPLKGLEEIFPPDGAAPVEKEGRETGEVDEYDKGFPLSLQAAYALLIGDDGERG KISLQKFQVYSNLKRCGYNVLRAPPTTTTNHAVAPTTTTATTQTITTTIWQWFSSLLS AAHQHPPPYGPLVRPGLYRSYASIYRQMALLPRHDPSAAATTTTTPAPPPREPFAIHY HIWKAAQKWTKLRHPPPDFYLAVADAQDSSVPTLAEISALLGATPPAPGKPEWTGPGR LYARLKHGHRNVLIAVVDHGVINYMRFAEGRFGGEELYDRFDARVAGGGVGGKKGGGR NNGRGGKSGRGGGGGGGGGGRGRGRGR MYCTH_2312196 MEETMSQASSVLPSAAQDEIVVGTNAHKRSSPAQPPEPEDVVMG DTDEKPSIINPSKRKRVSGVYAESVGEKDSSPAPTEDLESRRSNRPTKAQNVGGVKGV LLGYWRDSPAEREEDKHAVVGFIDVRDRLRTRIQPTTRSGQPMDPRYPIPPGPGGSWV TFDKVAFDPHLVGHNHHVIKEYVKIRTEDNRKDETPEERARLDVEAVELAAERVRTNP PPDAAVAPLVAYGPQIPANAVVPNRPENKKRRLAGSFGVPFDSPKSTQNPLDDIPGTR PTRVPIGVWKLSSEKELKDKHAVFGVLGGNDMFRVKLTRETRDGRTVIGNFPNGAGAL WIHWDEVEFDPHLKGLSRNEVKEYCRIRQRQLDLGEAPEDVAANETKAVLEAQQRVAQ SLAMGTPTRREDSDLLPIAMKGSAATNGNGSNPGCPTSSFTPSRPNEEVRQSRRLENG PRAAATAAGRHPLPDLEYRAANRTPPGPSSAALERTNMLARREIARLEAAQARADLRA ANRETSIAPAAAGLGSNSNNSTASSNGISNIPDHFQDNVSRLNKVWEAQEANRLRVGS EDAKIYMGVKYQRKQSGPFQGKLVSQGMIITIDGEDYVEYRVLTKPTFF MYCTH_2312199 MPELFNPIGQKVEKVEKVAPATGPNGTTDDDDDKVVEEIESLCM NCEENGTTRLLLTKIPYFREIIIMSFSCDKCGFTNNEIQPAGTFQLKGVHYELRLTSL DDFQRQVIKSDTATVKFIELDVEIPAGKGRLSNVEGLLSSIIEDLAFGQEKRKEEAPE VYAKVEEIITKGRKMLAGDAFPFRVAVDDPAGNSFIVPDPRDGVGKWEKREYLRTPEQ NAALGLADTSATGDLDADGNIIPDQVYSFPASCPGCLHPCTTNMKMVDIPHFRQVVIM NTTCEDCGYKSNDVKTGGEIPEKGRKVTLRVRNAEDLARDILKSESCALECPELNLSV NPGTLGGRFTTVEGLLTQVRDDLHNQIFEADAEAGEAARKNDSLSPGERTRWEEFFTN LNAAIKGEKEFTIVLTDPLASSYVQSLADDPSQPDEQIIVEDYDRTDEEEEDLGLKDM KTEGYEQDV MYCTH_2312201 MYALTLSAELAGVTNLRPKDTQENNFFYTFKVQCTSCREIHPKP IAISRFEMNEISGSRGEANFVWRCKNCKREASATINAAPTAYEQTEPAKAQKILEFDC RGLEFTEFIPEGEWLADGVESNTKFEGIELTEGEWFDYDEKAGEEVSIKDLKWEIVRA MYCTH_2312204 MLGLWSMAAQLRACHCRACLRATRTAARQVTTSATGTASNPRRR KVLASDVFTACYSAIMATAAVIDAGRKDRRRRELDRKIAEAKSRLACLLEESAGRDLA KLTESPYPDVPYSRPLEKADVLNDICKLDADFLRDLQQKRKDRLIAAQHVRTMLGLSW NPTLPETRKTTLAKCEEVVMAEKGRNLDRREPQTETHMAKITDMVTDLVDRLMAEAWW SSEIEAPGSHPALNSPDSASTMIRMLRSDGYPSYAHPDLDPAATIEQRERLNDVNVSI LSDWVPPLRERYAAKICYNFLVCGVPPGIQNYNMLILGFSLLGEHNLSQAVVDSFLYL SHMKPSEATYLCLLHHYRLKGDIVGFQGVIKRMFGYDPRGIGLMRRTADYVERRPELQ AWAATQDVAVVSGHYVQRAPFTHNVAEAMMEGLIDFGMLREGAKLLAVCLREQWTISK DLLWRLFHSCLTLLDTTAAKLVVRALLDNIDQASLLLLGPDPVGPGSVRQLRHLLNIW QATVLPGNESTQEQGPQVGNLTGEVEKAKLNHLAAAVWIREAWHHTSMMCWWLRRAER KLSDHSVPLLERLDMVLSVLNFAAERPRLELEKSEHIQRVAKMDWLMAQTVSMDFHIR SAETVICKALAKQMPRQLQTRSHFKSTIPLEQRISRALLYTTPGTVEYHVATLFNLSN ELELQIKRALINALPKTYAQGLQQTQNDSGDVSFGRIVAYFKHYLAGLKDLQTKEAET ASRPDPFARLFEALPKPISFWKRKAASAAPSPGHIGW MYCTH_2316607 MAGPPSPGGEGPTFAPPPLPPGWIAQWDASSKKYYFVQLSTGVS QWETPTEAAPAGGTPAARTDHPYGLPNQENESAEIIVHPDGTQTARYPDGRLEPVHPR EDGGYGEGTRGIGGGDSDRGLGSFLGSTLSSLNGKQSGGGSHGGGSGLGGIAGQVVTG LLSPGSSGGHGGSHSSSGGIGGKLASQLASNLFSSGSKPSSQPQNYHGGQSSGHSSSG GLGGIVGGVANMLGGRPHGSSHNNFGYSNTGQTGGYSGPEPPISYQAPSQPGTTPSFY GTASSYHAPAQHQTPHSQYTPSYGSSAGHHQQQPSHAQGYASPHQSQPQQPYGQPSYG APPPVPAPYGRPHYSQPHYASGAGYSPYPPQPQYATGQHHHGYGAGSSSSHYH MYCTH_2312211 MRAALVSAAVVISFFAAALANTEKTIFLGPEAVNVPLAHPTLSD LRLRTLTPTNNTLRTRLPARFPSADQPQGPATWLLLDHLTPKQRYEVRVCWPATQPTD FTVSTFPLATVWDTPELMASLRAYSVSREQSLAPEGGPPPPGSGNGSGNGSDSGPSER EASVLLLRILAAADYYTTDASLMSSVPPVDVDIILDPFLFNLLPRSLAGTACYIVAVA VAAYLLSRRIVSGIHRLIASADADVRQEAIKKRQ MYCTH_2312214 MAPSPSKPVALGGTAARARTIRTIVTQLTSMYLRNRTRISRAIY ITLFVALVNRIRNSIADQKRASAREAEERSRRAGTTSMTGDDEEGRKKKVGLNREFLR SLLRLLRIVVPGWRSKETQYLISHSFFLVLRTLISLKVAAMDGAIVKALIKGNGREFL MRILWWMLIAVPATFTNSMLAYHQSELSLRYRTRLTEYIHDKYLSQLTFYGISALDDR IKNADQLIAVDVTKFSNNLAELYSNLAKPILDMTIYTISLSRAVGGEGVVFMSLLVQL SATVMRALTPPFGKYVADEARLEGEFRFQHSRLIDHAEEVALYAGHEAEKDTLDKGYF TLIKHINYLLRKRFYHGFMEDYVVKYIWGALGLILCSFPVFFKLPGQVVSSMGDRTES FVTNRRMLLGASDAFGRLMFSYKEIMELAGYTSRVSSLLDVMQDVQAGKFSKKLVSSS DTEANAAVLRGRGKTFVSNDIRFVDVPIISPNGDVLVPALSFSLKQGDHLLVVGPNGC GKSSLFRILGGLWPVYGGTVYKPPFHEIFYIPQRPYLSSGTLRQQIIYPDNLTTMRNK GVTDADLEAIIRTLSLDHLLDVYPDRWDAQAEWKDVLSGGVQQRIAMARLFYHRPRYA ILDECTSSVTLETEKVMYDTAKDLGITLMTVSHRRSLWKYHTHILQFDGQGHYVFSKL DAERRLKLEDEREDLEVLLRGVPEIERRVKELAGED MYCTH_2312218 MKAAYLTLGLFAALTPAASAYSKRHPRYMRLRQRFNNGTAGIIV SPEDDPAAISDSTSATASVPLSTGVSSDTASLVTSAPPFPTNGTGSGITTLTVSTTSI HTVTSCLPEVVDCPARTEDLTNLPTEALTTAIVTDTIVLTTTVCPVTAVPSITSSVLS EHSKGIITGSTLSPAKTSTAAPASATTGVSSDAASLVTSAAPSLTTGTGSGVTTLTVS TTSVHTVTSCLPEVIDCPARTEDLTNLPTEALTTAIVTDTIVLTTTVCPVTAVPSITS SVLSEHSKGVITGSTLSPVETSTTAAETDTTTTATITTTGTRTVTVKRPTATAATTVG VSPGSGSGSGNGNGNGNGNGSGSGTVCNCDAAAATVTVTEAAVTVTAPASTVFVTVAG ADCQATASPSSASAQDGNGNGNGNGSGSGSKTTSVASSASATSTASPTGTGETGSGDD EEDACPTDEVDDAVTASATTASATASVTASTTESAAPSQTSGTSTGEDAGNSDDDDNC PDDEVVTVTASEEAPSATTSSGSAATSGL MYCTH_57572 LLLLFSQPGPCSASPLAQQRFISDLASTQASRGAVASESSICSK IGIDTVAMGGSAADAMVATTLCVGVIGMYHSGIGGGGFMLVRDKHGRYETIDYREAAP AAASRDMYEHNPDASIRGGLAVAVPGELKGLDYLHRKYGVLPWKTLVMPAVRVAREGF QVTEDHVRYMKSTIKDNSTFLVDDPIWAEDFAPNGTLVQLGDIITRKRYADSLEKIAN QGIDVFYRGEIAESMIGWIQNMNGTMTMQDLDEYHVDVKPSLNITYGDYRLFTTKVPS SGSVMFSILQTMQQYDPADLTDTNLTAHRLVEAMKFAYGARLQLGDPEFVDNAAELET QLLSNDRAHHIRQRILDDKTQPVEAYDPLLLYAPESHGTSHIVAADASGLTVTSTTTI NLLFGAQIMTPDTGIILNNEMDDFSQPNRRNAFGFAPSPSNYIAARKRPLSSITPIIA EHASNSSVFFATGAAGGSRIISATTQVAWHVLAQGMGMRDAIAAPRLHHQLTPDVLLV EDGFDPGTVEELVGKGHEVEWMRPGLSAVQGIMKLWDGRFEAVGEPRQVSSGGYSI MYCTH_2316610 MANQTPAVVMDNGTGFSKLGFAGNDSPSFVFPTAIATKGSAGGG GSGSGRPAVANKPSFLTGGAGPTGHLSAKRGTEDLDFFIGDEAIAAASGPGYGLHYPI RHGQIENWDHMERFWSNSIFKYLRVEPEDHYFLLTEPPLNPPENRENTAEIFFESFNC AGLYIAVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSIP IAGRDITYFVQSLLRDRGEPDSSLKTAQEIKEEYCYVCPDIVNEFAKYDRDRSRFLKH VVTQPGGRQVTVDVGYERFLAPEIFFNPEIYSSDFLTPLPVVVDGVIQSSPIDVRRGL YKNIVLSGGSTLYKDFGRRLQRDIKHLVDARIRQSELRSGGAKSGGLEVQVITHKRQR HGPWFGGSLLGQTPEFRSYCHTKAEYQEYGPGIVRRFALLGGPGGS MYCTH_2312235 MSFLKAGATATQAVEAAIRCLEDKEITNAGFGSNLNIDGVVECD ATIVDHLGRSGACGAVAGVKNPISLAKKILDTSSQPLSLRRVPPNILVGPGAKEFAEE HALKIVRNEFLVSRNAKDRYLRWNEDLKRAEARKRPRTAYSGVNWSGQRTSSPGAYDK AANPANTVQLRDHASAILTGTWNEGQPDSPDSGRSPIGESASRTAADSSASPASQPPG NRSASLESTERVGLGAESPYLASMPLSSRPVNDGPASCDDTEVHTGDGKIEYHDTDGL GISCGKVKRHHATTQSPVDVEQVADMVTDTVGAIAVDLRGNIAAGSSSGGIGMKHKGR TGPAALVGVGTAVVPEDPDDDFATSVAAVTSGTGEHMATCMASSKCAERLFQGTRRGP GGRDIPEEDEHTIMESFILDDFMNHPGVRDQPSVGAIGVMAVKKDLTGIYFYFAHNTD SFALASMSSTEREPLCVMSRLGKTGRVAQGGRRIRLG MYCTH_2312237 MNVQDAVDASEHYEVYNTDDIPSLCTIIIDTNPRAWAALNEVLP VSKAIANILVFVNTHLAFSNSNQVALIAAHSNRAVWLYPTPPKQAAPRDVDMQDASSN ASKASSANKYPQFAQIEKSLLTSLRALIDDTTDADLSTTTPQISGALTLALAHINKTA LSFSASATAAAAHAAATTGTAVTAGATVGPAPVASTSTSSGGLAGLHARILIISVSDS APAQYIPTMNAVFAAAHARVAIDTLALRGSATFLEQASFITRGTFVRAAEPRGLLQYL MFGFGSGSAPSHPSGGADPGKGPAAPKTTAASVPGGGPGGRRKTGRLGLGASVADLLL TPSADAVDFRAACFCHRNVIDTGYVCSVCLSIFCEVPPGGECLTCGTVLALGDYGKCP VVSAGGRLGGGANAASTSGAAGGSMAEGRKEKRKVGPNGEI MYCTH_2312238 MATPASGSSKATQPTSRQDKTLLLFARLMEGGKEDEETAADLAE LTKLLNEDRKLSKEDDKSIISVIDIDCVDTIFGFLDMRQPDVVRAQATLCTTAYLNAA GEEGRRKVTEFFHTRMKRATYDDYIIAFCAATALFPIAYDLMADLIHTEGFLPSLAPL MRRKWKSKKVETACLEMLQAACLQTKCHAAIYKYCIDWMEEIIFEDPEDRVETMWQTE TDLKIEEEGAGPLRRHCEEARSLAAVVWMKIMAAPVQLAENQGTEPQTDSVTGKIEIL SRRFAKMLSASSELVQCAVEGLAFATTRPVIREQVARDKETLQRLVKTLESAPAKSAL EYGVLSIFAHLTRYQPTETEEQKRLRELKAYANAAGKPQPDPLADDAHVAERCKLVFE AGVASALSRRGSTASAASLFQIVSILSSLSVTQSRRGQLAQQGAVRVLVNAWNTLPCN EEAPRRVAAQALARILISVNPQLVFKHIPEQAAISPLVSLLTQDPTAETRDLLPTFEA LMALTNLASGSSGGDGSATRKAIVSTAWDAIEEQLFSSNDRVCTAAVELVCNLVQDPE QTLRIFGDGSAKASTRIKIILAMADAEDEQTRSAAGGALASVSAFDGIARELVMQPRG AEIILGLCRDDSEGLRHRGAVVVDNLISHGGEVGKLAKEKLFASDGVRALTECAKKSR TPEVVQAVVHALEVLLEGRNMSEAM MYCTH_56445 MPVKVISLLSSSEAGSPPLQRARQPSPPGPKAQENRRVGSDNIL ASALPRNQSVNSGRPIPNRRPEVQISSDDVWLVSDDDVGPSEKAHEDESVIIEEPAQK RRKLHPNGANVGSPNGVSARSTAAVPLRACGLNRSNATALSRLELAEPRRQWIEDEDP LVSSSSRVTEKPPRRAHDQVATLPSHDLDDDPFASSPAQLQRHEHLKTGSRLAVLDDI DPFASSSPGQVQRPADSAQLTAAWDPISSSAPLPASTDDGFGRPSRSLRRSQSEVVLL EDSDDDCGVQTVSDDDFPDIAGLAASKRKFDAFIKTSAESAAVKQLRTLTSAETKADQ AGCKRTSLEKAKEKEEKAAAREAEKERKRLQREREKEEKAREKARAAALAEVNKIRTG KKVSTPEMIVDLPITLEETLKEQVKTLLRDLDVEFASWTSPVENVIKWRRKVCSRYND EEGHWEPVPERIEQENYAMVLVSAAQFVGLVLGKDEDASLEYHVMRMKDHFPNDTIIY LIEGLELWLRKNRGVRNREFVTAVRGGLEPLEGDQQPPSSTQQQRKRKAAATPTYVDE EIVETSLLQLQILHGVLIHHTAIPLETARWIAVFTQHISTVPYRRQRDAANDAGFCME SGQVRTGDGPRDTYVRMLQEIGRVTVPIAYGIAAEFETVPKLVRGLEDGGPLSLEKVR KSVNKDGEASDRTVGQAVSRRIFKIFLGRDEASTDI MYCTH_2097501 MAQDAAKAPVVAEAHEVDTYHPPKKMLEKHPSKPHLSGLEEYQR LYKESITEPDKFWGRLARELLTWHKDFQTVRSGSLVNGDVAWFLEGEINASYNCIDRH AFKDPNRVAIIYEADEPTDGRTVTYGELLREVSRVAYVLKSLGVRKGDTVAIYLPMIP EAVIAILACARIGAVHSVVFAGFSADSLRDRVLDARSRVVITADEGKRGGKLIGTKKI VDDALRQCPDVTGVLVFKRTGADIPMTKGRDVWWHEEVEKWPAYMAPERMSAEDPLFL LYTSGSTGKPKGMMHTTGGYLVGAAATGKYVFDIHDGDRFFCGGDVGWITGHTYVVYA PLLLGVSTVVFEGTPAYPNFSRYWDIIEKHKVTQFYVAPTALRLLKRAGDQHVRNEMK HLRVLGSVGEPIAAEVWKWYFEVVGKEESQIVDTYWQTETGSHVIAPLAGVTPTKPGS ASLPFFGIEPAIIDPVSGEEIHGNDVEGVLAFKQPWPSMARTVWGAHKRYMETYLNVY KGYYFTGDGAGRDHEGFYWIRGRVDDVVNVSGHRLSTAEIEAALIEHAAVAEAAVVGV ADELTGQAVNAFVSIKDGNEPSDALRKEFILQVRRSIGPFAAPKAVYIVPDLPKTRSG KIMRRILRKILAGEEDQLGDITTLSDPSVVEKIINAVHEAKKK MYCTH_2312247 MILSKAFAAVVTAAGLLVQDAIAHSVKRNPLSSVSRIDHAVIHT PSHRVHAHSSFDVSFTLSTTQQRVRLALEPNHDLFGEDATIQYLGADGTLRRSKPIDR RDHRVFKGDSFVQREGRADWKHVGWCRIHVHRDGVKPIFDGVFTIDGNHHHVQTKTNY HLSTVSGDPVIEDGDDEYMVVWRDTDVQATAGDELRRDLAGAATCGSDTLRHNSRENN NVYRSLEEATQDTSVWQMSPRALFGRQVDTTPGGNGAGVNLQNSIGSTAGCPTTRKVA LVGIATDCTYTSLFKTDDAVTKNIVQVVNAASQLYESTFNISLAIQNLTISDPGCPST PPQAAPWNRPCSDSATVDTRLSLFSQWRGQWKDTNAYWTLMSTCSTGPTVGLAWLGAV CQEGSSTKDNETSASANVVVHTSTEWLVFAHETGHTFGAVHDCDSRTCSDGSVEKQEC CPLSTDTCDADAQFVMNPSTGNGITKFSPCSIGNICSFLGRAPNRFNCLSSNRGVTSF TGAQCGNGIVEHGEECDCGGEEGCVGNPCCDPKTCKYTEGSVCDPNNEECCTPQCGFM SNGTVCRASTGACDPEEVCSGTSAGCPADVSAPDGQSCGDGLQCASGRCTSRDQQCAT FMGSDAVTSCSRSGCVLSCHSPQLGPNQCYLLNQYFLDGTSCQGGGKCRNGDCVDTSL SQTILEWIQDNKQIAIPVISIGGGLILLALLSCVWSFCARCSRRRRSRSNKLAAMSPP RGPWGGGNPYRGARGVLRGSGAGGVRGGQSPPMRPGPAYAPVPSPPPMDGLGSAPPPP YPHQHQQQPQQDMRWEPMRSRSFRYA MYCTH_2312248 MCLPSLGSMLISIQGPDVELRHTRTSLSYTWRGMRIMHSMNPTI VHIGDGVNDVFHVGDEPENGHAVGNGAWIQPTYNLTVERRDVGGIAGLLRAVRNVFRA AMQRVRQLGAAAVERCLDLRRNLAALSPGGWCILLFLNIFLVPLALTGVTILFWLAIV WLVLYGVYEVTDRVAYLTARLLDGPVETAIAIIWNMARSGQAYLIREVASAEPQPAPS SPAQQPPDRRPQDQQPHQQLSGQQSPGMQPEQQGSGQHILGQHIPSQQQAPGQQPLDQ QALDQHAPDQQASGQQTPGQRLLDRQAADQQAPNQQAPNQQALNQQAPVQQSVRQQAV EEGPTEQQAPVQQPDGQRAQG MYCTH_2312249 MNPSTASSFMDDDFTPEMRDRQARGKDPYQSGDGSDGGSLSDRE SGSGTRLRLGSGR MYCTH_2312251 MSDQDYMAFLDKANEDPSKGVTSAAAAGGAATNRGAKTEFRATQ EGVEVPAPIARVCGKGDVSFYASDADEPFEAVALRWDEGGKGLPDEEEFATLIEHWDP KNAEVQIMDPVDWDRNGQYGEIVDAVREAGEGNDVRVYRVARDGVRAEYWVVTTQGKG EEAKLVGAKALAVES MYCTH_2312253 MSHRKYEAPRHGSLAYLPRKRAARHRGKVKSFPKDDPKKPVHLT AAMGYKAGMTTIVRDLDRPGAKAHKKEVVEAVTIIDTPPMVVVGLVGYIETPRGLRSL TTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYAKKHSENNGAAITRELERIKKYCTVVR VLAHTQIRKTPLKQKKAHLMEIQINGGTVAEKVDFGHGLFEKPVSIDTIFEKDEIIDV IAVTKGHGFTGVTARWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQMGYHHR TSVNHKIYRIGKGDADDNAATDVDVTKKKITPMGGFVRYGEVNNDYVMVKGSVPGVKK RVMTLRKSLFVHTSRKALEKVELKWIDTSSEFGHGAFQTPAEKKQYQGTLKKDLVAA MYCTH_2071423 MQMQMHHGPPGPARAPVPQQSFSSSRQTLLQQTEAVWIQLGNLA EQMGNLDDAMASYERALRTNPNSIPAMSAMSSVLRTREDFPKAAEYLNAILKLDERNG EAWGCLGHCYLMMDDLQQAYNAYQTALVHLPNPKEPRLWYGIGILYDRYGSLDHAEDA FAQVMKMQPDFDKAHEIYFRLGIIYKQQQKYNESLECFKYIVNSPPPPLTEEDIWFQI GHVHEQQKDFENAKIAYHKVLERDPNHAKVLQQLGWLHHTQSQHYDSQERAIEYLEKS VAADNSDAQSWYLLGRCYMQMQKYPKAYEAYQQAVYRDGRNPTFWCSIGVLYYQINQY RDALDAYSRAIRLNPYISEVWYDLGTLYESCNNQIADALDAYQRAADLDPTNPHIKTR LQLLRSGQTGGAAPGPTDVHPQAYQAPGAVGPPGPQWAGSGSGAPQAPPPQPQQPMLN GSAQGPAGPNSWSGRISDINPPPQPRNPYASERELFRGQAGPGQRPPSPQPEQQMRPY QDANRMPEQLRRGPSPPPPGHYAAPPPPPPPQQTQQPPQGSQPPRVRNPNYGGHTPVA VLQPSSGPSPSGAASNPLMPYRAGSPRNDGRQPMHENRMPSPKSAYPQHPPYQAHPDQ AGPHGPEPGAPVPPQPGMASDGPHHREHDHRPPSVGPKRMREWEDEREAKKPSTEESR ARMEDIRHRRPSTSPRVEPYRRNSSEARRFDERRMEDARRAEEQRRVDEMRRADEQRH NNEAYHPSEAAHHPPTHSTAGHLPPMQQGPSAMQGLMHEGPGAQAGPAKKEYQSGPEE RRLEHPPAASSIVGEPERAARAMEVDENYDDSGEEDKKTGIVPGPSSSSGATATAEVK NGPSATANMNGN MYCTH_2130919 MALDSDPGSVSLSLPPPPPPPRCAFLLAQPARPGRVRGHALKSL QATLGGRLHNEATVAEQEAEWDTGYVG MYCTH_2312260 MPPRINIPPVTRITLIVLGVQSVLSAAIRYRQWTATSEIVIPYL NLIPQLSLAYPWTFLTTTFVESNVFTLSIACTTLYNGGRYLERAWSSREFAKFLLITS VVPNALCFGTLILFYALTRNERWTLMTIAGTIPLQISFLVAFSQLVPAHTVTLFRGLL SLRVPRFPLLYISLVTLLCLTPMLTAASFFLAVYGFLVSWTYLRFFKVAFPDLDTSQP SSLRGDASETFAIAAFFPGPVRPLVASISTQVFNVLVAMRLCVPFSAADISAARGDHH HHHSFSHRGAPGSARAEAERRRALALRALDQRLHAATAHAAARAQSAPVPPAVAPPVP SATGPTVKSQPQAPPPNAMTAQAAPGSGMLGETNYNPDADADRNGP MYCTH_84911 MKELLDTDRVNFLVWRYLLESNYRETAAKLQKEWRVHQPHRQFD FAAHVNTYALVSLLNKGLIYEDYQRKFAAAQEAARDVPAAAQEPPRGVFGPLKFQPEV VDENGEDDEESEPEPEAEEIENPRKRAVERQHHRELSHGSPAKRQRLSNGYENGADSA TTPMEIDQPAENNHAYPSPLEGEQAESPLLRTEGPSRGTQVEKVRDLTQDTVFLRLSP GECPDGSENPIVLLCEWNPRDPTLLATAGTDALARIWTLPCGSAPDADPGHVDPAGRP FLSIVEDDLPKNAAVSAMAWSSNGELIALGTELGSKSRVSVCSADGTSLQRFDGLDTP ITNLCWSPNSNFLLAISPDVSKGVDNAGTLIHISSPSMVNSLSHVLDHDLRSDSLDAT WISETEFLLCGGNLLVSFRCTEQGIVQGREFQTGKDERFSLVEFDWRSNLVATASDKG YIDIWDESGKRRSIPAHDGGVTSLKWQPLQGEPAEDERLLVSGGEDGAILIWNVRSAE NKPKYSITMPPPLAVNNLSMSPDGALIAVATHDRILVWKIGDHMLPKASWVPQSGWQS PSSGSDTEDAIPCLGWDCEGKRLVYGLENRLAVISVREHER MYCTH_2312265 MTLGDIDRAIEAAELDASGRRSEEIERVVSASSVSSSSSSGASR PGMSRVETQRDLERDPTELSRIQTARSQHSGTVGRAKSKEWKKPLPNFGAGKPYPPLL PNQEDYVVEFDGPDDPLHAQNWPLKKKLATAAMLGFTTMTAAFGSSIFSTATRAVGVE FGVSPEVSLLGVSLYVLGFATGPTFWAPLSELKGRRLPLVISMFGFSIFNTANAVAKD LQTVLITRFFAGFFGASPLAVVAAVFSDMFDNRTRGIAITVFSMTVFTGPLLAPFIGG FITESHLGWRWTSWIVSFMGWLAFVLDLVFLRETYPPVILIDKAAELRRRTLNWGIHA KQEEIEVDLRELITKNFSRPMRLLFTEPIVTLLSVYMAFIYGLLYLFLTAYPFVFQGV HGMKPGVAGLTFFGMILGQIVAGITIVLQQPWYQRKLAANRGVPVPEWRLPSVIAGGV AFAAGLFWFGWSGYRRDIHWIVPTLSGLLSGFGLASIFLQALNYLVDAYLMFAASAIA GNTFLRSLAGAGFPLFSTYMFDGMGIQWASTLLGCVAAALVPIPIIFYLYGPKIRAKS AFAPTFPATMPPEEAAAATPAAPGTPSEEERANTPDEKEILEGSANANLPKLDKDARS AV MYCTH_2312267 MYFSATIFTMLGFPIPTLTSLVVAVTNFIFTLAALFLIDRIGRR RILLWSVPVMAAGLLLAAWGFSFIDIAATDAADDAPSQPPPARGAAVTILISFMMYVA GYAMGLGNVPWMQSELFALNVRSLGSGIATATNWGANFVIGLTFLLLMEALTPSWTFV LYAAICAVGTGLIWKFYPETAGLSLEEAASLLEDDNWGVR MYCTH_2312270 MPPTAPPPQRDSWFAPLTIDLIVKVLKTSFFHPFVAWIIPLCFR AQNMFWDAPPMLVSIAWASFITLCWIAGVINNRIAFGLPREVDLSEEVIVITGGASGL GLLLAEVYGMRGATVAVLDVAEMENGEARGVTYYKCDVTDKDQVARVAAEIERDLGTP TILINNAAVVVGKPLLEMSFAEIEKSLSTNLLSHFYTLKTFLPAMACNEAGGTVVTLS SVIGTVGAAQLTDYAAAKAGVSALHRSLAAELRRSHPRIRTVLVTPGQLSTPLFHGVR TPNRFLAPVVEPVDVAKEVIAAIDSGRSAAIAIPLYARWIDWYSVLPAGLQAIARRLA GVDTAMQTFVGRAGSGGERKEGKGDVLIQAF MYCTH_2312273 MWWDDETIERIVTKQFVANKLGSKAAGRLDQPLGFGENLTDCTY WDWIEAKSKKTFLILSELGLPNHIFELVDDSLDDQDLPFAIDQVARLRLSPSKNDKLE RKFYHRQFHYLLRYVDHGVHIDYADDEVVPLDAVDKKHAAGQGHHVDKVTSSNRPGMV FCRCQISLGPGHVSMEELMSEINGIKAVQNEHLLSYWASYTHRGYGYILFTPASEYSL KSLLTTMPSCLKRLDKKARRRAVMNWIYCLADTVCFFHNQGLSHGNIRPSTVNFSKDN LAFFTGFTPFHIHVLGGVEDNKVFDREAYDYGAPEKVRYLPSSSSSDSIHRATDGRDH QPAGFDPQAADVYSLGCIILELLSFLFKRQGRPFAAHRAAKHRAVGRGSPFPDSSFHE NVEQVESWMTQLATDAVEKDDPVFEGVEPILCLVVKMLAFYPSERPKACEVKEEIGQI LMGSCGMPEPHCLCRSGHWSFGNGSLRHSSSSMQSTASAGTGPVSTQRRSGSQEEGSS KRASAGSGSSVSLRSIKTFLREPGDGEAALRPRNWSQGSQASVQEKRSRASR MYCTH_2312275 MKVSWLVPSLLAGGGAAIGTVSDKVLNSEGRVLANGIPDQRHDR DLEGAALQSPSANTVAVNLDALDIALPNRTKAQLAVQARDASELGQHPDEGEPPVITF RTSNGALSGAEGDPAVRPPSSASSASSASLDSDHDLEFDEDSASASNGAGKAGNPASA NRAIAGMSVGLVSVMLIFTIFL MYCTH_2312280 MKIKALSRSITAQQAPGSDVQRAPRNLAPEIHPFERAREYQRAL NAVKLERLFAKPFLGQLGNGHVQGVYSMCKDKNSLNSVASGSGDGVIKVWDLTTRDEE VWRTAAHSNIVKGLTFTNDKKLLSCATDGIKLWDPYNTPSNTTPFATWQEGGPYTSLS VHRTGNVFAASSGAGCIRVWDLDQSTAAQTIQWPNFTDTITDVCFNQVETAVVASVAT DRSLILFDLRTNLPVIKTILQFAANRVVFNPMEAMNLAVASEDHNVYIFDARNFDRAQ NILKGHVAAVMDVEFSPTGEELVSGSYDRTIRVWRRDHGHSRDIYHTKRMQRVFRTMW TMDSKYLLSGSDDGNVRLWRANASERSGVKSTKQRQALEYNSALLERYSHMPEIRRIR RHRHLPKVVKKASEIKREELAAIKRREENERKHSNKKFEKRKSEREKAIIAKQQ MYCTH_2312284 MAQGDSERVREAQKLAKSDPAQAEAIYKDIISKPPSVTSEAAIK EYEVALVSLGELYRDQKNTDELAALVTKSRTVLSSFAKAKTAKLIRQLLDFFEAIPNS LDIQIAVTKSCIEWATSERRSFLRQNLETRLVTLYMAKQSYYDALTLINGLLRELKRM DDKLVLVEVQLLESRVYHALGNIAKARAALTSARTSAASVYTPPLLQANLDMQSGMLH AEDKDFQTAYSYFIEALDGYHSQDEPARAQAALQYMLLCKIMLNLVDDVNQLMTSKQA LKYAGKSLEAMKAIARAHANRSLEEYERALAAYKYELGSDAFIRNHLRRLYDAMLEQN LIKVIEPFSRVEIDHIAKMVGLDTQQVERKLSQMILDKVIIGVLDQGAGCLIIYDETQ RDEAYDAALATIEKLSNVVDVLYTNQASMLE MYCTH_2130929 MVWIAASHREFALLTGTAAVSWPIRVVNVDPRLFGDSDIDIDID SDGDSDSDGDDDSDNPSASRGLAAMEEERTITLDLGSSPDPLIDPVLSPPMMPPSAIK KKTQAAERLVTAFAPPSPRKQTFELDVGDERTPQRLLVTVEAESGGPRSTTRRLFQSP TPKQRRLTPRREPKTMVTTTTVPLRGLSDDEGVTPRRRGRPRKSGTPTTTRRKRPGTP AKVLRKSTGRASASPEKVVSTLDTGIETTPRPGAQRATKRKATSPAKEDNGGAPGSQP RKRGRPRKQTSTADDLARLGQDIFREPGDNSDAPTAPAQSQPASDMQNDDGYGDDDDI WLATLSDQPTPLPLPLPPARQQEPARDRSSEIPVGDRSAEPEPQLSRREPEPEPEPEP EPQQEPQRYQYDWPDLGGGGADSYSEAGSFASDQDDDREGFEDTVMAEEFTMISIRSL PSMQPNSSVVAPANEELGEATSLIINGALESLRQSRNRSAEEQQPRAEPTNPAPAPAP ALAPALIQAQRSGGAETAESPVQQYQPRRDAQQQQQQQQQQQPPSSPQPVRRSPRRTT AQPLARQLTLKSREQAARQSPAPAPAPAPAPDQHPTTAPRAPAPRRSPVDNEPRDAGA YEDSFSEIPEAVLAAATPRRPYRQQQQPAPAPAPADEQAQDIQPSIERPSRVNHSNPQ SETNRLLTPDETPSPIPSDAETREPQQHEQQQQQQQQQQQQRQQQPPNPTGASSADAD LPSSPPIESPVLSHAVEQLIRRNSTETPADQLSSFASSNAPARDPNPLHLPAPEQPQR RPTLSPIVRAGPSWGSPFRGSVSKSSQSPAPVVAPVAVAAAAAAAAPAAEQGSESASR PRDDAAAASPSASPSRRWLAPVQHVRDLIVRSARSLSPARVSVSGTDHMDDPFGPDPG ELAGSGSQRTTLFSGPGKQANGRKAAAAAAAGGSSSPSASPAPDEDRMSWLAEGSPAG GGGGGSPRPASRDLSSTVAASASSSGPAGEQGDWPRFATSEVSPRPRPVSDRQPSLFE REQQDPEKQKEQEVEEGEGEGEEEEDIWAIEAQRPTPYAPRYGLARQESQPDPPLRGK MPSPWGQNSRRPLVNNRESERNPVSQRASGWAPPTNEEEEEPSLVAQNRRERVPRFRA QRNATSGEGDEEEFSLLSQNRQKQTPAPTAKQAQAKKPDLLDFFSSPAVLPDLGQGSP GVGSSMAPGPARDQQANPVAQPPTIPPQRPRPSGNSLFAQYIQQQSQTQTRLPSVAQK KQQLEIGTRHRSVDLFSPARESVERSSPQQAPASTARSSSPRSLEGSAPARVPEPASY ASGSLPAESARRSDASVPRTASPESPAETGFGHIPQKMNFTPRRRGESNNSLFQPKPV APASSLFGNSQVAAFFSRSSSRPQPQRPPARSWEEEREDDNNRDDSNDEDENENENED SEGEPRSALPASKLQPHRAASPTKSCIRSPLKPKTPGRVVEFTSSTLSPLAQAQARAE RRASTSPDKENNPPPKVPRGIAGAAPEGNNNTTQSSPPSPSPSPSLSPSPSSSPSPSP SPSPSPSPSPRRSYSTTNFSSATTASHQKEAPPISTRQSSTSAAATIATTTTTTTTTT TTTTTMTPPLEPLSRTTTWSRAHWLRLDELLQARKRGPLELQRQLARHHHRDRRHRPP RSSFSFSFSSTNRGGDDGDSTWRQRLLGKQVTAQGERMEIEGWHLDVVEGFLAEVRSG GGRGDGAAGDAAGDAAGDAAGAAAAGGDGWDEAQIVKRVFALLVGEERRRLGLVPPRR GEK MYCTH_2312287 MAISYEPPRGDVVAALNFYSPPEDGSAPYNLAGDHPPGLPLRNF GSVAHDVLIRDIRGKESSFNLDNDAFQIIQNLPPSAEPSFTDDESIQKNYYPEVERLL LDTVPGAFKVVIFDHTIRRPNGKRQPVPRVHIDQTARSVAQRVRRHASSPEEAEHLLS SSRYRIINVWRPLNKGPVESNPLAFASSSTLRDEDVVPVEHRYPAEVGYVGQTAAIRY HPDQKWYYLSGMTGDERILLECFDSEGLKEGSAVKGGRVAHTAFDDPRTREGAEGRES IEVRALVFGS MYCTH_84896 MATTEATMSDATTRRVNGSPPPAQSKRDKRRQMLADRLASLSDR FNKDRDQAFREQLHKIQIDTALVMRVDPYVDRPLDSFEQDQQRLAQLNGDGDNQSGPQ TLLDRAGPRFSKWMEKVQDLIEQRDYALTKYKFDYEKKTSEYLTTHAFKIETANREYK ALSQTLRDRLINVIMSKKSRLNKEKEALEISDASALLLHPNQFSINNPASPGGAHGKR ATRQRREMEDMTFDKKRKRMNNDDDGSPAPQRRLLDTSSTTPLWQTDRLASRKATGTV YSIDKLFTDKELGMTSTAATLAARKYILTHKPKIDEQGRPIKSGDGSDSGAGDNDDDG SDSVPSAPMMERNVSHATRSGRGGANNPNFVDDKLMGMEMLANFDFQGNFDRMLAADP KLPPTFPSTYIKGNKMEYNVPSTLNPEDAQSDIMVMQALRQYEELHGVGSNFSVENGS RKLLEAASMPAQDARFVAYLQGERPSENQVRKQLGLPILSDVVEPVMSERAGTPKPGH GGTPGASPAKGSALGGGVPMSRQSSANGVPMSRSSSRKGGRGGRGG MYCTH_57908 MPPVLGRPPPPLQQLPAQMFTTAAQLLDLTDKKLMVALRDGRKL LGILRSWDQFANLVLQSTKERIFVAPGTVPNQPRGLYADIDRGLFLVRGENVLLLGEI DLDKEDDPPAGYDLADAELVQNLAKQRKQQDKAKEKKKVKMLAREGFEGENLGEILL MYCTH_2312293 MRAVSLLLVLSSFAEAVSVGQRRKVVQSCNVHRDKSSDTTPLQV GNGNFAFGADVTGLQTFKPYAIMSTWGWHNFSLPTTPGQTSVDDFTGVQLWTHGRLVT YEIPNPAQNDISNWLRENPHRLNLARVGFDFGCDNVTEDQLQHRFQELDIWTGKLSSY FAYNGHPVRVETWADPDSDTVAVSINSNLLRKGLGLFFDFPYPDNEKFNAPFVGHFNL TSRHSTVLERLSRRSARIRHTLQNTTYYTHVSWSGHGTVTGPAEGSHRYLFTSPQTNI QLTITFAPSATNPHPTSYRRVTSASRSWCGTFWTTGAFIDLTSVRDDPRARALQQRIF LSQYLTAVNSASAYPPQESGLVNNGWYGKFHLEMTLWHALPFARWNRFPLLWRSIPDT YTRLLPSVRARARMQGYAGARWGKMMAPLSAAPGGPSAPGDINALLIWQQPHPLYFAE TEWRAFPNRTTLERWDEVITATADFMASYAWWNATTGVYDLGPPMYPVSENTDANGTR NPTFELAYWRFGLDVAARWKERQGKPAPREWVRVRDGLAPLPMVDGTYAVYEGIEGMW TSNATTNDHPAMAGIYGLLPPPASGEPLDMDALKKTAGKIKELWALDYSYGWDFSMLA MNSLRLGDPDQAVEYLLHPIFQFDDAGYPVGGSRVPTPYFPNSASLLIATAMMAGGWD GSEGITGHWPKSWENHVRVEGFTPAL MYCTH_2312297 MSSFLTTVNNRTRNQVRPRAPGKGGATSYQLRQYAEATLGGGSL RKVVKLPEGEDENEWLAVNMVDFYNQINLLYGAITEFCSPQTCPEMKATDEFEYLWQD SENYKRPTKMPAPAYIEQLMSWVQSNIDNEAVLPSRIGVPFPKSFPSLMRQIFKRMYR VYAHIYCHHYPVIRELGLEPHLNTSFKQYVLFIDEHNLASGKDYWGPLGDLVDSMLRS D MYCTH_57224 MFSRQALLRSARTAAPQRALLGQTRTFAAPASSEKVKPPVALFG LDGTYATALTSSLEPTAKGVAALGNLIQKDSKLVTILEAPTLSAADKSAIVAELQKSA GVSGETIKNFLETLAENNRLGLLPGVCNKFNELMSAARGEVEMIVTSAQPLDNKTLTR LENAVSKSSYVGAGKKLKVKNNVNPDIVGGLIVEVGDRTIDLSVSSKLAKMNKLLTEA L MYCTH_2312307 MPVFDGHEYLTEEERRLKEDRERKKYWKKWGSYLSERQWATVRE DYSADGDAWSHFPHDHARSRAYRWGEDGIAGVCDTHGLQNIAFAFWNEKDPFLKERLF GLSNPQGNHGESIKEAHFHLDNTPHSYMKYLYKYPQKEFPYEDLLKENARRGKQDREY QILDTGVFDEDRYWDIFIETAKEDDDPDELLFRVTAWNRGPERAPLHIIPHVWFRNTW SWGREPAENRPSMGVYDENLIKSKHHRLGERYVLFSPSPGVGPSGEDVQPDLLFTENE TNFELLYGGKNESKYVKDAFHRYIVNREKDAVNPAQVGTKAAAWFAFNEAGGVNPGEC AVVRFRFSRKAETYLDEEEFDDIIERKREEADDFYYHISPLPMSDDLRNIQRQAFAGM MWCKQHYLFIWDEWAHGDPSQPPPPPGRKGIRNAAWKHLHCDDILSMPDSWEYPFFAA WDTSFHCITLAMMDPDFAKKQLDLFTREWYCHPNGQLPAYEWNFGDVNPPVHAWATFR VFKIERKLYGRQDLDFLERVFQKLLLNFTWWVNRKDVEGKNVFEGGFLGLDNIGLFNR SEPLPTGGTLEQADSTGWMAFYCLNMLNIALELAKHRRIYEDIATKFFEHFVLISDAM TFRMGQKDEKSLWNEEDGFYYDAISWGGPWIQQMPVRSLVGLIPLYATLTLEPELINK LPTFKKRVEWFMANRCDVAERTMHSIRTRGKGNRILLSLVNKERLIKILERMLDEDEF LSPHGIRSLSKYHKDHPYSMQVNGQVFKVGYVPGDSDSGLFGGNSNWRGPIWLCVNFL LVESLQRFYLFFGQDLKVECPVGSGDYMHLGHVSEEIQHRLQHLFARDNDGRRSINGG NDILDFDPHWRDYLWFYEFFDGDTGRGLGATHQCGWTGLIARMIHDTGVNCRLPQTPR TPRAGLSHYFDDILHRGAYLNGPQTPMLSPTAPRLRRSSTSRSLAARSDYSVSDINLN VGYDGHSDEDENGEGGGGTNSLRRGSVNPALLNDPERVKARAEADAHLHSYITQQLEK VKMERLEDGYETLEGDEFETKA MYCTH_2312310 MRRAAVHAFRTSIQCSAWGLRFSTRRGLSPLLLPTATRRSAAAA ASTRRFLSTSPPARSSSSTSTSNRLRAAEHAPVPGEPLRSSMSLRRASVALVSALVGY GAYYSYNGNATDSLVKRAYSSSSSSSSTSTATGAAAAQTRSVLVIGADELHTGTFVGD GPISKTTSDDGRRVIEMLTPEQATQMLRRNEESYYVNRGQGVVRYDVVQLPSNDPIED DHAEKIVEIPDKSEAHGSSDWMFWGVFDGHSGWTTSAKLRQALVTFVARELNDTYRAA NLMPSQEAVDAAIKRGFLKLDDDIVNQSVQKVLQANNKIAAAELLAPALSGSCALLSF YDSRSKLLRVACTGDSRAVLGRRSASGKWVATPLSVDQTGSNPDEAARLQKQHPNEPH VVRNGRVLGGLEPTRAFGDASYKWTREVSEKLRQHFFARSVSSFLKTPPYVTAEPVIS TTKIEPENGDFVVMATDGLWEMLTNEEVVGLVGKWLESQQITKSDSASQFDSVWTRIF GSRGTRGGLPVEAPRETDAGGGQKTPFRGARQWGGSSDKFVVQDPNAATHLVRNALGG KNQEQVSALLTLPAPFSRRYRDDLTVQVIFFGNGERTGEVVVNLDATAPAKEPVEAKL MYCTH_2312312 MEANTSQGSGVIPAQPGDSEAAFKRFDTYPWVRDRSFLQGLMAT LGPSLLGATDGFSRQKALSTTLQARIWWYKSRFNTDVDRAAYEAYSASHPSSCPDSAI LARLEEIRQRMAGEGTGAGGAPGSATSSSSSSSSSSSSSAAGANQNIPAWQLNAPKVD LSKKADDGVNHSNTGDGAPYPENFQDLIEAVTTGKPIPGIKEIPDTVVRPPGVTPFGR MKAPRKPWEKDVPSDTVGVGSVFGDVVDKEFPPLPSDE MYCTH_2312316 MLRQTLARSAWRTGKHPARAASRTFSATTQRPAEVELTIDGKKV SIEAGSALIQACEKAGVTIPRYCYHEKLMIAGNCRMCLVEVERSPKPVASCAWPVQPG MVVKTNSPLTHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGADRGRFHEIG GKRAVEDKNIGPLIKTSMNRCIHCTRCIRFANDIAGAPEMGSTGRGNDIQIGTYLEKN LDTELSGNVIDLCPVGALTSKPYAFRARPWELKRTESIDVLDGLGSNIRVDSRGLEVM RILPRLNDDVNEEWINDKTRFACDGLKTQRLTIPLVRRDGKFEPATWEQALTEIAHAY QTLAPKENEFKAIAGELIEVESLVAMKDLANRLGSDNLALDMRNGSKPVAHGIDVRSN YLFNSKIWGIESADCILLVGTNPRHEAAVLNARIRKQWLRSDLEIGVVGQTWDSTFEF EHLGTDLNALKEALAGPFGKKLQAAKRPMIIVGSGVTEHPDAKSFYEAVGQFVDKNAA NFLTDEWNGYNVLQRAASRAGAFEVGFVTPSAAVAETKPKFVWLLGADEFDEADVPKD AFIVYQGHHGDRGAQIADVVLPGAAYTEKAGTYINTEGRVQMTRAATGLPGAARTDWK IIRAVSEFLGVPLPYDDVAQLRDRMAEISPALAAYDVVEPVALKQLSKVQLVDQNKGA KPTGEPLRKVIENFYFTDVISRSSPTMARCSAAKETGDPRTNFMAPGMEEDRPMGQVA YGA MYCTH_2312317 MEPNASERIPQLKNIAPAIFVPLQDDIFLAEPPRDRAERLKRIL ETIDYQREGVKENLLYMFEREKKRVVQQAAELEQAQGPSAIKPSLAPAEVDEIIANME APGSGRIEDYMIRDVPRLDSSKPVAPNTSLRDKTVTELLAMIEAAVADLEGFERHMAG IKNWYLACLEQEMARLDQAGKRPEER MYCTH_2312319 MTVTHIVLFQFKADAKPEAVKAVVDNFLSLKDNCIHPTSKTPYI LSLKCGKDNSPEGLQNGMTHGFVVEFASAEDGDYYVTTDPVHQNLVKSLGDVLEKPIV LDFSDGVY MYCTH_2312320 MSGPLDDPVLRHKEEEDKVEMLRHRHMQEEDEQLQDGNAQNNNN DVLQRTIALWESADRRQQREHDMIFMKQLQALASLFTSKRTFVALSTLTSEEDLEVWD GQLRSSLAPYKLFRYLDSDVPEPDKDDIVAHAIWEADRADIYRVITASLESNILWRMI RIGWNPEVVDPRATYKKVFEALQPRTINTTRLLIQEYREIEPSKFDTMDRYIDRLCVL RQRLRNLGIINPPEMDIYPVLTAIKESYPELYKRNMRKMEDKSLTWNDLIKDMTKTCV DRDMEKNFVNVTVGNSGNDNKKMDDRSKPPSDRKRSTYGNIKCRDCDRHFNHEVKHCS GCGWHHNPKQVCWACNPEKAPDSWPKKARFLAKKNAPSSTAALHPNSGVANSTTSAAR SIFHCTNFASIRPSFHSGPRI MYCTH_2312321 MSDSIDRVFVHALNTVKKIPKTGAARPPPSDRMRLYGLYKQAME GDVDGVMERPTATSSGNSEDLVREQDKWDAWNSQKGLSRTEAKRRYVEALIETMHKYA NTPNALELVAELEFVWNQVKSNSPSAAGSSSERSGGGGTGAQRGGVGGAGGIIPSPVV RRFQAPLSGSEGPMKVLSPMSEEDESERRMAELADQADDDPGEYARRQDQRSKRMERA IVRLSAEIAALREQIATGREWRARRERGVFAWLGWIFWAVAKHITVDIALLLLLLIWM RKRRDRRLEDNVRAIFRIAREYVSGILPPR MYCTH_2312322 MPKRTHSESASSSPSEQSTKSTEGEPAPKRTRSSPATTSISSPQ IPPWTIFTRWTSATITRSYPPLPEVLDPALETAALTHSGMRKSLSDPSYERLEWIGDV YLELVASELIAQTFPTLDPGRCSHYREMLVRNATLGQFSVHYGLDKRANFPAEFGLGG RPNGTKASEKQRAKALGDIFEAYVGAIVRSDPENGLRRVAEWMKVLWGPVLERQIREE ETGARVSDRELNPKTRLEQLIGGPGVKIEYRDLPSGGKRDRDTNQELFFVGCFLTGWG ETGLQLGYGAALGKKEAGQKAAVKAMENKKLMKKFVEKKKAYMAARAAQAEKAT MYCTH_2312323 MSVPRARLLDLMRARCELFSTTFNPDGIRTGNKILRQRLKGPAL ASYYPRKIVTFRQFQDAFKPLELEVDNEEEIDRLEHIAAYATPRSA MYCTH_2312328 MADQLPAANPNRSRGIWNNNNNNNNNNFRPAFGNPYSPGQMMVE DAQPIAWPNSRVANYEPTFAASAMDVYRQNRMGPEQDAMRPMGRRGNRYDNRHGSSNH YGGGYSGYGMGPAPYEMTQGYSTGPPAMMGSGMGMGLYSPYQQQPVGTALSPHATEFT ASSWKGEVSRPIWKALSDELLLTLPSQTLTADGQTYVSPTTEPLNYRRLLDRNVTCDW KYIVDKIVCNNDQQASIFLQQKLKVGTPEQKYDIVEAIVAQAYPLMVNRFGNFLVQRC FEHGTPEQVIKIAQSIRGNTLNLSMDPFGCHVVQKAFDCVPEDYKAIMVHELLRRIPE TVIHRYACHVWQKLFELRWTESPPQIMKYVNEALRGMWHEVALGETGSLVVQNIFENC LEEDKRPCIEEVLANIDIVAHGQFGNWCIQHICEHGAPADRSRAIDHVIRYAAEYSMD QFASKVVEKCLKIGGSEFLGRYLDRVCEGRMDRPRIPLIDIASDQYGNYLVQYILTHA APQHREIVAAHIRKHMVSLRGSKFGSRVGMLCTNHAVATRPGPAMGPMPGRMAGSSRY GTGYR MYCTH_2312329 MRFQPAARCLAVAQQFRGPCWSRSFFFSATFTNPAAAFATMAAP NGSSSPPRGGSRDEGVTLAALPKSWHFTSSLPADPQFPTPADSHKASREDLGPRQVRG ALFTWVRPETQEEPELLAVSPAAMRDLGLAQSEAETDEFRQVVAGNKILGWDPETLSG PGYPWAQCYGGFQFGAWAGQLGDGRAISLFEATNPRTGRRYEVQLKGAGITPYSRFAD GKAVLRSSIREFIVSEALHALGIPTTRALAISLLPHSRVRRERVEPGAVVVRFAESWL RFGTFDLLRARGDRALLRRLATYVAEDVLGSWENLPARLDDPDDPAKTPAPARNVPRD AVQGPPGAEENRFARLYREIARRSALAVAKWQVYGFMNGVLNTDNTSVLGLSMDYGPF AFMDAFDPAYTPNHDDYMLRYSYRNQPTVIWWNLVRLGEALGELLGAGPDVDADDFVA TGPTEAAAPALVARAERLINAAGEEYKAVFLAEYKRLFAARIGLRGGPRPADFDALFS PLLDTLEALSLDFNLFFRRLSDLRLRDISTPEDRLAQAGRFFYQDQDQNQSGRQRVAD WLAVWRERVVQDWGTLEDWGSSFSSADGSGAVSDKADAERMAAMKRVNPNFVPRGWIL DEVIRRVEKDGERDVLKRALHMALHPFEDEWAGRVFDGVVYEGDREEEVRWTGDVPKT GRAMQCSCSS MYCTH_2141012 MSSATAEFQVHASGTAPKQVDYIPHLKLNDGNEIPMLGYGLGTA RFKRGNSDKLDENIINAALTAIKNGYYHLDGAEGYGNEAELGEAIARSGVDRSKLFVT TKSSCRPGESIETAFSRSLAKLGLDYVDLYLIHSPFWAKSPAELQERWAEMEALKDSG RARSIGVSNYLPEHLEATLQTARYPPAVNQIEYHPYLQHEDGDLLGYLRDKGIAIVAY SPLTAITRAPGGPVDAVYDRLARKYGVTPGEIGLRWCLDQGIVTLTTSAKEERLKDWK QRLPLFKLTPKEVEEIADRGKEGHYRAFWTKHFKEGDRR MYCTH_2312334 MVTSHIIRIPRTDEEGSFILGEVTPSGSKPLNVKFVATEGEEPY VVKLRHDRIGELRASSSPCSPGEWESILKALLLGSEPIEGIEAGAEAKVGKSITITIR RRVAGINQRLGALTLNHKPDEAIQLFDWCGAAALQREQLQEAAVAEKARVSELESRIA ELRTQLDELTESKKAREAEMLEKFCVLLNEKKVKIREQQRLLSTAQVDYSKLDAARAS QAARLDTSEGHKPAPSRRTKRKALEDASGGGSSDSDDAFEKVTPGSDKMDIDQVEPRR ENKELSESEDRETTDGDETETGSEPEEEEEEAPAPRRSPSSPKLRQAQGPEPKSRPAA PRRAGKGKDFVIHPPKNPVRKAKAATPPPAEGSETESDDEL MYCTH_2312336 MDSSTLRLKARPHVEEEIENWDDDDDFVFDGDDIAFPSLSNLAN ISSNRRDSQSSFRSDFESVHGEEEKQVHLPDNDEKSTLDAIAAAAKAGIPLPKNVPPS ALMGGTIKRLGGRKIKKIIQEDWVDDLEFPDAGQVLQIKDRDGAQFPEVLRQVSNSAQ PSPSKPPKDLPTMFQDEQKEEKPTTSSSLVDLEKFRDGDDDDFFGDGGATIKVSKRRV MPKSLSLITSPTPQRHIGDTQTGDDDFEKDLELPEDGHLKLSAKRDIPPKTPTFANIL DDLDWTEGSLGTRFGGTRREAQSTRSSSVSAFSPSISSSVMESEDEIFDGLIIPNGPL DFGERLKRRRKSRSPERIPEEPSVTEELGQQDTIKQKSFKGPLMEPLREEKGEEKEDF LDGLEIGDGEIFDSRKLTLNRNIQVKTTRPASPSRPKASVALKFTNKPVTASRLPRPM GSMASHERSHTQSSLEPVSESGGPIQRAHSRRSLSRMGGHSAHSSVTSLASSTTSTRP SSTQTVAPSTPRRRESGQGTSQGSLGNESATTNAQLLRMKRSLPAMRGTQSPARPQPT RGYERPPSRAEQTNRAPPTLRPKTPVERTRPSESAAALARKAPVPFVPAGASATQSHN VNAKPRTFRRHDSETSIEYRPSSRAVSRSAIRSPSPRLYRSAEKATPESHWGKMSVPK RARNFGDGHELDAFDDLPTSTQAEAKFLKQPSSAKSQTRTRGYQNIPDRNVTPSPRAP PSSRVDNVPSFARDTAASRIARETNLAQRNPSGVIAPLTAQRVAQLSAKGNSNAQSHF TPPTAKAKKVRRSPQSKPHLIANLNPPRDAKMVKGMWYNPEMFRWEGNDNALNAFEVP ATSSSTGSGHQHMVRERETATPRPALITNIGVTKGVQVVGGMVFDPQNMCWLKLAPQN RSGKGGALDGLDAFDDEEDVFKDIPDLEERTMASSDGGNPRVSDVNHEWPVGEEFDVG PEFVRRQREEEERWRKKCHLWINNQADRGDAWKWAIRDIVNEG MYCTH_104106 MKWTRLSLATASLFGLAVAGSKNKIQIGQQVIYSYPGETPPEHL FDLIRAGQVGGLILFGENVNDELPATIEQFLSAYRESPAYADLKTPFLIMTDQEGGQV RRLPGAPVESAKEVGESADPVAAAGRAGAGAAETLLRYNMNANLAPVLDVYREEGDFT DNYERSFSNDATVVAGCAAQFVRDQQRAKVLATAKHFPGLGAAKKDENTDARPVTIDL TLEEIRAVDEVPYHDAIGAGIAMIMPSWAIYPAFDAERPAGLSSRWIRDELRGRLGFD GVIVTDAIEAGSLKAFGDDADRALLAKKAGVDLILASGRNVTQGESIVSALAAALENG DLSQQELDASDKRVQAARAKLA MYCTH_2316635 MSQSRATVNEECIATYNELKLNKKYKYIIFKLSDDNTQIVVEST SEDGPEYDDFRNKLISAQSKSKTGALGKGPRYAVYDVQYDLKSGEGTRNKITFIAWSP DEAGVLAKMVYASSKEALKRALPGIAFEVQANDEDDIEWQTLVTAVSKGTAAI MYCTH_2097570 MQRALTRASVSSPLAAARVRSTQQLRFAHKELKFGVEGRAALLN GVETLAKAVATTLGPKGRNVLIESTFGSPKITKADGVTVAKAISLKDKFENLGAKLLA DVASKTNEIAGDGTTTATVLARAIFSETVKNVAAGCNPMDLRRGIQAAVEAVVEYLQK HKRDITTSAEIAQVATISANGDEHIGALIANAMEKVGKEGVITVKEGKTLQDELEVTE GMRFDRGFVSPYFITDAKAQKVEFEKPLILLSEQKISAAADIIPALEISNKLRRPLVI IAEDFEGEALAVCILNKLRGQLEVAAVKAPGFGDNRKSILGDIAVLTNGTVFTNELDV KLEKITPDMLGSTGSITITKEDTIILNGEGSKDAIAQRCEQIRGVMADPSTSDYEKEK LQERLAKLSGGVAVIKVGGASEVEVGEKKDRFVDALNATRAAVEEGILPGGGTALIKA SVNALNDLKPANFDQQLGVSIVKNAITRPARTIVENAGLEGSVVIGKLSDEYASDFNT GFNSAKGEYVDMIQAGILDPLKVVRTGLVDASGVASLLGTTEVAIVEAPEEKPAGGMG GMGGMGGMGGMGGMM MYCTH_17263 FQVNFENVAQELDIVSKAAAAKRYERLLKAHNINPTTPRKGAAS GNADAAEDGEEPKTPASKKRKRAAASKKEEDEDETPVKKENTVKKELSVKKEE MYCTH_96681 MARQSKTAAAADPASEGQAGAVSGSTINGIVPTHLETKFAFALV SQFKSKPDIDWDQVATIMGMSKKSAIERWRVMRIKFGITFNDEAGDGAAETPGSARKG RKSTVATTPKAAKDDGKEESKEDQDAAPFTPAKRGRKPAGAGKKTPTPGSAAGRKRRA GPADATTTSGAAAAGSLGNDGGKGAGGDDTVEREGPIATASPSKKIKAGKKAAAAPAT PAATLPAATSTATPVGGTQEGHASSPLSSVPGMTADEGSGDEMEV MYCTH_104102 MAERMTWDHRADHDLLTAIMQELQPSQEQLRGVMARMHSFGYTC TVKAITQHLQKLRRKEEKAAGGGSANGEGSSTPRTPGGRKKNAAAGVKKEPGSGSKRK PVAVSDEEEDVKPARVKKLKEEPGVKSEESDG MYCTH_27775 MPKWEDIREDLFEAIMQVHPPINKEQQAEVVRIMREKGHDMGWN AIRYATDIKATDITVTMARTLQNWDAETHEAVLLALIEHMKPNGSDWSAVVASLRPKG YTFSEGALV MYCTH_2312344 MMNKNWNDRADKDLFFTILSVKNIGVISGTEWVTIGNHMRSLGY GFTNEGCRQHFQGLRRAQAKAENGVAGDNPRKIDPTLNPITRRPGPGRGRPRKQPAAD AAASVPPAAPASGVHPGGTAAGGAPPGAPGTPSGAPALVPGTTPIQNMSHGLPVHAQF TGLPGVSPAPPTPASTVPGPSGTPAMANAPDSQGLPQGQGQAQPPPPQTNPGVAPQAQ MAAHEELAVDPSLGGEPEEEHAAKRQRLDDSQEPTLEDEAVLNALASHNNPTTPGEYT TEYSYGDA MYCTH_2312347 MRYSRFRAAMLGLEPQRRNRTANSGNNNKNRVSKKKKDDGPKPK KEDDENVKSSGSGIGNIKTEKTTTGAAVKSERRGSSQELPGPSQPSAPMATPVMMKAE PGFVNPFNQHQNRLHHDSLLSSGSPRVKPEKFMTTASANNKTNSVPEPTPFGILATVI PTTSPSVSSTPYIDGSHHRMQGRLPTPCSDSDGTIAGMHDFVSHSPPPAELLHHSQHH SHPLHHHHHHHVVGAGSSSPLSTSGPHSYDFSQHQCCDISPGSFPGSSSSPWHSQHQH HSQHGHGPSQAQTQAQTMFSPAAPGFDLGLGLSLGLTTGSYTPETGFNNNSNINENNN NPICGSYHDNIHLHHHHSLCHDEDGHHVMDDPLGLHGVGGAAPNMFRERAMELELAGG MISDTAAAAGVDAGGTARQVKREWEGEGKFTI MYCTH_2312348 MPPKAVAKAATGVSDGKQPTAQEAYLFYTIIKNMKGKPEIDWAA VAADAGYKTAETAKVS MYCTH_2312349 MEDDANQNTDDDDDNADADDNDDDGDEHMLMNQVPETPTKNKTG RVVGKRTKAGPGRKDNGTTAGPSVMDEFVDFPAVLPESVIEREAILVNNNGVWTVSPV PIDVHAQWLARLPASLQTRFYLQAHSVTTAAPTAGIAYSNHGDNVTGEGNTGNAGFIL PHAIAPAVARENEEEEEEEEEEEEKKKKNEEVHDANNTAAEHQERLSSLPGMVPMDLG GYVAPNQHNGSGTNDEDSAAAAAAAAAAVVGGCGGVDPDNVDLHSIPWHPGFFAEQMA RRDEERDRAVLFGGGDGHDQDEDGEEGHDGY MYCTH_2312350 MDGAEENAHDVAQQILPESPHHLSLSFDRRFPRPDAWTFSGPSS SLQYMTYISAAQRGILTTRAAFEISDETPPPPPSMPVKALAKGEPKKKLSLMDYQNRK KSASPVENGLPTRTESRTNGAITARSLPSKDDVTAGERTHAPPRPANAAPEKPRAEVN GERYSAQTAAPWGASRIANSPGRSKVSQTKPQPDPESRKRTAEVSAEPSPRKRTKSDV GMAKAEQSSRPAKPGTPRGREGAEKPAREIRAETSHPTVNGLPPLSAADRDREHTASP KSTIQVNGSRPRSDSGTSTPRKPESIKAALPQLLSPLHPSLFEGESEREDMPKHKTAG KASKPEKEKAKRFKIPELLSPTLPPVIEEILALRDRKPTASKGVSSQSSGHSSDSPSG ARKTIVAALPGRTAEEEERPSRPSRIVTFKLKKANAKRAKELLSLPSKSTKDALKKER SESAEAPPPPAKKRPRPAEDAPQESAAASKRPKISADVITARPAGSRTPLKPAAPAMS RVASSQSQGQGNTPAATTGLTPSTSDNRPPTRSEPLDPKVLAQVDYFKDRHTEYSRLG SKLKHARDDMMRDRGTNPAAADERRISALHFEMVLAYMVAFDSLNQSRILERKVCEIS AWESLLPHLAELRGRVQANRALKALAMQMHALCLEQISNAFATLDPAGAAATFGRWTK HHRNRTLMWGDANATYERVEEPKMRVVMGPWTAVDDAVAAVLDIMRRWAEKDGVRWQP EVNVKGGKDREREREKVPDKDRENKNRDKERARDRYRDREKDRERERDRDRDRERDRD RERERDRDRDRDRDRDKERERPRHPANGSKY MYCTH_2312351 MSHRSSDVYSRRPFGDDRRVPESRDHRRESLQRVGSDSSRADRY RKDSDTSARDSLRNQSDISSLGTPSLSPTVKPHLVRSSRSENGIKISASASQSSAESP SPGSTLSTRLISLLKQHDAAVIEVARLRAERDPLEKVWKKRQEEYEKSKIKHAEFPSV PEVQNLHRVKYGERLRYLDAEIRKAQDIVDETGRSIALAVSGCSEPTVPLQPQEPSKS RLAEISELRSELRKIKAGRLQERSGFEAELERRFAELKEQLTKQFTKQLSEQREKIVT GLSEMKEIKAMRSMKEETVEEVEQQLRAAREELDKRLSDGLEAVTSAKASTLAQKEMS ALRTDYSGLLKRVDDLTQQLSRNAQDTIYLRGELTEQLAQSNRRIASLSKDLSACVQR VEVEARKVEEHEEKLSGLDAEALEGVAEKMSIEFPDLQRKMAGIQAKMDGTISRQEVE SKQNSLLARVQRYVTESGESLAQMVDAVQKATAEQSVQIEELKKASISTARVGADSEP NQATKAEFETISSDIASIKSEFDATRMTVDKLSKDVSIIIDEDLKNQLEMVRLSIKVL DSQFSNLSTKSLAEHIIGQLGQIYPNAQQINADIEALKTMISNLAYRMVQLERQTEDL RRAQIMSCSCRAKTCAPETNSFDMLKVSNMQDSNLRNLNDNAQPVLKRRRTDSVLNAD EHLLAVPNGTNQTGG MYCTH_84848 MAAPVVTISESKELRGLNLIAAHSHIRGLGVDADTLEPRAVSQG LVGQEKARKAAAVVLEMIKQGKIAGRAVLIAGPPSTGKTAIAMGMAQSLGPDVPFTTL AASEIFSLEMSKTEALTQAFRKSIGVRIKEESEIMEGEVVEIQIDRSVTGGAKQGKLT IKTTDMEAIYDMGSKMIDAMTKERVMAGDIISIDKSSGKITKLGRSYARSRDYDAMGV DTKFLQCPDGELQKRKEVVHTVSLHEIDVINSRTQGFLALFSGDTGEIRSEIRDQINT KVGEWKEEGKAEIVPGVLFIDEVHMLDIECFSYINRALESDLAPIVIMASNRGQSRIR GTDYKSPHGLPLDFLDRVTIINTHAYTADELRQILSIRAQEEEVDLTPDALALLTKIG QEAGLRYASNLITTSQLICAKRRAKQVSVEDVQRSFKLFYDPARSVRFVTESEKRLIG HDGTVDFAVKTNVAENNAADNINGPPAETMDTS MYCTH_2121561 MAPGAERPELNYGSKIFLPPSALDKVSRLHVQWPIMLELINGAT GKHTHAGVLEFVAEEGRAYIPQWMMQTLQLDVGDMIQVKTTSLELAKLVKLQPQSVNF LEITDPRAVLEKAFRNFAALTKGDVFNFEYNDEIYDVAVLDVKPESEKMGVSMIETDV SVEFAPPVGYVEPEKAPRGSGTSTPRSTRGAGAGVPPGGLLYNQGTMAQAINYDAIAP GSTAASSGNFRGEGQRLSSKKNKGSTPKPATPASGTSGTGSESAVPKRRTNGPAPLRL PPNKLFLGYPIKPVKTAEDKEKEAANAKQPHFAGQGQTLRGAAKKKNDAGDKGGK MYCTH_2312359 MTNAAGSVPAQLAATTRNLRPRQASGAPGSSVGGAARKAGGRVT RSSATLLRTSSTNENSAAPQPQVLENPAKSHKRSQSSVGNARQQAKRTRLTPHEPGFY GESSDSGGDYDSLHEPPGPDVEQKAGGHQRRKPPKNSTPKKSSKPARATIRSPRKLAS EKFPASEGDESAGENGIIPDWGSLPYHILVQIFRYAAAPLNQVQQAKWLTATSGVCRA FAEPALTALYRAPPLLTRPMAHGLVSLLCKDPSTTLFNYRQKVEELWIDVEHIASKTF KGQPLDLPALVGNLPRLKSIQFFHQKDGPPYRSLDGSLRWHYPPALFKALNGTRHSAE EGGTINHAKLLGWQWNRRLMGPDLDLAAIKVLHETPPFLSLEKVSFVNYQVPSLHAGA SVDDKELAASDEAFIQGMADAITALPALKHLAIESSTVANSQLLLRLPDRLETLELVN CWEVDGDDFADYLLSRGHHLKQLTLRHNQSLSLSFVTVLGTACPNLEVLSMDFKTFKH HEFYHDSDPSYDDLLTASQVPDWPQSLETLQLLNMKKWTAEAAETLFQSLVDSAPRLL KLRWLELKAMLSIPIQERSRMRNKWGCKLRQVFLREKEEPKPLFSLREKPRPPDAEKI TKRTPKKSRKLGGVLPAESPSRRSSRIAGQSSNSSSRAGSIGRGLRHSLSRPSYAEPD TDEDEEEEEEDDDEKYAELGGAQTTDGLGTGNQPEPVTSPADAEALFRHGMCEKVEIQ LDNQKSAETTLTMDDFLDSGNDDLSDDDWVGQDDESDNGYAW MYCTH_84837 MSTINRRNRGLPFLHRNWLKATNHWSKMGNRSSFHSRDAGDKAA EHGDEAERAAKRRRIDNDYDGFPLFEQYGTAQRALRIEVLKISHKDSPRVKNGILNGI IAPNVRDVVRVKARCKLTISGHKGGEPVVLHVDSQVCDLRVFKNPAGSSPMARFSSLR PFHIPEEKIFLERDDDAVFGLANSYSVLVELESAGDANWPPADLVPVSDEDTFYNRCL PSRQWVLTASIADLFSSRNRKDVRLRVKKQPLADAATNFLLDMDVRWLTPISSRQRPR THAKDILASISVFGSSEAEVPLVNGNTKPSTLPNGTNTHRHESQTNDEGEPTLDGQAN GTVTDAAEELAEGELTPSRSRRTRQDINYNVKQMWNNAVGKETKKRRKLGDEHGQLDE HTITYLLPPEQVQTDKFACLLCGAENDRLSQLRAHYLSHPQYDFCFEFKPKTGYCVTV KPNASNHGSPLRPRVYQLGLPVKPLDLDKYVDGDDSWVTSRLGPDNGRELFRDTAPQG GRTVLVPKTKQPLFDPLSKVQLQPGTPVPQHEIDDSWLLLKHRDNLQDFIDLNAAEKE YLQTWDAFILRQHISSPQYLPRYFLRFVREKASWLVAKRARADEFSKHVATLLARRVL PDAAIFEATQLLNDARSRRAAVGEQNQKEAEDEGEGADGLQPSKNRVSGGCCTACGEP VPVVAMLVCANKGCEKRLYHDTCVEDPEAAAAKGRNWRCKACS MYCTH_2312360 MAAPKMTKNQMRRAKKKEQKKAKAEAQQNGSKEPEVNKEEEIKE ETPVNGESKPDDLEVKKDPDASDEIDADGPIDAPAIPEDDPAFAEYKSIFEKFGVSLD GEEGSKEANKRDKGEVFFDQDDEIPSEGEEAQPKLSKKKRKKLNKLSIAELKALVRNP EVVEWHDVSSSDPRLLVQIKSQRNIVPVPGHWSLKREYLSSKRGIEKPPFKLPKFIAE TGITEMRDAVLEKQAEQTLKQKQRERVQPKMGKLDIDYQKLYDAFFRHQTKPDLTRFG DVYYEGKEWEADYKVFKPGELSDALKEALGMQPGFPPPWLLQQQRVGPPPSYPTLKIP GLNAPLPPGASWGFQPGQWGKPPLDEYNRPLYGGDIFGIMAPGQPGAAAPYQPQPQQP QAAAYASLGEPVERTLWGELQPPAEESEEEEEEEEEEEEDEGASQAGDLPPGGSTDAA SGLETPGGFASTVHADAHEPAGVETSMAGEFDLRKTRRGFETEESSYGGSGPRQAYTI IPERQGRAEGFFGSDKTYDLSKKPPQPPPPAGMRVLGRDDDGGDDASRKRKKPGDVDV AIDPDTLAASGGGLDKDELRRRFEAGRREEGVGAQWSRSAYEEDLSDMIAQKSRKRQR REEERRGEKGRR MYCTH_2312361 MPHSTSHSISTGSHMRAASQTRASTSKSYGDSNNGGSAHGETEK FPDFDPGQTFLNAQPSGQQRWQQNGFPSGNGDASYLGRWHPRRDSRVKWGPREPISTS STHAKTNSISSAVHRIRSASMSQNAHEIAEALRAPISWKLIGLCVMWYWSSALTNTSS KTILTAFDKPATLTLVQFAFVSTYCVLFSWLAATFPRLREAVPALRYPIRAPSRDVIK TTLPLAAFQIGGHLLSSNATSRIPVSLVHTIKGLSPLFTVLAYRFIFDIRYPRSTYFS LVPLTIGVMLACSGNHTFGGQFLGILYALLAAIIFVTQNIFSKRLFNEAARAEREGPL HQSRKLDKLNLLCYSSGLAFVLTGPIWLWSEGVGIIGDLLWDGSLDLNKSPNSLDHGP LVLEYIFNGTFHFGQNILAFVLLSMVSPVTYSVASLIKRVFVIIIAIIWFRNPTTPVQ AAGIALTFLGLYLYDRSNDKNKADQRARALADTRREASLLPLSSKHALSGAASAAAAH NGQGGGPSSALLYDSPVGIGGEPPAAAARAYTPGSAAAAAFASNSVVSDPKKSDDVPG GHGGGRSRGMSNAGWRPPGTRQEDTWRTGDSQEVTAR MYCTH_2312362 MARSLFELPDELVLTALNHKFPCREPQIRALSTLFYPGAAPCRN LVIYGTEATGKSAITAALLAELSNQSPDDGFILRHAIVNSTECITARHLYETVVAKVM DALEWDAAPPRCETVSQLAVELSKLLKYTPRPDGFRFVLVFDAIDRQRDAPHTLLPAL ARLSEIIPSLTTAFIVTSPPPNFLLTSSVPHVHFPAYTKPDFIAILSLSAPPALPNTT AQETADLWARFTAAVHDALARAASRTLPSFRHACTALWPRFTAPIHAGTHSAREFSKL LVAARVHFQDERLLDPGVLAVTSTTTTTTTSMAPKPATQATATGSTLSKQIPPPTPPP PPPPPPPPPPPQSKTTATIIPTALAKPRSETSAGLALATLLPTTARLLLLAAYLASHN PTRHDQTLFSTHYHGSRRGRRRRHRQRGPGLSSSFSLPASASASAADGAHGRGRGRGR AKHRKIARKLLGAHAFVLERMLAIYEAVRAEWEVGGRGVAKEEGREEEAAAAAAAAAP LGDADLGAAIATLASLRLLVRVGGGAAGGAAGAAGAVGDVMDRGGKWRVNVGWEVIRG LGRGIGVEVEEWLIE MYCTH_2070790 METTATTETTATPVTNAAGKPYHAKRPHRKSRTGCRNCKARKVK CDEGRPACRMCTLRRETCVYMAAPKRAPRSTSSSSPTPSRDGDAAALEQRCCTSAVVP QPQFRPGGHDETDMRLLWFYTTATYSSFSTGQLKERNVDVILKVNVVQHAFANRFLMD TILGLSAMHINHLGIRNLGISRSLELQYRARAFENFRKAVEAADPSTYPALLITSLFL CGLSTHVFRGEEARPFAVLDWMNLWKGIGTIIELIRSQHEQLFRTRLGSLVFRPAVDL DASARCIPNQLLLMIASIKEGDPDFPLSQVYYRALQLLGSLYLELRNGFTPLLFLRIV TFFTFFPNSLIRPAREMRPRALVIIAHYLVFTRFKANHSWWIEDIAQYEIPNICSFLG PGWEDLLRLPIASLYTEDNTALARLLLGDPSWHPPSKIEQVPAPSLYEERELAIRTVK AEETAEEAREYLENYAADEFC MYCTH_2130973 MVTVSSLVAAAGVVLLAGQEVFAVQLTRVDYPNNATSRAEMYIY VPDNVVESPPLVVVVLLGPGVLPKRGDPVAAGVGQQGVHHAVAVVSERGGGGDSQAIA DMILHAVAEYGADAARVYLTGGSSGAMMGNVHGRDVAAWNNTCSGGRSRASPEQWGNV VRDMYPGYEGPRPKMQIWHGSADSTLAPANYEETIKQWTNVFGVSQEPTNSVENYPAP NYRTDDYGENVQGIFANGVGHSVPANLTASEAWFGL MYCTH_2316642 MFKSGISAFARTARPSFAAATRRAVRPASLNLRAPAFSRFASTA GVGDGKIHQVIGAVVDVKFDTDKLPPILNALETDNNGQKLVLEVAQHLGENVVRCIAM DGTEGLVRGAKATDTGAPITIPVGPATLGRIMNVTGDPIDERGPIKSDKRAPIHADAP EFVDQSTSAEILVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGG YSVFTGVGERTREGNDLYHEMQQTSVIQLDGESKVALVFGQMNEPPGARARVALTGLT IAEYFRDQEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGGMQERI TTTKKGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSK SRMLDPRIVGQEHYEVATRVQQILQEYKSLQDIIAILGMDELSEADKLTVERARKIQR FLSQPFTVAQVFTGIEGKLVDLKDTIASFKAILNGEGDDLPEGAFYMVGDFASAREKG EKILAELEKSA MYCTH_2312374 MDPIAVNSSNTLADSGKLAEDDIPRDGTGVLKLDPWLSPFQDSL KRRYAKAQEWIKRIDETEGGLDKFSKGTDLFGLRVKEDGSIVYREWAPNAVRASLIGD FNKWDNKAHPMKKNEFGVFEITIPPTADGKPAIPHKSKVKITLELPTAEWVDRLPAWI KYVTQDLSVSPAYDARFWNPPPEERYVFKHARPKKPASLRIYEAHVGISSPELRVTTY KEFTKNMLQRIKGLGYNAIQLMAIMEHAYYASFGYQVNNFFAASSRYGEPEDLKELVD VAHSLGLVVLLDVVHSHASKNVLDGLNHFDGTDHQYFHEGGRGRHELWDSRLFNYGHH EVMRFLLSNLRFWMDEYQFDGFRFDGVTSMLYLHHGIGTGFSGGYHEYFGAAVDEEAV AYLMVANEMLHQLYPEVITVAEDVSGMPALCLPLSLGGIGFDYRLAMAIPDMWIKILK ELKDEDWNIGNICWTLTNRRHGEKTIAYAESHDQALVGDKTLMMHLCDAELYTNMSVL TPLTPVIDRGMALHKMIRLLTHGLGGEGWLNFEGNEFGHPEWLDFPREGNQNSFWYAR RQLNLTEDHLLRYQFLNNFDRSMNLCEAKYGWLHSPQAYISLKHEGDKVIVFERAGLV FVFNFHPTRSYTDYRIGIEVPGTYRIVLDSDTKEHGGFCRLDPDTRFFTEPLEWNGRK NCTHVYIPCRTAFILALESTL MYCTH_2312381 MSVLSRARAPTSAATTLWFSRLRRPAYSTSNILLWAPRRSNYSA SGYTSSYDPNQDTGRGPIFNKHTFGVPQFYPRDLKARVDDYVVGQERAKKTICFVIFN HYQGLRRRQHHELQDQRLREKLQRQKYAQEQEDFERAGYNSTRTHPVEDEYPGHHEAV RGTHQMPDSPYEPSADDFYIPEDLSPPDRVKIDKSNLLLIGPTGVGKTYILETLSKKL NVPFTISDCNSFTQAGYIGQDVESCIERLLIEANYDVKAAEHGIVVLDEFDKIARRET VNGRDVGGEGVQQALLKLVEGTKVTINIKEQRSSRATNHPPGGYGPPNPPSTPPTGKA EQYTVDTTNVLFVFCGAFVGLDKTVLRRVAKPSIGFGSDIRGRGTSLSGSKDILPPEM YQHLPHQPPSRGSGGREAGGFTPLDLTTPADLQAYGFIPELIGRLHNICALSPLSLDE LYRILTEPRNSLVAQYTALFETYPSKLAFTRRALYAIAERAAKNETGARGLKMEMERV LAEPMFDAPAPYVLITEGCVKGYEKAGYWGKDGRLEMERRMREEDEGLDAAGTASPGH HAGFEQFRQAGLSGG MYCTH_2312382 MSSSTPPQPAPAAATAAMETRDPAATTSSTTTTTTTTTTTTTTT ITPTTTTTIPAATATPGTTTTTKTARNRADITPLPPFQPDLYQRAWCSVPHPTLPLLA TAHAKSVTVFSLATLSKHSALTGGHARSVRAVAWQPPRGRAAPKRLGLVTGSFDATAG LWSYDAERGSGGLEREIKLRGEDDDNNDDDEEEEEGDGGDKEEWEFNLVLEGHENEIK SIAFSPGGQYLATSSRDKSVWIWEDVSGGEDEEEDEWETVAVLNEHDGDVKAVAWAPS HLPNARAGVRRRHYSADVLASASYDNTVRIWREDADGEWVCVAVLEGHDGTVWGLQWE GKERADGRFPRLMTFSADGLIKVWTLRADDEEEEEAGEQQGGNPFKSGFGGVPNTMRR SLREEWDCTAVLPKVHTRDVYSVSWSAETGLVASTGSDGVIAVYAEAEEEETTPSEDV GKGGGGGARNNGTPAPKSNWKVLGTVSKAHGPYEINHITWCKRFDPGAECKGKEEMLV TTGDDGVVRPWQVRIS MYCTH_2312383 MLRTSIRALQSAASSSSRCFSTATVSARANLRPTASRRPLALAA QKRFESALHNAPEPNDNFLQGNTANYIDEMYLQWKQDPESVHVSWQVYFKNMESGDMP ISQAFTPPPSLVPSTQAVVGLAAGAGVGIGEGADITNHLKVQLLVRAYQARGHHKSKI DPLGIRNASKGFGNIRPKELELDYYQFTEKDLDTEYTLGPGILPRFRREGREKMTLRE IVAACEKIYCGSYGVEFIHIPDREKCDWLRERIEVPQPFKYSIDEKRRILDRLIWSSS FEAFLSTKYPNDKRFGLEGCETLVPGMKALIDRSVDYGIKDIVIGMPHRGRLNVLSNV VRKPNESIFSEFAGTSGAEDEGSGDVKYHLGMNFERPTPSGKRVQLSLVANPSHLEAE DPVVLGKVRAIQHYNNDETTHRSAMAVLLHGDAAFAAQGVVYECLGFHSLPAFSTGGT IHLVVNNQIGFTTDPRFARSTAYCTDIAKAIDAPVFHVNADDVEAVNFVCQLAADWRA EFKQDVIIDLVCYRKHGHNETDQPSFTQPLMYKRIQEKVPQLDIYVNQLLKEGTFTKE DIEEHKQWVWGMLEESFAKSKDYQPTSKEWTTSAWNGFKSPKELATEILPHTPTGVDR KTLEHIGEVIGTAPEGFNLHRNLKRILANRTKSVLEGKNIDWSTAEALAFGTMVTEGR HVRVSGQDVERGTFSQRHAVFHDQETEDTYTPLQHVSKDQGKFVISNSSLSEYGALGF EYGYSLTDPNGFVMWEAQFGDFANNAQCIIDQFIASGEQKWMQRTGLVMSLPHGYDGQ GPEHSSGRLERFLQLCNEDPRVFPSAEKLQRQHQDCNIQVAYPSTPANLFHILRRQMN RQFRKPLILFFSKSLLRHPLARSNIEEFTGDSQFQWVIADPAHETGAIKPHDQIDRVI ICTGQVYAALHKYRAEKQIDDVAFTRIEQLHPFPWELLRENLDMYPNAKTIVWAQEEP LNAGAWSYTQPRIETLLNQTKHHHRKHVMYAGRNPSASVATGLKASHTKEEQDLLEMA FSVKQDKLKGE MYCTH_2312385 MALPRGRTTYKKKEGILTLTDDRSALIWTPMPATGPPTVSLALD NIMNLQQTPDGAPKVILKVVEKPRPGAGEGAAPSQFLFHFTSPTDARAEANSIRDLLS QLLAAARENDPNVPKPAGAAQNGANGEDGGGASAAMSFASTVNAKPAPPKWFDDDALQ ADTELQQSLLKKNEVLAQTYRDALALKPESISEAAFNARFWSSRVGLLRAHAIELNQK KGAYNVLSTIKPRTEDGQFKLSITSEQISMILQQHPLVRRIYNENVPKLTETEFWSRF FLSKLSKKLRGERITDNDNADAIFDRYLNADNTFGFASKITAAQKVPHMIDLEANEEN QGGFKGGNRKDVEMRPRGNIPIIKTLNSLSEKIMANVAPSDLDPSAPDGLLDDTRAFE QLTLRDLRGDTEAARIILNVKEQNKFFSNQEAQSEEAKVYEKQVPSEVLFEVHADLET LDDDGSGGIDLRKAIGIDDDSDSDPDTEKPAHVGSRAARRQAQNQILDGMRKKRSEML GGFGAGGGAAAADEDASPMGIPPEIAQRCVLTNATTTEFLRQFWSAFLSGDPARTREL AYYAESLRRSTDRIDALAAEAEQIRQVVVERRKREIKEHYERTRKKLRWQPPKGGKDS VLALFEATLTAINSALELYVSALGEAKGAK MYCTH_2312386 MPTAAGARALLSAQTPSRARRLPRVAAITPAAARTALSTLPHHR CAPCRPSEPAVPGRGFHTSSSLHAARPDEPPPNPEGPPPPPPSPPPSSADSNKDNGAP LPGNGQPAEASGKSEADPAAADADANANANANAKREKLKSAGYGSARARANRNNRVEE PPALELPRWFEVNQISLYERRPIGVAGTLLPRLGEGDREQMLTLMETLLDKAALSDEE VETLKDRLRRFANRQDRDDLILTSLKTRARRIHQGAFWQALLLLYHPLADPAHVERLR QHHAATIDRRQLWWPLPDSLMSDELKRWLSNLRSDAGPGRGFHLSPLAKRPDPEYPIC MELLAAVDSQLAMPPTPSRQDARRPPIVLSMLKGKGPTLAESVVNDIATDLQADVIHL NAHHIARIVGRHVGQNPYSARGALSMLGYAAAEMNGRLAARPNPDADQLGLVAVELPS RLRSFLSPRETTAQGLFDGRWEDMKVNAALEAIVAAVDRERERARLSAAAAAAPALDK GGSADADADPNANANAKLPSARKLIIHLHDYVELSSLYPSIIHKLRAIADRMWRAGRG VVLVGSSSGDMDRSLQWRDQVIELGRDGAHVIPFHADSVKDYSLFEDWDNVLENLFNI KEMLRAVVGDQVPITFITEFMDASGTILSEESHKTIFKLLASHVHDAQWVQRVVSLMG GGRTGSREGYGVLTLNYALKFMLDRNKQWEQINPSVRPPYYSPLHTPRSGSSSSSSSS SFPYSSSSADDPAAFSNLSGAAASKEYNNDEKKLLSGLINAKDIHTTFDQIIVPQETK ESLMGLTTLSLVRPEAFSYGVLKTEHISGCLLYGPPGTGKTLLAKAVAKESGANMLEV SAADINDKWVGQSEKNVQALFSLARKLAPCVIFLDEADALLAARRSGPARAAYRETIT QFLREWDGLTGSRAFIMVATNRPFDLDEAVLRRLPRKILVDLPLAAEREAILRVVLRD EVLAPDVDLARLAAETELYSGSDLKNLCVSAAMEAVREEVRAKEAWSGEGEYRFPDRR VLTRAHFDKGLREISASISGDMQTLKAIRKFDEQYGDAGRKRRVRKGLGFDVVPDDGK GLTGEARVRQVEAA MYCTH_2312387 MKSWRELGEVPDSDDDSFDDTDLLDENLNFDWQPQSEDGYNVEG TRVEENHAGPVKDADVWSVPSSPPEQALPYHSTNSAQASQTLTQFPWPAEPSPNPAGQ TSPSTPAESSLDKPSSIPRIPAASNLPSDVFREDEISKSYVRVTSPAPSSSSISSLLS RTPTPPQSPSLPQTHLSPPPARLSAPTNASPPADIVDSEQLSRQTAVRLERSLRPRKP IQQHPYLLESVQYTAFMKSHGIKPVRVIQESRPLRSGAEDEDSQDTDFQAEESQETSA DGLGGLDASGPVLFDDDEDELALTPSLPKTSPHGQQLRTSSQPTNPDQTDATSLSDEE FPPLERLHPVSAKERQRLLKRQRSQLLSSTRRKRPRFVPDSSSQESPRRPRFIPPPPI DIWDLSSSPRVPQVPEEQPQEPGASPKQPAERRPSPPSAPILSPRSTAAVSEDEAADP PVLITEDSQSDPDDVDLPGSTASSCSDSEVVRQNSRRIRGVLPASWLRLDQPQSKPAA RNVKRRTPEPSPDRTVRRGLALPRQGSPKPPGGASLMVFDESEESENELPRRPTESAD DPARAALAAVVIDEDDGASVIEEDTIDWMLPGRKRSYSHTISGRAKRQKRSGTQSVFH GRPNVPSRQPKITQVLPRAKHGVNSTSANRKLTHRQHQKGGTSVASRNISKRAASPPL LSILDVVEPDAPRFVRLAARAVRRKSNLGKASPSNKVISLASRNDNVDALSVLRDWKS GKTKPKIPAPLRKPVSKPKRRPALREISSNATSRPRGPRPHKLIRQSNLDSFVIADEG PQEGQPPERPLASAISPRKPLQGRRLSFHPAQLEEDEAEERPRQLSARKRTLDALYRR RRRTFGASTDDGLDQILDVNFTLQESAAEGQGDEGDIEPGARQSTTPTEGRTRAGRRR FRKTCPPQRVDLDAPQYTRANDPLPPDFSDFEPRECLPQDRNQAQDKLRGLGPYGTHY THHFEVFPLDMGVFFHESTVIGRGLVRDAVDLGLSDRIRHQRPPMSFSLDGRDLRWSR WDDNTSSELGILVDWIAEQLAPNAAANAGFSRKTIEAAEFVLGYVLRSLSVSDEHEEK AFVSRWLEVLSSFIGRFESSDWSTGSEETKTTQLEVVVRFCLATSAVRSISQASNADP VQSTKLDGLLKQSASATIKRLLECGTKELRVLYGDLQRPSLRERGIRSHHLFANCWVV MMRVLESAAIPRSSFWDVTQSVMLSGGVISSSDCQLFERLWQDMFTLLPLTEVDDSGL VVPGMRRTAPMEGWTLPQQLLKRVFQLYQTNPRQPPGFNDYCRALVARCHLLVQQWGW RKGTGIIGTIFDFFGSQNLEHLRNEEVYKSPRFLEELDGSPSLDIEPEDRCFHIFIKL LALTIQRLKELNRPNDIRNLVTRTLPNHNRQYLKENMIHHRDLAALRNHHDLLCTLFW VSPPELRREVHEIEDLIVPGSAHKEACLISVRAWNQLARFVIAKDEGGDAFRPLAMWR NNIFNQVLDQYLSAASDIEQQFRALSSEMAGISKDVRDDMVAKNKPTALDVLHHSVKA SLDVLQRAPTLGAALYGLNTGQLQKVFTSLDYQSPGFDWGILRVALDTIEHLLGRIDQ ASEEQFSSEFDDNLDAPFLEDAVLLVNEHLTKDFFWMARTTLALPQESSARRHNQQVA CAEKTVTLAARIAARFVKNRVTHLLSFFSTGKYGLFPDLPHNMTTPDRRYLPLFLAVL VKNHVFDFKDLGINMLGMWMLAIVKPLRYLRYENYLAEVLQHRDLPFLERATVAVGIP PDYNSNADFFACAIHHMRKSLRECGSVRAKQLREEFRKTLQLVMQKMKEDLALLRSHG GEHGPYIEFVRQVVSLIKSHGVNICAVDPFFMQPSPDYSPSLQDPQLHTAGIVAYGVK LSEGDTTATQPLFHYLFNNFKVSLGNDKLEQECKILSRAMRNGHVTSFMLQCMIPAII QASTQAPDCWALLEVYAVAFQNMLESSWSVPKELGHEDMEHVLGIVTSILAWFQNLGC TASPSLQQLHVMALLATLANTLQPSVASYLFNEVEGGTLVTQLQEAVDRLAALFSELG SYLEDVLSRAGGNDVPQPEIISLSAVLGALPPAPPPPPQQQPTSGGARNPRVEMFANT IISDVRQNWVVTADRVMVRMASPGRTGTTPGGPPLLSQAAVSSSAAASLRGACYGPWE TRAVLERLRAAVGGWMLGSSRDGGRGGGKLKRGKEMVDLDLLF MYCTH_2312390 MSSILRNSLVRASRHSATTALGYRAASTHAISNPTLANIEKRWE GMPLQEQAELWMALRDRMKGNWADLTLQEKKAAYFVAFGPHGPRALDPPGENKKVAIY TAVGVGFSLLLFAIVRAFANPPPRTMTKEWQEATNEYLKSQKADPLTGLTSEGYSGKG HVQSPPAKA MYCTH_104076 MSRFAKARRLIPPLAVAGAAGGVLYYTYRPRNIPGYEGPVVPPP IYGADGTFKLPRFPRVKSRLEQIADLKKSSSGVGGGGEGKGEGEGEEYDILVIGAGAT GSGVALDAATRGLKVAVVERGDFSCGTSSKSTKLVHGGVRYLEKAVWNLDYAQYELVK EALKERTYFLQTAPHLSSWLPIMLPLDRWWKVPYYWAGTKFYDFLAGSEGIESSYFLT KSKAIDAFPMLKQTDLVGALVYYDGAHNDSRMNVSLAMTAALYGATVVNHMEVTGLLK DDKGKLCGATVKDKIPERDGKPAEEFGIRAKCVINCTGPFTDSIRKMDDPNCKEIVAP ASGVHVILPGYYSPGKMGLIDPATSDGRVIFFLPWQGNTIAGTTDEPAVVSTNPLPDE KSIQWILNEIRHYLSPDINVRRGDVLAAWSGLRPLVKDPKAKNTESLVRSHLVDISES GLLTCAGGKWTTYRQMAEECVDAAIKAFNLQPKPVVNAPRVSGTENVDDGANLDGTCQ THRVRLIGAHGWSRTLFIHLIQHFGVETEVAKHLTESYGDRAWTVAALCRPTDKRFPA RGERISQLYPFVDGEVRYAVRHEYAQTAADVLARRTRLAFLNAQAALEALPKIIDIMS QELGWDKKRQDFEWNETVKFLESMGLPQPMLSVTRKQIEQGKIDWKSSLEYRMYSRHD KPVDEEE MYCTH_2312396 MLLENTTTTEKRLLHVPPCNEGDREEVDPDPYGWEAELEKRVTH QCAAGTVGLGMSDCCRVPVIQYRRASGAKRTLLRRVLSFGPSAGTNAEG MYCTH_2312397 MPGAQRRGHATAPMPPRPISRESTQPASVLEGAEGDSIMSASRP PSLALMPPSSACSDPASPPSLRDILTNNAPPPYTLGAFTAFLSQNHCMETLEFTMDAD RYSAAYSNLVGREQASGSRESNEYVSSLWKKIMSAYIVPYGHREVNLPAHVRDRLLSL SYTPVPPDPSELDEAVRIVYELMNDSVLGPFLASVTPQHDWTEDNDPRHARSRLRIPR DMSSSSDEASRSPKVGFLPMFSMPWATEPKSSTTSSSEPAERGLTDSNLNTPSPASTE PMTPPTTPPVSDWELASSPGAVHHRAASSQSSGWKRVGAKLGFGRISRSKRGHSSSAT SAPPGLEEMSRREPDHPRRKTNPL MYCTH_2312400 MPSQAPTPRATRNSRGLRGSPSPKQVSSAADSRLGDSARVARRR RSSRTPVDTDLSSALGTAVDTPTPRARFAHSPSRIRATALKAISEDQQQPATPTPTIR PTSSRPPIFPPPVSLPPATPYVPTPLPRSSSPLEHPRVTAIRSRPTRSPRRTAPPKTP ARYTRYVTRFIDLTPPISPAATPQSPGSETALFKQRREQEGEDKFARELWIAIRNEHY MKGCWCGPPNIYNSKEEAEAATGHLRFYLAGSENKKLRVLQKKDDHRWHCVCGNNEYH AVYKDLLNSNGKRPAEDTEVDLLTQNQEPRGVEGSQSVERVVAAPTDPVTGAVQSRTL GAAQAKSPAPAQSMWAQTYGILSRAVAIMGSPIVALLDTLRGQFLGDAYETLDTRRTN PANDAIVVKRLKRQAPLSGTEQASSDIDGDGFDDLVWADDPTQRIGLDKLEIICAAFD TQYKIIKDDKVVRGSSIDEIRNQASDPRIYETSFSIFQYQEYLYGPLHPEASDAERAE RWDVAVEGYEQGLLLFGRLVAQIYGPSLLADLRQKHSRLPRRLPVGNNEFRKDCMKLG RFLGFMRSLDCIHNTAFDQALSQMIVDANAIHKQEMPPSYVKAKQDPTETMPGCFPKE SAIVEIPADEVDIEPLYDYRFPDPEPSDGEPEVPGPGVYKMVQHPKGILKPSKARDPS PPSPQYVATPKRNRKLSFISPVSKFIPPSHIPTKVMTPLEEEQFLNAKLKAEVVRDEH STRAFEALKTVSRQPDGPLRLEDKWSLEALERDDQEMGLTYHAQHYGSFLDGLREELE KRVERDSERRAKTLARPPPRRHMVHIPLPPLPSTPERRRRAAHLLEHDSSSPAVSTPG TNPLSRLGPAEQPPKPSEKNRGPRSLEEFFAEEEDDLAISTLKLEQLQIDRQIGEELE TSVQREIEEKRKRKEEEERRERERLLEEERRRKEEERRREQAARQRREADEFAALTGL RRPARPLITALSDDWDARVANAARANPTAELVKTLEGQPLTRRDFEEKLLPPTAWLND NVIIGSILHIADYVNRAKGATDQEPKCAAFTSYFWPRVLSHGPGGCGRLLRRAGVRKA NLLDIDTVLIPICAQSHWTLAVIRPGKRTVAHIDSMRGGGGDERVKAKLLELVRFILE EKFVESEWRAVDYEAPLQTNGWDCGVFTITNALCMAIGLNPKVSYTERELTLQRRRLA AVLLNEGFKGEFSLDGL MYCTH_2130987 MESQTLKKAEKPKLFDGKTSYSVSRQKKPITNFQRCSNRSPCPL PRRRSQAALFKQVTKTATAADTSGLRDGKEGMSEFLVEPPSPNFGSSFCDSYEEAQAL RRYWAKSNNRETSCAVECQAGGDENMKDEDSDEYVWDDAAEDDEQIKERLEEARLNTI KNNLEK MYCTH_2136117 MARKRKGTQQDDGAAAGAGQVKSAQAKAQDDQVMATNSSSIVSK RSVEKLYHADEPPFFRYFVPKFQRRAPLINRGYWLRLRAVDVLVRNFLRTLRRPRQQQ HQQHGRPEEGGEDEEDEEEEEEREKRKRGRKGVVVNLGCGSDVLPWQCLTRYPEDCAG VKFVDVDFPDLIERKRRTVLGTPELLRAFTGVKEASASIAPIAFESEQYVQIGCDLRD LGTLQKGLEAAVGDLSECDFIFVAEVSITYMEREGADEVIWWASTVGNAEFVLLEQIL PDGSQHPFASTMLTHFDKLNTQLKSVSSYPTVADQYERFSSRGWDSVNVRTLWQVWAD ETFLSRSERLQLDEIEEFDEWEEFALFASHYCLVHAKVGSDAAAIPAPSLPVSAEIPA EPTEMRFNECPGVKGQRRFAAAMWLSPGGSDEQTQLSVLNVLGLGSKSRLQSCDVFRQ GGLDGKEPLTFGGGGPTARMCHSLTDLGGNEVLLAGGRGRPCDPLKDCWLFDKETKTW KQTHDLPTPLYRHSVTALGRSGLALLVGGRGEMAFGGCLVYHPEAGWVDCDIVGEKPA AVYGAVLSCSGESSGGVFNGVYAGGLEDSLISDQIMYWEADKPTIKFRPMSITGILGT DAERRLLTRFGATGLQHGDVLVLLGGVARDHLLTRRDEVLLCSVSKGELTVTCQLTVE SSGAQGSAPRPLFVGHSAVLLPDGSVVVVGGGATCFSMGTFWNKGVYTIRIPGLGTAE ARVSPSLPQWVYEKSIDIAPTQRSLPVPTKNQQSGEPAQITAIPRLKLKSAGDFLEIV REGRPAVLEGLDLGRCVSTWTLEYLVDRVGSDRKVVIHEAATQAMDFTTKNFRYVTTE LGDFVRKVKQGERVYLRALSHEKPSERPAMLADDFPALAADFLLPPELSLVEESLFSS VLRLSGPVNMWLHYDVMANVYCQIGGSKRLLLFPPSDVERLSFAPGASSSSVDVFSSL GSPELAHTHPQEAVLSPGEVLFLPPLWLHTATPTSKESIAVNVFFKDLDSVHYAAGRD VYGNRDLAAYEKGRQDIARIANSFKKLPAEAREFYLLRLADELREKARG MYCTH_2316652 MAAKVDTPAAPLSGVQLYSRFALAGAICCSVTHGALTPVDVVKT RIQLDPATYNNGMIGGFKKVVQNEGAAALLTGAGPTFAGYFLQGAFKFGGYEFFKQQW INYLGYDTASKYRTGVYLASSAAAEFFADIALCPLEATRIRLVSEPTYASGLVSGFGK MLRQEGVGAFYAGFGPILFKQIPYTMAKFVVYEKVAEAVFRVFPKKDMSNSMQTVANL GSGLIAGFAAAIVSQPADTMLSKINKTKGAPGESTTSRLIKIAKELGLRGSYAGIGAR LFMVGTLTAGQFAIYGDVKRVLGAVGGIEISK MYCTH_2312411 MASLPPRPATRGDDEASPLLCSPSSSMLSAVSSPASTTTTASSS SSLRSASSRRRRQARLRRSLSLVSALLSALCAGSVTIFSLYGSVLQQRLRYSQFEVNG LASAASVAMYAPVSLLGYLCDRAGPAPLSLLAALAFGGGYAGAAAAYVRAERVVAGHG GGVGGGGDGNDNNDDNDGSAAHHWYALMVLAFVAIGVGTCAMYLSAVATCAKNFGRGK HRGLAVALPIAAFGLSGMWLSQVGSRLFANRQLPSGGAESEVDVPRFFVFLAVLLVAV GVAGAFGLQIVDEEDLIEEAVEELERSGILDGSAMLTPGRAAAEIRGNGYGAVAERAD PFDELEDVKDPEEEEARLRKQWVLNAETRRFLTDHTMWCFALGFFFMIGPGEAFINNM GTVIKTLYPPNPAPRYGGGGAPTSAATHVSIVGVTSTAMRLLTGTLTDLLAPSPGAGH VQITSSSSGGGDRRRLSVSRVTFLLFFAALLSLGLAGLAAGLVQNHGGRFWIVSGLVG AGYGAVFSLTPIIITVIWGVENFATNWGIVAMFPALGATLWGVVYSAVYQAGAQRQAS PGRAGGVGAGGDARSGEGDDDDNNLCYGRECYAPAFWAMAASVWVACALVLWAWKGRK GWAQRGIVV MYCTH_2312413 MATAVSEDVAIHEGTAPSDDRDAHNDSEKVVPLEDAVDEESGTR SPQSGQQLQRWNDPAVNIFRYFSILYSFILMGMTDGALGALLPYIESYYSISYTVVSL VFLSPFAGYLLAALLNNQIHHRLGQVGVAFMGPVSRLIGIVPLVFHPPYPALPVILLF TGFGNGIEDSAWNAWVGNMHRANELLGVLHGGYGLGGTIGPLIATAMVTKGGLPWYTF YYVMIGLDGLVLFLMVPAFWRATAEVHRAAVRAGYAGEDSGDGRGGEGEEGKRTTTRT VLRSPVVWLVALFLLGYVGAEVSLGGWITTFMLRVRHAEPFLAGLTSTFFWLGLTVGR VVLGFVTGKIGEKVAIAAYLLLSVGLQLLYWLVPNIAASVTFVTFLGFFLGPLFPAAV VAATKLLPTSYHVSAIGFAAAFGGGGAALFPFAVGAIAQSKGVEVLQPIVLAILLFIL LIWLMLPGGLKTGGLENARDNNESVGDGFRKAFAWLKERLQPKRV MYCTH_2312415 MSTLGNSIYTQAFNFGSFNKNGVDPRTGQYTFSIPIFETPSAAR NCPPLRLSIKYNPLYLREFGLGRGWALNLSTYQRVEQGGRARAALVLSTGEHYRVTET PSSCSVDDQKLRSFSFTKTSSTVQHNEIVEDGMAGRYYKIAHKSGLVEILSDDNGRYN TAVPVKIYASTGRSLKLVWDRHGEEPRLVKIRDGDDDLLSLHYTSSLVQVKRSPGTAE SNVISLTLDDDGLLAHVSFPISQAGGQDLERYARPAWAFKYKTFSNDITCLTTVVSPA GLVEEVQHREDGHRLPGFSRFSYEYIPYVVSHIVKPGCGRPPTETTYSFSTRNFLGYG GSSVWIDDEDNLYRMQGGYLYTCTSFVKGGPETRYTYDKFHLLTEVAQRKGDKHVVQR MSYHDLLAQGLDSFAAQPPQFQLPSTIRTTYQDASTGQSRVETVHHDFDEWGNPTREV DADGTVTYSSFYPASGHTDQESGEVWCPADPHGFKRYLWDEKTIPSRGAEPSSEPPVH CVSYRYQGIPTAGTTSSSGEHFVVARQCRVLRGGKAISITDFSCVNQPGSRDHGRPSR KVTHLPSEGQHQEVVDWSYNYDAEEGTVTEQVRVTTGGGGNRPVTGEAETGAREEKSA FSLSSGLVVSHVDATGITNHFRYDSLGRLASLTISRGTPYEATRRYEYAPGVQQDDGS GGGKRCYSYRRRWGDNADVHRRTGQGVSG MYCTH_2141041 MAPSPLPFMITLLMSTALARSDNEQLHVESSTDRCASASAIAFM QGLYPPIPHAACDSDVPNHHWLSNGSILNNPLNGYQYPNIRTLAPDRDPDSIWVFSEA FPLSQTNFYNAHELYDCAAYRWNHESSSASAMTSDDLEALRQLAWQEQSLKYGHSDNV QRDSSFAIAGRTLASRVAAIFAENIESRGERNKLSLAFTTHEPFLGFFALANLTVGPS NHLFSQLPNPGATLTFELFSVDEPVGSHNADSSKADASYPVDHYNKTSGSHRVRARLP TSRNSSTISPSFPDTDHLYVRCLYQNPDRYNYSIKNGNSTRELTPCPLFDNTHTAIPF KHFNAIVSAIGIADAASWCNACGSGDTVFFCKGAEPRTERQQHHLLAALAGSAGTLLA VSLIGFLE MYCTH_112498 MTVIGPLTTTFRAPSSCTTTSPQVYQIWSASHSRYVEGPLFTSG SFDCFPSGYDPAPTNYYSPGWCPYGYTTACSSLASAHRTTETAVICCPTNFRYTCRAS ALSNGHSIGCTTAWTNAMAVLGVTVVKNGEVRTTTVVSETSNAITAYGIQVRFKSNDP TPTSSDDDPAFFAASRTPSPAASSSTHLLVPTQPPSSSSSFSSSTTSFSSSSSSSGGV STSAAIGIGLGSAVAALLLAGAIGLFFFLRWRRKKRLKKAPSDIPPPVPPKDKFPPSS RSPFPYRTVPPPYELSEEAASPRRRSMSISKQRLSFSPATGTAPTTPGGLRDSGVLAG QEAAELEVPGTMTVRDRATPESERSGWTDRHARVGNMTMPWI MYCTH_2316657 MSFVPVNPRPFLQDLVNKDVIVRLKWGETEYKGRLVSIDSYMNI QLSNTEEFIDRKFTGALGQVLIRCNNVLYVKAADNAGEGDKDTKMEG MYCTH_2071301 MASGSSLNPESDSFESRPAFYIGQHDSSRKEALTDDQYAQVLDS GFRFVTAPITNDHFFKRVVNLYRDYLKEREQWAQQGELAVGHQANPSLPGPVVPTLTD EDTSVYPSNYIGSLALYSSPWIDLCSSDPHIASISRQVLNLEAAYANFCGARTIVVPG PRRDDSSRGIAQYARAIREVLHVAGRANIIIHLPMYREPGLEEKAETLSSIFGIEDGA GPNAKKEVDLFGTWDSWNTIRSVCCYSMRLFFTAIRIPRRVPERTLQERWFAEPLHYL TISKEVFQPNRAGHPSLSRHHQDLINRYMRLRNAPWLLLCDVGPSTEQLAALLDETAS EFPSLAEANQALKENRRPHMPAMNAYVGYMKYLERQQPPFSPMETPALTSFQDWLQPP LQPLADNLESATYEVFEGDPVKYDQYEKAITEAMIEWRELKKPTSTSTPENPSNPELV VTVAGAGRGPLVTRALRAAEKTGTPIQIWALEKNQDAYVYLLRKNKMDWDNKVTVVKT DMRGWEGPGLRGREDAIGKVDILVTELLGSFGDNELSPECLDGIQNHLARPHGISIPH SYTAHLSPISTPRLYADISSRIVGDPNAFETPWVVRLFALDYVAQKVPGHPRFQQAWE FVHPVQVLRADEFAAVHGKAARYSTGGVGSMAGSSGTNEHNARYCHLTFVCPTRGVVH GLAGFFESVLYAPQTGKGKQPVEISILPDQIDRKSKDMISWFPIFFPLKKPLYFPQDT ELEVSMWRQTDDTKVWYEWLVEAYAWVGPNTRIKVGESELHSSRKVACLM MYCTH_2312423 MAEPSKSLKRSSTEREGTQDSKRVKTNGDGDAKMDEKPNPYLAH LNEGFKSPLDDFERHKTTALQAAKAEDSDINPWTGRPHSQQYFKILKTRRDLPVHKQR QEFLDMYHSTQILVFVGETGSGKTTQIPQYVLYDELPHLTGKLIACTQPRRVAAMSVA QRVADELDVNLGEEVGYSIRFENKTSPKTLLKYMTDGQLLREAMHDHDMSRYGCIILD EAHERTLATDILMALLKQISERRKDLKIIVMSATLDAQKFQTYFFNAPLLAVPGRTHP VEIFYTPEPERDYVEAAVRTVLQIHASEPEGDILLFLTGEEEIEDACRRISLEVDEMI RESDAGPMSVYPLYGTLPPHQQQRIFDKAPEPFRKGGRPGRKCIVATNIAETSLTIDG IVYVVDPGFSKQKIYNPRTRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTEAAF KKELIEQTYPEILRSNLANTVLELKKLGVEDLVHFDLMDPPAPETMMRALEELNYLAC LDDDGELTALGSLASEFPLDPALAVMLISSPEFYCSNEILSITSLLSVPQIWVRPNNA RKRADEMKQQFAHPDGDHLTLLNAYHAYKGAEQAGEDVKKWCHEHFLSYRHLSSADNV RAQLKRIMETHNIELVSTPFQNKEYYVNIRRALLAGFFMQVAMRESSGSKVYKTVKDD QLVMIHPSTTVTTPYDWVVYNEFVLTTKQYVRTVTNIRPEWLFEIAPAYYDIDTFEKG EIKNALIRVSEKIRRRQALKGGR MYCTH_2085008 MSGSGGFYKYRCKYFYTYNCPNWVYCNGHACAMCLAEGRDSTES EATAPATWQQQVQQHLRRPNVGSAYTEICVPQAIHGTLRYTVMEIIPTDEPGPGAYWV LRQKAMAAQALPYSTFTTSDTPRPVMTSIGVTGQVAC MYCTH_2312426 MERSDGVRTTLEFVFSVHPTSTVRLSEAAVPQKRGANITQDALN LACNLLSTPASVPPEEWYAAVAPQLLALLDKGNDEPELVKAASYIIGFGILGKRATGA PGTAGWKYFAEPMLFRIKPPPGLPGATGTEDSEIIDLSKDKVIVSCTDLSTALRRLHA LVVAHPNPGLCKRLLSPLLLSLWTLATWDETVQQTVVERVCEPALALLKIFLKLTPSP DLILLLVQNMGYVGGYNSSSPEWMYKAINDSEIAIVDTKQPIRSASASSPRLTLEDIE RKVPKLLDLVTSTLSDADVSIAFLELFNSWLKSARRSKEPSIMIKQDQEQEDPISRLV EMRTLQAMMEKFPEKLATQPKHILNLVSQILSNSGEKAEDEDEVISVALSLLNMIVTT PGFQKSRVNPETLALIESSLDTLAKSPADFSQTASNLRLLLLYRDEVDPAESTSAAPT DRQVEDRKTYSLAISYITAPDSPPPVRSEGVNLLSTLITSRSPVLDIPGILVLLGGLL ADEDEYIYLRVIKAYTLLCDAHPRSVVRELTDRFVDARETQALDARLRAGEALLQVVQ RLGETFTGDLARETATALVTVAGRRPRRPRTEARRQREKAARERREREARDAWGGEVP DFSDPDDEDEDDDDVLGTGGGGGNSSLTREAKRRRKEVIERIVQGWEGKRGSEDVRVR ASALSVLGAAVEANVAGLGRELVSGAVDLCVAVLQLEREEEKGILRRAAVMFVLSFVR ALEDAREKGRDLGFGFGARAQEDVMRTLRYVAETDNDGLVVQHARDVVESLENWQVVR LMPSRTAAAPAFGGGLTRLAGLEVNPERSAPAAGSGGPGPAKPRIEEVE MYCTH_57398 MGKKFTYNTRNSLVVTDPTTTRALSSLTKAERTGCRAFYWVWSY VLGRVGN MYCTH_2312429 MRGSTRTMFRFEHGQILQGRRSSYTIAAALRNRPGGPWLATDVV LPIVSAVNLFLTRSSGPNQETVTVKTAPAKRLDNESRVLRLFQGCDSIRQLVDEVEDP RSLVLEYMDDNVVNLLKTKRLPRVEAKRALKAAVQGLIALHDKNIVHTAAFY MYCTH_2312431 MFLPRNAPPPGDPQLSLQVLQIQNYFYGPISRQALHGLADDSIL PLLEQFEKNYQPFPLSSASPKITQEDLDFFGHIMKIDPRQRPTAKEISGHPWFNGV MYCTH_2312433 MAPRREILDSEDDGSDFGDCSEPAHAESHEIETAGEGPHEAVGV GASNNPSTDSADPAFSQPISDGQRGVSDVKEAAPDAGPNDASVSAWTDMSSAPPPGKK PQIEDSLLTSITDPAPKGRESRRTTTRNVGQAETIDLTNVTTPRKGAASETSDVWDVP PSTNSQRATRTAGKRKAAQLSLEQEPMRRTPPPDTQDPYAFPDATPPRKRSRRGTPSS SARQPPASSPVMLIPTEELPCSDRRTRSSGRKKVGSDGVGSTLPDTAPPSLYVTQSAL TASQKREFQVVDLSSEPMPGVPRESEVHEEFSAAQSSGAGEMYRSSMATTIAYPTPSR VALQRRLPDVPGEMGDDCASSRTSPGCDVGYQQSSPDVLADMTSTTALRSRRGSKAKD ASLTGPELSEREPPSSARRAKKRKVVREIEDGHQEPDPLGTTRENTETPPQATETQRG FVEDLSHIAAQSGKDVNDEDFVPEADPTDAEVMEKQGPTPKPAAKGKKGKKRKGSRTQ AAAPEPDEPAQTSLVAPAETSPGAAETAEPPAKRKRGRPRKSDASKPQPEPESEEQVP EQQPEKDAGPEPEAHTAPQPLAEVDRHNSQPDPRVAASDAGDTIGETESGEKENKVAV EKDAAATDKVAEKEKQQAAKDIIKPALPKVQYRVGLSRRTRIAPLLKSLKRAA MYCTH_2312435 MTTTSHPRSHTLTPPCRLAPAPSPAPTPGLEDIPPLRAQFFYAS HIPIDDPLSPATIAGSSSSSSSADKSKPSSSKLPLRPFSPGDNAALERAWLGLGSDRH RRIHALSRRDRSPASGLSSENAEKLAAIVAALAAKHHEKHDREGQQASGAAGVAATAT TAAAAADGGLATDSSAMMDAPLENDGVSLCCAELAMDASAELRREFCAVTRRRQPALD HDRVLEGVMAQLARLRMEAEGTAARQPCDSGLSSTAPGAGPALHAVGSLGARSSTTPP VDGAPPPLASSLPVGGLVPARPPVLDDGISGKPFVRVEPAPRSNRSSGIATPDDRPAK NVLRSRARGDSRASARGRPAAERLEDSVEVPVGISRLHMVSLPVLQMKPIYWSPVNDI ATVLRATWFYRDTMVPVEPMVANQLEAGYRELRPWTETWRDEIRSALDVGPLGEEKIS HRLWPEVPDKRHKNKDGLLPEPPISSDPFCAARCFSGEAATEGSLEPVHAEENTALPP PESRMYSNHHVIYKDGSTAFLLKPSQKPSAYYGRRPLSKIMKGITVGLPVVRGFDRAA WERVHDKGASSRGKSAAALTPEAELRQIAEQGGCPGCQLDKDRGQVTDLVLVIHGIGQ KLSERVESFHFTHAVNAFRRAINIELENPAVKSVLRPGQNGIMVLPVNWRHLLSFEDE NPPRQEHRTAYCPEGFTLKDIEPPTIPAVRSMISDVMFDIPYYMSHLKPKMIAALVGE ANRVYRLWCSNNPGFSEKGRVHLIAHSLGSAMAIEVLSKQPTRVPRPLDLSKSTPDTR FFEFDTTNLFLLGSPAGFFLLLERSGLVPRRGRLKPGADAADTVAKDVVGDVGMFGCI AVDNIYNILAKEDPIAYLLNGTIDPIYAASLKTAYVPSTNTSFFQSVGNAVRGLTGTS RHPAAAAAAATASELGKRPPLTARLPSQLELEVHDFTREEIAERKAFLLNDNGQIDYF LRSGGGPLEIQYLNMLSAHTSYWTNQDLVRLLCVEIGREPGKAHALASMRAVKTKGRI LGQF MYCTH_104059 MEFNIDDLPVLFPYPRIYPGNYMVDLKKTLDAGGNCVLEMPSGT GKTVTLLSLIVAYQQYYPSHRKLIYCSRTMSEIEKALVELKALMKFRAERLGYEEEFR GLGLTSRKNLCLHPSVKREKSGNVVDARCRSLTAGFIKEKKEKGEDVEVCVYHDNLDL LEPHNLIPNGVWTFDGILRYGEQHKQCPYFTARRMMQYCNVIIYSYHYLLDPKIAERV SRELSKDCIVVFDEAHNIDNVCIEALSTDITDDSLRKATRGAQNLENKINEMRESDQQ KLQDEYEKLVEGLKGADDGRQEDSFMANPTLPDDLLKEAVPGNIRRAEHFVAFLRRFI EYLKTRMKVRQVISETPPSFLAHLKEHTFIEKKPLRFCAERLTSLVRTLELTNIEDYQ PLQEVATFATLVATYEKGFLLILEPFESDTAEVPNPVLHFTCLDAAIAIKPVFDRFSS VVITSGTLSPLEMYPKMLNFPTVVQESYSMTLARRSFLPMIVTRGSDQASISTSFQVR NEPSVVRNYGNLLTEFAKITPDGMVVFFPSYLYMESIISMWQGMGILDEVWKYKLILV ETPDAQETSLALETYRTACCNGRGAVLLCVARGKVSEGIDFDHQYGRTVLCIGVPFQY TESRILKARLEFLRETYRIRENDFLSFDAMRHAAQCLGRVLRGKDDYGLMVLADRRFQ RKRAQLPKWISQALLDADVNLSTDMAVSSARRFLRTMAQPFRAKDQEGISTWSIDDLR RHQEKMDEERIKELQAQRERELNPALAAQAAVAEDGGVSDYDMDDDDEAELMALDNKQ G MYCTH_2312442 MEQTPPPSLTRSPSSPANTYCSSERSSLGYPSPGLVEQQYKISS MYGDQSCVTPPMDPELSLPPLDSMGHPDWNNPTVIHPMSSASGMPSILSADYETFSHY PYNQEVYQAHPTSQPPSVHASTPPPTGSAPRSPAGPMRTPYTPATSVPVPAPAPMTPR VNMESGSGTSDYNSQSTEASHYPSPRSIHTPYASDSGTYTSSTSTAGYLSDSGSTWHK PEYHQQPMEPEHFYPGPPPPPPSAFLHDPRRQFRVARPKRAPRRLTTKEEANFQCQVK GCGKLFSRSYNFKAHMETHDEKREYPFPCQVPECTKKFVRKTDLQRHHQSVHLRERTH KCDYCSRMFARKDTLRRHMEDGCSKRFDIGTMDVRADTAYDSMHHGVRPPMGPLSHMM PPQGGLPPIGIPPLCGPSLLSSMPPSMRPREPIAGGDHSQGHNWGR MYCTH_2312445 MDRNAQSGGLGPRHDASSRIQKPESAADRLAALKARVAAAVGSA KAKGGLNTPLHPALADLNAPLKPGDSLRSALGQRPTTADTAKTAKGRPAGLSATTQDG QRTNPYLDTGSAPAGKAREPRQLIFNQKGKYIQQANALRRQAALEEMKKRIAEQARKA GLEEDRAAEKAFVVEAPPDLEWWDEGLVDGKDYSNIPESIKLSTSDSIITVYIQHPVA IEPPQDKLAPEPKPMYLTPKEQQKLRRQRRMMELKEKQAKIRLGLEPAPPPKVKKSNL MRVLGEEAVKDPTAVEARVNREIAERYNKHMQANEERKLTKEQRHEKIAANQAKDAAK GIHVAVFKIGSLVNGQHRYKININATQNGLGGVCIMHPKFSLVIVEGGEHSVNNYKKL MLRRIDWTESLPPRDRDAASAGGPAAGRDWLKSEDENGQLKDLSANKCVLVFEGETKA PAFKKWGSKVCETDAEAREFLSQRKMENFWTQAKSIPM MYCTH_2312447 MNIAQPVGSALESVEFTFLSPDEIRSISVKRIENDSTFDNLLNP IPGGLYDPALGSWGDSLCRTCNLNQAQCPGHPGHIELPVPVYHPVFMDQAYRLLRAQC VYCHKFRLPRHEIHKYTCMLRLLQVGLVHEANMIESFSASDLGEQLRKLRLSDVPTVE ADEAEEEGNNLHDSTMRARETYVRQVLREHRLKVNVGDIRKGKHEGAAEMRRALVKEF LAQIVKEKRCRSCDGISPVYRKDRWVKIFERDLSEKERAAMAQAGRTRVDALALSRKA KKHHDLNPDEGIADVDSSSEGSSGERDSEGEGEGEELDENGDVVMTDAAVKTRRSKSK AAKPAQRYLSTMEVHKRLELLFEKEQEILSLLYNSKPRPRNSKPLTADMFFITALLVP PNRYRPEARMGDSQISEAQQNNLYKMILRSASNVAQISREISSQTKETAADEGRRTRD MSSLYQAWTELQDAVNSLIDRDKNPIQGAAAKRNEEGIKQKLEKKEGLFRKNMMGKRV NFAARSVISPDPNIETNEIGVPPVFAKKLTYPEPVTSHNFKDLQQAVINGVDKWPGAS AIENENGQIINLRTKSLEDRIALANQLLAPTGNNFSGQRNKKVHRHLTNGDVVLMNRQ PTLHKPSIMGHRVRVLPGEKTIRMHYANCNTYNADFDGDEMNMHFPQNELARVEALQL ADTDHQYVSGTAGNPLRGLIQDHLSVSVELCSKDVFLDQGAYHQLIYSALRPESGHIT GERIELVPPAVIKPVSRWTGKQVITTILKNIRPADCGNLWMNGSAKIKSRSWGEDSEE GQVMFRDGEFISGILDKSQLGPSSGGFVHAVHEIYGPSVAGKLLSSMGRLLTRYLAMI AFTCGMDDLRMTPQGEKDRRETIRAAADIGLRVAAKYVSLEEQKPTKDDPLLLERLEE VLRDDKKQEGLELLTSHALADLSSEITRLCLPAGLEKQFPKNHMQSMTTSGAKGSPVN ANLISCNLGQQVLEGRRVPVMVSGKTLPSFKPFDTDVRAGGYIVNRFLTGIRPQEYYF HHMAGREGLIDTAVKTSRSGYLQRCLIKGMEGLRVSYDSSVRDSDGSVVQFLFGEDGI DISKQKYLNDFEFVLRNLDSQLPQIRYHEPGTQALFEHKDEVMKRMKSAIRSSNTRNP LDPVTAELDPSAFAFATSEKFYTKMMDYVKTNKDGLIKDKKGPEKQQGLIARKTAEKI LAAKYIRSLVEPGEAVGIVAGQSVGEPSTQMTLNTFHLAGHSARNVTLGIPRLREILM TASTKPSTPSMTLVLNEELSEQDGERFAKSISVLPLAHVTKEAVVREHVGRGIGYALA KSFEIRLRFFKADEYIKMYAITIADVLNTVERKFIPLLSALTRKEIKKKQKQNSAATP EIGVKSGVVEMAAPNPERERGPGGDDDDDDEEGEEDATSAKQRANRSEAVSYGPNDEE DDAIQQQLQRETDDAEEGEEQLEFVDEAYGGSPEPEDGLGDGKTDEGRSRSREARIKN KNEHVARFRCDEVGGEWVDFTLEFDASIPKILMLSLVQEAVKKSLIQQIDRIGTATFE PKKVKDAVTGEERTELLVHTEGANLRAMQQYGDYINPNRISTNDIAQVLEVYGVEACR SNIVQELSAVFSGHGIAVDPRHLNLIADYMTRAGGFTAFNRIGLTSNVSPFTKMSYET TVGFLKDAVLEGDWDCLATPSSRLVAGKLGTLGTGSFDVLTKVPTEHKAAVAF MYCTH_2312450 MARPKITLYVDTVSPFAYLAYHVLRHDAVFKGCDITYVPVFLGG IMHKCGNVPPIKVKNKDTYINTERLRWARHFSVPMTSGLPPDFPAVTLPVMRALCYLE AQDAQDAAAAGQQQQQQQEEPQKQPRLIKALDEFYLQYWGNAVATHKPEVLKQTLAGL FGEAGADKILAESTTPAIKRALIANTDRAFESGAFGLPWMECTNAEGKTEGFFGVDHL GQVAQFLGLPRPGEAKGHNGDEAGSSGWRALL MYCTH_57779 MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVESYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERN MYCTH_2071169 GKGGAKRHRKILRDNIQGITKPAIRRLARRGGVKRISAMIYEET RGVLKSFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFGG MYCTH_2044135 QLGMSSPACHPPRSSTITAPTSPPTLPASCTYRPTATSYLTTGC EFACPTTTSVWCISDAYVVLACGCDRAAVSPTTVTRCPTASVHCLQCSTGWGIATITD PNCPSSTTETASA MYCTH_112491 MAFKRFQNPTAEHGTPAKPEPPSAATIAAEQKITAIALFLGAVA SIGGFMFGYVSGQISGFFDMEDYGRRFGEYNPDTGAYDFSAPRQGAIVGLLPAGCLFG SLIAGNIADSLGRRMAISVSALFCCVGNIIEISSKSVWAQFAVGRLVTGLGIGSLSVA VPMYQSESSPAILRGVLISTYQLFITLGIWTAEMVNYGTHNMTNSASWRIPNGLSFLW SLILGGGILLLPESPRFAYRKGREDEARRTIARLAGLDTDAPSVNQQIDDIRTKLEEE RAGAATSWYEIFTGPRMFYRTLLGITLQVSVLDARLLAKGKVTNKLGRPDNNSPVPTS SSTTGPPSSGPRALATAT MYCTH_2312467 MKASAVPGDWTSSGEDVGVIGSTAYAVGGEKSKKTGEKGASDAD SAQQGELQRQLSGRHLNFIAIGGTIGTGFFLGTGTALVKAGPAGCLISYMFVGTILWS VMVCLGEMATYIPTAGAFSAYATRFVDPSLGFAVGWLYWFGWATTYALSLVAAGLIIQ YWNHDLNIGIIIAIFWVVFTVVNHLPVGIYGELEMWLSSLKVITIVGFIIFAICVAAG VGQEGVLGFRFWKDPGAFNEYLVPGGIGKFVGFWAVMIQASVSYQGAELVGVGAGEAR DPRKTVPQAIRVTYWGIMLLFVVTMLLITMVVPSSDRELLSDSSNASASPLVIAARRA GVKVLPDIINAVLLTAVLSAANSNVYSGSRVLLALAEEGQAPAIFKRTNRWGIPVWAV GGTAAFGLLGFLNLSEDGGKVFNWLLNISGVAGLTTWASTCLSHLAFMRALKAQGIPR SELPYVSRFQPYTAIYGLFFNVLITLTQGFTAFVHWNVSDFFAAYISLILFVLLWAGH KLWYRQPSVSPAKADLVRGRFDLNGERSAADGGV MYCTH_2312471 MATPKSVLSKTLQSITLSKIRELESRRKSYEDRKRVYLAKADAA VDERDRLACLLEAVKELCPAASKDAEFKNVQRWLDQSRYDASIPVSKLDSFSRQLRGK LDIQSRKLDLAHLYSRLLTEWMDQPVTDPLPASADDPDEDSFELIERQRQRLSELVDK FETVVFEPLETDEVEIRSFLDDLFSDEASQRELKELRDRIARESTKFASETAPFTQES LTSCIKGLLTEDILSDEKQAILRDFLESDVAKSEIADVLNMRFSDLKQWHWDAGEDGI RVMPRRGLNGKYRIWADDDILQMIFVQYIGIRLCNMLKPALKNFMNAVCLRDLEGHMA PSAADSNRRWFYLGTKGTYGGSMEVRRKSDYINTFLLSQLPATETSLYDGGVGYDDDK DDDDDDDDGGAKNVKEVDVSYNPPKRSGIKQQLLRYLTAELMVHRLRNVTYDTREGPG HGVALVQTDLQWYATGLPHSTIFAVMRYVGFGQDWIDFFKKYLESPLNLDAASDNRPQ LGPRIRKRGVPMAHSSEKFTGELVLFFMDLAVNRKTGMLLYRLHDDIWLVGEPERSAQ AWECMQSFARVFGLEFNKSKTGSVYLPGSAKRDPTIARTLPVGPVRIGFLCLDPKTGK WGIDDKLVAAHVDQLKKQLSECNSVLSWVQTWNSCIGRFFSHTFGEPAYCFGREHIDA VLDTYRLMMKRLFPGSDGKEGSVVEHIKGMIQDRFGVSNLPDSFIYLPEQLGGLGLRN PFVGLFLVRNKITESPEEVIVDFLRREREKYLEEKKNFESLDDLERHQRLRRCFLNDE DARERVISDSDMTSFFSLEEYSRYRERWSSDFLKTFEKLICVPEMEGIALSKEVESGL REFLANSVRLDAEKKWLLQLYAKELLENFGGLNLVDKKFLPVGVLTMMRGKKVSWNMV L MYCTH_2312474 MAGTSSSGPTRIAILGKEDIVVDHGIWLNFVTHDLLQNLPSSTY VLITDTNLYHTYVPPFQAVFEAAAPKDVRLLTYAIPPGEYSKGRETKAEIEDWMLSHT CTRDTVIIALGGGVIGDMIGYVAATFMRGVRFVQVPTTLLSMVDSSIGGKTAIDVPMG KNLIGAFWQPKRIYIDLAFLETLPVREFINGMAEVIKTAAIWNEAEFTALEENAPAIL EAIRSKGSSPSARLAPIRHILKRIVLGSAGVKAQVVSADEREGGLRNLLNFGHSIGHA YEAILAPQVLHGECVAIGMVKEAELARFLGVLRPDAVARLTKCIASYDLPTSVHDKRI AKLSASKECPVDVLLQKMAVDKKNEGSKKKIVLLSAIGKTYEPKATVVDDRAIRIVLS PSIRVTPGVPENLSVSVTPPGSKSISNRALILAALGEGTTRIHGLLHSDDTQYMLTAI AQLEGATYTWEDAGEVLVVKGKGGKLKASAEPLYLGNAGTASRFLTSVVALCSPTSVS STVLTGNARMKVRPIGPLVDALRANGVGVKYLEKEKSLPVQVDANAGFAGGLIELAAT VSSQYVSSILMAAPYAHKPVTLRLVGGKPISQPYIDMTIAMMASFGINVERSSEDPNT YHIPQGVYKNPADYVVESDASSATYPLAVAAITGTTCTIPNIGSASLQGDARFAVEVL RPMGCTVEQTETSTTVTGPPIGTLKAIEHVDMEPMTDAFLTASVLAAVASGTTRITGI ANQRVKECNRIAAMKDQLAKFGVHCNELEDGIEVTGKPYQELQNPTDGVYCYDDHRVA MSFSVLATAAPHRVLILERECTAKTWPGWWDILSQAFKVHLAGEEDPTKKQVVQPSGS GTDRSIFIIGMRGAGKSTAGRWMSEILQRPLVDLDVELERREGMTIPEIIRGERGWEG FRKAELELLEDVIKNQSKGYIFSCGGGIVETEEARKLLISYHKSGGCVLMVHRDTDQV VEYLMRDKTRPAYSENIREVYYRRKPWFEECSNFQYHSPHLDGSEALRGPPVDFSRFL SVICGRSRHLEEVRKKKHSFFVSLTVPNISTALDIIPGAVVGSDAVELRVDLLESYDP EFVAHQVALLRSVAKIPIVYTVRTVSQGGRFPDDDYELARRLYQIGLRTGVEFLDLEV TMPADILEAVTVSKGFTRIIASHHDPQSKLSWRNGSWIPFYNKALQYGDVIKLVGMAG EISDNFALTSFKTKMLAAHDTPIIALNMGPAGKLSRVLNGFMTPVSHPDLPAKAAPGQ LSATEIRQALALIGELEPKSFYLFGKPISSSRSPALHNTLFGATGLPHHYSLFETDKA SDVQDLIRAPHFGGASVTIPLKLDIVPLLDDISEAARAIGAVNTIIPIPSAAKGDNKT TLLGDNTDWRGMVFALRSAGVASPPGAGMVVGSGGTTRAAIYALHSLGYAPIYVVART PERVKELAGGFPADYRIQHLATREEAVAVAVAARHELPTVVISTIPADKPIDSGMREV LVTALQGGGAAGKEKGEPRVLLEMAYTPRHTPLMQLAEDAGWVTIPGLEVLAAQGWYQ FQLWTGITPLYADARAAVMGNESS MYCTH_2312477 MTQTQSRHLYYQQQHPAQYHQQQHHHQPPAAAAAAAAAASALDD PALRTASPLEASKLDALDFDIDLDADFDPAHLNYNSLSSSPISTQSLLSQYSPLSAFD SIPTPTLAEPSLSPPVRQSGLAAPESSAPALFSNGGDAMQTDMWMSNGQITPRSVGRF SHQRDSSRSSMGSNGPASPFSQNTANPHIAMNDFGDNFHGLQGAEDLHHYQLAAKQFP GVPPDNFYPGLAHATADNSGGMTPSYPYLSTAPRRRNDRGLLPEHPIGQATSQPVSVA SSVASDSPATPAVGSEEDRKRNNAAMPPMPKLDRTMTDAYADELYQPQLLNSSTAATA AATATTMTTTTTTATTNAGQASLSPTSDLFNQRLQAANKQHLSAVTNSPVSSTSRDQS PFRHGSPLAPLPTSDFRSAMGPSQIRFNTAQQMREQTKAMRDAQAVQQHIARSADTST PQTISPKDAMLDFQEPEGDGNFPLFPQQSNTGFNAEAINKAAAAHSQQVFGGLPMDPN AFNSFLGTSMPATMQAPQQFPYLPQQRPQSAVPSVSNTSAATTRFGSADTGATESAHG VTPQRPADTGADGGTYTCTYHGCTLRFETPALLQKHKREGHRQAHGLNGARRPEAGGM TSSLLNTQAGPHRCDRINPSTGKPCNTVFSRPYDLTRHEHTIHNARKQKVRCDLCTDD KTFSRADALTRHYRVCHPDVEFPGKHRRRGGHSS MYCTH_2113722 MSPTQKIDQSRSRSDVEAAQSRGSPANEPGLPRDCAAQPMLIEL RASAKLCVRSTIRHQVWLFIYPCSLDGRNRLEHAYARFSDLRFIGGCGAKLRDDEVYE ESPGPTKFTRHQNLDQTCIQLLSEASSSDSRPVTFTGQDSEAENFGIITDIPGYFIFF KFFVFRILKLATDRGEEPGVLVKRFKVSDQDSGRSKSHSFFPNGILRILSHDYSRRLK DHD MYCTH_2312480 MNGDAGLVGCLVDRLTTRLPHRTGTPTQDFSQDDIVSITRATLV KISATSISLILDALLGLLEDLIRPHSAVSSHPPHVLLSELYVLELIADCCASNWYRLK GSGGRSSPSAASEAPLSPSQRAAFYPPEAVSDVQLHRLFEIIKVFFEPIPDGYSLPAK AILDDSSSARHVTPSPVEEPTRTPLSAGSSSGEAPETRTLLQARAGSIETNIKLIMEY VTASSWPAAFDLFRGVMYSARTNLPTQGGAAPSSASAEEERAALIMMRLVSFFWVDSQ KLSLVVQEFCSGFLHYRKSFQNTIAVVIPQIITRWLDRYPAEFVQLHSMSNPRRRENA PDTLFDMTLTVGDSGRRKALMYPMQMTLLFLQPDVFEVASNMRDSKGAGIAKKVQFLD GLRKALRNRNEQAAYCLVLLLRVARHFDAESDSGLMSYAMDVQDEVRDAVFRRFAPGA EGAAYDQDIMTAAFVSLTHLNFEHSVDTLAVSCLSSSAPQSFKIAVIQACAHFARLGN SQEYQPLFTAASAFIQGQLQTMADLLADGYIEEQSAQRKAVESAPSISMVCNLLNFLD ASPMTLFEGPPADRDERDQFYEENLGALISCIVAADESVRRLATGVARRLFAKEGLLR NIRSSKGLSSKAFKTKFWRLTSLILISICDKARLPCTAEALQSINGYLESRLLLLTSI PELAQTSDDIPERTAASSKLEKLFLVSLCSADMAACQTVVSCISTFLEECRLIDTAPA TAKTSLTLLRNGEIFGEIGSREFRFTGIVAFQKRIRTLLRKMQYPSAGILDAWEFVFD KWLHLSMEISQGGAGQAVPERMVAEWRNYSGFLASMGGICTAEQAANLEEPAISGLKW IDRVSSGNHEEPLLTRYLRLSIQLLACANVRVRETMREVLSCEVPPSLFQPLFKALET ELDVLFTGALEPTVKGQDNDIIFAEQSSSLLKVLVERLDTPSDLGAASGLHLGALCLN FAKSLDGVPDTANSLRVKIKICQLVEAVTKRKEQLNLRDDVRIRNQLLEYIFRWISRA RSPSDSASQSSGRQDEMARVQRDLDKACLRSLATLTFRLPLQPSDGQTDVGMSEHKSK MFHSYFNRFLSLLNMDFRGSDLGRAGEHHNGYHGQYHFGSSSSGDAEASTSDLAITIL SNLLSANIDVGLKHSLSIGYHESTDIRTAFVRVLYNILVQGTEFNNLSDAAVNEKYDK LLELLTSDPSLALAMSAVCPSHEVDELTISLLNIFEARGASFVLLEALIKQEIEETEN ESELLRRTCVATKMLTIYAKWKGAGYLRDTLQNVVERLMMTAEDLSLELDPARVGSPE ELQTNAKNLQIVARVFIDNICASASNIPASFRRICNIISEAVVERFPDAKYTAVGAFI FLRFFCPAIVAPEVEGLVATPPSKEMRRGLLLIAKVIQNLANNVLFGAKEPYMFPLID FLTNNIYYVTTFLRDISVAPAAVEAPPKPSESFEFGSCVALHRFLYEHWDHVRQRLAS KEKREFVRSPAESTRSRSPVLEPLRNLIMNLGPPPLAVTWNRPHISTNSPPAYSRFQD FMLRNAFKTSESFLTSRAVYDGGESKDGLSIICIILRYIDGDSMDFDTLLYCYLKIAS RLWHRPFGLLIDSTYYNGHDEPKDELFYKIESLAPRELTQQLARIYIYNLNSASRKCF RRMLRVASKNESSVFNPANVEYHLIGNVNDLQVHFHLSQLHLPKETINVVKDQRYLFQ PVTRLSKSKGKVDVVIKVGSQFVQVTTTKKQEIPGFGLSTTVNDIFRLNDVDEAPTSI QTEDDSAFGLRADSGRIVMYFTSPKKTEILQAIRAAKIKYAKDARATKSFERLIRPQD VPGTLLNLALTNLATSDPVLRLSSYNLLSALCKAFKFKAASQLLSSREISVPLDPSQF IIKISKSLAETEPQLTADFLNEFFVGWDSFLDEQKPLSLAYMAPWIPGLRTSLLAGEA EGEKGREKIASLFRKLIDVALSDPTLTFVLEQSVWPVIHQDEVLLDIFLDEIIKAALS LGFEDEQTETLTSIARAIGTITLRGKVITRLRKAMNRSSLRTTRYLPDNAVWNEICVL LQFCQVLSFDSAIQAQMYMPEIFHIVTMLANTGMPDVRVVVQRLLINTIHSACTSFAL DENRLSKLKATIETLSDPKNDIFLNSVTFIRDGASISTNQEAGPTLTATENLATILFE TCSVAAPSVDLANAWRSRWMSLVASTAFQNNPAIQPRAFTVMGCLAREEVDDDLLYQV LVALRSSVARFGDDSNNDMLVAIITALSKMMIKLPSASRYGLQLFWLAISLLRLVPSN LFNCTAMFLEAVLTNISTTGDMYVGGDKVVPYLLQGRLQLEDAAIPLDVAYGIHFNPE NFHYAACACLVRGLTDAVTKPTTLRVLSTFLEMTMPSSSTKTSLASDRATPSRAERNV ADLAASPYMALILARTASADELREHLWAAGINVSATSLLDPAALRAEQDLARLKDKDL LLNTAIELVDFQYLEDAVQLRSLQWLNRIALARPGVVMHLCAPIINLLDDILLHCQSS ATLEAAHELLHTLTSNPRFPTSLEGSNAALNAILEDMGFGGLWRSSSFTLAQPEQVDR KCVMLTEKLIQVSFVFTSLPPPFSPSFSLSLFIGSSG MYCTH_109078 MGIPAAFRWLSAKYPKIISPVIEEKPLVLEDGTVVPVDTTQPNP NGEEFDNLYLDMNGIVHPCSHPEDKPAPRDEEEMMIEVFKYTDRVVNMVRPRKVLMIA VDGVAPRAKMNQQRSRRFRAAQDAKEKEEGKQQLLKMLKKENGSDATKEESVEAVVKK AFDSNSITPGTPFMDILAASLRYWCAYKLNTDPAWAKLKVIISDATVPGEGEHKIMEF VRSQRNSPEHDPNTRHVIYGLDADLIMLGLATHEPHFRVLREDVFFQQSKPRLCKLCG QTGHDERNCKGEAKEKNGDLDEKGKGVPKFKLDPKRTNGLAGKVEKIEGYVPHGSLVY PLERNTMPDVDYDRSLTVYYIMPSSSHMHKSMLLRGVKLPPLALDRSDIDFVKSKGRN AGRSSGGVPLKNNYNGGGRGDRINYAGGPPRGGGGRDQGSYQQQDRGYGNGYGGGAGG YGTGYGSYQPPAPPPQSWPPPPPPGYPGFGIGVPPPPPPAYVAGGGYGQGYGNQGYGG QSYRNNYLPPGPPQYGGYQGGGHQGGYQGSYRPPQSQGQDRRHDSRSTNCFEE MYCTH_2312489 MSMFSTITTAPAKQSVSETIPVLSGRLSTATLLEDRRAAILGLR SFAKQYPASVASGALRSLIGSLSRDGEDVDTVKVALETLLMLFSPDPDSPEASEEIAL WVADEFTQRHENIALLLGFLGPEKTDFYSRLYSLQLLSAILSARTERTEECVVNTSDG IPRLVAVLEDPREAVRDEAIGLLTDLTPTSNVIQNLVTLEKGFAPIFDIIAKEGGLAG GARVVEDCLILLANLLRLNPLNQTMFRDMGHAADISRLLKEAYKGGGDDQEVAQWVEV QRNRNVYAMLAVIRLLIVPGAAGTSLNQEALAGDLVSGGRTRARGGPVLESTLQIAFS HVAELPIKAEALLACADMIRGNADVQTRFAGLQVPSPLAAPIANGHAHAAQINGVPKV YIIDGLLDLVLAVHSLPAFDLRMAACTCLKAYFYKHAEIRMHFLDHAVRTHKARADDL TNALTILLQPDPETAAADPYRYWFAAVLMLHLLHDNPETKALAMSVTEGDEASGEEVV TSIQTMTAHLLSSVAKSEDPRISIGYLMLLLCWLFEDLDGVNDFLGEFTNLQGLIQAA IENPNGDVMVQGLSAMLAGVVYEFSTKDSPVPREKVKEMIMSRMGRDRYVDKLSRLRS HPLMRDHEVIPQKLEPAPDQKLPDVFFDDTFVEFFKDNYSRILRAIDRDPDSETSVIT NGVQKGVSRKLVDSLRAEVADKSAALQEAQTQTASLAEELARERTAHERAKTALSADL AALRQQVAAKDVALQSAEATSAHLARQLAHEQQEHQRTRAEVARLKTVNAALQQGHAQ EVAALQAQARAKEDEGRRQAEAARKAAEQEAERVRRRAEAEMADLRATISRLEVDLMK ANKARAAEEERAAKLEGSVTDKEEELGRAEGRAKEAERRAGEAEERASEAEGRIEEAR CGVKEAEERARKAEAEVKELKARLSKTEKEVEEAKKEVKAKEEERKGAQSELDDMLEV FGDLEEKVTKYKGRLKELGQEVSDGEEEEEDEEDEDGDQDDE MYCTH_2312491 MAEAYPRSDSSSGSSSDNDEDWLDKPGEEDEDDQEQVSVVSLFD DRVFPDAPSMLAYCKDKFGFDFLAVRDSLGLDFHGCVRLINFVRRSVKQGTALPQKIT ADHLADDGLLIPVLADDALIFCLDDLPEPGVGSRGASGAGDAGTATKGKQAAQAGGEG PVVEELLQKNAQLQAELEQLAKQFNSYRLAVEQTLDKRWGVDEEENDKAESSKATPAP AAEEKPEKDESAYYFESYDHNDIHETMLKDAVRTDAYRDFIYGNKHLFAGKTVLDIGC GTGILSMFCARAGAARVFAVDNSAILDRARENVLRNGLGDVITCVRGRIEDVVLPGGG GPGGEPVQVDIIVSEWMGYCLLYEAMLPSVLFARDRYLKPGGLLVPSHASMWVAPVSD PEYVAENVDWWREVYGFDMRAMQAGIYTDACMTVMPPESVCGEAYPFRMLDLHTAKVE DLSFETEWRSTLSEKAADGLDGFLVWFDCFFAESRDEVVDAKLTAKEWAAPGRERVAF TTGPYGTPTHWRQGLYLIDKGKAKEIEVGPGKKLEGEIRYSIPKGHARGLNIRLTWGL EGGEKQAQTWLLH MYCTH_2312493 MTGPKTGAETVSGAGPETGSPRADHDASTPLLYSSPSPSASASS SASLSPRSHPRKQIEIEGASFTTRGVLVGLAVGLVICFSNMYFGLQTGWVSTMSMPAS LMGFGLFRLLRAHLLPLGEGGVPFSPVENVLVQTVAGSMAIMPLGCGFVGVLPAMNFL LTPEERGPVVLGWPKLILWSLGLCYFGVVFAVPLRRQVIIRERLKFPTGFSTAVLISV LHGKARDGEGDTSGGFASLAVSDKDEPGAGTGAAAAAAAAARVGDGAEADGEGTKRAE SWRRNVRLLLVCFLVSAVFTLATYFLPVLRNVPVFGSAAATTWLWTLNPSLAYVGQGI IMGPATTLHMLLGAIVGWGILSPLAKSRGWAPAPVDDWENGSKGWIVWVSLAIMLADA IVSLGYLAFRSARQYLPEAQLVAGRVKTLVGRKTHAGYTSISSVSDADRAAPSRDLED GSAPDDGGRPEDDEEEAQEEEEDAPPDQQISNRLVAVGLVLSIAFCIACTHIVFGDLV PLYATVTAVFMALLLGIMGVRAQGETDLNPVSGISKLAQLFFAFIIPQSNKSSVLINL VAGAVSEAGALQAGDMMQDLKTGHLLGAAPKAQFWGQVIGATAGALVSTFIYRMYTSV YQIPGDLFQVPTGYVWIFTARLVTGKGLPPMAKEWALGAAVLFAATTALRIRTTGTRW HALIPGGIAVAVGMYNVPSFTLARTIGGLFGWWWRSTMGWKDTPLIVLASGFILGEGF LSIVCLIMESAGVPHLA MYCTH_2097686 MPDSESSPLLAAAGSNRTPKSGERDELLESTPLLSSSTTPRFDG DRDDAHHEDTTSIASRNTRAASVKSDKGKSIRWPSVIAMIVLASLTLAIMLFAFIVPT AIEEYAKEAVVIEPTNLSLESITSNGIRARIQANFRVDAQRVANEHVRRIGRAVTWVA RELGTGETKIRVYLPEYNNVLLGTAGLPPLKACIVDGYNNEVDFVADLAPGDADGIRT IANEWLKGRLSSVRIQGQADVQFRTGIIPLGTHSIVESLTFEGSELPHMPEYNITRMK FKEQPVPGARNAIAAEATVQSFNQYPVSFDVPELGFEILVPGCSPDDPSIFAAAATTS RVAVRPHADVIVNAYGLVRELPDSLTHLCPNSDSSPLDLLFKKYLDGETATVLVRGQK EPAGDTPDWLAEILSSITVPIPFPGRSFDNLVRSFSLTDVYFKMPDPAANPDDPESNP RVSGTILVLAGLPSEMEFTLNVTDIGADADVFYKGDKLGELHLEEWQKANSTQIPATE DDEATLKIQSRIKDVPLNITDPGVLTDVIQALLFSGREVILSVKALVNVRVQTVLGEL VVKAIPAEGKIPVKPLGNDTLGSTLPKVGTIQIIDTTSSSLSLQALVNVTNPTPYSAR VPFVSLFVESNGTTIGEAFARNINIKSGNNTNLTISARWSPSMGGEEGVRHGRDLLSR YISGYSTNVTLRTHRGTIPSLPQLGEALARLNFTLPAPRLRFPGQDSDDDDGDDEDED EQPRFIRDATFHILSSTATFTLVSPLLHNAIFIDSVNATALYNHTEPIGRIEYFLPFA VPPGVSVTPRLPVEWSMDSVGYDKVREALGGRLKLDGEAGVSVRLGRWTERLWYVGRG IGAGVRTEPGMRAVLPGRPESRLQALATGCWNGRRITAYITGNALVILGAPNELLQTV YDEDPEPLQAIALDEASGKIAVCTRHVVRVYRPLGAGRGEDRPRPAVSLSWGASEELL VAHSSLELYQTSSNLATPECSWRKRLANPVRRASLSYDSAYIASVGEYDRLVKVWRRL SYGTGEVRFDFLYLRHPQPVTGIQWRRPHHGPGSPTSDNSLRWAFVMHGGDLSAATEK AVQEGTKTKDEAAALEQLIKVANRSPEICVVLDGRGRMAAWALENIGGKPSKPSIFNV AQVVSSDLDFLRGSSHSELPHVEAYSYCQSGEHLHLLLHFFDGRIEVYRSNIAALFDR NPERKRLAHRCVWTGHSAPIRKIVRNFSGRAIVSRTENGQGVIWKHNPAAERTGLACQ AVIPERGHIHRMCLLRNGRFVVFLKHEDISLWDCRFSTPVLLAEQRYEVPGKPLCLLV LPRRKVEDYTTAHVATITSEKKGVVWEVKFPFYSRTDAATPPPINGTSGTEQQQPSIR ELVRFALEGAGDLAYVLPVDPAGTAPHVSGFLDVFARDVAISYTHTGRVEFWTARVGH NGDDRVEWLSTSSMETGVSNPALVSGSTRKKAALVNASRSALTIWDIGGARLEYAQEF ENSHTIRDLDWTSTPDMQSILAVGFPHRVLLLSQMRFDYLNKGPAWAAILEISIRDFT PHPIGDSVWLGDGHLVIGAGNQLFVQDRRFDAASSLVTSLRLPQRRAGEKHWDLFNAV QRLNGPLPVFHPQFLSQCILAGKIVLVHAILMALYRTLKFWVEGDELDDYLGMALEDF YAVDHTTKTSLNKDPGQYLERRMSYDEGDEPFSEEVAAEINERLTHINIAQLSGHEQI QLVNIIECVGLVEKQRRSLDENGARFMLFFRQQALLKGRTDEIHLGWREINWAYHSTS QDVLVDFVSRQNQGRMLWKDARESGIFMWLADNAAVGRQKAQFEVIARNEYTKNEPKN PINCSLYYLALKKKAILQGLWRMAAWNPEQGATQRLLANNFDDPKWRTVALKNAYALM SKRRFEYAAAFFLLADHLQDAVNVCLKQLKDLQLAIAVARVYEGDNGPVLRRLLEEEV LSIAAQEGNRWLASWAFWMLHRRDMAVRALITPVYTLLETPGSPDLKSRLFLTDDPAL IILYSQLRQKTLQTLRGASKVTPKVEWEFVLHSAKLYDRMGCDLLGLDLVRNWEFLQP AGPVAGLGGEVNPLRLLRRRESLVVADLPILPQQQQQQNQQHLPAEMKSGGHLPKQQA PPTMFEEPDANSLLDSFGF MYCTH_2312501 MAQFQTRSLSGAAGPRHLLSISDLSPAEFTKLVLNASSHKAAVK AAFAAGEQPPKPLHGSLTGKTVAMMFSKRSTRTRVSTEAAVQLMNGHPMFLGKDDIQL GVNESLRDTSVVISSMTSCMVARVGAHKEVVDLAAHSSVPVINALSNDFHPLQTIADF QTIHEHFPSRTPSDSSLGLEGLKVAWVGDSNNVLFDLAIGCIKMGVDIAVASPPGYGI PDAMRQLILAAGEGVAKPGRLTETQVPEEAIKDADILVTDTWVSMGQEQETQKRLKAF AGYQITNELAKRGGAKPDWKFMHCLPRHPEEVDDEVFYGPRSLVFPEAENRLWAAVAA LEAFVVNKGKIL MYCTH_2085059 MASRSLFATSPAWRRIATQARDIPRLGPSITARHTSSSTSAIAY KAIRRRQAPLPVDQPPAWSSAQAAVSNILYETPTPSTAPPKRHVLNCLVQNEPGVLSR VSGILAARGFNIDSLVVCSTEVEDLSRMTIVLTGQDGVVEQARRQLEDLVPVWAVLDY TGSPLVQRELLLAKINILGPEYFEELLAHHREMTAENPEGEANGQEASEAKLSETAKD FHPSKLVASEALRHKHEHLKSITYFTHQFGGKVLDISTNSCIVEVSAKPSRIDSFLKL IAPFGILESARTGLMALPRSPLYGPDDDTPTKEADEVVDASQLPPG MYCTH_2141069 MDDTERRNVKRSRFDQTEPEPRRSRFDRRSRSPPARKPDSGRDR ERSPLSKPRDAPAPTDSKSPPVDPAAAAAAAAARINAQIQARKGIQHVDVPPVRSSSV TSNKASTGSGASTINGEMYISDGDYIKDIEVNDLRNRYLLTKGSTQKMIKEETGADVT TRGSYYPDKNMATPSNPPLYLHVTSTTKEGLEKAVAKIEELMKQELPQLVDERRFRRR EQEQHVERDEFGRRKWPEEKIPIGLEPIPGFNLRAQVVGHGGAYVKHIQQETGCRVQI KGRGSGYMEASTGRESDEDMFLHVAGPDPKMVEKAKELCEDLLANVKEQYEEFKSRPP RGYGGGGYGGRGGDSYHGYNRDTHHHNNSHSYGNSPAPGAAATATPPTSTGTPASAAD YAAQYAQYYGTDPYAAYGGYQAYVQMYQQWAAAQAAQAGQASAAPGAGGAASAPGAPG APGASGASGAPGASASPPPPPPSEAAPPPPPPSAAPPPPPPSGPPGASGYNARHPLPQ LCLAAPCASPWLPTMSHQRYPSIDAVKEPHSVSLKVLRLSRPSLVAQYPLLPPPSSSP DDPLSHQPPIPASLAYSHHGAGGVIPPTNPAPFVLSPILNLPPSFGSAYVGETFSCTL CANYDVPEDGTGAGPKKSIRDVRIEAEMKTPSSSSSSSSSAAAGAFPAIKLPLYPPSA SHAGDEHGGSGGGGGGGGGGGGGGVDLPSPGTSLQKILSFDLKEEGNHVLAVTVSYYE ASELSGRTRTFRKLYQFVCKASLIVRTKASPLPAVGPGEEQGEGEEEEEEEEEEEEEE EEEGEKDEGEKGGRGRPRLRRRWVLEAQLENCSEEGILLESVGLELESGLRYEDCNDW QGHADGGAVGSRMKPVLQPGETEQVCFVIEEEGDAVVQEVEGRVVFGVLQIGWRSEMG NRGFLSTGKLGTRFVKPK MYCTH_2312518 MDNSSAPLADYFWIAGIEHITYDDPLPQQPQQLDDTIAEDGEGE NNESPVTTPSRATARHSRQNSANRISTLSKLSLSPSISGEGRVLEDIDGHTRSNRSSA TIRPIPPPNLGDENTNENGSTGVNGSGGGEGIGFDGFPTDFDFDQALLKFAAEREDFL DDLTFSAGAKIHTRPPMVNPRTEKLKADDADASGRKSPLRSIRGSIRGSIRRKMSFRD MSSVRKQPMPPRAASVRTARRLSNYNSVIPPPEPLNLDPDMHPLKRRFEPVLLDRYPQ RDASAEELARRGKFPDYVPMFAFPNDIQIVSSDERPRSTWHGFTMTSDDNSKIYGITI IVWTALNAEVAEEVEKRCERWRQRHMSNEERELAASLGGRLAAERAHLSQLLAQLGTL PSGSAAREAIDEQISAVEEKIALMTEMLRPLRHGAASKIDGLTAGETGLWTPRAFGIL GRDPSKMGFWKEWLRAVVVPMTDGSVLRVAPSSPKVGRWQPLERYVVNLCTEAFSPLS SLTQVELSVRELRLYARKEAANEIPGSRTIDIYALFRCLSLENIVLLFEYAMSEARII FLSSHTGMLHLACHALANLLYPLKWSSIFIPVLPARLISALEAPCPYIVGVERRYEKI ELPEDDYVLVDLDKDVIDATAQPVSLPRQHRRKLLSLLQVAAPHRLRYGVAPGPPPYA IEAFPYDAFSAESEAIFNPVPVKSTLGKWVTQNSSTFAEPDPPNTIRTPLFNAFAKAK VDPSKPERPTTSKSSKGSPPSSVSPVSMNFPPMPTTPVSRSDSGFAQTLREKRSGHFD EKSRRSSSFGMDKHHPPMHRPSLPFLNGHSQNLSISAISVDSQSSFGGYAPSTYAQST IAASTIMPNMMVQPVRNTENTVWVEGHCFNWAAAEVNSNCTICEERSEGDGLFKCSGC GCLSHNRCLGFVSLVCPAAFHADRVRAAFVRCLASLLYTYRKHLGRPSREQKANGQLY AFDMDGFIRSLPYDQQEYATMMRDTQAFNEFIHERERNPASDPAIRLFDEIILAKKAR GRPAFSAGFSRLSTLRASHGFYPGSSSSSGGGGGGGFTAPSAAAAGRAAKAPGYLTDT SDHIWRTASVPVPSAKFQGDYKSIVTRVPLRLEPALMREPRAIQGVPRPSEQGKVKKL VRKQVASMVGPPAGFRAQE MYCTH_2312519 MASVSPQTRLQQPSQSTLSQTQTAQSTNQQTQTQPQAILRLRGA HAPTRRSVQWRSDVVDNEGLGRKKSKVCCIYHRPRGVDESSDSSSSSDSSDSSDSDSD SDSDVDSGSGKKSRDREHDHGHDCDGHSHLHRGRGHGKRSRRRKPSPNAYEKMPRYDK PRKDGNNDSGKGRGDERPQGP MYCTH_2131034 MGVFKDESQAPDADNQPTPHAVENKTESATEHQRTVGEHATEMP PGGKPRLNTLRREVDLSSLLNSGEKTELTALVGRVTESMLKDLTQLFDPVRPDGKAEA TRTTFWSRLPNYLKDLSLGDPSNGAQARANHVENVKPSSSKKTGKAQDKRGDAHSAAG APNREENDVVPRLQELKKEALLHFKKWQVAVNKRINDISIKRSPDSQPDPASAGSKKR PPLNKRGPKTASTPAIISLEADPVLVQLYPPVPTTLSSWPAEKRCLLLHALLLLLLSI ENYGAYTRTLLQRMTSALQLPLRVLTEDELRVCGALAQIAKDIPPEFLAPKRTTIPVS ASGMAAALEAARIGSVLGIVGIPGTAAASLLGIMGENSLAAGQLFGIYGTRNPGKMMD HYLKDVGDFAFLPLRGSTGENLELGKIAPESRRLRVILGISGWLTSKDDAISPWQCLG HQSEVYAVRWELDALGKLGSSFDTLVRSAAWSMAKKEIMARASLFSNLIDSYWPESLL RMSKIIDNNWNNGMVRADKLGAALADVIMSKAQGERGVSLIGYSLGARAIYACLMCLA EKRAFGLVENAILIGTPAPSEPLAWCAMKSVVPGRLINVYSENDYILGFLYRTGSIEF GLAGLQRIIGVDGIENVDVTAKVSIHPRYQYLVGSILRHIGWEDTDTAQIARDEAEMS FYDDRNRKHEERRDAVELSKVAANKENGQGIIRTRMRKKNKK MYCTH_2312522 MASPWPCRALPRLAGTLRLLSRQTGQTRQSSSSSSQPSHHDAHR PQHDPYALLSKPTWSVRTLLPPSASRSPSSSQPPQKEPSITPDQLSHLLRLSALPQPA DDAEARRMLADLDAQLHFVRDVQRVDTDGVDPLPSIRDETPAGLREATVTVDTLRHAL AEEEVVGRCRRPRRRRTGTATTSGGKGGQGQKQIEGVEDWDVLGCAAEKVGRYFVVRS GKGAAATSNGFVEGFDRGS MYCTH_2312523 MALDPRALEEKAKKTLQSAGGGFSFFSSNKEEKYLNAADLYVQA ANAYRMERMNKEAGQCFEAAAKIHQEKLNEPDDAANQMVDAFKVYRKDYPQDAIRCIK AAIARYQAKGNFRRAASHLENAAELLEETGDRKSAMPFYSDAARFYEEDGAKALANKN WLKLADAAGLDGDYFKAVEHYEKVADSSLDNQLMKYSVKDYWFKAGICILATKDLVSA RRNLERYKEKDPSFATQRECQLLSDLIEAIEAGDQEVFTDKLYAYNQMSPLDKWKTEI LLRIKNQIEEADNEFS MYCTH_2312526 MGSPSLQEKLNKIRSPNLQNQKQTATVLEGVESAFKERNTEPTP TAYFAALLSLLDNQKLAQPVVYLLDVVTPFTPEPLLRAKFTQILTILAPVLSQPDADA PLIRSSIGCLEGLLLAQDAAAWELSAAVVGPRRAVGGLLSFSLDPRPKVRKRAQEALR KILKNPPPSPSLDHPAAAMCAETAMMSLTALAEKAARLRKEKKVSEGVHDPELIHALQ LVKTIASASGGWPSKKIEPLCELLLGIARTGNEHMSMAVFDIFEMIFEGMADEVASAK LPRLLEIIKELRPAPNDTQLLPPWIAILSRAYDVSAQISPGETFQEILEPFNMVAGYL ESDAKNIRISASECLVSFMANCVPRQVLVDPSVYDEKVIQQLVNTVEGLLTVKYQAAW LETFNVIGAMFDALRWRAAPYLLPITKSIGEMRGNDAFTGKQEADEVLGKAIRAMGPE AVLSVLPLNLAKPAKGQPGRAWMLPILRDYTSNTNLSHFKSEFVPLSAAMFQRVLDHG SAAKTMEVKIFETVVQQIWSILPGYCDLPLDLVEAFDKEFAEMLTNLLYEQVELRLDV CRALRALVESNQAVASATDEDDPILHNRVTKETAQKNLEYLGTTFSADFLAVLFNIYS TTLPQKRGPVLQTINAYLSIIPPARLMETFDLVCEKLAAALQEPVEKPKAQQSGEQVP STAHTLMDLVVTMSIYLPRESFEALFKIASLVVFKDDDPQLQKKVYKLIPRLAESEVG KAAIEQRHAEIQALILSSAEKVSAPARRERLAAIAALIPFLPNTSLHFIPSILSEVVI SCKEHNEKARTTAFDLLVLMGHAMVAADGATIDNSKVPHMPKDAPMAKASLEEYFTMV SAGLAGSTPHMISATITAITRVLYEFRETLSRDTLSDLIQTMDLFLTSNNREIVKSVL GFVKVCVISLPTDLMLPRLPTLIPNVMVWSHEHKGHFRAKVKHILERMIRRFGVDAVN KHCPEADRKLITNIRKTKERSKRKKEAAKDGGGDLDAEGAGAGRRKSRFESEYDQALY SSDDEDDEGSESDHSDDSDAEMRGRKQKKRGAAQKQGGNAYILEDEDEPLDLLDRNAL ASISTTKPSRSKKDGKRMKAKTDPDGKLILGDDDEAMDIDSGPAAAGDDDEEETGVGA YVAALRGKDVPRRGLRGKLKWNRRRKNDESDDDESDDGMDVDEDAAAKALREKRGAGG KGRAAGSRAGRGRGVASRVGKGGIAAAVGRRGLGQEKRRGPATYGSRVSKSGSRR MYCTH_2312527 MLNRANPYPRKKVAVVGGGCAGIAALWALNRSPHDVYLYEANGR LGGHTQTVEFTKGKYKTLVDTGFIVMNTETYPNFLSFLKRIGVETEPTEMSFSVSRDH GWFEWAGTSLSTVFCQRGNLLSPSMWRMLFDVVRFNNFALDLLRADDSTDETIGEYLE RQGYSKAFRDNYLIPMAAAVWSTSPDKCALDFPAATLVRFLWNHHLLSTVAARPQWLT ISTGSKTYIDKVMKGFPSNHLRLNTTVTSVTNDADGRVRLHTEGGKSEVFDHVILATH GDQAYSIILDSATEEEKSILCNFRTSQNEAVLHSDTSLMPRSRAAWSSWNYLSRSTWL KGESNTDQVCITYNMNILQHIPRDAFGDVLVTLNPLHEPDPKTVQGRYSYRHPLYTPA AVRAQQRLDSIQNRRGISYAGAWTKYGFHEDGFSSGLRVAVEHLGATIPFEFKDSTCS RGERPKLTLLDHIVRLLISLVQMFFLDILDGLVHVVNRSTTRRLALRVNSPPSINGRL HEKEH MYCTH_57338 MSEEYKRRNRSTAYYTISIILGTVALSYGSICQTTGWGGQPVRA HGTATSETSPEELASRLEPVKDARRIRVTFSSSVSDVLPWKFTPQQREVRVLPGETAL AFYTATNLSDKDIVGVATYSVTPAQTAPYFSKIQCFCFEEQRLAAGETVDMPVFFYLD PDLLKDVNMRNVHTVTLNYTFFTEARYDENGRFQGPPGF MYCTH_2312532 MPPGRTLSSRWLGRVFNIERRTAPSELPLYLCPAFRSLSVENAT LRRQCRPRARPIQQLCRLHTEAAASASTESEPLKIQPSRTLPLQCHGCGALSQTVAPN EAGYYNLSRKAIKKYLGLLDETKEPPVPNTDKIVEQALQSVDVEALERQGIRLKALLP ERSPESATDASGADKPPLCDRCHNLIHHSSGNSIYHPSLESLRDTIEETPYKHNHVYH VLDAADFPMSLLPRLHSLLHIPLRSQNRRAKPVKFQHGRLMEMSFIISRSDLLAPKKE QVDSLMPYLKETLREALGRVGGRVRLGNVHCVSAKRSWWTKELKEQIWKRAGAGWMVG KANVGKSQLFEAVYPKGRMDWDAPKPQISVKMFAREGHAKPDSSSSSSEADPFLKLLP DAQVPEFSLLPPPQPETNYPPMPVVSPLPGTTASPIRVPFGSGRGELIDLPGLARSDL EYHIKPEHRADLIMKSRITPKQQVLKPGQSLLLGGFIRITPRNTPPLDDNGLVFLAYG FTPLDAHATATAKAVAVQTQAEGAPNVRNISLPGTGAKIAHAGAFQLRYDVTKARTGP LTRKEAVGLKVDRLPYRVLGIDILVEGVGWVEVAVQVRTKHLFPKEGEKEGSGSGEGG EEQQPLQTLDLRPEPVNAAKNDKNKKKKTKGGKTGEDQDGEGVPEPRWPVIDVYSPEG KFIGYRAPMNAWVLNKPKKETKSRPRKSMKGVKKLTKKMKREREAESAGGEGE MYCTH_2312533 MVGVIRRLNQLTAQLVRLRNGPGAAVLPKDVTRIHMEFAHKING GHMGPRKFFREALPRLKYWNPAVPMVVNRSHNQEGPATLTLYFREPGATLPADLPQPS SSTQGLAKAPPPVEGERALTIDMKNRRSEAILKEFMEKSGAVPVKMSPEDEATMREVE EREARGAIDRERIRKQNEAIKREKQMIAQAQSEAAAIRASV MYCTH_2312538 MTSGNDRAAVVSGADVGDAARRRHVPGTPQQVVVPQQPQAADDK KKAKKEPSFLELLDQWEWLIAPIIFTALAFFTRLYKIGLSPIVTWDEAHFGKFGSHYL KREFYFDVHPPAGKLLVGLSGYLAGYNGSFEFKSGDTYPPEVNYVFMRQFNAFWGAVC VPMAYWTAKELKFRRPAVWLVTLMVLCENSYTTISRFILLDSMLLFGTVATTLCWAKF HNQRKNSFEPEWFFWLFMTGLSIGFVTSVKLVGLFVTALVGLYTIEDLWNKFGDTKMP VTELAAHFAARVVGLIILPFLVYLLSFAIHFAVLINSGPGDAQMSSLFQANLRGTNVG RDSPLEVAYGSLVTIKNMGYGGGLLHSHIQTYPEGSGQQQVTCYHHKDANNNWFFYPN RGDTPYDPEADPRFIADGEVIRLLHAQTGRNLHSHQIAAPITKSQWEVSCYGNATIGD TKDHWRIEVVSDAASRDRSRIRTLTTAFRLKHEVLGCYLRAGNKNLPQWGFKQIEVTC TKENNPRDTYTHWNIESHINEKLPPGDPGQYKSPFFKDFVHLNVAMMTSNNALVPDPD KQDDLASQWWQWPILHVGLRMCGWDDKIVKYFLLGNPMVYWGSTAALGVFALLVAWYV LRWRRGYRELSQAEIDQIHYSGLYPVLGWFLHYLPFVIMARVTYVHHYYPALYFAILT FGFLSDWFLRNRSKAVQWGVYALLDATVIGLYIYFIPICWGMTGPNRQYRYMKWFDTW RMSD MYCTH_2312544 MSSPPWDYIAKLVCIGDSGCGKSSLTIRLCEGRFVPHHDVTIGV EFGSRIVPVGPPYSNSSLSTSRIPSSPGGSAVEGTTTTATTRTTTTSAGDRNAEAAGL PPPPVVPVEKSTAAPPQPQKHMKLSLWDTAGQETYKSVTRSYFRGASGALLVFDLTRK STFQHVTDWLNDLRQIAEPDIVVVLVGNKADLASPDAPPAPASSEEQRSAGTTDAEEQ RRAGAGAEGTGNNRREVTRQEAEEWARRNGVLEYVETSAKSGENVEMAFMRVAERIFQ NIQAGKYDLNDRRSGVKVPNAGSGVSAGSKAVRLTGDAGKGLSGGCC MYCTH_2312546 MFSEYASRFLAQSQSRLSTFAGQDNGDGALRPTDWHGRASRPGG RGFLGRGGGNPYHPSGSRFGNFGGFGSRYATNDAPLFQATLEHDEEDEEEAADLFALQ RSRRVFAAGRLEDSTETDNDESRGSLEQSQDEGTSAVGERIRGIKSSWNGPKSSFRQT SGKEAVKNHKGSHQRTLAESVDAKGMENVALESTIAESEPPEDLLMEPGADNDPPAFQ RFQSTATPTRQLLRRDSSADSELGLRRPRSSLDTNVNGTPASHDPETEIFKHDAFFAW LYLIAQASLFSTFVLVMLHTDREDKPLGDTIYTTLRASFHLLAVDTLVSIIVSLVWLA ALRSFVKPLVTLVLVAVPVILISFSLYPFISSYQSTSGSTRLQDTMMRWAASVPAVSA VVWLYLVYKGRHALQSAVGILEFSSRILAANSALVLVGLACLAVVVVWTWIWLLMFTR VFLGGSFSSKLARFVISASSWWLGVYFFLMYIWTLSIISGVQRSTTAATVSQWYFHRN AVPAPSSREVVAAALGHSLTTIFGSISLSTLLALAVRLPLLLLPQRLANILSMFAYSF VPAPIAALTNPLTLTYAAIHSQTLAMSSRGLNQMDFLSPQRPTTTLTPAALPKGNRYS PLLPYRLAKLILHATRFIMAIALGFAGWVMTARQLKIEQPSGLGLRGSAYAYVVGLVA SFIGWGILGAMEGILSGIVDAVVICYGSEKRMATGAGAYCMEAAYLFGDRRMEHQRND VY MYCTH_2312547 MVSPCRHRDPPTPIPTRLTLWIARFYVNTYTKKSQWEKPTEPAR PPEDDLAPPGPPPSYTPGSGSTPAPSDTKVNPYEADNRSSNNPYLNTGAAASYTGSTG SGPTPGPSQSSIEAEDERLARQLQAEEDARARAAANAQGPFPNQLPPRPGDEPTQSRG LLGKLFKSGKKIVSSSSHGGHPHGAGPGAGGFAPHAPSPQPGYGAYASPPPPHGAFGA YPPQPGYGAAAAGYPPQPGYGGYPPPGGYGGYPPHGGYGAPPKRSGGGGMGMVGGAAL GVGAGLLGGALIADAIHDGQEDAYQEGFREYTPPPLAALIAFDENLGEWANHWKQQRM VPISMAAATFKALFVSMGRVEG MYCTH_2312548 MRLPALISTALLGLLGSLPSTAGESTGGSTTTSTTTTTTTTTTT TTTTGKTTITLRIPASPPLLANPHLLPASTRATLTALGASLSAPLSVHNTFVFPDVPA GSYLVDVHCPTHAFAPLRLDVGAGVRAWETFRGNDWGNKGEAIPVVEGGGAPGAAVVD VKPVGIKGYYMERSSFSVLSIFKNPIILLSMVSMALFFGMPKLVENMDPEMRAEWEER QKENPMNALMGAASGQNANPMASFDMAAFLAGSGSSNKAEEGNGKGKGESRKKR MYCTH_2312551 MAAPHKFETLQLHAGHEPDTATNSRAVPIYATTSYVFNDSAHGA RLFGLKEFGNIYSRIMNPTVDVFEKRIAALEGGIAAVAASSGQAAQFMAIATLAHSGD NIVSTSNLYGGTYNQFKVLFSRFGIRTKFVNGDSPEDIKAAIDDKTKAVYIESIGNPR YNVPDFEAIAKVAHDAGVPLVVDNTFGAGGYFVRPIEHGADIVVHSATKWIGGHGTTV AGVIVDSGKFDWGKHAKRFPQMVEPSEGYHGLKFWDTFGPITFAIRARVEILRDLGAC LNPFAAQQLLLGIETLSLRAERHAQNALALARYLEKSEYVSWVSYPGLESHQSHETAK KYLKRGFGGVLSFGVKGGGAAGSQIVDGFKLISNLANVGDSKTLAIHPWTTTHEQLTD EEKISSGVTEDLIRISVGTEHIDDLIADFEQSFKAAAAATTQGDGGAVADRTKSEQAP TVV MYCTH_27099 MKLANLSFPLMVILRSIQGLFALLVLILSAIVANWYNTSTKYLP PSQISFLLFAAVWSILSITYIAASLDLTNTLFYLAGFAALAAFLNSLLFCRGDVCRAA QADVAFGAFSFATWAASAALTCTEVVRARRAGGGSSPSAA MYCTH_2141082 MAPSKQDTRAYIRELATPPPAGSPYALPIPGTERPNRTPIYRHW RFQNGPLLETFDPAHRTVHDLFENSVAQYPKNKCLGWRPWNPTTRTFEPKYVWLTYAE VAERRKNLGAGIVELHHRLGVKEDKYGVGLWAQNRPEWQITELALLSQSLWPVSLYET LGPETSEYIINHSGLTAIACSLPHIPTLLKLAPRVPSLKIIISLDPLDAGEAPGHSKR ELLNATAASVGIQVFSMAEVEALGARSGRAMRPPKADDVLTINYTSGTTGDPKGVLIT HKNGVAGITAARTNETVSPGDVHISVLASGISMATSPGLIEDMKILRPSGFMSVPRLF NRINSAIQAATIQADGFKGALSRRVIDTKKANMKLPPGQATNKHFLYDRIWTPKVLKA VGLQRARTMVSGSAQLDPDVHQFLRAAFGNNFVQGFGMTETYAVGTVQLPGDFTTGNI GPPCPSVELCIESVPDYDYTVEDKPNPRGELLMRGPVIFREYFRNPEETAKAIEPDGW FHTGDIVEVDKMGRFKIIDRKKNVLKLAQGEYISPERIENVYMGATNLIATAFVHGDP KESCLVAVFGIDPVTFAPYASKILKKSVAAEDKDALREAANDPRVKGAFLKLLDQIGT RHKFNSFEKVKNCIFDIEPFTIENELLTPTLKLKRPQAARAFRKEIDRMYEEIAANAN VKPKL MYCTH_2312561 MPPPQLPRASPSALAIRSPQAAIREPAPSVSPAEQPALPTAQPP PFKPALTLASTEQQRSTQHDWRAEAHASVWRGRGTAESASTWFSLRRRPYVTAAQRKS IIAGTRGFIGLDPTELANPVAFHVDFSGDEIRYLRHLTRRTLRLPKTPRKDPKKDLYK VLRKNPTFIPAVLDAFEREALPGRVREDVDNLIRDLLDQNTTSAPAILSVRRDGHDQR GGLIRSSRVHSLLLAREISGQRGIGSMRRLENFTNEFRKCREDDLEKRAEWTGCAGDI FTITWVSNDGFICGTTEHSDAHNQQYNKPGNLVLGSCSELTLRAYPEHRIVRPIVEKG ENSTEAMRQSQDPWLYSSVVSSDYDAAHDRAYTSSFDRTVKIWKADSSGAAMTLLGEW RHDGNVNLVVASKHESGMVATAADVAADAVRVYDIDPTDISGSRFRSYSCSRVTDERG KEVSTEKWAYYPATMQWGLAPKVRHLLLVGYSPRSRTGDDNDIPEDRRDSGELCLWDG VTGERWRVTSATTQNVFEVLWHPTQESFIAATSPLGQDLEPSVRTQIRVFRPADRDQF GVKAFSPIMTLDCPAVDVNELTIMPNSFSFCYVTAGCTDGNTYVWDTALSDKPIHVLR HGEPIDEYRGDREREDVGVKFTAWGTTPDRFYTGSSDGVVKVWNIRSLKSPLVRHLLE APAPVTAGMFSPDKSRLVVGDASGRVFMLSIDEEKEQPTSIMKVPIQGSTGFRIIQRP VAIIPHPDPPPPTHDAEGRPIVSQLGSAIGRAYLDNLQLERHPNPTIGVVQGPRYAET NLFRRDMHFNEDPRQPLLARWEAMQQEAWKPPRPFLARRRDHHGIALRPPKEVAGLGE LHARNKSLDIFVDEMTRLRLEEEGVDWELGDDYLLKEEE MYCTH_2312563 MASTVVAELEAGLQAMLSLKPPGVSGSRITNITALCVANVQYES VLIQKLFTHFKKTPGTHKLGVLYVVDSVTRKWLDQAKAQGQTPSLSAPDGTFAAGVHR VTELIPILMNDIIATAPEDQKEKIKKLVDIWEKGQTFPPSMVNSFKEKLNAPRPTNQS TTPPGSPPPNLLGSLGPGSKPEAPPAPPASQAVPNSILDKLASIARQNASSAQSNPNL AATAPALTPAPASNPTTAPVLNASGAPPSNGPIQPVNVPGLPYGNNTTTTNNNNNNTN TNNAFPPAPPAQAGPPAAPVAPAAAAPVAVPPPPSGPSNPAAATVQLVAALAAQGIPI DKIAGVIQMMNQTGALAAPAPPQMPQPTQTGYAAPPPIPGAVPGPAPWDARPEGARDR TGYRDGMRSPNRPRGRSRSRSPSRWDPRGSPRSRANDRGFDYGRPGSPGRNRADDRDR RGHMSEYRQRSPHGRHGDGPGQEPPQQEKWVEYDNSLPSGCIKVYSRTLFVGGVTCSE HELREIFNRFGSVQTCIVNKEKRHAFVKMYYRKDAERAKDAMEDMRGQEYNLRTRWGV GFGPRDCSDYQSGISVIPIHKLTEADRKWMLTAPYGGSGGRPIVSGMCVEEPDIEIGA GVSSKAISRRMQTDKGGNHGPKSSRRAEDESPAGGFGGGHGHGGYMGGGGSGPGGGGN MGAGGPSGGRWRNKGGDKHHGGLAGRDQRRGGGNGDDRNNKGGNNDEPIVMGLPAGIT MGPNGINLPPNFTFGTTASQP MYCTH_2312570 MAPAMRLATSTMRASLRAPSLFYKNTAFTAARCYSSKSQTLKER FAELLPEKIEQIKALRKEHGSKVVDKVTLDQVYGGARGVKCLVWEGSVLDAEEGIRFR GKTIPECQELLPKAPGGKEPLPEGLFWLLLTGEIPTEQQVRELSADWAARSEIPKFVE ELIDRCPTDLHPMAQFSMAVTALEQTSSFARAYAKGVHKKDYWGYTFEDSMDLIAKLP TIAARIYQNVFKGGKVVPAEKDKDYAYNFANQLGFGSNPDFVELMRLYLTIHTDHEGG NVSAHTTHLVGSALSSPFLSLAAGLNGLAGPLHGLANQEVLNWLTEMKKTIGDDLSDE AITKYLWDTLNAGRVVPGYGHAVLRKTDPRYTAQRKFAQEKMPEDPMFKLVSQVYKIA PKVLTEHGKTKNPYPNVDAHSGVLLQYYGLTEANYYTVLFGVSRAIGVLPQLIIDRAV GAPIERPKSFSTEKWIEICNKL MYCTH_2312573 MSAAVAPAPASAPKKLEKKPIKFSNLLLGAGLNLFEVTTLGQPL EVTKTTMAAHRGDGFAAALGRIWNRGGILGFYQGLIPWAWIEASTKGAVLLFVASEAE YYARLAGASEFGGGIIGGMTGGVVQAYATMGFCTCMKTVEITKHKVAASGQKVPGTWA TFMDIYRREGIRGINKGVNAVAIRQMTNWGSRFGLSRLAEQGIRKITGKEHGEKLNAF EKILASALGGGLSAWNQPIEVIRVEMQSKKDDPNRPKKMTVGNTFKYIYETNGIKGLY RGVTPRIGLSVWQTVCMVALGDMAKTYVEKLTGEKVTAKH MYCTH_2113755 MPSTQRNVFRVWAHVSLLLQRSKCLAQLSLTLCTYGAAFAGGLQ RPFGLMDAAASPCSSGDALWLLLRSHRLCLLTGEPRLRWQPRPPSSLLPQADPERAWG ASLAALILRQTPFRSVAIVQGLVPRGYLAKMFRGQLTHNPTKEAARIRACLAGHAQEE MYCTH_2312579 MAEPTSTGAPALSTNIESGNFDEKAQHDVPPAKVPVEEEEEDED IDALIDDLESHDGHDQFEEEEDVTPGGARVVPEDMLQTDTRVGLTNEEVLQRRRKYGL NQMKEEKENLLLKFLGFFVGPIQFVMEAAAVLAAGLEDWVDFGVICGLLLLNAAVGFI QEYQAGSIVDELKKTLALKAVVLRDGTLKEVEAPEVVPGDILQVEEGTIIPADGRIVT DGAFLQVDQSAITGESLAVDKHKNDQCYASSAVKRGEAFLVVTATGDNTFVGRAAALV NAASAGTGHFTEVLNGIGTILLVLVILTNLVVWVASFYRSNPIVLILEFTLAITIVGV PVGLPAVVTTTMAVGAAYLAKKKAIVQKLSAIESLAGVEILCSDKTGTLTKNKLSLSE PYTVAGVDPEDLMLTACLAASRKKKGMDAIDKAFLKSLKYYPRAKGVLSKYKVLEFHP FDPVSKKVTAVVESPQGERIVCVKGAPLFVLKTVEEDHPIPEEVDQAYKNKVAEFATR GFRSLGVARKRGEGSWEILGIMPCSDPPRHDTARTINEAKSLGLSIKMLTGDAVGIAR ETSRQLGLGTNVYNAERLGLGGGGDMPGSEVYDFVEAADGFAEVFPQHKYNVVEILQQ RGYLVAMTGDGVNDAPSLKKADTGIAVEGASDAARSAADIVFLAPGLGAIIDALKTSR QIFHRMYAYVVYRIALSIHLEIYLGLWIAILNRSLNINLVVFIAIFADVATLAIAYDN APYSKTPVKWNLPKLWGMSVLLGIVLAIGTWITVTTMYAHGPNGGIVQNFGNMDEVVF LQISLTENWLIFITRANGPFWSSIPSWQLAGAVLVVDIIATLFTIFGWFENSDTSIVA VVRVWVFSFGIFCVMGGLYYMLQDSVGFDNLMHGKSPKGSQKQRSLEDFVVSLQRVST QHEKSQ MYCTH_2071402 MPIEKRKSHSARYRASLAQNIAENGFVVMPCSWCASQGLVCKMI ARTKRCEACVRRGRSCDGSGIPLSSLDRILQEQRRIKDAERRAELELDESQRRLEEAQ RELSEKLARLRRLRQQKEFLVEKGADMVARGLSTLDELEEVERQETPAMPSSQINDAV DAVDWGAVFGSVPGFPLVDPDSAGGTVPNFFDVPFFLFVLCFDVRGNLGVLWCWFEK MYCTH_2070868 MNRDIPGFYYDSVKRRYFRIEKSQTAPSQAEWSARNVKRRAAEQ AEEEKRRARLRRDAQKVRRARVETVPLMGGLLARETGMSRRGDGVGSGDEVVARAWAG SLAEKGEVRLWPSVGVGLDYRTVSAMWVGGGVEDDGLGIVCGVLERLWPSSSFIPRDA DDRINFQFAAGRYPRVGFQPRIVRELTSQGGVTAIKCHEPSSRILLAYESFLGGVGIW QFRVRAQEGGAGLVQLLRGGIDGLDPYFASLGNGSAFTIRALQPAPPSSRLTCLVGTD RGIAQLHNDALTLLTPPPRQGQRHPHRRRRQPCAPDPDAPPWQGDVLSVDFLHQNSAD TVLAGTRSGRVCLLDLRVPPRQWSARSNTFRHPSSTAHVRSVGAYHVLAAGPLDAMAL YDVRFLRQRRQQHRQQQTLPLFTFPAYRNAAHLCVGLDVLTEPGYGGGGGGGGGIVAA AHATNRADDRGTVALYSLRDGSRISGAAVDAVRAPGVVQSLQWQTLPGDRHPSLFVGE GPVVRKYSFWA MYCTH_2312598 MQPYQELQRVIRQKEAVEAELAGLKRRMAAIVAMIQPVLGGTSD GPAPPDSAAPFQSQGRSHSLTQPPAATTTHNAGSTQTSVPSPASVETQSRWQHSLSPI APTVGVDEQQQQQQQPLPPQPFPETVLFNQQRYDLAHGLDLGSDKLGLEFLVDPKLKI ARIKSGIEGAQDSPKYHHLPMKHDWTATVSMIPGLQATAPTPTQVHSPVYNQAPVAAP SGLPAPPTPGAAAASGPPTARPTPIYALTPRNCPPTCPLDSILVDFLSERRQRAAEGL SQSEILGPRYPSVSSLLNPAVSLYSHPLSKVFTDILARFPDLSALPERVAVLYAMFLL MRWQVSPTQENYLRLPPWFRPVRQQTEKEHPAWFDHIPFPRMRERLVSGYEDGAFPFD NFFIPFTSTLSLNWPYEDAYALLASPTGDELMINPVFEQHMRKLEN MYCTH_2141088 MPAKLLVYASQAESGAETDSIAHYIGVDVGTGSARACIIDSTGE IKALASENIKLWQPAHGYGGSHYEQSTTDIWRAISHCVRKTVADSGVDPASIKGIGFD ATCSLAVFTHDTDEPVPVTGPDFSNASGEDRNVILWLDHRPLAETELINSTGHKLLRY LGGKMNVEMEIPKVLWLKNHMPPELFARCKFYDLADALTHLATGGETRSFCSAVCKQG YVPVGVDGSVKGWQEDFYKAIGLGDLVEDGFRRVGGVNGVNGKFLSAGELVGGLCEKA AKDFGLPPGIAIGSGVIDAYAGWIGTVGAKVKLDSDHLDDTVASNDVSQAFTRLASVA GTSTCHLAMSREPVFVPGVWGPYRDVLMPEYWMAEGGQSATGELLKHMLETHAAYNDT MREAQEAGKNIYDYLNDHLRHLVEKTRAPSISYLVRHFFFYGDLWGNRSPIADPNMRG AIVGLSSDKSKDSMALLYYSTMEFIALQTRQIVETMNKSGHTIKSIFMSGSQCQNEIL MDLIATACGMPVLIPRYVNAAVVHGAAMLGAKAASADEQGKTEPLWNIMDRMSKPGKV VRPRNDAAEKRLLDAKYEVFLDQCRTQQEYRRKIDEALKGTSIAATMSLFMGKGTPPT ATSTGVLGIPGGNQPASGSGNSIFGSTTTGNSLFGNSSAAGTTTTPAQSQPKTGLNLG TSLCGTSTTTPQGSLFGGNQSTTATSQPTTSGGTSLFGGSTLFGSSTATTTQPAGGGS LFSSAAPSGGLSLGLGNATNTNPAASTSQQQQQQAPPSVLPSGALFDSLLAKNKKEAE GETALGELPSLQLGLGDLRQRLKKLGPPQDRPLEHGKAHYFLAASGVDPGAAVRDLGA FGLQARERGAAAQGAGFGGGVGEVDVETYLSNLQTRTTLSMIADGLDRSVRDFDSFLE DNVTMEWEAQRRRIYQHFGIKPREDAARTSTQPAARASTPSKDGQGTFGRSRRKAGSQ AGGGERAAQRASLLGRSAMQRSVIGTPSRIGSHASEFTDVEARKDAAGSGGAGSMDDR FLREKQGKLAEKIHDFNNARQQGLPVYICRDLAELESKSGDRHGPHIVEAYRAMMEIV GEFPEAEETPRERQFAKLYLDPNLQSANAVAMRKQILSGANAFLEKQFWNEVNSLIAK YPQDANLGGLPDVVSKIKAYIRLRIARKTLVPDNVDLQQANGEYIWAIVFYLLRAGFV HEAAQYVNENQSHFRSIDRTFSGYINSYASSEERRLKRQMQDRCTSEYNQRIRNAPEG SIDPFRMACYKIIGRCDLSNRSLEGLQTDVNDWIWLQFNLARETDRSLELAGESYGLA ELQASIREIGLKHFPKTPAEDTNGSFGMFFYLQILSGMFEQAIAYLYPFSYVDAVHFA IALTYYGLLRPADAQSAGGNELLSHNTRNQPQINFGRMLGYYTRDFRAANAAAAVDYL VLICLNADETGGQQQAALCHEALRELVLESREFSRLIGDIKPDGQRIRGVIEERGPLI ALGQEDDFIRAITLQAASFADDNGRTTDAVLLYHLAEDYDTVVSIVSRALSEAISLEI GEDPMRLVPVKPRVDGGAEAADAARRGGSSLSLAAIDDPVELAKTMMSMYERDHMFWQ KIRDPNRVACSVLLQMSDIKNLVEQGKWPQCLDKIRSLDILPLTARGDPSVIRAYAAR FSSLAQPVAINVPNLLMWTVICCSKQRERLQTGQFSGNQSTARQMIDELKQISVDLTA YTSQLRYRLPPHLHEALARASAD MYCTH_2312602 MEPETAATHEEIWDDSALVESWNQALEEYKKYHSIHAKGGSVDD ILNGADETTRSNTDAKPEPGVVDEAARSQEDAEAEAEVDETIPMEESDETSVPTISDV QDSVNANKKQGDALQAGGSSLPGPQTVLGTVQDEELKNLLMSWYYAGYYTGLYEGKQQ RSQQAQSSPKTS MYCTH_2312604 MKFSAATAVVLGMVPLALGKAIHNAYPVRRNGHNVQVVDAASKD SVPASEAQLEELAQLIGLHRGSGQAINFLWVNLGGGAATTVIGSASTVTVTKTVAADA TATAPPAVITDVAGSTTVAAPPSTTVVAGGATHTVTVGGPAGLVFTPQELNAAVGDTV IFTFLSQNHTATQSSFDKPCEALAGGMDSGFQANANNTVNPPPQVAMQVMVDTPLWFY CRQKGHCGKGMVFSINPTAEKTHAQFQANAIQQAGNGAGGAITGNPPPADPNAGTGGA AESSASASVTAPPAPTATDAAGSIQTGTGTVAPDGSCVCAVQCSFGGFPNQAVQGRDS FGGFGGALPMAMVPAAARRV MYCTH_2030495 MSRGNQREKAREANLKKQAAIKKGHGMSGSELAKAKEIAAQRMR EKQAAGM MYCTH_2312607 MFTLGQDSKLLGAGHVVLNILRAFNMIGLAAVMLASLAMPVLSG INHHFFFFDMMTHVFVFLFAAFLFASELPVPWKGFKGYYERNWPVLGPDHSLAWLGWG MVFIGFEILGEAWKPAYTVETLGLDWWRAILAASILSATFGFFNICASVIFRTSFEGP RGEKVIVTSRQIRTHGKLAVQHAANKSDDIEHSFASHYSPPHRDNWSGRSWSKEEEAA EPSSAVRRLTRVLNPLNFNFRKSRISRIHISKPILPQDLVVDDDLVNRGHSGHGSSGH GADGGDRGSPVVPGLQRPPTALHPAYTGESRYSKYSAAHMDRF MYCTH_2312608 MADEAADVAEDYRQALEDLTVNSRIEIATLTNIARENASHGFAI AEVLASHIKKAPPTRTLPALYVLDSVVKNVPTPYALYFGPKLYSIFMGAYTKVDNATR RKMDEMLKTWKEPVPGSLSTKPVFPPEQVRPIENALMAARNAAYAAQQNSYQGQQQLM RGRPPAPSRDTPTPPTARSFPPPQQPPYPGSNGHRPDAAPQPYPMHPGGNPSAIPHRA TPQPAPSAGPYPPYQPPAAGSVYGTPQPGISIDKLKDDIQQLIIAERAEFAQNPLDTS KQTRLKALLDLQTIIQSQDMPQDQLMIIRDRVAELAVKIRPQPPATAGPAGSGVTAPN TAYPGVSYTSTPTPPAVSQQPTPVPSTAAAAVAAALARPSSAAPAPPAPSGGLSIDSI FGQGALATLLSEAARKSATPQQTPTPQPPPPAAPSPAIGPAAAAAIAAALRPPPSQGS TEPPKSAATVASAPAPAPAPPPPAAAPLPTDPSALLALLRQSGLLKPGATPTPAPATP VPPGLGSGGHQSLAGVSSTPTGNPQLTTTSLKTFRPHLIAQLHENLGPPCTQCGRRFG TDEEGRRRKMAHMDWHFRVHQRMNDAEKRGQHRSWYVDETDWIRSREAIDADYAHPQD ASSSYYYSSDGRHHSSSASPAALHHTTINTKRQGGSSAAGASAASRVPYLAVPEDSSR VNSACPICQEKFEMKWLDEAQEWVWTDAVRVGERVFHATCHREAYGVLPLSEGGPGSA SGSGVLGKRKAEDEAGGLRGKLKMEGY MYCTH_2312612 MTIPQSTAEMPAMMYGTAWKKERTADLVYQAIKAGFRGIDTAAM KRHYDEVGTGEGIRRAIREGICTRKDLFIQTKFTPVDNTSAYSPADPIPAQIHASITS SLANLFPTDPSDHTNGSGGCDGGKPYLDALILHSPFPTTQQTLEAWNALQAYLPAAPR DPSSSSSGHPRGQAPDDDPRAGTILRLGISNITLPVLRALASAAGTVLPQIVQNRFRA AERAWDADVRAWCAERAVSYQAFWTLTANGAVWRQQRAGFVADVARGAGVSPAGAWYA LLMLAAGLVVLNGTTDPAHMREDLEVLERVRRWRETAEGREVWGRCATEFGRLVGGGV VDQGGNES MYCTH_112471 MASSTAYTVRWGIMATGWIAEVFTKDLLTNPAVRGVQDVRHEVV AAASSSSKDRAADFLGKVKAPSSAKAYGSYHELVADPDVDIVYVATPHSHHFQNAMLA LEAGKNVLCEKALTVTASQARKLVETARSKGVFFMEAVWTRYFPLSIQVRNLISSGAI GTVYRTIADLSLGRDSGDGKVDFADSHRMVNPDLAGGALLDLGIYALTWVFQTLYHVQ PEEQKEKPKVVAAVNKYHTGADETTSIIVQFPQHKSHGIALTSLRVASDPDGKNSGGA AIRIQGSTGEIQVMGPAYCPLQYRVIKKGGDGGEVQVVDCPIPKDAERDGWGQGMFWE ADECARCLRDGRKESATLPWSESIAIMEVMEEALRQGDVVYPDVISTDVYDPQSPLNT GKR MYCTH_2312616 MASSSATPSALPSLSATEAVAKLAAAGTETETGPAAAAAAEAQA EAYERAHVHGVYEAIAPHFSATRYKPWPAVGSFLRSQAPGAVGLDVGCGNGKYLGVNP DVFMVGSDRSHSLISLARDRCMQPQTRQGDAAGAGTGGEAGRGGRPVVGADVLVADGL SLPFREHAADFVICVAVIHHLSTRARRQEAIRQLLRCVKLGEADRTGGQVLVYVWALE QGTSRRGWDEGGEQDLLVPWVLKSQQKKQPKPQKSPRGDKAQAVGDRGSATQSGDAGS DPVFQRYYHLYRKGELEEDVLAAGGVVVSSGYERDNWWVMATNKPIQQVQQQQQ MYCTH_109046 MPPHTSESPAKKQSKWSPEEDALIIELRGSGMKWDDISKRLPGR SSISCRLHYQNYLERRSEWDEERKNKLARLYERFKPEMWAKVAEEMQVPWRAAEAMHW QLGEVEMARRAGVVPFSLNMTSNEPQGSTMQRRISPTRGHHAHSQPQGSLPHNFSGLP SPHYTRAPPGPGPGPELGPGPGPAPPQIPPIIPPPTPSSAGSSRPLSSRRDSLPPRPP PFGPPLSEVSDYGYSLAPITTDPLGHGGRVPSAGVAGERAMTTSGGGGGVLLPSVAEL TTGISPYSTPAYMPGSSQQQPRYQQKQNVPTTSSPVHSSATASPGPPGALLAALTPHP AHEQPQPQHQQQQQQQQQQQQQQQQQTSSSTGKRRASPPDLSDSSTRWEPGRRRQQHH HLYPASAAVSGTATASSSSSGTAAARGAGCSRRSSSSEYPPTPPAASASPFAAGAAAT LIPAQRGATRHGP MYCTH_2312622 MADVPLFVVSDYVSSERRITPSWSISQLKAKLEPITGIPPSCQK ISLRTSSNEQIAIEAPDEESVYLQQFPLKPYSELLIADTRPVSARPNFSNTAEVEKYV LPEEEYEKKTDSVLAWKKAEKLGRFNPNAPSQEQAKIAAIAQEIEARGIAVGKRCKVG GDDSRRGEIKYVGDVKEIPGVGAWVGIQLDEPVGKNDGSIGGTRYWGEASELKRGVFV RPERVEVGDFPVLDDLEDMEEI MYCTH_2312624 MSSLRRLLLVSLVSVLSGAGAEDARVSPAVAITERELASLMHLH LTVPETAALQLTYSVHPLTEHAGLNLSDRSSIWVHGSLVPVEALADADLRKEETIVFF SCDSENATEVVNQVMEGRPKAILLYSLELNACRLEGDDLSYDFFYTMGDADAARATLN NTLQAGGILRATITGADNVTSTIPGSQDTSGSHSAVAMSILYSITGLITLLFLVIIGT GAIRAHRYPERYGPRSGQGGRPSQSRAKGLARAVLETLPIVKFGDPEPPKADPSLELE SQPPATAPDASMGTRLSAIPEEPRTPQVRQSAAPMSGAVPAPDVAPAEDRTPAGGTGE EPDKDKEGNNSGGLSTEEHLGCPICTDDFTVGEDVRVLPCNHKFHPPCIDPWLVNISG TCPLCRLDLRPQDRRSSTGHRPDSSSSSSSSSSNNNNNNNNHNHNNNHENSHDNENNV DNHFPLSSPSSATSQRHRRSSRFLDLHRLRHASVKERIEILRRHRSQQQQLRESAADG AEMREQEQPQPQPQQQQQQQQQQQQQQQQQEQEQQQQRARRTSHLLGRLHFRPGSRSP PPPSSSTTHT MYCTH_2312626 MNTKTLAALVATTLLGQTIAGPHRHGLQHLHQKRATITEESTVT HWVTVTVYEDGTAPTPEAFYSHSHRFRLKHSSSSPSSSTPVVAPVASTSAAPTSSSTS VTKVVASSSTTPEADEDASTPPAAPPTSSSSVQAAPSLEPVPVDDGNNDNTGVVTGGR AKRGLAYNDPQLLKAFLGDGTKVTWTYNWGQQDDSGTDLEFVPTLWGIKLDFADTWPA NAQKAIDAGSKCLFSFNEPELEAQANMSPQLAAQKHIELMNPFSGKARIGSPSITNGV GPNNGIGYLKQFFDACAGKCAVDFVNIHIYGVDTNTFLSHLLDVYAAFNKPVWITEFA FDGTDDEINSQLETVIDQIENNSTYSFVERYSYFMVQDGTMIKNGAPSEYGNTFAYAT MYCTH_2312630 MHAILGYAASELMTRQDRSLAEAAMSHRYKAIKAIKKSLAQSSS ISPSPSPDSGRRTPPATTSSSSPAARNSNDEGGGGRGGGRGGGGGGGKDDPMFEEGNA LMATCFALTYQSVLLEDGMVEYMTFIRGIIIVAIQMYCRGAHLLFGQFLGDRQQETLE PHMRDLPLIDADWTARAVRAVEDLRPLVDGVEGREVERRYWELLGEMGRRLSVSSWKA YLALSEHYAWWMMLPHNKFQRLIDPENQVAVVLGAHWIAFEQIMATICQAEQRAAAKM PNRSGTGVSLGNIGWLRYLNSQVDPEHRPYNEWPMWVEAQLNRDPGFFGKCR MYCTH_104005 MATTYLPRTDTGSTSPPRYTPQEQQEYELERLGSSSPSILSAPP PYTTDDAGADPSSRPFCPTVHLQIHTTGKSWFSLPLPPRPDPIPVFALPPDDPSSRSP SARNNVALPWFTSFRPERYSGSCYLQQSPAAFFSSSSSPSSSSSSSSAAAAGGPTIVA TTTYRFGPGRPPVVRLFSPARGPGPDPATVADDDDDDNDNKPWDTFAVTSLGLLTRAV GFRSRLGTFQWRYAGRGERRAFARELSSSSSSSSSSSLPSASAGQGGSSKEEEADVAN MLVLERVVRVAVAPAAADRGRGGGKREREEEVRTVVARFVRGDGFRTPGSGAGAAGNG GRLLVDLGLWEGGDGKGEREMALVMVVATCLLMLKREIDRRRAQQIAIMAGAGGGGGS MYCTH_2312639 MPEPLQPSFFHVAASGSDPRPSESACQREDAAVAPQSSSLTLRK LAMSHRMGATASDPSSPTIQAIGDSHHGAETHPLHSVLPSPALTNALKESLRTAGAGQ SGPPIGVPNGLHFDSPYSRSISSTAPGSPRIPPVRQNSGSHTPRIRPHATTLNIPGMT RSRASPDGRIPDRDVAAKLVIIMVGLPARGKSYITKKIQRYLSWQQHNTKIFNVGNRR RIAAGMVNPTDASPTNAANGHDVPSQAATILSKGTKGPDIVVEEPTALDLNKGKPVPK MDQSAAFFDPKNEAAAKLREQVALDTLDELLTYLLHQGGAVGILDATNSTIQRRKLLV ERIKAREPKLGILFIESVCHDQNLLEANMRLKLFGPDYKDQDPHMALEDFKKRVAAYE SAYEPLGKWEEDNDLQYIQMIDVGRKIIQHRLRGFLSGGIVSYLTTFNLSPRQIWITR HGQSEDNRAHRLGGDSELTERGHYYGQALYNFITYKRKEWLIQQKNKIASSNFPPQPG DNTPPYPELFQELDDKNFCVWTSMLKRSIETAEYFEADDDYDVKAWEMLNEMNAGAFE GMTYEEIAEKYPEEFEKRARDKLQYIYPGLGGEGYLQIISRLRDMVREIERITDHVLI IGHRSVCRVLMAYFMDLTRDDIADLDMPLGMLYAIEPKPYGIEFHAYKYNEETFWFDE LPNYKPRKTVDRNS MYCTH_2312643 MRQGKEQGTERVCELSHSTASSVQRDHLRHSLSNSNPRAPGASK NPTSPSRQKSRFRLAFDRGLRLHYARRKKTCDLCIRVSK MYCTH_2312644 MSGQACVEPFLLVSCKFATASLGFIEDLITKPTIEIMMSASPTD LQKPRKSQVGRFLRVAKIRIACNLAKSLNSGVKRRNGETQRDRGRTPRFADPVHVGKA SERGLFGF MYCTH_84670 MGEDDRSIKRSLAAQDPIVRELVQEDIEHGVLIPLKTTLELRDD YQTGHVLITRTPTKAANPAILLLRNLLPDEIIKGLPHLRRCAKPSDLPAHLKAQFMNE SPQSRQIHTGKSSWIYIIVGPENLFNRDEVAQALSTIEGMEEEVFLRRIPVPMVPPTS QVQAAMWSQHFWPSLYRKNNPLGPHPSMIARQTEEIANDAAIWMALAHKVARESHASG YGEPMGACIIQRDEGRTTLVALAGDARWCPRGKVGCSGNPMAHSAMRAISIVAQKLVR AENRKTETRPEPILEFEAFQDKPLLDDEKAVYDLEHPNPDGYLCHGLEMYLTHEPCVM CSMAILHSRMGKVVFRHRMPLTGGLCAEDRGRGHPALKGADGGRGLGLFWRRELNWSM VAWEWESSGCLQPLRVDGATHA MYCTH_2312648 MPTLATTNFNILLSVLGGWLSLFGSVSYLFKGSFYLSEAPISLL VGVALSPRAANFIRPLEYALGSPDKLASITLALSRLVLGVQLVLAGVQLPSRYLRRQW RPLALLVGPVMAAMWIVASLLVWALVPDLPLLHALAVGACVAPTDPVLSSLIMKGRFA DRNHPKGLQDLVVAEAGANGALAYPFLFLALYLIKCLGDGSGDGSAAEHHGGGGGGAR AAVGQWFGEAWGYAVLLGIVYGAVVGWLARELLRRAEERRFVDRQSFLVPAVSLALFV VGTCGMIGSDDALACFAAGSAFAWDDRFRLDALDDSLQPTADMLLTVPVFLWYGAVCP WEAFRASPVVPLGKLVALAVLVLLARRLPWVFLLHWFIPPIGQARQAVFVGFFGPVGV SAIFYLYVALGFLRTLDVDGKPRADVRLLPEAVTVVVWFTTICSTFIHGLSIPFGKIG HFLPGTASRDPSPPFGPLGSPASSAHGDEPGTGLRRSVFRARGSSGPKVQPRPGEAVP DRRKASHYGKDVLVDVVEASQVAPAHASVPCERRMIRLPDEPTMAGTRETCGHGRAER MYCTH_2312652 MAASAQTQCEPEFDISHYINESHFANEFIDSDEGSPLPDLLDSN VASPRAHRPHSSGADSSHAMQDASRDCGSPSEALGLVSTSPRSRDGHRGSTFDSASSK RTSTTALTQLTAGDSRADGAAHRKTDWEMMVDSFSASDASSFPIGDQTLDFLDGRAAA AQSSLHSPMDSPSPFGSALTTLSPDAMMGSPAAGDESYLPFTFKSSGPQPDATAQPPP CLRPSRPASAVKYEFRGSQETGGTTSTGMASLLDALYPNTGQLVSGSTFFTNNAHVAT QPPVDCQPYGPGPYTLEVSATNTKSRVETQITIKLRLPQLPLGVTKVHLPKHTISKPK FWAKPPPQLSPEMLELHTMLVCTSAMQVPQQKAAALQRAQKAAGRRGKKTDAESEGED EEVKTQEGGEVQICENCIGRERKRAGRKKSKHPQDEEAWRRDEAKRVIVFNTQEVKDW VLQSQPEVGPRRFWQVEAPMRIACYCRHHAEKQGFQIIFTLTDHLGKLVAQTMSESIM ITDDHKTTSPNPPPKPVPGPPPPAATLTPREELPVQVAPGPGPSLESSPSLDMQATRR NSSSSTPLSAMPQEQHQQALAAAQHLSRPASPSFPTGPAKRRRANNSTTKIPNDLAMT PLDTSFSSAPTRVPNNNSSSGPAMVTPASSTFSPTPPPSFPPPPELQLFGPGSAVPEQ STNPFGNSQRASGNNAQILSPNPSRTPSLANLAMSMFGPQLSNRQNPNVNHGPRHSPN AAPGQGRPQQPQTQRAIFKVIPGEGPVAGGIEVTLMGQGFEAGMQVMFGDKQAPGTMF WSSESLVCLLPPSEMAGMVPVTIKGLNLGPHWFRYVDDSEQQLLRTALMILGNKMTGG YEDPTEFARRIIKESANGYPSGSGDMSGAEGSSSRPMDNLENHLLKLMELMDLSNSTR KPRLNLRRRATGQTMLHLACKMGLHRFVAGLLARGANPDPRDNGGYTALHMAAMSNHC EIVRLLIAHGADPTLRTLSGLTPADVAKSKEVVRILHRCEQHRRPRSDSLPHSRVNSV ASFKSLRALASASHELAETSSDDAEPEDESSEEYSEAYSSDIPDESGAVEEPGLRMRR KSAANTPARMRSPSRPRRRGTDATSALMAAIKEQVAAQFQQLQQRMGPHLQYLPQLPQ LPQLPQLPQLPQFAQLPNFPQVPNMPPLPEYHNPVLQRLATMIGGARPPGPGEEEPST RPTESAWQYLSPFSTKAATPPPAYDELFPQQGDLDTKRSSAANAAADAVADAKCAALF DQPQTSTTASAVTTAAVESTNAPVDDKAEESEDQEIPALLQIGRKDAITREQQATLRR AHAQNLKRLSWDRNLFFIWIPLLILMIGAMIYHGMSGFVATTRNPISVMAPKVQVEVP AANPDVRQAAGAPDEVVATAV MYCTH_2312654 MGQAGRYVKQRPAVQVLGTGAEASLLALFPILLAAEKPRAAASA GGGGGGVGAAAREVCGDAKPTKLTSVQWSRPAAAQSLTDASGFLKRSK MYCTH_2312655 MDEKLDIIYVNGRVDQEQWQTFRVGETRFNDEALRQAGEMVIWS MRKKKRAYNLISNNCQHFALLMLDAIQLGAAERTEFATTLAVVQAATGSGKIRDLFAE KQSPGEQQAALERADKDNPIVALAKRLMDQHTKKLDSHHHVR MYCTH_2312657 MGAPILASAAHAIPALENGSHGLDEAIVSRDTKGGRRLWYRMRV IQQPERARACGSGPKSSADRRPVDPPPVVELRIYEGQTWELAQEKDITFVYNANFFLF ATLEHARILAHARGTASSTNTPPVLTGMPVSGMAYLDRPLEAGYFLFPDLSVRHEGRY KLTFNLYEETKEDKDRDKDCDSGSHPPIAGLNPATGGSFDFRMEVKSQEFVVYSAKKF PGLAESTALSRVVAEQGCRVRIRRDVRMRRRDGKPTGDYDNAEDEYNRRRRTATPDNR NDYNRARSMSGSTERTPYPADSQRRPSVADYPPQYGQNPPSGGHLQFLGGNSSSQYPA APPQSYAQPPSVPASPVYPPAQSTSSYQMQSSYPAPPPPPAATTPRSQSRERTPSQSP YAPINPAPPRRDSIHTEYRPSGPVQLPPLPPPPFHPPTPKQAHMPPPHQHQVLPPLQI DTNHSNNTSRLPIPSPTSLANVPRTLAPLAPLIHPTNSSSSNGPLHPSSLPPAPQYAG TKRAHDRSFRQDSEQSRYQDGAREKATAEDVAGDVMCMSFMRADGTKKSASSLPF MYCTH_2121672 MASFRQRLQHKHNLSLPHIQTSDIPPPPPPPPAPWPGGRGSLGA GAATASDLAWSAGPGPAFPSAAASPHPASAHPVSSFSSASASSGFRSAPPSARPPLSP RGFSASAAVLTGQSRFVEGSMNDRVSTAPPPGFLGLDDYDDDDDDDDDDRGREVDGQW ERARARVGGGVGRCGRPALPREGDDGRVWHHGSVAGGWIRSSAPAASASAVQQETTTQ QRGGGGGGGGGGGVVKKTSFLAPLWDGVREKLHLSKSKSSGSIGRVVSSMVGGGGSEQ RKVDREKDKERLMGREEKASGSGAGMAGYPSREEVLESYKNLMASGFFEAHAIRGGRH PLRHPLRTGGAAAASNGTRSFAEHMAAPQNAASAPGKSFADHMAAVRQQRPTPTPTVP LSSPIRGSMAPPPPPRGSSWGKPASMSSPNRGTKRGASIDLAGDAEIVTRKLVKKLRH SASRLSIDLTGARKKSSQYEFGTLGRPRPSTSPNPSGPLSPTSSIFSAFTSPPSIEEF GGGDDDDDNDDDNTSIRPGGRLIKLNYGGRRRILGLARRRPFSERPLSASAVEADPDA MMIDEPEEQQQQDGAHREREPEPEPEQMSSALGQRPPKPRGNFTPPPAAFLTDVRRSV RVVSTSESDIMSLEEEDGKTEAEAEAEWGPHDKQPHGHGHGHGHGHKHEDKDERPLSV VPDPNQGIPLVPRIPREFCDAMAALAPPAPAAVVVVGPAANSGGEAAKKMKKMEREEE AERGGDEAKAANRDSGLGGDVDVDVENIPVWGS MYCTH_2312661 MRFGKTLRQSVYAPWADKYIDYAKLKGILREDRFDDEDEPWTED DENRFCDEIFNVQLEKVAQFQAEKVDDLRRRVDSAFEKLKELPAAPDAAAAAEEGQPK RPAVDPGQLKELEGELDTITNEIKELQKYSNLNYTGFLKIVKKHDRKRGDRYKIRPMM MLSLSRRPFNSEQAYRPLLNKLSLMYFAIRQQLEEPGSADGYGYPVDPDSQPEMHNGE RYTAHKFWVHPDNLLEVKTYILRRLPALVYSEQSANEVDGQQDPTITSLYFDNPRFDL YLNKVERKTEASSVRLRWYGQLSSRPDIFLEQKLVHDNGSSEERKFVIKEKYVKPFLD GEYGMEKSVQKMERTGRTADDVAAFKSTADAIRDFVRANKLEPLLRANYKRTAFQKPG DDRVRISIDTDIAFIREDTLDRARPCRDPKSWHRADIDDGNMTYPFGNINQSEVSRFP YAVLEVKLREDGRGKRPSWVEDLMGSHLVHPCPRFSKFVHGTACLFEDYVNRLPFWLS DLDTDIRRDPQVAFEEEESRRAQRAENEQVVGSLLGTRVGSGGGGGGGGGGFGSYKPS RSSPVAKSYLADRAAADASLARTPSKSAADFARRRRSSAATAAGHADETGEPSTARGG RPERQQPPDEQESRRGLNYGTLSSVFPSFSSLSKYARAKQAREGARRGSLASSSSTSS SGGGRPSSLPPGVVEPTEWIKNAGPLQIEPKVWLANERTFLRWQHVCVLLGGLAVSLY TAAAARGNALARAVGAALLAVAVFAGAWSYWVVVRRRNMIVQRSGKDFDFLFGPLVVS FALAVALVVNFVLAYRRAFEEGVLGDGGGRPVMGANESVGGEMGELRI MYCTH_2312662 MGVIKVTEDFDDRQVHLDAEAEQYHPYEYQTENNDSWAGALPVK QGLYDPSLEKDACGVGFACHIKGKPSHKIVSDARNLLCNMTHRGAVGSDARDGDGAGV MTSIPHKFFIKNFEREQGIKLPPLGQYAVGNLFFKPDQETLHESKRQLEDIAESLGLR VLGWREPPVDSTLLGPAAASREPIILQPFVVLQSAYGTGNAPETTDPEKFDERLFERQ LYVLRKRATHTVGLQNWFYICSLSNKNIVYKGQLAPIQVYQYYHDLVNADYEAHFALV HSRFSTNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGVMQSDVFGDELELLYP IVEDGGSDSAAFDNVLELLTINGVLSLPEAVMLMVPEAWQGNALMDPKKAAFYEWAAC QMEPWDGPALFTFADGRFCGANLDRNGLRPCRFYVMDDDRIICASEVGTIPVDPERIV QKGRLQPGRMLLVDTKAGRIIDDSELKAAVSSRCDFRKWLDENLITMPAVVNKVSEIK ADALGPKLDDKRVQEDPLLHAFGYTFEQVSLLLAPMASDEKEALGSMGNDAPLACLSD APKLLYEYFRQLFAQVTNPPIDPIRESIVMSLDCYVGPQGNLLEMDPSQCGRLLLESP ILSIEEFNALKNMSALYPEWTVKTIDITFPKAEGVQGYIKHLDYICNEATAAIEARDR IIVLSDRNTSKDRVAVSALLASGMVHHHLVSNKWRSMVALVVETAEAREVHHMCVLLG YGVDAINPYLAMECILKLNREKLIKKNLSDEALIRNYKHSCDGGILKVMSKMGISTLA SYKGAQIFEALGVDDSVVDRCFRGTASRIKGVTFELIAEDAFRFHERGFPSRPTVSVS ALPESGEYHWRDGGEAHINDPISIANLQDAVRTKNDKSYEAYSKSEYEQIKSCTLRGM LDFKFEECTPIPIDQVEPWTEIVRRFCTGAMSYGSISMESHSTLAVAMNRLGGKSNTG EGGEDAERSQRLENGDTMRSAIKQVASGRFGVTSAYLADSDELQIKMAQGAKPGEGGE LPGHKVSKSIARTRHSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSSPRSRVSVKLV SESGVGIVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLN DLRGRVVVQTDGQLRTGRDVAIACLLGAEEWGFATAPLIAMGCIMMRKCHLNTCPVGI ATQDPELRKKFTGTPEHVINFFYYVANELRAIMAKLGFRTVNEMIGHAEVLKVRDDIK SKKTANIDLSLILTPAHKLRPGVATFNVRKQDHRLYVRLDNKLISEAELTLDKGLPSR IECDIVNTDRAMGTSLSYQISKRYGENGLPMDTVHVNIKGSAGQSFGAFLAPGVTLEL EGDANDYVGKGLSGGRLIIYPPRSSVFKSEENILIGNVCLYGATSGTCFFRGVAAERF AVRNSGATAVVEGVGDHGCEYMTGGRVVILGSTGRNFAAGMSGGIAYVLDVQKDFLSK LNTEMVEAGKIEDPEEIAFVRGLIEDHHHYTGSELAARILVDFTQALKRFVKVLPIDY KRVLEEEAAKAAEAKRAQYNLPVLPTVTPKKEEKKEKAAKLQDIEESVRDDAADKKKA LVLDKTRGFMKYHRRSEKYRNPKTRTKDWAELSQRLNEDELKYQSARCMDCGVPFCQS DTGCPISNIIPKWNELVFQNQWRDALDRLLMTNNFPEFTGRVCPAPCEGACVLGINED PVGIKSIECAIIDRGFEMGWMVPNPPKVRTGKKVAIIGSGPAGLAAADQLNKAGHTVT VYERADRPGGLLMYGIPNMKLDKRIVKRRTDFMAAEGVIFKTGVTIGEDIKLMDLKAS NDAVIIATGATVARDLPIPGRNLEGIHFAMEFLHKNTKSLLDSELADGAYISAKDKHV VVIGGGDTGNDCIGTSVRHGAKSVINFELLPQPPPERARDNPWPQWPRIYRVDYGHTE VRQHMGKDPREYCIMSEEFVDDGNGRVKGINTVRVEWTKSASGGWEMKKIEGSHQFFP ADLVLLSMGFVGPEGRVLGDEIEKDARKNVKTAPGKYATNVDGIFAAGDCRRGQSLIV WGINEGRQAAREVDLYLEKCTNLPVTGGIVKHTAEEVFAAASRAAAVDPVSA MYCTH_2312667 MFTTLPEFLPRDSHSLWYTSRRNPSSPYALDASQGATDHGLAPT TTTPGNANPTQNTPAPTNPAAAAGSRHANGGAHSASAAAQIIERSTLGRLQAEEQLME RRRTAVANLGSTWLKPVGVSKTLFQMREEQREAEEHAEALRREMLAQELADAEAEAAA AAAAAAAGMDGDDMMVEEGEEGDLDGGRDLDADIPDEDAGMGFGYDGGSDDEDSSEED EEEVEEEEEEEDEEEGDRGGSEEELSEDELERRQEIARIRATEARMREIREQSDYLQR QSDSIFDFDEEPVEEERSQMLEEEDLVRGAAYQQSGAQARLPRQVPHDMDMDADLDDD IPVAESGGYEHTDSDASLDSEDEAHDLSYARSSQILHGRSSLRRSGGAPRSSLDISGL LSRDGSSIMGSSPYIRRGNY MYCTH_2312668 MASRFASSSLHQRDSRSDLFKGYTGGSASRPVSASPSRQLQQQQ YYGGNGYGYGGYQPSGSTGIGASGSSHLGVPGGGGYRPATPNRKGQYSDAVLNELESQ NDQQVEGIMGKVKLLKDMTIAIGDEIRDSSALAEKMNEGFDQTRLRVGRTMNRMLVMA ERTGVGWKAWLAFFAAVIFLFIYVWLF MYCTH_2097778 MKLPVLLTSILTGAATLALASDGESTHTAAVFIQRLSSPTTPPA LLAELAIPDSFPRSATEEDGSPIASEVLSYSAPELPDDDPTSLVRIGIYDPFSRAWVS STSVASAANFAKGYAPHFVLSVDAEGRYLGVTCRGVAIDAGVTRDFGPQAVVVRTAAG KQPVLGKPVVLSPEGRKVGQGEEKTFLQKYWWVLAIGVINIRKTTPIANMSTQNGSDE TTGVTSPENGAAPATDSKGKGKAPATEEPEQDTSMVEDDDDDDDDDDDEEAEPEAADE DNLEEIDLDNVVGRRTRGKVIDFAKAAAENPPEDDEDEEDDEDFVADDEKMDED MYCTH_2312674 MLARSPVPTTSPANASPRSNFHGFHYAPAPSPRYSCPRAPAVAK PRRPSSSPASTIVAPAASQPKRHVAVDAVTQYSSMESMNYAAGALLPRAPPTTDSHDP STSQKTMAAPEEGSAVAGQPPAKIKKELPSGAKQRTEAPTLPSSPSKRRISQEPGSIR TSASEPSQNPSKEAKRAKPDTAPPKVLPLRYELCPVEDIVVLIAHMLGELIETNDTLA LRSGHLTRFHSRTAPGISVLDYLHRLAKHATLTPPLLLSMVYYIDCLCALYPDFTINT LTVHRFLITAATVAAKGLSDAFWNNSTYARVGGVKVAELKLLELEFLHRVDWKIVPNP EVLVAYYTGLVERCPGYVLEGSEESGDANDSDSLEDSDELEDDGTAEEDQGAAAAGGT VGEQV MYCTH_2312676 MTRFNTPPILSQIRAARSYLEQAAALRLLKDDIIGHVNRKEEWI QNGILEPLVEILRGSVRSPTGSTGQGQSQAEQSDGLSEEDEVRLLALQIIASFAYGNA AFLAPLHAVDVISAIVPTLSPANYPPPVVLTALRALRNIAASAELAAAGANDIAVLSN ALFSSNSLAALYAILSQESADAVVQEQKRLVASLVSTLCRDPKHQNALADAGVLDALA TILASFAAARGEVIPGAEVIGQRDGLAHLIPAPAAPNADLALTLAALSAIVANSRFRS YMLLCSPAILAVFPPAEFSPLATHLRAAWDALEVNGFGSVRNRDPGAMDYLIPAVPIP SSISQSRGFGDYPPLGFSVSRDNLATGSRTSTFRFTGVDSSRPHVSGEDEEADEPESP LIPWLIHLVRSTNGLAKVMAASLVTSLFKAGFASPEREQMLAVLVVPPLWHLMKEHDK EIPASVQQAAFIEPGTATDWAIMERTIDVLARLVGESNALQQAVHDCGVIKMLAKLLK ESCEPRPAQFVPRLWSAKPISAAESEEGSPACRLGPAGLLPAYAHRIRMRESALKLVA SMATLSNDYREALAEADVVPYIMKSLSPYPGNSKEAKDQPSSEKAADNGDSGKLSAQD HNPNSVIVAACHAIRALARSPKIVRTTLRDHDAAAPLNQLLRHPDAEVQIAASGAVIN IVTSHNDVVAALLDLGLYKTLCEHAHSLNPGLRLNALWALKHLALNLDNTAKKACLEE LEPGWLVQLISDDASDEEGLGNRSRSERRSTDADEDEDMDADTPYEGDDDAQRAWTWP ALTHNPGLSVNASARLQQAVAKLTALRDAELNPARRARADSLAIQEQGLGFIRNLLMI TDPSGQADMVDHLFTEIGQDRLFAILTDKLKVRVVGAANRRASSRDALVLYPQARIVE NLAYILVNMAAGAPRHRQLVVAQTELLKLLGGHLSSKDRGVRMALCQLLANLAWVDCE SDRAPSSQRTAELERLGFLAKLEGMEAGDADFGVRERAKAAVSQMKAPTL MYCTH_2131097 MQAAEALHYPSSSFTDGWMAEVEVEVEESQRRHSRKRKEGDVVT TLKIPHRGPRSRQRSTDQSQEPYGGFTPNFATSKFTDFHVGGDSISTRTTHPQGSWLY HITLDSNAAGN MYCTH_117245 MAGNTPNPPPSFCGRSFGAIPPPPVRRPQGRLKLRPNFYNTERP SRAIRFRFRTRVIHATATPADGVHRHVLRLHVQPSLVQQIIQALVNVLLPRPAQVWFQ SCFPEWALPGQLVLKKQKDGWDEEFETEKATYAKLAPLQDVVIPRCFGQLRYKGTRAL LLSDIGGVCLATPEGGLLDLGDFRRMMRQALDAFLPFGILQDDSKLDNYHLVGDKIMV VDLERVSDGLTDKQFISSLIESEVKRLAQCYEEHQICLWTDGFPCTANGN MYCTH_71850 MAVAVLVSRNDALRTHPPAGDARLSVNGSNWLFTVTSIFGLATL IFWALKFRARSGERFFHYLFIITSLTGLIAYYAMASDLAWVPIRQANSVGHSGLVRQI FWAKYVFWVVAFPAIVIALGVLSGVSWATIVYNVALTWTWVIGYLVAAFTPSNFKWGF FAWAFLAHIFALVSLWVRGGSAADRVGIRRDYFALTGWVTFLWLIYPLAWGLTDGGNF NGVTGSFIWFGILDILLFLGTGFAIIFRSGRWDYGRLNIAFTQYGRVPAQPGTFPEKA APPAAAAGAGAAPAPAPAAAPAAGPATTTAPTAANTATV MYCTH_2312683 MEELKELKKKGFWKLFRSKSSAAKDEKSDTPRRASDGDVAARSR RPEDPAEPSPRRRRPRRTDPGVVKGKGREDPQGVPYDQGPLTEWPPSGMSSNEELNLG PAMQLISRGVPAFRGHKRAIPHAGDEFYALFATAAGNRGDETDAANQHDSMTQLMTLR LARSGPATYPWETLEQPSYSFCFGKRPGTITLNHWVSRASVIPPFKQMVNPGVAPREI SLEGIFARLKELDRGLEDDDEELMYRNLYKRLLKDPDKYTNPHKTLEKQIIDLIMVLS TPGWLDFREPKNQVVTRFIFDTSSANRETYRKFCHQLLLSLELELRIQSRHHLIEAKE KLLAQIPPKIQWDLALARRWRDNIRVDEYGSEPDNIRLRYKLKRRQVKMLKRFAQMMK WPNLSETLGSLKRRDEESTLDLVSSHAMAFFSGLVLPGPTFTFLIMNALIDIDPDRAT DDLTLLTHAHPSCGFQYRNSYTYWTATSIVGKVLAPTCRTLAGWVGPARPTADLGRNQ IARIRARRPPADRVTPEDVQSMPERSDPLGPPAQVFPVKEYALVSPDRDDDAYLADLV RIELLSLRPCGGSGHGHGNGNSTAPTNTPSSSTPSSPAPPGSSSSSKPAAAPRYYDAW VQFAIDGVSWPLRLAFDVSFISAWPCSDGPHPLFFDYAYTPVKADQLVRVRDWNGVGS VGRNGQHGTTTTTTTTTTGVSSPDTLSGGDDERVLVVEAFGVPDNEVLARAWCAHWGL SAVVADLGRTW MYCTH_2312685 MAPELRKRKSKPAVAEPEPAAKKTKATKDTPKAEKRKALEEAYP VVVKKQKPSKDVAPKRDVAPKKSALKKEKQSTETKDAPAKSKKAAEPAKPEEQQDSDV PSDVEQEELDDDTKALVNALDSGDEDEAETRVSTYKKGQDVGKIPKAKKAAKSAGSST SSGNPGVMYLSRIPHGFYEHELRSYFGQFGEITRLRVVRNKKTGASRHRAFIEFADAE VADIAARTMDKYLLFGHILSAKIVPPAQVHPNLFKGANRRFKVVPWNKMAGRQLERPL SESQWQVKIAKEEKRRAARAEKLKEMGYEYEAPALKPAEAKPLLENGKDEGPKAIEAP PAAAEVESKEEKQAAEPEVTKAEATKPSEDATPQKKKSKKGKKTKS MYCTH_2312687 MSSPAAPTSSIQSAARGPAAASKSKKKGNKKKKGNKGNTAGDDT NAGDVPSSAQKQPTTEPDTSAQNDGVEGAPAEEVSEELENSPGLSTSSNPPLEPGSAP DKPVEQAPAPDTDEDAVTTAETEANTEDDPTAVPANGHASANGHPPAISGARSARSET MSEENQDALRLEVEQLRKQLESIQESHAQELAQLRSDLEEAEGAREHAETQYQNLLGR VEKIRETLGDRLKRDKAELEETKDRLEEVEAQNEELQRTVTAREEELERLRGEVQEQA RELASLRSRTNLSQQNWLKEKDDISRQMQSLKSELESTTAAMGEWEVIAMEERSLRES LADKVSDLEEQVANAREAYEKAEADREAQSQAVDRLQRALQELQDTRKRELREMVEST EEQVQVLKKVAQEADARAAEAESAKEALSKELERTAPFEKEVKEKNLLIGKLRHEAIV LNDHLTKALKYIKKTKPEETIDKQLVTNHFLQFLSLDRSDPKKFQILQVMANLLSWTD EQREKAGLARPGAAAAGGGGASSAGNTLRLPASPFHRTPSSPALNTEFFSEPSATNAG AAAGGSGGGGKESLADLWASFLEQSVEEAGGNNGGRGSRKASLASVSTAGGGGGGGGG GRPDTRGG MYCTH_2312689 MAIPWGTIKSLVIFFGPLLLPKAIGYYRRARSAPRIHGLKVRPL PPPITRAVAILVAVSAVFFVRALPVFAPENVFRVTQSRLQIPADVLFTRLSALRPGNV LTPTDLSLRAKFASLESRLLYLQYGPSVLANCPFCSSDEPRSYLYYALPDLLAQHLFN LVIIALATSALLTAPFSRAATGWRQPATLAAVGLALADVYWVATYNHTANARALRLGE IDAFYWTARAVRYLGLAALDALLALALYLSGTQRAFVTPPSAAERVEGVVRALGATKG VISGAGVIKNTVLRDEELRGRSNAYWAHEVRLMREMMEEREVVEGVNDALMNRIDIRS IERDAENYAKAVLAPQKGAGGPAAKEEVVG MYCTH_2312690 MPSLLVVIFVIELVVRLVNTIGAATINNLIWRVYLSLPTALAGQ FAEHRRKQKEYLAVRHDLNATSSQDEFAKWARLRRQHDKLLEELEKRKSALDASRTRF DRYLTAVRLISTRGVQWLLPMWYGKVPMFWLPYGWFPYYVEWFASFPRAPLGSVSIVM WQAACTSMLTLIVDAAVGIIGLIAASRQSQRHKQKPMQKDRQPVPAAVGKDEGKKEL MYCTH_2121685 MSRALDAETAASLRMGDPLEAYDTISSALVPPIDGLLDIEVLGK SHFLEGNQHVLVDGRALGISKLSLVQAFMVARGKLKGHLDGTSLQTPDELFAATAVIL MFDPEHLTAANTRKRLLREDVSKGGVPRSALETEKRFVDSLLTSRLHRHTKSPTLWSH RRWLLGSFLSLQVPVDVLSDLREVVFVAGERHPRNYYAWCHARFLMGLKGSIPFSETL AAVRDWCFQHHTDISGWSFLLHLLEIRNNLTGETGHSIFAEVLDLVISLRLVNESVWV FLRTLAASHLVDDEQYARFQAVQAAAVEASKESADGTVLRAALDWSDTYRRHNGIRVA GA MYCTH_2312694 MSRVAALLLQLTLWAATARAFFPFVPVGHCAPDDDCAHLGLGAR RNDDSQGPPSGGVTVELYHRPTGPHDAPKFDPIAEALGRVTRKFGRPSLFPVPRSNEK RKNNYSVSKPADPKTPNSAGIYQYGPDYSYFIKVLVGSAQQPIFMLLDTGAANTWLMG SDCTSAACQLHDTFDPSTSKTWKGGKNGFEIFYGTGDVRGTVGQDTFVFAGITHDLSF GLANHTSDDFKHFAFDGILGLGMSKSVTGTFLQTLREQKVLDSLVVSMSLNRDSDGSN DGQVTFGGIDESQFTGELTYHSIPPEHEKKGEWAITMDGASLNGKPAGIANKLAYIDT GTSFIFAPSADLDALFKAIPGVTTSQDGPYTEYWVPCDTTVPVTLTFSGVDYNVSARD WVVPGGGEDGKCLSNLYGFEISAGTWLVGDTFLKNVYSVFDADEMRIGFAAKPAPPPR PTSSSTSASASASVTLSPGAAETTSPAAGEDGESALPFMPGSSSGREGSPESSQTTGS SVPASSSKATQVNSGSRPSSGFYLPALCLAALLAVAG MYCTH_2312697 MARATSHGAAAAAGPDSSSNHFVSSAGGRRHSNNSNSNNNSGDD EEATNPLLPGGGGGGEHTGDNGDDADDGLIVHPGSPHDEDDTNNHDNQNHHHHHNNNN NRSSRAPRTPNRVRFDLRPTIVDDGPGRNHADHDDDNNTDTRYNPRESFDMDDDEADD ALTGDQGTHHQQRVPLLTGIEAPSVTVAETLSTESDAESWAAAERARPKSSLPAAFMN MANSIIGAGIIGQPYAFRQAGLLSGVVLLLVLTAAVDWTIRLIVVNSKLSGAGSFQGT VERCFGRTGLVAVSLAQWAFAFGGMVAFGVIVGDSIPSVLRAIWPGLRDMPVLGLLAD RRAVIIVFTMAVSYPLALYRDIAKLAKASTLALVSMAVIVITVVVQGAMAPAEARGSL KDWRLLVINDGIFQAIGVISFAFVCHHNSLLIYGSLEKPTIDRFAKVTHISTGVSMVA CLLMALSGFLTFGDRTQGNVLNNFPPDNTMVNIARLCFGLNMLTTLPLEAFVCREVML NYYFPGEPFNMNLHLIFTSSLVFSAMVLSLLTCDLGSVFDLVGGTSAAAMAYILPPLC YIKLTTRSWRTYVAWAVAAFGCVVMVMSMLQAIAKMIRGEGDTRQCY MYCTH_56363 MHGSNCPKCGAASDGASKSCDSCGAVSPPDSFFPFFFCYHSTPD AFSTVADQAPSRTLH MYCTH_2316706 MTAELRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYV ADVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVGEKWCS EVHHFCPDVPKILVGCKKDLRYDPKTIEELRKTSQQPVTPEQGQAVANNIKATKYLEC SAKTNEGVREVFETATRAALLKKTGKKKSKCLIA MYCTH_2312705 MDKILRRVRMAERQVARRTEVMQRKLNGLKKDTYMRELKALRKE AGLELNQAIKNRHQDLELGPLRPNRDVSNLTDDFQNYWGSISPDRALLQHEIPDEQKA ARSAWAGGPTYLCLAVGDRVVVTEGEYKGRIAKIVNINKASMTVELDGNVGITNVKVP SFLVKHGSPRVQQMKQFFPISSVRLVHPLPDPATGTVRDVIIRELKPIRITHDRPTRK VFFSRLVPGLNVQIPWPKTPPKVKEEYPGDTLRLDVEERTFVPTLLRPPMPETVIDEL RNKYSKFRTRHTPEYIAKVEALEAEKKARRKGARAEEMLLPVQEYNRKMRELRRQRGK PVLTEEMLEKIGEVIAKNKLLRSQGALPAPTAQAAEEVDKVQKAVEQLSLGGDAQTTT GGEQPKA MYCTH_96554 MASYGNVGAQAIHNFIEQHRNSAPGSEQLPHLHHGDDPRWAPTA TAADVLGSSDRSQDDDTLSEGTTTSTVTSETYTTISRSLSSNASRGSETTGITTPNDS PRFPPSVVGLPPFQTQALSTATPGQTLWCEFGELLGCAATFRLDDEAGWIEHHVDHLK NKFPKQLVCWFCDHVPFVAGRPNEAFANFVERMQHIREHILGDHRLTSESMRPDFHLV RHLYQNGLLGESRYRQAMSYSELPPAFRLPGDHSSSPPSSPPSSRQPLGQRARVQFHD LDKEERRRRRERQASDRRRWVMETNKPLEQHT MYCTH_2312710 MPPRKEMSSPTKRRPPLGAGPQGNSASAPRSPPRTSRTSPSAAR NTNAGTKTGNNFTATSGASTVIPPPPRAALSGTSSPTPDHPRNDKNSHTAKDSPRLAP NSASHNRSPSPALPVPLDQPAASSSFPSPSSSSSSSPPPSSSAASVGDMETALREKDA RIASLERELALMESEFHRELDKLSGAESATAAFWQSKYAALEKTLEGVLSLQQQQQRR RGRGQQKSGRDGGGRGQDEYAASGKDDGDDDAESEHDRDRDTVRGMEMAMLAKEGELR EVRAAWERARDMLGKKEDEVAELRAQVRGLKEWVSHSTRADVEAQTSDEVFGEGMAKL GNGLQNWVLVNFRRAKIGECRSPPMSGAGGRRLTAADLSSADEDSISELSRLVPMYEE LASASKIHLLQSIVSRLLVELVFDAYFVGLPGEAAGQIKQVEAFLSSVSSPESINQWR SFTLTMLKRDATQKLQTETSAVVEAVVSRVNKLLDSITSTNSTESRNQGLQALVNSAI ELSRLLAVQKAVFRIEMPEILPHQRIMFDPETMEDIGGEDEESLMDREICCVTFPGIV KRGDQSGGHLQYRNVICKAKVLCSPE MYCTH_2312712 MPPTPGTLKKPVPTHFLCIPLAASPAARAQLAASLSAFRSDVCA SPAAGGFDVPFDAVRPVGTVHLTLGVMSFPTPPPPPPPRGRTDAVSGGGSGGGDADGS GSEGEAQRSEEGGPRSAGLQRAREVLAGIGLRTIWREVVRGRQGDAVRRLEGMAQGRV GTGLVKKEGHEQEEEREREREEEEEEEGERPRITLRGLASMQPAEKAAVLYAPPVDQF GLLQAFCEKVRDVFKEAELMVDEDRPLLLHATVVNTTYVKGNRGEGGGSRGKGGRGRR GGKKWERLVFDARGIIDRYEDQVWLENMPLDKVAICRMGATKIMVNGEEDEAYEVEAE VNF MYCTH_2097810 MPPTPTDDRDSQEVDEMLDFGDHVDKAIFSQILEMDADEDDRDF SAPLVMNFFEQASETFEKMQIALDESNLDELSKLGHFLKGSSATLGFNKIRDNCQIIQ QYGHKLTVEGEKESDEKVCLEKIADALKKARVDTETLSKKMDEFFKKPDEGKE MYCTH_2312721 MDATYTQHRPSWRRKSRSGAGLDSLSLAPLTTRLPLRNEDYEAI NSASSLSRPHTSYLYGRSAPTTPRLLSHSPPPSRPRRPARGASVPYTPELGALAGNKH HYRPSKTAAASPAAARKRRSDKGGGGSSIFPDTDFLLHVGALIASEARDAKGQGWLVT RASSTTSLPVEPENYRARGAVAAGGGGNGNGGSSSRSAWNSRRGSRDFAAAGARGAAS VPFTSPAHSRFASRSQSQASLFGGMGNRTMTPEEMRRADMLALTEDDPKVVEDYFSRA TVPTNEDGTMPTFVGLDEALEYGVVDGQDEQETLAEAEEYVRELMKNRGILGWLVDKM SGREDSHVEDEPAMEEYEADDYDAWKEAERDRQERRSASLKRLQECTIIPLDCTNDPP PKEDQGILGDASWLLKVAAKALFA MYCTH_2312723 MRNLRNIAHGVFRAPDSCSTTPAARISASCWDVARDEVIIACGP QPGDSRIELLRIAKHAQHVSPAQLQSHIIASWDSPTPNEDGAPDVIRGLHYFSDTLTT CVIMAGGDIVTVTEDEEGIAAPGEAHVEIVGTLLPSIAAARWSPDEELLVIATGDAKV VFMSRSFDVITEIRLSPDDLKLSKHVSVGWGKKETQFQGRGAKAKALRDPTIPEKVDE GARSPNDDGRCSISWRGDGAYVAVNFLQEGVRRVIRVYSREGELDSVSEPVDGLEGSL SWRPEGNLIAGIQRLPDRVDVAFFERNGLRHGQFTLRRPASAPDALEDVALEWNPDST VLAVILRDRIQLWTMGNYHWYLKQEIICGQPADAVTRHQRPVFSWHAEKPLLLAAAAA DKLLVNEYALVVSRGPVNSPYDHGAVAVIDGQTIKFTPFRTCNPPPPMAMCELEVESP AVDVAFASDCSAMAILHHVGVSFFALDAKGSRLIIPRLVAMASFGKVVAQMYDESLLQ IGFSTPTEVQVLHMAGDLELLRYDFGPEGAKAWSTTDARSVATITSPSSASIKGVVAQ HLNGRLSSISGEGHSALPVRFPTFLPWASCTIHAGGFLAFGLSRNGHLYANSRLLAKN CTSFLVTDSHLIFTTSNHFVKFVHLAPEEDLDVPGDDPEKDERCRSIERGGRLVTAIP TKMTLVLQMPRGNLETIYPRAMVLAGIRRLVEQKEYGTAFATCRTQRVDMNILFDHRP AQFLENVGLFLEQVKDPANVDLFLSSLKDEDVTQTMYRDTRSSSAALQPSDPQTARKP GKTNTICDAFLTRLRAQKKANLQNIITAHVCKNPPALDDGLLVVAELMQEDETLAERA VEHICFLADVNQLYDHALGLYNLDLTLLVAQQSQRDPREYLPFVQELHKMPPLKRQFT IDDRLEHWEKALDHLKALNNFEDVKKYVVKHKLYQYALAIYRHEEQHHRAITDLYAAH LKSTSRFKEAGLAYESLGNFADATDCYLKAGASCWRECLYAAQQQQPPLSPAQLSEIA TSLADALREAKDHAAVATIYLDYLSSIPTAVQHLCKGYLFADALRLVALHNRPDLLPT AVDAGLADAFSSSTEFLADCKAQLGAQVPRIAELRRKAREDPLAFYEGENPFNARGGQ AAEIPDDVSVAASSRLSTSASLFTRYTGKAGSVGTVGSNVSRATSKNRRREEKKRARG RKGTVYEEEYLVNSVRRLVERVEATKPEIERLVFGLVRRGMAERARAVEELMGQVLDG CKKAVEEVWPPAAVGEEGGVQEAEGEGTRDPAAEAYRPGGADGVLFDSLEALRTKQTP PVVGGFERLSLLGKGRTG MYCTH_2312728 MNISLPLHPSSSQRNRDSRNHFKNNNQGTSPQSPNGPLRELTQE PPSINDRLIVGVDFGTTFSGVAAVYTGTPDDIEIIKTWPGGNGITSDKVPTEISYDLP AGAPPGTAPTVKWGFQFKPEESRLRCIKLFLDRSQKLPFYVSPLETAAQLKRYNKTVV DAVSDYLTQIYKHTMDTLKRRYGESFMASTKVDFVLTCPAVWSDAAKNTTLQAAERAG MGSQSQIQMISEPEAAAVYTLKAIQPNHLKVGDNFIVCDGGGGTVDLIAYKIVSLRPL RVEESAVGTGGLCGSAFLNYRFEEHVKNRIGQSRFDEMKTKKAKTWQMGLRYFEEFVK RNFNEDEHQEVNVP MYCTH_2312731 MTVAHDLTRRGSTQVVNGLALEDRFEVLKEIGDGSFGSVVLARV RSAGANVARRGTVIAIKTMKKTFDSFAACLELREVVFLRTLPPHPHLVPALDIFLDPF SKKLHICMEYMEGNLYQLMKSRDHKCLDNGSVKSILFQIMQGLEHIHAHNFFHRDIKP ENILVSTSAHSDYTNSFKRYSALVTPPSTPPTYTVKIADFGLARETHSKLPYTTYVST RWYRAPEVLLRAGEYSAPVDIWAVGAMAVEVATLKPLFPGGNEVDQVWRVCEIMGSPA VWTNKAGQPVGGGEWREGTRLAGKLGFSFPKMAPHSMETFLPSPQWPPSLARFVTWCL MWDPKNRPTSSQAIAHEYFADAVDPLRPKSSASKILGRKQSDVSVSKGKDSVTSAPST SKPSWFRKSLIGRAESVEAISVAPQPPAKDAAASHPSPIDPSESPAQKPRPAAQKRAT WTIGPSSVAPMPILPTIRPISPLSDAVTAQANNRAPVDEKGSKKIGRQLSVQSTSNHY TELHRQQAERALNGNSGLASPTSSGYKESFFSHLRKRARRFSGRHQTPISPSCEDLEA QAGCGPWGSNRSSMVIDSPPPAPVPKDTYDSLDQKLQASEVPPAPPAHQVSQSGQLKR HHSLPHHEPRFVDNLASAIRGPVGPVSSRTRRAQAVPGVNQYDAPDEEDELLDEVLTS TRRVMKRLEKEDQSQQQATTNMGLANPYPTPSPSASGNVMLFGDGKEAITPKPLNFNK QTAEYKWPTPPYDEGDWAASASASIWAAGNRF MYCTH_2121696 MTLIIHGVTISPVLNVVLFGTTELGTPFHFQAILKLYDHRFGEH LRMRHWKHVPHTAAREADFQSYVRQGKMGRFLHRKEEDSYDNDIEDADDGDGDCERSF KSETEAYERLKDLQGKLIPRMFAHVRLVMPFPDTPRDLLESPETARFLEVKGVIVDFI RGYPLSQRHTDHRAPQNVEDWQDIVQAAVDAVHEIDSRGVLNRDCSPRNMVVDFRTQT PFIIDFANHDDSEIEYWEEVVTTNNEGAIGAVMTQKLRRLKGLELAIQYANSEKILEE MKRRKGVKSSKDRTDSSGWKILHF MYCTH_2312733 MTGQLRCEQGEWKPRASFSKNQLSKYDRNAQKGSSTPSKTGIRC MEHSSKPVLEEKCRGPCGRWREKRLFSKSTVRKGVYWCVDCVDWQIRTENGEALPPPG GQLSAEETNPVPTRASRWIDDEFSTSDYATFSDEEPSSAFDADFNAGIDDDGSDMMSA RPSSEQRSSAAHDTSETATVSGSDRLGALIPPRLRHSPSKAPHWLIPDDMNEVPTGSV RYSTVTGDSASVGNSSMSTLVREGQGQTIPYNAWGPNGEYARMVKVPTVASDTTRRTR SIYRPSQVTKQSKSDWAKVVSDALQSGAYLS MYCTH_2136202 MFAAYRMAPYLGIYAAAARFHGSGAVDQSPIGKREADALMFGVV HLASVSLPEMGSGLSDEESTRTRRRKHQEESEVSKPPQTPGQGPSLDVLTEIRKIIKE ELGQISSPSSPGASTGRDSAQQSIRQAVPNTSAARPQLTTLPAPAQHNSAPRLGPDVA ACARPHPGVQCTNPSPTQAPHPVGPTQGSPKELSAIDRKWGILFDQNSVPTKRWEQVI RGICNYLMAEYMPQNSLVVTPEKMAAFYSHHKLDVEVFPFAEIFRNRRDVPPVRLAEL YQQLACEYYLVPAEPKARPTVPGLTLTGWTRWMTIVTRAYPAEEAQRLGKVVAALPIN ADSLLDGKPERLPKQISRHLLPERPDRESRVAVKDALRAHFEAIQQFPSRKTPSSANP PNPNSDSGRRASVSGPVSPRTRYRPSDIPSPPCSQTGDDSDEHHHYHHRRRTADRDSD RGDRGYRDGAGHLRTYGSSGAAPRDVPLPFPPSLAATALSSSSSRPPLSSRRRSSPPL PADRNSSDYRHHTQRNSISGFSDGSGDVVAGGGKGGPERSHTWSNLPRRRLSSSSSWS SSDERERDRGGMRTRDGREIREMDHATFLDRAGTKQRSAWSVLTNQDQQLGRPLVQVT VTTGYDVDSCRLGKNCADGGTGSLGMKSQVVVQRQTNPSRSLAGFLQTG MYCTH_2312740 MTAAEKKNDRLSSSPSQTEVELSEMPRAAGLEHKQAAGGAANER LEVSKKLANPLAGLTAEQLAAMGEDYARLAGLTSEEDVRAFRLGAIIAGDHAPYDQIP ELTAREREVLQRETTHKWSNPSMLYWVVAICSLCAAVQGMDETVVNGAQIFYKQVFGI GTNRERDTWLVGLVNGAPYLCCAVIGCWITDPMNRAFGRRGTIFISCLISALACFWQA FTNTWWHMFIARFFLGFGIGPKSATTPVFAAECSPPKLRGALVMQWQMWTAFGIMIGY VADLAFYFVPDSGIELGLNWRLMMGSALLPAVVVCLLAFKCPESPRWYLSKNRHGDAF DSVCQLRFEKVQAARDLFYTYTLLQTEKQAMSIGTGNRVKELFTIRRNRNAMVASEIV MFMQQFCGVNVIAYFSSEIFVQAGYSNVSALAASLGFGVINWLFAIPAFYTIDTFGRR NLLLTTFPLMAFFMFFTGYSFWIPESSSAHIACIALGIYLFGMVYSPGEGPVPFTYSA EAYPLYIRPIGMSLATATTWLFNFILALTWPRMLVAFTPQGGFSWYAGWNIVGFFLVL FFVPETKEKTLEELDGVFDVPLRRRAAHGARQAVYFCRRYLLRQSAVDKPAPPHEESV RGVADPTAGFTKEKGASLREKVTEPPGVAQV MYCTH_2312743 MNNLDDDTPGSGDDWEITLSPSISSLSSFAPEDYSFPLQQHLQL AAQRPEASLTRSPSPLRPSPPQQPQREQQQQQPQQPQQQQSRQQQQQQQQEQEQQQSQ SQHHDYQSRGDQSQFQIHDHSRQKQRTPPLKGPIHQPRTTTTQAQVSIPVPNLHTPPP TSPRRKPGGLLFNRSSLSSPSAAAMSAGAGTSAGAGASRTAITSPTHPGSNSSNSNNN NNSSISSSSSSSNSRSHSRSSSRADSALSQRSGASLRLLTGRGTGTSVGLGAGLPVSP LHSSASGLLHRRGGSGMGQKSASGSGSGFSPLRPRPPAAVGDREGEEAWKREEERESE RGKGEEGASPSRSFDSGLSQGWSDLGGGEVEEVADVEGEGDDQDQDGASSSQRHSWDS VATLRGEGPRVRFYVHDKDSDTDDEGDGSNTTRVGEGSDGYKDGQEYGAFQERKGLRE VRPQTDYADSLKYARSTEKEYGNFRGSAHETTTDTQSKHEDRRGNERPCDENARREDG QQARGTDTTGLRKEMDNEDDGRVDDAKLHEQRRWSEARDVNQDMVERTQETEEDTLPP GRVMLMERLCDIVQKLSSVRVGGGMEDDVLDVLNGKVDEMEELLALAEETAEAEATAE IEALARAGLRAEAEAEEDAAVREEARVEEEGEAKEEGEAKEGGEVKEEAEAKEEAKAK EERDVTPDAGTPRQKDEVKTQGEGEGPKEQAQAGSGDDNIKASSTPSATLPVPSLRIG DQDIRDLTSPLPWLSSTLKYYSELSISPIYSPPELAAATNEALEAAKQAAQAQAEMAE RVAHEAERLNRELAKVVKRLQARKEESDHLHALLVERAEAAAARILDLEKEVSDLEDD ILANESELRHLRLKIRAVETMCYEVARPDAVDPELVRCIENWKADWVLVRDRMLERKK GRRERRARLHRPGCVIGSLEERENDMMMTTTTATTTITSLGALSMSASLLGLGGLKSP RKGVRS MYCTH_2145735 MRSLLAKDKPSHAFSGFRDMTQLVTYETGFAALTSTGRVWTWGD ERYTACLGREPSDDSPASAPGPVTDLDDLPTGPVTKLAGGGYVLAALTAGGDLYCWGH AGRSGYLDGLSDAPNPVVVDDDKDVVDVAVGDAHMLVLTADGEVYVLGDNANGQLGLP GVSAAETWTSVDLTSVLAEGEATAGVAAGPRSSFLIARRRQQQQQQQRQ MYCTH_112442 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGVTALGIKATNGIV LATEKKSSSPLADASSLSKISLVTPNIGMVYSGMGPDYRVLVDRARKVSHTGYKRIYN EYPPTRILVQDVARVMQEATQSGGVRPYGVSLLIAGWDEGILPEEELEKAEQQRKQAS GGGAEEGGEGKKLGGKTGGILKGGPMLYQVDPSGSYFPWKATAIGKSATTAKTFLEKR YTEGLELEDAIHIALLTLKETIEGEMNGDTIEIGIVGPPADHLLGVEGVEGAVGPRFR KLTPQEIEDYLTNL MYCTH_2312751 MASEAFRPQWGWPSGVNSQANREATTPAVDEQTRRATSSSTPPS SGPTPTQRQRHRHYKPRTCRICLEVVYPTTVIDDSLAGRVFSSKARVRYVSEDPELGR LMSPCKCKGSQKYVHEGCLRAWRNAAPLSDRNYWRCPTCQFEYRLERLRWGRWLSSKV LRVTLTVAILVFTVFILGFIADPIIGFWEDPFGSLVGGLLDIDFDYDEPVLPDEGPGS WSLHFLKGFLSLGLLGFLKTMYFMSPWHWFNIRFGGYRRRRGAGRDRLEQINWGLVLV GVLTFLGATWKFVNHLMAKTLEKASDRVVDVQEDDPDDDEADDVPGAAAPETATNESK KDR MYCTH_2312753 MHFALPPRKTSRPPPYLPRTSRLPGLRKTRAKLFALAGLVFLTF IYLLTRSDSGWHAVPSPRVPKGDPPVVLVTVLDASRYNGAYLETVKRNRLQYAEKHGY KTLLAKIGDYDLGGSPFSWARVVAMLDALTKFPDARYIWFLDASGFIMNPNIKIEDDI MQPAKLDEMMKRDLPVVPPDSIIKTFSHLKGQDVDLVLTQDKEGLTSSSVILRNGEWA RFFLQTWFGPLYRSYAFQKAETHALEHIVQWHPTILSRLAIVDQRVLNSYVKGKQEEL YRDGDFVIGFPDCADSGPEACESQSRPFVQAWQNAFAST MYCTH_2312755 MPGTSRLPVSLREGFNTVRRRSRAGWDPSLMLNLDLIRNIVFFL FLLRWTRRALWKLKGRGLLGTLAELYADARRALYGLFLRMPGVRTQVRKQVDEALSKL QTKMVPANATRYLTLPKEGWAEDAVRKELEALATMDHTRWEDGYVSGAVYHGEDDLLK LQTEAYGKFTVANPIHPDVFPGVRKMEAEVVAMVLSLFNAPPGAAGVSTSGGTESILM ACLSARQKAYVERGVTEPEMILPETAHTAFRKAGEYFKIKIHVVACPAPSYQVDVKRV ARLINSNTILLVGSAPNFPHGIIDDISALSKLALKKRLPLHVDCCLGSFLVPFLDKAG FETVPFDFRLKGVTSISCDTHKYGFAPKGNSTVLYRTQALRAYQYFVDPSWSGGVYAS PGMAGSRPGALIAGCWASLVSVGEAGYLESCKQIVGTAKKLLNHINTSPALSAELEVL GNPLVSVIAFRSRNLNIYDIADGMTARGWHLNALQNPPAIHVALTLPIVKVWERLAAD LEAVVEAEREKERARLVEGKKVQSGGGDTAALYGVAGSLPNKSVVVDMAKGFLDLLYK A MYCTH_84590 MSWKKSERLMETIRHYASFPATGVSLRQMVQFGEKPSAGTLFRA SQFLAEELPIRLAHRVQELETLPDGLNEMPSVKKVADWYAQSFEEITSLPRPELSKDV RERLMRPSRNAGKGTSWLSEATPNPSIEEGQYNSFNGNGNGKNKFAATRRYFAMVDDT GDWPPELQLYNQKFAQTLRRVKRRHDSVVTTMAQGILEYKRKRQRMQIDNNIQSFLDR FYMSRIGIRMLIGQHIALTDQSHHRDPSYVGIICTKTYVRDLAQEAIENARFVCEDHY GLFEAPKIQLVCDPNLNFMYVPGHLSHMLFETLKNSLRAVVETHGQDKQEFPVTKVIV AEGKEDITIKISDEGGGIPRSAIPLVWTYMYTTVDRTPNLDPDFDKSDFKAPMAGFGY GLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEPLQ MYCTH_2312762 MASEDSSWSSSERDADSSYDDDNDDDNNDDDKPLVQDADELETT PSSIGGNASHTTGLDSVHSSRSSTPDQEPPRTVTMTARAYQLEMLEESLKKNVIVAMD TGSGKTQVAIMRIQAELERGDKIAWFLAPTVSLAEQQFETIRAQIPGVQSRLILGSDN VEAWSSKPGVWDAVLFNTRIIVSTYQILFDAVTHALVPLASLGIIVVDEAHHSKGNNP VARLMREHYVPNKARGLPVPHILGLTASPLMTSNLNDLEVLERTLDAVCKTPSKHREE LMAQVNHPEMKTISYGGAASLDDAAQPTPAMLRLRNTCLELDIRQDPFVRHLLTDNTA RGRETLKKVLLTKETYSRSQMETFCRRAWEVWKTFGPWAADYYIYRVISSFLRGSDNP PSQEGDSLGDQEWAYLAEAFRKLDAQPPPETPTELSPKVHALLDALESHEGNPIGIIF VKERASVAVLSHILAVHPKTSRRYRVGSMVGTSRVPGRRRDILDLSQKDYLLALQAFR KGAINLLVATSVLEEGIDVPACNLVICFDKLDNLKSFIQRRGRARMSASHLYLLVEDE SDPSSRQWQNLEGEMKRKYEDDMRENKRLEQIEDSDDLDYPILRDRETGAQITILDAK AHLEHFCATLSTRKYVDWSPFYVVHDLEGNPVDARQPGLRKATVHLPVSLAPELRTFE SLHAWRSEAKACRDAAFQAYAKLYEVGLVNRNMLPIRESDLLKDAEPRVGMVTVRQQF NPWPLVAQAWRDGAPISSRRVTISSHDRSICAELELALPVPVPYMGQFDLYWDHKSAW LVRMNPEAGTPTAGAMSETDHTPALLTMAFGHRWRIQQQKQFPVRFTCLDRDIRLDDM SATEISPEIMAKVAPTHLVRDIANQHHPYFYLDWLPSKPAADLVGKPYQGFDDAPQEA AYVAVKSWPKKVSAFRRPRPNSAPTPCTKPYPRVLPADQVRADSIPAVYAHIAMFIPA ITRALEVHLVAKDLLDTRLEPTGITDLSLVVAAISASAARGPTDYERVEFLGDAILKF CTAVNCSANYPIHPEGFLSRLKDKIVSNSRLFHAAVDFGLDRYIIHKTFTMHKWRPAY VEDLLENPPSETETRRLSTKTLADVVEALIGASYISGGTPKALACMSLFLPEFEWHSI EHCREVLYNQAPDDEPLPATMRSLESLLGYTFTKRSLLVEAMTHPSCNGPNIRASLDR LEFLGDAILDYIVVKALFDMSTPTPLENSTLHLLRTTLVNADILAFLALEWAITQDGF DVVTTTTSTTTTTTLPSSSSGREDAGANTSVIVTARQTRLPLPSFLRHASPDLGLAQR ATALRHAAMREPILQALWRGPRYPWSLLSRLQAQKFHADVVESLLGAVWVDSGDMRQC EAVAERIGILPLMRRLVADRVWLLHPKEELGVLAGSRPVRYLVQQVPEGEEAERLFRC EVAVDGEVVGRAEGAFSQEEARTRAAEEACARLKEGKED MYCTH_2312763 MQPGGSTSGNSNIRVFVRWHEQVIFAGEELKCTITFKNVARPAG SASSSSSSSSSSALSMPTTATLRPGPPQSSQFPPSPSRLPNAAADHHRGGGARQPSPL QPGRGKPGPGLAPPPPSARGHRSALSLSVPSAASRARTGSVSWSPLPSGVVQGEQQTG GSSAAGRESGGAGAGGGGGVGGGANGRGHGHKRSVSIVSIGSLSTVDDGQGSSTSTAS AKPQRARGHARASSLQILPRGGLLNGPLSATHPRIPASQSSPLFHASYPPDRSINGRR PGTVTAPQTPRVDFPRHSPATPSALADFRFPMSPSPATAGAVDWASDEDPTSPTSGGL PSRSRDPVPTINEQTVASAARVLSTMSIAGTPRSSGEFYSLSNNSSETLASEYVTQQP LRSQQSRSPHSRMGSSLAPGPSRLPESLMMGYAQVQGSFTLDGSLINLGPFEAVKRKA VVGGQGGGVVGLETPKRDSGLLRGFGWGNITSSIGELLGGGELSTIKEMRGIANSKAI PLLSTPQSILFVDLQLGPGESRSYEYSFKLPRGLPPTHRGKAMKISYSLIIGTQRPGG AKEKRIKSVDVPFRVLGSVNSHGEILGHDLMSPYILLRDQARVQAVNYDDNSNSNSNT NNTNSNNTTTTNNNKNNSSDKTRTAKNKPASSSATSSMSSFFSYVDELLARPSQDASH GALLSPNGLTARSPTSSRRASVLSVSDHGFLAPPTAKEAIDLAILRSNLAGAGDGYHA AQSPNRFEIARNGRRVGVVMLARPAYRLGETVTCVVDFGGAEVPCYAVHAALETAERV VDGSLALRSEASVARVTRKVWAGASEATLFARRWVFHAAVPAHATPEFITSGVALDWK VRLEFVVPVQAPQHQHQHRPQPQPQGQDGDGGDEDEDDGDGDGTGEGGGEEKRTKWGS KQAVQQQQQPHPLLEEISRDDRGGLILVGVENLVCESFEVAVPLRVYGATCNGLEKLE RDDAMAEGLPV MYCTH_2312764 MRSLTRSYLRTFARLRLETWLAHGTLLGWWWSGRILPWDLDVDA QVTGATLALLAERYNGSLHEYVDDDDDEENHPDQQGTDGAEQQQQHHHRRRRRQQQQQ QQGSRPDTDRTDRTDRTHRTRRYLLDVNPFATSSPGRGTGANVIDARWVDVDTGMYVD VTAVMERDWTSVPFPFPLPPSATFPSSSSWRTSSSSSSSPGDGDGNDDDEITDPRAPS GAPGLLSCKNAHFYRAGDLFPLRETAFEGVPALVPWAYEPVLVAEYGPESLVLTEWER MYCTH_2312765 MRSLLALSLSKKFLLLLLTALLIFSALLSTAAIARRGGRPFSFI HSQPSADQDTSPPSPPPPQGQTQEIQEYKYFQEAGSNAELSRMSHHRTATTWFFPFLL SPSLPACICACICAVCLFRFRKRKRAAIPYTPRI MYCTH_2312766 MAKRPLIFLRLPLLFLIFSSCAWVGNVVADGPAPVIVQGRDGVG GAGGPEPVWAGVVGGAVGWVEEDRDGCQAMITPPPSLLGRQDQGQIQALSEQLQRISD QFRSVSQASQQVSQSSQQLSQSLQQATQRLSQTEQELASARLQQGAAESASRSMSQAS AEASRRADEAIRSISQSASSAISASMASLASSMGASFSSALQLASQSAADASRSAASV AQKAQEDATALRDEANSQIQRAQGAALSVTQTALAVVGGIIGSSLLTGVVFVVVLRRR RTKRRQRDRGMGSGEGNVGNIGHPPPTGTSNKAYSVSSAKKGYAASDDGRSSSRSRSR SSSSTYSTDADGFRFPTGVQQPPAAMTTDTGGGISRKRISPAAAARVGYAVSYYGLRP SRASREEAAGATAAAAVSSTTFRLGKPPPPRGATGASSSSSSSPSSSSSSSASEAKGG KFTVSPNSGFKFNLENTQPSTTQQQQQQQQQQEKEEKEDEKSGKRRTTGSDGNSQPSL TLDRWLRDGTNVSPFPMLKGKVMD MYCTH_2312768 MTLNLFRVAGDLSHLASIFILLHKIVQLKSCSGISFKSQVLYLI VYVTRYLDLPWTSSPYNFIFKVLFISSQFYIIYLMARAYRPTNDPNLDTFRVQYLLGF AAVLAILFPVRYTVLEIFWAFSIWLESVAILPQLFMLQRTGEAEAITAHYLFALGIYR TLYIPNWIYRYVTEPTHKIDTIAVVAGVLQTVLYSDFFWIYYTKVMKGEKFKLPV MYCTH_2312770 MALIVDKHRPRSLDALTYHGELSERLRSLAQSGDFPHLLVYGPS GAGKKTRIVATLKELFGPGVEKIKIDARAFQTSSNRKLEFNIVASVYHLEITPSDVGT YDRVVVQDLLKEVAQTQQVDQSARQKFKVVVINEADHLTRDAQAALRRTMEKYSPNLR LILLANSTANIIAPIRSRTLLVRVAAPTHEEICDVLAASAKKEGWPVVKGLHQRIAEE SGRNLRKALLILEAVHAQNEKVTDTTPIPPPDWEALIGQIAKEIMEEHTPARILQVRS KLYDLLTHCIPATTILRTLTFKLIPMIDDALKADVIKWAAFFEHRIRTGTKVIFHLEA FVAKFMRIFEMYLMSMDM MYCTH_2312771 MRPNASSALLGAFQALRIMPATPLRASLPSATVLRPSAATTSAA TSQHVRLFSATAIRAGTWLEPSLSRKKKMMKGRPRVPTGGSTKGTTVVWGDYGLRMCD HHRRISAAQLKVAEDTIKQRLRGEKYRLYKRVACNVGVFVSGNEMRMGKGKGSFDHWA ARVAVNQILFEIRGLVHEQVIRDAFRLAGNKLPGQYEFVKRGDPPVVGITKLDGITLE DLKKPWKKLPGPTTPSPSTSSSSSAPPPS MYCTH_2312776 MPDIRSFFAPKSGAPPPKPAAKKEEQTKKPRVKGRKVVEDSDDE EVIETKKPTRTSPRKRAPAPTAVKGEETTAAKYFAASKPKPNATSSTPKPASKTHPAS GTEVRLSPRTKPTGTEPASEAANPKQNGTKKKPITSYKKYDADDDAFLDDDDEDAGDD IFAAEVRGGNKRKNDDYVEEESEEEFLPKPKRVASRSGKPVGVGDEEANGDEVKATPK ATVKEAPAKKRKTPTSDSDEDDDDVKPAKKAAKPRAPRAKKQPEPESDDIQAILDNVP TVKAPDAPPPDPNAKFDWRKAAAGGGHTGPPPMAGAAEIPEGAEDCLLGKTFVFTGML KTLSREEGQALVKRYGGKVTGAPSSKTDFVVLGDDAGPSKLRKIKEHGIKTIDEEGLF YLIRTMPAHGGSGKQAGKAKQKQEAEEKKIREEAARMEKEEQERKAEAEKEAKKAAAA RGAPTPAPTQPLSQLWTTKYAPTQLSQICGNKANVERIQNWLRKWPISRKYDFQKRGA DGMGGYRAIIISGPPGIGKTTAAHLVAKLEGYDVLESNASDTRSKKLVEGGVSDVLNN TSLMGYFAGDGKKVDVSKKKIVLVMDEVDGMSAGDRGGVGALAKFCKKTEVPLILICN ERRLPKMKPFDHVAFDIKFQRPTVDQIRSRIMTICHREGLKIPPQVINALIEGSGKDI RQIINMLSTAKLDQTTMDFDQSKDMTKAWEKHVVLKPWDICQKMIGGGLFSPASKATL NDKIELYFNDHEFSFLMIQENYLRSKPAALNGNNYPARLQNLKWLELVDQAAESISDG DLVDRMIHGPQQHWSLMPAHAVFSTVRPSSFIAGMFREQPVFTSWLGNNSKYGKLGRF VREIHSHMRLKSSGDHNEIRQQYLPVLWHQLIKRLELEGKDAVEDVINLMDSYYLTRE DFDSIKELGVGPQSEETVQLDTQTKATFTRL MYCTH_2312778 MKVSSVNVTGAARRADKEMPDLEEAIEEEDENDVAEIVDVDEDE ELDLKKDKYIRQPKPKAARKTAKKTAKAGDDDRKEEKEEEEENDKAPKARSKGATKGK GRPKKKA MYCTH_2316726 MLRQAISASSRALRSTARLSTQGQSIAPLTRSYYQRPLVAAAQT IAPRTRWYSSETETPKNDENQSKENTEAESPKTESSKTESSKTESSKTESPETESPEE ALKKQLEAKDAEIRDLKDRYLRSVADFRNLQDRTQRDMKAARDFAIQNFARDLVETVD NFERALGVVLEEKLKPENKTEHTQDLVNLYDGLKMTETVLLQTLKKHGLERFAPEGEV FNPNEHEATFMTPMPDKEHNTVFHVQQKGFKLNGRVLRPAKVGVVKNK MYCTH_2312782 MDYDPMVMDDAEALGPVVKISQADSVRVKFELQNADLSFANSLR RVMLAEIPTIAIDLVEIEENSSVLADEFISHRLGLIPLNAEGVDNLLYARDCDCDGCC EHCSVRLTLHAKCTGEENMHVFARDLVPTGDRINQVLGTPIVNDAEGLGPLILKLRPG QEIKLECIAKKGIAKEHAKWAPSAAIGFEYDPHNKLRHTDYWYESDPKAEWPPSEYAA WEEPPQEGEPFDYDAVPNRFYFNVETAGALPPDVIVSEGIKVLQQKLAGLIHELAEDG GDGTNGDYNGPRSPEYGTGADGFGGYTTPFGNAGNQSSWGGAGGTTPYGTTPYGAGQS SWS MYCTH_2312784 MQAARSEGRPAAASSTATMAKGEKDKSVTTRSIHYPFWFGGSAS SMAACVTHPLDLGELIQVRLQTRTGDMPKSMSGTFVHIVKHNGFRGLYSGLSASLLRQ ITYSTTRFGIYEELKSRFPSRRTDPATGKPKPPSLVTLIAMASASGFVGGIAGNAADV LNVRMQHDAALPPAQRRNYAHALDGLVRMVREEGVASVLRGVWPNSARAAAMTASQLA SYDVFKRTLLRLTPMQDNLATHFSASFLAGVVAATVTSPVDVIKTRVMSSSGDHGVVR VLREVSAKEGMRWMFKGWVPSFLRLGPQTICTFLFLESHRKVYRKINGIAEPV MYCTH_2312789 MSKGRVCLAYSGGLDTSTILKWLILEGYTVVCFLANVGQEEDWA AVEKKALALGAERMVIEDLQREFVEEIVFRAIQCNAIYEDRYLLGTSLARPIIARAQV RVAQQYNCQYLSHGCTGKGNDQVRFELAFKACNPSMKVIAPWRLPEFIQKFQGRADLL KFAAENNIPVSSTPKAPWSMDDNLVHCSYEAGVLEDPDHTPPKELWTRTVDPTDAPDK PYNFTIHFEKGIPVKVVTPDGEVSGDSVALFKLLNKIGHDNGVGRVDIVENRFIGLKS RGCYDTPGLTIARLAHIDLEGLVLDSKVRKLRDQFVTLEWSHCLYNGMYFSPEREFLE NSIIFSQKNVTGEVRMSVYKGAAYVLGRKSDASNLYSQEDASMDSLETFSPMDTTGFI AIQAIRLEKYGLQKIKDGEPLSK MYCTH_2312792 MFGQRRIARALLPRSCSRSSIALLVQRSGPASASATPFWRAAYS SDAATSSAGVTTNPKTVEINGKKYTTDSWFNVSSTTLSLTSRKLHLQKDHPVAITRKI IESVFPGPTYRYYNDFDPVVSTYENFDSLGFPPDHPGRARTDTYYINKDTLLRTHTSA HEAELFRTTASGGYLISADVYRRDEVDRSHYPVFHQMEGARVWDRNKVPNGDLAAAVL ADLERLPKHDMTVEDPNPPFHPERNPLQAEYHTEAEAAAVAAHLKRSLELMVNEIFSR ARAAAAAAAAAEAAAGRGAKKGKPATPPSDGSGSADEPLRVRWVEAYFPFTSPSWELE VFYQGDWLEVLGCGVSKQELHVQAGRPSQMGWAFGIGLERIAMLLFKIPDIRLFWSRD ERFLSQFRGVEDDLTRIRPFVPFSKHPACYKDVSFWLKGASAAGGNLGGAVDWHENDL MEVVRDAAGDCVEDVRLVDEFTHPKTGRRSLCYRINYRSLEKTLTNQETNEMHNRVVK GLVERLGVEIR MYCTH_2312794 MEQQLPRELPFRAAQHAQDQQQPSPELGPSPSGSSTGSSTRSRK SNLSLDLTNLPPLIKPTPPCNTLIFTNLQSRDIFAPDNLQQIRDLISQTARIHAFSPL KSLSRIIVSFYSDEDAIAVRQIWDGEAIMGERCRVYFGQPTPLTTQPHNLALPDAGKL FFISPPPSPPHGWEQRMEDAPNKMVHAEDLAEALAKLRHHNADPAGGNAASPVSPAES GRGPQRSRSSTLVFQPNPENGASPDLPCVVIHDMTDEPEDISPIAPSAPKPIMVHTAR PPIELMHDA MYCTH_2312795 MDCSCRTAALRIFVRSLAQIQVPLRNTTPRAGRYQSLSNHPSRV YTARTNSVFTTASRALHTSCARSSAPGAPQVVEGDGGASKHGGAGLQQQPTADSCTTS EQKEASPGATRSGPRNIEYQGMPAENTKKGKRQSSKPETSEEQSGRPKPKREKEPWMI QKEALKKKFPEGWNPRKRLSPDALVGIRMLHKQFPQEYTTEVLAQKFEVSPEAIRRIL KSKWEPDPETERKRQERWFRRGKRVWTQWAALGKKPPRKWRAEGIVRDPIWNRPRGPN HKDKAARAEAQRRLAKAMMG MYCTH_2312796 MASTPSSRIKLTPSNSPFLSRPSRSPIRPRGPYDPCLSLQRVVG TTCTSPTGFDAVHSSFAYIAGGAVVVVDVSGEHYAQRFYRARPTAVPLLSVSPTSNTS STPNSTPKPGDSRNRTTASPRDSLYAPTPDWPPESPTSKTWTSRERIKAATCLALSRD GRYLAVGETGYAPRVLIFNLQDASSDVPLVSISEHTFGVKAVAWSPDTKHLATLGAAN DGFLYLWKVDARTGAVKLFQQNRCTSFIKGMVWMGNSLITLGVRHVKVWRVEDGLEVP PSAKQKFPADTPAANAPQTQKTLPGRNILLGSMLDATFSCALSVSDDRAIICSETGCV CLIDDANKQVKLTKVLEVGFVITCISRRGEDVYIGGKEGQLAIVGLGDILSGAPEPTI RRSEMEAGLSAMGFLAENQNLVTISSRRSIGIWSAGRVPSSSEDGRASIPIPGVGDPI AGIQQLPAPNAAGAAFFTWSRPGRVTMWNLDGVITSSFELPLEQVGTASDPDQANQVV VARATSDGSLFAAGDKLGVLRIFEAPTGTCLLETKAHSSDCQDVTVYEGTSRFLVASC GRDRTVQLFHRSSAGMFEHFQTLEFSAKVVQVLIPTEDKVLTCSLDRTLQIHDLVSKE GEPDVMAAIPSRVISLKASPSSMTVTADAKAIFVSLLDRSVCQYDFSSGRLLGSFKCN DEAGLDSVVLESLTHGHFDPDLPFLLGVSNTDKSVRVYDTREGCFMDREWGHTESING VVLIDSEEYGRKVVSVGEDGTIMIWALEFQDQANGSRSREPSPEKTPQPASAQPPLRR VLSKAELAEFQRPSSAAGSTPNSAPGRRSPPRPSHRRSSRFHLPLSSLRTPRHNNHAE KQATSPPSSANMDDPRHTHTPPRRPSSGGASRCSDDSPPPESPKTRPSKMPSLPVLGT TPSSGVKSRKNSSSNLRGGGSGGGGGGGGGGGGTNGHGLGSLSMATEQTCRQLRAYRK KLASADGAIHADALARLDAELRLTAAALRERASRGRTSGGGAGAGHHRGHRDRKGDRK ADDTGGDDDVGDAGYDGGLGLGPGLGLGLGLGGFSGREVSEMVLSGLLDQYSERLVSM LDEKLRLRLSEEERDALARERPPTAGEDAASSSSSSSSSSGTCSAGGSSLGGGAACEE PETT MYCTH_117209 MEPTEPIEPAGPNEQQHPLSASDSSSPAPDEATVEITIKFPPEK HNQKWVFSPADTFEHLIMSLSVEFPSYDWSKAKALPEKRRPGLKSVYTPAGDSNVALS ALDSTTLRLLAPQTSALSTLQAQREAAAAWQAKRALARARYARPASAAAAQRVRTIED ASYTFHTLRPLPHLPNPGRSLAFLERLRDDPGVRAAMRKHRFSVGLLTEMDPASHTAA SQGGGGGVTRILGLNRNRGEVIELRLRTDAYDGYRDYRTIRKTLCHELAHNVHGDHDA DFWALCREIERDVERADWKHGGRTVGPDEYAPERPDRFGGEGEEEEGTVVDHGGWEGG TYVLGGGAGGGSGGTEGLSAREIRARAAEARHVSPDLVVPRPPSKLTVIVD MYCTH_71748 MLIHSALLALGATLAAAVQPLEVQGQYFVNPKTGNRFQIVGVAY QPGGSAGYDKAAGRDPLSDPDICLRDAALLQILGVNTIRVYNVNPDVNHDECASIFNA AGMYMILDVNSPLVGESLTSYNPWESYYAAYLNRTFAVVEAFKDYPNTLAFFSGNEVI NDEKSGADVPPYMRAVTRDIKNYVKNHASRPIPVGYSAADVRDILFDTFNYFECSLEG DDDDMSKGDIFALNSYSWCGDSSFKKASYDQLVSGFKGSSVPIFFSEYGCNTPSPRIF TEVGTIYGDQMTGVFSGGVVYEYTQEKNNYGLVSMEDDGSAQLRSDYVSLEKQYSKLD FASIQGQKPPSDSTNKAPVCSSKLITTDNFNNNFTLPVPPPGAQKIIDEGVKPAPKGK LVEISNWKVKFTVKNPDGSVITNLAVKPLEDDAINSPGTNTEAGTQTSAEETTGTDSS ASPSDTNAAAGLDARVLPAVAMGALALAAAAAF MYCTH_2316731 MSAKSILEADGKAIINYHLTRSPVIKPSPLPPPTKHNPPPRLAS IHFPEDKDVNDVLDQAEITYPWLLHQDAKFVAKPDQLIKRRGKSGLLALNKTWAEARA WIAERAGKVQKVEHTEGVLRQFLVEPFVPHPPNTEYYININSVRDGDWILFTHEGGVD VGDVDAKAEKLLIPVDLTQYPSNEEIAATLLKNVPKGIHNVLVDFITRLYAVYVDCQF TYLEINPLVVIPNEDATSASVHFLDLAAKLDQTADFECGVKWAIARSPTALGINAPAS NNGTVNIDAGPPIVFPAPFGRELSKEEAYIAELDAKTGASLKLTVLNPNGRIWTLVAG GGASVVYADAIASAGFADELANYGEYSGAPTESQTYHYTRTVLELMLRAPMHPKGKVL FIGGGIANFTNVASTFKGVIKALREYANTLNEHNVQIWVRRAGPNYQEGLKNMKAATQ ELGLNAKIFGPEMHVSGIVPLALIPGKWEENTVEEFKG MYCTH_2312808 MATPTDGSQPTGTLSANDNIRRFAAPSRPLSPLPAHALFNEKTR CFVYGLQPRAVQGMLDFDFICKRSTPSVAGIIYTFGGQFVSKMYWGTSETLLPVYQQV DKAMAKHPDVDVVVNFASSRSVYSSTMELMEYPQIKTIAIIAEGVPERRAREIAHIAQ KKGVTIIGPATVGGIKPGSFKIGNTGGMMDNIVASKLYRKGSVGYVSKSGGMSNELNN IISQTTDGVYEGVAIGGDRYPGTTFIDHLLRYQADPECKILLLLGEVGGVEEYKVIDA VKQGLITKPIVAWAIGTCASMFKTEVQFGHAGSFANSQLETAAMKNQKMREAGFHVPD TFEDLPALLKSLYEKLVKEGTIKPRPEPVVPKIPIDYSWAQELGLIRKPAAFISTISD DRGQELLYAGMPISDVFKEDIGIGGVMSLLWFRRRLPDYATKFLEMVLMLTADHGPAV SGAMNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAAEEFTKAFDRGLSPREFVDT MRKQNKLIPGIGHRVKSRNNPDLRVELVKEYVKAKFPSHKMLDYALAVETVTTSKKDN LILNVDGCVAVCFVDLLRNCGAFSTEEAEDYLSMGVLNGLFVLGRSIGLIAHYLDQKR LRTGLYRHPWDDITYILPSLQSGKPGSEGRVEVQMQK MYCTH_2312809 MSYFRITLHRSAIGLPKRTRGVLAALGLHRRGQIVFHPVSAQFA GMIMKVKELVKVEEVDRALSKREVHEQRRPDPGFWVESVGAFQRQRQLLEGSSAEEGE VRL MYCTH_117206 MPPQKHHHHHHHHQQQQPPFQKDERVLCFHMDMLYEAKILDIMP AENGEGWQYKIHYKGWKSSWDDWVPQDRVRKFTEENKDLAAQLLAQYKSLQSGKSTKQ SAKKGGAAARAANGSDMGSARGSEERTAGAATTSGRGPRRARDYDLEQEDNFHNRPSI KIPVPDHLKAMLVDDWENVTKNQQLVPLPHPHPVDEILDDYLAHEKPNREQGSASLDI LEETVAGLREYFDKALGRILLYRFERAQYHEMHKLWSQPDGKHKSAVDTYGAEHLSRL LVSLPELIAQTNMDQQSVNRLREELIKFTNWFSRHVTKYFVSQYETPGAEYIEQARSV MYCTH_2312813 MAPTEQTILSNYLLAPAQLPAIISLQEFTALFPRKLQSSPRIRS LYRDLQSQRNAVVDHVAAQIEQEAKHGKAMRRAVIRSKREAEAQEQDDEIEIERMLGN WSEPQNAKHSLASILPDMEGAIGALEAELQLLEEEEASLLASVRQTVGAMSDLRYGRL ANSQLPEQVLDGLGNLEEICRSKN MYCTH_2312814 MRFSTTALLALPVLASAAAESPFEQYKAKFQNFLSSFGAAIPNA GQAADQAAGAASSAAAGKAGKAKKVVEPKKIETLTLENWKDTLYGPVQADATKPEEWL VLLTGRNKTCYGQCGKIEAAFNESALPFAALPASQPSPHLASVNCDDQPVLCNSWSAS TGGLWLFQVAAPPAPVEILAKRVNLTTVTAQDVVDAYTAANRTEAGWRTIPPDGYFHP TEGKLAKLGLAVPLGYVFWALNAIPSWGMMLLVSFLSRTMMNRRMEGLGNRPAAPAAP RAAPPGDARS MYCTH_2312816 MQAKKRGRERPPRASNPDAAESSRQVAEPSSSDRPARPAATNKL PNRRTSAEATGPAGEPSRQQQEDPLDMLAKHRRLTALTRHIPRATIASKWTTLSAPSI AAVSSLLADSARPVLHRLRDRDQRHAQAALILRTFAARLHSKLVKGMPFPPPTVPAPR GRRPTKGGSGGGGGEGATGGNSGGGGGGHEVELDFEKTVDAIAGLERALDPLLHSLVL LRREKEREERALERDYAALRRLEANARAQARGWKEGRGRGREHVLVGGLVGAEGDRNG ESGSLELVPPSAKHGAGGAGGVFKDLQEEELLALSQQIGSHMESMKNNLSQIEGVLPA IARSRAALQGVLCEHLDPEQYEQVLLG MYCTH_2312817 MATGQTTIDVGATPMAPQASGQKQHGKRPFQGPQRKGAKHRRKQ KTVQEGSHEEVLLADVQALLASQKLAGDFEGDAAEGASAPLPEPGSEIEVEVVELSST GDGLAKQKGSDHIYVVPFAVPGDTVKVKVYRHNRDEGYTAADFVSVVKPSPLRDDSRI RCPYFAKCSGCQFQMLDYDEQLKIKKNIVVKAYRNFSQLPPELVPEVLDTIGSPLQYG YRTKLTPHFDGPPGNSRRGPKKAHQSMPPFGFTPKNTRKVLDVEDCPIATEAVRKGLV EERARLAKEYGNYTKGATVLLRENTKRVPKASPSDTPAVPEGIPPTAVRVETDAHVDF KTCITDQNATSTEYIDSFVFTNPAGAFFQNNNSILPPFTSYIREHILPPPPPPPPPAA TTTTTTTTTTTSSSTTTTSKKKPIRYLIDAYSGSGLFTITQSALFPGGSVGIDIADKS IAYARRNAALNGLREDQCRFIAADAPELFKSVGAYDPDETVVVLDPPRKGCDAGFLRQ LLRFAPRRVVYVSCNVHTQARDVGMLVRGAVDEAGGNVPGGGGRDAAAAAAAAAEGGG QEKKAGARYEIESIRGFDFFPQTGHVESVAILNRVDAVDS MYCTH_2312819 MADTCAGNGAATCSNQQSLPILNSRFLSHTALPVLCFTPIAALI LFPLFWRALGSLLGWYLRKKTDGRRCHILELVEADEKKYNESRRTSTSSSTSGGESAE DGGWEKVDAYTTGAARNGDVSDDNWDGIVGFFHPFCNAGGGGERVLWAAVRATQQRWP KAKCVIYTGDHGVSKDAMLARVKNRFNIHLHPPTINFLYLSTRHWVLASTWPRFTLAG QSLGSLILAWDAFSLLVPDIFIDTMGYAFALGLSKFLFGASVPTGAYVHYPTISTDML DSLEPGAPEAPARGVNAGQGAGVRGKVKKAYWRLFAAAYSRVGASADVVMTNSTWTQG HIRRLWGPLRNAKKPAAHPIAVVYPPVAVRELEHEVEVTPASEARREKVLLYIAQFRP EKDHELILQAFAEFLKSGADAAKDARLVLVGSVRDDQDSKRVYQLRLLVNELQIRDKV EFHLDASWPEILDWLRRASVGVNGMWNEHFGIGVVEYQAAGLVAVVHDSGGPKMDIVV DIDGEPTGFHASTASEFAEGFGKALSLPDPYAVRQRARQSAKRFTEEEFARRWTEQME KLVAAKRGTTKA MYCTH_2071579 MRTLPLPRPRTTGQTPSRLHLSAPPRLSRSYVSLQPVARTVPFP LAHHRHHHHHHYHHYHHHHNNNNNNRRPTPAPPSRTRTALSHHRSFSILPVIEFSVAA SQTLLTTLHTATGTPWYLTIPLFAAAIGLVARLPTTVYTRRLAVRRERLAPLSAAWAA RSRADLDARVARGEAPRDRDAWVAEAVRATARARRDMERRWGVQAWKNWAPALAVFPV WLAGIEGLRRMCGGPRGLLGSLVFGWKGVREGSGGVAGGEGVQGLGYGSGVVDPTALA TAQDTATWYAADSSMITGGCLWFPDLTVADPYHILPFALSAILVINTLPKSQAGLRAL FGLDKTPEAIAAYQEIKWRLRLQRGLLIVALAAGPLTMNLPAALHLYWVSSATMTLAQ TAIISRAIPLPRQVPPAKGQDTFLMLPTRGNTR MYCTH_2316737 MSFDQLSSLEAGRRRGAPGYSDDPEFQRLSQDLMNKLFKLNGNN QRLSAEVGYLGTRRDTPRVRERVHELIEESRDTFKEVGEGVKKIQTWEDVTPTQKYMQ QKLSREFQSSLSEFQSLQRQALEKEKASVSAARAAVEQEAAAGGGAGAGIGGPGSPQL LQEQQQQQQQQQELARLAPQDEVDFQEALIIEREEEIRNIEQGVGDLNVLFQQVAQIV TEQGEVLDTIANNVENVRDNTRGADRELRSAARYQKNARSRACCLLIILTVILTIILL AVFLG MYCTH_2312823 MAASTVRRSPYKDFLQPALQRRFATASLCVLAVAYLQALLLANW SSWFWSWFPLGPAGFRAVSFFFCGILIVVLRISQYHPGLRTSDSGIHTFIRYAPRLET LETLLTYMTSAFIFSFIYLWSLSDRSGLEFITYFTAERARLNEKPLFLVSHLVLLGVY QGLLHLYKDVDRLSLGVARPQNGEKKPEDGDAALQVRRFKDQLPAMLVSTINQAVVGL MISVAVYPITVRGSIWKTTMVLLRPLYNLPRSNMLPSSLPFSIPTLFRCFLVSLLLMF AWNTANTAFSLFLVKHPLKNGKPLTSDSKDPNGSLLNGLKNKKLSIKCFAVWELAFIA RDFPDRRKAIYEDIDRKDGPMWSQVYKICLDVLKSMETNMDAYLTPPAPPAPSAAAAS AAEEQEKVRTTEPPREEPIFQPPQQRKGLRNQVEKAVSQVALSPGQGSQLSPTAKKAV DSAKQQLLRIQKETTGTDDTQGLFRDVALKVLHSAAGYPFRQHYRRRLARAVLGDPYG EPSLYVNAACALARLAAHSLREDKYGHVQRDVAALIRALTGLATRLDGFRRDALAPHW TDVEGGRECPEVEEVLEAVRDALARLVEAFGPYARDLRLSLTDMRLAREAAGLAAADG VEMRELVGGKGGR MYCTH_2312826 MSAASLLFLIVYNFLYYFLYLVLFAFLVVTPIDLIQQGATRRRK WDILAVLVCYIATIVVVAFIYATRLYISRSVIASIPKAWIPIEKGDVPPDVRQMIVEG LGRSAAIAYDARPRAPPVVSGREPASGGRANGQGRLSGSAGSSAAASDRAAKAAELWQ QHKPVWGEIEHPGWASPTSHDLPNLQYDTVVTELPNLIEAKAITLAPPDPDSRREPPT LDPDAVALLQRPGSMGLREYLAYLTELAVLAPLPTTTEFLARYEAARYSGRPLSNEQF RSLMHLFAGILHNMHPLSPAALARYEDDGDDGSSGLAGPSESDIDNDAPRGTSPSSAG TAVGLGLQRQQQQPRHHPSNDSDSLARTSSASTTGSGRRRLVRPGRGARTPSAHTWQF RTAPTTPQSRQSGFSGAPSPESFAHTRRPYPDRQWSSSSSSASARSVGGGSVIRLAGS EDATDLPYVITYSPNR MYCTH_57097 MDRDESRQSIHEIGLARQVMLASGRAELVTVCSPTLREGNHSRP ESIPEEDGYLRQMGAKNTANSASQRLDSRPRVAFREDNDSWSMFSNPDCSHEHPCGHK GGPRIVFKPNSVDAHDTTFESPSGPLGTETPVSEATSPNSAASGPASLRVACGEVMGS DASSKFPSVLGPFLLESLSSRKSTAEGPVATESKFMPSLVSSESKSSRALQREYYASR TAEWVLSLPPEANTVPGHLPPLVALQNNNNPASLENMDASHQHDSALRGNFIPLSKSF KEPSKESLSSPSGQQKEHRVYLKLSLTDSQMDKLAAVLSPEDDNVPLLPPDRLRDVER NADGMSDVKIKNTPPRGRSRSPAKAYQSATTSARRERSRSPVKYNDSETPESQRTIQG FQMSGRSASPRKAQEVRFDKTTPMTHGTPTRSGDRNLRSPPAPIDTDLARAHARMDAL RLGKQPAVVIHNPPERSPSPSRLPTQYNAADDSASSYYSQDSSAERYPSTISPLRIQK DDGPRHLSILQEYTEKKNSARGSEKGSEKSEKHAIANESSSGPELAYTPLAPFLPQGA PTVRKASKTLIGEGGWLENTSKPDQNGSPSRGGGFLGNLVKKAKEMIEANQDNRAQRK SRESDKSRPASRQLAISLSPREQSLLYCELEFALATALNDYITAQFNAGRLDADRLKK TAEDWQRKGRPRVVGFRYDMETQLDLVRAHVHDFKFYSRVAATTAILGILDTAKTNAR VLRIRTYCQPDTVIAKQLLDSQGLFNILGCPEEQQIKLAEIIAFFKAAIERRRLHSLH GHQLQLQQQQQQQQQQHQQNVAPAAGAATSPVRNSRSPRQGGGDDWWGTTAAAANTAA TTGQTRGPNNNTQGAGSMDPAGYDSQDE MYCTH_56455 MAAQTKRHPSLNHYDIVIVGAGPVGLMLSTCLARWGYRIKHIDN RPEPTPTGRADGIQPRSLDLLRNMGLKSAIMANKPARVYEVAFWDPPKSGKGIVRTGT WASCPSFIDARYPFTTLLHQGLIERVFIGDLEKNGVSIQRPWTIKGFTSNEKEDPEYP VTVDLEHVDGTFKETVKAKYLFGGEGSRSFIRDQLKIGIKHKDPIAYVWGVMDGVVKT DFPDIKMKCTIHSEHGSIMVIPRENNMVRLYIQIASSTDPDFNPRKTATAEEVQASAK RILQPYSIEWERVEWYSVYPIGQGISDKYTLDHRVFLGGDACHTHSPKAGQGMNTAFL DALNLAWKIHAVEAGFANRSLLETYEPERKTVAENLLAFDNKYAKLFSERPPAANEVA AASAHTNNNDKNKAGGQEENEFIKTFKESCEFTSGYGVFYQPNAINWSPSHPAQSHVV HPKSTKLVPGRLFIIADVTRVVDANVVHLEQEVPLNGSFRLFVFAGAPAVTARALRDL ADNMAKRNSFYSAYAFPDAVREQISHHERHNPHSPFFTVCTVFAAPRASIEITRDVPP LLARYRDHIYADDRWDRRVPDARACAHAKMGLDEDRGGVVVVRPDGYVGIVVALEEGS ATVDALNSYFSAFCTKKLGETQAQL MYCTH_2312830 MTTPINGALPPTKQIRFVNNQGQPPSKRRRINAACLTCRKRKTR CAGEKPDCSTCKKNGHVCLGYNDLVDRKREGREDDGSAALKNEPADMPDLKTGTSASP VERNDGEQRERVGWTSKAAPRQAGFVDQEDATARTSVLRSSTTATSKRPHEAGNGWER DGKPQGSVATPRRPEVPRNSSFSEDSRSTNNRSPVQLERHRVPYFRYFGPTAIVPGFK QMVVNVYRDSRRKSRGSSFSTASPGSLLGYGIHHSHTSKLETPLETLDDLPVYDVNSS EPVHGLIISLVETFFLYLGCNYRFLHKDRFLPMLKEKRIEAILVDAVCSLAARFSEQP IFTKHHDGKLKRSEYGHVFAQRAKAAIVDTFPCPSVAAVQACLLMAYEGFGADQDSAL WMYLGIAIRMAVDLGLQKIEGVKYQGERDPWYTRYWSRKDPDGEDGPDNKHTEDENLG AEEQQQVEQERIDTFWSVFILDRVISSGTGRPVTFRDGDYELSLPPPTVDPVTQWPDP FPPFVEIIHLYGRASDVLNNIRNANDLTEEKMHKLRIMEHDLTAIYQKQDQRLHFNAL NFREYVNANQGTTFILLHFWFHALVIILHQPTLLTPFHNLSPAQLLANSPDLPNSREL SMSSAKTIADILAFAELIDPKSLIANPFTTQPIYIAACAFLMESVAYTSQAPSRETSE TPDLRADQFKSPAARSGLGHDARPMKHQLLASAANQNYQRCYKSLQQLEQYWGGVRYI LAALDQKSKGIWDCETFINEDLEAMRMARRGPLSRLPRFEHPASPNVPPIAYSLTGTT NSPNSNLTVLFQGNFPVQPGPPGPAFTAPVPPQPQSVSGSAATPPGNMIYDPIRQSLP ETPPAFPAAYLQPNVSAVRYQPAQPKTRRIPDSPALGKPGLKYESSSPTDVNQSSSSH DVKPHMYGSPASNGPLHHSSYNHSASIQAAFDAMPHNRSPSSTPTDSAMAQQHATHGH SHNQNQNPTHNQGNGVDPVNNNSYEADFAQSGLVSGSYPYLGLNPINDTITFNSQEVN FDMLGLQGEMMPPWLEILPGDVLGGLFEGGIMGGNQQMG MYCTH_2121737 MLLYTRLAPPKQPKRRSRGGTKKKCDEKRPKCSRCQDQGVECVY EAVKPRQRRRRDSAAPSSPHGLQQMTRRLSQVSHSSHGTEYYSGRDDGVLRNLVAYAL DDPFIPSPSDSSFDGYPFPSPFTPIELSDSQRDDAHSEDGQQTTTSTAVVSRSKSLCP DLAMIAPCPVGSPLLDFCAPTFSEFSDRQNRRALVDHFCNVLSHLIVFREETGNPFQQ LVLPLTRRDSPVTYAIFALACAHLEYRGVENAEKSLYFHNMAIRGVAQLIQDSSKVNR NEILAAIMLLVYYECAFRFYDVITALSNGTPPLSATPSLSRLQPLSPLGAPPVSPLCN VDTLLGMATTLWPIIHRLAGLRALKTELENASRIDGNPSKAAVLRTEFESTAQAIEMA LLQWQPQLPPGFTPDPDPEVDLAAAMATSSLSPSSRLATTATTPTAPAPAVADPIPAV PYSHLSPLPTDSALHITTTAGGDPDSPFSSDPFPEGLSSTTPQSIITPPPQPSAQDRS RIASIYHNALAYRHAALVYLYRTVLSRPRTHASVQAQARLALAHCVSTVRHRGPMSAL LWPLFVSACEAVAPRDRALAERTFVEVDRRQGMRNIERARTILAEVWRREDEAAAAAA AAGELDGADGGGAGTEMENNGEELWRRVCREMGVSVVFG MYCTH_2312835 MRSIWACSSCAALPLVALLGWDASASHVGREWNDVHRIPKARVT AHPVRRDEGTCPAEHTSCAASLGGDCCPSRYACAVDSCYATTAGPTTACGREGYYACF PVNGQPGCCPLNFVCGDGENDEACLPPAGFTYTENPCPTDYYLCPASANYGCCRSGLA CGPNAYCYPTDPVTTTVFETITTTSGADTVTRTRAVETVVTPTIPTELDDDTYDAVKF VPTSVPKVPASSPSSESDGGLSGGAIGGIIAGVVVLLIVVVVAAFLIIRRLKRVEDIM ERSNRGSSSGRRTRPHNQAEAEHWGRHLHSEVDEMSVNPLMAPTSATPNNTSISGTPT PAGGPAAGHGRSDSAGFHTPSPNMFPNFPDDRSRHASPDPNAGYFGPATASALQQQPM QPARIRGNTESSVGSAAPHSGSGYAYTHWRQQSNASELSADGSENGVGSPLLPGGAGG ATAAAAASPPPGQAFFPELDGTATRAELPSGGIAGGPGPGPVIVSSETGTGTGTSGLR SRSGSAASARGHVRRRSDGGDGRRPGSGLEPLDETAEMHGHYGRRDQQAGQTAAGLNA RWDDAGGYHHHPEAPGRRGP MYCTH_2312840 MLYLYAARNFSTLVGLACPDPLSNMYDAAYFRATWVLTALDAGF WTAMGIRNQKLRDLASVVFSLFYLIAAEQADEKVRKVRGNITVEHMRISWNKGSQSPY LRFAQRLMRPRLMKWPPREMRIARPPASDYKEPVSAWLYFNGPLSKLKDHNRFILDVP GGGFVAMNPRCNDDKLLAWATKTGLPVLSLDYKKAPEYPYPYALNECYDVYTMIIQTR GKCVGLSGEEVPKIVLTGDSAGGTLATSTTLMIIESGSSPVRRFQGQVDLPVPDGLIL FYPALDMNIGSWMSDEQMALIKDRRMRGTNKRIVQRKKFQYDSLVGTPHASDDEDDVV PSSKSRSGPEREDSRVEGKSSEHVRDPAPEYANLGPTTPGASHRPEPMRTRLAMSSMI SYFNDRVLTPEMMRAMIILYVGPHNRPDFSQDYLLSPILAPDVLLSRFPKTYFVTGER DPLVDDTIIFAGRLRRVKAAQLASGGTRLEYRDSDALTEGFDEKAVAEVALIPGISHG FVQFPTLYPPAWKLIDRAAGWAEELFAGAERREQERDLARRGLLGRRKRQGGNMDNGE DGENGDEDSPLEMMSIAKAKAKDAKKAAADMERKGTTGGEDIGALTGEGTDGHGQGTR AAYEDEDGDAGPYVAPQLETSSSREKKKAGKRVRTRDEDDKSLVKLASTDDLLGRRMH GLAGGLTGTHHDPV MYCTH_2312842 MQTYGENGVSGDAAADWSAWASVPWTAVFAPSTGAGAGAGSGNS KVNVNGNVSGNVNGKVDGADVPIIGWLKSGVPYLPPEKAASLQTLDVWIPNLQPTATD PTAPDPSSLPLDPRGDSSGSSSSSSTWIIYIHGGAWRDPRISSASFAPAATDLLLRAA RTRASSGTPKLAGVVSLNYRLSPHPSYPPSSSPPSGPNNPSRQARHPDHIADVLAALA FLRRRLLLLPDDHGDDDDDRDGHGGENASAGASGRRRSRRSDARPRRQRWILAGHSCG ATLAFQSVMDPSRWGLPGASAGAGAGTEKDDGILPPAAIVGFNGLYDLAGFIASPPAG YAHLREAYREFVTAAFGPDRPVDGGGKEGGGGGGGGGGGGKGTVWTAVCPATAEGSWV AEWLGGGGDDQHDADGERKGRANNGEEEEEEERKGVVVLVQSREDTLVPWQQLEAMRE RLERERRRVEVRVLEGGGDHDDVWREGDRMAEVLWELAEEL MYCTH_2312844 MSIAPLRLISVGGSLAVRVCLNREASFDIDCMLDPHLAAAADYL AEFEAAVSQVAQRGGYADDWLNREVELFVAKNRRMALFLGSVQQGITIYEGRNLIIYA GRLDWALERKLRRVAHARGRRGNKDVDLSDAAALVRLMRRPGNKPPLSFQYVRGLNLN GFDVPPTDDAIREVADYYARTYGEVGIADMTWDADAAKWKYRGLDGAWVWVDEGAFSG VRGWMDMIKGKGGPVNGA MYCTH_2312845 MLCRRLKEIWIYLWNFRYLPLKYRWPLIKLRRRLLPTRTGLGLR NALRHARSLRHPPEPNAYLFVLRLLWPFPSWRFPAVLPPPPREIMADPARVRCRVRDL MYLRSMPLWRARDTPQRSFYRIYEAFCAADGRMITYETEYFWRRSGPDWATANIPDPE CGDPEQYAVMASLAEVLADSFVWRLELGMRRTDRPIVSRSEDPPPCPPEVAPAWTARV GPLKEKLVLDPDEDEYFDSPFHRRNIYMATGWFYTV MYCTH_2312847 MERDSLPSGESVAGTPRPSHASLPPHVGSSAQGQKRAYRQRRKD PSCDACRERKVKCDATETASCSECSSRGVKCQFTKETNRRMSSIKQVQDLEKQMERIR RENNSLRRMLQERDGRRFEMDVDGVEQLPLQLPDIGQQPKRKKRPASIHDLARARSNI RNFARGIWKPPAPYRPLAAPEPRDFRQLLPPRQVAEALLRSYYTSIHSMTPILHWNTF TQTVDGMYRPGNPLRVSKAFASVLFAVMAVGRLFTAEDSQNGAHTATQLLEATQTLID PWINEYELDHARALVLVTIALNELNLKSAAWSWLGRAVRVAQDLGLYTEPATASFVEA EMRRRTWWTVYILDRSLALELGRPMLIDDSDCDVSLPAAVDDHHLSERGQRLPDGAEG LTNSLLAIVNVVRSYTALSRTLASPVIAPTRLATFDQHLASCWRAFPPACNPTSTATL MPSFLNPVIYLLHARLLLHRHNLLPSCPPDVRLIAVEQCMHVALDTASLLSRTPTPAL AEGATALLTTHTFRCALFLLLTGCFDQASECIRVLAAINNHREVAVPCGRYLAFFVSA LASRRAEIVAYLSQAAAAASGHSASPYGPPPHHRPSAAAVRDALLRDEELLAYVSADL QAGLDTAWVWSGSSEREEPGPAPAAGKHDLFDDEGRSGLTLEERWEWGPGMAGWERLE SSMRMLASGDTGGATTHMPTAPPSTSLPKPPPPPPPQQQQQQQQHHQQQHQGWNAVSA PLATATTAAAPAPAPPLPPPPQTLPPVLPPHHQHQHQPQQQERGIKMEAGGQDARVEM ATLPPMVVPGSRPNLGCASGSPAAGVSAKSKSQERISIANII MYCTH_2113862 MHLIPALVRAPLSMNPVLPGKILESLIRFIPRRKERSAIRQHKE TGGMLWNLAASDRSQRAPLPQTRLDSGGGCIMRCGFFTTPACFVTIWRSSRELQPKLK AQVIAWTSTRIRWRSSANALGSSPRPNTLKQPVWLFPVVISGRKIARYTEYAVCLAPP LNTAQTGTADPFVQTRTTFAPQTIRQSRQDSTRILCRLAAITAGTTNPTNARFFASSE ANDVSELIPFQYRIPWNVASGWT MYCTH_2312849 MAFRASLRLAAAARPVAARFYSQAAAVPTSSASSGTAAQIQRDA ALPNPDPAEDSASAALVREHSPYMVATYARPPPVFVRGEGSYLWDIENRKYLDFTAGI AVNSLGHCDPEISTLIAQQAKTLMHASNLYYNPWTGALSKLLIEKTVASGGMHDATAV FICNSGSEANEAGIKFARKVAKTIDPSGAKHEIVSFQNAFHGRTMGALSATPNPKYQA PFAPMVPGFRVGTLNDVAALPDLVTPSTCAVIVEPVQGEGGVRVATDEFLVALARRCR EVGALLHYDEIQCGLSRTGTLWAHSHLPPEAHPDILTTAKALGNGFPIGATLVGRRVV DKIKVGDHGTTFGGNPLACRLAVRIVERLADEGLQRGVVRKGDLFREGFERLRARFPD LVREVRGRGLILGLQLTEDPARIVKAARERGLLVITAGTNTLRFVPSLVMSEEEIKEG LAILEEAIKATRE MYCTH_2312852 MFTYGLATGALALLAGSQLAAAHAQVEFPAPFRSKFNPNVDSSM IDYSYTSPLDPSGANYPCKGYHTDLGTPAGKPTATFAPGSEYQFKMAAGGARHGGGSC QVSLSYDKGKTFTVIKSIIGGCPLEDSYSFTIPADAPEGEAIWAWTWSNEIGNREHYM NCAPVIIGSDGGSSSSKKREVAERADTAFSSRPPVFAANIGNGCTTVEGVDVDYPQPG PDVVRSGDKIGPPSGNCGPTSGSGSGSGSGSDSGSGSGSGSGSGSGSGSSSPTTTSAA QVTSAPAAPTTSSAAGGLPGGVFITPSSPSETTLSTKTSAAVLPTGTGTGSGSGSGSG SGSGSGNTAGAQAQGTPCTEEGAWNCIGGTQFQRCASGVWSAPQPVSAGTVCKTGQSG SLTIEAATSKLRVRRVRRGSAKVRLA MYCTH_2312856 MEDRSTASKPVVASSSPSTNHDTKSPTGRPLYSSKLGDQRPRAQ EATASPPTSRQHPVQQKAWTSNRNPITGRSQTPQNKQSVTSSLREGQRVRIVLTSGAE LEGTYANGPDPATCRLSMVQQKKPPNSANFTNGSSRREQPTMTIQRKDIADARVLSGN NAKNDGRIPNGNRSGFRTDSAISNSRLGTERTLKAWVPDTTYEVDGSLEKSSGGGAWD QFAENERLFGLKTDYDENIYTTAIDKNHPQYKERLAAAERKAREIEQSTPTTAHVAEE RVMDFVGGDDHRDEEDKYSGVRRQDFPPLSRETKYTPPARRAPTGQATVKGAPVDPAI ISSQLKSTPAPQPAPTPKPAESKSQTAAAEKPVSPATNQPAANQPAESKPSTSAAEQK APEKEATTTSDTKPSDAKDAATTRDAPPKDATTTKDATATKDAATVRPTAASSRTVPK VGAVPGVTANVERDVLSSFKTFATTQRFMAEKARTTRAKADKEIKLTELKKFAENFKL YTPVPKDLISIIAKDPAKQKQIQEKAKMNMEELSKQREAAAKEKEAAAAKEAQGKASA EQSGASTPAGTTETRGSSRPAATQHSGSAGLAGRHPGARSSYQPQSHYQYGRNNRPPP HLGPQNQTTGNLAQRLRNVEQQKLQHTHPGQHHPPDMRLPPTGPANSVDPGFGRRMNA VPPPYMGPKLNPNSHEFRPNAFAQPFNPAIPSSGSSPRSSVNNIAEAPIPPPAVKGQL IRRKTKAVDVKKCFILSHIETIQPPQGRHWNDNDGLRPSFDTLPTWRQLQEETEAPDS TMRLTYKEYFERLPLSSAAVATPNPPHVIPQVAHQHQLPFHLQHGAQNMAPRQSPHMP MMPMHAGQHGHVPHVPFSGPDDHRMMHSNSAQSFASPRMGPVPMAYPPAVNPQAQMPY GQPVMQPYMNAAPQMGQFRSFSHNPQFVPQQPHHMGAPMMVQQGFVPGPNGMVAAGPM YPGAQPHFIPSGAVPPQPVPGSNGFPSPGRPAAPMMVPQGSHQGTHQGQQPMYGMSPG VPYQQPAYTPQQPQGKFSGQRPQ MYCTH_2312859 MSLVPAALSGGKLSVPVKGGCGGRSWDEVSEGRLAKESVGDMAP VVMVVVVVVMMMVVAVVVVVDVVNLANEAVKRKMRDGDLSCILRGVFLWDEYSVFSLL KRGLSATKCDPKEGVVR MYCTH_2312860 MNTRSSHRRSPGAEPVAPPTVPPRSISPAVGAGVNRSVASRTSG SARSVQPTNASGSSSRSDGTRRANSSGSSSSAPLSQIEKSVTHLLVATKQLLETLTQW SRGNASDTQVSDVYVRLGYEFNMACRAFSAINVDTSDLGNVPELLRDILEATLSQEAS AESLERYLPKIRDIIINLLHGLKRKQTRLRQRQQRERENAPLGTGAGDSAEAASPPAP PRTTSTSTTGSANSGLTTLLNEGLENGYRPGSQRDDGRSNAAPSPTKRFIQRDQDRGS VNSEQSSLSSNTMQNIPVLPPYPGDETIPSGPPASDMGSLDNFPPPPPPPPKASQQSA LAALQRGGDLERRASRRYSAYQISKHLGAQAPGIPMLPPQTSPIPNRGRGEARESMRA MQARDSIRHKRNMSSQSRTAAAPDSSPVRVPTRVSEEPEEPPGVQDDDKFKPSATLTG PPTDAMPLMEAGDEEQEKQATPAPGTGPAPAPAPATAVPPVARAATPDKPPSTFTETP PATKELTLFLQYKSKVKKFVLPGGYEELSIGRLQLAFIEKFSWNTQQNGADLPDIYIQ DPVSGVRHELEDLSDIKDRTVLVLNVEQLDEVKKHIDDGLGSIKQMMMELRQNIDDQG AALQRVSERQQETAKEMARLAAAPPTIVTAPVGSPKQAGPTSASRKFGPSQLSELQSL RRDLAVLRQTYSNFQSEIQGSMSALRTKAANVKAAAAKVAAPDIEGESGHSYVANGRK KLNADSDRLVNKVDDLQDMVEDLRKDVVHRGVRPLPSQLEAVTRDIATLTKELSKMEE YMKQEKPIWTKIWEKELEDVCQGRDELRLVEDLIVDLRDDLEKASETFALVEQATKEQ MKDAGPGGVGGGIAARQFSKGLNSIRENAALADPSAAKEGVLGEVRALQPNHENRLEA IERAEKLRQKELERRRENPLTKELASFVEEGKLKKSGGVEEVERARKAKDERIRREVW ERQNGIIAAPPEEELTGAMGGGGEGGGGDLGGEGGGGEEQQQQQQQQQQPVNQGVMDA RGVE MYCTH_2072388 MTTEFEARLKKAVDERLIPNAVVLARDKSGKIDYSFSYGPTTLD PDATPAQISPDSMFSMFSMTKLITSICALKLVEEGVVGLDEDVSKHLEALAAQPVLTG FDDATGQPTYKDRTRPITLRRLLTHSAGTGYILMDDRLRRWAEATGRPVPVPLRRGGG STVDTRFNYPLLFEPGESWAYGSGLDWAGRLVEKLSGVPLDDFAYQNVLEPVGVPRGA MTFHPLRFASRSSPPDETLVGTASRDPGSGKVVAFLPTEEQHYGEQHDAFGGEGLFGG AGQYLKVLHSILADDGKILKPQTAKYLFEPLLEPAAREALNLALHATDWAVGVIPKGV DYDWSAGGLLSTGGDGLGHRNKGFLQWSGMLNMSWFIDREAGVCGVFGTQLLPVNDAK VKELTKEFEDAIYSRL MYCTH_2312863 MDVYFEGEISKAEAELKKLKDAVDKVPVRIVKRYATAVHEAMLR VLNDDVDLMGLVGPIPEDFTFPCPKPGTEIVDKEMPDAGPEEQEEQEEQEKPLTTREP SAIPTVEEADEDATHPPEPQPKVEEKDAENSGLPQVPTLEEAKRLDEDIEMEDSAEPQ VAAAPTPTLADEPLVAQEEGLTFPPPPFDRPETERSSASPDEESEDRTEDDASIYGSV EAVREFSATPPTEDLPVYNVKPWYKSRRVRKLAEESAEFGEFLLRHMQDQTAALQLAQ DELRQEYAKNYESYLRFTASDDPAAVKSREYFTNAGTQAGSNGRGSNSDSKPEGGRRA AGRFSTELDLEAAIKESIREHQERKEREERALKEKYRTDKEAVIPEMYWTQEERERAS FYDTAGLLPLEKLVATWQVVPGHVNFTEEEAEKFEKAYLEAPKQWGKISKEVGTRDPG TCIMYYYAKKRELNLKEKLKKQPRRRKKGRGKQRSSALVSELGTTENETEDTTNQENG ENGERRRPPRRAAAPVWGNNDATPNADSDGATPTPTPGRRRAGTAAESKSEGNAEKSE GRRGGRKPRAPKADKEAKAPRQLAQAPASAPPAAPPSKTGRSRANSKAQGPEWASPQI PVDLAARVPLPFEAPQAGMQPPLAPAQQQAPLTSPNRAAPPVASSSISEVMAPPSLRP EPPPPPASVPTFEIAQAAGPERIRTPQQASSYWSVSETTDFPALLRAFGTDWQKIANH MQTKTATMVKNYYVRQTKEGGKPEWEQIAMEADAKGQRGEKRPPPPTPTQGPRKRYDV SSTGHRPLASAEPEETLLTKIEPQQNQPFSRFQIPIAQAAPVSHPLAQTTQAPPISSP HTGGATTQQQQQQQQQQQPPPSGPVATQAMSPHTHPLRPPGPVYSYLEREVDVPAQAQ QAARTSQKPAPIPTSVPPASEAVPRPAQWATDLGQQFSLLQQTKDARSARDARERQRL ELAQRESPRPVERAPLRMKQEPEPALHHPDAYPQYQPAQRVMPPRMEAAPIGRQPEPV RTSAAPIQQAPPQPVHTQPPGRSLLGEPAQAPQMPSAHERTMSGSMQRQPPVSMQEQY GAAPASAPPAPPPQPQPAPAPPRAPERKVNIMSLLNDDDPPLPKRVSDVSPVKRSSTP QPMARQPAAAPLPPRREVETGYSYARGPAPGPPSAIPPLKPYHTQSPQPHHVRVPSSG MASSMDPAAEAQRDYYARHSYPAQHAASAANSPQSQHMHYSQHGHVQQQHAPQAQTGY QGQQPYQPYPISQPHSASPTPQYAPHPSMSGRRETAPGREPWPPQQQQQQAMHQHQHQ PHLQQQQQQQPQQQQQQQQPPPQPQHPSQSSWPPSHQAPQKASQPVPAQSAWGAQHGG VQTKPSVSSPLAPQQHHAWPTSGPSQQQPPHPLSLRDPRPPSIYESQSPASGLPPHPH HQHHNSLGGGRYAPGPPQDAIRRSDQQPHQQQPQPQAAGVQPPYPRYVSTPGPGPGGQ QQQQQQQQHQQGRDPTRSYTPVAAGGFDHHRGPHPPPPPQGPQGPIASQAPQDPRGPQ YMAQQEAAAMREQQMREAHMREMHMQAQVGGPGGSTGGGPGPGGSILGRQLRPGPESG VPPGQGPGPNPGGPGGLYDRGAEGRRY MYCTH_2312865 MDSSHVPPAAATEAVLVKSVEMPAGSQKVEELDFNQFKGRPITV DDLFQGMKYMGFQASSMCEAVRIINEMRAWRDPESGDRTTIFLGYTSNMISSGLRGVF RYLVQHKHVSAIVTTAGGIEEDFIKCLGDTYMSSFSADGAELRSKGLNRIGNLVVPNS NYCAFEDWVVPILDKMLQEQEASKGTEGEIHWTPSKVIHRLGKEINDERSVYYWAYKN DIPVFCPALTDGSLGDMLYFHTFKSSPQQLRIDIVEDIRRINTIAVRAKRAGMIILGG GVVKHHIANACLMRNGAESAVYINTAQEFDGSDAGARPDEAVSWGKIKAGADAVKVYV EATTCFPFIVANTFAKDDQ MYCTH_2312869 MTRYALLSRRLLARQPWARSRRTFATASDQQRASSDYRVKLVEV GPRDGLQNEKRTIPLATKLELIERLAKTGLTTIEAGSFVSPKWVPQMENSSEILQHLL QKPLLSANPLTFSFLAPNLKGLNNAFTVLSQHPDSFATENTPPTKEKPGLEIAVFAAA TESFSRKNLNCDIATSLSRFREVIQAAKDTHSLRVRAYISVVLGCPFEGYDVDPHRVA QIATDLLEMGADEIALGDTTGMGTAPRTKELLNCLAKAGVRNEDVAMHFHNTYGQALV NTAVALEHGIRTFDCSVGGLGGCPYSPGATGNVATEDMVYFMETLGMNTGVDLDAVVD VGDWITKEIEKDNASAVGKAVLGARKRAEAEGAKA MYCTH_2312871 MSIGSGSKAFVKELIPRSGTLQAINDEFRHVCNDVHIWSFFEGL PTSTGPTSTMIVEKESAVMGLPGEHTQYLQANHRRLVKFDSVDDPNYNILLRCFNTTI EEIEKSFAADKFENHRSQMKQIAQAFDVAERPDGDFIRMLDKLHTGSCEWLTSHEAFH DWLECDPGDPDATVKAITSGPFKPTPRFLWLNGPPGSGKSVASGHVIKYLQSYNLDCA YFFFKSNEKPTLTQFLLSIALQMAESNFQIRHTFLAMMEEGETIDSHSDHVMVWNNIF LGRIFKMALSQPQYWVIDALDECQPRLLGTLMSMFSRIEPTVPLRIFITSRPSGHVER LLNQEKIMRVELHTGQAESLRDIDAFVRSRLSPSIIEEFNEDDGDLVAEIIEKSNGIF LWASLIMTRLDEAHSIEAMRKTLDQVPSEMSGMYNGILESIIESPNADLAHCILKWVV CARKPLTTEELREVVRLDINQTLRTSDRFSQICGNLITVDNNLVQVMHQTVKEFLTGE QSDYYIPRSWSHARIAELCLQHLNGRNFSPPRTRRAPAVSSKADPSSNSSAAFDDYAA TNFSYHLAHCSPSESTLDLLPLLGTFVSSNVLTWIERVAKTGRLSLITRTIQNLKTYL SRQVATSSPLNADYQLTVRFVDDLMRLSAIYGPNLVNMPSSIYSLIPLLCPRSSLIHW KFARQQFPRQKVLCTFNNDWDERLSSFAFSSRVMSIACADQFFAVGLGDGAIKVYRQS TFELLNTVHHGEPVRRLANGNLTGILISGGLKTLKIWGPKQVLLWAAAVPEQPLSFRF SPDDSKVYVPLRNGEVHVYRARDGARLDALEILGEDSSSSSSSSSSDSDSDHGGGVER KNQQKRTMPTLIRISPMLGIAAIAYRSSHLQLSYYESGEKVEAFEKEGYEDGGLPPQV LDVAFNENPEQNLMAVAYQDGDLVTLDPWTLQQKHAYHLNAHMLAASPDGHTLAAGDS ECVISLFAFDGLRLLCRIHSLEERIMGIVFASNSLRLFDLRGNNCNVWEPAVLIKKNL ADDSSSEEADDYLMPASNLICTRTFEGSKAITVMTQAGDSNFVFCGREEGSITVHDVS SGKVCAEFQFHARMVDVRHLEWNAQKQVLFSVDASRRCVVTRVSLPTSATATTAVQKQ QQQAQLRSVEHILDFRASDTVVQALISPDASAFLVVTASGEELHLPGEASCTIQSANS SNKARWLRHPTDPERLLLFQNDSAVLHIFRWKDLTRETPEQGISVVLPPELASAPSAL TNDWHFRPGSSILAQAVPLPRLSSSSSSSSNTGFLTLDLSQLSDPAETTSIAAQCVTR RLASQVKSVLGVHRSSLYFLSGRGWVCSIGLKNLAASKSYVRHFFIPSVWQTGGAEPM ARVVSKTTVAMAYRDELVVLQGFLEFEHKTPFGEENGQDDEEEKEEKKEEGGLEDGEG SKEGEGMKKTAAPVIIRTS MYCTH_2312875 MSDYCNTYRIEVRLPDGSSQVFFEKEGSGEEGYGCVQSAWMSEN ATYEFIPEHVPRPVATGTYKSRPDKHFFLAEFVEMIEDDIPREESYMKALAALHSRSM GKSPTGKFGFPVNTRFGNIEQDNTWSESWEEFWTRQMRDFLDKEDAAHNGEPHEELER LRPLFFEKVLPRYLRPLESDGRSVTPCLIHADLWPGNVKYQSDGETVCVYDACAMWAH NEGACGR MYCTH_2312876 MADRGGRRPVYALMFALAVGANVGIALVRRWAGLLVLRMMQSAG SSGEFFFPFLVLIPWLTSLFDSLMMMMMVVVVVMMISYRAGPSVDTSSWGCPVHGDDK MN MYCTH_2312878 MYGAAYGVIADIATIDERGSFVGVLLLMTDFATSLGPVIGGGLT QAFGWRAIFWFLAILTGSHFVIMLLFFPETQRKIVGNGSIEPRGLIYQTVFSLIRRRR RLRDTEMMRGGERDGHENRAPAKTKTRLRFPNPLACLPVLANKGSLLVILITAINYAV KAALQTSLDAQGSKLYGLNSIQAGLVYLPSGVGGGFGSYGAGKFIDWNYRRTVDRLRK DEGAEYDRKSPEFPLEKTRLRGIYVLSGTTVAGIIGYGLTLKFRWHIAVMLVMQLLTG TATAATFTLCGTLLTDLNMNRSATAQAASNLVRCLSAGGAVAILQPMVENLGPAGCFA VYASIVSLGIPLAWVLQRYGLAWRKGQPTAA MYCTH_2312881 MVQYLAQPAAEDGSMYGRLAEQPLAGDPAGRVGHYEARAEHEIN RFDTRFQPSRQ MYCTH_2312882 MVSFSFTALAALAAFAASVVSATVPSSARFDLSKPSYDLYRNKP LHDDTVQQGFAFDNVNRRLFVSQRKNGAGETSGDLCITQLDFSGNEVGHMYLEGFGHG VSFGAQADGSATYLWTEVDANDNGYGKQLARFKFTSGKTLTKSSSELRKFKPVAAATE HTCAVDPVHNRLVVRYHLEGSGKHIAVYDLAAATKGDFSSPLADFKQPLPKTKGKNFQ GYTAYGRYLYLLWGDSYDVSAELNSEVASVDMNTGEVVQGPLITKAGSTLSFREPEGL AIYKTAAGQVRLFLGFASGKAGDRRSNLFYKNVTVS MYCTH_2312885 MVALRPFTPPAAAYALGSTPRAVRFRHPAYPSSAPDLLVLMAAD GGGLDFDIALTACCIVAGVGWDDGYLAQKDLTEDAIFQQVNRPNDGLLHGSEYFFCVK GHDPSSFKYPVIPSFHHWRFPHGNLPPPWRNLQLPEFILPRPTLKGPAAAMDRDITCR ISGYMDAVEKAHLVPEGERLWFVSNKLDRYCRRPLEVAAINDDKNMLILRKDLHHLFD ARRFTFVPKQFGAYTSESFQLVTHVLLPSGSPELVGLYHNRLPQPIRGISVECLFARF AWCIFTDEHMPFFLSELEYVVRLWDKARGETETRTLRGLDVRSSAQVFESARSQNRSV SPKKRSLSTQGDGRLDSGDGYWSDDDDRTSDDDECGWLGEPPRGRRRKRSWEGLERDD GQVPESWAASSVNRSPLFQKRREKG MYCTH_2072543 MVAAPSWRRRRWFEPQPWALLRGGEVEDPGRPVTTTATTAAIAA GLAALSASGAHRLSSLSTAAGGVSQAGEVVSEAVCWALLAAVAGMVGKRRRRRRSGAM RARADEGDDELLEGGMGLLWPDDGASAGGGGGGGAGHVGGSKDASERSVWAVAAGIVV ACCYAAEIGEISLFPALTPLLLVADRKLRPRMAPSPPQSGLAALANTVWGTTLVAAVA MLALVDWSLLELALPLLPAAALLLVYAALMPRSAGGPRLLPLIPDIEEAIQPLSHRIL ILLGGVLGARHTSWCTATTLGTFGLVASQDPSFQLSDLDAALPVIVSLLVLAQLVSAI PKQARGRLLLWALCLVSVGPYLANTAAIGRAQSAALHSLEHPVEVLIRSAKADFERLL ERQSRTYSAAVEEYRRRYGIEPPPGFREWFEFAVENRSPIVDEFDMISESVSPFWRLG GKEVAQIMDEAYDTAGIDLWLCSFSGATAETSCSHPVRTFDRHIGDLFNTLLGDLPGV LPDAKFLVNHLDEPRVLIPPGSGPPSPDKKKTLSVTDFAERPTWDAITKYCGASRVDR ERGRRSPPPPPPPVETYGLPLVTNLSAALDLCAHPEYAETHGLFRAPASFRLIEGLVP VLSTGKPSTMSDIPFPSPAYVVEPEFRYEPSDDVPWSHKSDHLYWAGSTTGGVARTDN DWRRFHRQRFLALAQNLDPEQQHIYLRQEESNDQSAPAIRATRTGFLNTRLYRAYATR IFQCRPGAVCRRERAHLRGRARWARQAAGAALRARLVLDLDGNGISGRFRRLLASRSC VLKQTVLREWWHGGGSGDGGGLVVPWVHYVPVSVGMGELPELVAWFLGTDRGREAARR VGEEGAQWAARAMRDVDFKVYLWRLVLELARLADVTRGPLLTD MYCTH_2113877 MASPPASTIAGPVSGSRIGRPPQWTVSRSRKLARLYLYSTLSLE KIIKVLEDDGFSPRKNSAQKTIHKILDNDPRYLRPESRVEMSKRINSLAMSPRRQRRK SARSRRTTTVLDFGQVNLNSGCRESSSRRSEQTLQSGQSVKTEDTASFHLTTPPVTFN TACLTFPTPRPDPTEESGAESYMAGIQDIKRRVSNCSTQFAMQLSSLVREFTISVCSD DRSSGRRPSAALSEGSGPAELPNDNVATEPYEPFPDPGFALPGDFLTAHTRSCADFPG QPHGGGNCWCSIAKHTAAAPNSWLLPTGELSDRARHVLSQPSPGGLSLRDSFGNTPLH LFAALDGYQDRLLEMVLSARSSGELAAVNTAVQTFLHVLNLEWFSDLSNPSAPLRQLL AHVVEHCPGLVHETDVYGRTFFHRAHSLLRDQALLAGLVSAFDPTLVTRRDAFGFSPV PDPAAASPSPYIPPRRMGSPSPQVEDNIARASPSSRPKSATSEGSLLAYHARLVQVIQ SSYTNPSIEDADGRNGLHCLAEAILDQQAMDRHVRSSSSSSSGSRPNLKRKKHESREP SGSAAAVTTTTTTVTAATAGTTTSTITTTVAAVAAANTTTATATKMTKTASSSPPASA GGQLSSSPIGGGGPVEGTLPTRLRHLHSLLHPSVSVSVSHYDRRGYTPLMAFIEHVSD DQDDKARTLQAILEALVRAGARLEARNRRGETPLLLAARLGRKVALATLLEGGANAHA RDADGRGLLELLDAEVCGPRARAHVGLYARLEACRVLLTGRRGWGVGYVHVGEPAVVR EWRMRGV MYCTH_96478 MLVRSFLGFAVLAATCLAASLQEVTEFGDNPTNIQMYIYVPDQL DTNPPVIVALHPCGGSAQQWFSGTQLPSYADDNGFILIYPSTPHMSNCWDIQNPDTLT HGQGGDALGIVSMVNYTLDKHSGDSSRVYAMGFSSGGMMTNQLAGSYPDVFEAGAVYS GVAFGCAAGAESATPFSPNQTCAQGLQKTAQEWGDFVRNAYAGYTGRRPRMQIFHGLE DTLVRPQCAEEALKQWSNVLGVELTQEVSGVPSPGWTQKIYGDGTQLQGFFGQGIGHQ STVNEQQLLQWFGLI MYCTH_2312891 MVKRKVAALEKVEADLVNLQYKIRRDPRSYAQEFHDQWLAYDAQ RQIFMSSPTTASSEDVKKFHDLVDLVAHVADLYPDITAPFPDHLKELLNQHHATLDKE LREKVVGSLVLLRRKDVIDSTSLLTTLFPILISTPSKSLRSLLYTKIISDLRESNSKA TNHRLNRTIQTVLHNLVTSDRTSTKGMWACRITRELWRRQVWTDARPCDVMKEACLSD NEKVVVGGVRFFLGGDKEREELEDESSDEDIDIKKVKHQGTINKKTKKRQKAYEKALE KIKKQERKKHAPHPLNFSALHLIHDPQGFAEKLFQKHLQNTKNKFSLENRLLILQLVT RLVGLHKLIIISLYSWFMKYLTPKQLNVTSFLASLAQATHNLIPPDVIEPLVVKIANE FVSEASASEVAAAGINAIREVALRQPLCMNETLLQDLVMYQKSKDKGVVMAAKGLQSL YREVYPELLQKKYRGKEATMSLRAGEVKQLKFGEEEEGGIEGIELLERYKEEQKRKKQ EERALKNGGTDNKEDSDDSDSESFKSEEWEIASTDSESSGGWIDVSSESEDDEPAKKR RRKDDEQDTEEAPALVDTSTEAEAAQAEINRISKLATTTILTPADLAKLEELRREAKL DKALGRASKRKKELIEKHIEDGLTADDIELPAQLGKKTTKEERVALAREGKPAREEHK STQAIRRAKKDAEGKSTTNREKARKKNFLMTLGKARAKNKRSLVEASRTMRAHIARSK RGGRRRNGG MYCTH_2316740 MAGSQQSGGGFDPLEFMDFSQYDSNNNNNNNNDNNNANTNNNNN NTNTNNLGYQPSSLSPSSSITLKSETSDAPSLFPSNQGFSAPSHQYDLYKQQTGLVPG ALTTTLSMNEPHGYFQEFLDLQQNDMLSGLGGADDVFDFNAPLTGGLDASGLDLDMGF QTQASDTGIYLNSTINPSAIGGQEPDALTSPLPSTPALSTPPGRVWPGIHQQQAALRA QQRRQQQQQQQQQQQVKQAPKPRSPQTSSSIAEQNVQQILNSMRSEPVQYTPIKTLPH MNFTRRPKTDAEMDEDEKFLASEEGKKLSSQERRQLRNKVSARAFRGRRKEYISWLET QLTDKVNEAGLLRAQNQALIEENARLTGLTKMLLSSEHFRDMLADLSSNPQKLADLRS ANEPQAQQQARQQAEREAQMQARLQANKDANPHLAEQQHLQYQEHFRLHMMANRHTSV A MYCTH_2029356 MARFSKLAVGLLMVSLASVACTNPAKRRAWHTLSNDEKQVYIQA ELCLMELPAKLSFPAARTRFDELQVIHQLQAYATHFVVSEDEAISGTTKLSCPLTCFL CVRGRPRRRGWAGESKFMDLLAFFVPFTFTFSSGFLHLFGNPLLAIRSPRAMVVLASR SFGSLEGDRSKGYLLTLSMLNGVSSPGDPLFYLHNTWLDKVFWDWQARDRSTRTTTIG GTNIAPDAPPGFPTRPSNIPLPAGADGNPGKTTTLSHVLNMYGNMPNATVGDVLDIQG DFVCYEYVEP MYCTH_2312896 MPRKAPELDLDFNIYVDPSCLSEPMDGETPATVPPSADEVHETV VPDTPEELVTADATVDADQTAQDATGGAAEQQEPEPPAPEGDIAPLQSEGPAIEEAEQ PEVEATEQEPVAEQPETSTEEKLLEEPPAPEDEQPAQPPAADESASSASTEDAPVTPD ASPEADSAPEPEQADEPVAEDSAADVDTEPSSGPEPADEPFPEAAAEEEAVAESTVPQ DQKDGDVEPQSAEEPVIMDFDRQEEETEATAENAPASDGPVEEDTSAPEVPAEEKPSP ESQDILAGNADVETSPRVESDGEEERGPQRNFTDRKTSLRTEALIQAAARAVVAKIEK RKSGDLPDHDDDFDESLITGDSQDTYVPGTDVQDSVGHGSRPLSRQSSESHAVRHIPS RSTSSDEAGDSSSHNGRDDDVFSVRSTRSSLCSLDNDNFHAKTPQAKESLSRRDSYSS TLHHHSSPSPARTVSNFSTISGLSQYDNDYYDSDLSPKHTFIPYDATIKPTRQTTRRM PFRTPSEIRAMQMSSPTPSVFSGSSPVRRSPGSGGGRESSGKRSSLSRLGSPAAAAAA QYSPKGRSTPPRFKSAPPQEAPLVLLHVTLLPLRWAWAGVLESLDSLAVGGKAAGVAA GEEGGCSSTSPEAGAGLPSSAAAAAAAALRAFEPSDQLKSLRDAWRELQDRVGDTVLE RGILLPHPQSDYEVLEERLLEALELPLRRRARILECGHYVGPANVDPDESSDEEGYDD EEKEDEKRKKRHWCSTCREEIRYEDLGPGKVFRVKVYASNGLMKAGAWEACWKEMERV DVELEPIVDVAVQNELERLAVLQMELDEQRRRELEMMERTPDPEPEAELKRESTFGQD PGSPSRQQTEHPTSEADLSHMDAQRAMMSSPAPSPGMQLARRTSTPQPSASTTLVRAD TPTTYPIDTSEERRLRDEERMREIYGDNPPAPPPAPAPASAPAAAPARLPAPPAPAEQ AQHQQQQHQHAHYQQLQHAHSHALTAAAPPPSMPLLTDAPYRHHPQHDPYHTNPLQQQ PDDPYDQRGRRQGQQQRPPARPIVLDENSGFVELLMEAFKVLLRDPKNVAIIVLCVFL VVLMKRPGGAPQQLSPAPLPPSPPPQQQQQQQQPPVVQVVPPSLGPAGGYRMDEGHGH GRDDAHAASKGVVTPPSVNAPVMEYVEEVLASMAAPEVDDGLGPVGAAAEPAVVIKQA EDVSAVEEPEAEPVEAGAAQVEEDSAAAEQVAGVEATKAEEGGKVVAPALSLSLPADV CPPRGLEYPITLVGEVPEIGEAESEDDQSSAAPQTPSPPESPADQEAVLEQSAALEPE NPEESNASFEAAAAEAEGKPEQDGPAGRCDVDADFEDDDDDEEEEEEEEDSANPDTDD AGEDAAVPAAATTTATSSSTRSASTSPAFLPGPFVTERKTVRVFETVTETVRVSVVTQ TETVSTVVTAIPQTVEETVYETETVRITVSVPVEEQKHQQKHQQQQQQKQKKKVQASK GCRRTGWF MYCTH_2312897 MPWQPLPRIAFAVATFPFAASHPADLPLELGDELYIIEETTDGN WLRGYLVAPPSLLAGLTSVKGQTLEARVFSGIFPRSCVEIREVLGEGDESDCHDADSE DASEPTPKRPEEGDSAKSGIEGANGEKRKRKDRTRGSPTNGWRERPGSPNGGPGRLSV PVKRNPDAPRPPAPVPMLKIGDETPTSTSEPLIDEIASCLREWHSTNLHELLLSRQYA KLDTLSKLISTLNLARQQFLHDVLTTWEYEILREKTVWDLVRVNKLCGGEVIVRDPHE RGRVLTGDDSVVEITKLQSVMSLLDETPTHTPELTALHHLLVDIKGFAGASTEATTLV LYLATKTAGGPLTPLTESYIVEIPAGGQMVHLARNMHMRTLFAGLSASEIGDVPSVES ELYLVAKIRASQPVVITKPSSRSGSNSGSVPQFFKDHAKPPLSAGSKSVRRSLMWAGK STRSAFSRGNARLDSLSEQPEEEVASPATAGAESIDGAPPSTANSKAGRASVDEHAAH TADRTVGVGVLKLNSVMKQEEEEVEHIVSIWAPSARHAPERQDGEEWDPVLREIMDSK TGSYEKSRRAERLQVHLRAFNHPDADALIKATPTVLSNICKTNKMGFSGAPTKPRSDI YLTIEEAVLTRQTLLSRFGGSPAALPSSLHANNLQITLEVRRSNGERVESCIYPSSNA EATSAWKTVVAERGEPWRQTVKLVLAPQDVHQGHVVMHLADAPNPPFAVAYMPLWDQH AFIRDGAHGLLLYRLDEHTATAQAGPQGKGGYLNLPFCLRGKEEQQAEVTGPLAMVRV ETYLCSTRFSQDRVVLGLLAWKESSREGIPVLLKQFIFVPEIEVVKLLNDVLDALFGI LCEYSGNDDYEDLVFTALVRVLDIVHDRRFNLAPLVDQYAESRFNYPFATLCLVRSFT RLLSKPTEPETARKLRATFKVARHILKFITHARGQQKAKESGIGITGSNPGFTRHLRV IFKALDAMMRSSAPVLVGSQTLAVQHFHTWLPELTGLLSTEEILHIAIDFMESCSGVK GKLVLYKLVLIINYSKLDIFSHPEQRSALSANTVRWIAPHWGHVDEVTDLWKEQVRLC CSVLASQIDHLGPEIPDYIPKIIQSYLVIQATPRKPKDRLSLLFPATYPFPTKPIAEE TAFDEALIELSAVLSALSNSPSGMQLELAEDDLHVIVENCLRVHMSILKGEAFPPGWL SVHIYHHKSTMRTLQYLAGILLESFLPDPDEAENFNTELWKLFFTTLLQLVGSPSLAL ETFPEQKRRAVWKIAGDVREHGAELLRRTWEAIGWETTPEERARYGLAKMGGYQVQYV PTLVGPIVELCLSVHEGLRRMAVEVLQTMIVSEWTLSEDLSVIRTEMIDCLDAYFKAK PLTESILQKLFVGELLGRFEPLSRSKNDPLYAALRELTDTVDVFLDLLVAVHSGDGSG EASQIIHRLRLMEFLRDMQKEDIFIRYVHQLANLQAEARNHAEAGLALRLHADLYDWD PLRTTPALQDPEFPAQSHFERKERIYFDMIKHFEDGEAWSSALAAYKELQTQYETNTF DFAKLARTERAIASIYETITKGDKLVPKYFKVVFKGLGFPASVRDKEFVFEGSPAERA ASFTDRMQEMYPSARIVTSEHIDDVEGQFLVISALSPHRDLNHHVYQRARVPQVIRDY LVSAHPRRFSVTVKRDTTGPPAEHYAEKVVYTTAESFPTILRRSEIVSVEEVRLSAKE TGLERIVRKTAEMSALEKKVAEGEGGDENAQLLLDAVSISVNPNSESSVVVYRDLVPG MSRTGEPSPAGELDEEDAGAPQLGPQENAIKMALVDHAIMLKRCLATFSKSGNELLTR RVEELQRFFESTYAPEIAIFTPAQPLRSTISPSPTWSRSPQSALSSGGGGGGGRPHHA ATKSTSTVPNGAVTEEATVVQPVSLRQGRGPRLSFLGGRKKDQQPSKEVNGDHHHQPI QEDPEPVATNGSNNTPSSAAKEPPRRSLFRTLPHIATTDAGSASARERAGLHPVQTNG TDLSYPHSSTAVGSEVKGGSSETNSAATTPGGLLGEKDGYGTKVYETPYVQTQQQQQQ HHHHHHAGGHTSLMGHGVGSVRKRLSMLKLGKKSSRERSGGLGGVDEE MYCTH_2121768 MAKQIMRLSVRSRYRLILLGLVTLIIWYSLLPDEYISESSAQYP WSVPDPDGNAAAVEMYEWDPLAAWEKPDAVLREKAYRPAPKPAAPVPDPFPLLSQNPP PAPRLLRAPEINRPPRRHYAEQTPLFVGFTRNWPQLLQCVVSYIAAGWPPEDIQVVEN TGVMYANQEGRLTLQNPFYLNHTQLRMLGVNIIITPTLLTFSQLQNFYLRTALDLAFP YYFWTHQDVLVFSHENLTDAHGNRGPSLYTNAVRTLRHLISSSSSSSTTGSSSSSSSD DDNDKDGGRIRRPWAWAHHFFAYDHLALVHRDAVLAAGGWDAHIPFYASDCDAYLRLW WAGYAQTEGGVGVPGGPGEEVGLVLDVGSVMEDVGALLRIPGSRARMPRVPGEEEDAN DDNNDDDDDQKEEENLWGRGRDRDRDRDRDYFESWERLVALGEKMRAAKYAGGNAGRN TWQARQKGGQGEPFYRDPDGFEAGVGMLIDLGRAVFAEKWGHRGCDVARMGVTGEDAW RLERDWDEETEGRGFEGGSW MYCTH_2312900 MAPRSSGTSPSPPPSHSANRESFLERKKKEIVDKSMALLQISLD RCLDKSVSSAPAVAGAVAGAGAAVEGARRRVKRVREDDGDESGGRGDVSGSGGGEKAK KKKVNPKEGGGKKFACPFSKHDPAGYRFTKTCCGPGWDDVHRVKEHVYRRHSLKNFCP RCFDHFDKSELLQQHVRAQVPCKLREKSFHAISEEQEKQLRTRAKPNCSEEDKWGEMY RTIFPDDKKIPSPYYDSDANGSSRSARSQFASFEEAREFLRVEIPRLVRPEIEKYVST LFEEVQEKVNQKTVEIIRNVETKVLRTFHFQEEQASALPAATAAAVLGAGTGTDACVG AGTGAAPAAPGEPSPPPSPGFGGDVAAVGNAAGGAGPELSKIGQFFEDFQDDDFVNEL VSSMRVDLESVLANSHGLLGYDVFSQGDSAYYTGSTSGEQSLYAAMGGGNGACMHRC MYCTH_96470 MWLMPKTGPEGWTKIKAQQVNLLFIRDESAINTIASQNLAQTDT MSQQRGGELQLSGETQSSGNTTYSLERYLGSDGGVTERLLTGLNVNGIMSRARVVQSE AGRLADKVTQPPRGQS MYCTH_2312902 MGPRTIRLGTASPATQATTAQMLVQLERIARRAASKSIDILLLP EAYIGGYPRGSSFGCVIGSRSQEGRDEYLRYFQSAVDLGDTVGDGAGAGQAWVNRELP GDAVPGSRPDGDLPNKRGDGTREELERIARETQIFLVTGLIEKAGGSIYCSVVYVCPK LGIIGKRRKVMPTGTERLVWAQGSPATLRAVSTTIRGIRVNLAAAICWENYMPLVRQA LYAQNVNLYLAPTADGRDAWLSLLRTTAIEGRCFVVSSNMCVRDSASTNGDTTAASVH RADEINGTARDLSPLTRPNTHPHHLSSAIEEEDNEIAFPGPTATSPSTFGPSHDAHSR ESDPPRRRRSVFDEDGNEIVLACKPPVSPLAHTAAAPPKPRGRRRSVFDEDGNEIVLC AGDSSPGDKERGRRTGRAELPPIATATTTTTATVPKPTTAAATQQAPKTGFISRGGSA IVSPFGDVLAGPQWEDDEGIIYADVDFDDCIRGRLDLDTAGSYSRNDSFRMEVRGLDL TALPYH MYCTH_2312904 MTDQSGRLGRRRPFSALMKKLANLKATSSGDGGRLSGSKRNGAK KPQNNPYPQSGRIAVGISPRPSHYSVSSGPSRRLTSVSSLDRSDSVRSDSDDQPPPTT GARSMAPTVSMEHETVRSILAPSHGDSSLAGTSRTANGRRGGDSTFSSPAPSVRSLTT TLTTIQTIAPNPGGTNNQATTNHHSHSSNSQVIHFNQPFPSTSPASAIPAHLATTTYA SATANNLLTDNASILTLASSSKRRRRRSFDTDASVRALAPSSLWGGSRESLPLSVLSA TMDGAAAAAAAAAGNGPPTPGLHRGAGSGVMGGGANERTSIYSATGILGTAASERNSL YAKPGLGTGNGGVGGGDAASVRSGLMGHGRADSVAGSIGGGLAAPAAGTSSLASPREG LGEEKGEGKRDTV MYCTH_2312905 MSIQQLPGDVAAQIKSSAVITSLNAVVYGLLQNALDAGASKIVI SVDYSRGNCSVEDNGTGIEPANFREDGGLGKLHHTSKYPPRPDCHGKHGGFLASLAAL SLLTISSHHHAYRTHNSLTIHNSRVLTRNLPAPPEQRVLAFASGTRVSVRDLFGSMPV RVRQRAIEVERAGTSREFDRLIVSVVALLLPWPGEVTVSVQDLCARRTVSLRGSGVVD GNESNPILAPLTVTRASTLLAAASLVDKENLKFWVPIGATASGISVRGCVSLQPVATK RVQFIAIGVHPLLNEHRSNLLYDDINRVFEDSGFGMIEEAALDEDGRPSKPEGFTNKE LKSRRGVDRWPMFCLQIILGAEASAVDFGEYLDARHQNVAVISDLLQVMAYEFLKKHH FRPKPITAIQRLRRSKSKPSAGEAVPVSQRSGPGSGARERNAPQRLRSHSSERQSISS PFASWSKTKSTVPRDHGTKKMDASTLASQRESACSGVPRTPTNSEEPLFGHSGAILRK PFEDVDDDPQAAGEALSGEPSSDVQTGTQSGTAKDAVVWVDPTTLIRSLIDPRTGFAV TTRRDTPGKKTKPQPALREEAKSVPRRQRCGSPGLTDPTTIFQPVEHRIPRILGEHET TYCNHKGGTRDSRDLGELSVESLNGTMLESLEGRITKTSLREAEVVAQVDRKFILAKI SSTSRGPEADRMLILIDQHAADERCKVERLLKAYFIPDSANSSRLVAHTQNLDKPLRF ELPGQEGYLLVRFRDWFTHWGIVYEVEPGVSPEESMTVVVRSLPSSVSERCCVEPRLV IDLLRKEIWELHGSGSRGSARPLVVGRDDDWVARFHDCPQGLLDLINSKACRSAIMFN DQLTPEQCSDLVGQLVACAFPFQCAHGRPSMVPLVRLGREGTSGQSSSAEPGGGDLLK ALKRWKQSSAVETEMDT MYCTH_2312908 MALTWRSFDFFDATQITLPSDSSDSVNTRSLFENNEISAVCSGS DSLFLGSYDGTVRIVGPSWKVVRSFRAYDAAASGGLGGAGDGGAAGGMSITHMRQVEG TSLLVTVAEGGGEHQPVLKVWALDKPVKKTGIPTCLSTVAINNGKKPFPVSAFAATED LTQVAVGFANGAVTVIRGDLIHDLGTKQRIVHESDEPITGVELHVEGGLTTLFVATTS RILKLVISGRGHGQPPKTVEDTGCGVGCMAVDKKTGSIVVAREDAVYYYTLDGRGPPT AYETPKKLISVYQDYIALVSPPTPAGETDAIRRRFWGATADSIYTFTLIHPDLRIIAH TETVLSDVKHIFQLWGDLYMLTQDGKVFRYREKSLQQRLEMMYQRNLYTLAVELAQKS GMDGQQQNVIYRKYGDYLYHKGDYDNAMTQYIKAIDSTEPSQVIRKFLDTQRIHNLIE YLEELHEHHKATSDHTTLLLNCYAKLKDIDKLEKFIKTPGDLKFDLDTAISMCRQGGY YEQAAYLAKKHQEHDLVVDILIEDSKAYNEALDYIWHLDPETAYSCLKKYARVLIENC PKDATQLFIDYYTGRFTPKVDLPEPNAQPAGNGGFVVGAANAYTPPRPRTAFSSFIDH PDEFIVFLEACLNEGSLSEVDRSDLSTTLFEMYLHKSNEKKGDDQYREEWEQRAKALI NNKPQGAGAGAAPKPPIENSNVLLLSHLSGFRDGTTLVKEQSGLLFDIFRSYTSAKDT RGAIKALRKYGPEEPQLYPAALSYLTSDPRILEEAGQEEVASILERIDRDGLMAPLQV VQTLAKNGVASMGLLKPYLSKRIEQERKEIAENRRLAAQFRAETETRRAEIADLGSKP AVFQATRCAQCTAALELPAVHFLCKHSFHQRCLRGGGGGGGASGANADGEECPICARD NATIRALKKSQEENAERHELFKDDLERSEDRFKTVSEWFGRGVMSAPNVE MYCTH_2312913 MSTFGEYFRVTTYGESHCRSVGCIVDGCPPGMELTEDDIQPQMT RRRPGQSAITTPRNEKDRVLIQSGTEFGVTLGTPIGMMVLNEDQRPKDYGNQTMDIYP RPSHADWTYLEKYGVKASSGGGRSSARETIGRVAAGAIAEKYLRLAYGVEIVAFTSSV GNVHLFPPTAEHPSPSTNPNFLALLQTVDRKTVDSFLPVRCPDEAVSRKMEETIAEFK KREDSIGGTITCVIRNAPSGLGEPCFDKLEAKLAHAMLSIPATKGFEIGSGFGGCEIP GSTHNDPFIPATNVELPSSVAESGAAKSGFARPKLTTKTNYSGGIQGGISNGAPIYFR VAFKPPATIGQAQTTATYDGLSEGVLAAKGRHDPCVVPRAVPIVEAMAALVLMDAVLA QQARHTAKSLLPPLKQTINSGKPAGNGVTETEEKSP MYCTH_2312918 MKFYILAALASILAPAAAYPVTANGGLSCRSGPGTSYPVKKTYK KGFDIKISCQTTGTSVNGYNIWDKTQDGCYVSDYYVKTGKSGFVTTKCGSSGGGGSTC AAPKSNSATVDLIAKSEGFRSKIYTDATGHATVGYGHMCTKPKCAEVKYKIPLSTADG KKLLAEDMRKFEKCITNMLNSKAVLNYNQFGALVSWSFNVGCGAAQSSQLVKRLNKGE NVNKVLSEELPKWVHGGGKVLPGLVTRRKNEVALAKKPGSSKALPVKC MYCTH_2085328 MKFALFAALFEAVAVTVTSAYPVTANGGLSCRSGPGTSYAVKKT YKKGFDVKISCQTTGTSVNGNNIWDKTQDGCYVADYYVKTGKNGFVTSKCPASGGGGG GSTKLPGPVKNDYPYKNNCGGVDPWRYYKCQCTSFVAWRINERLGIKFHNMYKGVNWG NANTWDDAAKRTGVKVDKTPKPGAIAQTNAGRYGYVAWVTAVNGNKVTIEEYNWAKRE GYGTRTVDKSTFNYIHLK MYCTH_2131203 MSACNVVLVIKYQYQFASITLALAARKNLIIDTDLFSGGGSSGG GGGSWNFAGSDPARAAHVVNAGAGWPPRVRVVFLGDDVGGRVAHRRAAHGCDDENNDD DNDDPCTGPGGRRGIRWRWRNYAIRGLGGEEDEEEGGDGLFEFGNAWGRNWVLDCRDG TNRWVWDEEVRNQFFLRLKVPDERAAEVVDDLFLRSAFGPEAAAGRGKVNEEREQKLG RETGACSSRGHDEGGL MYCTH_2312925 MLDAWFREHPNQPARIIGDAGEVIVLLPHLTNEMRNDPRLSFAG WIVKNFLAHIPGFEGFREGSRDSQIVQEVIMKDLTKYLNKITEPLSEETALAVNELYP ADDDQERHTISPRERLLRLVARVSSRVFLGEEICRNEAWLRVTREYTVDAFGAAEELR LWPAPLRALVHWFLPSCRRARADVAEARRIIGAVLDKRRLQKLRGEKVEYDDAIDWFE REARGREYDPTVAQLTLSMAAIHTTTELVTQVMSDIAQDPDILDELRHEMVQVLRDGG WKKTSLYNMKLLDSVLKESLRLKPTGIISMRRLASDNIRFSDGTSIRKGSMVAVTARK HWDAGVYEKPEAWDGRRFLRMRETPGREHVAQMVSTGPDYLSFGHGQHACPGRFFAAN EAKVVLIHLLLKYDWRLSPDAPRPRIRHYGWTLRADPTTTMQYRRRRPEIDL MYCTH_71660 MPVKKRKSYSARYYASLAQNIAENGFVVMPCSWCASQGLVCKMI AYIKRYEACVCRGRSYDGSSILLSS MYCTH_2312927 MADHSPPPSSAAPSGPSGPLGGFGFPISGPAATLGALPASVPYY PYLLAAGLAVLFLFWHQPLSSSSTSKFPHYRKL MYCTH_2136257 MQFEFVDNSSIDRATRRRIRRRAAEGRNAGRKLSRPSRKAAFAN NMATVRPFPVHARLPRSAGPHDCQPPADSNGSSSVGGISCVNTPVSPSPVVDLGSPHS AISPLPAHLRRHAPGYEGSALIQSVVSFLIGIRHAPELDRVLDYSSESRSKWVEPIFF DEAYFHGAVAVYLSYRTRTFPPPYHCRAPQDLSSSQTRHLCRALRLINSRLSREHAAS NENLIAVMILGLYERQQGAYHRGLVHLTGLERMIQLRGGLAALTRSKSGLARKVFR MYCTH_2131210 MYGGTDLLLIISQRRPGKPGPRPALVGHSGALRRKERMYIVSGA NVGLGFEAAKHLARIGVRRVILGVRALVKGRAAKLAIERAAGTASTNNKSNVWTLDLA QPASVRAFARPDVPDRVKNETGMQKHTWEVIAMELEAIEPGCVGKFCSGLD MYCTH_2312931 MDLGFLRSQLIETWRLPYPSDSYAGRTIVITGSNTGLGREAARH YARLGASRLILAVRHVDKGEEAKRDIQTTAAEGVSIEVWEVDMASYASVKAFAARVDA ELDRVDIFHANAGVARMVFALAEGSESSVTVNYLSTFLLVDLVLPKLKATAARFRVRP TLVVTSSGVHRHTAFPQRNEPDVLAALNDQGYAGGRHWSEQYPISKLLGIFAVRSIAK EHPADAYPVTINLVSPGFCHSGLSREAKGMQRVAFAVQKALLARSTERGSWTLLHAGL QGPESHGQYLEDARIVQPSDVVTRNPDLQDRLWADLKAKLEAIHPGVTANF MYCTH_2312932 MARSTASKLARVACRGLQSTRTAHTALPSISAAPSLLHYAPKPA GPLTARRPISSSSGLNGITPDDKPAKPVDTPPVPRTPANISFSEYHNIADEYLERLLT RLEELQDEREDVDVEFSSGVLTLSLGPDVGTYVINKQPPNKQIWLSSPKTGPKRYDYV VLGEGQHEKQDTATGDWVYLRDGSTLNELLKNEIGIDFSLSDAELGS MYCTH_2312934 MINAFLVFNGQGQPRLTKFYTQLDTSIQQRLISEIFTLVSNRAP GSCNFLPLPPLLAASSTSSTSSSAQEQNDVPSFVTYRNYATLYFIIISTSTESPLALI DLIQVYVEALDRLFENVCELDLIFNYETLHATLGEMIVGGVVIETNLERIVAGVRAQG TVAKRPVNESRAGGGLGGSLGAGLGMGGMVWHGR MYCTH_2312937 MFPTLVRRLAQAAKPQLNAAAAHPEYKYKLKKVWPPDIQSMTPQ QQLRFEKKYKRRLKLATARPRWDKFVRLAQLFTTTCKVAVTSIKSSWIIIDSVCLVVL AYAVLFMDWKDIPTPFDSVRSLSYFPRPASDICLTFCQDSREVLQFLRRLYRRREKAH HEEPAFRSTVVPWADE MYCTH_2312940 MADTTLPKAMAELAVSKTKELKGTEKRDSLIAIEKKYQAKWQED GVFEVDAPSIDEYPLGSISADELREKFPKFFGTIAYPYMNGRLHAGHAFSVSKIEFHT GFLRMQGKRALFPLGYHCTGLPIKASADKLVKEVEMFGKNFERYKEEDEEVEEAPTSQ KGAKEDLTKFNAKKGKAAAKTVKAKYQWQILNSVGVPLEEVHKFADPQYWLKYFPPEC KKDLINFGARIDWRRQFVTTDANPYYDAFVRWQMNRLKELDKIKFGKRYTIYSIKDGQ PCMDHDRSEGEGVLPQEYTALKLKVVEWAPKAADALKGKIPEDASVYLCPATLRPETM YGQVCCFVGPSLSYGVFRASEKEYFVITERAARNMAYQGIFEKEGVPEKAAEVKGSDL IGTLVTAPLSFHPQVRVLPMDTVLATKGTGVVTSVPSDSPDDYAMVTELAKKADFYGI KKEWAELEIIPIIQTPTSDLLAPHLVKKLKINSPKDAKQLQEAKELAYKEGFYQGVMK VGDFKGEKVEVAKPKVREQLIKNGDAFAYSEPENKVVSRSGDECTVALLDQWYLDYGE ESWRKQAYEYVENKDGKGLETYSPDTQHAFKGVLNWLRQWACARTYGLGSKLPWDHNF LVESLSDSTIYMAYYTIVPWLHTDLFGRVKGKGNIKPEQMIDEVWDYIFCRTQLSDDL IAKSGIPKETLEGMRRDFEYFYPLDVRVSGKDLIPNHLTFWLYNHIALFPREYWPRSV RANGHLQLNGEKMSKSTGNFMTLDDVVRKYGADAARVALADAGDGISDSNFVEDVADN TILRLYTNKEWIEEVIKDEKLRTGPLNDFQDILFDNEMNALVHEAKKHYEETSYKLAL KAAHYDFLNARDMYREACNAAGIPLHRDLVLKYIRLQALVLTPIAPHWPEYIWLEILG EKKSIQYALWPEVPPANPALTAAREYVRQTSSNVNSAEAAQLKKMAKGRQSDFDPKKP KKLTIFMATAFPSWQAKYIELLKEVWDPVANAQKIEDKELNGRIAKMGEMKKAMPFVQ ALKKRLRDGEPAETVLERKLAFDEAKTLLAMVPGLKRTAGLESVQILAVEEGSKKGKD LTNNGAEVEIKAPVAESALPGQPSFHFENV MYCTH_2312941 MADDEQLSIYDEVEIEDMTYDETLQIYHYPCPCGDRFEIALCDL MDGQDIGVCPSCSLMIRVIFDADNLPKPPGQEQSGQVAIAA MYCTH_2312943 MASEGSVDAGAPNLNLTPEEKRVYGQLFRQADADNVGVITGEVA VKFFEKTRLDSRVLGEIWQIADKENRGFLTPAGFGMVLRLIGHAQAGREPTPELAFQV GPLPKFEGITTTPAPPPPPPPALQAQGTGAPGPIRIPPLTPEKVAQYASLFERQNLQN GNMLPGEAAKQIFEKSGLPNDVLGRIWMLADTEQRGALVQTEFVIAMHLLTSIKTGAL RGLPNILPAALYEAATRRAPVGAGIPRQQSPSTTTPPMSAVPRQLSGQGPLTQMRTGS PLGRPPLGPQTTGEWLISPADKARFDQLYDELDKGKRGYITGEEAVPFFSQSNLSEDI LAQIWDLADINSEGRLSRDEFAVAMYLIRQQRTKSAPLPATLPPNLVPPSMRAQQARP PAAASAFEPPPRPQPPQPKPSALDDLFGLDDIHPPAPAQVPAGTGGSAGDPFAASASP QAPSSPSRPSPSTSTFKPFVPSSSFGRGLATQMTGGSNSSATAKPANVEDDLLGDTEP DVSKNINNESTELANLSNQIGSLTKQVQDVQAQRTATQNELSQSSAQKKNFEQRLSQL RAMYEKEAQDVRALETQLTTSKNETKKLQAEYAMVDATYQELQNQHRQLLSALQADQQ ENATLKEKIRMVNAEIAQLKPQIEKLKSEARQQKGLVAINKKQLATTEGERDKLKNEA EELTKSNEELARQISANSSSPSAQVSSPALSTASANNPFFRRTGSTDITTTFSPPIRS FTDKAFDDVFGPSLGSQAGTPPPPAAAAMFKQNTGTSAGSVGSFATPASTSPNAGRQQ AAAAAEPATLAETGRSNSSFPQFVDAAESLSTSRQVSPPLSRTETNQEATTASPEPSQ TGHNPASGSGSRPASPASAAEAKPTFGSISEESNKAPAAGKEADPFAVDQDKAKEDFE SAFASFKQARAAAASSSDTAKAFSNFNTEFPPISELERDDDSDSESERGGFDDDFAPA SPPAKPVEKGGESRAASPVVSKASPDAAGAGVPSSASPEPAASDNAVPTTSSSSNLNN LSSSNVDDIFGSSAARPTATASNASRPTTAATTSKAPFDDLDDDFEGLEDAKEGSADD DFATISRSGLDDFNPVFDSSPPPSQPKSDSAHAGAPGGATTFGTESSFDFASFSGTSA AGSTIGQAAHSSSSGAQAKVPGSQPQIQTTAESHDWDALFAGLDSAATPTASSHTLAT STTGQDAAAGTAAGAGIQSGAAANEEAGNSKQHDGDNDHHNNNGGVGGSSNDEDPAKR PPAPGRALTEEGEHDDPILKNLTSMGYSRADAVAALEKYDYNLERAANYLASQS MYCTH_2312947 MREIISLNVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKAAD PDHGFSTFFSETGNGKYVPRTIYCDLEPNVVDEVRTGPYRGLFHPEHMITGKEDASNN YARGHYTVGKELIDQVLDKVRRVADNCSGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKSKLEFCVYPAPQTATSVVEPYNSILTTHTTLEHADCSFMVDNEAIYDICRRNLG LERPNFENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYAPVI SAAKAAHEANSVQEMTMSCFEPNNQMVKCDPRHGKYMATCLLYRGDVVPNDAHAAVAT LKTKRTIQFVDWCPTGFKLGICYQPPHQVPNGDLAKVNRAVCMLSNTTAIAEAWSALS SKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVAADSMEGEEVEAEY MYCTH_2312949 MANSADAHDTRSSTLSAEEQTIAPESKNATHHIPNILPHERVFP IQLGSELFKLSGASISSDAPSYFSQYFRCQIKQAEEAGQDISSAIRTLYIDRDPVTFR DIALHLQGYHVQPRDATHFVRLFADAQFYHLPKLVSQLYEESIFTTIGGVQFRIPRDL FSDPGNSPNFFTLGFAAFFSPPGGEVFPGLDREGLLRPPSIAPPSVEGRSPEIFAELL HLLRGYPIEIRSEQHRAQLLRDCRYFNLKGLEQKLIPHAVSFNLARGRSEIVLRLGDI LKSGISVAGEPTPADPLAGWVNYARPYVDERPNELVLEIGGESTRLRLVPGQAAGCGA GSGGTVVEARAEFFGEMRARVTKLLEVIATKLNLPSAAQPGLLTAPGRSTASLSPGNT PLSEDLVKAILDSDTSVVLDGKPWTPVAEEGEDLSWMTGNADTALMSRKRRRVDEQGG NAEEWIVKTGQWRLKIQGSRNGKAAVECCFVAVKLDAYSSERARNTQRPFLGG MYCTH_2131220 MTSIRENKAWMEQGLLALKDAKSRLSVASVEGLIAIIDEIARLR TENEELRTQATAKATEAIGLIQHSKNEADSAKAESLETKKQLDTVKAEKKDTEKKLAK VEAELRQSIEKASGLEAKYRQEQQELEAELRDRDEELEELREHSVELKEVAENKEELY AALETIRTEAIKLALTYFGMELQLGTDDDLWKKAKAQSTGMPKPRSSPDLARRMRVAL MLSVVARELCQHVFQPAYLSEQVRGIDSFLRDLAKRDPEQESHLRSVLLKTENPAKTR IGSMNRWVDQLVHDSFDGISKVASTAIPNDKVGEFEADLLRLCETAYEKWRFIQTLKN HIRPDFAIGFSLEQEEDKWSPLPSTVPSPLQSPKAKQQRANGTASAQATPKKSQPSAG NPAELSSIVVWPAFVNASRPEEGALSKGLVLPRSLIDAVKEDWAAASASPTSSKNPHR EMRAEARNRDSATQKKRRSSTATVNGNGADSKQKGPTSASNGHGSGPNGA MYCTH_2312952 MSVPSSPASGAQPLQPVSHNAQRESALFTSVQHHRDRLGETVST RDSSVHEKINQFNSLAMQSKALERKTADAALKRAMIGREEAEAEVRRCREEVKTLKKQ LEESKDRERRVSERLEAVMENHARNKETQAHTQQVWEKEIRRARKETFKVQSSLVKAQ EELKSCRTARRAAEEELQAERERSKAREKEAFDAQNRLAGLQEQLDQALGKIKMLEQE LDAVKTLAKNEAEAKRLAPDDDDGSNSEGPRKRARRSSVSALYNGDPDIERITMLWEW EKQRADRALEQVEFLEAECQLKLCAAGQELRSRSRQRSSPRKRASMFSDAGDPMILSE SRRASVEASKSLPRRSKTDLLKGSKEPRRSTIFLPAEGIFRTVSQAEADAIAAKSAGS TGLSPTDPATSLPITPTDSDPNYRRTPSVDPPDFAVLNKERISLLSLLEAPHRQESPP AFNIPTTPGPVPEARHEEPAEEETGAAASSKPTEITLPPRESADSLDAPLSEPLPSRD VGDESTAAPHVRPHTTTSYYPTTTTTTTTTTTVTTTKVPLRPEPTAEDGPTLAQRLLK GLRTPARQTGRAAGEDGFDPDRPSFDVTNPALTPTMTREQALAQIRERRGRARSVGRV GSGGGNATGGSGGATASSTASSTTASSSSSGATTGTGAGRADARRKVSGGATPAGEVR RKVSGHDLAGAAARRPGSSFERGREREREREREREREPMRREGSGSSAATGGGGGGGG GGGAVRGAGAGLRRLRS MYCTH_112411 MADETSARRRKPAPNTTTPPDSDTAESHDEKPKQQQAVSKKQKS AQEKLDEDEANSSTVLDIFRLLTFLILAYCGLSYLISYGETYSLGLPNAPKYLKVDWW KKQLRGPIYLTLDELAAYDGSDPSKPIYLAINGTIYDVSSNPGTYGPGGSYRFFSGCD ASRAFVTGCFAEDRTADMRGVEEMYLPLDDPDVDRHWSAAELAELKKQERAAAEKKVH DNLAHWVNFFRNHPKYDFVGYVKRPEGWPETEPRRKLCDQAAKGRKKRVVSGK MYCTH_2070850 MGEADEEGEEGGEDDDDERERIPPELLTRILHGFFGREETRITR DANAAVARYVDVFVREAIARAAVEREGGFLEVEDLEKIAPQLLMDL MYCTH_2316753 MSNKQETKILGMPPFVVDFLMGGVSAAVSKTAAAPIERIKLLVQ NQDEMIKAGRLDRRYNGIIDCFRRTTADEGLMALWRGNTANVIRYFPTQALNFAFRDK FKAMFGYKKDKDGYAKWMAGNLASGGAAGATSLLFVYSLDYARTRLANDAKSAKGGGA RQFNGLIDVYRKTLASDGIAGLYRGFGPSVAGIVVYRGLYFGMYDSIKPVVLVGPLAN NFLASFLLGWCVTTGAGIASYPLDTVRRRMMMTSGEAVKYKSSIDAFRQIIAKEGVKS LFKGAGANILRGVAGAGVLSIYDQLQILLFGKAFKGGSG MYCTH_71631 MAPAAAAGAKKQKKKWSKGKVKDKAQHAVILDKATSDKLYKDVQ SYRLVTVATLVDRLKINGSLARKCLKDLEEKGQIKQVVGHSKMKIYTRAVGAAE MYCTH_2312962 MSEGEVEVATSSYDVLPKEVLAEVGSVKLFNRWSYEDVEIRDIS LTDYIQIRSPVYIPHSAGRYATKRFRKANCPIIERLTNSLMMHGRNNGKKLMAVRIVA HAFEIIHLMTDQNPIQVAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQAIA LLTTGAREASFRNVKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR MYCTH_2312964 MGTPFISLLEPTPLAWERALPPEEQPNAASIPRTFLDAMTVRSQ VFVQEQNIPQSNEFDSDDPRCAHWVIYASVNKTITPAVTDPSTGEVVRPRQSETQSVP IGTVRLVPFPHPHHPLNGGVYVDGELVGINSAPPEEGKDGSPAATPVEAGSTTAPVTK PTFSPDRSTTFHDGVEPYVKLGRLAVIKEFRGRGIAQQLVHTAIDWIRTHPSYFDPSP AEHGFEHLGMERGGALPRWNGLICCHAQEGAVKVWEKCGFRMDEGMGRWFEEGIPHVG MFLRVEVEPEVKGI MYCTH_2131229 MTHQVKSAGDGSFSAKYSFWGFGQEDVVGSDEGEASYVWPQRLP TFMNVKVFHHQLLPLPLETPDSRVHSLSDSQAFENLTRRSSLAFDPLISLSDSQFFKD LTSLSSSAFDPLDRTPSPARLILLIGPPDSRVHSLSDSPIFEVLTSLSSSAFDPLDSS HVRGLSKSHISIKIYLALHHQL MYCTH_2312966 MAPTYNIAMVSDFFFPQPGGVESHIYQLSSKLRDRGHKVIIITH AYKGRTGVRYLTNGLKVYHVPFFVLYRSASFPTVFSFFPIFRNIVIRERINIVHGHAS LSSLCHEAILHARTMGLRTVYTDHSLFGFADAASIFANKILKFSLSDVDHVICVSHTC KENTVLRASLDPLMVSVIPNAVVAENFKPLDYAEASSRSFTSRHPPPARPPGPHDMIT IVVISRLFYNKGTDLLTAAIPRILQNHPHTRFIIAGDGPKAIDLEQMIEQNVLQDRVE MLGPIRHEEVRDVMVRGHIYLHPSLTEAFGTVIVEAASCGLYVVCTQVGGIPEVLPSH MTVFAKPEEDDLVAATGKAIAALRANKVRTELFHEQVKSMYSWTNVAMRTERVYNGIT GAISEAEFYGYDAANGAGSWSATRGRSGVQSFALIDRLKRYYGCGIWAGKLFCLCVIV DYLIFLALELLFPRDKIDICPDWPRKERKADGKDAG MYCTH_2312967 MYNRYIPPAKEKQAPTHSQTTPASSSKPLSTAQPYARYIPGQAP APPAPAQPQKIVFDDANLPPVDHSKSTREIDEELTGSATQKKAKKSKSKQSEDVADDG VDQEAKESRSRKRKREDVDQTAILEDKVVENEDATPASGPDGDNAAAAHANPENDVKQ ERKPKREKRKKKKQEDYPDAEMADADDDDMHRKHRSVFEKVQRSLKIKERIVEDSTKK EEGEEEAEPAVEHGLEPLPQPEPVTFDESKLAYETLPPWLASPVRVAPDVTRPFVELG ISPESSKILESKGFKDAFAVQTAVLPLLLPSPDRQGDVVVAAPTGSGKTLSYVLPMVH DISKDRATRLRALIVLPTRDLVQQVQLACEACTTAFAISGGKKVKVGTAMGNRVFKEE QSIIVGEEQRYDPQGYQQYLQKQNRLVSLEDSDDEDDGLDLERTEPLPYHVVAHESKV DILICTPGRLVEHITKTPGFSLDYVRWLIVDEADKLLAQDFQQWLDTVTEKLSVEKPG ARDFPSSNKSGVRKVILSATMTRDLSLLNGLKLSRPRLVVVEGTKAGEQNLPPLLRES AIKIREPSLKPLYLVDLLRSKHMVSVNQEDAVDEVSVGSETSDSEASSASSSSASDSE SDSDSDSDSDASSSASSSSSSSSTSSSDDDEAEEDDDDKSRSRNRPFPTAKKTTFPTT VLIFTKSNEAALRLSRLLVLLAPDLAPLIGTLTSSTKTSRRTRTLRAFAQNKLRILVA SDLVSRGIDLVNLEHVINYDLPISETSYVHRVGRTARAGRRGCAWTLVEHAEARRFWR DFAGEGKGAVTTIGRSAPIERIRIGDGETEFSEERVQEYERALERLRAEAVGGGGGEG RK MYCTH_2312968 MARLRGTGATRSPLATLLAFLLLLVPQVLAVSAVVGIDLGTEYI KAALVKPGIPLEIVLTKDSRRKEISAVTFKPSTGGAAPKQGSFPERAYGSDALALAPR FPGNVYPNLKTLLGLPVDSAEVKEYAARHPALKVVRHKIKGTTAFESPGAFVPEEEAW LVEELLAMELQSIRENAEALAGSGSSVRSAVLTVPVYYTAEERRAVELAAELAGLKVL SLIGDGMAVGLHYATSRQFPNVNEGGKPEHHMVFDMGAGSTKATVLRFQSRTVKDVGK FNKTVQEVQALGSGWDRTLGGDALNYLIVDDMIAQFAASPKAKAAGVEADAVKSHGRA VAKLTKEAERIRHVLSANQNTQASFEGLYDDVDFKYKVTRAEFEEMAAAHAGRVGVVV QNALTAAGIELQDLDSVILHGGATRTPFVQKELEKLLGAEKLRTNVNSDEAAVFGAGF RAAELSPSFRVKEIRIAEAASYPAGIKWKTDEGKEKQQRLWTAASHLGAAAKEVTLPN REDFSATFYQTVPAPALDAGSVDVETKVLTTKNLTASVAQLVEKHKCEKSDVKLKLSA RLASENGEVDVRKVTVECEAEVKEGFVDGVKNLFGFGKKEQQPLKDGESAGEAEAETS SSTKQLVVIPVDFTLEKSGVQLSKADVTALKDRLKAFEASDRTRRQREEALNKLEAYT YKVRDLLEKEDFISHSTPEEQATLEKKNSDASEWLYEGGAEATKEELRSRLKDLQAIV DPVQKRIDEAAKRPELVKGLQDALKSTKEFVADIKNKIAEYEAWHSSKSASASSTSAT DTSSTSTTTAPPSSSSDDFDGLEDDDAASTTTATATAMEDVLKERGPVPPLYTLDDLK ASEDLYKSITAWLEEKLAEQEKLGPTDDPVLLVKDLTEKREKLDKVGLELAMKGVRNF EKRKASEDKGKETKKSKKGKESSSTSTKSAKPAQQTIQIKPGEDGKMPSPEELDEMLK EFIKEDKAPNNGEEANQEQKQKQEEKKEEKNVGHDEL MYCTH_2071510 MTMTDEELDRDWQPNGRRPQSTVAQMFSQELQDIFRIENSVTDL DEQVNKRKQQISSQTSELEALEARIREMEARLKGVAARTTNAPPAPPEKNDPTADQDR ARDQQHQQQKQQQQQTYPGSRPGTAHKGQPAVPGGLPPTPAGSEGEFEPSALSPSAIA PRPPGTRAQSPLDTSSTSPASDSQTNRLPVPGGDADSVRSLSESASFADYVVVPGPDG DRERDD MYCTH_2312975 MDAPPQRGDQNRLVKSPVGKEIDEARRLANTSTLNRLVSKLKAD PAADLAQALKQQAVAYPQLRRRLEQAAQEKATAQGSGSDSDSDSGPEHRTGKVRPSNN ALELATHVNEAIENAEVLWRLHGTVVLGLSASVVVKIGASLDPDEVENLRYINAHVPD VPAPSFLGCLKAGRKTYHFMSRAAGVTLESVWADLSVEHKTSIKAQLNSIFRTLRKEH DGGRPKFGGFVSAICKDTRRHQRLSEPTIQTETQFNDFLCRKPGRTPTPWITMLRSGM RNDHRLVMTHGDLHPRNIMVRWESGDEDGANLDRGEEKRIRITGLIDWEMSGWYPEYW EFVKALSTINTREKLSDWFEYLPTDAIGTWPVELAIDSLLDRWLA MYCTH_2312977 MPPFGPVHILEPRAEHTHTIILLHGRGSNGEEFAQELLESKLSN GNTLQEQLPTWRWVFPSSAELCSTAFQETMPAWFEAHSLTDVASRQDLQMAGIRDSVQ YVTRLLDEETERMGGAAHRVVLGGISQGGAVAMWTLLCAGDRDVARRLGGFVGASTWL PFAENLERYLGGQNRTNHDAPGNNEAMGDDVTGGGGDDDDDVVQVSEADAFVKAMTAS ARRTRHQRDASEADQHPVPVFLAHGTDDAFVDVELGRHAARVLRKVGLKVSWTEYSGA DQEGHWLKEPEEFDDIVCFLESVSSSIY MYCTH_2121801 MVSFTLLLTAIAAAVTTASPLEVVKRGIQPGTGTHEGYFYSFWT DGRGSVDFNPGPRGSYSVTWNNVNNWVGGKGWNPGPPRKIAYNGTWNNYNVNSYLALY GWTRNPLVEYYIVEAYGTYNPSSGTARLGTIEDDGGVYDIYKTTRYNQPSIEGTSTFD QYWSVRRQKRVGGTIDTGKHFDEWKRQGNLQLGTWNYMIMATEGYQSSGSATIEVREA MYCTH_2071955 MALRFGLVAAFAAVLVSAQAPPGNSSACTAKSFSIPSWLIEDVK YADGVVSFDVSNRAIDFSESLSCETKEKGSSACSVQGKRWSNSSLEASVETSDDSTTF YLKQSWACNDRGKTLTFNAAGNVSAAVDYTSPLLVRGSLTSPLAITPAYPDGPKGHDS PGCTSKSENPSWTLSAVHFTDQPGDGEDTPPYQNFNLLVTNEANGYQASCMPGGSFGG QPDLSRLVCAGYEFQQGTVGQYPIVTEASFDPDTATFTLNQTWYCDDTDAAKPLQIPA TGTIELLLKCTTSEGPDNQTNRYCTTEEASVTLGGSLGTVTTLRPYALEDPVVPTRDG CTLTSIFNPRWQFSHFATTAAAAAAVSFEIILAAEDRGFQYPIPVYQGGKKEGEEEEG WYECDIGADGGNGLPLWPYRCSFRYDADGQELELKADWACQDLDREHPVNFSGVTKTT VNATLTCNTAANGRLRCTTEDPGYTWVAPITDVTWEK MYCTH_2312981 MRSARGFVRPKADFMLLSLLTLGIGSFLFHATMRQTLEFADEFS MLGLTWSLLQAGLTAGQPAARARRITAALAAAYLSFSAFYLWSAEIIYQVFAFTGALL GVLLRSQYVFHLARPALPEAKWRDWNRRTWKALGICVFGYLLWTVDLERCADLRALRE RVGLPWAWLFEFHGWWHILTAVGASQAMQVAREVREELKTERRSSSRSK MYCTH_19035 YSIPSIDRSAGLGLPIVAPIHLVQSRGGPLGFPRGVVWGMNTST TPHTPLETVLLFRGVAKYGLTDDDFIRVSEALQNNGLIKNGPTYDARRLTPEILRELF LRLLREELRNESENTPGPDGALSPASKKRRLQSPPLLTLADAREHIEKIEAAYSKLYN AYLRHAIHEIRQFEQQYERLQGEIRELEKLGAPEPESEAKPQSPSAAQAPAKQDPGPA NGVGPSPGSSPKPPQAAAPLSISQQPPDRNLQPLAFQTGSQQDAKTAPSPSPLPQTTS EKAPTVPRSPVPAHPESVASPQFGRQEAPKAPATKPAVAPKPPNGTPHQVLQAPQSVA QFQPAAPSPVPAGTAEGLQRPDHGAASKQAPAHPPTTNAQPPAQGQLKWEPPYQPNAP PRHVSNTHPQHAAQVFSPQSHLAPSQRSQQQPPPPHPSPGSIQGQASSRPLPPRQALA PPHSAGQLAPPPQSLVVKSPADAASGPNRQQPVPSNQPPGSGPQAQAQAQPPTHPQYQ AYPVSTQGPAASGHPAPQAQPSHVAPSPRQAPSVVPPAPVPPVTAPPRPSPSLAAPQP PQQPPAIQPPTHTQQHAQRGAPSPAPGPSEAAQRYNPPYQPPRPAAVERIHPRHPAAA STPTPAARFGPAPSAPQTPSSMAVPQRLAGGSGTKWVSNSTPSTPKPGIEFRLGYDDV PSPAYEPTSPVLHPSAPPAVKDPQKREGPAETPKSKPGRLPASQRAQAASAPQPGPRP PSSAQRAESPAKQAAAVEREPPQVKHEANTPRLSTEAGDTTADESDLTPTPAQTPRAN QQQDAPPDSPATSTTTTEGPKFVLWTRSFNKVCGSAMEQIIHHRFANMFVAPIREKDA PGYHKVVKQAQDLKTIRAAINHGNRAAAQAAASLPDGDPGTSSVWLPRTEDLVPPKSI INSSQLDRELAHMFSNAVMYNPDPHHGPGPAFLRGEVDDDEDGGGGADGAGGGGGGGG GGGGGGGGSGHTHAQDSGVLGYKVDEFGVVNDARAMFVEVEKLLSELRSAEVRRNVPP GGPHGAGAG MYCTH_56717 MDFDRLSIDERKIIVGIDFGTTYSGVAWAETQRPDRRVAITVWP ISKTTPEGESSDKVPTRLRYNDGEPEWGFSIPVNTPPEEVVEWFKLDLDPSLQSMGTA VTSAARRGGRDVDRLVTDYVSALGNHLMYTLREKLGEGVVKSTPLEFVITVPAIWSDL AKEKTRQACQKAAGLSATKAPIHLISEPEAAAIYALHGLDPHGLEVGDSFVICDAGGG TVDLISYTITSLRPILEVQEATPGTGALCGSTFLNMRFAKFLKAKLGKLDGFDDEVLA DAMERFEKTVYGDTYTIPVGGLANNKELGINRGRYALKLSQASDLRVIFEPVVQEVVK LVKDQISASKVPIKAVLLVGGFGASNYLKERLRGAVDPSVQILQPPNAWLAVVQGAVM KGLAQSAPERLTAVRVQNRRARKHYGTEWRVRYDEKLHAHLRHKKHWCGLDGCWKVYA MEWFIRRGDVVSENEPFYTRFVWTGPVAQGHVKKIRMDIYADLTAREAPFVRDENVSM LCHVEADVSHIPEEQLKKRKGSDGQWYYELNCKIEAVYLSASTQYTLLYNGVRYNSVT AEYV MYCTH_2312984 MAFHTNLPLPPSTTLTRSVSDTLNRKLNFLENDSDADLFSGRPG QSLGRAVLARISSDPSSSTRKVLTRPIEYPGNSPSLADDTNPTGDGIRQSFPYYSRNT NNRRRDQRSLSESGSGIRGAYSRSLTTDTATAQAVKTARYPVLYEKLKAPAAAKKALK IWKERKQGAVGEIPAGALALETHDRPLLRDGRTASDNNGSGRTAQVQDPGEVYRCDRT PLPSAASPVTAPSTSGALVASRKARAANDFPPQHMTFFGPLLEQTKRGRRLRITCTLE KSYPNFHIECDYTYVDKSERVNSSQTDTRISSAVIRTRENIWIVVFEQMGYNEEFIKG LVGETEYARLDGDVGEPIKGHGKTSDLATVKVHKKIKIPEGFDLGGIWCKAADFYEMR IYVPAKEYKHKE MYCTH_2131244 MSKLYLVRIRYCTYLIRFLLLTHSRIRVSLYVLTLSNHLCAFTF NSAELSTAIESSLGVTGLAFFLTTVIITARRSFDLFHALCVFNLLAMVGLSARPVGRY RAGVVRRVAFLAFYVLAATGSLVYLIYVFATAPTFGDRPECNSRVVYVLFGVDISATN PILRWLFVGALAFLLVGLGCSLLFVTCVGVDMIFGRDFRDFFFGGGGGWDEDGAKKRP SVYQLVGYVAGTIYLAVMLELMIRRNPLGPGLDEWTFGQVLAMTMLIGPLIELGSLLL GKVDGRGSNNDNNQLISIAPRARQRIEMGSVSY MYCTH_2312987 MTRLFDVGLATAAAAAAGAAGAHAAGVPLTTEILRDAAVGGALK SGAMAVAGLFMLARLNTPFIVIPMLLGTTMATNVLLVAALANRTLGYAPTQLLVAAVV AGIPLGFCPVYYYGAFRVPITFTSIPFDVLGAYTFVKMADNLGHPICPLRPALVAGAV FGTVFSVGLTLFGCWFIGRPRIIRLSNAGNSLSGHASCCGNQVVFNSVTRGAIARPPV YGSLGSAGFGVVSRRTHALGIYWEPGAVGGGLVLHAESSANDTVNYTTGGAEFFRMA MYCTH_71609 MLRDFITVYLNNILVYTSGSRKDYIRLILDLKKYAFTIKEVKYL SYIIKVG MYCTH_2312992 MASTIAGASGRVYVQGESLRRHCEDPKFSIFKAESGNESFVLKR VSRPFYDLSQRLAAEFAGSRRLRIHVDSNQEEGILFYPYFRDTLLSLIQRDPDFPVIE RKKILRRVGEALQELHNKNWIHIDVKPDNVLVNWACDHNGNKTVSEVVLGDFDIAFKS EDGRPRQTPYAIGNVTWRSPEGQTGRGVTKASDIFSFGLVCIYVLGGADLLLLDDYQE LAKHGISPEQEILVRHFSYFGPVTEGLLRQVDSEDWCNALKGASEMAEVAVKEQPELR FEWWGKELGPEAQNMISGMTKMDPMARMTIDEVLAHPWWEEVD MYCTH_2113928 MEGLNREFNGEPVKELSTHEAPLRKRPGDLPLNEHFEMLWQPTD RSTQSCSRGLSSIDARGSLSALHNRGNVENVGSQHLRPSQSRDDLVLSQLQRSKEKEL EQRYQREMDELRAQLREANHRAKQAERAVDQLARELAVKSNEITATVGGNLAEMIDAL KTENKGLKRELDEARSHIFSLQPYRKDLTPKEVGQDFDDLVHGITDWISSFMDPILDD ENKRNGVVEAAKKRPADIQKLRMYLRSEADLAYGCMFPETDVDILIAVTMRWLQDQIF QRILFGLVPEAVEIISFVEGSMQTNVEPKRDLFALRTWRAEALNALICSPDYQQARLG RIRELTADVASLFKVFNHDKDWNRLCHACQESIIKPALKLHEKLMTSTHHFYLDLNPY IVWNSQQELEMSPEFLQDLPKLKCENILQNRRPFGVAKLEPRPSKQQLYKELLNVATV VPALYMRKVGKGDNIKEPTVVRMQHVLVAWGPEEKRKRFLANGERTLLHQLCFTRRNR QERAQEGDG MYCTH_2312994 MAQHSPSSPHYRHQSSLENIIELQPELPLSPDARDRARRRFYHI VDHFEATAENGNSNRDNGGNNGAGGGSNKPPYNRSRLIRLTYDHARSPLSQDNFLRAF FGFLELSMDGNEALDEQISEKFFSFADYLFYNFFLPLKASARKTPQPSPASHSAIQRL QGERESVGTPHRISALRARCLIRDRHRCVVTRRFDSSEALRRFASAGDDARDDDGNLL NEEQPGSFEPLEVAHILPHSLTRLGHGEELNSAKQAALTILNMFDHGVGHLIEGVEID RPRNALTLTHYMHDLFGNFHIYFEPVPDAEPHTYRIESFLRPAFVRGLLPVTRTLFLT DSRTIDPPSARLLAIHRAIARILHLSAAGAYIDNILRDMEDAETQGVQADGSTDLGLL VHLGLWRDGVDSYVY MYCTH_103867 MASAAWPPTTSFYGPISPAILGSDWDEVEGEPQAQPQPQSQLQQ QQQQQQQQQQFRQQSKITTASLRSLVLDFWGFNAALLDDFFDDGASELSGMATDGGSV AGDEASTGDGNLEPHPTQLVRDSNVGSAAPATRNKRPETEESRSWSRRVARSRDTSAN RSEPDRPTNPYTAAIEEKQNAMTVEFLRSTAGIRPGDASPIPTPDDLGSGQRYKIAAE TSSVVISNQRRLPDILVGERECIVCTDTKPVSEFPTVGITKACNHEPATCLACVATSI RTDLNTRLWNEIKCPECRETLEYDDVQRFADEETKERYQTLSFRSAVSSSPNFLWCTA GCGYGQIHEGGESSPIVTCRLCSHRSCFHHKVAWHENLTCDEYDSLLADPVNFRSRFD AANEEAEKAAAARRAQEDADRAFAQALAAEERRALVRQRAEREEREKREKREREMREL ALRRKQDEEASLRTVGTTTKPCPGCRAPIEKNAGCAGALCKYEFCWDCLASHRRIMET DNSAHKEDCPWHPNNLED MYCTH_2312998 MTMRLFLVRHGETVDNVAGIYAGTHDSPLTAHGVLQARRLGAHL ASRSATIGPVRHVFASDLKRAVETAAAVVDAQTAAVGGNQPRSQPATSDSDSDPFRVD SGEKDADSNKAADPAASLKLVELPELRERDFGSAEGEKFGVDLTLAGAESREQMRQRA AWFVDTHLVPLLTAEQVASGGCIVVVAHGLILGSLLRVLLARYGPGQLARLAGGKMPG WSNTGYYELIVGAVSSSPVVASSSGLASSGSSAGVSGERTSKLTLPTPSPQGPHITLS VAGVNVLKHLEGLKKTRGGIGSARFDKRQRTMDSFFAPAAKKAKVEPAPDVA MYCTH_2313004 MPRSDEAQAFFHAVYSAVQEIPPGKVTSYGHIAKLVGTPQRPRQ VGVCLKHLPSDPSARFNHDNVPWQRVINAKGIISPRSQPSGARNQAAALEAEGVTVTR SALGEFMVDFAEYGWFPRILPSEEGQGLDVSDEGDDEDEEARDI MYCTH_2313005 MVNNQGMRSSLQVAPLTIHKTRGGGPEKPSDTIDPTNDLSDTQI TPPATPNESREDCDAPASNPVFSNFLRAFYPFHPDYVTSESTVTLPLSEGDVVLVHSI HTNGWADGTLLANGARGWLPTNYCEPYEPEEMRSLLKALLNFWDLMRSALVNDNEMFG NQDFMKGIIAGVRYLLERTHCLTKESPLVQRHEGLRRSRRSLLSELSSLVKTAKRLQD AQRMIGSEDINDVVDEMILRAFKIIIKGVRFLDILEDDRRSRLPAVTILTTLQEEVPV PLTPPADSGSFHAAQDHTGPAESSMIEPSRDSGPTPSAAGHVAREQTPSGNRLSSGYV QHVTNGRRSSQNSLVQTNRLSSTISHRVSLAGLSTCSRPQNLVSERLNSCHDIFLSHL GSFIGRLQLQSQSRPSLAVAVKQSATSGGELLVVVDVVVAQASIGIDALEQARTAMYN RIHDLVLTARDILSKSEPEMEDDVIVPHDNGRLLGAATGCVKATGECVAKTRSVIERI GDFEFEFDHGSLGIDFGLSDMAQGLHEQSKPSGAELPNIAESTVPEAGMADSTATSVA GSAAVAAQARSLSIDKPLPELPQVASPVSEQLMPQSSCPPPHSESSVPEAGSSNGAPP VSSLRPDLPPLPRISTTSLPAESYSPTEPSASQEAELQSFQSGSMTATSAGSGSTYIS RDSESSIVSQASTRATTPDNSQAPNPTPKAQPSLSDLSISESLAPTEDEEVETRLLEK TYAHELIFNKEGQVTGGSLPALVERLTTHESTPDALFVSTFYLTFRLFCTPVKLTEAL IDRFDYIGEAPHIAAPVRLRTYNVFKGWLESHWRDETDREALGLIKQFAEFRLTPVLP SAGKRLLELAEKVSVVDGALVPRLVCSMSKTSSSAAQLVPAETTLPAPVISRSQVNAL TSWKAGGSSPSILDFDSLEIARQLTMKQMALFCSITPDELLGSKWTKFGGAETPNVKA MSAFTTGLTNLVVDTILHHEEVKKRALVIKQWIKIANQCSQLHNYDALMAITCALTDT SIKRLKMTWDAVPVKRKEMLKALQAIVDFNQNFKVLRARLHDQVPPCLPFLGMFLTDL TFVDVGNPATKTSDTGLTVINFDKHTRTAKSIGELQRFQIPYRLTELPDLQQWLTAEI ERVREKEKTGANTQAVHYRKSLLLEPREAQQPRTAIEAPSSPVGGSMFSWMRGNSTGL NGLSVQI MYCTH_2313008 MYDFVVWSSCVGYVTILRWWIETPLLGQWPRSELHHEVVKVLGL QLSGGTSSKKIVR MYCTH_2313009 MKPSRRLNAALGAAFLVDRCLAAATSGVFNVLSINVAGLPAFLN NNDVPGDKATNAATLGSKFAQYDLDVVHVQEDFNYHAYIYSTDTHPYRTATSGGVPIG SGLNTLSNFPWADFRRIKWDKCSDASQFDCLTPKGFTFMRVAISNSTTTAGSSSSSSS SSISSSSNNSTAVYVDFYNLHADAGTEEQDLVARQDNINQVAAHIAQWSAGNAVVVYG DTNSRYSRAGDTGIRALLAASGNAGGPGMTDAWVELEHGGVVPAAESACGNPAESDTC EVVDKVFYRSSPLVALRATTFRYDGARFLQPDGKNVLSDHNPVFVEFSWAAGAALRQS DFSGGAAYGTWFSDVPTLAAISKPKPQLLRFRGGSRLDGVALTLTDGTQLSHGGTGGT EVSLELGPAEYWVEAELCRGEKDGKTRNFYIRAATSAGRTLAAGTRTTDCTSSAAEDG WQIVGFVGQDGDEIDLLAFVYAPR MYCTH_2145809 MADEKHATEPTAEAPGLRLEDTTGPIERPKGWMYKGFRIGGKEI WYASPIVQLLMVAMVCFLCPGMFNALNGLGGGGQVDATAQNDASTALYSTFAVVGFFS GTIANMLGVKITMAIGGLGYCIYAASFLSYNHNQNHGFVVFAGAFLGVCAGLLWTAQG TIMMSYPPEQKKGRYISYFWIIFNFGAVIGSLVPLGQNVNATGTTNVTDGTYIGFIVL MVLGAALALALCNADRVRRDDGSKVILMKNPSWKTEIIGLFETITSAPWVILLFPMFF ASNIFYTYQQNDFNGAHFNTRTRALNNLLYWLSQIIGAIIFGYGLDMPKVRRSVRAKA SFVALFSFLFIIWGGGYAWQKQQAPREVTEDKNFVGYDWTDGGKLYIGPMFLYMFYGF FDAAWQTCIYWYMGALSNSGRKAANLAGFYKGIQSAGAAVFWRLDGLKTSFDTMFAAS WGLLAGALVIAAPVIFLRIKDTVSIEEDLKFSDETIQDVVPDAVPAGDIKMVGKESSG SA MYCTH_2313013 MASSMASAALKSRIKRPSMLKKLCQPQDLLQHFPNGAYIGWSGF TGVGYPK MYCTH_2313014 MPTFLADHVEKNNLQGKLRYTLFVGASSGAETENRWAALDMIER RSPHQVGKNIAKGINEGRIKFFDKHLSMFPVDLVYGFYTKDRMSNKIDVAVVEATEIK EDGSIVPGASVGATPELLQLAEKIIIEVNTALPSFDGLHDITMTDLPPRRKPYLITNV EDRIGSNSIPIDPEKVVGILESDYKDQTLPNSPADEVSQKIAGHLIEFFEHEVKHGRL PPSLLPLQSGIGNIANAVIGGLDNSNFRNLKVWTEVIQDTFLDLFDSGRLDFATATSV RFSPSGFERFYKNWESYENKLLLRSQQVSNSPEIIRRLGVIGMNTPVEVDIYAHANST CVMGSRMLNGLGGSADFLRNAKYSIMHTPSTRPSKTDPHGVSCIVPMCTHVDQTEHDL DIIVTENGLADVRGLSPRERARVIIDKCAHEVYRPILKAYFEKAESECLRKGMGHEPH LLWNAFDMHKALSEEGSMQKVKLW MYCTH_96419 MTSKRLRRCPYKKASKTPPKGDVDGRRQGLPTAEAQLRRLETAR TAYRDREVDVDAMKRYLADLLEFEVIWMNLDRPVIRYFTATVEARRIEWELWLEGLEK AGTNTWQKAVNVSRITGAAPINGLIRRLQCTDALLNTLFRALKGPGAENRSQFSTEYA DTLLLVPTYNARSSTKDGSNMWHVLVTASSGWPGIRYSEDSEHRKKEGLYSQGSLEHY NRDSLVFTYPLDWSEDKSLLFAQELNVFHMKKRSNTRRWMMEHK MYCTH_112399 MRFSVLSSILANALSASAGTILWDGRFNDLSSSKDLEKWSWANQ VGPYQWYIHGSSSVTAYVNLSPDYKNPADSGSKQGAKITLDNTAYWNGQNMRRTELIP QTSAAINQGKVYYHFSLMRKNTNPPATTREHQIAFFESHFTELKSGLLSGAPGESDSL LRWCVGGQTQWSTDWEADVWHNVAYEIDFSANTVGFWHSTGSDPLTQKVAPISASTSS NGADWHVGVLELPRSGYPDTTEDYYWSGVYIESGSITTNIAGPGAPSDGGSGNSTTSV TVAPPSTTLATSTTKASSATTTSAPATSTTAGSGGCTAAQWAQCGGINFTGCTTCASP YKCNFINDYYSQCY MYCTH_2313019 MSTEKSLTPGLQPDDERDIGGYVSEHSNDDSMSESDKERDLLAH HGQDPALSRKMCLVNNAIDELGWTPYHTKLFFLNGFGYAVDSLVMLLQSVIAGQAYLE FGQHGYETAMTIALYAGMFVGSLFWGFGADIIGRKHAFNFSLIICCVATIVAGGMPNW PSLGLFISLLGFGAGGNLVLDTTVFLEYLPGNKQWAVTLMAAWWGVGQAITGFIAWGF LVDEKWNCSSVETCTRSNNMGWRYVMFTSGALVLVMSILRLTLVQLRETPKYLLGMRE DKQVVDTFEYLAKKYNRPCSLTLEKLEACGTLSVEAHKKFSFSEMAGHLRGLFITRKM SISTIMLWLSWIMIGLVYPLFYAFLPSYLASLGANLNVTPFEKWRNYTLTNISGIFSP ILAAWMCNIPLLGRRYTMLIGALLTSAFFFAYTAVRTKDQNVGFSCAIAFCLNIYYGV LFAYTPEVLPSAHRATGNGVAIAGNRVMGALSAVIATEADTATSAPIFICGGLFAALG VVAALFPFEPYGRRSS MYCTH_2131264 MGSIASNASITTDPRRRRGPVGIPVGALGGRGVHQPGRRAREKT AQVRLIREIYSRPVRMLVWLGEDGGGRPRCCLRRMSFVIQEVALADDGVPRLAICGDI EFAWEDLARVAYRLGVSSGNIPAGKWDNILDLRRPWLNMIRGLVPKERLLEWYIEDGW ELLCKFLGKPVPDVPFPHANAVNGGWKAREEQANKRWIERAFLNLILLGIGLVIAILL ARLYLF MYCTH_2313022 MAFHGLLLSCSRIYLEAAALLYSANEFVLHYETPGSLQPLLALT PPVLSSLASLKIVLTQTACHHPAEKTWVYLDCCVRGYSKLHCRRFHIGIHQLPLPHSP PGADNDDPSAAATDLLSEWHAAASHLSSHITPGRLELGLVCDIDPQHQDAIDLANRAL EPLRHLPLLRDCHIRLCETPNPRLSRLARDVVMQSCGITLPYLEPVPGKTTFLTLPRE LRLRILEYTDLVTPTKEVWWCRKDFGYSWSGNQGRLACVDPWRWECPYNSISECWFRR KTHVVTMSERSIGCFCRRRHAAISTTCTCWAPPGPALFLVSRAWCRDAQLVFFSANRF VVHDLDIAYPQSTDDPGAYPHWKGEIIDGDYPFRRFAASHFLREIIPPHCIAYLRFLE LVFPFYRHATWPQTDHPATEDWRDTVAWLRDKINGPALTMRLFVTEEDEIRPPGLPHT VAERDKVYRAYMSILQPLRQLTEGANGLAGFYTNLHVYPQRWIENVSGIRAGKMWERE HKALKEKAERYVMGDRYDSLYANGREEPKLSVWRHVDRFHFEFDPRMEIRSPHTGLNE MYCTH_2313023 MDKISNLAGSGIAGGNKSGSAGASNNAAAGQHNDIGDRVFDAAA KKSGLNVSSGTAEKITDSARGVFEKATG MYCTH_117150 MPEDNRQTKNILITGAGGLLGPLLAARLLSDPQYRVLLTDVVEP AVPQRGTAASSPSSPYYPEHATTVRGDLTSPEFVGALVAHPAAQPRLHAAFLFHGVMS AAAEADPALSLRVNFDSVRLLADALRARHPGVRVIYASSLAVFGPPFPTTTTTTTTTT TTAATAPTTDGGGDNSDSGSGSNSGDGAVRRVKVPPSAWPPTPQSTYGAHKLMAEVYL NEAHRRGWLDVVIARLPTVSVRPGKPTGAASSFLSDMIREPMAGRECVVPLRDRCFRA FLASPATVVENLVRVLSWDGRRALALLPPHARQVLFPGIAVSIRELRDALARHGGEDK LRLIREVEDEGLERILRSWAEDFDIDESLRLGLAVDESADALVRQYVESLRA MYCTH_2313029 MSSSKQPLEAEGKSRPSSPSPEVVPPAEDAEQDDFERDSVRRLR RRMRKIARAKGKPFPDSPPPLPSLTESHPLARELLDAGGEVIYKKISSWVVKHGCGTR VTKFDRDGIRPSEVEAMQFVSEHTTIPVPRVYDVGEKHLTMELIEGETIAKAWENTLS AEDRALVSRQLRDYISQLRAIKSPDGVICSFGGRPAIDTARFYPLEGGPFADEAAFND FLLTGLAERSPNVPGIIRGQMREDHEIVLTHGDLHGINIIVRPGVGVAAIIDWELAGF YPEYVDLARLFSYADWTCGYYHELLNIFPQRYDAECVVEMARRSWFR MYCTH_2313031 MFGRTNRATPSRTTSGGTARSVSSSNRGSGNGYATNSSVTATRR AASGSGPSTQSKTQGGGNKSSSTASSTSSSSTSSTGNGYRSSGSARSTSNRNDKKDKK DGTEEEELAEYQKLYVIVFSNGSYDSYNKRHCALLIEHENAAGLWRRNMIDIEGAECM WRVRESVNRNPRNSVNYHGAFPVKSFMVGHGAQGRSDRQLRDSIYNARIDNEDPEWNC QNWLEGALHRLRAARFLTNEEVESAINAALDMVLEAPREPISLY MYCTH_2313032 MAPLFCYSVKTSAALCAALLVRAAEATDGATRRPAELAQQPMFG THCLPGPFQLDAYPTCVTAADEGDRPWAPWTSRPYCADNTSYCVFTNSHFRGPNRGVS IIDVRPSGSDNHAASALTSIAEFLSSLAASPGSRADEPPSPFEVRDIPRKGKGLVATR KIPRGHSFMVDYAAIVADAQLPRLVRRAQGLQLLKEAVERLPGADEVLGLARSSSDPD NVPVVEDVIRTNSFTVEIAGKDYMALFPRIAVSGLATCS MYCTH_2313034 MEKAVGLYAEAVDAVREEGLVPHLGGHYQVLGQLWAAAGDVEKG REWMKRGRQETAAFEEPKEA MYCTH_96411 MYCHAANILASKVLVSCPTFCISSCRRDASKSPPRLSLDLVTTD GSDTLTSNLPKLLTLSGEFGQDILVLYNIQEARTDHNAFVSRIPPPAGRAPKSPLFSL APTTTTTTTTTTTTTTTTTTTRMNTPADALVLAQDALSVAVTAVTAARAAHARAASRL SSLRAQLARHEQSSAASAAARRAAALGRGRGRGRGRGGRGRGCGGRGGGGSEGCGDWR AAAAAACEERERTEALRGLVRDAAAEERARGAEMQVAERTLARAWALLRAVVAGPSWW VDMVVDVGMGRTEVEKGMGMMAVDGGDGPAAGAAAGAGAGAGAGAARTSQGQQGGEES EEGRPAGLRQRCRQQQQRQRRPGALLGGTGGGGVGDRAREQVAVGDAPRPLPDRGDDD DGLVGWRDGRTVLDGDQYRARQGIRDDFVRLVRERAEQSTSAEEARAKGEVASADNAT RLWWEAEDGDEDWTDDEIFIPAILPRRTAIRREGRREHKGEPLYLIDRP MYCTH_2313036 MLSGSQLPPSSGDRPRCRGCDHPRPAYTGGYTTVRSRLSTMLAN AEHAGCDVCAILSEGILKFLGSEWSPLRREDADELLVDFNLAGSRRSVEVTFLQTSVK LSFFASEITPWLAENMPDLPLGNDVPSATWSDESTAWAVQQLEHCKRTHESCNSFPPA PLPSRVLDVLAHGGEGVRLYVSQGETAPYAALSHCWGRKPFLRTMSGSLEEHRNEIAW ARLPRTFRDAVEFVRKLGIRYLWIDSLCIVQDDLDDWRREASRMASVYQNAAFVVSAA KAAGAYGGLYAELPPTHRPLTVRFKSGGPQERERQREQEEQQEQQREEEVVVHVRRVL SHPHRVPSPYNAPIPPLPIFTRAWIMQERFLCPRILHFGPEELSFECLESTACQCTAP VPSRDDDQEQQQQQPRPPPWYYRHLVDRVARPKHYYSLRHWQSPDTSADDLEACWRRL VEDYSRLRLSHDRDAFPAVSGLARQMQSVRGPGPGSGSPAARYVAGLWTDTLLRGDLA WSVHLPPLIPPRKDADPPQAGHDNDNNDNGNDNNNHDDDDDDYWAGVSWGPARVCRPR GWRAPSWSWASVRAPVRFADGHGHGHGHGRVEAAGWCEMVEVRCEPAGADPMGELREG GSWLVLRGRLVRTGMRFRGGGAAAENGELGRRLQQQQQQQQQPWDVMTLDILAEGGYL ANVTLDDDCRGLVAADGALPPVYLLLIGRGKPGSAWFFLLLARVPEEEEAEDGYSEVR GRAAAGDGHVYRRLGLVEVSGGPPSLGQDKGVDSLLGRGEDAVVTIV MYCTH_2313038 MAPTPFVCTSCARALRTNAVARTNALPRPRRYLSQSAPAATAPP AGTAEASGSSSSGCQSSNVPDSPPNPSGSTTDSGSEPGAMTSRLEQATEEALLTGGRA GRRAIEEAGFSEELKARLLDKVAGAQFQHEHSGALAEAGITSRIPDSAGPGTRAMATA RPWTGQETTEDAVLRMLDDAHKPLAPGQRGKGKPPVPRPVDMRIRREVVMTPGQRAAG ARDKAQAYAGMGLKDIGLTEEEKEEMRREFRERFTPGFRAMPSTLTGLASLANERIED AIARGQFKNIPRGKGVERDSRADNPFIDTTEYIMNKMIKRQDIVPPWIEKQQELIKAA ENFRGRLRNDWKRHVARMIASQGGTLEQQMARANAYARAEEACNPRRRGPEQISIPTS TTDDAVKLHTSAPSSPGPSPSSSSSSSSASSSSSPASSTSQEEEEAAAADRSLPTRPF RDPDWEAAERSYMTLAIDSLNALTRSYNLMAPELARKPYFSLERELRACFADVAPQVA DEIRARATRPARDLVNDHPFGGGGGGWGAGKKGGGQGSGGGGGGLGSSLFGGGFSSGI SVEEGKEKEYGFREFWRDLFGGRSKSKNKQG MYCTH_2313040 MIVRLGTWADLEAMTAVLIAASPLDPVYPYRFPDRHLYPAEFAA VCRQKCVEYLETSTVVVCELPVDAYGSASEVVAFSAWDLPLAAQPANAPRRSTVGNLT RQSAFRAALAEHKQTLFDARYGYGGHVFLRILLTHPRHQRRGAGTALASWGVGRARAL GAYATVFASPMGLRLYRRLGFREVGRCRIRVEGDAETLELPALARPPGPTGAMREATE GVAAKLDGLVCGRRVSECAVGGGGGGGWDGRDGRDASTVCG MYCTH_117146 MWRLPATAGLDGSHRFPAATLGSLWSGIKNSHIKSTTLAQRNAT TNASATSPTNSPILSMEFSRKELEDIQRVAGLLKLTVDELLHQSRIQAEKHASIPSAY DSPLTRPQHGFGQQRPPEHQLGHTPESQHEKCFDLVLDYSDLGDPQSDTFGSESSEQA LPPPASQLQRTEVILLNPYTASYECDVAFWGSDIPTGQGFAFDDNVTISHLAAEDESY VQVTGRESNSGSIFEHIQGEGPQSMVDDSSADWAIVSASPDSTAMPISTPSASSPDRR YYKIAPRNAKSSSQALSASSTHRIKKKRRAYEGRKRVDTHLTRQLHACVRCRMQRNRC IPDPDNPRGPCFTCQQRTMRMSRLPCLRYMVTDSTLFRTGLDYMPFYRTHPMVGPRYG DFHLERQWTDSPPKTLCLGQIGAIYVKIELRGFIPPANSNDVDLKGRPMYAVPWAIAD PEPVVEAIKEYIDRAITAYMAAYLDDTDPLVWNIFQVAYRASVFPVPNEMLKKTLRLW VACRFIESRWRCWSETGWADDEIQAMNPKDPFYQDLDSPPPYVDYQWTSIVIQRILRP LRKDVLRILQNTLNNHDSKDWFVTFLTCFILLQNYEMQMLFQRQFAQRRRAPLFTPEF DWASPKVCKMARLDAEQSAFMSQCRDIVVQRAVLLGQQGQAWPDLAIKSSCAPRYFPV SHAWPAAMEGDMAWGPASFNSEDDYTLTLTEDEVLEVKSGLEHFNELGLYGSEVTPST FPLPTLGPKLRQLAADIHCGRGFAVVHGLNPNEFSPEENVLVFLGISSYIGVQRGRQD EDGNMLMHIRDAKLSKTPQQDRPTRYSSRASTFHTDTFCDILALQTRNNAAVGGKNLL ASSWTIYNELIKTHPHLRELLSQPIWPFDSRGRFLPSNIRPILCYHDGRIILNFAREP LLGLNGVRRAAGVASLTEEQRRALDVIEEIAERNQVVLEAQPGDMLFINNHGVLHSRE AFEDSQEAPRYLVRMWLKNPELAWKLPRALQAGNARIYEENELGEQWNIVDAPRIMFR LSERLSS MYCTH_2313063 MDLTSEVFSSMLSPVAAFLRPRRGKRSEPIITTQPTTTNTTATA TTTCPTTPSITPTPWQTSPLNPQSRLDSLAPLVVPPPDPMPPPTPCTAVNANSASSAA NKKNANNSHQTRQEEREVQHHQPRRATPPPPGRGTCSTHHHPPRTRTRTREWGSRSSS SSSSSSSSCTTWQVDAVDGRGRLWAATPRFALGRPPLRVAVCLPPPEAFPPALRAVVR PERAMVVCGGGGVAADLEVGRWLARVLHSWSCARGGGGLGVGLLAVGAGVGEEGLGCG LGEEGEGKRTKQGVVVGEGDEKQEERWWWELPFGSMVAVDFAASEEEEKKEGEEEAEE GSEGSRRQSPAAAAAGRVQVCLLPGAGYVAEQGMVGLETLRRMWEGEVASEAWEGLRV VEWERLRFRKQLHDAISLVGVDDGDGGDNGEEAVVVLKSVLREQRYMYNELKMLLLLR EHPNVMSRPLGVVTKKGRFGSRRGVCGFLLEWFPLGSLRERLLADDYGRTTPMTQRLR WAKQVTQALVHINEHGWAGFYPDLKPDNVVLKEDAATGMLNAVLIDMEQRGGWFAWSP PEVAYVEYLEILVDESGLEEGEQRDEILELLRAYYGDPDWKPGAASPRFHNAKGGFSS PWLALLRQRGSDGKGSDLLERAQVFMLGKLLWCIFEGQPLVRCGIDHEVLRDPDPDYE SARSGKARAFPEFKRTPDVLRPLIRACTAGAPEWVANNQQRLPGVVLRGGKLYPAASD EQSYAQGDALDAARAYWAREVELAKEFVRDAALTMNRPTEAGHQEIPQRPVTLLEQAR TRPFFSEILAELDQIERQLVTEIRP MYCTH_2313064 MVVCPICNRSVKGTEINDHIDSGCRTFLLDESEEPTASSPPGGA QSYSASQPPSSTQKKRSASTFFQPPAAKRQLTNARPSAPLQWGSNGTKAGTKRSYDEG PGCDGSPTDLPNGAQNSGVGDDGNKDGSTASVADPRPPDGATGSSGPLTKRSKNTRTA PLAERMRPDTLDDIFGQDLVGPNGVLRALIESDRVPSMILWGGSGTGKTTIARCIARR VGSRFIELNATSTGVAECKKLFAEAANELALTGRKTIIFCDEIHRFNKGQQDVFLKPV EAGTITLIGATTENPSFRVQAALLSRCRTFTLQPLTEDDLQRILVRALEQEAEQGGIE ISPLIDEELLRYLSAFADGDARTALNLLEMALSLTNRPPDPDNPEPLTKESIKAALTK TLVYDRAGDQHYDTISAFHKSVRGSDPDAALYYLARMLQSGEDPLFVARRMVVIASED VGLADNSMLPLATATYTATQQIGMPEARIPLAHCAVALALAPKSTRAYRGLNNALSAL QEPGVAALPVPVHLRNAPTRLMREMGYGKEYKYPPNYRDGRVKQDYLPDRLLGRRFLE DRDLGTEVDPDVEMEEAAG MYCTH_2313065 MNAPSLLTRRAASICFSCRRNLLRQRQFSTSPCSLVAAFQAYTL PSRPPPTARNASVPDTSIATPIETPAMPKIHETRPPPKQGAPPETADKSIPEREAQQS KAGFAATPTSEPTSSPNSSPQPSPTSAPSSATAGAGAGTGTAAKPSARPRSRLRARKA AITLTPAAVEHLRALLDQPEPKLIKVGVRNRGCSGLAYHLEYVEKPGAFDETVEQDGV KVLIDSKALFSIIGSEMDWVEDKLSQRFVFRNPNIKEQCGCGESFMV MYCTH_117145 MEPSPLTHQPRPEDDSDDTERSEGFWKEFFLLRPDRPALRKILD ETRPNDLLQLQHQTQQLFVQAIAALRHPYGVADLHALDTLSTFMLAVLAKKYTNPSSD IIELLAGLDHIDNVFTEFVSALESIIRTGRSTTHECCPGGIGHVGQEEPRVGNRASCT YTADLQQRAVEVALAVTSGAYQTSLLTYFIQRDLFPAIINCIQSQISSPAPKISSPFV LLGLLANYNKFEFQNPYQMRLNDFVNERVIRGIVKSVGQTCQRLRAQYLDVQEDLPEG WSLAGTLSKIGLGAIAPGGKPPPKPAYDADTAKKMFAEFQLTPRFVRRPGREAAVLLA TYDFTHANKLFSLELVTWTPGKGEEQPFASFISLTSYLLQHAHLSQRTTLYCHLNLMV FRLLVEDPILCKRICSEDSKVPVRLCRQRSPYLPLVRGERVLATAVMDTMLDAINHNL RRRLDAGLYTVCVGILLRVVGYMSRSRTRLQYHWADFFRSLLSLVRFLTTYAADLKAL PHIDTLLDHVVNLIALGLSAGETFLPTPAAYDDLFYKVVESGEVLIKFRDTYGLANRA SNSIDTLVNVSMHYKEMLAGSDGGKGNAGAGQGARSQLTSMQVAEVIKQGYETLSIQA KEGLDDWEKYREADERTLLKKMARAAVGDVREMLAH MYCTH_2313070 MASTKERPRARFAPIPIETTFERYRKTIPTGEPTPSPSPRSPTP PPREKRKFAPQLVESSVRRVRRAGDEGPATKHTDKTDITPYTNHIYAPKARKRFGHGP PTEERPAQRGHGRRESCDDEIAGGVFDLAARDAQRKLQEVAMSAFPNSGQRIGGAEHY YMGEGSDDDSPRGRPLTRGPWNPLQSRRNSSQEDMGWAFKEMQEHAMKLQRARSQSRV DTWDLDNMSIDGPPSDAMGLTRTQRTSIASSPIWTSRRPSSGSLSPAGDSPMPLLRDE SPLPTIGESTMPYVPPESPPPIRPIGESFMPYIPSAPTGKAGAMAYAPASQIPPETSF RNHSPFAPYPAERDMSLERARRQHRLRLKASPPMLGADLTFRRCPSPKQTKLEPTHFW EMTAGATSEEQNRDPTEQRGLWRGYCYNPDPSKNLAPAERPAMLHTPQPDSPTSSSSN RDKDYFAREAAGSASSPLCEEPASIDGRGGSSRASTPSVPGAVPEHRTKSQEPKGLHM LQNMHALDEKLKKEKAAADLEEKIAAEFDDHFVTQVYNYLSLGYPATARQYDEELSKI SRIGIAELEKEDEAVMAGLWGANGAANREGDANGEKKKVGATGHIMLTEAKDGVREED RCPRWKALKLYVYEWARQHPDLNSISPLAWGVQERRGSWGV MYCTH_2313072 MALEAPSTDPAVLIAQETSDEYTFRTFTTETAWTLGTALRARIL SLPPDRRKPALISISLAGGGAVPAHIVFQCATEPGTVPDNEEWVRRKRNTVLRWGLSS WAMRMKTAAGLRAARAASGPSPTAGEIEEAFVSKYALASSSGGAVADDYAIHGGGFPV RVRGAGGAVVAVVVVSGLKQEDDHAVVAETVREVIATQG MYCTH_2313073 MTVRSSNAVEQAVEKALEQPDVGTRNPESPAAWPPNSTSPLSPA SHTTSTSMASSASPFSSSSPLPSASIAAQASTPLSVNTSDTSSSSDPVGGAKPPVAAQ GTRLLTPSRSMEALRRTGNLSRSSSSNSTVPVASAAAGPTTSVSAMVESFEQLHRKNS NSNLGYELLRTKSAGHHRPPALVSPPSTLSPSPSVSRSPSPAPAKSASAASEALTGDG LGAADQQKPKADVAPQEPNSTPPPQAAAATSNPAPSAPASGAAQARDGPSLPPSTAPA ESPPHTVIADTTLVRPTPFAGSPQRRSRALSNTIGQPIVRTSSNASVTLSHPSPDSNR LSQAGNYLGNIAALEATAERLSMTSSIEDAIREEHNELKRSESRRSSVLRTRAASASD NGSVHGQPPLSAASRQNSILGMNNAARSGGYSPGGFVMSPHHSMSAASGRLRSGSKAS STGVPSNIPENAEDTSEPPADHEHQPEYRFLARHGPGKASTRSVASRLSLAQIAELEH PTALTKEAFDEADRAAAAGEFPDVDDDIRNSARQIIEAEFAGAADEPMPERHDLHSGP TRRLQLHQPDQYHQYHPDHPGRYGAHRPDDDRPTTSGSGTTYEQAQAAFGDFDGVHCD PDATDSASQPEAERREPLLPPQPAPARPPTTYIDPATGQQMLYYPAPVPAMLNLPPKL SKKPKKPARDTRHSQVAGAVPKTVRQSRFHLPDPTAALRGSDDGGLSLGSLLGKSSED TDLTPRPSGTEEGEADCLARARRTSEASTIHPPAGQREIRQPQRLTDPENRKSRPIPA EGMPPQLRASAFFEMPSETPKLEVKGGSATDTLDTLLDASTVAPVSAFTDHVFAGKLG AEVYGPAKKKKAKKAAPQPGPAPAPDAKAKRKTLVKRNSSGALLEQGAEDKPKRKTLV KRNSSGNLLDPNSEKKRASRFSLFGTKSAHIESDDDDRPKSGRHSEHDDDDARSGSAS PDQLAPDEDEGTETESEEEEPVYQGPPTTLLAELQLRKQQDKQRTRPVTSVEGMRTTL LELNAVAEVERKARHGKRVTLAWEDPAAVQQRQEEEDDDDVPLGMLFAAKVAAPGSAN RSTMDISALMSEVHRPLGLMERREMEENEPLSRRRDRLQGRLTEQLPTSLTALQKRIS HMPGASAMGTRSQSRLNLPLPQGGGGGSRAGSMAGSRPGSAAGNPGEEVEPEIEGETL AARKARLAAENPLPRARPVSSSFSAELLREFGPEEKKTEAEEAEKKANHNSAHSRTTS RDTAASGASVPEEEETLGQRRRRLQREREAREREMAFSTLNRAATPLGFQPNTAGTIR PVTRPGLNPLTTSSAAPSTSLSQALNGVGGSRPMTMDPREQERLRREAEAARHQREME AKMAALRAQMPTTLATPTAGARSGGFMGGMFNNGGAGARHSALGMQPPPTAQYQQQRT STLLGAQGMAGMPMGMYGGSTPNLGVYPNGVAPSLSMNALPLSGASPGYGMPVGAGGG QGGHMDMVERWRQSVMP MYCTH_2313074 MNRTPSSSGLLPLPGAPSSSWALYRARLTALFRSPDVSVVAAFW LFGLINNILYVLVLSAAQDLVGTAVPKGAVLLADVVPSFATKLIAPYFIHRVPYAFRV CVFVTLSCAGMLVVAGAPADGAVGWKLFGVVLASLSSGGGELSFLGLTHYYGHASLAA WGSGTGGAGLIGAGLYVLLTGWFGLGVRGSLLVGATLPSVMVLAFFVVLPRAPLKGWK SGYEPVGDCEGLLPREEDEGFEDVPAGAASAGLLAPGPSVAATAYTHTHNSHHHGAAA PGKTTPSSLSSFWANVRRARALFFPYMLPLLLVYVAEYTINQGVAPTLLFPLEKSPFS EFREFYPFYGFLYQLGVFISRSSTPFVRIHHLYFPSLLQVANLVLLTLHALFNFIPSV YIVLLIIFWEGLLGGAVYVNTFAEIMEKVPAEDREFSLGATSVSDSGGICIAGFVGMA MEVWLCHWQVSHGRDYCRRIETS MYCTH_2113962 MALGENETGPGGNESAPATSAYAIVLICGGMVVICLITLLASCR PGLPTRDDDEDVERARIPFRLETLNRVSPPAIYREWKIGPNKSQLRFPWSMTCLTCVI CLEPFQSSSKIRCLPCGHLFHSVCILMWFLKRHNTCPICKFDYTSGRRARASRARSNG TRRLGPPLSSGPDSVRFLT MYCTH_2131293 MVQNGGDFDLDIDMATDVTAEQLLTQLSQLQQREITAIDETTKD VIEVAATSYKDKGSDTDSLGHDGNGKDEQAPNSELVTMDPETGLAEWDMAGEYAPPAS ILPALGQWGFTVTQRRDGSWTTDT MYCTH_71551 MITRTKRYEACVRRGRSYDSSGIPLSSYKHRELSEKLTRLRRLR QQKEFLVEKGTDMVARGLSTLDELEEVERQETPAMPSSQINDAVDAID MYCTH_2313078 MIVRTKRCEACVCRGRSYDGSGIPLSSCKYKEFLVEKGADMVAR GLSTLDELEEVERQETPAMPSSQINDAVDAIDWGAVFGSVPGFPLVDPDSAGGTVPVS MYCTH_96398 MVQNGGDFDLDIDMATDVTAEQLLTQLGQLQQRVQELDQRDKAA QARIKELENREKYSQKLEIAAIDETTKDVIEVAATSYEDKGSDTDSLGHDGNGEDEQA PNSELVTMDPETGLAEWDMAGEYAPPASILPALGQWGFTQSDEMKDEMRELRRIVETI KGEQPVIYDRPDSYTKHLDGQQLSNNVQNPEYQFMRANRGKDKPLEYLRSTYNGKDSR KNSNTFQATPRDYTRDTRHMHKCPGSSVWHTNADITSATNSKTITGRPDRKTGTETYA L MYCTH_2071996 MTDVAGLVVGVAAMWQTAVAVYDLVDSSRQFGMDYEILNVKFEV ERVRLICWGDAVGLKSLIVSRDSNEPHASNQVSNPKPDPRLNREEIRVAVIRVLGCIQ QAFEDTSRLQERYGLQPASGPGAATVLESEETGIPPSQTQRILHGVFKRAYENMRRVA RERQRDTPLARRTVWAVRDRRKFTVLVAELRAFNDSLESLFPD MYCTH_2313107 MEPASDSSTPEVVLDGDFLAQLNSADSRALLDTIDSLREIHVGD LVDLPQIIVVGDQSSGKSSVLEAISRVRFPVDGDLCTRFATELILRRANEVAVNVSIQ FADHAASTAHGGSARQTFQRSTFDEDTLPDIIRDAKELMGIREDGAKKFSRDILRVEI AKPDVYPLTLVDLPGIFHSETTDQDLEGKQIVDQLIESYMSQDKSIILAVVATNNQLA NQKVLQEAKKYDPERKRTIGVITKPDLAGSGSANERKYLDLAKGRENMHNLALGWYVL RNSSEQERASGAEDRDAVEERFFATGPWSSISPANRGVESLRKRLSKVLLDHIRASLP GLIRDIRTNLRTRQEELDRLGKARSSTEEQRSYLLSVAHEYQRLAHDAIEGRYSNNRK FFGGIGQSETKLRAVIRNSNRAFHAIMTTKGGRYKIRWEDKIDANDDSDESPEYLRDL IEEYGIPDPETKDESTLKAELQSLACFNHGREFPGEANVDLALQLFRDQAEPWQDIAW KYLKHVLRVTQEFVDRAFVHVIGADEKTQETIINRYVNPFFERKEEELSGKLRELLIP YTNGYGPPLEAEFLSKMRKRTDDRLTIRITRRLSEYHEYNQSGRLSEDRILQTFRDLK DPEVDEFGTENVINLMTAYYEMSLRTFVDNVINLAAESCLVRDIPTILTPMKVDEMSA NTVEELASESEEVQIQRRRLEDEVKILREGLRKCQKHERRELPGRLSASARPSSGTAP GQAPSRRVTPSPTPTRGGNSLSPLASTSPSQQLASISLRNPTPQPPPTSFPQTFTNQI QEGSSTPPVFGAPPGHTSSSHSQPIVGNSTTAAGPARTSPLFSGTTNRAQDRNTTGTT GSGTAGRGIFGSGGSSFGGSGESLSLFSFGTAANSQKRNNGGEHNRQRV MYCTH_2313110 MSPAVFQIVSDLHLETQASYEYNFKQTAPNLALLGDIGQVADDG LFTFLEKQLRRYWNVFFLLGNHEPISGSWPAARRRVHAFAERMERLRERSTIGRFVFL DRTRHDLDGGLTVLGCTLFSRVLPDQAAAVAGRLVDFRKITGWTVEDHVDAHLADLCW LNEQVEAIARAEPGRKIVVFTHHSPTADERATDPRHRDSPVASGFATDLGREPCWTSP AVVLWAFGHTHFCCDFVDEHGKRVVANQRGYALARESAFDAAKTFVVGRAEAASGASS MLLF MYCTH_2313111 MGNRSSRRKGGERPEATPPDGERQPSLDGNGDGSEAVLPPRYAA CELLYKAGIPAAVWLEDALSRHGVPTLVFDLFLLVPDVDAAAQVLIGAGYRRGQLSFA LRNIRQFENLYFPPRAITADNKHDESIPDTGVILLAAREWFHELPATAQDMTDWFPTL PQLLTALIAKWLSLEEQESDLRLRIAVYIGYIYLYIDAVKEPGFQEQLPPEYRLFHAD RLQGINTENLGEFQCQQLYLQRIKAAE MYCTH_2313112 MPRISHKKFDHYEIAAVTRLIDFVVNYKNGIRYAFIGGIAMHML GSNRATADIDIMVPNGQAATVAEQLNQYNPRAFRIEKKPRGGYRVAYIGEEGEQNAHN VDILEPCQIEGIGDILKDDSRLQRIEKDYSATIFIPEVLLELKRISWRGRGKDEKKLN DKQDMEFLQACIAKKEQEKGKNAGRR MYCTH_84377 MRTPAASLLAFALPALATAGGHGGSSGLGCDSQRPLVSSEKLQS LIKKEDLLAGSQELQDIATAHGGHRAFGSSGHNATVDFLYYTLKALDYYNVTKQPFKE IFSSGTGSLTVDGEDIEAETLTYTPSGSATDKPVVVVANVGCDAADYPAEVAGNIALI KRGTCTFSQKSVNAKAAGAVAAIIYNNAEGKLSGTLGQPFLDYAPVLGITLEAGEALL AKLAGGPVTATLQIDALVEERVTYNVIAETKEGDHSNVLVLGGHTDSVPAGPGINDDG SGTIGMLTVAKALTKFRVKNAVRFAFWSAEEYGLLGSYAYIKSINSSAAELSKIRAYL NFDMIASPNYIYGIYDGDGNAFNLTGPAGSDVIERNFENFFKRKHTPSVPTEFSGRSD YAAFIENGIPSGGLFTGAEVLKTEREAELFGGRAGVAYDVNYHQAGDTVDNLALDAFL LNTKAIADSVATYALSFDGLPRVDGKKRRWDAHRARMLKRSAGSHGHAHLHSGPCGGG ASI MYCTH_2313117 MATTSPLDEHAQKGEYVIRKSGRPFTKDFRIHFERAHDGVPVSP FHDIPLYHDREKGILNMVIEIPRWSNAKFEISREKSLNPIVQDTLDHAPRFVKNFFPY RGYIWNYGALPQTWEDPDHKDPETGAPGDNDPLDACEIGRAVAPGPGTVRRVRPLGVL GLLDAGETDWKVLVVDVDDPVLGARLRDLPDVERLLPGLLDATRDWFRFYMVPDGRPP NEFAFGGQWKGRRFAEKIIADCEDAWKRLVKGKTKREDISLDNTTLDGTPGKLDPDEV RLPPDEDLPPAPIEQDLDEWFYIDRKTAEDGEGSLSGNNMTIVLEMQE MYCTH_2313118 MDGAKSSAPAGQTPSNRVAKANRKTVAARYNIKVLRGLEKALLK DPEANLCDLLSSSYPQQLKSFKEADARPSKTPRPLPTHDIRSRLHGTDPATIARELSP ELQDLLGHYDQLSEAVIKLLDESEVLYKSPWAASCMVFRVSDGIVAKVTLEEHITTEY RTLSYLQEHLPRFPAPRLHGVIRIGRYGLLFTSFVSGLDLEKVWPRLDDVQKRSISTQ LDKLLIDLRSLPFPPNTPLGGVGGQGCKDGRRGIRISPKPILDVSQFEDFIFTGSKTA SHMYTRFLRSLMPASPAKVVFTHGDIRPANIMVRQDEEGSWTVVSIIDWESSGFYPEY WECVKMTNNLTPRDGDDWYLLLPESLSPRQFPVQWLIDRIWDRNLENS MYCTH_2313120 MPRRRLANPATRVHLFCRALALLFELAVLIFLIYISKALGYSNG IRYAGVGPLPPLFLGSPRL MYCTH_2131308 MTCKLTHRVMTQPNDPPVIKGAPSFVGLGVFSTDEYVPNGGTGP DGNAKEWYANFYRQIRNFKFDITQTDPNAYICAIHYQVAQATESRQGIQPGKTGVGAR ATLAGELQDKGGRAKANEMRRQRPSEGDE MYCTH_2313121 MPFRIRDAVPSPSDDDAKFITSAFDSSIPHLSSIGSAGQWGTDQ LSVARPGFLARHASAIADAEAYRLASSSSSSGAPIKNKPVRVLIAEAALPGRDDGDGA GHEQQRYYLPVGAATLRANYLPQYVLDQEHLQGVTGPLLAGAAGEGGGDFMYLEVLIT DFREPARDYRKGAGAALVQYAKEWVGKELGMHAMYVDCWAGNGEKLVRYIISSSSSSS LGTG MYCTH_2113974 MDIYADHEVTIWEKSGENQPPCGQSADGRDVAIVGPPGVSSSQV RSVMGYTYCVGSRALQVKKLVRRQWISNRKRKRGEEEEEEEEEEEQEEEKTLDRDRDR NHDRDQDRSRDASTFLLCAKGLHDQARNQYLGTLMFAMLAGLADPADEAVAGALARIR DEFRASEIRPEHVHLDWPAYRWIDPDTRSLERVPGGR MYCTH_2313124 MSTKRKAATAIAKPAVRQSAKAPVKTKIDETRTAVATGLSSKPS SKAETEPELKNQISSDEEEGISEDEATSNGQTNSAKTSRTRDGKQDGDTDAVMQEGAE NSDGEPTSPTFGDLVRGSSTTIDVPSSLAAQAAAAKTSKLEVQQRPTAPISATSLGTV LNQALRTDDADLLESCLQTTDVKIIENTINRMDSSLANVLLSKLSARMHRRPGRAFGL MRWMQWTLVAHGGALVTQPDLIARLGELSRVLEERSRGLPSLLALKGKLDMLDAQMKF RKSIKAGGAPRSRGGDEEESSEEEGEDVDEPGVVYVEGQEALGGKALTNGTGGPDAAD EDDDFPTAAGGVSDSDEEDDEELDDVMDELADAESLDEDEVDHDDVEEDEEEEEEDSE GEDSRPPAKVRRVSERISKRK MYCTH_2313125 MTTQQSEGALLNEKPLQATPPTGKEGPNGSLEMNGHSSSSPADA QTESKNAPALNGEAPTTDTPQSKNEDAFSANQALPTAADSKDPPAKTEGESERDISSG MDKVNMREDDPAQADTEMPDAPADRTSEDKMSEDVSPKSHPKPEEPSDQPDGQDKDQK ESTVAAGKEEAAPSAPSTEKEDVKMADAQEQVESPSAAGPSQGTQGTPAAAAAPGSPK SGAGDPAANTPNGPCAAAVSEAPASATADTSMSEAQPSAKLSRERDIDSEDEPVAKRT KVDHAADQVQVKTGEAQDRTEQGDQQAAAPPASLSPTSGEPKRLDDPSLDDSPITEWQ NKQIRAVLAGVKKTKVGQMFKLPVQQLWPMLWTEYSAKISNPTDISTMEKRLRGDLTP YATMGEFKADLNLMVQNAVTFNGESHDVTIQAKACRDAILSRMAAYPAVEPPKPQKKD TSKAHSTRHVEPRAPGSSVSTAGPGRPSKGSATSPSQKPVPESPAFALPPGSNGVPLI RRDSTKPDSRTKRPVKPAHSKDLVYDTKRKKKLPLDLRFCDEVLTELRKTKHYDINAA FMQPVDPVALNIPDYHKVIKRPMDLQTMSNKLSAGEYQSIKEFEKDFDLIIKNCKTFN GEDHIVYAQALRLQDLYRAEMSKKDEWMAKHAPVTAASTSHSAGRDESESEEAESEPD AEVDEERRQIENRLATIQKRLQAEQNKVNEMVNSGTADIADVEIAQSVVAMLQKQLMG ERAKLANLPAKKTSKPKPAKSKKAGGAGGLPGKKATAGPGSGAAKKSASKKAAPKKKL GQLERDVIIDSLGSLDGPLLERAIDLIKKDTGQGENDEGELELDIDIISEDALYKLFE IALKANPNALVEKQRQMGVLAAPASEQPAKSRQLSKSKKNKPMSKSEQERRIQQLNEL RAQAGRQASGSQEPMESIEGNASELAAQPDHADSEEEADSEED MYCTH_2113977 MRSPAAIASSAHGDGSRLRDDFSEPPSREGCPTPAPTDSWNNKS RSASIVSPRPSRASPRQEFHLVQRFEHHLKTDQCRDISTRNIHTTGTGEPGFGGKLRL ADDNWSYRMIARRR MYCTH_2313128 MVPNKFHGAQTISLSVVLGLATLILHLALLLFVAEIMSRRQTAR QREHDSLGAALFAGTILYWIAGLASILYPGTDWVDPEFGPSGVPPAQIPVFVGFASAA VLGWMLDRRH MYCTH_108924 MLGRKSIRINGADCGVEALILGAITAIGGFLFGYDTGQISGMLI FEDFKDRFGQEDTPEGRDFIPIIESLVVSLMSIGTLCGALTASYTADWWGRRKSLTFG VCVFIVGCVIQLTAVSSWVHLMMGRFTAGLGIGNLSVGVPMFQSECSPREIRGGVVAS YQLLITLGILVANCVNYGVREIEDSPASWRVVVGLGIAFSAPLGLGVLLVPESPRWLA ARSDWDGARVSMARLRGLKHEPHHPLVEDDMREMRGILEKERAVGVGGWSECFVPRRN GVPRQVYRTILGVAIHFLQQWTGVNYFFYYGATIFESAGIDDPIRTQLILGAINVGMT FFGLYIVERFGRRWPLIIGAAWQAAWMAVFAAVGTALDPVDNSTSGIVMIVAAAMFIA SFAMTWGPICWVVIGETFPLRTRAKQASIATASNWLGNFMISFLTPLATSGISYAYGF VFVGTNIAGALVVYFFLYESVSLSLENVDAMYAQPLVRPWTSHKWLPEGYFTRMRRDE DFFKARSQEPGSGTRHTENVSDRGAGAGAGGLEKQSRPGWAETENTTGGNNNEKINRV V MYCTH_84362 MDSRQPPQHPFSRNGASPFGRPSFPQTPTTTSSQPPYPPAASHP PATAGPYTDVHARKPSDPSSYYSSTRQYPPEHGPSPMPTSTHSRHQSSSSITSATAMT RGMPPPNSPPQQQQQGQSHQLGGPYSLPQPRPPPYTPGPPSTTPGSSYSQAMHASPRP QPTTVSEYNAFRRPQTPDHHRIYENRDPRAASVASPPAYHSTPELQRYGTPQAYPQRG PPLPPAEQSRESGRLSASSVGPRPLSPPRQYVNAPPPRPVEAGRPPDMYGHREEIRPS EEYNPERPIRVMKYEEQRYMSDRERQERERQEREMEMRERESRERELRERELRESQRQ ELGMAGLHPNEYQRQAEQRAQQYGRPPDPRDWTRPGYEPSRGPYDPAIHPSRHQDYPP HTAPHYNGPHSYSSGPADRHHPGSHPHHQHSLPPPGPAHPQSYESPDRQRINAMHMDR AQPQQPPPRTREEAAVPPPSVAYGGMGPSLYDPPRNRSIEEVGTPHSQQRNLLGVHEI NRRGRVSPLPQAVQGAQQQPTGPTGEPGIKTEFGRMFSGIGTGVGTISSPVATGAHPA YGSSSGMLRRDDMDGSQDTSVDLAKAGGRGKRRKLKEEDEEVNNGRLTPVGGRAKKPK AHHHHHHHHHHHHHNLEQVPSPALAGNTPFRSVKGSTPVPSPTGHAKDLPGGHHHHHH HHHANLRPQPNTRPVPPPRSPSPVILPKPRQFVSSRAVLESVADRPRIHLGDVVYEPM LKRARLQDPRTGRPPRSGFKSTPKPLPWDLIKGKENCTLTMKIGKEHLVPAAREEITS RRALWGTDVYTDDSDVIAACIHAGWIRGEWPEDVDVSMLGLDEGYSVSDVGDLLHASG TDGKGSRSSSSQQSSSSSVTVLNEPPKTGPMPVPENRDLHVTLLILPRLERYASTTRF GIKSREFGGPIGGEDSQQRAVHDGISFMVIGLRWVTNGGASQSRLRGKARRERIRKAL EEVAMTPAWISRPVNGAASGNGADAEREVGLGWWKQNASKPPSEGDKENMNRAEEPPQ APEKAEKDGKKDDDDKDIRETHEEDSAAQEKADIRAVDDHQEDKNESPGKKDTEEAEE EEQAGTEPAKDANAAAPGADKEAEQVPNTDRVAEEEPERKESGAEKDETVQSRVEEVE GQEPQDAQRQDEKAAEE MYCTH_2313135 MSRLVRPISRLPIFSQTVASTTTTTTTTTTTITTTTTAAAAAAA AAVTARLVVASTRPYSSSSFLQPGPSPPRLPPEQQAEFERLQRAAEAALSSHTSIPAT STTTEEQQQQQPLTTSRHVTTPSASSSSAAASSSLSSSSSSFQPPSPADTVVGVGVDA GGTFSGGMRKGAPPEFEGDRNPVTGEIGGPKNEPLRWGSNGDWSFNGRVTDF MYCTH_2313136 MRASTEKLSRYNSTVSSTATWKLPSMGIIMYRLRVNASGGCETK VTIFGNYILRITSGGKRLEWDAWVDNQFRKQGDSSIVVGSADWTVVMIHYSTTMVTLL ENGVQKFSAPWWTTAPPDYLTPGFSVQHLDTQTAVSVDISHVRTLSVSKSARSLVEDL QPALLSYYPLKDGVSDLAVATPALDLVPTTPDYKPSYSPGAFGSAIDFAGAAGCLRIP LYPYGRVFPSYALSVWVKAASYPPPGTDNAGIAGPLSLRPDGRLSYEFVYSSGRDYVT PSVTFVSRNPLPLSTWTSVVVTYAYEESRLAILVGGLLDSVFYTSSDNSSRWAVTPLA GYIGGALRSSSFSSSSSSSSPLAAESPFVMLDGQISDVVLLKTHVHNHAAAAMATRIS ASSAAGGGGGGGDGGAGGARRRLAIPGFLSEVAVRIHSEDVLAEEEEEVLFFVVPLII VVLAIAVPAYLYSTIETMTPLPPEAKTLDEIVDNIVRVVDKPAKPDRKCNRDQAGIPK YFPITLDVGGEGLYWNGFVAGFPDAINVNDHDRQFNPDVRHPGQPPAKIPYLVEVESW DKFPPTTLPFSDNFAERICMQSAPLTETNVTEIARVIRPGGVVDLWIDAEAFGKQIDQ LARLLNSEVTFPIGANYFTGNYWIEVPAYHTHRAFAGDLNDLVAYEVAEEGYLEHRRI VSHKEDVY MYCTH_2313137 MKYARNSFLVALYWPRVAKALDNGVGLTPHMGWSSWNVAQCDAA SAKYALDTAEKFISLGLKDLGYEYINIDDCWSLKSRDENGKLVPDPGKWPDGIKPVAD RIHDLGLKFGLYGCAGQKTCAGYPGSDGDKYAASDVSQLVEWGVDFWKYDNCYTPCLD NPPPQTCQRPAGNSQEWYAPMRDAILGVQETRKIHFNLCNWGRDDVWGWGDDYGHSWR MSVDNWGDWESVERIGSAAADIAEYSGPGGFNDLDMLYLGSPKLNANEERLHFGLWAI AKSPLVLGLDLATISNATLDIIRNKGIIDINQDRLGKAATTFTPPGRPGPESESGRIY PYWAGPLSDGVVIGLCAGSSAGTYAVDFRDVPGLGDGSYSWEEMYTGQTGTGTGVSFD IDLHDMRVIKVKTAGTKGGRAAEL MYCTH_2131320 MFLGYLESPASIYALEFDDEANEFTVVKNNTADASHSWITFDVR LPSSLPSSLPSSSSPNTGTFLADS MYCTH_2113983 MRLTLALALLTATLGLASPASEQEPPQVPAFAPVPVAAEARDDV SESESGSGPTKRACSHNGCRCLKGAPQGQYCGNCVKVATGEWVITRKRNLHHVYECSP GGDCCDYGAAGDYGTSHARCGA MYCTH_2136301 MRAFTAACRTRPNHLGRCGRGPPCPALSSVAAATMRALAMHAVA GFVPEPTAQPPTMDDGYYDDTAETTHAQLPPAFILAPLNSAARKAVDHERNGHLRFKI NDTIGIWVNFADQRHIWTLGKGDTDIHLPDTRSSSGKGSPHISPVHASFQLVEETGAV LLFDSSDNRTVEPLPHATSYTVPFRSNARSVLVAPGINPRVAFGKDHWYQFEIQWHSD GLYSLPKGEPYQMGPRNSRTKKYVWGGEVGAGSYGTVSWVLDATNGKIIAEHILEILD YSGGGKNDNWGEIFMPLMGGNLKTLVENVPDAYALSNDVLRQMLLALECIASHRIIHR DVKPENILWEYDATNTNNYRFCLGDFGLSNDPDLARTAAGTEPFMAPEVFNRKRQTTK VDIWSLFATIVWTRTPQFRQLCAQMSAPDLHKWLVDFSKTEPYANIRRMASIDPNKRP SATEQLAILDGQHDDVANTATYGSPSGDELGDDFNARFSSALRLPEHNPDLLTYGSGS SGAVTSPELPYYEPYASRVYETYWEARAGPSRQYMPPSPDPAGEPRGHGAYVMPYEDP YGPAVRQGSGSGTAVPDNFTAVAETDEEAL MYCTH_2313141 MPSLGRIARGALRESGLLSVAGAGGDACLIITARSCRMFAYGAS SLILALFFAELGFSDSRIGLFMTLTLAGDVVLSLLLTLVADRVGRRRTLLAGSALMIA SGAAFALSENYWVLLAAAVVGVISATGSDFGPFRAIEESMVSELTTPATRADVLVWYV TLASLGSSVGTEMSGRIIERLRARDGWTLVDAYHGCFWLYAIMGLVNMACTAMLSARC ELKVRKGAEHQDQEEEGSPTGESEPLLGDGGSRSGRRETEGPTAGDECDEPKTGGKKK GGGSWVAQISRETLSIISVLWFLLMVDSLADGMANMSLTSYYMDRKFHLAKSALGDML SVSYFLSSLSNIFSGPLARYIGLVNTMVFTHIPSSAAVLVFPLAQTAPLAFALLLVRL GLNNMDQGPRAALIAAVVRPEERTAVMGITGVVRTLASATGPSITGLLASSDRFWVAF VVAGALRLAYDVGVFAMFINIKLYKHETTDDGAAHAREENRRHDTDPLRAEP MYCTH_2313142 MKRSKTTHAAGSSTIAPMVRSRSSIPRPTPGSGVFVCNSGQITQ PATWSSISVAGSNSLGSYFHQDQALYPVDAFAQGPAGMAGPSQHPELGLEMAVDEFLM TRDNAFSTTSPIDIPCSAPPSSQEVEQFPGSSLPSAYGSLTSGPTIETAPMSRQNSSM NDAASAIAGDFNDMVRIQSQQSSKSYRPSPIASQPPLLGKRAPEGSGVIVMQGGSFSY AHPSSAPTQSPFSQHQHSMKPSLSQSSIQSTSSTGPSAPDASGLSLAQHLSMERSVSK DSVKSSSSLKHRAKEALARQNYAAKSRQLQPKPAVGAVKHDTADPANKGKAGKTAITK TKYERPRHPKVLCGQCNEHPDGFRGEHELRRHTEAKHKSMVKKWICRDPDLYGIPHSE TAVKPLKDCKQCSQNKQYGAYYNAAAHLRRTHFNVKARKGAAGSKNGNGQGKTTTEEE KEKRGGKGGGDWPSMNELKQWMVEVTVPMDQPGALVPDGTESAGAVDTEDLTNEFSET QYNNESQAGFPMGTGAWGAFDDIANLAGLGEGLGQTSIDMTGPGLFGDLNSQLSGPYC LNGPAMFSAPPLQGMCMPMPISSAGFDHENSADPSARRQQQQQQQQQQQQQQSTAASS SASSALISGNSSHGYTPLVSSTATRTQANVYMDQLLPPTHVQASRDNVPDLPFDLAIV AGQ MYCTH_2313145 MRRLNLVLLASLQLCRARQHSFSVHDDLLAYPQYEVVFADDSIS EADALAILESSHKKDATYNTADFSQSDLSNVRESAPAGSSGTTSRESTPEAGEDDEDA PIGETYELINDDPWHYLCAVPILAPPPVLNRTATELAKAEEARELSRASARGWELMSG LEGQCMYYVSGWWSYSFCYGKNVIQFHALPGSKPTDPPVPDGNNQEYVLGRVQHKAPS RGSTDDAEAEGQTKSLAPPNSQLQVKGDQRYLSQRLEDGTVCDLTGRPRTIEIQYHCS PGATTDRIGWVKEVTTCTYLMVVYTPRLCSDVAFLPPKESRAHPIRCRPIVSTKEEEL AWRHNRLVAAGELLGRRTGTTKPAGKDLSKNHFVGMTIGGIVVGSKKHVSDELASKLP LPRGVVRQAAPTVQVIASRKSGTAKVDVMSDAELEKLNLDPKLVKEGVEEMKSVAGDR GWTLQYVKDGDKVEYYGVFEGEEDEVEQEGVRRQDLPWESGNKKEEAAKDEKKDDRGE TANRKKEEEEGSQEVFFKEEL MYCTH_2313146 MKFCTAVGFLAALATSVLASPAPAVTAAPRAPPAAAAAADDDGK CTKYETTTSFHYNFCPMYCLEPDSPRCPPVACPAVIVSCKPGETTTRPPLPAPTTVTA TVTTDCVVTYEADVGCAPCGCLGCPTCAPVKTETP MYCTH_2085453 MSQPSSTPQGADGTGAARYPGRRFAQIVKLKPEYVDKYKEVHAA VWPEVLDQIKDCNIQDYSIFHDADSGILFASFKYVGTDYAADMERMRANPKVREWWRM TDQMQESLVPGAKDSESGEPSWWKPVEEVFYYTP MYCTH_2113991 MEFKPSDQFSETSPLIEPVDHAGDGDLFMVPLKRPSPKLPSSQY GNGRPMSPSRSPAAPSRSPSARADPEKSPQPTGPQLAAGNYQGSPMSGCTVKPDSPPM FISPLMSPESGVLGIDGILASHVMGTPGSLLYNSPPLSGENNTKTVSSPVIPAGSTPA RQSPGPPSSSSKEEDTTSASRSTGDKPNQSSTKPSSVDNDCPPVVVEQKPDLDAGEPP RPPELPPRSGTRYGIASGRNNQLPPPNLGLALDLPDGNDRGLLAPPPDRISPRSPLRL PSSPPPRSPGGGSGLGKKTAATGSWAVPESPLERLSQVRATPDSAYDRARQMELRRSE WKHVVYRFPVRGADDWVIDADLTESSHARLAEVARRSGDGFEWNGDYELANIYRVLAA AHTRVMEQMRAERRGVRL MYCTH_2313149 MASLFIVWGLLAPSVLGASTSAASTSSAASSTRPSGAEYSLALQ MCWDKGAICSVAQDFREECEEVQDAHGFDEYWECICTSGSGAVDMACDNCKDYYGIGG LASNTTSDCLSRGFTLAPIPSSIISQQSRHNATRATVLTTDTPTYVVTVHATQPESLP TIATTASLPLETGAASRQRQPAQHGLLMGGSVIAGLILAL MYCTH_2313151 MVSNYLFCPPYRCYCSNDAHRCQCQPPVRVLTRLNTRTRSVAAK VLHSSYWTTILRTDSVRTHAVRRPISLASLAIPAATILTAVASVVTPLGLYEQLGIGA KEVGNFSYVGDTSAYFYGTSPRGAYSFSRVCYFRVSWGPCPFTNDTVIFSQGESQSSW QFPNNLTTDVPPILREVYSSGTHGIGTTVSNFFDIEWRQLTTKVDENGILNHGRPYPV NMFRQLDSVAIDDAVRLVEGLVVDAKSGGIGFRNHTVPQPPNRNVTWQEDLLFIEPVT SCVDTNLTLDYTESSSYNNFSSSGPADYRLTDRGGFVHLVHKLPDYNHDDPQANPDLR GRAYRAAMLNNFATMVYLNVTNPSDNSTGTKSFRYVNSGLGKSFRVSVDPLRTYRAAS FSSDYGSYLFGSSFDDELGYPNPYNVTKDGWFQPILRECSGYHPYRPANINTSIFVTC GLVIGPPRRVDGGPQGIFDRGSKWTTPMYSCATAVRAIIKTVHFSTDIASDPTLRPVG RNRGLVALRVTNITAKKYPTRESYPLWGVEDTGRPLGEVAPIWGLVSPAYQDAGFPNL TVVKQPWLYLLGVGNDDLVYAKNLNPSSVVPSGSQNLPGAEFPFAAANTIYDDMYGLS RTEWPFDLSGRSDMAVFTRWQELAATPEGTAKLINLLWTDVAASAVVGTKGIMPPASS SSTSPSSSLTRRSPLQQQQGGTESEEQKEQQQQQQQQQQQPVPVIHVWPIVPLTRYHP AYGIPAFVLLVVLVALTAGALAAWWTKTSTVGKLRMRLQQLSAGRIFTAVLYPGESDF RMPPKQWSQVSGPKTVKFADGEGGEAEAAAAAGATAAAEAAEAADGEEQQAAVRDVQT PGVITVSSVGDESKVEYVEEMRPIRHDPYGGGQQHGGYIALASVGYGYDG MYCTH_2313154 MAPSWYYQKPPSWKTRDANRARAAVSTTPPPPFGALIRTLKLRH LDDEGETLDHLARITDLQTVASYSWVDKPNSGPEILIPGRPPLWTPQVTPTQLKEDSG QYYRDKNAARYPRHPIEPAVVAGLDADPALPLDLDIFACKSTLGSLLSFVRGEDKEFR MLAYKVRHTIFLVRRENSPTELIPDVRGYGHTFPEANTTWEADVKGSASHQRLIRYVF GGLRLAVRFEADGYIKPSGGGGGEDDDFDPNLRSNRLSSSPASFSWSPRPSQTDSAAA PPPPPSLDELATTLSTANVTTTTTTGQGISSTTTTTSSSSSTSTTTTARQTKLTVTQA GPTVPIPHRALFDLKTRSVRTREAKGGGAAVVEEELPRLWAAQLPTLVLAYHARGLFR PEEVEVRDVRADLRRWEREHRAELARLAALLRRLMRDLVAVADEEEAVAVAAGVHAAG EGVVEICRRRRVGGGGSWRGGRGEKEEEEELEIRRPGGEVAGVLSDEVLQRWMSAAGD EGDSDDDDHDDHFTAYGEAGGWESDWESEDDREYLDFTACSADDCGYCGRCPY MYCTH_2313156 MSSSVDPSRRAARLHHLFRDTIAGKRPVRTRQEAQLFLEAVRSQ KSPSQCVEHLVPAKSGLVAVREAVRSDVSAQFVLDHTLPFLRYLSDPSVKALANGQLL EQVLLAVVSPPTFLNALVALYEARGIPDGSLYPFAWLALEVLSLRPETQVDAGGLVKS ISDGQHLVGSQDHATRELGYRIQKVVQLRASPVAGGDQHVGGPGGRHDNDFADFRRIR IYPTPDEFLSTQLPYYQTAREVEETEAASRPRAHLDNQFRLLREDMLAELREDIQIAI GKKKGKRSAPVLRKLHPVGIDTADGKGKYKRSALLVECGSGLEILQSLKDAASRRKYL QDQANLLRHQAFGVVCRGQDILGFAFVDRDVDKLSQEVPVVSLQFTDDDGLGRALLAF SLPDPDLVSFVLVDTPVFAYEPVLLGLQHMTDAPLLDLVINPAKIAESGFKVPDKLRP LASKLETIVKKGQEGGGLGSPDVVDYIITDRGTQKVQFDTSQLAALSLALNSPVSLIL GPPGTGKSFIGAQIVRCMYEAGLRILVLSYTNHATDQFAEDCLDAGIPESSMVRIGSK TRCSPRTAPLVLSPQQSHYRRRNLAPNVINALRREADLLSSQLQDAFGAFIGFEPKWD DIEEYLEFAEGGFRFLDALRVPTDGDDEGWTRTGKGGRTIGPDYLYHQWIKGRGPGHL ENRIPAGDARTVWEMGRAVREEHHARWLRALTEERLEAVKGLGRLYEEVRRKMELYRG EADAQVVRQKKVVCCTTTGAAKHDRLIRAASPDVILVEEAGEILESHVLTALASSPTV KQLVLIGDHKQLRPKINSYGLSVEKGDGFDLNRSLFERLIRQGAAHATLRKQHRMAPE ISVFARELTYPELLDGPGTAGRPGILGLRDRVAFVNHDRSEEADDAQLRDRWDPSGTA SKRNRFEADMVVRCVRYLGQQGYGPDRIVVLTPYIGQVRLLREHLARNKHDPALSEFD KAELIRAGLLSEAAAKLDRKPLRVSTIGLSYYFSCLLLPTYLPTYLPTYLLTYLPTCL PTYLPTYLPTYLLTYLPTYTDNFQGEEGDIVIASLTRSNSKGDIGFMAAPERLNVLMT RARVGVILIGNMDTFMRNRRGGWATWHRFFDLLKARGHLYDGLPVRCERHPDRTALLR EPLDFDKSAPDGGCTEPCNALLKCGAHKCRSRCHRVTDHSRAECNQVVTKVCERQHKT NVRCGKRHETCGRCVEEDKEMERRARQDLKLEKERARREAEYTRQLREIRDEVEHQRR INKYHAEEERRRETLEQHRSELRALKDAEQRLRHQNKLKAEAEAAAAAARARNKASSS SSSSSKQTDGQVDGSSPPPEPDWSSGPEAEWKLMKQSEGAQSKPLDELMEMIGLEDVK HEFLNLKSKVDTALRQGISLASERFSCSMLGNPGTGKTTVARLYAQFLTELCVIPGSC FREKTGAGLANLGVSGCKGLIDEMLDEGGGVLFIDEAYQLTSGSSSYGGGAVLDYLLA EVENLRGKIVFVLAGYRKQMEGFFAHNPGLPSRFPIEMSFADYSDEELLKILVLRINK KYNGSMTCEDGPAGLYCRIVARRVGRGRGKEGFGNARAIENVLDRISRRQADRLRRER REGVEPSDFVFTKEDLIGPEPGEALAKCEAWKKLQGLVGLAAVKQAVKSLVDSIRQNY LRELAEQPPIQYSLNKVFLGNPGTGKTTVAKLYGEILVALGLLSKGEVVVKNPSDFVG AHLGQSEQQTKGILAASLGKVLVIDEAYGLYGGGGGAQGGGGTAPDPYKTAVIDTIVA EVQSVPGDDRCVLLLGYKSQMEEMFHNVNPGLSRRFPIASAFYFDDFTDEELRTILDS KIKQQGYEVGSRAAGVAMEMLKRARNRPNFGNAGEIDILLDAAKARHQARFSRGRAEL ANLLEARDFDEDFDRAERAETDVRKLFEGSLGSDQVVSLLEGYQETVRTMRALELDPR ENIPFNFLFRGPPGTGKTTTARKMGKVFYDMGFLATAEVVECSASELIGQYVGQTGPK VRQLLDRALGKVLFVDEAYRLAEGHFAKEATDELVDLITKERYSKKLVIILAGYEADI NRLMSVNTGLSSRFPDVVDFRPLTADECVDLLLRLLRKKRDLVRSKSGKDLLDLSCLE APAAGFRQRLVQTFTGLAAQDNWASARDVQAVATAVFNKALRNKDALAAGRLALAEGT VTEVLDRMLQERAARADSARPIPTTPSLDKLRGLLKHQQQQQQQASPPQQNAAPPPRP RFSTATTTSTLTTSTTTAAATSPSKGHPTAPTETVMIRSTKQPAPTTASDARQQRDAG VSDEVWEQLQRDRRAQEEREAEYRRLKRDAAAAAAKAEAATEAARARIVARLLEEEAR RREEARTRERLRRMGRCPVGYDWIRQEGGWRCAGGSHWMSDGDLGMEDG MYCTH_2313159 MAYLRRGWPFCFLPPKLRRSLALDRRLGRVLFAATLFVTLTLLL RPSRPHLHHHHHHHHPHPHADETRQSHFSANDRPEIRFRPSSFDWTSVVQRYPVSSIR PLPTGSPQPQRPIQHPFPGYVHDATTRARQQAVRAAFVKSWRSYKTHAWLRDELAPVS GGGRTTFGGWAATLVDALDTLWIMGLTDDFYEAAAAAARLDWADTPDSAANLFETTIR HLAGLLAAYDLSGEPALLAKARELGDMLYLAFDTPNRLPAFWFRFEDARQGRQVAGTD DPSANPCSLSLEFTRLSLLTGDPKYYDAVARVTDFLERSQNQSRLPGLWPRSINFRDE SVDQQAAFTLGGQADSLYEYLAKMPALLGRGRAPASYENMYRAAMDASARHILFRPMV PDDDPEGRDVLFAGDAYAYRDRRVERIPQGQHLACFAGGMFALGGRLFGIPDHVALGE RLARGCAWAYHAFPTGLMPEVFTMLACDSLDRPCPWDEDRWRRERGAVRLPRGFKSAR DKRYMLRPEAIESLFLLYRMTGKEDLRELAWRMFRSVMEATETPLANSAIADVTVEGK TTKMDSMESFWLAETLKYFYLIFSPPDLISLDDFVFNTEAHPFRREKAASIRDTIAPV ETAKEGEA MYCTH_2313161 MADFDDEMDIDVAPSKDITFSSDNTAKGKRSAANLPVEAEDSLP WVEKYRPATLADVSGHQDILATINKFVDSNRLPHLLFYGPPGTGKTSTILALARRIYG AENMRQMVLELNASDDRGIDVVREQIKTFASTKQIFSMSASATRSGIANFKLIILDEA DAMTNTAQMALRRIMEKYTANTRFCIIANYSHKLSPALLSRCTRFRFSPLKEQDIRGL IDKVIEEENVKIMPEATDSLVRLSKGDMRRALNVLQACHASSTPLQPREGPKIAEKDI VRETITTETIYNCVAAPPPDAIKKILNTLLSTSDVTSCLSTINTLKVAQGLALADIIT ALSEEVMKLEVKPQVMITWLDTLAEIEHRVSSGANEAIQTSAVVGAIRNGVELMG MYCTH_103818 MQEIKNTLAENFGGPVTALGSHQFKLVDCPDLTGKVAVVTGGVK VSALVWFNYTFLKHSINKVYTLSVAEEVINGAKEVVARELGQDKADRIVFLQCDLSDW LRVKEIAETIKHDTDRLDNLVNNAGRGIMTAELTSCKKKNAERGNIVRISSQASNMHH KVPSDIKFASLEEINTDLGRNQLYSRSKLAVILYKRYYFDRRVTRNGHLNLLMNATHP GFVSSKQSRADIFEAFPIGGFGMSYGPEPFKKDEFEGAVPTVYAATVADKGGQHICAP ATPEAGSELSQSEELAERLMELTRNVVRERRWGANRPVAMQTEKGDAGREPGL MYCTH_2313163 MEHPENSPVYPVSPLLLERLQRSRQLENGRVSSHSSTDMLSSSG SRHVRRSPSPTDSHRPGSDGGVDAVKAKKGLGMKEMEQTLSTLHKQNFDLKLELYHRR ERQAALEARVDTLEREAKERDELNESLLRELEKRDKAVEEAIGMILALEKRIEELLLE RKMVLQVEAEKPPYSRVASPLVTPSSQSKTSELATPGDSSKTPIRMPSFVSDRSENTE NLRSVYMAGLACESGLSLPQPAEDTPDTARIDPRLASPALSELSESSFASVYGRGRAV DLPSTPRNRPDQRDVPSRTAAPGVESPTRAGTSTPKGHRRPSSSRVASGQFHTISGIM ADTPSPRQRTEGPKPTHATPRDFLKPPTGKKEQPPITRPPTSHSQPKSKKEKREALER VITQAQFTNPQTLPPTPDTLSSTTLPNNETPVKDHGPDSEHNRRDLTEANITKIPEHL EHVSPSRAAQPASTTAFDSRKHCHATGGSSVAAAVVPDHRSSPSTTDSAYARALNGNS WSGDASRYTARHRRDSTTSSIDTWLRESLKPESTEGLGPMSSVSQAHPIATNGRVSPD LFSFPTSAHGWMANTMLGSMGGKDHAGPHAKNASVTPIADMLDAIEASRPTPPIANPR RKTPISGAAAPSPPNRRSSLLARTGAPADATPGTEGIPQPPPHPSPSASSKVNSPARG SRTRSNSNDVRSVDRHLTGLGLKQGRAMTVPPKQVHAPPPPIHQHHHHQQQQQQPDAP IKQDSPMQALSKQRHYPPPSQTSRPRSRGLTAFFRRSTGSADVPAPPASAPPTQTAFK PPPPPPPRSRSRQRAGSGSEALPAALGMGMPSWVRRASLADGERPSGVTPPPILRKKK GTSQPSFVDGSGVHARAVGLGDDEDGDGGVVLEPSPAAAGTPAGGGGGGGAPVGIPPP SGKSSSSSSGKSWGGSTGCVVANGEGGGVSLGGGGGGGGNSGNSGSGKRKWLGLGRVS SLRNRGGT MYCTH_2313164 MSFALYAAALLPVAVLGAGLSVPEDNRMVQQDGLLRYPLMPRLG NLLFGKHANITRRQIDTGIFDPLSGTLYTIELTLGTPGQTVPVQFDTGSDMLWVNPVC SKAAEPEFCAAQPRFTDSSTLVDFGEQGNITYGTGYAYYEYVADYVAIGSARITQQVF GVALDSAHADVGIFGAGPNLDGWDSAYPLVVDSLAQQGYTSSRAFSMDLKGFESARGS VIFGGIDTKKYRGSLIKRLIIPAAESPDGYTRFWIYLDGISVNQPDGDVVTVFSTPDG GKGQPVLLDSGYTLSALPRPIFQKLVAAFPSAQYVSSADVYVVDCVDHGEGGSLDFIF GGKTINVPYHEFVWAQPESNTCVLGAFEDDFPVLGDTFLRSAYVVYDWDNRNIYLAQS DDCGSNLVAIGSGPDAVPSIVGECGKPKPTSTSTFSKTSSKTSTASKTSSTSDSTSSS SSHVTTSSSSTTATTLSTHKPPFPTASGNFTTTRSPTTTTASSTISKSTLTITSATTY TITSCPPTVTRCPAHEVTTEIITKTTAVCPETTATYTIPRTITCPGSGGGDDCPPGAT RTTTLTVTLSPVGPTDRTTHVVPGVTTTTPTTITAPPTGQTTTTLVPALPPTTTTMSG HRGINGTVTATSKPPAVTAGSAKVGLVSGATAIVAGVMAVLMAL MYCTH_2313167 MAAEERVLRLRKQKRMWFEKMMRAIARGIDSVEELERVEREEAA AAVAAEASGVTASSSTPSRLSADFGQLWDAVYPEVPLDPSLLADFGLVSGSSLSVGQG SSGGTAEVSRGNSGS MYCTH_2313181 MEREQLPIIIRGVRDEDIPAITEIYHDVVTNSTATYEVDPPTVS VMRDRLSALREAGFPCLVAASSSSSSSPDTGSRGILGYAYASAFRPRPGYRFTVENSV YVAPDARARGVGRHLMQALVKECERLGFRQMVAVIGDGGPSNPSVLFHEKLGFTVSGV LKSSGYKFGRWLDTVFMQLAIGDGAESPPDPASLPEQRRKN MYCTH_2313184 MSDSGEKEIAAQQIEQSRVDSTKEAEYSDASVADSELEWTEEEE RAIRRKIDRHTVPLVTVLYMLCFLDRINIGNASIQGMRDDLDLGGVRLNWALSIFYIV YLLVEVPSNMILKRFGPRFYLPLLVCGFGFISLCTAFVRDFAGLMVARVFLGLFEGGA FPGLAFFLSCFYKRNELLFRMGIYVSAASIAGAFGGLLATGLSRIPEWGVASMRIARW RNIFFFEGLVTILVGLAAPVWMPTTPGEARFLTARERRIAAERLVREHKANPVGKVTW RDIKQAVLCVHNYTCALGFFLINITVQGLSVFMPTILQDLGWTDTKAQLYSVPPYVAA CLVAIAIAYASDKTRQRGAWLAAFSCIALTGFAILRWVENPKLRYMALYFVTVGAFPG GPGFLAWGINNSAGPSVRAVSSGYIVTLGTIGGIVATWTYTRSDAPRYHTGHTINLCG QIATVVLAIFGILYCLYENRARAAGKRDYRLEGLTEEEQEALGNNHPNFRYWT MYCTH_2071736 MASNAATRPAAGPLAFMTKLSPCVYFYRPETPPLAPPTSEKTPH SSRRAPPRLIVLATWMGAREPHIAKYLLKYRDLYPTSPILLLRSEPRHFIRPGTGARD FAPAVPCVRSIFPDLGGAGAGSGGGDDAAAKEEAGRPEREPQLLLHAWSNGGASSLVQ LRAALGLLPEEEKTSPSPSSSSSSSSSSSSSSSSSSSSSSSSLPPYTLVLDSAPGAYR FWASYRAFTAGLSGWALWLAAPVVHALCVWYWVRHAVVGRGRTGPLARLRRALNDAVA RRAELRRAYVYSPADRLVGWRDVEAHAADADRAGFAVVRRERFDGSEHVAHVRVDADR YWRVARETWEGLAELATHIPWDIRSEMISSFGDIRWQSLVLPPTTAHLLPPSVPSIPR PIAAIENEHAIIITVVTHPRHCLVMLVPSAPRWFLVLGPACAGHLEGLVLVHTAIVAL VRVQLGRARVAFLVVVLLVHRDAGLAGAKASVVFRLETTELLTELLPYKGPGAVRARP LEDDEAAHGLEVPRAAGQPLCLMWCCVAERAQRRRGERAPCPPLPLRAGRKDAELGRV AQLGRLRRTSRTLCLRTGRGRTNRLISVNGTRCLAEGL MYCTH_2313192 MANPTPDINSILKMLGDATQRPATSTPTQSHTGIPAPAQPLPSG YAPPGQNPYPPQPASYQPPAASYPYPQPAASGSIDLSAIRPVNSGTVQLADAIAKAKA YAAEKGVTPYDRQNAPYPDPRQSDSRHYQRSRSKSPRGRDSYRDNVNPYRDERRADHG GQDRGYGRDRSYSPGRGRQPFSPRGGHGGRDRSPLRGKDDNVETIEIEASLVGLIIGR QGENLRRVESESRCRVQFIPPTGQNDQFRLCRITGPRPQREEAKEMINNIIRDSGMRG GHSQGGGDRGRDGRGGPPPVPKDGEDSLQIMVPDRTVGLIIGRGGETIRDLQERSGCH INIVSENKSVNGLRPVNLIGSPAAARHAKELILEIVDSDSRNGNNPAGGRGGRNDHFG SGGGHDKVNDSIYVPSDAVGMIIGKGGETIREMQNVTGCKINVSQSSGPGEVEREIGL VGTREAIAQAKRAIEDKVDAARQKSAGGGRGRGPPRDYDNPNYGQASNTSSAPMNAPS GGAAPAAAAGSGAQADPYAIYGGYENYIALWWQSQLAAQQAQGNQGGNPQAPGTS MYCTH_2072093 MVNIARVLYGALASVSGVVGIATQRYIEALNDGPPGISLRMAKG THQWSSSKQVTKPSQHIQKLRPIHSARVINPRSAAAVLGAHQRLVGGFGYENITSSSA YGTQYAVDVLFDERRVSLIIDTGSSDTWAVQKNFSCIGYSGESLPQEICGFGETFPGT FQYGLTDPETHMFIAYGDGEIVTGPMGFLDITVGNITVKQQQVGLANTTYWYGNNQTS GLMGLAFPSLTNAYLGKAGDHDILNQVQYSPLFTSMVNQGLVDPVFSIAIDRNASSGM IAFGGIAPASGLDLSRTAVLDMIITSVASIPATSSQYSFYTVIPDGWYYDQTTNTKKV PYIVDSGTTLNYLPPGLADAINAAFDPPAVYLWMYGAYFTSCDAIIPQVAVLLNGVKF NISPLDLLYRTLVDPVTGLCMTAIADGGSGPYILGDAFMQNALVIFDVGQAQMRFIPR QHY MYCTH_71484 MAPKINGTGVMPEDTRICVVMVGLPARGKSYIAQRAQRYLKWLS IPAKTFNVGNYRRKDAPHPSADFFDTNNAEGERQRRAAANAAVTDMIQWFKTGGVVGI LDATNSTKERRKWVLERLSKEGIEVLFVESKCDDEELIMANIRDVKTTSPDYQGQDPE QAALDFRERIRHYEKVYKSINDDNDEDHLTYLKIMNVGKKVFINRIQDYLQSRVVYFL MNLHIRPRSVWLSRHGESEYNLEGRIGGDSLLSHRGEEYARKLPELVRQSVGSDRPLT VWTSTLKRTIATARHLPKHYNQLQWKALDELDAGVCDGLTYQEIADQYPEDFQARDED KYNYRYRGGESYRDVVIRLEPIIMELERSENILIISHQAVIRCIYAYFMQKPQEESPW VPVPLHTLIKLTPRAYDTLVEKYDAKIKAVSTWRGKGSTAKHEDPTPEGGI MYCTH_2313202 MRFTLLLTSFLGIAVALELSRSESRERRTRQRSARPRLMTGVEM RTLEFRSSVGTPTEKRSKGALTKVPGQQRRTGALESRDFYQCTNPELRPSVEDCNAIV SEVLLTDNEVIIAANSCLVYSFGTCQGFFCSLCDTLDTSTQFIGTQLDNVVALCVENG QAGSIVGEDPPQWDSGFTYAGEGLPAFDVC MYCTH_2313207 MASDLSKKRKLKDGTGTKSELTAAAPSKAKKLKRDPTPEESNSD SDSASNNDRGVDDQDSESEGASSKESESGDEKAERDADNTKEEQDGSADLDDDIVKGN GPLLGHSASTDAQSFSELNLSEKTMKAIDEMGFTKMTEIQRRGIPPLLAGKDVLGAAK TGSGKTLAFLIPAVEMLSALRFKPRNGTGAIVVTPTRELALQIFGVARELMKHHTQTY GVVIGGANRRAEADKLGKGVNLLIATPGRLLDHLQNTPFVFKNLRSLIIDEADRILEI GFEDEMRQIVKILPKEDRQTMLFSATQTTKVEDLARISLRPGPLYINVDEEKKYSTVE GLEQGYVVVEADKRFLLLFSFLKKMAKKKIIVFFSSCNSVKYYSELLQYVDLQVLDLH GKQKQQKRTNTFFEFCNAKQGTLICTDVAARGLDIPQVDWIVQFDPPDDPRDYIHRVG RTARGNNSKGRSLLFLQPCELGFLAHLKAAKVPVVEYDFPKNKILNVQSQLEKLISSN YYLNQSAKDGYRSYIHAYASHSLRSVFDVHKLDLVKVAKSFGFSTPPRVDITLAAGLS RDKKPQARRAYGSQPRQGGRFRK MYCTH_2313210 MPVSSSSVTRSGIADRVKDRDGRVCVFSGVSDPEAAHIFPYSTI KDRNFGLISLILSQFWGSEKSMAWRRIFEDAAISNSPKNGISMSRQIHFWFDKTKFAL KPLRQTPEGIVVQWHWLKESILKPLVHILPNEDILLQAGVTDRNWGKGLIAHRESGVR IQTGQTFLLRQHEDMPSWELLEIQWNLHRIAAICGAADVTDDYYYDFEDRDERGFDEV VAAKQRAIAARQANANEGDDSGNSDGGDGFNKSDSKDRRG MYCTH_2313211 MFYNEKPGAVPHVPPSLNHETWQTLDLHNEPRTEQLQPHAHTAP TITPYLGLRARLSQLWFNRWTVLLILVLVRVLILTASLDDNIGDAKVKALSACTKVED VGSAMASMPHYLSVGVNSLASEGITKAISGMVQTLMLIMTGVESLIFFIINLFVGTYA CLIAALIHGGLDVSVKVVEGATKVMNSAINTITGQIADSVSDVQDAINEIPDKLSSFL GGIDFDLPKIDITKNLDDLKNIKVNSKDLVKDLVSLNTSIPTFDEVENFTKNAIAIPF NFLKDQVNSSLGSYKFDESVFPVAKKQALSFCSDNSFLNDFFETLFDIVAKAKIAFSV VIPILAVLAMLGMGYIEVRRWRKEKERSRVFTERGYDAMDVVYLSSRPVTAGFGLWLA SKLKNLKTRLLVRWTVAYGTSLPALFVLSLAMAGFFSCLCQVIILHSIRKEAPALADQ VGDFAGDVVQTLRDVSTDWADNANGVIIKLQDDINNDMFGWVTNATTAVNNTLNTFDE EIDKAITSLFKDTILLNTARNLVGCLITRKIETVEKGLTWVHDHAHVTLPLFADNIFS RGANDSISGDSDLTSFLASPSTVTTDEITSAVNQVITKLEHGIVQEALISTALLLVYV IVVLTGALRALAASVQKEKTRAEGGEQYGVKAPGSSGSGSTDRMSEHQPGADYNDVLY AGSVKKGRPGPERWPSHTRKSSYPEVEGPGR MYCTH_2313212 MEAIKQTFQRCKAQNRAALVTYVTAGYPTAESTPNVLLSMQKGG ADIIELGVPFTDPIADGPTIQTANTIALQNGVTIESTLQMVRDARKLGVRAPILLMGY YNPLLSYGEERLMKDCIEAGINGFIVVDLPPEEAISFRKWCSKGGLSYVPLIAPATSD ARMRILCQLADSFIYVVSRQGVTGALGTINAHLPELLSRVKKYSGDKPAAVGFGVSTR EHFVSIAQLADGVVVGSMIVTTLQKAAKGEEYKAVQDFCSYLCGRTSSAEDEATREVG IIEAVSGARDSNGEVGVDGVVKDTDDLVAQLAAMHNKIPERFGEFGGQYVPESLMDCL SELEQGFNKIKDDPSFWEEYRSYYPWMGRPGHLHKAERLTEYAGGANIWLKREDLNHT GSHKINNALGQLLLARRLGKTKIIAETGAGQHGVATATVCAKFGMECTVYMGAEDVRR QALNVFRMKLLGAKVVAVEAGSRTLRDAVNEALRAWVVNLEDTHYIIGSAIGPHPFPT IVRTFQSVIGNETKAQMLEKRGKLPDAVVACVGGGSNAVGMFYPFSNEPSVKLLGVEA GGDGIDTSRHSATLSAGTKGVLHGVRTYILQNEHGQISDTHSVSAGLDYPGVGPELSN WKDTERAKFIAATDAQAFIGFRLMSQLEGIIPALESSHGIYGAIELAKTMNKDQDVVI CLSGRGDKDVQSVADELPKLGPKIGWDLRF MYCTH_2313215 MPPSLPLQTCLRAGSRPTTSLSSFLKTTVTTTITVATTTRTLAT TAPSHYKQPRVPGSDIPIPSASGAHPEIPPYPHGPRPFYHQSNTGLYGSARIRFGNHV SEKFEVKARRKWRPNVHQKRLWSASLGVFVRTRVTTRVLRTIDKVGGLDEYLLGIKPQ RVKDLGPWGWKLRWRIMQTPAVRARFAAEREALGLPPKEEEELRQEANRPLPDGTAAG DALMAETNQMLADEAEFELGSEASEASEGAQDGFMREEKPRQ MYCTH_2313216 MLPPVDHSILQANPEFAALYTKLTTAVLNPDGSTKSGPATKERR AVTEELNEYRLRAAKQHLLKHAISNANPQSLQTQHAPVPSLSKSRPRPQQQETPASVD LPAPLLDLLLLLPTLLDAPSDLSHESVTLLLSNPPLSDFPTHLPQLARLVSSTLHASA VHLARIANPTTNPSFVHRSIPALPTYAASLASTVADRKAELSRARLSAATQLTALLRE QAGVVSQLLRVLEAKHGPIARSLEFRATEAALTAQRQEAEAETTLWLARRETYTPEAA RALANYASHLRDAKGRLNEAIRTLRAELEAYGLDGDDAGSNNGERTGKEKVMREMARV YRDMSRQIEEVRTDLERLGRA MYCTH_2313219 MLSSLRIASRRAAVARNFSAVRAASTWANVPQGPPAILGITEAF KADPFEKKINLGVGAYRDDKGKPYVLPSVRKAEEKVIASRLNKEYAGITGVPEFTKAA AVLAYGKDSSALDRLAITQSISGTGALRIGAAFLSRFYPGAKTIYIPTPSWANHAAVF KDSGLQVEKYAYYNKDTIRLDFEGMIADINKAPNGSIFLFHACAHNPTGVDPTQEQWK EIEAAVKAKGHFAFFDMAYQGFASGDIHRDAFAVRYFVEKGHNICLAQSFAKNMGLYG ERTGAFSIVCADAEERKRVDSQIKILVRPMYSNPPIHGARIAAEILNTPELYDQWLVE VKEMANRIITMRALLKENLEKLGSKHDWSHITSQIGMFAYTGLTPEQMEKLAKEHSVY ATRDGRISVAGITTDNVGRLAEAIFKVKG MYCTH_84302 MSAGDYKFEGWLGLDPSAAQGKMQWGVFEPKPWEETDVDIKITH CGICGSDLHTLRSGWGPTLYPCVVGHEIVGTVVRVGSKAEGGLKVGDRVGVGAQSDSC LGRKGDCELCSSNLENYCPHQTSTYNSVYLNGGKSYGGYALYNRAPSHFVVKIPDAIS SAHAAPMLCAGVTTYTPLKHHGVGPGKKVGIVGLGGLGHFGVMWAKALKADKVVVISR SSSKKEDALKLGADEFIATDEDPDWTKEHASTLDVILSTVSSSSMPLTDYLSLLRFDG TFVQLGAPDEGLPNLSQGPLIFKRIKITGSLIGSPQDLRDMLQLAVDQNVRPWIDEIP MKDANKAIVDMGAGKPRYRYVLVNESQEASQVKAGL MYCTH_2313225 MPTKREIIAQDAESELAPVADLTRPLTLRSRRTERQRRKQQKRA NSSSAAAHPARLVDLPLELMMAILELLRPSDIFALSRVNKELRAFVLANESAIAQPII KLRYSILERCLPRPVGLEHVEPAIQSLLKSADRPDLGAHRNAHQNIPPPDKTLHCTCM TCLVRWNALCAVIDFAHWQDHLDRGIPIPTIERGTNPRWNQELLERNRRVALNALNSP LWYARILEAHLESTTRSIRRHSQNKADQRRHYRVTAADIRAGTDEFLQQNGHPTFDYP YARDLYYMLEAFLPGRSWISELQKWVYMPQTQEWHETDLRILVRMDEKRRQERQKSAT MYCTH_2313227 MASETSERTPLLGGHQPARHDAVTSPTGKDRQPIADAQDRHGRW VRDLVVRLHNLLRVHVEKRILFAGFLITLSFSFTQVPIFYAFHLMECDVFYENHPPYN GPGDRCSRNEIAAGTATQYSILGMTTTFCGTINLFVAGWTAKRIGPRAALMVQTFVPA IRVATQVLGVLAGGQAGIDIFQYTQLITIIGGPVGYILVANIIAGEVVEPLRRTAVFG MLQGCIMLGQGIGYLTGGMIGDTWGIRRPFEVAFFSFLLSTTYVRFAMPYITPESVSG GSKSDAKGIAAFLSPLRVLLPQKVILESGALAKHYGVLFLCAGVFLGVLATGYAPLLI QMYATAVFEFKQSDNGWLMSGFAFMRAAFLIFLFPYIINKGRRWYLARERQGAGGGET EDCEPARLATNPEELEAPMGSLAEEEPVASAEVKEDEGTAFDLFFLRISLVVDGILTM CAAFATKGWHIYLAAFLLPFASGSAPAAKGVMTEMCSASRRADALNALTLVENIARLA TQGLFGFVFAALAQVGKPHLTFFANAAIALLASSVLLLSRFPPDGSRLAEDDGNAESS AERQQPESRQARQH MYCTH_84297 MRCLTSRLLAASLLILSTATAAPGRSNFVTVEGGIFKLSGKDFH FAGSNAYYFPFNGDQQDIEKGLTAAKRAGLSVFRTWGFNEKNSTYNPDGLPQYGGEGA GPTEVVFQRWYPNGTSIIDISGFDKVVKAAEKTGIKLLVALTNNWADYGGMDVYTVNL GGRYHDDFYTVPRIKEAFKRYVKAVVTRYKDSPTIFAWELANEPRCGADGVRNLPRSD NCTPQVLSSWISEMSAYIKSLDRNHLVTWGGEGGFNRESDDWAYNGADGGDFDHELSL KTIDFGVFHSYPDWWDKTVEWTHQWIRDHAAAGRKARKPVVHEEYGWLTPDKRLEYTG KVDNRTRVEVLGGWQKTTVREELAGSMYWQYGYSNYSYGRNHDDGFTIYLDDEEAKTL VYGHAKEMNALNHHRGRK MYCTH_2313229 MKSTYGAAILATATLVQGHGYLTIPSSRTRLGAEAGLDSCPECS ILEPVQSWPDLDAALVGRSGPCGYNARVSIDYNQPGEHWGNEPVATYSPGQVVEVQWC VDHNGDHGGMFSYRICQNQTLVDKFLTPGYLPTADEKQAAEDCFQAGTLSCKDVTGQD CGYNPDCSEGQPCWRNDWFTCNAFQADSKRACQGVDNAPQGSCYTSISGGFPVTKKIK IPDYQSSHTLLSFKWNSFQTGQIYLSCADIAITASN MYCTH_103797 MLGIEATREITAAEHALGFWPAIQQYPKATLWSMFFCLAVVMAG YDAQIISSFYALPAFQRKYGNLLNNGKYEVSAPWQTALGMGNPIGQVLGALASGISLV FVQFFATSVEMLCVGEILGGLAYGFYVVIAPTYSSEICPLALRGFLTTSVNLAFVIGQ FIAQGVAAGLETRLDEWAYKAPFALQWLWPVLILAGLPFAPESPYWLIRQGRKRDARQ ALEKLSSSKHRPDIDQVLLGIEQTDLLEREFEATSSYKDCLKGVHLVRTEISVMVYLI QVIGGNPLIGYATYFFEKAGLDPTDAFNMGVGNTALGFVGTVISWPLMNYFGFGRRAI YNAGMVVMTILLFVIGFLSIPANNRGATWAMATLMDIWTFAYQMTVGPICFVIISEIS ATRLRSKTIAIATAVQAGASIVTTVAMPYMLNTDEANWGGKAGFLFGGISLLCLVWCH FRLPESRGRTFEELDILFQRKIPARQFKNYDLLGEKEHPIGNQELLRASHMAPPI MYCTH_2071098 VFGNGDSTSYRGHTRPFAFPQPGHTITRVDGRVLCRYPDCRKCA ASPEFAPIHFDCFVIFRQQCSVSASAALNRLWILAAWRNPWRGAQPIYLSVPVVDKDT LKRISGFCGLPRLYTLPLELLEIIRQYSRHSLLWRCIPALQLADYVSAMKPEPLLTVP LRELLFWERSGKFERVIASRPPLQTLRLTVNSAGISKVERLPGPPTYVGECTSRSAFI VQDEASISKVVAQLKDGRLRLNLPISLRTLPIWNTPAPPSLNLCKAYPADLASCQTIY TVEMDQIRGITFFFSSGQLFGIHIHRSEESYAMDTFSRNFPNRLRRTVVWIYLPISQY DRIFVLGIREALQSRDLNVLVRTELIGDIVIGLQSKGDVKDRCLAASAPVTMIYSEPR EGRPVRFFGAHCRPPLDQALPKPFRLEKPGSCPIDDDAYFSWAPLCGVSSTLVFYDQN TGFCRGILFRYQNGGSRAVGQCRLHVDPAESVDRPVRLCFRTSSCPSRWNRTRHMVQV KFKQGARTNHTEKDIEGWEARPMKGLVKYWFTTESSFLVVES MYCTH_2114022 MPLLCECRVKHVTTRLWEYIFNHVIFTDDKWVVSSQQPPTHQPG ELRRVDLVVEKMDSSATTVGTLLCLEAKRANASPSDIQELEYQAFTAACVYYVETGIK HVWTMTCVGSSARLWIFSERSTYLIPFILAGQDLAERSKYLEIYTHGREIADGLEYIR SPGRLRENCST MYCTH_2114023 MRLLHTTKLHLVESHSQGILPSAIPPYAILYHTWAKEEGYEKVA GACALAVQDGIEYLWIDTCCIDKSSSAELSEAINSMFRWYRDADKGFGQRKSIGGLER DRRENKGEEPCCCATQRVDGEGSVVVEVQSRRRSNGWSRMGGG MYCTH_2313233 MARKGILSPASNNQANLPKGIRPRKGNRLHPTTPSETPYLQDPQ DSPAPGRKRKQSIEHALEDNPEPDPDPKRQRTSPRPTKDAFGEPAIGSGAYKHTDLVA FWVKEGRWPEKQDWPEETSETDFTMDRLLARKKSSSNLRKRSISATSTTPSDQKLREE KSAPYRNPRYKMLLETKGSFMDESELGIIDESKTLCQTLLETAQAEPQDTLFRSDIFK LTCQKVEDRNETRVIRDITPLIVPPAEILYIYGASHLKHLIESVNEGWNNSIPLTSTR PQPDYSVGFKRDAFSEDQLAKLSPFIGDFIAGDQSFFMATYYMYFPFLTCEVKCGTAA LDIADRQNAHSMTLAVRGIVELFRIVNRENEINRKILAFSVSHDHRSVRIYGHYPVIN GKDTKYYRHPIHEFSFIALDGKDKWTAYRFTKNVYDMWMPEHFKNICSAIDQLPSDLD FDVPSLSEATGLSQDLGNLMQSDASYASMPDEQGSQLSNAEQQVVTLGTSCSEPKRRK G